ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'TR-1F v6.step', /* time_stamp */ '2023-05-16T15:08:18+02:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v19.2', /* originating_system */ 'Autodesk Translation Framework v12.4.0.73', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }')); ENDSEC; DATA; #10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#605,#606, #607,#608,#609,#610,#611,#612,#613,#614,#615,#616,#617,#618,#619,#620,#621, #622,#623,#624,#625,#626,#627,#628,#629,#630,#631,#632,#633,#634,#635,#636, #637,#638,#639,#640,#641,#642,#643,#644,#645,#646,#647,#648,#649,#650,#651, #652,#653,#654,#655,#656,#657,#658,#659,#660,#661,#662,#663,#664,#665,#666, #667,#668,#669,#670,#671,#672,#673,#674,#675,#676,#677,#678,#679,#680,#681, #682,#683,#684,#685,#686,#687,#688,#689,#690,#691,#692,#693,#694,#695,#696, #697,#698,#699,#700,#701,#702,#703,#704,#705,#706,#707,#708,#709,#710,#711, #712,#713,#714,#715,#716,#717,#718,#719,#720,#721,#722,#723,#724,#725,#726, #727,#728,#729,#730,#731,#732,#733,#734,#735,#736,#737,#738,#739,#740,#741, #742,#743,#744,#745,#746,#747,#748,#749,#750,#751,#752,#753,#754,#755,#756, #757,#758,#759,#760,#761,#762,#763,#764,#765,#766,#767,#768,#769,#770,#771, #772,#773,#774,#775,#776,#777,#778,#779,#780,#781,#782,#783,#784,#785,#786, #787,#788,#789,#790,#791,#792,#793,#794,#795,#796,#797,#798,#799,#800,#801, #802,#803,#804,#805,#806,#807,#808,#809,#810,#811,#812,#813,#814,#815,#816, #817,#818,#819,#820,#821,#822,#823,#824,#825,#826,#827,#828,#829,#830,#831, #832,#833,#834,#835,#836,#837,#838,#839,#840,#841,#842,#843,#844,#845,#846, #847,#848,#849,#850,#851,#852,#853,#854,#855,#856,#857,#858,#859,#860,#861, #862,#863,#864,#865,#866,#867,#868,#869,#870,#871,#872,#873,#874,#875,#876, #877,#878,#879,#880,#881,#882,#883,#884,#885,#886,#887,#888,#889,#890,#891, #892,#893,#894,#895,#896,#897,#898,#899,#900,#901,#902,#903,#904,#905,#906, #907,#908,#909,#910,#911,#912,#913,#914,#915,#916,#917,#918,#919,#920,#921, #922,#923,#924,#925,#926,#927,#928,#929,#930,#931,#932,#933,#934,#935,#936), #263570); #11=ELLIPSE('',#167483,0.0223606797749979,0.01); #12=ELLIPSE('',#167486,0.0223606797749979,0.01); #13=ELLIPSE('',#167487,0.0223606797749979,0.01); #14=ELLIPSE('',#167489,0.0223606797749979,0.01); #15=ELLIPSE('',#167495,0.0223606797749979,0.01); #16=ELLIPSE('',#167498,0.0223606797749979,0.01); #17=ELLIPSE('',#167499,0.0223606797749979,0.01); #18=ELLIPSE('',#167501,0.0223606797749979,0.01); #19=ELLIPSE('',#167504,0.0223606797749979,0.01); #20=ELLIPSE('',#167505,0.0223606797749979,0.01); #21=ELLIPSE('',#167507,0.0223606797749979,0.01); #22=ELLIPSE('',#167510,0.0223606797749979,0.01); #23=ELLIPSE('',#167513,0.0223606797749979,0.01); #24=ELLIPSE('',#167516,0.0223606797749979,0.01); #25=ELLIPSE('',#167519,0.0223606797749979,0.01); #26=ELLIPSE('',#167522,0.022360679774998,0.01); #27=ELLIPSE('',#167525,0.0223606797749979,0.01); #28=ELLIPSE('',#167528,0.0223606797749979,0.01); #29=ELLIPSE('',#167531,0.0223606797749979,0.01); #30=ELLIPSE('',#167537,0.0223606797749979,0.01); #31=ELLIPSE('',#167541,0.0223606797749979,0.01); #32=ELLIPSE('',#167542,0.0223606797749979,0.01); #33=ELLIPSE('',#167545,0.01802775637732,0.01); #34=ELLIPSE('',#167551,0.011180339887499,0.0099999999999989); #35=ELLIPSE('',#167580,0.0223606797749979,0.01); #36=ELLIPSE('',#167584,0.0223606797749979,0.01); #37=ELLIPSE('',#167585,0.0223606797749979,0.01); #38=ELLIPSE('',#167588,0.01802775637732,0.01); #39=ELLIPSE('',#167594,0.011180339887499,0.01); #40=ELLIPSE('',#167623,0.0223606797749979,0.01); #41=ELLIPSE('',#167627,0.0223606797749979,0.01); #42=ELLIPSE('',#167628,0.0223606797749979,0.01); #43=ELLIPSE('',#167631,0.01802775637732,0.01); #44=ELLIPSE('',#167637,0.011180339887499,0.01); #45=ELLIPSE('',#167714,0.0180277563773199,0.01); #46=ELLIPSE('',#167727,0.011180339887499,0.01); #47=ELLIPSE('',#167746,0.0223606797749979,0.01); #48=ELLIPSE('',#167750,0.0223606797749978,0.01); #49=ELLIPSE('',#167751,0.0223606797749979,0.01); #50=ELLIPSE('',#167754,0.0180277563773199,0.01); #51=ELLIPSE('',#167760,0.011180339887499,0.01); #52=ELLIPSE('',#167800,0.01802775637732,0.01); #53=ELLIPSE('',#167812,0.011180339887499,0.00999999999999973); #54=ELLIPSE('',#167831,0.0223606797749979,0.01); #55=ELLIPSE('',#167835,0.0223606797749979,0.01); #56=ELLIPSE('',#167836,0.0223606797749979,0.01); #57=ELLIPSE('',#167839,0.01802775637732,0.01); #58=ELLIPSE('',#167845,0.011180339887499,0.01); #59=ELLIPSE('',#167887,0.0180277563773199,0.0100000000000003); #60=ELLIPSE('',#167899,0.011180339887499,0.01); #61=ELLIPSE('',#167918,0.0223606797749979,0.01); #62=ELLIPSE('',#167922,0.0223606797749979,0.01); #63=ELLIPSE('',#167923,0.0223606797749979,0.01); #64=ELLIPSE('',#167926,0.0180277563773199,0.01); #65=ELLIPSE('',#167932,0.011180339887499,0.01); #66=ELLIPSE('',#167961,0.0223606797749979,0.01); #67=ELLIPSE('',#167965,0.0223606797749979,0.01); #68=ELLIPSE('',#167966,0.0223606797749979,0.01); #69=ELLIPSE('',#167969,0.0180277563773199,0.0100000000000001); #70=ELLIPSE('',#167975,0.011180339887499,0.01); #71=ELLIPSE('',#168001,0.0180277563773199,0.01); #72=ELLIPSE('',#168002,0.0111803398874989,0.01); #73=ELLIPSE('',#168004,0.011180339887499,0.00999999999999973); #74=ELLIPSE('',#168007,0.0111803398874989,0.01); #75=ELLIPSE('',#168011,0.0180277563773199,0.01); #76=ELLIPSE('',#168012,0.0111803398874989,0.01); #77=ELLIPSE('',#168014,0.011180339887499,0.01); #78=ELLIPSE('',#168017,0.0111803398874989,0.01); #79=ELLIPSE('',#168021,0.0180277563773199,0.01); #80=ELLIPSE('',#168023,0.0180277563773199,0.01); #81=ELLIPSE('',#168029,0.0180277563773199,0.01); #82=ELLIPSE('',#168031,0.0180277563773199,0.01); #83=ELLIPSE('',#168037,0.0180277563773199,0.01); #84=ELLIPSE('',#168038,0.0111803398874989,0.01); #85=ELLIPSE('',#168040,0.011180339887499,0.01); #86=ELLIPSE('',#168043,0.0111803398874989,0.01); #87=ELLIPSE('',#168047,0.0180277563773199,0.01); #88=ELLIPSE('',#168048,0.011180339887499,0.01); #89=ELLIPSE('',#168050,0.011180339887499,0.01); #90=ELLIPSE('',#168053,0.011180339887499,0.01); #91=ELLIPSE('',#168057,0.0180277563773199,0.01); #92=ELLIPSE('',#168059,0.01802775637732,0.01); #93=ELLIPSE('',#168065,0.0180277563773199,0.01); #94=ELLIPSE('',#168066,0.011180339887499,0.01); #95=ELLIPSE('',#168068,0.011180339887499,0.01); #96=ELLIPSE('',#168071,0.011180339887499,0.01); #97=ELLIPSE('',#168075,0.0180277563773199,0.01); #98=ELLIPSE('',#168076,0.011180339887499,0.01); #99=ELLIPSE('',#168078,0.011180339887499,0.01); #100=ELLIPSE('',#168081,0.011180339887499,0.01); #101=ELLIPSE('',#168085,0.0180277563773199,0.01); #102=ELLIPSE('',#168086,0.011180339887499,0.01); #103=ELLIPSE('',#168088,0.011180339887499,0.01); #104=ELLIPSE('',#168091,0.011180339887499,0.01); #105=ELLIPSE('',#168095,0.0180277563773199,0.01); #106=ELLIPSE('',#168096,0.011180339887499,0.01); #107=ELLIPSE('',#168098,0.011180339887499,0.01); #108=ELLIPSE('',#168101,0.011180339887499,0.01); #109=ELLIPSE('',#168105,0.0111803398874989,0.01); #110=ELLIPSE('',#168106,0.0180277563773199,0.01); #111=ELLIPSE('',#168108,0.0180277563773199,0.01); #112=ELLIPSE('',#168111,0.0180277563773199,0.01); #113=ELLIPSE('',#168115,0.0111803398874989,0.01); #114=ELLIPSE('',#168117,0.011180339887499,0.01); #115=ELLIPSE('',#168123,0.0180277563773199,0.01); #116=ELLIPSE('',#168125,0.01802775637732,0.01); #117=ELLIPSE('',#168131,0.0180277563773199,0.01); #118=ELLIPSE('',#168133,0.01802775637732,0.0100000000000001); #119=ELLIPSE('',#168139,0.0180277563773199,0.01); #120=ELLIPSE('',#168141,0.01802775637732,0.0100000000000003); #121=ELLIPSE('',#168147,0.0180277563773199,0.01); #122=ELLIPSE('',#168149,0.01802775637732,0.0100000000000001); #123=ELLIPSE('',#168155,0.0180277563773199,0.01); #124=ELLIPSE('',#168157,0.0180277563773199,0.0100000000000003); #125=ELLIPSE('',#168163,0.0180277563773199,0.01); #126=ELLIPSE('',#168164,0.0111803398874989,0.01); #127=ELLIPSE('',#168166,0.011180339887499,0.01); #128=ELLIPSE('',#168169,0.0111803398874989,0.01); #129=ELLIPSE('',#168173,0.0180277563773199,0.01); #130=ELLIPSE('',#168175,0.0180277563773199,0.01); #131=SPHERICAL_SURFACE('',#167431,0.24); #132=SPHERICAL_SURFACE('',#167436,0.24); #133=SPHERICAL_SURFACE('',#167440,0.24); #134=SPHERICAL_SURFACE('',#167441,0.24); #135=SPHERICAL_SURFACE('',#167556,0.01); #136=SPHERICAL_SURFACE('',#167558,0.01); #137=SPHERICAL_SURFACE('',#167571,0.01); #138=SPHERICAL_SURFACE('',#167576,0.01); #139=SPHERICAL_SURFACE('',#167599,0.01); #140=SPHERICAL_SURFACE('',#167601,0.01); #141=SPHERICAL_SURFACE('',#167614,0.01); #142=SPHERICAL_SURFACE('',#167619,0.01); #143=SPHERICAL_SURFACE('',#167642,0.01); #144=SPHERICAL_SURFACE('',#167644,0.01); #145=SPHERICAL_SURFACE('',#167656,0.01); #146=SPHERICAL_SURFACE('',#167661,0.01); #147=SPHERICAL_SURFACE('',#167677,0.01); #148=SPHERICAL_SURFACE('',#167679,0.01); #149=SPHERICAL_SURFACE('',#167683,0.01); #150=SPHERICAL_SURFACE('',#167685,0.01); #151=SPHERICAL_SURFACE('',#167692,0.01); #152=SPHERICAL_SURFACE('',#167694,0.01); #153=SPHERICAL_SURFACE('',#167698,0.01); #154=SPHERICAL_SURFACE('',#167701,0.01); #155=SPHERICAL_SURFACE('',#167722,0.01); #156=SPHERICAL_SURFACE('',#167732,0.01); #157=SPHERICAL_SURFACE('',#167737,0.01); #158=SPHERICAL_SURFACE('',#167741,0.01); #159=SPHERICAL_SURFACE('',#167765,0.01); #160=SPHERICAL_SURFACE('',#167767,0.01); #161=SPHERICAL_SURFACE('',#167779,0.01); #162=SPHERICAL_SURFACE('',#167784,0.01); #163=SPHERICAL_SURFACE('',#167808,0.01); #164=SPHERICAL_SURFACE('',#167817,0.01); #165=SPHERICAL_SURFACE('',#167822,0.01); #166=SPHERICAL_SURFACE('',#167826,0.01); #167=SPHERICAL_SURFACE('',#167850,0.01); #168=SPHERICAL_SURFACE('',#167852,0.01); #169=SPHERICAL_SURFACE('',#167864,0.01); #170=SPHERICAL_SURFACE('',#167869,0.01); #171=SPHERICAL_SURFACE('',#167895,0.01); #172=SPHERICAL_SURFACE('',#167904,0.01); #173=SPHERICAL_SURFACE('',#167909,0.01); #174=SPHERICAL_SURFACE('',#167913,0.01); #175=SPHERICAL_SURFACE('',#167937,0.01); #176=SPHERICAL_SURFACE('',#167939,0.01); #177=SPHERICAL_SURFACE('',#167952,0.01); #178=SPHERICAL_SURFACE('',#167957,0.00999999999999994); #179=SPHERICAL_SURFACE('',#167980,0.01); #180=SPHERICAL_SURFACE('',#167982,0.01); #181=SPHERICAL_SURFACE('',#167994,0.01); #182=SPHERICAL_SURFACE('',#167999,0.01); #183=SPHERICAL_SURFACE('',#168278,0.249999999999999); #184=SPHERICAL_SURFACE('',#168283,0.25); #185=SPHERICAL_SURFACE('',#168285,0.249999999999999); #186=SPHERICAL_SURFACE('',#168292,0.25); #187=SPHERICAL_SURFACE('',#168294,0.249999999999999); #188=SPHERICAL_SURFACE('',#168301,0.25); #189=SPHERICAL_SURFACE('',#168303,0.249999999999999); #190=SPHERICAL_SURFACE('',#168310,0.25); #191=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#256994,#256995,#256996,#256997), (#256998,#256999,#257000,#257001),(#257002,#257003,#257004,#257005),(#257006, #257007,#257008,#257009),(#257010,#257011,#257012,#257013)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(6.12323399573656E-20, 0.00741723047329765),.UNSPECIFIED.); #192=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257031,#257032,#257033,#257034), (#257035,#257036,#257037,#257038),(#257039,#257040,#257041,#257042),(#257043, #257044,#257045,#257046),(#257047,#257048,#257049,#257050)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.47832930819615E-20, 0.00741723047329757),.UNSPECIFIED.); #193=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257080,#257081,#257082,#257083), (#257084,#257085,#257086,#257087),(#257088,#257089,#257090,#257091),(#257092, #257093,#257094,#257095),(#257096,#257097,#257098,#257099)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(7.33887603900758E-20, 0.00741723047329764),.UNSPECIFIED.); #194=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257117,#257118,#257119,#257120), (#257121,#257122,#257123,#257124),(#257125,#257126,#257127,#257128),(#257129, #257130,#257131,#257132),(#257133,#257134,#257135,#257136)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.47832930819619E-20, 0.00741723047329743),.UNSPECIFIED.); #195=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257166,#257167,#257168,#257169), (#257170,#257171,#257172,#257173),(#257174,#257175,#257176,#257177),(#257178, #257179,#257180,#257181),(#257182,#257183,#257184,#257185)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(6.12323399573677E-20, 0.00741723047329739),.UNSPECIFIED.); #196=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257203,#257204,#257205,#257206), (#257207,#257208,#257209,#257210),(#257211,#257212,#257213,#257214),(#257215, #257216,#257217,#257218),(#257219,#257220,#257221,#257222)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(4.47832930819622E-20, 0.00741723047329744),.UNSPECIFIED.); #197=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257252,#257253,#257254,#257255), (#257256,#257257,#257258,#257259),(#257260,#257261,#257262,#257263),(#257264, #257265,#257266,#257267),(#257268,#257269,#257270,#257271)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(5.36192164542126E-20, 0.0074172304732974),.UNSPECIFIED.); #198=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257280,#257281,#257282,#257283), (#257284,#257285,#257286,#257287),(#257288,#257289,#257290,#257291),(#257292, #257293,#257294,#257295),(#257296,#257297,#257298,#257299)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(0., 0.00741723047329758),.UNSPECIFIED.); #199=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#257979,#257980,#257981,#257982), (#257983,#257984,#257985,#257986),(#257987,#257988,#257989,#257990),(#257991, #257992,#257993,#257994),(#257995,#257996,#257997,#257998)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #200=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258004,#258005,#258006,#258007), (#258008,#258009,#258010,#258011),(#258012,#258013,#258014,#258015),(#258016, #258017,#258018,#258019),(#258020,#258021,#258022,#258023)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #201=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258092,#258093,#258094,#258095), (#258096,#258097,#258098,#258099),(#258100,#258101,#258102,#258103),(#258104, #258105,#258106,#258107),(#258108,#258109,#258110,#258111)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #202=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258117,#258118,#258119,#258120), (#258121,#258122,#258123,#258124),(#258125,#258126,#258127,#258128),(#258129, #258130,#258131,#258132),(#258133,#258134,#258135,#258136)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 9.58696007467083E-17),.UNSPECIFIED.); #203=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258204,#258205,#258206,#258207), (#258208,#258209,#258210,#258211),(#258212,#258213,#258214,#258215),(#258216, #258217,#258218,#258219),(#258220,#258221,#258222,#258223)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #204=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258226,#258227,#258228,#258229), (#258230,#258231,#258232,#258233),(#258234,#258235,#258236,#258237),(#258238, #258239,#258240,#258241),(#258242,#258243,#258244,#258245)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.91739201493415E-16, 1.5707963267949),.UNSPECIFIED.); #205=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258383,#258384,#258385,#258386), (#258387,#258388,#258389,#258390),(#258391,#258392,#258393,#258394),(#258395, #258396,#258397,#258398),(#258399,#258400,#258401,#258402)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.91739201493416E-16, 1.5707963267949),.UNSPECIFIED.); #206=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258410,#258411,#258412,#258413), (#258414,#258415,#258416,#258417),(#258418,#258419,#258420,#258421),(#258422, #258423,#258424,#258425),(#258426,#258427,#258428,#258429)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968375E-16),.UNSPECIFIED.); #207=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258498,#258499,#258500,#258501), (#258502,#258503,#258504,#258505),(#258506,#258507,#258508,#258509),(#258510, #258511,#258512,#258513),(#258514,#258515,#258516,#258517)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968356E-16),.UNSPECIFIED.); #208=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258523,#258524,#258525,#258526), (#258527,#258528,#258529,#258530),(#258531,#258532,#258533,#258534),(#258535, #258536,#258537,#258538),(#258539,#258540,#258541,#258542)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.57079632679489, 2.00801261044324E-15),.UNSPECIFIED.); #209=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258621,#258622,#258623,#258624), (#258625,#258626,#258627,#258628),(#258629,#258630,#258631,#258632),(#258633, #258634,#258635,#258636),(#258637,#258638,#258639,#258640)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.39674001866772E-16),.UNSPECIFIED.); #210=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258645,#258646,#258647,#258648), (#258649,#258650,#258651,#258652),(#258653,#258654,#258655,#258656),(#258657, #258658,#258659,#258660),(#258661,#258662,#258663,#258664)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #211=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258733,#258734,#258735,#258736), (#258737,#258738,#258739,#258740),(#258741,#258742,#258743,#258744),(#258745, #258746,#258747,#258748),(#258749,#258750,#258751,#258752)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968361E-16),.UNSPECIFIED.); #212=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258758,#258759,#258760,#258761), (#258762,#258763,#258764,#258765),(#258766,#258767,#258768,#258769),(#258770, #258771,#258772,#258773),(#258774,#258775,#258776,#258777)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 8.62675563227872E-17),.UNSPECIFIED.); #213=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258868,#258869,#258870,#258871), (#258872,#258873,#258874,#258875),(#258876,#258877,#258878,#258879),(#258880, #258881,#258882,#258883),(#258884,#258885,#258886,#258887)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 4.3141320336018E-16),.UNSPECIFIED.); #214=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258892,#258893,#258894,#258895), (#258896,#258897,#258898,#258899),(#258900,#258901,#258902,#258903),(#258904, #258905,#258906,#258907),(#258908,#258909,#258910,#258911)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968367E-16),.UNSPECIFIED.); #215=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#258981,#258982,#258983,#258984), (#258985,#258986,#258987,#258988),(#258989,#258990,#258991,#258992),(#258993, #258994,#258995,#258996),(#258997,#258998,#258999,#259000)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.57079632679489, 2.58802668968357E-16),.UNSPECIFIED.); #216=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259006,#259007,#259008,#259009), (#259010,#259011,#259012,#259013),(#259014,#259015,#259016,#259017),(#259018, #259019,#259020,#259021),(#259022,#259023,#259024,#259025)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #217=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259093,#259094,#259095,#259096), (#259097,#259098,#259099,#259100),(#259101,#259102,#259103,#259104),(#259105, #259106,#259107,#259108),(#259109,#259110,#259111,#259112)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 2.58802668968362E-16),.UNSPECIFIED.); #218=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259118,#259119,#259120,#259121), (#259122,#259123,#259124,#259125),(#259126,#259127,#259128,#259129),(#259130, #259131,#259132,#259133),(#259134,#259135,#259136,#259137)), .UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,4),(0.,0.571428571428571,1.),(-1.5707963267949, 1.11022302462516E-16),.UNSPECIFIED.); #219=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259148,#259149,#259150,#259151), (#259152,#259153,#259154,#259155),(#259156,#259157,#259158,#259159),(#259160, #259161,#259162,#259163)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779996, 1.014502659678),(-0.0145026596779996,1.5707963267949),.UNSPECIFIED.); #220=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259168,#259169,#259170,#259171), (#259172,#259173,#259174,#259175),(#259176,#259177,#259178,#259179),(#259180, #259181,#259182,#259183)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.014502659678068, 1.0145026596426),(-1.5707963267949,0.0145026596780692),.UNSPECIFIED.); #221=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259193,#259194,#259195,#259196), (#259197,#259198,#259199,#259200),(#259201,#259202,#259203,#259204),(#259205, #259206,#259207,#259208)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779758, 1.01450265967798),(-0.014502659677979,1.5707963267949),.UNSPECIFIED.); #222=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259213,#259214,#259215,#259216), (#259217,#259218,#259219,#259220),(#259221,#259222,#259223,#259224),(#259225, #259226,#259227,#259228)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779776, 1.01450265964257),(-1.5707963267949,0.0145026596779776),.UNSPECIFIED.); #223=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259237,#259238,#259239,#259240), (#259241,#259242,#259243,#259244),(#259245,#259246,#259247,#259248),(#259249, #259250,#259251,#259252)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-6.07557596348765E-32,1.5707963267949),.UNSPECIFIED.); #224=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259256,#259257,#259258,#259259), (#259260,#259261,#259262,#259263),(#259264,#259265,#259266,#259267),(#259268, #259269,#259270,#259271)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,1.11022302462516E-16),.UNSPECIFIED.); #225=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259280,#259281,#259282,#259283), (#259284,#259285,#259286,#259287),(#259288,#259289,#259290,#259291),(#259292, #259293,#259294,#259295)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.84863438697864E-15,1.5707963267949),.UNSPECIFIED.); #226=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259299,#259300,#259301,#259302), (#259303,#259304,#259305,#259306),(#259307,#259308,#259309,#259310),(#259311, #259312,#259313,#259314)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,5.55111512312578E-17),.UNSPECIFIED.); #227=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259324,#259325,#259326,#259327), (#259328,#259329,#259330,#259331),(#259332,#259333,#259334,#259335),(#259336, #259337,#259338,#259339)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596780657, 1.01450265967806),(-0.0145026596780657,1.5707963267949),.UNSPECIFIED.); #228=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259344,#259345,#259346,#259347), (#259348,#259349,#259350,#259351),(#259352,#259353,#259354,#259355),(#259356, #259357,#259358,#259359)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596780704, 1.01450265964266),(-1.5707963267949,0.0145026596780704),.UNSPECIFIED.); #229=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259369,#259370,#259371,#259372), (#259373,#259374,#259375,#259376),(#259377,#259378,#259379,#259380),(#259381, #259382,#259383,#259384)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779442, 1.01450265967819),(-0.0145026596781918,1.5707963267949),.UNSPECIFIED.); #230=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259389,#259390,#259391,#259392), (#259393,#259394,#259395,#259396),(#259397,#259398,#259399,#259400),(#259401, #259402,#259403,#259404)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779369, 1.01450265967786),(-1.5707963267949,0.0145026596779369),.UNSPECIFIED.); #231=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259413,#259414,#259415,#259416), (#259417,#259418,#259419,#259420),(#259421,#259422,#259423,#259424),(#259425, #259426,#259427,#259428)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-5.62743551316937E-31,1.5707963267949),.UNSPECIFIED.); #232=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259432,#259433,#259434,#259435), (#259436,#259437,#259438,#259439),(#259440,#259441,#259442,#259443),(#259444, #259445,#259446,#259447)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,7.71694660545087E-31),.UNSPECIFIED.); #233=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259457,#259458,#259459,#259460), (#259461,#259462,#259463,#259464),(#259465,#259466,#259467,#259468),(#259469, #259470,#259471,#259472)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596780116, 1.014502659678),(-0.0145026596780394,1.5707963267949),.UNSPECIFIED.); #234=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259477,#259478,#259479,#259480), (#259481,#259482,#259483,#259484),(#259485,#259486,#259487,#259488),(#259489, #259490,#259491,#259492)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.014502659678019, 1.014502659678),(-1.5707963267949,0.014502659678019),.UNSPECIFIED.); #235=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259502,#259503,#259504,#259505), (#259506,#259507,#259508,#259509),(#259510,#259511,#259512,#259513),(#259514, #259515,#259516,#259517)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779943, 1.01450265967793),(-0.0145026596781053,1.5707963267949),.UNSPECIFIED.); #236=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259522,#259523,#259524,#259525), (#259526,#259527,#259528,#259529),(#259530,#259531,#259532,#259533),(#259534, #259535,#259536,#259537)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779952, 1.01450265967797),(-1.5707963267949,0.0145026596779952),.UNSPECIFIED.); #237=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259547,#259548,#259549,#259550), (#259551,#259552,#259553,#259554),(#259555,#259556,#259557,#259558),(#259559, #259560,#259561,#259562)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596780057, 1.01450265967825),(-0.0145026596782482,1.5707963267949),.UNSPECIFIED.); #238=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259567,#259568,#259569,#259570), (#259571,#259572,#259573,#259574),(#259575,#259576,#259577,#259578),(#259579, #259580,#259581,#259582)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779451, 1.01450265967789),(-1.5707963267949,0.0145026596779451),.UNSPECIFIED.); #239=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259592,#259593,#259594,#259595), (#259596,#259597,#259598,#259599),(#259600,#259601,#259602,#259603),(#259604, #259605,#259606,#259607)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779315, 1.01450265967787),(-0.0145026596779315,1.5707963267949),.UNSPECIFIED.); #240=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259612,#259613,#259614,#259615), (#259616,#259617,#259618,#259619),(#259620,#259621,#259622,#259623),(#259624, #259625,#259626,#259627)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779316, 1.01450265967791),(-1.5707963267949,0.0145026596779316),.UNSPECIFIED.); #241=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259637,#259638,#259639,#259640), (#259641,#259642,#259643,#259644),(#259645,#259646,#259647,#259648),(#259649, #259650,#259651,#259652)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-6.07557596348765E-32,1.5707963267949),.UNSPECIFIED.); #242=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259657,#259658,#259659,#259660), (#259661,#259662,#259663,#259664),(#259665,#259666,#259667,#259668),(#259669, #259670,#259671,#259672)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,1.66533453693773E-16),.UNSPECIFIED.); #243=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259681,#259682,#259683,#259684), (#259685,#259686,#259687,#259688),(#259689,#259690,#259691,#259692),(#259693, #259694,#259695,#259696)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596780748, 1.01450265967807),(-0.0145026596780748,1.5707963267949),.UNSPECIFIED.); #244=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259700,#259701,#259702,#259703), (#259704,#259705,#259706,#259707),(#259708,#259709,#259710,#259711),(#259712, #259713,#259714,#259715)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596780601, 1.01450265967806),(-1.5707963267949,0.0145026596780624),.UNSPECIFIED.); #245=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259724,#259725,#259726,#259727), (#259728,#259729,#259730,#259731),(#259732,#259733,#259734,#259735),(#259736, #259737,#259738,#259739)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.35063069246715E-30,1.5707963267949),.UNSPECIFIED.); #246=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259743,#259744,#259745,#259746), (#259747,#259748,#259749,#259750),(#259751,#259752,#259753,#259754),(#259755, #259756,#259757,#259758)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,2.22044604925031E-16),.UNSPECIFIED.); #247=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259767,#259768,#259769,#259770), (#259771,#259772,#259773,#259774),(#259775,#259776,#259777,#259778),(#259779, #259780,#259781,#259782)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-5.62743551316937E-31,1.5707963267949),.UNSPECIFIED.); #248=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259786,#259787,#259788,#259789), (#259790,#259791,#259792,#259793),(#259794,#259795,#259796,#259797),(#259798, #259799,#259800,#259801)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,2.08166817117213E-14),.UNSPECIFIED.); #249=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259810,#259811,#259812,#259813), (#259814,#259815,#259816,#259817),(#259818,#259819,#259820,#259821),(#259822, #259823,#259824,#259825)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-5.62743551316937E-31,1.5707963267949),.UNSPECIFIED.); #250=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259829,#259830,#259831,#259832), (#259833,#259834,#259835,#259836),(#259837,#259838,#259839,#259840),(#259841, #259842,#259843,#259844)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,8.33464036549361E-14),.UNSPECIFIED.); #251=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259853,#259854,#259855,#259856), (#259857,#259858,#259859,#259860),(#259861,#259862,#259863,#259864),(#259865, #259866,#259867,#259868)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-5.62743551316937E-31,1.5707963267949),.UNSPECIFIED.); #252=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259872,#259873,#259874,#259875), (#259876,#259877,#259878,#259879),(#259880,#259881,#259882,#259883),(#259884, #259885,#259886,#259887)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,2.08166817117213E-14),.UNSPECIFIED.); #253=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259896,#259897,#259898,#259899), (#259900,#259901,#259902,#259903),(#259904,#259905,#259906,#259907),(#259908, #259909,#259910,#259911)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-3.69726877395727E-15,1.5707963267949),.UNSPECIFIED.); #254=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259915,#259916,#259917,#259918), (#259919,#259920,#259921,#259922),(#259923,#259924,#259925,#259926),(#259927, #259928,#259929,#259930)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,4.16333634234421E-14),.UNSPECIFIED.); #255=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259940,#259941,#259942,#259943), (#259944,#259945,#259946,#259947),(#259948,#259949,#259950,#259951),(#259952, #259953,#259954,#259955)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779717, 1.01450265967797),(-0.0145026596779739,1.5707963267949),.UNSPECIFIED.); #256=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259960,#259961,#259962,#259963), (#259964,#259965,#259966,#259967),(#259968,#259969,#259970,#259971),(#259972, #259973,#259974,#259975)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(-0.0145026596779791, 1.01450265967798),(-1.5707963267949,0.0145026596779801),.UNSPECIFIED.); #257=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#259984,#259985,#259986,#259987), (#259988,#259989,#259990,#259991),(#259992,#259993,#259994,#259995),(#259996, #259997,#259998,#259999)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-6.07557596348765E-32,1.5707963267949),.UNSPECIFIED.); #258=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#260003,#260004,#260005,#260006), (#260007,#260008,#260009,#260010),(#260011,#260012,#260013,#260014),(#260015, #260016,#260017,#260018)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,4),(0.,1.), (-1.5707963267949,5.55111512312578E-17),.UNSPECIFIED.); #259=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#261319,#261320,#261321,#261322, #261323,#261324,#261325,#261326,#261327,#261328,#261329,#261330,#261331, #261332,#261333,#261334,#261335,#261336,#261337,#261338,#261339,#261340, #261341,#261342,#261343,#261344,#261345,#261346,#261347,#261348,#261349, #261350,#261351,#261352,#261353,#261354,#261355,#261356,#261357,#261358, #261359,#261360,#261361,#261362,#261363,#261364,#261365,#261366,#261367, #261368,#261369,#261370,#261371,#261372,#261373,#261374,#261375,#261376, #261377,#261378,#261379,#261380,#261381,#261382,#261383,#261384,#261385, #261386,#261387,#261388,#261389,#261390,#261391,#261392,#261393,#261394, #261395,#261396,#261397,#261398,#261399,#261400,#261401,#261402,#261403, #261404,#261405,#261406,#261407,#261408,#261409,#261410,#261411,#261412, #261413,#261414,#261415,#261416,#261417,#261418,#261419,#261420,#261421, #261422,#261423,#261424,#261425,#261426,#261427,#261428,#261429,#261430, #261431,#261432),(#261433,#261434,#261435,#261436,#261437,#261438,#261439, #261440,#261441,#261442,#261443,#261444,#261445,#261446,#261447,#261448, #261449,#261450,#261451,#261452,#261453,#261454,#261455,#261456,#261457, #261458,#261459,#261460,#261461,#261462,#261463,#261464,#261465,#261466, #261467,#261468,#261469,#261470,#261471,#261472,#261473,#261474,#261475, #261476,#261477,#261478,#261479,#261480,#261481,#261482,#261483,#261484, #261485,#261486,#261487,#261488,#261489,#261490,#261491,#261492,#261493, #261494,#261495,#261496,#261497,#261498,#261499,#261500,#261501,#261502, #261503,#261504,#261505,#261506,#261507,#261508,#261509,#261510,#261511, #261512,#261513,#261514,#261515,#261516,#261517,#261518,#261519,#261520, #261521,#261522,#261523,#261524,#261525,#261526,#261527,#261528,#261529, #261530,#261531,#261532,#261533,#261534,#261535,#261536,#261537,#261538, #261539,#261540,#261541,#261542,#261543,#261544,#261545,#261546),(#261547, #261548,#261549,#261550,#261551,#261552,#261553,#261554,#261555,#261556, #261557,#261558,#261559,#261560,#261561,#261562,#261563,#261564,#261565, #261566,#261567,#261568,#261569,#261570,#261571,#261572,#261573,#261574, #261575,#261576,#261577,#261578,#261579,#261580,#261581,#261582,#261583, #261584,#261585,#261586,#261587,#261588,#261589,#261590,#261591,#261592, #261593,#261594,#261595,#261596,#261597,#261598,#261599,#261600,#261601, #261602,#261603,#261604,#261605,#261606,#261607,#261608,#261609,#261610, #261611,#261612,#261613,#261614,#261615,#261616,#261617,#261618,#261619, #261620,#261621,#261622,#261623,#261624,#261625,#261626,#261627,#261628, #261629,#261630,#261631,#261632,#261633,#261634,#261635,#261636,#261637, #261638,#261639,#261640,#261641,#261642,#261643,#261644,#261645,#261646, #261647,#261648,#261649,#261650,#261651,#261652,#261653,#261654,#261655, #261656,#261657,#261658,#261659,#261660),(#261661,#261662,#261663,#261664, #261665,#261666,#261667,#261668,#261669,#261670,#261671,#261672,#261673, #261674,#261675,#261676,#261677,#261678,#261679,#261680,#261681,#261682, #261683,#261684,#261685,#261686,#261687,#261688,#261689,#261690,#261691, #261692,#261693,#261694,#261695,#261696,#261697,#261698,#261699,#261700, #261701,#261702,#261703,#261704,#261705,#261706,#261707,#261708,#261709, #261710,#261711,#261712,#261713,#261714,#261715,#261716,#261717,#261718, #261719,#261720,#261721,#261722,#261723,#261724,#261725,#261726,#261727, #261728,#261729,#261730,#261731,#261732,#261733,#261734,#261735,#261736, #261737,#261738,#261739,#261740,#261741,#261742,#261743,#261744,#261745, #261746,#261747,#261748,#261749,#261750,#261751,#261752,#261753,#261754, #261755,#261756,#261757,#261758,#261759,#261760,#261761,#261762,#261763, #261764,#261765,#261766,#261767,#261768,#261769,#261770,#261771,#261772, #261773,#261774)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0329955678841871), (8.30276167405398,9.30201205017643,10.3012624262989,11.3005128024213,12.2997631785438, 13.2990135546662,14.2982639307887,15.2975143069111,16.2967646830336,17.296015059156, 18.2952654352785,19.2945158114009,20.2937661875234,21.2930165636458,22.2922669397683, 23.2915173158907,24.2907676920132,25.2900180681356,26.289268444258,27.2885188203805, 28.2877691965029,29.2870195726254,30.2862699487478,31.2855203248703,32.2847707009927, 33.2840210771152,34.2832714532376,35.2825218293601,36.2817722054825,37.281022581605, 38.2802729577274,39.2795233338499,40.2787737099723,41.2780240860948,42.2772744622172, 43.2765248383397,44.2757752144621,45.2750255905846,46.274275966707,47.2735263428295, 48.2727767189519,49.2720270950743,50.2712774711968,51.2705278473193,52.2697782234417, 53.2690285995641,54.2682789756866,55.267529351809,56.2667797279315,57.2660301040539, 58.2652804801764,59.2645308562988,60.2637812324213,61.2630316085437,62.2622819846662, 63.2615323607886,64.2607827369111,65.2600331130335,66.259283489156,67.2585338652784, 68.2577842414009,69.2570346175233,70.2562849936458,71.2555353697682,72.2547857458907, 73.2540361220131,74.2532864981356,75.252536874258,76.2517872503804,77.2510376265029, 78.2502880026253,79.2495383787478,80.2487887548702,81.2480391309927,82.2472895071151, 83.2465398832376,84.24579025936,85.2450406354825,86.2442910116049,87.2435413877274, 88.2427917638498,89.2420421399723,90.2412925160947,91.2405428922172,92.2397932683396, 93.2390436444621,94.2382940205845,95.237544396707,96.2367947728294,97.2360451489519, 98.2352955250743,99.2345459011968,100.233796277319,101.233046653442,102.232297029564, 103.231547405687,104.230797781809,105.230048157931,106.229298534054,107.228548910176, 108.227799286299,109.227049662421,110.226300038544,111.225550414666,112.224800790789, 113.224051166911,114.223301543033,115.222551919156,116.221802295278,117.221052671401, 118.220303047523,119.219553423646),.UNSPECIFIED.); #260=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#262066,#262067,#262068,#262069, #262070,#262071,#262072,#262073,#262074,#262075,#262076,#262077,#262078, #262079,#262080,#262081,#262082,#262083,#262084,#262085,#262086,#262087, #262088,#262089,#262090,#262091,#262092,#262093,#262094,#262095,#262096, #262097,#262098,#262099,#262100,#262101,#262102,#262103,#262104,#262105, #262106,#262107,#262108,#262109,#262110,#262111,#262112,#262113,#262114, #262115,#262116,#262117,#262118,#262119,#262120,#262121,#262122,#262123, #262124,#262125,#262126,#262127,#262128,#262129,#262130,#262131,#262132, #262133,#262134,#262135,#262136,#262137,#262138,#262139,#262140,#262141, #262142,#262143,#262144,#262145,#262146,#262147,#262148,#262149,#262150, #262151,#262152,#262153,#262154,#262155,#262156,#262157,#262158,#262159, #262160,#262161,#262162,#262163,#262164,#262165,#262166,#262167,#262168, #262169,#262170,#262171,#262172,#262173,#262174,#262175,#262176,#262177, #262178,#262179),(#262180,#262181,#262182,#262183,#262184,#262185,#262186, #262187,#262188,#262189,#262190,#262191,#262192,#262193,#262194,#262195, #262196,#262197,#262198,#262199,#262200,#262201,#262202,#262203,#262204, #262205,#262206,#262207,#262208,#262209,#262210,#262211,#262212,#262213, #262214,#262215,#262216,#262217,#262218,#262219,#262220,#262221,#262222, #262223,#262224,#262225,#262226,#262227,#262228,#262229,#262230,#262231, #262232,#262233,#262234,#262235,#262236,#262237,#262238,#262239,#262240, #262241,#262242,#262243,#262244,#262245,#262246,#262247,#262248,#262249, #262250,#262251,#262252,#262253,#262254,#262255,#262256,#262257,#262258, #262259,#262260,#262261,#262262,#262263,#262264,#262265,#262266,#262267, #262268,#262269,#262270,#262271,#262272,#262273,#262274,#262275,#262276, #262277,#262278,#262279,#262280,#262281,#262282,#262283,#262284,#262285, #262286,#262287,#262288,#262289,#262290,#262291,#262292,#262293),(#262294, #262295,#262296,#262297,#262298,#262299,#262300,#262301,#262302,#262303, #262304,#262305,#262306,#262307,#262308,#262309,#262310,#262311,#262312, #262313,#262314,#262315,#262316,#262317,#262318,#262319,#262320,#262321, #262322,#262323,#262324,#262325,#262326,#262327,#262328,#262329,#262330, #262331,#262332,#262333,#262334,#262335,#262336,#262337,#262338,#262339, #262340,#262341,#262342,#262343,#262344,#262345,#262346,#262347,#262348, #262349,#262350,#262351,#262352,#262353,#262354,#262355,#262356,#262357, #262358,#262359,#262360,#262361,#262362,#262363,#262364,#262365,#262366, #262367,#262368,#262369,#262370,#262371,#262372,#262373,#262374,#262375, #262376,#262377,#262378,#262379,#262380,#262381,#262382,#262383,#262384, #262385,#262386,#262387,#262388,#262389,#262390,#262391,#262392,#262393, #262394,#262395,#262396,#262397,#262398,#262399,#262400,#262401,#262402, #262403,#262404,#262405,#262406,#262407),(#262408,#262409,#262410,#262411, #262412,#262413,#262414,#262415,#262416,#262417,#262418,#262419,#262420, #262421,#262422,#262423,#262424,#262425,#262426,#262427,#262428,#262429, #262430,#262431,#262432,#262433,#262434,#262435,#262436,#262437,#262438, #262439,#262440,#262441,#262442,#262443,#262444,#262445,#262446,#262447, #262448,#262449,#262450,#262451,#262452,#262453,#262454,#262455,#262456, #262457,#262458,#262459,#262460,#262461,#262462,#262463,#262464,#262465, #262466,#262467,#262468,#262469,#262470,#262471,#262472,#262473,#262474, #262475,#262476,#262477,#262478,#262479,#262480,#262481,#262482,#262483, #262484,#262485,#262486,#262487,#262488,#262489,#262490,#262491,#262492, #262493,#262494,#262495,#262496,#262497,#262498,#262499,#262500,#262501, #262502,#262503,#262504,#262505,#262506,#262507,#262508,#262509,#262510, #262511,#262512,#262513,#262514,#262515,#262516,#262517,#262518,#262519, #262520,#262521)),.UNSPECIFIED.,.F.,.F.,.F.,(4,4),(4,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1, 1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,1,4),(0.,0.0329955678841871), (11.6152142277546,12.6144646038771,13.6137149799995,14.612965356122,15.6122157322444, 16.6114661083669,17.6107164844893,18.6099668606118,19.6092172367342,20.6084676128567, 21.6077179889791,22.6069683651016,23.606218741224,24.6054691173465,25.6047194934689, 26.6039698695913,27.6032202457138,28.6024706218362,29.6017209979587,30.6009713740811, 31.6002217502036,32.599472126326,33.5987225024485,34.5979728785709,35.5972232546934, 36.5964736308158,37.5957240069383,38.5949743830607,39.5942247591832,40.5934751353056, 41.5927255114281,42.5919758875505,43.591226263673,44.5904766397954,45.5897270159179, 46.5889773920403,47.5882277681628,48.5874781442852,49.5867285204077,50.5859788965301, 51.5852292726525,52.584479648775,53.5837300248974,54.5829804010199,55.5822307771423, 56.5814811532648,57.5807315293872,58.5799819055097,59.5792322816321,60.5784826577546, 61.577733033877,62.5769834099995,63.5762337861219,64.5754841622444,65.5747345383668, 66.5739849144893,67.5732352906117,68.5724856667342,69.5717360428566,70.5709864189791, 71.5702367951015,72.569487171224,73.5687375473464,74.5679879234688,75.5672382995913, 76.5664886757137,77.5657390518362,78.5649894279586,79.5642398040811,80.5634901802035, 81.562740556326,82.5619909324485,83.5612413085709,84.5604916846933,85.5597420608158, 86.5589924369382,87.5582428130607,88.5574931891831,89.5567435653056,90.555993941428, 91.5552443175505,92.5544946936729,93.5537450697954,94.5529954459178,95.5522458220403, 96.5514961981627,97.5507465742852,98.5499969504076,99.5492473265301,100.548497702652, 101.547748078775,102.546998454897,103.54624883102,104.545499207142,105.544749583265, 106.543999959387,107.54325033551,108.542500711632,109.541751087755,110.541001463877, 111.540251839999,112.539502216122,113.538752592244,114.538002968367,115.537253344489, 116.536503720612,117.535754096734,118.535004472857,119.534254848979,120.533505225101, 121.532755601224,122.532005977346),.UNSPECIFIED.); #261=ITEM_DEFINED_TRANSFORMATION($,$,#165653,#167302); #262=ITEM_DEFINED_TRANSFORMATION($,$,#166054,#167303); #263=ITEM_DEFINED_TRANSFORMATION($,$,#165652,#168447); #264=ITEM_DEFINED_TRANSFORMATION($,$,#167304,#168448); #265=ITEM_DEFINED_TRANSFORMATION($,$,#168180,#168449); #266=ITEM_DEFINED_TRANSFORMATION($,$,#168312,#168450); #267=ITEM_DEFINED_TRANSFORMATION($,$,#168318,#168451); #268=ITEM_DEFINED_TRANSFORMATION($,$,#155828,#168538); #269=ITEM_DEFINED_TRANSFORMATION($,$,#156065,#168539); #270=ITEM_DEFINED_TRANSFORMATION($,$,#162507,#168540); #271=ITEM_DEFINED_TRANSFORMATION($,$,#162507,#168541); #272=ITEM_DEFINED_TRANSFORMATION($,$,#162507,#168542); #273=ITEM_DEFINED_TRANSFORMATION($,$,#162515,#168543); #274=ITEM_DEFINED_TRANSFORMATION($,$,#162515,#168544); #275=ITEM_DEFINED_TRANSFORMATION($,$,#162623,#168545); #276=ITEM_DEFINED_TRANSFORMATION($,$,#168452,#168546); #277=ITEM_DEFINED_TRANSFORMATION($,$,#165651,#168547); #278=ITEM_DEFINED_TRANSFORMATION($,$,#168452,#168548); #279=ITEM_DEFINED_TRANSFORMATION($,$,#168452,#168549); #280=( REPRESENTATION_RELATIONSHIP($,$,#263632,#263631) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#261) SHAPE_REPRESENTATION_RELATIONSHIP() ); #281=( REPRESENTATION_RELATIONSHIP($,$,#263633,#263631) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#262) SHAPE_REPRESENTATION_RELATIONSHIP() ); #282=( REPRESENTATION_RELATIONSHIP($,$,#263631,#263630) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#263) SHAPE_REPRESENTATION_RELATIONSHIP() ); #283=( REPRESENTATION_RELATIONSHIP($,$,#263634,#263630) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#264) SHAPE_REPRESENTATION_RELATIONSHIP() ); #284=( REPRESENTATION_RELATIONSHIP($,$,#263635,#263630) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#265) SHAPE_REPRESENTATION_RELATIONSHIP() ); #285=( REPRESENTATION_RELATIONSHIP($,$,#263636,#263630) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#266) SHAPE_REPRESENTATION_RELATIONSHIP() ); #286=( REPRESENTATION_RELATIONSHIP($,$,#263637,#263630) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#267) SHAPE_REPRESENTATION_RELATIONSHIP() ); #287=( REPRESENTATION_RELATIONSHIP($,$,#263625,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#268) SHAPE_REPRESENTATION_RELATIONSHIP() ); #288=( REPRESENTATION_RELATIONSHIP($,$,#263626,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#269) SHAPE_REPRESENTATION_RELATIONSHIP() ); #289=( REPRESENTATION_RELATIONSHIP($,$,#263627,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#270) SHAPE_REPRESENTATION_RELATIONSHIP() ); #290=( REPRESENTATION_RELATIONSHIP($,$,#263627,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#271) SHAPE_REPRESENTATION_RELATIONSHIP() ); #291=( REPRESENTATION_RELATIONSHIP($,$,#263627,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#272) SHAPE_REPRESENTATION_RELATIONSHIP() ); #292=( REPRESENTATION_RELATIONSHIP($,$,#263628,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#273) SHAPE_REPRESENTATION_RELATIONSHIP() ); #293=( REPRESENTATION_RELATIONSHIP($,$,#263628,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#274) SHAPE_REPRESENTATION_RELATIONSHIP() ); #294=( REPRESENTATION_RELATIONSHIP($,$,#263629,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#275) SHAPE_REPRESENTATION_RELATIONSHIP() ); #295=( REPRESENTATION_RELATIONSHIP($,$,#263638,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#276) SHAPE_REPRESENTATION_RELATIONSHIP() ); #296=( REPRESENTATION_RELATIONSHIP($,$,#263630,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#277) SHAPE_REPRESENTATION_RELATIONSHIP() ); #297=( REPRESENTATION_RELATIONSHIP($,$,#263638,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#278) SHAPE_REPRESENTATION_RELATIONSHIP() ); #298=( REPRESENTATION_RELATIONSHIP($,$,#263638,#263624) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#279) SHAPE_REPRESENTATION_RELATIONSHIP() ); #299=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#280,#263600); #300=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#281,#263601); #301=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#282,#263606); #302=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#283,#263607); #303=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#284,#263608); #304=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#285,#263609); #305=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#286,#263610); #306=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#287,#263612); #307=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#288,#263613); #308=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#289,#263614); #309=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#290,#263615); #310=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#291,#263616); #311=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#292,#263617); #312=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#293,#263618); #313=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#294,#263619); #314=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#295,#263620); #315=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#296,#263621); #316=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#297,#263622); #317=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#298,#263623); #318=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PCB_MC1a:1','PCB_MC1a:1', 'PCB_MC1a:1',#263647,#263648,'PCB_MC1a:1'); #319=NEXT_ASSEMBLY_USAGE_OCCURRENCE('MC_shield_3D:1','MC_shield_3D:1', 'MC_shield_3D:1',#263647,#263649,'MC_shield_3D:1'); #320=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TT-MC1a v2:1','TT-MC1a v2:1', 'TT-MC1a v2:1',#263646,#263647,'TT-MC1a v2:1'); #321=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Bosch BMP388 v2:1', 'Bosch BMP388 v2:1','Bosch BMP388 v2:1',#263646,#263650, 'Bosch BMP388 v2:1'); #322=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PXMBNI05RPM04APC v5:1', 'PXMBNI05RPM04APC v5:1','PXMBNI05RPM04APC v5:1',#263646,#263651, 'PXMBNI05RPM04APC v5:1'); #323=NEXT_ASSEMBLY_USAGE_OCCURRENCE('ring v3:1','ring v3:1','ring v3:1', #263646,#263652,'ring v3:1'); #324=NEXT_ASSEMBLY_USAGE_OCCURRENCE('nut v3:1','nut v3:1','nut v3:1',#263646, #263653,'nut v3:1'); #325=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TR-1F_case_bottom v4:1', 'TR-1F_case_bottom v4:1','TR-1F_case_bottom v4:1',#263640,#263641, 'TR-1F_case_bottom v4:1'); #326=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TR-1F_case_top v3:1', 'TR-1F_case_top v3:1','TR-1F_case_top v3:1',#263640,#263642, 'TR-1F_case_top v3:1'); #327=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED v3:1','LED v3:1','LED v3:1',#263640, #263643,'LED v3:1'); #328=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED v3:2','LED v3:2','LED v3:2',#263640, #263643,'LED v3:2'); #329=NEXT_ASSEMBLY_USAGE_OCCURRENCE('LED v3:3','LED v3:3','LED v3:3',#263640, #263643,'LED v3:3'); #330=NEXT_ASSEMBLY_USAGE_OCCURRENCE('M1.6x6mm Hex v1:1', 'M1.6x6mm Hex v1:1','M1.6x6mm Hex v1:1',#263640,#263644, 'M1.6x6mm Hex v1:1'); #331=NEXT_ASSEMBLY_USAGE_OCCURRENCE('M1.6x6mm Hex v1:2', 'M1.6x6mm Hex v1:2','M1.6x6mm Hex v1:2',#263640,#263644, 'M1.6x6mm Hex v1:2'); #332=NEXT_ASSEMBLY_USAGE_OCCURRENCE('TR-1F label v2:1', 'TR-1F label v2:1','TR-1F label v2:1',#263640,#263645, 'TR-1F label v2:1'); #333=NEXT_ASSEMBLY_USAGE_OCCURRENCE('MOLEX_0734152063 v3:1', 'MOLEX_0734152063 v3:1','MOLEX_0734152063 v3:1',#263640,#263654, 'MOLEX_0734152063 v3:1'); #334=NEXT_ASSEMBLY_USAGE_OCCURRENCE('PCB2 v7:1','PCB2 v7:1','PCB2 v7:1', #263640,#263646,'PCB2 v7:1'); #335=NEXT_ASSEMBLY_USAGE_OCCURRENCE('MOLEX_0734152063 v3:2', 'MOLEX_0734152063 v3:2','MOLEX_0734152063 v3:2',#263640,#263654, 'MOLEX_0734152063 v3:2'); #336=NEXT_ASSEMBLY_USAGE_OCCURRENCE('MOLEX_0734152063 v3:3', 'MOLEX_0734152063 v3:3','MOLEX_0734152063 v3:3',#263640,#263654, 'MOLEX_0734152063 v3:3'); #337=( BOUNDED_SURFACE() B_SPLINE_SURFACE(1,2,((#241304,#241305,#241306,#241307,#241308,#241309, #241310,#241311,#241312,#241313,#241314,#241315,#241316,#241317,#241318, #241319,#241320,#241321,#241322,#241323,#241324,#241325,#241326,#241327, #241328,#241329,#241330,#241331,#241332,#241333,#241334,#241335,#241336, #241337,#241338,#241339,#241340,#241341,#241342,#241343,#241344,#241345, #241346,#241347,#241348,#241349,#241350,#241351,#241352,#241353,#241354, #241355,#241356,#241357,#241358,#241359,#241360,#241361,#241362,#241363, #241364,#241365,#241366,#241367,#241368,#241369,#241370,#241371,#241372, #241373,#241374,#241375,#241376,#241377,#241378,#241379,#241380,#241381, #241382,#241383,#241384,#241385,#241386,#241387,#241388,#241389,#241390, #241391,#241392,#241393,#241394,#241395,#241396,#241397,#241398,#241399, #241400,#241401,#241402,#241403,#241404,#241405,#241406,#241407,#241408, #241409,#241410,#241411,#241412,#241413,#241414,#241415,#241416,#241417, #241418,#241419,#241420,#241421,#241422,#241423,#241424,#241425,#241426, #241427,#241428,#241429,#241430,#241431,#241432,#241433,#241434,#241435, #241436,#241437,#241438,#241439,#241440,#241441,#241442,#241443,#241444, #241445,#241446,#241447,#241448,#241449,#241450,#241451,#241452,#241453, #241454,#241455,#241456,#241457,#241458,#241459,#241460,#241461,#241462, #241463,#241464,#241465,#241466,#241467,#241468,#241469,#241470,#241471, #241472,#241473,#241474,#241475,#241476,#241477,#241478,#241479,#241480, #241481,#241482,#241483,#241484,#241485,#241486,#241487,#241488,#241489, #241490,#241491,#241492,#241493,#241494,#241495,#241496,#241497,#241498, #241499,#241500,#241501,#241502,#241503,#241504,#241505,#241506,#241507, #241508,#241509,#241510,#241511,#241512,#241513,#241514,#241515,#241516, #241517,#241518,#241519,#241520,#241521,#241522),(#241523,#241524,#241525, #241526,#241527,#241528,#241529,#241530,#241531,#241532,#241533,#241534, #241535,#241536,#241537,#241538,#241539,#241540,#241541,#241542,#241543, #241544,#241545,#241546,#241547,#241548,#241549,#241550,#241551,#241552, #241553,#241554,#241555,#241556,#241557,#241558,#241559,#241560,#241561, #241562,#241563,#241564,#241565,#241566,#241567,#241568,#241569,#241570, #241571,#241572,#241573,#241574,#241575,#241576,#241577,#241578,#241579, #241580,#241581,#241582,#241583,#241584,#241585,#241586,#241587,#241588, #241589,#241590,#241591,#241592,#241593,#241594,#241595,#241596,#241597, #241598,#241599,#241600,#241601,#241602,#241603,#241604,#241605,#241606, #241607,#241608,#241609,#241610,#241611,#241612,#241613,#241614,#241615, #241616,#241617,#241618,#241619,#241620,#241621,#241622,#241623,#241624, #241625,#241626,#241627,#241628,#241629,#241630,#241631,#241632,#241633, #241634,#241635,#241636,#241637,#241638,#241639,#241640,#241641,#241642, #241643,#241644,#241645,#241646,#241647,#241648,#241649,#241650,#241651, #241652,#241653,#241654,#241655,#241656,#241657,#241658,#241659,#241660, #241661,#241662,#241663,#241664,#241665,#241666,#241667,#241668,#241669, #241670,#241671,#241672,#241673,#241674,#241675,#241676,#241677,#241678, #241679,#241680,#241681,#241682,#241683,#241684,#241685,#241686,#241687, #241688,#241689,#241690,#241691,#241692,#241693,#241694,#241695,#241696, #241697,#241698,#241699,#241700,#241701,#241702,#241703,#241704,#241705, #241706,#241707,#241708,#241709,#241710,#241711,#241712,#241713,#241714, #241715,#241716,#241717,#241718,#241719,#241720,#241721,#241722,#241723, #241724,#241725,#241726,#241727,#241728,#241729,#241730,#241731,#241732, #241733,#241734,#241735,#241736,#241737,#241738,#241739,#241740,#241741)), .UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((2,2),(3,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,3),(0.,1.),(0.,0.0087719298245614, 0.0175438596491228,0.0263157894736842,0.0350877192982456,0.043859649122807, 0.0526315789473684,0.0614035087719298,0.0701754385964912,0.0789473684210526, 0.087719298245614,0.0964912280701754,0.105263157894737,0.114035087719298, 0.12280701754386,0.131578947368421,0.140350877192982,0.149122807017544, 0.157894736842105,0.166666666666667,0.175438596491228,0.184210526315789, 0.192982456140351,0.201754385964912,0.210526315789474,0.219298245614035, 0.228070175438596,0.236842105263158,0.245614035087719,0.254385964912281, 0.263157894736842,0.271929824561404,0.280701754385965,0.289473684210526, 0.298245614035088,0.307017543859649,0.315789473684211,0.324561403508772, 0.333333333333333,0.342105263157895,0.350877192982456,0.359649122807018, 0.368421052631579,0.37719298245614,0.385964912280702,0.394736842105263, 0.403508771929825,0.412280701754386,0.421052631578947,0.429824561403509, 0.43859649122807,0.447368421052632,0.456140350877193,0.464912280701754, 0.473684210526316,0.482456140350877,0.491228070175439,0.5,0.508771929824561, 0.517543859649123,0.526315789473684,0.535087719298246,0.543859649122807, 0.552631578947368,0.56140350877193,0.570175438596491,0.578947368421053, 0.587719298245614,0.596491228070175,0.605263157894737,0.614035087719298, 0.62280701754386,0.631578947368421,0.640350877192982,0.649122807017544, 0.657894736842105,0.666666666666667,0.675438596491228,0.684210526315789, 0.692982456140351,0.701754385964912,0.710526315789474,0.719298245614035, 0.728070175438597,0.736842105263158,0.745614035087719,0.754385964912281, 0.763157894736842,0.771929824561403,0.780701754385965,0.789473684210526, 0.798245614035088,0.807017543859649,0.815789473684211,0.824561403508772, 0.833333333333333,0.842105263157895,0.850877192982456,0.859649122807018, 0.868421052631579,0.87719298245614,0.885964912280702,0.894736842105263, 0.903508771929825,0.912280701754386,0.921052631578947,0.929824561403509, 0.93859649122807,0.947368421052632,0.954851255052611),.UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.866025403784439,1.,0.866025403784439,1., 0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.885713898338738,0.966409732087276), (1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.885713898338738,0.966409732087276))) REPRESENTATION_ITEM('') SURFACE() ); #338=( BOUNDED_SURFACE() B_SPLINE_SURFACE(1,2,((#241746,#241747,#241748,#241749,#241750,#241751, #241752,#241753,#241754,#241755,#241756,#241757,#241758,#241759,#241760, #241761,#241762,#241763,#241764,#241765,#241766,#241767,#241768,#241769, #241770,#241771,#241772,#241773,#241774,#241775,#241776,#241777,#241778, #241779,#241780,#241781,#241782,#241783,#241784,#241785,#241786,#241787, #241788,#241789,#241790,#241791,#241792,#241793,#241794,#241795,#241796, #241797,#241798,#241799,#241800,#241801,#241802,#241803,#241804,#241805, #241806,#241807,#241808,#241809,#241810,#241811,#241812,#241813,#241814, #241815,#241816,#241817,#241818,#241819,#241820,#241821,#241822,#241823, #241824,#241825,#241826,#241827,#241828,#241829,#241830,#241831,#241832, #241833,#241834,#241835,#241836,#241837,#241838,#241839,#241840,#241841, #241842,#241843,#241844,#241845,#241846,#241847,#241848,#241849,#241850, #241851,#241852,#241853,#241854,#241855,#241856,#241857,#241858,#241859, #241860,#241861,#241862,#241863,#241864,#241865,#241866,#241867,#241868, #241869,#241870,#241871,#241872,#241873,#241874,#241875,#241876,#241877, #241878,#241879,#241880,#241881,#241882,#241883,#241884,#241885,#241886, #241887,#241888,#241889,#241890,#241891,#241892,#241893,#241894,#241895, #241896,#241897,#241898,#241899,#241900,#241901,#241902,#241903,#241904, #241905,#241906,#241907,#241908,#241909,#241910,#241911,#241912,#241913, #241914,#241915,#241916,#241917,#241918,#241919,#241920,#241921,#241922, #241923,#241924,#241925,#241926,#241927,#241928,#241929,#241930,#241931, #241932,#241933,#241934,#241935,#241936,#241937,#241938,#241939,#241940, #241941,#241942,#241943,#241944,#241945,#241946,#241947,#241948,#241949, #241950,#241951,#241952,#241953,#241954,#241955,#241956,#241957,#241958, #241959,#241960,#241961,#241962,#241963,#241964,#241965,#241966),(#241967, #241968,#241969,#241970,#241971,#241972,#241973,#241974,#241975,#241976, #241977,#241978,#241979,#241980,#241981,#241982,#241983,#241984,#241985, #241986,#241987,#241988,#241989,#241990,#241991,#241992,#241993,#241994, #241995,#241996,#241997,#241998,#241999,#242000,#242001,#242002,#242003, #242004,#242005,#242006,#242007,#242008,#242009,#242010,#242011,#242012, #242013,#242014,#242015,#242016,#242017,#242018,#242019,#242020,#242021, #242022,#242023,#242024,#242025,#242026,#242027,#242028,#242029,#242030, #242031,#242032,#242033,#242034,#242035,#242036,#242037,#242038,#242039, #242040,#242041,#242042,#242043,#242044,#242045,#242046,#242047,#242048, #242049,#242050,#242051,#242052,#242053,#242054,#242055,#242056,#242057, #242058,#242059,#242060,#242061,#242062,#242063,#242064,#242065,#242066, #242067,#242068,#242069,#242070,#242071,#242072,#242073,#242074,#242075, #242076,#242077,#242078,#242079,#242080,#242081,#242082,#242083,#242084, #242085,#242086,#242087,#242088,#242089,#242090,#242091,#242092,#242093, #242094,#242095,#242096,#242097,#242098,#242099,#242100,#242101,#242102, #242103,#242104,#242105,#242106,#242107,#242108,#242109,#242110,#242111, #242112,#242113,#242114,#242115,#242116,#242117,#242118,#242119,#242120, #242121,#242122,#242123,#242124,#242125,#242126,#242127,#242128,#242129, #242130,#242131,#242132,#242133,#242134,#242135,#242136,#242137,#242138, #242139,#242140,#242141,#242142,#242143,#242144,#242145,#242146,#242147, #242148,#242149,#242150,#242151,#242152,#242153,#242154,#242155,#242156, #242157,#242158,#242159,#242160,#242161,#242162,#242163,#242164,#242165, #242166,#242167,#242168,#242169,#242170,#242171,#242172,#242173,#242174, #242175,#242176,#242177,#242178,#242179,#242180,#242181,#242182,#242183, #242184,#242185,#242186,#242187)),.UNSPECIFIED.,.F.,.F.,.F.) B_SPLINE_SURFACE_WITH_KNOTS((2,2),(3,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,3),(0.,1.),(0.,0.0087719298245614, 0.0175438596491228,0.0263157894736842,0.0350877192982456,0.043859649122807, 0.0526315789473684,0.0614035087719298,0.0701754385964912,0.0789473684210526, 0.087719298245614,0.0964912280701754,0.105263157894737,0.114035087719298, 0.12280701754386,0.131578947368421,0.140350877192982,0.149122807017544, 0.157894736842105,0.166666666666667,0.175438596491228,0.184210526315789, 0.192982456140351,0.201754385964912,0.210526315789474,0.219298245614035, 0.228070175438596,0.236842105263158,0.245614035087719,0.254385964912281, 0.263157894736842,0.271929824561404,0.280701754385965,0.289473684210526, 0.298245614035088,0.307017543859649,0.315789473684211,0.324561403508772, 0.333333333333333,0.342105263157895,0.350877192982456,0.359649122807018, 0.368421052631579,0.37719298245614,0.385964912280702,0.394736842105263, 0.403508771929825,0.412280701754386,0.421052631578947,0.429824561403509, 0.43859649122807,0.447368421052632,0.456140350877193,0.464912280701754, 0.473684210526316,0.482456140350877,0.491228070175439,0.5,0.508771929824561, 0.517543859649123,0.526315789473684,0.535087719298246,0.543859649122807, 0.552631578947368,0.56140350877193,0.570175438596491,0.578947368421053, 0.587719298245614,0.596491228070175,0.605263157894737,0.614035087719298, 0.62280701754386,0.631578947368421,0.640350877192982,0.649122807017544, 0.657894736842105,0.666666666666667,0.675438596491228,0.684210526315789, 0.692982456140351,0.701754385964912,0.710526315789474,0.719298245614035, 0.728070175438597,0.736842105263158,0.745614035087719,0.754385964912281, 0.763157894736842,0.771929824561403,0.780701754385965,0.789473684210526, 0.798245614035088,0.807017543859649,0.815789473684211,0.824561403508772, 0.833333333333333,0.842105263157895,0.850877192982456,0.859649122807018, 0.868421052631579,0.87719298245614,0.885964912280702,0.894736842105263, 0.903508771929825,0.912280701754386,0.921052631578947,0.929824561403509, 0.93859649122807,0.947368421052632,0.954851000579943,0.964399510585743), .UNSPECIFIED.) GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_SURFACE(((1.,0.866025403784439,1.,0.866025403784439,1., 0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.885717784926242,0.966404243781544, 0.966404243781544,0.966404243781544),(1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.885717784926242,0.966404243781544, 0.966404243781544,0.966404243781544))) REPRESENTATION_ITEM('') SURFACE() ); #339=TOROIDAL_SURFACE('',#162561,0.8875,0.0875); #340=TOROIDAL_SURFACE('',#162573,1.37585024355826,0.0281249999999999); #341=TOROIDAL_SURFACE('',#162579,1.471875,0.0281249999999998); #342=TOROIDAL_SURFACE('',#162584,1.471875,0.028125); #343=TOROIDAL_SURFACE('',#162591,1.37585024355826,0.0281249999999998); #344=TOROIDAL_SURFACE('',#167305,0.13,0.005); #345=TOROIDAL_SURFACE('',#167319,0.11,0.01); #346=TOROIDAL_SURFACE('',#167331,0.11,0.01); #347=TOROIDAL_SURFACE('',#167343,0.11,0.01); #348=TOROIDAL_SURFACE('',#167355,0.11,0.01); #349=TOROIDAL_SURFACE('',#167368,0.28,0.04); #350=TOROIDAL_SURFACE('',#167382,0.13,0.01); #351=TOROIDAL_SURFACE('',#167388,0.13,0.01); #352=TOROIDAL_SURFACE('',#167393,0.13,0.01); #353=TOROIDAL_SURFACE('',#167398,0.13,0.01); #354=TOROIDAL_SURFACE('',#167406,0.28,0.04); #355=TOROIDAL_SURFACE('',#167412,0.28,0.04); #356=TOROIDAL_SURFACE('',#167415,0.28,0.04); #357=TOROIDAL_SURFACE('',#167557,0.02,0.01); #358=TOROIDAL_SURFACE('',#167563,0.02,0.01); #359=TOROIDAL_SURFACE('',#167600,0.02,0.01); #360=TOROIDAL_SURFACE('',#167606,0.02,0.01); #361=TOROIDAL_SURFACE('',#167643,0.02,0.01); #362=TOROIDAL_SURFACE('',#167648,0.02,0.01); #363=TOROIDAL_SURFACE('',#167724,0.02,0.01); #364=TOROIDAL_SURFACE('',#167733,0.02,0.01); #365=TOROIDAL_SURFACE('',#167766,0.02,0.01); #366=TOROIDAL_SURFACE('',#167771,0.02,0.01); #367=TOROIDAL_SURFACE('',#167809,0.02,0.01); #368=TOROIDAL_SURFACE('',#167818,0.02,0.00999999999999973); #369=TOROIDAL_SURFACE('',#167851,0.02,0.00999999999999973); #370=TOROIDAL_SURFACE('',#167856,0.02,0.01); #371=TOROIDAL_SURFACE('',#167896,0.02,0.01); #372=TOROIDAL_SURFACE('',#167905,0.02,0.01); #373=TOROIDAL_SURFACE('',#167938,0.02,0.01); #374=TOROIDAL_SURFACE('',#167944,0.02,0.01); #375=TOROIDAL_SURFACE('',#167981,0.02,0.01); #376=TOROIDAL_SURFACE('',#167986,0.02,0.01); #377=TOROIDAL_SURFACE('',#168313,2.875,0.45); #378=TOROIDAL_SURFACE('',#168459,1.375,0.15); #379=TOROIDAL_SURFACE('',#168465,1.375,0.15); #380=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#238891,#238892,#238893,#238894,#238895,#238896,#238897, #238898,#238899,#238900,#238901,#238902,#238903),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.854362885703346,0.863549583399081, 0.872736281094816,0.881922978790551,0.891109676486286,0.900296374182021, 0.902349723860965),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.970054887704244,0.95349604080111)) REPRESENTATION_ITEM('') ); #381=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#238944,#238945,#238946,#238947,#238948,#238949,#238950, #238951,#238952,#238953,#238954,#238955,#238956),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.799242699528937,0.808429397224672, 0.817616094920407,0.826802792616142,0.835989490311877,0.845176188007611, 0.854362885703346),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #382=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#238997,#238998,#238999,#239000,#239001,#239002,#239003, #239004,#239005,#239006,#239007,#239008,#239009),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.744122513354527,0.753309211050262, 0.762495908745997,0.771682606441732,0.780869304137467,0.790056001833202, 0.799242699528937),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #383=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239050,#239051,#239052,#239053,#239054,#239055,#239056, #239057,#239058,#239059,#239060,#239061,#239062),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.689002327180118,0.698189024875853, 0.707375722571588,0.716562420267323,0.725749117963058,0.734935815658793, 0.744122513354527),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #384=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239103,#239104,#239105,#239106,#239107,#239108,#239109, #239110,#239111,#239112,#239113,#239114,#239115),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.633882141005709,0.643068838701444, 0.652255536397178,0.661442234092913,0.670628931788648,0.679815629484383, 0.689002327180118),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #385=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239156,#239157,#239158,#239159,#239160,#239161,#239162, #239163,#239164,#239165,#239166,#239167,#239168),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.578761954831299,0.587948652527034, 0.597135350222769,0.606322047918504,0.615508745614239,0.624695443309974, 0.633882141005709),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #386=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239209,#239210,#239211,#239212,#239213,#239214,#239215, #239216,#239217,#239218,#239219,#239220,#239221),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.52364176865689,0.532828466352625, 0.54201516404836,0.551201861744094,0.560388559439829,0.569575257135564, 0.578761954831299),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #387=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239262,#239263,#239264,#239265,#239266,#239267,#239268, #239269,#239270,#239271,#239272,#239273,#239274),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.46852158248248,0.477708280178215, 0.48689497787395,0.496081675569685,0.50526837326542,0.514455070961155,0.52364176865689), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #388=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239317,#239318,#239319,#239320,#239321,#239322,#239323, #239324,#239325,#239326,#239327,#239328,#239329),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.413401396308071,0.422588094003806, 0.431774791699541,0.440961489395276,0.45014818709101,0.459334884786745, 0.46852158248248),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #389=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239370,#239371,#239372,#239373,#239374,#239375,#239376, #239377,#239378,#239379,#239380,#239381,#239382),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.358281210133661,0.367467907829396, 0.376654605525131,0.385841303220866,0.395028000916601,0.404214698612336, 0.413401396308071),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #390=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239423,#239424,#239425,#239426,#239427,#239428,#239429, #239430,#239431,#239432,#239433,#239434,#239435),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.303161023959252,0.312347721654987, 0.321534419350722,0.330721117046457,0.339907814742192,0.349094512437926, 0.358281210133661),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #391=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239476,#239477,#239478,#239479,#239480,#239481,#239482, #239483,#239484,#239485,#239486,#239487,#239488),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.248040837784842,0.257227535480577, 0.266414233176312,0.275600930872047,0.284787628567782,0.293974326263517, 0.303161023959252),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #392=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239529,#239530,#239531,#239532,#239533,#239534,#239535, #239536,#239537,#239538,#239539,#239540,#239541),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.192920651610433,0.202107349306168, 0.211294047001903,0.220480744697638,0.229667442393373,0.238854140089108, 0.248040837784842),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #393=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239582,#239583,#239584,#239585,#239586,#239587,#239588, #239589,#239590,#239591,#239592,#239593,#239594),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.137800465436024,0.146987163131759, 0.156173860827493,0.165360558523228,0.174547256218963,0.183733953914698, 0.192920651610433),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #394=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239635,#239636,#239637,#239638,#239639,#239640,#239641, #239642,#239643,#239644,#239645,#239646,#239647),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.0826802792616142,0.0918669769573491, 0.101053674653084,0.110240372348819,0.119427070044554,0.128613767740289, 0.137800465436024),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #395=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#239688,#239689,#239690,#239691,#239692,#239693,#239694, #239695,#239696,#239697,#239698,#239699,#239700),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,2,2,2,2,2,3),(0.0275600930872047,0.0367467907829396, 0.0459334884786745,0.0551201861744094,0.0643068838701444,0.0734935815658793, 0.0826802792616142),.UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439, 1.,0.866025403784439,1.,0.866025403784439,1.,0.866025403784439,1.)) REPRESENTATION_ITEM('') ); #396=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241087,#241088,#241089),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.28041936618337,-0.12775037838187), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.63992841081502,1.84810546089756,1.63992841081505)) REPRESENTATION_ITEM('') ); #397=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241106,#241107,#241108),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.127750378381859,0.280419366183368), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.63992841081501,1.84810546089757,1.63992841081502)) REPRESENTATION_ITEM('') ); #398=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241125,#241126,#241127),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.12775037838186,0.280419366183368), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.63992841081501,1.84810546089757,1.63992841081502)) REPRESENTATION_ITEM('') ); #399=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241144,#241145,#241146),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.127750378381859,0.204084872282615), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.63992841081501,1.74401693585629,1.74401693585629)) REPRESENTATION_ITEM('') ); #400=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241151,#241152,#241153),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.204084872282615,0.280419366183359), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.74401693585629,1.74401693585629,1.63992841081504)) REPRESENTATION_ITEM('') ); #401=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241170,#241171,#241172),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.28041936618337,-0.127750378381862), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.63992841081501,1.84810546089757,1.63992841081502)) REPRESENTATION_ITEM('') ); #402=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241189,#241190,#241191),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(-0.28041936618337,-0.127750378381861), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((1.63992841081501,1.84810546089757,1.63992841081502)) REPRESENTATION_ITEM('') ); #403=( BOUNDED_CURVE() B_SPLINE_CURVE(2,(#241742,#241743,#241744),.UNSPECIFIED.,.F.,.F.) B_SPLINE_CURVE_WITH_KNOTS((3,3),(0.0207893471176496,0.0275600930872047), .UNSPECIFIED.) CURVE() GEOMETRIC_REPRESENTATION_ITEM() RATIONAL_B_SPLINE_CURVE((0.948065210722609,0.901258538444077,1.)) REPRESENTATION_ITEM('') ); #404=B_SPLINE_CURVE_WITH_KNOTS('',3,(#238853,#238854,#238855,#238856,#238857, #238858,#238859,#238860,#238861,#238862,#238863,#238864,#238865,#238866, #238867,#238868,#238869,#238870,#238871,#238872,#238873,#238874,#238875, #238876,#238877,#238878,#238879,#238880,#238881,#238882,#238883,#238884, #238885,#238886,#238887,#238888),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(5.41471556451627,5.42034977952014,5.44316458505737, 5.4653952046297,5.48750618631291,5.51031877565964,5.53227448376168,5.5551313287691, 5.57760718971683,5.5993538220222,5.62213798094758,5.6443820978586,5.66639368568961, 5.68922437599116,5.71119812936328,5.73389332758816,5.75318890998464,5.77200541872031), .UNSPECIFIED.); #405=B_SPLINE_CURVE_WITH_KNOTS('',3,(#238908,#238909,#238910,#238911,#238912, #238913,#238914,#238915,#238916,#238917,#238918,#238919,#238920,#238921, #238922,#238923,#238924,#238925,#238926,#238927,#238928,#238929,#238930, #238931,#238932,#238933,#238934,#238935,#238936,#238937,#238938,#238939, #238940,#238941,#238942,#238943),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(5.05330428167834,5.06101899536207,5.08416198707606, 5.10643712148071,5.12886552961764,5.15171255790602,5.17369954761743,5.19656597645518, 5.21905714561387,5.24091586900957,5.26401974922142,5.28625701048131,5.30851650408821, 5.33133030103931,5.35328625284325,5.37609392687336,5.39856348349725,5.41471556451627), .UNSPECIFIED.); #406=B_SPLINE_CURVE_WITH_KNOTS('',3,(#238961,#238962,#238963,#238964,#238965, #238966,#238967,#238968,#238969,#238970,#238971,#238972,#238973,#238974, #238975,#238976,#238977,#238978,#238979,#238980,#238981,#238982,#238983, #238984,#238985,#238986,#238987,#238988,#238989,#238990,#238991,#238992, #238993,#238994,#238995,#238996),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(4.69203902688487,4.70200844252803,4.72495607325518, 4.74704301563876,4.76959472252009,4.79223303664873,4.81406514975145,4.83691953438685, 4.85934942125197,4.88129449992954,4.90432618008849,4.92649420612686,4.94896389605798, 4.97169401083776,4.99360741468128,5.0165188821198,5.03905280824683,5.05330428167834), .UNSPECIFIED.); #407=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239014,#239015,#239016,#239017,#239018, #239019,#239020,#239021,#239022,#239023,#239024,#239025,#239026,#239027, #239028,#239029,#239030,#239031,#239032,#239033,#239034,#239035,#239036, #239037,#239038,#239039,#239040,#239041,#239042,#239043,#239044,#239045, #239046,#239047,#239048,#239049),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(4.33154765443615,4.34379356810525,4.36665357420839, 4.38865522796689,4.41147482959263,4.4340036780759,4.45578272116534,4.47860258557424, 4.5009030935942,4.5229184457124,4.54580897174898,4.56784056106197,4.59051073170666, 4.61308200599837,4.63486968161607,4.65769401169197,4.68004613685726,4.69203902688487), .UNSPECIFIED.); #408=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239067,#239068,#239069,#239070,#239071, #239072,#239073,#239074,#239075,#239076,#239077,#239078,#239079,#239080, #239081,#239082,#239083,#239084,#239085,#239086,#239087,#239088,#239089, #239090,#239091,#239092,#239093,#239094,#239095,#239096,#239097,#239098, #239099,#239100,#239101,#239102),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(3.97025680321935,3.9849149746414,4.00778333601403, 4.02979131063571,4.05266734777402,4.07518492137959,4.09704432575951,4.11992659393223, 4.14220141293639,4.16441632452315,4.18727195059811,4.20926870624835,4.23226267950636, 4.25477365753609,4.27657764912378,4.29941725755982,4.32169210886917,4.33154765443615), .UNSPECIFIED.); #409=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239120,#239121,#239122,#239123,#239124, #239125,#239126,#239127,#239128,#239129,#239130,#239131,#239132,#239133, #239134,#239135,#239136,#239137,#239138,#239139,#239140,#239141,#239142, #239143,#239144,#239145,#239146,#239147,#239148,#239149,#239150,#239151, #239152,#239153,#239154,#239155),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(3.60886180981539,3.62573691987767,3.64837419358209, 3.67020043593385,3.69303740195105,3.71547195198094,3.73738355246395,3.76042199749705, 3.78259654794939,3.80500332709131,3.82774351326343,3.8496675199178,3.87257411267958, 3.89512440426639,3.91707484485401,3.94023678103669,3.96253006865297,3.97025680321935), .UNSPECIFIED.); #410=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239173,#239174,#239175,#239176,#239177, #239178,#239179,#239180,#239181,#239182,#239183,#239184,#239185,#239186, #239187,#239188,#239189,#239190,#239191,#239192,#239193,#239194,#239195, #239196,#239197,#239198,#239199,#239200,#239201,#239202,#239203,#239204, #239205,#239206,#239207,#239208),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(3.24890356153919,3.26814787403178,3.29065365034881, 3.31239441568767,3.33517354991231,3.35745534201944,3.37940423876659,3.40227741085998, 3.42429249720401,3.44686566563513,3.46942488399303,3.49119020184781,3.51398515599181, 3.5363302458803,3.55824200291479,3.58118428768405,3.6032661951795,3.60886180981539), .UNSPECIFIED.); #411=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239226,#239227,#239228,#239229,#239230, #239231,#239232,#239233,#239234,#239235,#239236,#239237,#239238,#239239, #239240,#239241,#239242,#239243,#239244,#239245,#239246,#239247,#239248, #239249,#239250,#239251,#239252,#239253,#239254,#239255,#239256,#239257, #239258,#239259,#239260,#239261),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(2.88875536845803,2.91026747140226,2.93273991548613, 2.95453279173814,2.97735329322836,2.99958626757289,3.02170832336772,3.04452299502676, 3.06648065453794,3.08935333413673,3.11183020271848,3.1335810714559,3.15636916350552, 3.17861366727401,3.20063422070546,3.22346498663143,3.24543876940651,3.24890356153919), .UNSPECIFIED.); #412=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239279,#239280,#239281,#239282,#239283, #239284,#239285,#239286,#239287,#239288,#239289,#239290,#239291,#239292, #239293,#239294,#239295,#239296,#239297,#239298,#239299,#239300,#239301, #239302,#239303,#239304,#239305,#239306,#239307,#239308,#239309,#239310, #239311,#239312,#239313,#239314,#239315,#239316),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(2.52689585575464,2.52773997298097, 2.54975525712305,2.57263121819142,2.59502417546979,2.61732489630797,2.64049455432177, 2.66245262957997,2.68501045985607,2.70792491913852,2.72985629650027,2.75260414922643, 2.77501917939436,2.79720123652064,2.82024748059163,2.8421668769115,2.8646090407205, 2.88745397361085,2.88875536845803),.UNSPECIFIED.); #413=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239334,#239335,#239336,#239337,#239338, #239339,#239340,#239341,#239342,#239343,#239344,#239345,#239346,#239347, #239348,#239349,#239350,#239351,#239352,#239353,#239354,#239355,#239356, #239357,#239358,#239359,#239360,#239361,#239362,#239363,#239364,#239365, #239366,#239367,#239368,#239369),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(2.16574805885263,2.16875597837273,2.1907647336467, 2.21363212128299,2.23574124786601,2.25802331053354,2.28087051441798,2.30268180584246, 2.32520010466226,2.34820241757593,2.37020638528671,2.39306950842723,2.41529223740585, 2.43757438249798,2.46046436080793,2.4823312128761,2.50485621954779,2.52689585575464), .UNSPECIFIED.); #414=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239387,#239388,#239389,#239390,#239391, #239392,#239393,#239394,#239395,#239396,#239397,#239398,#239399,#239400, #239401,#239402,#239403,#239404,#239405,#239406,#239407,#239408,#239409, #239410,#239411,#239412,#239413,#239414,#239415,#239416,#239417,#239418, #239419,#239420,#239421,#239422),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(1.80513939086044,1.81025139612286,1.83234523799028, 1.85530003843748,1.87726967243338,1.89962879946381,1.92246050096832,1.94425516807524, 1.96683356065813,1.98951173972212,2.01155032613538,2.03444812433732,2.05647098162128, 2.07877859255583,2.10160593946324,2.12339212891095,2.14592819304314,2.16574805885263), .UNSPECIFIED.); #415=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239440,#239441,#239442,#239443,#239444, #239445,#239446,#239447,#239448,#239449,#239450,#239451,#239452,#239453, #239454,#239455,#239456,#239457,#239458,#239459,#239460,#239461,#239462, #239463,#239464,#239465,#239466,#239467,#239468,#239469,#239470,#239471, #239472,#239473,#239474,#239475),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(1.44347756418024,1.45074445949067,1.47302631943966, 1.49617629810232,1.51814946920365,1.54069021885365,1.56360884175748,1.5855289457556, 1.6082660065397,1.63074331079977,1.65291814572608,1.67595690041341,1.69790913341778, 1.72034592895963,1.74320757586677,1.76504652970426,1.78769187254191,1.80513939086044), .UNSPECIFIED.); #416=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239493,#239494,#239495,#239496,#239497, #239498,#239499,#239500,#239501,#239502,#239503,#239504,#239505,#239506, #239507,#239508,#239509,#239510,#239511,#239512,#239513,#239514,#239515, #239516,#239517,#239518,#239519,#239520,#239521,#239522,#239523,#239524, #239525,#239526,#239527,#239528),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(1.08230396932629,1.091677640307,1.11391477515296, 1.13673644423193,1.15852935467348,1.1810055240994,1.20382006647521,1.22578251882649, 1.24860307422118,1.27086973001908,1.29311360081151,1.31622434665864,1.33808985069613, 1.36058772524177,1.38346114817459,1.40545474412093,1.42830864201423,1.44347756418024), .UNSPECIFIED.); #417=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239546,#239547,#239548,#239549,#239550, #239551,#239552,#239553,#239554,#239555,#239556,#239557,#239558,#239559, #239560,#239561,#239562,#239563,#239564,#239565,#239566,#239567,#239568, #239569,#239570,#239571,#239572,#239573,#239574,#239575,#239576,#239577, #239578,#239579,#239580,#239581),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(0.722319477943393,0.733766992317809,0.756056705589083, 0.778840423522069,0.800585868908565,0.823098941822876,0.845801410215929, 0.867781479870852,0.890618735181719,0.91263713044757,0.934887660533503, 0.957678579123638,0.979431687780508,1.00191406214541,1.02477832849449,1.0467404511984, 1.06955970842585,1.08230396932629),.UNSPECIFIED.); #418=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239599,#239600,#239601,#239602,#239603, #239604,#239605,#239606,#239607,#239608,#239609,#239610,#239611,#239612, #239613,#239614,#239615,#239616,#239617,#239618,#239619,#239620,#239621, #239622,#239623,#239624,#239625,#239626,#239627,#239628,#239629,#239630, #239631,#239632,#239633,#239634),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(0.361948348923341,0.375642768994673,0.398089080527536, 0.420934464456971,0.442770691667102,0.465418875579835,0.487887816756643, 0.50997975010907,0.532932437075203,0.554847022714507,0.577201946644055, 0.600003346586093,0.621775954637661,0.644344268997817,0.666913023807252, 0.688936252806987,0.711817855143076,0.722319477943393),.UNSPECIFIED.); #419=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239652,#239653,#239654,#239655,#239656, #239657,#239658,#239659,#239660,#239661,#239662,#239663,#239664,#239665, #239666,#239667,#239668,#239669,#239670,#239671,#239672,#239673,#239674, #239675,#239676,#239677,#239678,#239679,#239680,#239681,#239682,#239683, #239684,#239685,#239686,#239687),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(1.00000023977714E-6,0.0188437206421848,0.0382848456582317, 0.0611735894487434,0.0831956575980722,0.106078591552757,0.128467756946263, 0.15077484940931,0.17395114570054,0.19591003010882,0.218473960206111,0.241390984171284, 0.263327600118729,0.286080478337403,0.308488363932528,0.330674788592198, 0.353725572496167,0.361948348923341),.UNSPECIFIED.); #420=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239707,#239708,#239709,#239710,#239711, #239712,#239713,#239714,#239715,#239716,#239717,#239718),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,4),(1.00000028801367E-6,0.0251766235485012,0.0486783220735846, 0.069022312533104,0.0824577184350219,0.0955520318761273),.UNSPECIFIED.); #421=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239719,#239720,#239721,#239722,#239723, #239724,#239725,#239726,#239727,#239728,#239729,#239730,#239731,#239732, #239733,#239734,#239735,#239736,#239737,#239738),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(0.,0.0150808973836022,0.0277883368824429,0.0448642638111368, 0.0696151713047822,0.107850849943324,0.143614817658612,0.18082593905678, 0.214298654851969,0.235740797468246),.UNSPECIFIED.); #422=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239743,#239744,#239745,#239746,#239747, #239748,#239749,#239750,#239751,#239752,#239753,#239754,#239755,#239756, #239757,#239758,#239759,#239760,#239761,#239762),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-0.396968776200461,-0.380718790737342,-0.300739567245628, -0.215179302715806,-0.158216254770712,-0.118196635128898,-0.0752375450284814, -0.0453137237638976,-0.0229484993792432,0.),.UNSPECIFIED.); #423=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239769,#239770,#239771,#239772,#239773, #239774,#239775,#239776,#239777,#239778,#239779,#239780,#239781,#239782, #239783,#239784,#239785,#239786),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 4),(-0.239523397871135,-0.213417831034015,-0.181836141218833,-0.151360452091277, -0.119446264105516,-0.088197998846593,-0.0575068766314521,-0.0287456951388738, -1.00000000002876E-6),.UNSPECIFIED.); #424=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239789,#239790,#239791,#239792,#239793, #239794,#239795,#239796,#239797,#239798,#239799,#239800,#239801,#239802, #239803,#239804),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(-8.09820222502908, -8.07966030705798,-8.06049695946582,-8.02873177451367,-7.99711690739163, -7.96615266693978,-7.93397067519511,-7.92006603868122),.UNSPECIFIED.); #425=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239809,#239810,#239811,#239812,#239813, #239814,#239815,#239816,#239817,#239818,#239819,#239820,#239821,#239822, #239823,#239824,#239825,#239826,#239827,#239828,#239829,#239830,#239831, #239832,#239833,#239834,#239835,#239836,#239837,#239838,#239839,#239840, #239841,#239842,#239843,#239844),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-0.744886456825502,-0.714463694626644,-0.683015983605879, -0.652508168988038,-0.620572228221175,-0.589468896006071,-0.55850497468353, -0.526566985223978,-0.495830988751821,-0.463808853076381,-0.432307371521536, -0.40184012758673,-0.369926545025453,-0.338764447919645,-0.307959635248947, -0.275953801555673,-0.245155724616323,-0.239523397871135),.UNSPECIFIED.); #426=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239845,#239846,#239847,#239848,#239849, #239850,#239851,#239852,#239853,#239854,#239855,#239856,#239857,#239858, #239859,#239860,#239861,#239862,#239863,#239864,#239865,#239866,#239867, #239868,#239869,#239870,#239871,#239872,#239873,#239874,#239875,#239876, #239877,#239878,#239879,#239880),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-7.92006603868122,-7.90317889382329,-7.8718512374761, -7.83984518739494,-7.80928430843565,-7.77762146863671,-7.74575838080293, -7.71487942030576,-7.68278997112645,-7.6518781518815,-7.62063377919212, -7.58862558846064,-7.55806703803461,-7.526481640453,-7.4943293944687,-7.46350943072226, -7.43148454947464,-7.41331265895067),.UNSPECIFIED.); #427=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239885,#239886,#239887,#239888,#239889, #239890,#239891,#239892,#239893,#239894,#239895,#239896,#239897,#239898, #239899,#239900,#239901,#239902,#239903,#239904,#239905,#239906,#239907, #239908,#239909,#239910,#239911,#239912,#239913,#239914,#239915,#239916, #239917,#239918,#239919,#239920,#239921,#239922),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-1.25063314744966,-1.24804728433256, -1.21614501470742,-1.18485163738092,-1.15423076833366,-1.12207846260298, -1.09114605727916,-1.05980259002454,-1.02806030439286,-0.997478057739146, -0.965533334538588,-0.934111591203926,-0.903514626472282,-0.871223534218952, -0.840162210102118,-0.808964312621181,-0.777079246566638,-0.746391080993312, -0.744886456825502),.UNSPECIFIED.); #428=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239923,#239924,#239925,#239926,#239927, #239928,#239929,#239930,#239931,#239932,#239933,#239934,#239935,#239936, #239937,#239938,#239939,#239940,#239941,#239942,#239943,#239944,#239945, #239946,#239947,#239948,#239949,#239950,#239951,#239952,#239953,#239954, #239955,#239956,#239957,#239958),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-7.41331265895067,-7.40040846224399,-7.36921959610148, -7.33718626900587,-7.30658152041545,-7.27504671206659,-7.24302235534519, -7.2122480572521,-7.18027381746584,-7.14895547454378,-7.11780613422619, -7.08542415193548,-7.05472353326905,-7.02321250923855,-6.99116618471503, -6.96049514044132,-6.92866140609737,-6.90591732712193),.UNSPECIFIED.); #429=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239963,#239964,#239965,#239966,#239967, #239968,#239969,#239970,#239971,#239972,#239973,#239974,#239975,#239976, #239977,#239978,#239979,#239980,#239981,#239982,#239983,#239984,#239985, #239986,#239987,#239988,#239989,#239990,#239991,#239992,#239993,#239994, #239995,#239996,#239997,#239998),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-1.75547649821631,-1.74866304210781,-1.71676983730428, -1.68565653448959,-1.65482535548942,-1.62287221086915,-1.59212364066937, -1.56031587164342,-1.52879043865,-1.49834804305381,-1.46646234129104,-1.43527228160118, -1.40457169455702,-1.3725324802661,-1.34170470351842,-1.3101546918864,-1.278532682667, -1.25063314744966),.UNSPECIFIED.); #430=B_SPLINE_CURVE_WITH_KNOTS('',3,(#239999,#240000,#240001,#240002,#240003, #240004,#240005,#240006,#240007,#240008,#240009,#240010,#240011,#240012, #240013,#240014,#240015,#240016,#240017,#240018,#240019,#240020,#240021, #240022,#240023,#240024,#240025,#240026,#240027,#240028,#240029,#240030, #240031,#240032,#240033,#240034),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-6.90591732712193,-6.89718904004161,-6.86616608341233, -6.83392022396527,-6.80318851267791,-6.77180324608938,-6.73979618598195, -6.70921567515561,-6.67749974933316,-6.64581312584558,-6.61489204998542, -6.58275637255846,-6.5519380214069,-6.52065319681655,-6.48865966811121, -6.45811561362166,-6.42649352462615,-6.3992564229658),.UNSPECIFIED.); #431=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240039,#240040,#240041,#240042,#240043, #240044,#240045,#240046,#240047,#240048,#240049,#240050,#240051,#240052, #240053,#240054,#240055,#240056,#240057,#240058,#240059,#240060,#240061, #240062,#240063,#240064,#240065,#240066,#240067,#240068,#240069,#240070, #240071,#240072,#240073,#240074),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-2.26095797739641,-2.25013656164967,-2.21787963195977, -2.18684952054782,-2.15559082402117,-2.12374120913656,-2.09308630939675, -2.06117259738009,-2.02976239840314,-1.99925460712208,-1.96733129376358, -1.93626678544844,-1.90526354263747,-1.87336813440804,-1.84267214612432, -1.81056898944939,-1.77911473735124,-1.75547649821631),.UNSPECIFIED.); #432=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240075,#240076,#240077,#240078,#240079, #240080,#240081,#240082,#240083,#240084,#240085,#240086,#240087,#240088, #240089,#240090,#240091,#240092,#240093,#240094,#240095,#240096,#240097, #240098,#240099,#240100,#240101,#240102,#240103,#240104,#240105,#240106, #240107,#240108,#240109,#240110),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-6.3992564229658,-6.39454278364117,-6.3636979286728, -6.33164514962508,-6.30068994264542,-6.26947871992303,-6.23747477279356, -6.20691518541536,-6.17536122809622,-6.14311045887315,-6.11231497142326, -6.08031651595705,-6.04918433605113,-6.01801851323957,-5.98598162505556, -5.95536045216531,-5.92384721508662,-5.89240523889457),.UNSPECIFIED.); #433=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240115,#240116,#240117,#240118,#240119, #240120,#240121,#240122,#240123,#240124,#240125,#240126,#240127,#240128, #240129,#240130,#240131,#240132,#240133,#240134,#240135,#240136,#240137, #240138,#240139,#240140,#240141,#240142,#240143,#240144,#240145,#240146, #240147,#240148,#240149,#240150),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-2.76635927970166,-2.75123721208737,-2.71921404685904, -2.68840037168726,-2.65674774100576,-2.62514533497139,-2.59466467810635, -2.56275377610273,-2.53148270165036,-2.50082333343393,-2.46869636729258, -2.43778681393304,-2.40636021282436,-2.3746456364506,-2.34408692343323, -2.31214287632373,-2.28075201568494,-2.26095797739641),.UNSPECIFIED.); #434=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240151,#240152,#240153,#240154,#240155, #240156,#240157,#240158,#240159,#240160,#240161,#240162,#240163,#240164, #240165,#240166,#240167,#240168,#240169,#240170,#240171,#240172,#240173, #240174,#240175,#240176,#240177,#240178,#240179,#240180,#240181,#240182, #240183,#240184,#240185,#240186,#240187,#240188),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-5.89240523889457,-5.89182027821238, -5.8610635874305,-5.82910850976733,-5.79771246986115,-5.76657841278272, -5.73421400468516,-5.70347822148431,-5.67198196529938,-5.63992009678012, -5.60925573191581,-5.57743352625954,-5.54586151911368,-5.51484558348136, -5.48260857228143,-5.45182195389363,-5.42044295784199,-5.38841123728326, -5.38480264534455),.UNSPECIFIED.); #435=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240193,#240194,#240195,#240196,#240197, #240198,#240199,#240200,#240201,#240202,#240203,#240204,#240205,#240206, #240207,#240208,#240209,#240210,#240211,#240212,#240213,#240214,#240215, #240216,#240217,#240218,#240219,#240220,#240221,#240222,#240223,#240224, #240225,#240226,#240227,#240228),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-3.27162538105583,-3.25236068730084,-3.22046135246925, -3.18976068677512,-3.15753002656454,-3.12607737708062,-3.09559437445645, -3.063675778311,-3.03256600715802,-3.00166165443662,-2.96971477488877,-2.93897111218577, -2.90704566416684,-2.87553115643516,-2.84507472195362,-2.81317173630782, -2.7819948649643,-2.76635927970166),.UNSPECIFIED.); #436=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240229,#240230,#240231,#240232,#240233, #240234,#240235,#240236,#240237,#240238,#240239,#240240,#240241,#240242, #240243,#240244,#240245,#240246,#240247,#240248,#240249,#240250,#240251, #240252,#240253,#240254,#240255,#240256,#240257,#240258,#240259,#240260, #240261,#240262,#240263,#240264),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-5.38480264534455,-5.35781875831324,-5.32610633185681, -5.29430113464489,-5.26337841116057,-5.2312423747663,-5.20035097309799, -5.1690633736208,-5.13703669694438,-5.10646220258694,-5.07483498657855, -5.0427512421616,-5.01189561859508,-4.97983277481158,-4.94878892932121, -4.91756534526013,-4.88552027406202,-4.87772514930777),.UNSPECIFIED.); #437=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240269,#240270,#240271,#240272,#240273, #240274,#240275,#240276,#240277,#240278,#240279,#240280,#240281,#240282, #240283,#240284,#240285,#240286,#240287,#240288,#240289,#240290,#240291, #240292,#240293,#240294,#240295,#240296,#240297,#240298,#240299,#240300, #240301,#240302,#240303,#240304),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-3.7779574818165,-3.75399220258531,-3.72225918447607, -3.6916762199165,-3.65968740510673,-3.62828137776342,-3.59760139877038, -3.5653311655419,-3.53428673184666,-3.50292184206905,-3.47106100869407, -3.44039453465195,-3.40844856602724,-3.37703011815132,-3.34647636727703, -3.31451998368122,-3.28344895237133,-3.27162538105583),.UNSPECIFIED.); #438=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240305,#240306,#240307,#240308,#240309, #240310,#240311,#240312,#240313,#240314,#240315,#240316,#240317,#240318, #240319,#240320,#240321,#240322,#240323,#240324,#240325,#240326,#240327, #240328,#240329,#240330,#240331,#240332,#240333,#240334,#240335,#240336, #240337,#240338,#240339,#240340),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-4.87772514930777,-4.85491340840058,-4.82334504980884, -4.79130794316087,-4.76050368500344,-4.72849750843197,-4.69723021406214, -4.66605258779041,-4.63363965421094,-4.60295286880883,-4.57141384106368, -4.53936622778147,-4.50867188468745,-4.47681307178509,-4.44541201215533, -4.41436816335099,-4.38209914180359,-4.37028261826029),.UNSPECIFIED.); #439=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240345,#240346,#240347,#240348,#240349, #240350,#240351,#240352,#240353,#240354,#240355,#240356,#240357,#240358, #240359,#240360,#240361,#240362,#240363,#240364,#240365,#240366,#240367, #240368,#240369,#240370,#240371,#240372,#240373,#240374,#240375,#240376, #240377,#240378,#240379,#240380),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-4.28400762420998,-4.25556837542111,-4.22401947883617, -4.19350364408598,-4.16153940423006,-4.13033060551404,-4.09947265055406, -4.06741909228246,-4.03657497531284,-4.00477817014221,-3.9731496584602, -3.94262695372667,-3.91066276202625,-3.87936841725847,-3.84862587005728, -3.81647730116328,-3.78554557764561,-3.7779574818165),.UNSPECIFIED.); #440=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240381,#240382,#240383,#240384,#240385, #240386,#240387,#240388,#240389,#240390,#240391,#240392,#240393,#240394, #240395,#240396,#240397,#240398,#240399,#240400,#240401,#240402,#240403, #240404,#240405,#240406,#240407,#240408,#240409,#240410,#240411,#240412, #240413,#240414,#240415,#240416),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-4.37028261826029,-4.351399206421,-4.31999349854355, -4.28799358295001,-4.25740637104388,-4.22567251425902,-4.19407525947786, -4.16314101977567,-4.13191430308533,-4.10102787176187,-4.06895628258042, -4.03809463752671,-4.00626227163983,-3.97461659255383,-3.94407443884816, -3.91208876513913,-3.88077781233534,-3.86382392915975),.UNSPECIFIED.); #441=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240421,#240422,#240423,#240424,#240425, #240426,#240427,#240428,#240429,#240430,#240431,#240432,#240433,#240434, #240435,#240436,#240437,#240438,#240439,#240440,#240441,#240442,#240443, #240444,#240445,#240446,#240447,#240448,#240449,#240450,#240451,#240452, #240453,#240454,#240455,#240456,#240457,#240458),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-4.79062115414299,-4.79007586919966, -4.75938095197881,-4.7274901021219,-4.69615163986424,-4.66507996399038, -4.6327804950551,-4.60210337721549,-4.57067018860303,-4.53867080913425, -4.50806731345095,-4.47630864484604,-4.44479336533621,-4.41383911369739, -4.38166629942874,-4.35093735770226,-4.31962071913002,-4.28765092750651, -4.28400762420998),.UNSPECIFIED.); #442=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240459,#240460,#240461,#240462,#240463, #240464,#240465,#240466,#240467,#240468,#240469,#240470,#240471,#240472, #240473,#240474,#240475,#240476,#240477,#240478,#240479,#240480,#240481, #240482,#240483,#240484,#240485,#240486,#240487,#240488,#240489,#240490, #240491,#240492,#240493,#240494),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-3.86382392915975,-3.85000941179148,-3.81784413701698, -3.78689613235568,-3.75530984821969,-3.72356081234382,-3.69296111088143, -3.66095209435145,-3.62953067263333,-3.59882769465044,-3.5665419932698, -3.53548244827618,-3.50408781251288,-3.47221202804302,-3.44153104711249, -3.40956682978332,-3.37813410725849,-3.35710982527346),.UNSPECIFIED.); #443=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240499,#240500,#240501,#240502,#240503, #240504,#240505,#240506,#240507,#240508,#240509,#240510,#240511,#240512, #240513,#240514,#240515,#240516,#240517,#240518,#240519,#240520,#240521, #240522,#240523,#240524,#240525,#240526,#240527,#240528,#240529,#240530, #240531,#240532,#240533,#240534),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-5.29646917100129,-5.29180443656526,-5.26102248788975, -5.22903514676223,-5.19813855243835,-5.16699090093803,-5.13505045182784, -5.10455110736418,-5.07306130438588,-5.04086861070467,-5.0101353743221, -4.97820165630476,-4.94712775156618,-4.91602482543982,-4.88405105596429, -4.85348939416139,-4.82203965844617,-4.79062115414299),.UNSPECIFIED.); #444=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240535,#240536,#240537,#240538,#240539, #240540,#240541,#240542,#240543,#240544,#240545,#240546,#240547,#240548, #240549,#240550,#240551,#240552,#240553,#240554,#240555,#240556,#240557, #240558,#240559,#240560,#240561,#240562,#240563,#240564,#240565,#240566, #240567,#240568,#240569,#240570),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-3.35710982527346,-3.34756033738722,-3.31525611861277, -3.28418343187739,-3.25304025185814,-3.22114112284558,-3.19044008649143, -3.15851479443953,-3.12705156386544,-3.0965536411324,-3.06462067142221, -3.03350069044901,-3.00257562370113,-2.97061862384663,-2.93986507502746, -2.90791253307834,-2.87638871348671,-2.85149297114705),.UNSPECIFIED.); #445=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240575,#240576,#240577,#240578,#240579, #240580,#240581,#240582,#240583,#240584,#240585,#240586,#240587,#240588, #240589,#240590,#240591,#240592,#240593,#240594,#240595,#240596,#240597, #240598,#240599,#240600,#240601,#240602,#240603,#240604,#240605,#240606, #240607,#240608,#240609,#240610),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-5.80211188591321,-5.79344210634992,-5.76248345579965, -5.73030452975658,-5.69963300237037,-5.66831274607,-5.63637016454578,-5.60585203699507, -5.57420163485613,-5.54257340978742,-5.51171592693328,-5.47964642182234, -5.44888734812142,-5.41766680285943,-5.38573722221973,-5.35525438582352, -5.32369712848401,-5.29646917100129),.UNSPECIFIED.); #446=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240611,#240612,#240613,#240614,#240615, #240616,#240617,#240618,#240619,#240620,#240621,#240622,#240623,#240624, #240625,#240626,#240627,#240628,#240629,#240630,#240631,#240632,#240633, #240634,#240635,#240636,#240637,#240638,#240639,#240640,#240641,#240642, #240643,#240644,#240645,#240646),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-2.85149297114705,-2.84591966473573,-2.81400340505423, -2.78281763335006,-2.75204141835331,-2.72000945607254,-2.68918713873969, -2.65750942439454,-2.62589887964738,-2.59540813997667,-2.56348512624573, -2.53220637974938,-2.5015309532584,-2.46939643741729,-2.43847941642717, -2.40703036289548,-2.37530873035794,-2.34605965499163),.UNSPECIFIED.); #447=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240651,#240652,#240653,#240654,#240655, #240656,#240657,#240658,#240659,#240660,#240661,#240662,#240663,#240664, #240665,#240666,#240667,#240668,#240669,#240670,#240671,#240672,#240673, #240674,#240675,#240676,#240677,#240678,#240679,#240680,#240681,#240682, #240683,#240684,#240685,#240686),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-6.30847098805348,-6.29563069377756,-6.26450739074767, -6.23253982696523,-6.20199723282686,-6.17052861382477,-6.13857006417976, -6.1078601681459,-6.07595289329465,-6.04469464057114,-6.01361034672317, -5.98129608458369,-5.95065674877825,-5.91921148649943,-5.88723026159665, -5.85662287242797,-5.82485536966962,-5.80211188591321),.UNSPECIFIED.); #448=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240687,#240688,#240689,#240690,#240691, #240692,#240693,#240694,#240695,#240696,#240697,#240698,#240699,#240700, #240701,#240702,#240703,#240704,#240705,#240706,#240707,#240708,#240709, #240710,#240711,#240712,#240713,#240714,#240715,#240716,#240717,#240718, #240719,#240720,#240721,#240722,#240723,#240724),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,2,4),(-2.34605965499163,-2.34474249246522, -2.31278757499395,-2.28139018754125,-2.25076140225397,-2.21849809622994, -2.18746162819891,-2.1561835293473,-2.12432787786564,-2.09366705362231, -2.06174427487097,-2.03032850468446,-1.99981002830928,-1.96787751589063, -1.93680773370385,-1.90578815714876,-1.87388772221601,-1.84318676498715, -1.84022484299092),.UNSPECIFIED.); #449=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240729,#240730,#240731,#240732,#240733, #240734,#240735,#240736,#240737,#240738,#240739,#240740,#240741,#240742, #240743,#240744,#240745,#240746,#240747,#240748,#240749,#240750,#240751, #240752,#240753,#240754,#240755,#240756,#240757,#240758,#240759,#240760, #240761,#240762,#240763,#240764),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-6.81417447592555,-6.7973642745609,-6.76610358358539, -6.73416416396931,-6.70366728394182,-6.67207197657687,-6.64026958120814, -6.60945616744956,-6.57743490654525,-6.5465843487062,-6.51540622463611, -6.48346419318862,-6.45296860391537,-6.42145005632493,-6.38935842867352, -6.35860334626972,-6.32664594155557,-6.30847098805348),.UNSPECIFIED.); #450=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240765,#240766,#240767,#240768,#240769, #240770,#240771,#240772,#240773,#240774,#240775,#240776,#240777,#240778, #240779,#240780,#240781,#240782,#240783,#240784,#240785,#240786,#240787, #240788,#240789,#240790,#240791,#240792,#240793,#240794,#240795,#240796, #240797,#240798,#240799,#240800),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-1.84022484299092,-1.81106106870904,-1.77960261898575, -1.74914237517661,-1.71724106662004,-1.68612389407255,-1.65527816191645, -1.62332141186596,-1.59256920925779,-1.56074044263833,-1.52921210215838, -1.49876363851848,-1.46687098724273,-1.43567839701548,-1.40496562003363, -1.37292415663831,-1.34209402240656,-1.33526311033045),.UNSPECIFIED.); #451=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240805,#240806,#240807,#240808,#240809, #240810,#240811,#240812,#240813,#240814,#240815,#240816,#240817,#240818, #240819,#240820,#240821,#240822,#240823,#240824,#240825,#240826,#240827, #240828,#240829,#240830,#240831,#240832,#240833,#240834,#240835,#240836, #240837,#240838,#240839,#240840),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-7.32045547446814,-7.29949360712571,-7.26799042419476, -7.23598096395753,-7.20532166580452,-7.1734992720053,-7.1421401376959,-7.11113199595858, -7.07889999645167,-7.04823862906778,-7.01686908757747,-6.9849078159063, -6.95435657527831,-6.9226594431381,-6.89110553941031,-6.86020736799601, -6.82809412817895,-6.81417447592555),.UNSPECIFIED.); #452=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240841,#240842,#240843,#240844,#240845, #240846,#240847,#240848,#240849,#240850,#240851,#240852,#240853,#240854, #240855,#240856,#240857,#240858,#240859,#240860,#240861,#240862,#240863, #240864,#240865,#240866,#240867,#240868,#240869,#240870,#240871,#240872, #240873,#240874,#240875,#240876),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-1.33526311033045,-1.31052527939108,-1.27890155823964, -1.24841274851113,-1.21650475960401,-1.18521010946492,-1.15457972595278, -1.12242645365626,-1.09149289990608,-1.06013355648997,-1.02839067409378, -0.997807632948172,-0.965858510913229,-0.934436674791839,-0.903833031228083, -0.871542186385705,-0.840480866020352,-0.829654363933284),.UNSPECIFIED.); #453=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240885,#240886,#240887,#240888,#240889, #240890,#240891,#240892,#240893,#240894,#240895,#240896,#240897,#240898, #240899,#240900,#240901,#240902,#240903,#240904,#240905,#240906), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(-8.09003272841201,-8.07203996077001, -8.05347803951655,-8.02180045804758,-7.99003685973867,-7.95914839324201, -7.92704788698967,-7.89619462406774,-7.86494174844963,-7.83295223673251, -7.82725509475171),.UNSPECIFIED.); #454=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240909,#240910,#240911,#240912,#240913, #240914,#240915,#240916,#240917,#240918,#240919,#240920,#240921,#240922, #240923,#240924,#240925,#240926,#240927,#240928,#240929,#240930,#240931, #240932),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,2,4),(-0.324102570451896, -0.308229433302832,-0.276226493555717,-0.24543103289361,-0.213679255942682, -0.182100874119417,-0.151625708505073,-0.11971096539316,-0.0884663322236686, -0.0577698271696019,-0.028869222526754,-1.00000029662484E-6), .UNSPECIFIED.); #455=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240935,#240936,#240937,#240938,#240939, #240940,#240941,#240942,#240943,#240944,#240945,#240946,#240947,#240948, #240949,#240950,#240951,#240952,#240953,#240954,#240955,#240956,#240957, #240958,#240959,#240960,#240961,#240962,#240963,#240964,#240965,#240966, #240967,#240968,#240969,#240970),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-7.82725509475171,-7.80241315077127,-7.77082103612212, -7.73877980860131,-7.70795874601354,-7.67593175681482,-7.64492690843634, -7.61373837966594,-7.5817307976629,-7.55116024132496,-7.51962742460916, -7.48762773049262,-7.45685832232072,-7.42488830292921,-7.39366146001908, -7.36251922927776,-7.3301429938242,-7.32045547446814),.UNSPECIFIED.); #456=B_SPLINE_CURVE_WITH_KNOTS('',3,(#240971,#240972,#240973,#240974,#240975, #240976,#240977,#240978,#240979,#240980,#240981,#240982,#240983,#240984, #240985,#240986,#240987,#240988,#240989,#240990,#240991,#240992,#240993, #240994,#240995,#240996,#240997,#240998,#240999,#241000,#241001,#241002, #241003,#241004,#241005,#241006),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2, 2,2,2,2,2,2,2,2,2,4),(-0.829654363933284,-0.809270301028187,-0.777385702989644, -0.746697865600492,-0.714767899606956,-0.683320983451054,-0.652809047250253, -0.620870369031218,-0.589768065491208,-0.558794497149758,-0.526857933880036, -0.496123172154876,-0.464085469095751,-0.432586097516048,-0.402116924562449, -0.370201679890375,-0.339041963275605,-0.324102570451896),.UNSPECIFIED.); #457=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241010,#241011,#241012,#241013,#241014, #241015,#241016,#241017,#241018,#241019,#241020,#241021),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,4),(-0.121387263821179,-0.0996614498586828,-0.0773364906691408, -0.0492267461824141,-0.0256125285385294,-1.00000029737424E-6), .UNSPECIFIED.); #458=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241022,#241023,#241024,#241025,#241026, #241027,#241028,#241029,#241030,#241031,#241032,#241033,#241034,#241035, #241036,#241037,#241038,#241039,#241040,#241041),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,2,2,2,2,4),(-1.02546039037291,-0.919999286461795,-0.844899201237233, -0.759565256058241,-0.697821070626196,-0.650014096886344,-0.60567070564151, -0.548102702078555,-0.467219274973485,-0.396968776200461),.UNSPECIFIED.); #459=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241043,#241044,#241045,#241046,#241047, #241048,#241049,#241050,#241051,#241052,#241053,#241054,#241055,#241056, #241057,#241058,#241059,#241060,#241061,#241062,#241063,#241064), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,2,4),(0.235740797468246,0.238516880373413, 0.258524692754449,0.277384512659521,0.303739198802295,0.343768883806904, 0.384097631640626,0.423613579320157,0.464910328162829,0.490719184814723, 0.518668649486384),.UNSPECIFIED.); #460=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241091,#241092,#241093,#241094,#241095, #241096,#241097,#241098,#241099,#241100,#241101,#241102,#241103,#241104), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0444124875366438,-0.0437275129596749, -0.0420827539490901,-0.0404379949385053,-0.0387932359279205,-0.0371484769173358, -0.0364635023403666),.UNSPECIFIED.); #461=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241110,#241111,#241112,#241113,#241114, #241115,#241116,#241117,#241118,#241119,#241120,#241121,#241122,#241123), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0444124875366453,-0.0437275129596749, -0.0420827539490901,-0.0404379949385053,-0.0387932359279206,-0.0371484769173358, -0.0364635023403666),.UNSPECIFIED.); #462=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241129,#241130,#241131,#241132,#241133, #241134,#241135,#241136,#241137,#241138,#241139,#241140,#241141,#241142), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0444124875366452,-0.0437275129596748, -0.0420827539490901,-0.0404379949385053,-0.0387932359279205,-0.0371484769173357, -0.0364635023403667),.UNSPECIFIED.); #463=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241155,#241156,#241157,#241158,#241159, #241160,#241161,#241162,#241163,#241164,#241165,#241166,#241167,#241168), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0444124875366507,-0.0437275129596748, -0.0420827539490901,-0.0404379949385053,-0.0387932359279205,-0.0371484769173358, -0.0364635023403666),.UNSPECIFIED.); #464=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241174,#241175,#241176,#241177,#241178, #241179,#241180,#241181,#241182,#241183,#241184,#241185,#241186,#241187), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0444124875366453,-0.0437275129596749, -0.0420827539490901,-0.0404379949385053,-0.0387932359279205,-0.0371484769173358, -0.0364635023403666),.UNSPECIFIED.); #465=B_SPLINE_CURVE_WITH_KNOTS('',3,(#241192,#241193,#241194,#241195,#241196, #241197,#241198,#241199,#241200,#241201,#241202,#241203,#241204,#241205), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,4),(-0.0444124875366453,-0.0437275129596749, -0.0420827539490901,-0.0404379949385053,-0.0387932359279206,-0.0371484769173358, -0.0364635023403599),.UNSPECIFIED.); #466=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257014,#257015,#257016,#257017), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329765,-6.12323399573656E-20), .UNSPECIFIED.); #467=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257018,#257019,#257020,#257021), .UNSPECIFIED.,.F.,.F.,(4,4),(6.12323399573656E-20,0.00741723047329765), .UNSPECIFIED.); #468=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257051,#257052,#257053,#257054), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329757,-4.47832930819615E-20), .UNSPECIFIED.); #469=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257055,#257056,#257057,#257058), .UNSPECIFIED.,.F.,.F.,(4,4),(4.47832930819615E-20,0.00741723047329757), .UNSPECIFIED.); #470=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257100,#257101,#257102,#257103), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329764,-7.33887603900758E-20), .UNSPECIFIED.); #471=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257104,#257105,#257106,#257107), .UNSPECIFIED.,.F.,.F.,(4,4),(7.33887603900758E-20,0.00741723047329764), .UNSPECIFIED.); #472=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257137,#257138,#257139,#257140), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329743,-4.47832930819619E-20), .UNSPECIFIED.); #473=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257141,#257142,#257143,#257144), .UNSPECIFIED.,.F.,.F.,(4,4),(4.47832930819619E-20,0.00741723047329743), .UNSPECIFIED.); #474=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257186,#257187,#257188,#257189), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329739,-6.12323399573677E-20), .UNSPECIFIED.); #475=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257190,#257191,#257192,#257193), .UNSPECIFIED.,.F.,.F.,(4,4),(6.12323399573677E-20,0.00741723047329739), .UNSPECIFIED.); #476=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257223,#257224,#257225,#257226), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329744,-4.47832930819622E-20), .UNSPECIFIED.); #477=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257227,#257228,#257229,#257230), .UNSPECIFIED.,.F.,.F.,(4,4),(4.47832930819622E-20,0.00741723047329744), .UNSPECIFIED.); #478=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257272,#257273,#257274,#257275), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.0074172304732974,-5.36192164542126E-20), .UNSPECIFIED.); #479=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257276,#257277,#257278,#257279), .UNSPECIFIED.,.F.,.F.,(4,4),(5.36192164542126E-20,0.0074172304732974), .UNSPECIFIED.); #480=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257300,#257301,#257302,#257303), .UNSPECIFIED.,.F.,.F.,(4,4),(-0.00741723047329758,0.),.UNSPECIFIED.); #481=B_SPLINE_CURVE_WITH_KNOTS('',3,(#257304,#257305,#257306,#257307), .UNSPECIFIED.,.F.,.F.,(4,4),(0.,0.00741723047329758),.UNSPECIFIED.); #482=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260025,#260026,#260027,#260028,#260029, #260030,#260031,#260032,#260033,#260034),.UNSPECIFIED.,.F.,.F.,(4,3,3,4), (-4.09274904019424,-3.99700150448979,-2.99775112836734,-2.07317267331975), .UNSPECIFIED.); #483=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260037,#260038,#260039,#260040,#260041, #260042,#260043),.UNSPECIFIED.,.F.,.F.,(4,3,4),(0.,0.999250376122454,1.12201628671521), .UNSPECIFIED.); #484=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260048,#260049,#260050,#260051,#260052, #260053,#260054,#260055,#260056,#260057,#260058,#260059,#260060,#260061, #260062,#260063,#260064,#260065,#260066,#260067,#260068,#260069), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-10.3759343473738,-9.99250376122448, -8.99325338510203,-7.99400300897958,-6.99475263285714,-5.99550225673469, -4.99625188061224,-4.09274904019424),.UNSPECIFIED.); #485=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260070,#260071,#260072,#260073,#260074, #260075,#260076,#260077,#260078,#260079,#260080,#260081,#260082,#260083, #260084,#260085,#260086,#260087,#260088,#260089,#260090,#260091), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(1.12201628671521,1.9985007522449, 2.99775112836735,3.99700150448979,4.99625188061224,5.99550225673469,6.99475263285713, 7.40520159389478),.UNSPECIFIED.); #486=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260096,#260097,#260098,#260099,#260100, #260101,#260102,#260103,#260104,#260105,#260106,#260107,#260108,#260109, #260110,#260111,#260112,#260113,#260114,#260115,#260116,#260117), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-16.6591196545534,-15.9880060179592, -14.9887556418367,-13.9895052657143,-12.9902548895918,-11.9910045134694, -10.9917541373469,-10.3759343473738),.UNSPECIFIED.); #487=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260118,#260119,#260120,#260121,#260122, #260123,#260124,#260125,#260126,#260127,#260128,#260129,#260130,#260131, #260132,#260133,#260134,#260135,#260136,#260137,#260138,#260139), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(7.40520159389478,7.99400300897958, 8.99325338510203,9.99250376122448,10.9917541373469,11.9910045134694,12.9902548895918, 13.6883869010744),.UNSPECIFIED.); #488=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260144,#260145,#260146,#260147,#260148, #260149,#260150,#260151,#260152,#260153,#260154,#260155,#260156,#260157, #260158,#260159,#260160,#260161,#260162,#260163,#260164,#260165), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-22.942304961733,-21.9835082746939, -20.9842578985714,-19.985007522449,-18.9857571463265,-17.9865067702041, -16.9872563940816,-16.6591196545534),.UNSPECIFIED.); #489=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260166,#260167,#260168,#260169,#260170, #260171,#260172,#260173,#260174,#260175,#260176,#260177,#260178,#260179, #260180,#260181,#260182,#260183,#260184,#260185,#260186,#260187), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(13.6883869010744,13.9895052657143, 14.9887556418367,15.9880060179592,16.9872563940816,17.9865067702041,18.9857571463265, 19.971572208254),.UNSPECIFIED.); #490=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260192,#260193,#260194,#260195,#260196, #260197,#260198,#260199,#260200,#260201,#260202,#260203,#260204,#260205, #260206,#260207,#260208,#260209,#260210,#260211,#260212,#260213,#260214, #260215,#260216),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-29.2254902689126, -28.978260907551,-27.9790105314285,-26.9797601553061,-25.9805097791837, -24.9812594030612,-23.9820090269388,-22.9827586508163,-22.942304961733), .UNSPECIFIED.); #491=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260217,#260218,#260219,#260220,#260221, #260222,#260223,#260224,#260225,#260226,#260227,#260228,#260229,#260230, #260231,#260232,#260233,#260234,#260235,#260236,#260237,#260238,#260239, #260240,#260241),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(19.971572208254, 19.985007522449,20.9842578985714,21.9835082746939,22.9827586508163,23.9820090269388, 24.9812594030612,25.9805097791836,26.2547575154336),.UNSPECIFIED.); #492=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260246,#260247,#260248,#260249,#260250, #260251,#260252,#260253,#260254,#260255,#260256,#260257,#260258,#260259, #260260,#260261,#260262,#260263,#260264,#260265,#260266,#260267), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-35.5086755760922,-34.9737631642857, -33.9745127881632,-32.9752624120408,-31.9760120359183,-30.9767616597959, -29.9775112836734,-29.2254902689126),.UNSPECIFIED.); #493=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260268,#260269,#260270,#260271,#260272, #260273,#260274,#260275,#260276,#260277,#260278,#260279,#260280,#260281, #260282,#260283,#260284,#260285,#260286,#260287,#260288,#260289), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(26.2547575154336,26.9797601553061, 27.9790105314285,28.978260907551,29.9775112836734,30.9767616597959,31.9760120359183, 32.5379428226131),.UNSPECIFIED.); #494=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260294,#260295,#260296,#260297,#260298, #260299,#260300,#260301,#260302,#260303,#260304,#260305,#260306,#260307, #260308,#260309,#260310,#260311,#260312,#260313,#260314,#260315), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-41.7918608832718,-40.9692654210204, -39.9700150448979,-38.9707646687755,-37.971514292653,-36.9722639165306, -35.9730135404081,-35.5086755760922),.UNSPECIFIED.); #495=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260316,#260317,#260318,#260319,#260320, #260321,#260322,#260323,#260324,#260325,#260326,#260327,#260328,#260329, #260330,#260331,#260332,#260333,#260334,#260335,#260336,#260337), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(32.5379428226131,32.9752624120408, 33.9745127881632,34.9737631642857,35.9730135404081,36.9722639165306,37.971514292653, 38.8211281297927),.UNSPECIFIED.); #496=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260342,#260343,#260344,#260345,#260346, #260347,#260348,#260349,#260350,#260351,#260352,#260353,#260354,#260355, #260356,#260357,#260358,#260359,#260360,#260361,#260362,#260363,#260364, #260365,#260366),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-48.0750461904514, -47.9640180538775,-46.9647676777551,-45.9655173016326,-44.9662669255102, -43.9670165493877,-42.9677661732653,-41.9685157971428,-41.7918608832718), .UNSPECIFIED.); #497=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260367,#260368,#260369,#260370,#260371, #260372,#260373,#260374,#260375,#260376,#260377,#260378,#260379,#260380, #260381,#260382,#260383,#260384,#260385,#260386,#260387,#260388,#260389, #260390,#260391),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(38.8211281297927, 38.9707646687755,39.9700150448979,40.9692654210204,41.9685157971428,42.9677661732653, 43.9670165493877,44.9662669255102,45.1043134369723),.UNSPECIFIED.); #498=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260396,#260397,#260398,#260399,#260400, #260401,#260402,#260403,#260404,#260405,#260406,#260407,#260408,#260409, #260410,#260411,#260412,#260413,#260414,#260415,#260416,#260417), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-54.3582314976309,-53.9595203106122, -52.9602699344898,-51.9610195583673,-50.9617691822449,-49.9625188061224, -48.96326843,-48.0750461904514),.UNSPECIFIED.); #499=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260418,#260419,#260420,#260421,#260422, #260423,#260424,#260425,#260426,#260427,#260428,#260429,#260430,#260431, #260432,#260433,#260434,#260435,#260436,#260437,#260438,#260439), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(45.1043134369723,45.9655173016326, 46.9647676777551,47.9640180538775,48.96326843,49.9625188061224,50.9617691822449, 51.3874987441519),.UNSPECIFIED.); #500=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260444,#260445,#260446,#260447,#260448, #260449,#260450,#260451,#260452,#260453,#260454,#260455,#260456,#260457, #260458,#260459,#260460,#260461,#260462,#260463,#260464,#260465), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-60.6414168048105,-59.9550225673469, -58.9557721912244,-57.956521815102,-56.9572714389795,-55.9580210628571, -54.9587706867347,-54.3582314976309),.UNSPECIFIED.); #501=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260466,#260467,#260468,#260469,#260470, #260471,#260472,#260473,#260474,#260475,#260476,#260477,#260478,#260479, #260480,#260481,#260482,#260483,#260484,#260485,#260486,#260487), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(51.3874987441519,51.9610195583673, 52.9602699344898,53.9595203106122,54.9587706867347,55.9580210628571,56.9572714389796, 57.6706840513315),.UNSPECIFIED.); #502=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260492,#260493,#260494,#260495,#260496, #260497,#260498,#260499,#260500,#260501,#260502,#260503,#260504,#260505, #260506,#260507,#260508,#260509,#260510,#260511,#260512,#260513), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-66.9246021119901,-65.9505248240816, -64.9512744479591,-63.9520240718367,-62.9527736957142,-61.9535233195918, -60.9542729434693,-60.6414168048105),.UNSPECIFIED.); #503=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260514,#260515,#260516,#260517,#260518, #260519,#260520,#260521,#260522,#260523,#260524,#260525,#260526,#260527, #260528,#260529,#260530,#260531,#260532,#260533,#260534,#260535), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(57.6706840513315,57.956521815102, 58.9557721912245,59.9550225673469,60.9542729434693,61.9535233195918,62.9527736957142, 63.9538693585111),.UNSPECIFIED.); #504=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260540,#260541,#260542,#260543,#260544, #260545,#260546,#260547,#260548,#260549,#260550,#260551,#260552,#260553, #260554,#260555,#260556,#260557,#260558,#260559,#260560,#260561,#260562, #260563,#260564),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-73.2077874191697, -72.9452774569387,-71.9460270808163,-70.9467767046938,-69.9475263285714, -68.9482759524489,-67.9490255763265,-66.949775200204,-66.9246021119901), .UNSPECIFIED.); #505=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260565,#260566,#260567,#260568,#260569, #260570,#260571,#260572,#260573,#260574,#260575,#260576,#260577,#260578, #260579,#260580,#260581,#260582,#260583,#260584,#260585,#260586), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(63.9538693585111,64.9512744479591, 65.9505248240816,66.949775200204,67.9490255763265,68.9482759524489,69.9475263285714, 70.2370546656907),.UNSPECIFIED.); #506=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260591,#260592,#260593,#260594,#260595, #260596,#260597,#260598,#260599,#260600,#260601,#260602,#260603,#260604, #260605,#260606,#260607,#260608,#260609,#260610,#260611,#260612), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-79.4909727263493,-78.9407797136734, -77.9415293375509,-76.9422789614285,-75.943028585306,-74.9437782091836, -73.9445278330611,-73.2077874191697),.UNSPECIFIED.); #507=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260613,#260614,#260615,#260616,#260617, #260618,#260619,#260620,#260621,#260622,#260623,#260624,#260625,#260626, #260627,#260628,#260629,#260630,#260631,#260632,#260633,#260634), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(70.2370546656907,70.9467767046938, 71.9460270808163,72.9452774569387,73.9445278330612,74.9437782091836,75.9430285853061, 76.5202399728703),.UNSPECIFIED.); #508=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260639,#260640,#260641,#260642,#260643, #260644,#260645,#260646,#260647,#260648,#260649,#260650,#260651,#260652, #260653,#260654,#260655,#260656,#260657,#260658,#260659,#260660), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-85.7741580335289,-84.9362819704081, -83.9370315942857,-82.9377812181632,-81.9385308420407,-80.9392804659183, -79.9400300897958,-79.4909727263493),.UNSPECIFIED.); #509=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260661,#260662,#260663,#260664,#260665, #260666,#260667,#260668,#260669,#260670,#260671,#260672,#260673,#260674, #260675,#260676,#260677,#260678,#260679,#260680,#260681,#260682), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(76.5202399728703,76.9422789614285, 77.9415293375509,78.9407797136734,79.9400300897958,80.9392804659183,81.9385308420407, 82.8034252800499),.UNSPECIFIED.); #510=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260687,#260688,#260689,#260690,#260691, #260692,#260693,#260694,#260695,#260696,#260697,#260698,#260699,#260700, #260701,#260702,#260703,#260704,#260705,#260706,#260707,#260708,#260709, #260710,#260711),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(-92.0573433407085, -91.9310346032652,-90.9317842271428,-89.9325338510203,-88.9332834748979, -87.9340330987754,-86.934782722653,-85.9355323465306,-85.7741580335289), .UNSPECIFIED.); #511=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260712,#260713,#260714,#260715,#260716, #260717,#260718,#260719,#260720,#260721,#260722,#260723,#260724,#260725, #260726,#260727,#260728,#260729,#260730,#260731,#260732,#260733,#260734, #260735,#260736),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(82.8034252800499, 82.9377812181632,83.9370315942857,84.9362819704081,85.9355323465306,86.934782722653, 87.9340330987754,88.9332834748979,89.0866105872295),.UNSPECIFIED.); #512=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260741,#260742,#260743,#260744,#260745, #260746,#260747,#260748,#260749,#260750,#260751,#260752,#260753,#260754, #260755,#260756,#260757,#260758,#260759,#260760,#260761,#260762), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-98.3405286478881,-97.9265368599999, -96.9272864838775,-95.928036107755,-94.9287857316326,-93.9295353555101, -92.9302849793877,-92.0573433407085),.UNSPECIFIED.); #513=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260763,#260764,#260765,#260766,#260767, #260768,#260769,#260770,#260771,#260772,#260773,#260774,#260775,#260776, #260777,#260778,#260779,#260780,#260781,#260782,#260783,#260784), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(89.0866105872295,89.9325338510203, 90.9317842271428,91.9310346032652,92.9302849793877,93.9295353555101,94.9287857316326, 95.3697958944091),.UNSPECIFIED.); #514=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260789,#260790,#260791,#260792,#260793, #260794,#260795,#260796,#260797,#260798,#260799,#260800,#260801,#260802, #260803,#260804,#260805,#260806,#260807,#260808,#260809,#260810), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-104.623713955068,-103.922039116735, -102.922788740612,-101.92353836449,-100.924287988367,-99.9250376122448, -98.9257872361224,-98.3405286478881),.UNSPECIFIED.); #515=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260811,#260812,#260813,#260814,#260815, #260816,#260817,#260818,#260819,#260820,#260821,#260822,#260823,#260824, #260825,#260826,#260827,#260828,#260829,#260830,#260831,#260832), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(95.3697958944091,95.928036107755, 96.9272864838775,97.9265368599999,98.9257872361224,99.9250376122448,100.924287988367, 101.652981201589),.UNSPECIFIED.); #516=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260837,#260838,#260839,#260840,#260841, #260842,#260843,#260844,#260845,#260846,#260847,#260848,#260849,#260850, #260851,#260852,#260853,#260854,#260855,#260856,#260857,#260858), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,4),(-110.906899262247,-109.917541373469, -108.918290997347,-107.919040621224,-106.919790245102,-105.920539868979, -104.921289492857,-104.623713955068),.UNSPECIFIED.); #517=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260859,#260860,#260861,#260862,#260863, #260864,#260865,#260866,#260867,#260868,#260869,#260870,#260871,#260872, #260873,#260874,#260875,#260876,#260877,#260878,#260879,#260880,#260881, #260882,#260883),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,4),(101.652981201589, 101.92353836449,102.922788740612,103.922039116735,104.921289492857,105.920539868979, 106.919790245102,107.919040621224,107.936166508768),.UNSPECIFIED.); #518=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260889,#260890,#260891,#260892,#260893, #260894,#260895,#260896),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(116.238928182822, 116.536503720612,117.535754096734,118.535004472857,119.534254848979,120.458833304027), .UNSPECIFIED.); #519=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260899,#260900,#260901,#260902,#260903, #260904),.UNSPECIFIED.,.F.,.F.,(4,1,1,4),(116.238928182822,117.221052671401, 118.220303047523,119.219553423646),.UNSPECIFIED.); #520=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260909,#260910,#260911,#260912,#260913, #260914,#260915,#260916,#260917,#260918),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(109.955742875643,110.541001463877,111.540251839999,112.539502216122, 113.538752592244,114.538002968367,115.537253344489,116.238928182822), .UNSPECIFIED.); #521=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260919,#260920,#260921,#260922,#260923, #260924,#260925,#260926,#260927,#260928,#260929),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(109.955742875643,110.226300038544,111.225550414666, 112.224800790789,113.224051166911,114.223301543033,115.222551919156,116.221802295278, 116.238928182822),.UNSPECIFIED.); #522=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260934,#260935,#260936,#260937,#260938, #260939,#260940,#260941,#260942,#260943),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(103.672557568463,104.545499207142,105.544749583265,106.543999959387, 107.54325033551,108.542500711632,109.541751087755,109.955742875643), .UNSPECIFIED.); #523=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260944,#260945,#260946,#260947,#260948, #260949,#260950,#260951,#260952,#260953),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(103.672557568463,104.230797781809,105.230048157931,106.229298534054, 107.228548910176,108.227799286299,109.227049662421,109.955742875643), .UNSPECIFIED.); #524=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260958,#260959,#260960,#260961,#260962, #260963,#260964,#260965,#260966,#260967,#260968),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(97.3893722612835,97.5507465742852,98.5499969504076, 99.5492473265301,100.548497702652,101.547748078775,102.546998454897,103.54624883102, 103.672557568463),.UNSPECIFIED.); #525=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260969,#260970,#260971,#260972,#260973, #260974,#260975,#260976,#260977,#260978),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(97.3893722612835,98.2352955250743,99.2345459011968,100.233796277319, 101.233046653442,102.232297029564,103.231547405687,103.672557568463), .UNSPECIFIED.); #526=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260983,#260984,#260985,#260986,#260987, #260988,#260989,#260990,#260991,#260992),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(91.106186954104,91.5552443175505,92.5544946936729,93.5537450697954, 94.5529954459178,95.5522458220403,96.5514961981627,97.3893722612835), .UNSPECIFIED.); #527=B_SPLINE_CURVE_WITH_KNOTS('',3,(#260993,#260994,#260995,#260996,#260997, #260998,#260999,#261000,#261001,#261002,#261003),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(91.106186954104,91.2405428922172,92.2397932683396, 93.2390436444621,94.2382940205845,95.237544396707,96.2367947728294,97.2360451489519, 97.3893722612835),.UNSPECIFIED.); #528=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261008,#261009,#261010,#261011,#261012, #261013,#261014,#261015,#261016,#261017),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(84.8230016469244,85.5597420608158,86.5589924369382,87.5582428130607, 88.5574931891831,89.5567435653056,90.555993941428,91.106186954104), .UNSPECIFIED.); #529=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261018,#261019,#261020,#261021,#261022, #261023,#261024,#261025,#261026,#261027),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(84.8230016469244,85.2450406354825,86.2442910116049,87.2435413877274, 88.2427917638498,89.2420421399723,90.2412925160947,91.106186954104), .UNSPECIFIED.); #530=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261032,#261033,#261034,#261035,#261036, #261037,#261038,#261039,#261040,#261041,#261042),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(78.5398163397448,78.5649894279586,79.5642398040811, 80.5634901802035,81.562740556326,82.5619909324485,83.5612413085709,84.5604916846933, 84.8230016469244),.UNSPECIFIED.); #531=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261043,#261044,#261045,#261046,#261047, #261048,#261049,#261050,#261051,#261052),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(78.5398163397448,79.2495383787478,80.2487887548702,81.2480391309927, 82.2472895071151,83.2465398832376,84.24579025936,84.8230016469244), .UNSPECIFIED.); #532=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261057,#261058,#261059,#261060,#261061, #261062,#261063,#261064,#261065,#261066),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(72.2566310325652,72.569487171224,73.5687375473464,74.5679879234688, 75.5672382995913,76.5664886757137,77.5657390518362,78.5398163397448), .UNSPECIFIED.); #533=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261067,#261068,#261069,#261070,#261071, #261072,#261073,#261074,#261075,#261076),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(72.2566310325652,73.2540361220131,74.2532864981356,75.252536874258, 76.2517872503804,77.2510376265029,78.2502880026253,78.5398163397448), .UNSPECIFIED.); #534=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261081,#261082,#261083,#261084,#261085, #261086,#261087,#261088,#261089,#261090),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(65.9734457253856,66.5739849144893,67.5732352906117,68.5724856667342, 69.5717360428566,70.5709864189791,71.5702367951015,72.2566310325652), .UNSPECIFIED.); #535=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261091,#261092,#261093,#261094,#261095, #261096,#261097,#261098,#261099,#261100,#261101),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(65.9734457253856,66.259283489156,67.2585338652784, 68.2577842414009,69.2570346175233,70.2562849936458,71.2555353697682,72.2547857458907, 72.2566310325652),.UNSPECIFIED.); #536=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261106,#261107,#261108,#261109,#261110, #261111,#261112,#261113,#261114,#261115),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(59.690260418206,60.5784826577546,61.577733033877,62.5769834099995, 63.5762337861219,64.5754841622444,65.5747345383668,65.9734457253856), .UNSPECIFIED.); #537=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261116,#261117,#261118,#261119,#261120, #261121,#261122,#261123,#261124,#261125),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(59.690260418206,60.2637812324213,61.2630316085437,62.2622819846662, 63.2615323607886,64.2607827369111,65.2600331130335,65.9734457253856), .UNSPECIFIED.); #538=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261130,#261131,#261132,#261133,#261134, #261135,#261136,#261137,#261138,#261139,#261140),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(53.4070751110264,53.5837300248974,54.5829804010199, 55.5822307771423,56.5814811532648,57.5807315293872,58.5799819055097,59.5792322816321, 59.690260418206),.UNSPECIFIED.); #539=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261141,#261142,#261143,#261144,#261145, #261146,#261147,#261148,#261149,#261150),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(53.4070751110264,54.2682789756866,55.267529351809,56.2667797279315, 57.2660301040539,58.2652804801764,59.2645308562988,59.690260418206), .UNSPECIFIED.); #540=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261155,#261156,#261157,#261158,#261159, #261160,#261161,#261162,#261163,#261164),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(47.1238898038468,47.5882277681628,48.5874781442852,49.5867285204077, 50.5859788965301,51.5852292726525,52.584479648775,53.4070751110264), .UNSPECIFIED.); #541=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261165,#261166,#261167,#261168,#261169, #261170,#261171,#261172,#261173,#261174,#261175),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(47.1238898038468,47.2735263428295,48.2727767189519, 49.2720270950743,50.2712774711968,51.2705278473193,52.2697782234417,53.2690285995641, 53.4070751110264),.UNSPECIFIED.); #542=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261180,#261181,#261182,#261183,#261184, #261185,#261186,#261187,#261188,#261189),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(40.8407044966672,41.5927255114281,42.5919758875505,43.591226263673, 44.5904766397954,45.5897270159179,46.5889773920403,47.1238898038468), .UNSPECIFIED.); #543=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261190,#261191,#261192,#261193,#261194, #261195,#261196,#261197,#261198,#261199),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(40.8407044966672,41.2780240860948,42.2772744622172,43.2765248383397, 44.2757752144621,45.2750255905846,46.274275966707,47.1238898038468), .UNSPECIFIED.); #544=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261204,#261205,#261206,#261207,#261208, #261209,#261210,#261211,#261212,#261213,#261214),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(34.5575191894876,34.5979728785709,35.5972232546934, 36.5964736308158,37.5957240069383,38.5949743830607,39.5942247591832,40.5934751353056, 40.8407044966672),.UNSPECIFIED.); #545=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261215,#261216,#261217,#261218,#261219, #261220,#261221,#261222,#261223,#261224),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(34.5575191894876,35.2825218293601,36.2817722054825,37.281022581605, 38.2802729577274,39.2795233338499,40.2787737099723,40.8407044966672), .UNSPECIFIED.); #546=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261229,#261230,#261231,#261232,#261233, #261234,#261235,#261236,#261237,#261238),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(28.274333882308,28.6024706218362,29.6017209979587,30.6009713740811, 31.6002217502036,32.599472126326,33.5987225024485,34.5575191894876), .UNSPECIFIED.); #547=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261239,#261240,#261241,#261242,#261243, #261244,#261245,#261246,#261247,#261248,#261249),.UNSPECIFIED.,.F.,.F., (4,1,1,1,1,1,1,1,4),(28.274333882308,28.2877691965029,29.2870195726254, 30.2862699487478,31.2855203248703,32.2847707009927,33.2840210771152,34.2832714532376, 34.5575191894876),.UNSPECIFIED.); #548=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261254,#261255,#261256,#261257,#261258, #261259,#261260,#261261,#261262,#261263),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(21.9911485751285,22.6069683651016,23.606218741224,24.6054691173465, 25.6047194934689,26.6039698695913,27.6032202457138,28.274333882308), .UNSPECIFIED.); #549=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261264,#261265,#261266,#261267,#261268, #261269,#261270,#261271,#261272,#261273),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(21.9911485751285,22.2922669397683,23.2915173158907,24.2907676920132, 25.2900180681356,26.289268444258,27.2885188203805,28.274333882308), .UNSPECIFIED.); #550=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261278,#261279,#261280,#261281,#261282, #261283,#261284,#261285,#261286,#261287),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(15.7079632679489,16.6114661083669,17.6107164844893,18.6099668606118, 19.6092172367342,20.6084676128567,21.6077179889791,21.9911485751285), .UNSPECIFIED.); #551=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261288,#261289,#261290,#261291,#261292, #261293,#261294,#261295,#261296,#261297),.UNSPECIFIED.,.F.,.F.,(4,1,1,1, 1,1,1,4),(15.7079632679489,16.2967646830336,17.296015059156,18.2952654352785, 19.2945158114009,20.2937661875234,21.2930165636458,21.9911485751285), .UNSPECIFIED.); #552=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261776,#261777,#261778,#261779,#261780, #261781,#261782,#261783,#261784,#261785,#261786,#261787,#261788,#261789, #261790,#261791,#261792,#261793,#261794,#261795,#261796,#261797,#261798, #261799,#261800,#261801,#261802,#261803,#261804,#261805,#261806,#261807, #261808,#261809,#261810,#261811,#261812,#261813,#261814,#261815,#261816, #261817,#261818,#261819,#261820,#261821,#261822,#261823,#261824,#261825, #261826,#261827,#261828,#261829,#261830,#261831,#261832,#261833,#261834, #261835,#261836,#261837,#261838,#261839,#261840,#261841,#261842,#261843, #261844,#261845,#261846,#261847,#261848,#261849,#261850,#261851,#261852, #261853,#261854,#261855,#261856,#261857,#261858,#261859,#261860,#261861, #261862,#261863),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.482632075205635,-0.464269527206755,-0.438447128334696, -0.425137523552405,-0.41911073584121,-0.414225070122028,-0.388357625568491, -0.367999603849684,-0.357219325989884,-0.352990953021798,-0.327458324842595, -0.301967106586455,-0.28284869289435,-0.268509882625271,-0.264475540956049, -0.260571636516255,-0.235951661778481,-0.225493451818036,-0.200630132547549, -0.175551365979105,-0.171336862372511,-0.146781731220386,-0.12209719939544, -0.0976995797658981,-0.0874691080688833,-0.0834066490055319,-0.0592921112339369, -0.0355160688296569,-0.012516484352086,0.),.UNSPECIFIED.); #553=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261864,#261865,#261866,#261867), .UNSPECIFIED.,.F.,.F.,(4,4),(107.936166508768,108.843619076272), .UNSPECIFIED.); #554=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261869,#261870,#261871,#261872,#261873, #261874,#261875,#261876,#261877,#261878,#261879,#261880,#261881,#261882, #261883,#261884,#261885,#261886,#261887,#261888,#261889,#261890,#261891, #261892,#261893,#261894,#261895,#261896,#261897,#261898,#261899,#261900, #261901,#261902,#261903,#261904,#261905,#261906,#261907,#261908,#261909, #261910,#261911,#261912,#261913,#261914,#261915,#261916,#261917,#261918, #261919,#261920,#261921,#261922,#261923,#261924,#261925,#261926,#261927, #261928,#261929,#261930,#261931,#261932,#261933,#261934,#261935,#261936, #261937,#261938,#261939,#261940,#261941,#261942,#261943,#261944,#261945, #261946,#261947,#261948,#261949,#261950,#261951,#261952,#261953), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(-0.48388226859396,-0.467497018508764,-0.444148700567647,-0.431167782033538, -0.426688008723724,-0.421972034379257,-0.397819675188452,-0.378167711412968, -0.370616793393549,-0.365046168787969,-0.340425331027655,-0.315469989516886, -0.29675348338381,-0.282716103784003,-0.278636755695288,-0.253359884255838, -0.240603964984582,-0.216032813501664,-0.190973893371187,-0.18536104404319, -0.160186054383396,-0.134561035464501,-0.10883638331895,-0.0983345328297603, -0.0944019546494017,-0.0682592875524948,-0.0421598269781309,-0.0167097563502074, 0.),.UNSPECIFIED.); #555=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261954,#261955,#261956,#261957,#261958, #261959,#261960,#261961,#261962),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,1,4), (10.3759343473737,11.3005128024213,12.2997631785438,13.2990135546662,14.2982639307887, 15.2975143069111,15.7079632679489),.UNSPECIFIED.); #556=B_SPLINE_CURVE_WITH_KNOTS('',3,(#261968,#261969,#261970,#261971,#261972, #261973,#261974,#261975,#261976,#261977,#261978,#261979,#261980,#261981, #261982,#261983,#261984,#261985,#261986,#261987,#261988,#261989,#261990, #261991,#261992,#261993,#261994,#261995,#261996,#261997,#261998,#261999, #262000,#262001,#262002,#262003,#262004,#262005,#262006,#262007,#262008, #262009,#262010,#262011,#262012,#262013,#262014,#262015,#262016,#262017, #262018,#262019,#262020,#262021,#262022,#262023,#262024,#262025,#262026, #262027,#262028,#262029,#262030,#262031,#262032,#262033,#262034,#262035, #262036,#262037,#262038,#262039,#262040,#262041,#262042,#262043,#262044, #262045,#262046,#262047,#262048,#262049,#262050,#262051,#262052,#262053, #262054,#262055),.UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,3,3,3,3,3,3,3,3,3,4),(-0.482632075205629,-0.464269527206744,-0.438447127005015, -0.425137522305828,-0.4191107242,-0.414225067485251,-0.388357622937102, -0.367999601547217,-0.357219320496497,-0.352990947786763,-0.327458319575532, -0.301967102636155,-0.282848689931622,-0.268509880403222,-0.264475519868214, -0.260571603657623,-0.235951625030988,-0.225493451818023,-0.200630127842847, -0.175551361862491,-0.171336863278503,-0.146781728630447,-0.122097197933531, -0.0976995848071515,-0.0874691082257536,-0.0834066492586487,-0.0592921158972684, -0.0355160818473945,-0.0125165093460329,0.),.UNSPECIFIED.); #557=B_SPLINE_CURVE_WITH_KNOTS('',3,(#262058,#262059,#262060,#262061,#262062, #262063,#262064,#262065),.UNSPECIFIED.,.F.,.F.,(4,1,1,1,1,4),(11.6152142277546, 12.6144646038771,13.6137149799995,14.612965356122,15.6122157322444,15.7079632679489), .UNSPECIFIED.); #558=B_SPLINE_CURVE_WITH_KNOTS('',3,(#262523,#262524,#262525,#262526,#262527, #262528,#262529,#262530,#262531,#262532,#262533,#262534,#262535,#262536, #262537,#262538,#262539,#262540,#262541,#262542,#262543,#262544,#262545, #262546,#262547,#262548,#262549,#262550,#262551,#262552,#262553,#262554, #262555,#262556,#262557,#262558,#262559,#262560,#262561,#262562,#262563, #262564,#262565,#262566,#262567,#262568,#262569,#262570,#262571,#262572, #262573,#262574,#262575,#262576,#262577,#262578,#262579,#262580,#262581, #262582,#262583,#262584,#262585,#262586,#262587,#262588,#262589,#262590, #262591,#262592,#262593,#262594,#262595,#262596,#262597,#262598,#262599, #262600,#262601,#262602,#262603,#262604,#262605,#262606,#262607), .UNSPECIFIED.,.F.,.F.,(4,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3,3, 3,3,3,3,4),(-0.483882268593959,-0.467497018508766,-0.44414869494313,-0.431167771886365, -0.426687984358677,-0.421972030274077,-0.397819668215153,-0.378167705311331, -0.370616775577312,-0.365046166809672,-0.340425327005821,-0.31546998650051, -0.296753481121527,-0.28271610208729,-0.278636753924742,-0.253359882486418, -0.240603964984578,-0.216032809503336,-0.190973885759568,-0.185361044170947, -0.16018605035272,-0.134561028391569,-0.108836377001103,-0.0983345175330349, -0.094401927197098,-0.0682592587597078,-0.0421598014120059,-0.0167097410884029, 0.),.UNSPECIFIED.); #559=B_SPLINE_CURVE_WITH_KNOTS('',3,(#262608,#262609,#262610,#262611), .UNSPECIFIED.,.F.,.F.,(4,4),(-110.916791749592,-110.906899262247), .UNSPECIFIED.); #560=CONICAL_SURFACE('',#162414,0.549999999999999,0.524111933142617); #561=CONICAL_SURFACE('',#162418,0.55,0.524111933142617); #562=CONICAL_SURFACE('',#162421,0.55,0.524111933142617); #563=CONICAL_SURFACE('',#162424,0.55,0.524111933142617); #564=CONICAL_SURFACE('',#162427,1.975,0.785398163397446); #565=CONICAL_SURFACE('',#162434,1.975,0.785398163397446); #566=CONICAL_SURFACE('',#162437,1.975,0.785398163397446); #567=CONICAL_SURFACE('',#162512,0.45,0.52359877559829); #568=CONICAL_SURFACE('',#162533,999.999999999989,0.785398163397443); #569=CONICAL_SURFACE('',#162535,0.572668331506581,0.785398163397451); #570=CONICAL_SURFACE('',#162558,0.572668331506581,0.785398163397451); #571=CONICAL_SURFACE('',#162560,999.999999999989,0.785398163397443); #572=CONICAL_SURFACE('',#162564,0.749999999999999,1.0471975511966); #573=CONICAL_SURFACE('',#162571,0.866025403784438,0.78539816339745); #574=CONICAL_SURFACE('',#162577,1.39573762177913,0.785398163397448); #575=CONICAL_SURFACE('',#162588,1.49176237822087,0.785398163397451); #576=CONICAL_SURFACE('',#168245,2.125,0.785398163397448); #577=CONICAL_SURFACE('',#168471,1.352,0.785398163397452); #578=CONICAL_SURFACE('',#168512,0.355,0.785398163397449); #579=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263625,#592); #580=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263626,#593); #581=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263627,#594); #582=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263628,#595); #583=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263629,#596); #584=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263632,#597); #585=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263633,#598); #586=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263634,#599); #587=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263635,#600); #588=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263636,#601); #589=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263637,#602); #590=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263630,#603); #591=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#263638,#604); #592=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#937),#263556); #593=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#938),#263557); #594=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#939),#263558); #595=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#940),#263559); #596=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#941),#263560); #597=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#942),#263563); #598=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#943),#263564); #599=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#944),#263565); #600=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#945,#946,#947,#948,#949),#263566); #601=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#950),#263567); #602=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#951),#263568); #603=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#952),#263561); #604=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#953,#954,#955),#263569); #605=STYLED_ITEM('',(#263704),#937); #606=STYLED_ITEM('',(#263706),#147964); #607=STYLED_ITEM('',(#263706),#148067); #608=STYLED_ITEM('',(#263706),#148073); #609=STYLED_ITEM('',(#263706),#148168); #610=STYLED_ITEM('',(#263706),#148278); #611=STYLED_ITEM('',(#263706),#148403); #612=STYLED_ITEM('',(#263706),#148467); #613=STYLED_ITEM('',(#263706),#148620); #614=STYLED_ITEM('',(#263706),#148699); #615=STYLED_ITEM('',(#263706),#148712); #616=STYLED_ITEM('',(#263706),#148717); #617=STYLED_ITEM('',(#263706),#148764); #618=STYLED_ITEM('',(#263706),#148773); #619=STYLED_ITEM('',(#263706),#148789); #620=STYLED_ITEM('',(#263706),#148960); #621=STYLED_ITEM('',(#263706),#149140); #622=STYLED_ITEM('',(#263706),#149266); #623=STYLED_ITEM('',(#263706),#149397); #624=STYLED_ITEM('',(#263706),#149561); #625=STYLED_ITEM('',(#263706),#149677); #626=STYLED_ITEM('',(#263706),#149765); #627=STYLED_ITEM('',(#263706),#149778); #628=STYLED_ITEM('',(#263706),#149926); #629=STYLED_ITEM('',(#263706),#149965); #630=STYLED_ITEM('',(#263706),#150027); #631=STYLED_ITEM('',(#263706),#150150); #632=STYLED_ITEM('',(#263706),#150164); #633=STYLED_ITEM('',(#263706),#150194); #634=STYLED_ITEM('',(#263706),#150351); #635=STYLED_ITEM('',(#263706),#150484); #636=STYLED_ITEM('',(#263706),#150523); #637=STYLED_ITEM('',(#263706),#150669); #638=STYLED_ITEM('',(#263706),#150732); #639=STYLED_ITEM('',(#263706),#150740); #640=STYLED_ITEM('',(#263706),#150860); #641=STYLED_ITEM('',(#263706),#151010); #642=STYLED_ITEM('',(#263706),#151086); #643=STYLED_ITEM('',(#263706),#151191); #644=STYLED_ITEM('',(#263706),#151196); #645=STYLED_ITEM('',(#263706),#151316); #646=STYLED_ITEM('',(#263706),#151321); #647=STYLED_ITEM('',(#263706),#151426); #648=STYLED_ITEM('',(#263706),#151543); #649=STYLED_ITEM('',(#263706),#151589); #650=STYLED_ITEM('',(#263706),#151612); #651=STYLED_ITEM('',(#263706),#151726); #652=STYLED_ITEM('',(#263706),#151808); #653=STYLED_ITEM('',(#263706),#151988); #654=STYLED_ITEM('',(#263706),#152010); #655=STYLED_ITEM('',(#263706),#152055); #656=STYLED_ITEM('',(#263706),#152172); #657=STYLED_ITEM('',(#263705),#938); #658=STYLED_ITEM('',(#263707),#939); #659=STYLED_ITEM('',(#263703),#940); #660=STYLED_ITEM('',(#263709),#153863); #661=STYLED_ITEM('',(#263709),#153864); #662=STYLED_ITEM('',(#263709),#153865); #663=STYLED_ITEM('',(#263709),#153866); #664=STYLED_ITEM('',(#263709),#153867); #665=STYLED_ITEM('',(#263709),#153868); #666=STYLED_ITEM('',(#263709),#153869); #667=STYLED_ITEM('',(#263709),#153870); #668=STYLED_ITEM('',(#263709),#153871); #669=STYLED_ITEM('',(#263709),#153872); #670=STYLED_ITEM('',(#263709),#153873); #671=STYLED_ITEM('',(#263709),#153874); #672=STYLED_ITEM('',(#263709),#153875); #673=STYLED_ITEM('',(#263709),#153876); #674=STYLED_ITEM('',(#263709),#153877); #675=STYLED_ITEM('',(#263709),#153878); #676=STYLED_ITEM('',(#263709),#153879); #677=STYLED_ITEM('',(#263709),#153880); #678=STYLED_ITEM('',(#263709),#153881); #679=STYLED_ITEM('',(#263709),#153882); #680=STYLED_ITEM('',(#263709),#153883); #681=STYLED_ITEM('',(#263709),#153884); #682=STYLED_ITEM('',(#263709),#153885); #683=STYLED_ITEM('',(#263709),#153886); #684=STYLED_ITEM('',(#263709),#153887); #685=STYLED_ITEM('',(#263709),#153888); #686=STYLED_ITEM('',(#263709),#153889); #687=STYLED_ITEM('',(#263709),#153890); #688=STYLED_ITEM('',(#263709),#153891); #689=STYLED_ITEM('',(#263708),#941); #690=STYLED_ITEM('',(#263715),#153895); #691=STYLED_ITEM('',(#263715),#153896); #692=STYLED_ITEM('',(#263715),#153897); #693=STYLED_ITEM('',(#263715),#153898); #694=STYLED_ITEM('',(#263715),#153899); #695=STYLED_ITEM('',(#263715),#153900); #696=STYLED_ITEM('',(#263715),#153901); #697=STYLED_ITEM('',(#263715),#153902); #698=STYLED_ITEM('',(#263715),#153903); #699=STYLED_ITEM('',(#263715),#153904); #700=STYLED_ITEM('',(#263715),#153905); #701=STYLED_ITEM('',(#263715),#153906); #702=STYLED_ITEM('',(#263715),#153907); #703=STYLED_ITEM('',(#263715),#153908); #704=STYLED_ITEM('',(#263715),#153911); #705=STYLED_ITEM('',(#263715),#153912); #706=STYLED_ITEM('',(#263715),#153913); #707=STYLED_ITEM('',(#263715),#153914); #708=STYLED_ITEM('',(#263715),#153915); #709=STYLED_ITEM('',(#263715),#153916); #710=STYLED_ITEM('',(#263715),#153917); #711=STYLED_ITEM('',(#263715),#153918); #712=STYLED_ITEM('',(#263715),#153919); #713=STYLED_ITEM('',(#263715),#153921); #714=STYLED_ITEM('',(#263715),#153922); #715=STYLED_ITEM('',(#263715),#153923); #716=STYLED_ITEM('',(#263715),#153924); #717=STYLED_ITEM('',(#263715),#153925); #718=STYLED_ITEM('',(#263715),#153926); #719=STYLED_ITEM('',(#263715),#153927); #720=STYLED_ITEM('',(#263715),#153928); #721=STYLED_ITEM('',(#263715),#153929); #722=STYLED_ITEM('',(#263715),#153930); #723=STYLED_ITEM('',(#263716),#153934); #724=STYLED_ITEM('',(#263716),#153938); #725=STYLED_ITEM('',(#263716),#153942); #726=STYLED_ITEM('',(#263716),#153946); #727=STYLED_ITEM('',(#263716),#153950); #728=STYLED_ITEM('',(#263716),#153954); #729=STYLED_ITEM('',(#263716),#153958); #730=STYLED_ITEM('',(#263716),#153962); #731=STYLED_ITEM('',(#263716),#153966); #732=STYLED_ITEM('',(#263716),#153970); #733=STYLED_ITEM('',(#263716),#153974); #734=STYLED_ITEM('',(#263716),#153978); #735=STYLED_ITEM('',(#263716),#153982); #736=STYLED_ITEM('',(#263716),#153986); #737=STYLED_ITEM('',(#263716),#153990); #738=STYLED_ITEM('',(#263716),#153994); #739=STYLED_ITEM('',(#263716),#153998); #740=STYLED_ITEM('',(#263716),#154002); #741=STYLED_ITEM('',(#263716),#154006); #742=STYLED_ITEM('',(#263716),#154010); #743=STYLED_ITEM('',(#263716),#154014); #744=STYLED_ITEM('',(#263716),#154018); #745=STYLED_ITEM('',(#263716),#154022); #746=STYLED_ITEM('',(#263716),#154026); #747=STYLED_ITEM('',(#263716),#154030); #748=STYLED_ITEM('',(#263716),#154034); #749=STYLED_ITEM('',(#263716),#154038); #750=STYLED_ITEM('',(#263716),#154042); #751=STYLED_ITEM('',(#263716),#154046); #752=STYLED_ITEM('',(#263716),#154050); #753=STYLED_ITEM('',(#263716),#154054); #754=STYLED_ITEM('',(#263716),#154058); #755=STYLED_ITEM('',(#263716),#154062); #756=STYLED_ITEM('',(#263716),#154066); #757=STYLED_ITEM('',(#263716),#154070); #758=STYLED_ITEM('',(#263716),#154074); #759=STYLED_ITEM('',(#263716),#154078); #760=STYLED_ITEM('',(#263716),#154082); #761=STYLED_ITEM('',(#263716),#154086); #762=STYLED_ITEM('',(#263716),#154090); #763=STYLED_ITEM('',(#263716),#154094); #764=STYLED_ITEM('',(#263716),#154098); #765=STYLED_ITEM('',(#263716),#154102); #766=STYLED_ITEM('',(#263716),#154106); #767=STYLED_ITEM('',(#263716),#154110); #768=STYLED_ITEM('',(#263716),#154114); #769=STYLED_ITEM('',(#263716),#154118); #770=STYLED_ITEM('',(#263716),#154122); #771=STYLED_ITEM('',(#263716),#154126); #772=STYLED_ITEM('',(#263716),#154130); #773=STYLED_ITEM('',(#263716),#154134); #774=STYLED_ITEM('',(#263716),#154138); #775=STYLED_ITEM('',(#263716),#154142); #776=STYLED_ITEM('',(#263716),#154146); #777=STYLED_ITEM('',(#263716),#154150); #778=STYLED_ITEM('',(#263716),#154154); #779=STYLED_ITEM('',(#263716),#154158); #780=STYLED_ITEM('',(#263716),#154162); #781=STYLED_ITEM('',(#263716),#154166); #782=STYLED_ITEM('',(#263716),#154170); #783=STYLED_ITEM('',(#263716),#154174); #784=STYLED_ITEM('',(#263716),#154178); #785=STYLED_ITEM('',(#263716),#154182); #786=STYLED_ITEM('',(#263716),#154186); #787=STYLED_ITEM('',(#263716),#154187); #788=STYLED_ITEM('',(#263716),#154188); #789=STYLED_ITEM('',(#263716),#154189); #790=STYLED_ITEM('',(#263716),#154190); #791=STYLED_ITEM('',(#263716),#154191); #792=STYLED_ITEM('',(#263716),#154192); #793=STYLED_ITEM('',(#263716),#154193); #794=STYLED_ITEM('',(#263716),#154194); #795=STYLED_ITEM('',(#263716),#154195); #796=STYLED_ITEM('',(#263716),#154196); #797=STYLED_ITEM('',(#263716),#154197); #798=STYLED_ITEM('',(#263716),#154198); #799=STYLED_ITEM('',(#263716),#154199); #800=STYLED_ITEM('',(#263716),#154200); #801=STYLED_ITEM('',(#263716),#154201); #802=STYLED_ITEM('',(#263716),#154202); #803=STYLED_ITEM('',(#263716),#154203); #804=STYLED_ITEM('',(#263716),#154204); #805=STYLED_ITEM('',(#263716),#154205); #806=STYLED_ITEM('',(#263716),#154206); #807=STYLED_ITEM('',(#263716),#154207); #808=STYLED_ITEM('',(#263716),#154208); #809=STYLED_ITEM('',(#263716),#154209); #810=STYLED_ITEM('',(#263716),#154210); #811=STYLED_ITEM('',(#263716),#154211); #812=STYLED_ITEM('',(#263716),#154212); #813=STYLED_ITEM('',(#263716),#154213); #814=STYLED_ITEM('',(#263716),#154214); #815=STYLED_ITEM('',(#263716),#154215); #816=STYLED_ITEM('',(#263716),#154216); #817=STYLED_ITEM('',(#263716),#154217); #818=STYLED_ITEM('',(#263716),#154218); #819=STYLED_ITEM('',(#263716),#154219); #820=STYLED_ITEM('',(#263715),#154228); #821=STYLED_ITEM('',(#263715),#942); #822=STYLED_ITEM('',(#263718),#154251); #823=STYLED_ITEM('',(#263718),#154267); #824=STYLED_ITEM('',(#263718),#154313); #825=STYLED_ITEM('',(#263718),#154345); #826=STYLED_ITEM('',(#263718),#154379); #827=STYLED_ITEM('',(#263718),#154422); #828=STYLED_ITEM('',(#263718),#154443); #829=STYLED_ITEM('',(#263718),#154490); #830=STYLED_ITEM('',(#263718),#154509); #831=STYLED_ITEM('',(#263718),#154524); #832=STYLED_ITEM('',(#263718),#154548); #833=STYLED_ITEM('',(#263718),#154631); #834=STYLED_ITEM('',(#263718),#154656); #835=STYLED_ITEM('',(#263718),#154697); #836=STYLED_ITEM('',(#263718),#154709); #837=STYLED_ITEM('',(#263718),#154757); #838=STYLED_ITEM('',(#263718),#154801); #839=STYLED_ITEM('',(#263718),#154866); #840=STYLED_ITEM('',(#263718),#154875); #841=STYLED_ITEM('',(#263717),#943); #842=STYLED_ITEM('',(#263719),#155030); #843=STYLED_ITEM('',(#263719),#155046); #844=STYLED_ITEM('',(#263720),#155063); #845=STYLED_ITEM('',(#263719),#155066); #846=STYLED_ITEM('',(#263719),#155169); #847=STYLED_ITEM('',(#263719),#155210); #848=STYLED_ITEM('',(#263719),#155251); #849=STYLED_ITEM('',(#263721),#155314); #850=STYLED_ITEM('',(#263721),#155320); #851=STYLED_ITEM('',(#263721),#155326); #852=STYLED_ITEM('',(#263721),#155332); #853=STYLED_ITEM('',(#263721),#155338); #854=STYLED_ITEM('',(#263721),#155344); #855=STYLED_ITEM('',(#263721),#155350); #856=STYLED_ITEM('',(#263721),#155356); #857=STYLED_ITEM('',(#263721),#155362); #858=STYLED_ITEM('',(#263721),#155368); #859=STYLED_ITEM('',(#263721),#155374); #860=STYLED_ITEM('',(#263721),#155380); #861=STYLED_ITEM('',(#263721),#155386); #862=STYLED_ITEM('',(#263721),#155392); #863=STYLED_ITEM('',(#263721),#155398); #864=STYLED_ITEM('',(#263721),#155404); #865=STYLED_ITEM('',(#263721),#155410); #866=STYLED_ITEM('',(#263721),#155416); #867=STYLED_ITEM('',(#263721),#155422); #868=STYLED_ITEM('',(#263721),#155428); #869=STYLED_ITEM('',(#263717),#944); #870=STYLED_ITEM('',(#263708),#155467); #871=STYLED_ITEM('',(#263708),#155468); #872=STYLED_ITEM('',(#263708),#155469); #873=STYLED_ITEM('',(#263708),#155470); #874=STYLED_ITEM('',(#263708),#155475); #875=STYLED_ITEM('',(#263708),#155485); #876=STYLED_ITEM('',(#263708),#155488); #877=STYLED_ITEM('',(#263723),#155489); #878=STYLED_ITEM('',(#263723),#155490); #879=STYLED_ITEM('',(#263723),#155491); #880=STYLED_ITEM('',(#263723),#155492); #881=STYLED_ITEM('',(#263723),#155493); #882=STYLED_ITEM('',(#263723),#155494); #883=STYLED_ITEM('',(#263723),#155495); #884=STYLED_ITEM('',(#263723),#155496); #885=STYLED_ITEM('',(#263723),#155497); #886=STYLED_ITEM('',(#263723),#155498); #887=STYLED_ITEM('',(#263723),#155499); #888=STYLED_ITEM('',(#263723),#155500); #889=STYLED_ITEM('',(#263723),#155501); #890=STYLED_ITEM('',(#263723),#155502); #891=STYLED_ITEM('',(#263723),#155503); #892=STYLED_ITEM('',(#263723),#155504); #893=STYLED_ITEM('',(#263723),#155505); #894=STYLED_ITEM('',(#263723),#155506); #895=STYLED_ITEM('',(#263723),#155507); #896=STYLED_ITEM('',(#263723),#155508); #897=STYLED_ITEM('',(#263723),#155509); #898=STYLED_ITEM('',(#263723),#155510); #899=STYLED_ITEM('',(#263723),#155511); #900=STYLED_ITEM('',(#263723),#155512); #901=STYLED_ITEM('',(#263723),#155513); #902=STYLED_ITEM('',(#263723),#155514); #903=STYLED_ITEM('',(#263723),#155515); #904=STYLED_ITEM('',(#263723),#155516); #905=STYLED_ITEM('',(#263723),#155517); #906=STYLED_ITEM('',(#263723),#155518); #907=STYLED_ITEM('',(#263722),#945); #908=STYLED_ITEM('',(#263716),#946); #909=STYLED_ITEM('',(#263716),#947); #910=STYLED_ITEM('',(#263716),#948); #911=STYLED_ITEM('',(#263716),#949); #912=STYLED_ITEM('',(#263724),#950); #913=STYLED_ITEM('',(#263725),#951); #914=STYLED_ITEM('',(#263711),#155573); #915=STYLED_ITEM('',(#263711),#155581); #916=STYLED_ITEM('',(#263711),#155582); #917=STYLED_ITEM('',(#263711),#155583); #918=STYLED_ITEM('',(#263711),#155584); #919=STYLED_ITEM('',(#263711),#155585); #920=STYLED_ITEM('',(#263711),#155586); #921=STYLED_ITEM('',(#263711),#155587); #922=STYLED_ITEM('',(#263711),#155588); #923=STYLED_ITEM('',(#263711),#155589); #924=STYLED_ITEM('',(#263711),#155601); #925=STYLED_ITEM('',(#263711),#155602); #926=STYLED_ITEM('',(#263711),#155616); #927=STYLED_ITEM('',(#263712),#155619); #928=STYLED_ITEM('',(#263712),#155620); #929=STYLED_ITEM('',(#263713),#155621); #930=STYLED_ITEM('',(#263713),#155622); #931=STYLED_ITEM('',(#263714),#155623); #932=STYLED_ITEM('',(#263714),#155624); #933=STYLED_ITEM('',(#263710),#952); #934=STYLED_ITEM('',(#263726),#953); #935=STYLED_ITEM('',(#263727),#954); #936=STYLED_ITEM('',(#263728),#955); #937=MANIFOLD_SOLID_BREP('Case-Bottom',#155675); #938=MANIFOLD_SOLID_BREP('Case-Top',#155676); #939=MANIFOLD_SOLID_BREP('Body1',#155677); #940=MANIFOLD_SOLID_BREP('Body1',#155678); #941=MANIFOLD_SOLID_BREP('Body1',#155679); #942=MANIFOLD_SOLID_BREP('Body1',#155680); #943=MANIFOLD_SOLID_BREP('Body1',#155681); #944=MANIFOLD_SOLID_BREP('Body1',#155682); #945=MANIFOLD_SOLID_BREP('Body1',#155683); #946=MANIFOLD_SOLID_BREP('Body2',#155684); #947=MANIFOLD_SOLID_BREP('Body6',#155685); #948=MANIFOLD_SOLID_BREP('Body7',#155686); #949=MANIFOLD_SOLID_BREP('Body8',#155687); #950=MANIFOLD_SOLID_BREP('Body1',#155688); #951=MANIFOLD_SOLID_BREP('Body1',#155689); #952=MANIFOLD_SOLID_BREP('Body1',#155690); #953=MANIFOLD_SOLID_BREP('Body1',#155691); #954=MANIFOLD_SOLID_BREP('Body2',#155692); #955=MANIFOLD_SOLID_BREP('Body3',#155693); #956=FACE_BOUND('',#14522,.T.); #957=FACE_BOUND('',#14584,.T.); #958=FACE_BOUND('',#14585,.T.); #959=FACE_BOUND('',#14586,.T.); #960=FACE_BOUND('',#14590,.T.); #961=FACE_BOUND('',#14593,.T.); #962=FACE_BOUND('',#14646,.T.); #963=FACE_BOUND('',#14647,.T.); #964=FACE_BOUND('',#14768,.T.); #965=FACE_BOUND('',#14872,.T.); #966=FACE_BOUND('',#15505,.T.); #967=FACE_BOUND('',#15506,.T.); #968=FACE_BOUND('',#15572,.T.); #969=FACE_BOUND('',#16574,.T.); #970=FACE_BOUND('',#16736,.T.); #971=FACE_BOUND('',#16776,.T.); #972=FACE_BOUND('',#16839,.T.); #973=FACE_BOUND('',#16977,.T.); #974=FACE_BOUND('',#17165,.T.); #975=FACE_BOUND('',#17484,.T.); #976=FACE_BOUND('',#17548,.T.); #977=FACE_BOUND('',#17827,.T.); #978=FACE_BOUND('',#17904,.T.); #979=FACE_BOUND('',#17905,.T.); #980=FACE_BOUND('',#18136,.T.); #981=FACE_BOUND('',#18247,.T.); #982=FACE_BOUND('',#18365,.T.); #983=FACE_BOUND('',#18631,.T.); #984=FACE_BOUND('',#18632,.T.); #985=FACE_BOUND('',#18835,.T.); #986=FACE_BOUND('',#18999,.T.); #987=FACE_BOUND('',#19000,.T.); #988=FACE_BOUND('',#19001,.T.); #989=FACE_BOUND('',#19002,.T.); #990=FACE_BOUND('',#19003,.T.); #991=FACE_BOUND('',#19004,.T.); #992=FACE_BOUND('',#19005,.T.); #993=FACE_BOUND('',#19006,.T.); #994=FACE_BOUND('',#19007,.T.); #995=FACE_BOUND('',#19008,.T.); #996=FACE_BOUND('',#19009,.T.); #997=FACE_BOUND('',#19010,.T.); #998=FACE_BOUND('',#19011,.T.); #999=FACE_BOUND('',#19012,.T.); #1000=FACE_BOUND('',#19013,.T.); #1001=FACE_BOUND('',#19014,.T.); #1002=FACE_BOUND('',#19015,.T.); #1003=FACE_BOUND('',#19016,.T.); #1004=FACE_BOUND('',#19017,.T.); #1005=FACE_BOUND('',#19018,.T.); #1006=FACE_BOUND('',#19019,.T.); #1007=FACE_BOUND('',#19020,.T.); #1008=FACE_BOUND('',#19021,.T.); #1009=FACE_BOUND('',#19022,.T.); #1010=FACE_BOUND('',#19023,.T.); #1011=FACE_BOUND('',#19024,.T.); #1012=FACE_BOUND('',#19025,.T.); #1013=FACE_BOUND('',#19026,.T.); #1014=FACE_BOUND('',#19027,.T.); #1015=FACE_BOUND('',#19028,.T.); #1016=FACE_BOUND('',#19029,.T.); #1017=FACE_BOUND('',#19030,.T.); #1018=FACE_BOUND('',#19031,.T.); #1019=FACE_BOUND('',#19032,.T.); #1020=FACE_BOUND('',#19033,.T.); #1021=FACE_BOUND('',#19034,.T.); #1022=FACE_BOUND('',#19035,.T.); #1023=FACE_BOUND('',#19036,.T.); #1024=FACE_BOUND('',#19037,.T.); #1025=FACE_BOUND('',#19038,.T.); #1026=FACE_BOUND('',#19039,.T.); #1027=FACE_BOUND('',#19040,.T.); #1028=FACE_BOUND('',#19041,.T.); #1029=FACE_BOUND('',#19042,.T.); #1030=FACE_BOUND('',#19043,.T.); #1031=FACE_BOUND('',#19044,.T.); #1032=FACE_BOUND('',#19045,.T.); #1033=FACE_BOUND('',#19046,.T.); #1034=FACE_BOUND('',#19047,.T.); #1035=FACE_BOUND('',#19048,.T.); #1036=FACE_BOUND('',#19049,.T.); #1037=FACE_BOUND('',#19050,.T.); #1038=FACE_BOUND('',#19051,.T.); #1039=FACE_BOUND('',#19052,.T.); #1040=FACE_BOUND('',#19053,.T.); #1041=FACE_BOUND('',#19079,.T.); #1042=FACE_BOUND('',#19080,.T.); #1043=FACE_BOUND('',#19081,.T.); #1044=FACE_BOUND('',#19098,.T.); #1045=FACE_BOUND('',#19106,.T.); #1046=FACE_BOUND('',#19107,.T.); #1047=FACE_BOUND('',#19108,.T.); #1048=FACE_BOUND('',#19148,.T.); #1049=FACE_BOUND('',#19149,.T.); #1050=FACE_BOUND('',#19150,.T.); #1051=FACE_BOUND('',#19161,.T.); #1052=FACE_BOUND('',#19162,.T.); #1053=FACE_BOUND('',#19263,.T.); #1054=FACE_BOUND('',#19270,.T.); #1055=FACE_BOUND('',#19319,.T.); #1056=FACE_BOUND('',#19363,.T.); #1057=FACE_BOUND('',#19418,.T.); #1058=FACE_BOUND('',#19685,.T.); #1059=FACE_BOUND('',#19752,.T.); #1060=FACE_BOUND('',#19814,.T.); #1061=FACE_BOUND('',#19871,.T.); #1062=FACE_BOUND('',#19916,.T.); #1063=FACE_BOUND('',#20097,.T.); #1064=FACE_BOUND('',#20129,.T.); #1065=FACE_BOUND('',#20161,.T.); #1066=FACE_BOUND('',#20193,.T.); #1067=FACE_BOUND('',#20353,.T.); #1068=FACE_BOUND('',#20491,.T.); #1069=FACE_BOUND('',#20687,.T.); #1070=FACE_BOUND('',#20751,.T.); #1071=FACE_BOUND('',#20774,.T.); #1072=FACE_BOUND('',#20775,.T.); #1073=FACE_BOUND('',#20776,.T.); #1074=FACE_BOUND('',#20777,.T.); #1075=FACE_BOUND('',#20778,.T.); #1076=FACE_BOUND('',#20779,.T.); #1077=FACE_BOUND('',#20780,.T.); #1078=FACE_BOUND('',#20781,.T.); #1079=FACE_BOUND('',#20782,.T.); #1080=FACE_BOUND('',#20783,.T.); #1081=FACE_BOUND('',#20784,.T.); #1082=FACE_BOUND('',#20785,.T.); #1083=FACE_BOUND('',#20786,.T.); #1084=FACE_BOUND('',#20787,.T.); #1085=FACE_BOUND('',#20788,.T.); #1086=FACE_BOUND('',#20789,.T.); #1087=FACE_BOUND('',#20790,.T.); #1088=FACE_BOUND('',#20791,.T.); #1089=FACE_BOUND('',#20792,.T.); #1090=FACE_BOUND('',#20793,.T.); #1091=FACE_BOUND('',#20794,.T.); #1092=FACE_BOUND('',#20795,.T.); #1093=FACE_BOUND('',#20796,.T.); #1094=FACE_BOUND('',#20797,.T.); #1095=FACE_BOUND('',#20798,.T.); #1096=FACE_BOUND('',#20799,.T.); #1097=FACE_BOUND('',#20800,.T.); #1098=FACE_BOUND('',#20801,.T.); #1099=FACE_BOUND('',#20802,.T.); #1100=FACE_BOUND('',#20803,.T.); #1101=FACE_BOUND('',#20804,.T.); #1102=FACE_BOUND('',#20805,.T.); #1103=FACE_BOUND('',#20806,.T.); #1104=FACE_BOUND('',#20807,.T.); #1105=FACE_BOUND('',#20808,.T.); #1106=FACE_BOUND('',#20809,.T.); #1107=FACE_BOUND('',#20810,.T.); #1108=FACE_BOUND('',#20811,.T.); #1109=FACE_BOUND('',#20812,.T.); #1110=FACE_BOUND('',#20813,.T.); #1111=FACE_BOUND('',#20814,.T.); #1112=FACE_BOUND('',#20815,.T.); #1113=FACE_BOUND('',#20816,.T.); #1114=FACE_BOUND('',#20817,.T.); #1115=FACE_BOUND('',#20818,.T.); #1116=FACE_BOUND('',#20823,.T.); #1117=FACE_BOUND('',#20830,.T.); #1118=FACE_BOUND('',#20838,.T.); #1119=FACE_BOUND('',#20852,.T.); #1120=FACE_BOUND('',#21179,.T.); #1121=FACE_BOUND('',#21212,.T.); #1122=FACE_BOUND('',#21214,.T.); #1123=FACE_BOUND('',#21215,.T.); #1124=FACE_BOUND('',#21216,.T.); #1125=FACE_BOUND('',#21217,.T.); #1126=FACE_BOUND('',#21218,.T.); #1127=FACE_BOUND('',#21219,.T.); #1128=FACE_BOUND('',#21220,.T.); #1129=FACE_BOUND('',#21221,.T.); #1130=FACE_BOUND('',#21222,.T.); #1131=FACE_BOUND('',#21223,.T.); #1132=FACE_BOUND('',#21224,.T.); #1133=FACE_BOUND('',#21225,.T.); #1134=FACE_BOUND('',#21226,.T.); #1135=FACE_BOUND('',#21227,.T.); #1136=FACE_BOUND('',#21228,.T.); #1137=FACE_BOUND('',#21229,.T.); #1138=FACE_BOUND('',#21230,.T.); #1139=FACE_BOUND('',#21231,.T.); #1140=FACE_BOUND('',#21232,.T.); #1141=FACE_BOUND('',#21233,.T.); #1142=FACE_BOUND('',#21234,.T.); #1143=FACE_BOUND('',#21235,.T.); #1144=FACE_BOUND('',#21236,.T.); #1145=FACE_BOUND('',#21237,.T.); #1146=FACE_BOUND('',#21238,.T.); #1147=FACE_BOUND('',#21239,.T.); #1148=FACE_BOUND('',#21240,.T.); #1149=FACE_BOUND('',#21241,.T.); #1150=FACE_BOUND('',#21242,.T.); #1151=FACE_BOUND('',#21243,.T.); #1152=FACE_BOUND('',#21244,.T.); #1153=FACE_BOUND('',#21245,.T.); #1154=FACE_BOUND('',#21246,.T.); #1155=FACE_BOUND('',#21308,.T.); #1156=FACE_BOUND('',#21439,.T.); #1157=FACE_BOUND('',#21694,.T.); #1158=FACE_BOUND('',#21755,.T.); #1159=FACE_BOUND('',#21940,.T.); #1160=FACE_BOUND('',#21941,.T.); #1161=FACE_BOUND('',#21942,.T.); #1162=FACE_BOUND('',#21943,.T.); #1163=FACE_BOUND('',#21944,.T.); #1164=FACE_BOUND('',#21945,.T.); #1165=FACE_BOUND('',#21946,.T.); #1166=FACE_BOUND('',#21947,.T.); #1167=FACE_BOUND('',#21948,.T.); #1168=FACE_BOUND('',#21949,.T.); #1169=FACE_BOUND('',#21950,.T.); #1170=FACE_BOUND('',#21951,.T.); #1171=FACE_BOUND('',#21952,.T.); #1172=FACE_BOUND('',#21953,.T.); #1173=FACE_BOUND('',#21989,.T.); #1174=FACE_BOUND('',#22060,.T.); #1175=FACE_BOUND('',#22482,.T.); #1176=FACE_BOUND('',#22498,.T.); #1177=FACE_BOUND('',#22500,.T.); #1178=FACE_BOUND('',#22557,.T.); #1179=FACE_BOUND('',#22559,.T.); #1180=FACE_BOUND('',#22592,.T.); #1181=FACE_BOUND('',#22593,.T.); #1182=FACE_BOUND('',#22594,.T.); #1183=FACE_BOUND('',#22639,.T.); #1184=FACE_BOUND('',#22657,.T.); #1185=FACE_BOUND('',#22659,.T.); #1186=FACE_BOUND('',#22681,.T.); #1187=FACE_BOUND('',#22683,.T.); #1188=FACE_BOUND('',#22685,.T.); #1189=FACE_BOUND('',#22689,.T.); #1190=FACE_BOUND('',#22691,.T.); #1191=PLANE('',#155832); #1192=PLANE('',#155833); #1193=PLANE('',#155841); #1194=PLANE('',#155844); #1195=PLANE('',#155850); #1196=PLANE('',#155851); #1197=PLANE('',#155852); #1198=PLANE('',#155853); #1199=PLANE('',#155854); #1200=PLANE('',#155868); #1201=PLANE('',#155889); #1202=PLANE('',#155892); #1203=PLANE('',#155895); #1204=PLANE('',#155897); #1205=PLANE('',#155899); #1206=PLANE('',#155900); #1207=PLANE('',#155905); #1208=PLANE('',#155907); #1209=PLANE('',#155909); #1210=PLANE('',#155915); #1211=PLANE('',#155920); #1212=PLANE('',#155921); #1213=PLANE('',#155928); #1214=PLANE('',#155935); #1215=PLANE('',#155942); #1216=PLANE('',#155946); #1217=PLANE('',#155950); #1218=PLANE('',#155953); #1219=PLANE('',#155956); #1220=PLANE('',#155959); #1221=PLANE('',#155960); #1222=PLANE('',#155961); #1223=PLANE('',#155962); #1224=PLANE('',#155963); #1225=PLANE('',#155964); #1226=PLANE('',#155965); #1227=PLANE('',#155966); #1228=PLANE('',#155967); #1229=PLANE('',#155968); #1230=PLANE('',#155969); #1231=PLANE('',#155977); #1232=PLANE('',#155978); #1233=PLANE('',#155988); #1234=PLANE('',#155991); #1235=PLANE('',#155995); #1236=PLANE('',#156001); #1237=PLANE('',#156002); #1238=PLANE('',#156003); #1239=PLANE('',#156004); #1240=PLANE('',#156005); #1241=PLANE('',#156006); #1242=PLANE('',#156009); #1243=PLANE('',#156012); #1244=PLANE('',#156013); #1245=PLANE('',#156016); #1246=PLANE('',#156019); #1247=PLANE('',#156020); #1248=PLANE('',#156023); #1249=PLANE('',#156024); #1250=PLANE('',#156025); #1251=PLANE('',#156028); #1252=PLANE('',#156033); #1253=PLANE('',#156034); #1254=PLANE('',#156037); #1255=PLANE('',#156038); #1256=PLANE('',#156039); #1257=PLANE('',#156042); #1258=PLANE('',#156045); #1259=PLANE('',#156048); #1260=PLANE('',#156049); #1261=PLANE('',#156050); #1262=PLANE('',#156053); #1263=PLANE('',#156056); #1264=PLANE('',#156057); #1265=PLANE('',#156058); #1266=PLANE('',#156059); #1267=PLANE('',#156060); #1268=PLANE('',#156061); #1269=PLANE('',#156062); #1270=PLANE('',#156063); #1271=PLANE('',#156064); #1272=PLANE('',#156072); #1273=PLANE('',#156073); #1274=PLANE('',#156074); #1275=PLANE('',#156075); #1276=PLANE('',#156079); #1277=PLANE('',#156092); #1278=PLANE('',#156093); #1279=PLANE('',#156094); #1280=PLANE('',#156095); #1281=PLANE('',#156096); #1282=PLANE('',#156097); #1283=PLANE('',#156098); #1284=PLANE('',#156099); #1285=PLANE('',#156100); #1286=PLANE('',#156101); #1287=PLANE('',#156102); #1288=PLANE('',#156103); #1289=PLANE('',#156104); #1290=PLANE('',#156108); #1291=PLANE('',#156109); #1292=PLANE('',#156110); #1293=PLANE('',#156111); #1294=PLANE('',#156112); #1295=PLANE('',#156113); #1296=PLANE('',#156114); #1297=PLANE('',#156118); #1298=PLANE('',#156122); #1299=PLANE('',#156123); #1300=PLANE('',#156124); #1301=PLANE('',#156125); #1302=PLANE('',#156129); #1303=PLANE('',#156130); #1304=PLANE('',#156131); #1305=PLANE('',#156132); #1306=PLANE('',#156133); #1307=PLANE('',#156134); #1308=PLANE('',#156135); #1309=PLANE('',#156136); #1310=PLANE('',#156137); #1311=PLANE('',#156138); #1312=PLANE('',#156139); #1313=PLANE('',#156140); #1314=PLANE('',#156141); #1315=PLANE('',#156142); #1316=PLANE('',#156143); #1317=PLANE('',#156144); #1318=PLANE('',#156145); #1319=PLANE('',#156146); #1320=PLANE('',#156147); #1321=PLANE('',#156148); #1322=PLANE('',#156149); #1323=PLANE('',#156150); #1324=PLANE('',#156154); #1325=PLANE('',#156155); #1326=PLANE('',#156162); #1327=PLANE('',#156163); #1328=PLANE('',#156164); #1329=PLANE('',#156165); #1330=PLANE('',#156166); #1331=PLANE('',#156167); #1332=PLANE('',#156168); #1333=PLANE('',#156169); #1334=PLANE('',#156170); #1335=PLANE('',#156171); #1336=PLANE('',#156172); #1337=PLANE('',#156173); #1338=PLANE('',#156174); #1339=PLANE('',#156175); #1340=PLANE('',#156176); #1341=PLANE('',#156177); #1342=PLANE('',#156178); #1343=PLANE('',#156179); #1344=PLANE('',#156180); #1345=PLANE('',#156181); #1346=PLANE('',#156182); #1347=PLANE('',#156183); #1348=PLANE('',#156184); #1349=PLANE('',#156185); #1350=PLANE('',#156189); #1351=PLANE('',#156190); #1352=PLANE('',#156191); #1353=PLANE('',#156195); #1354=PLANE('',#156199); #1355=PLANE('',#156200); #1356=PLANE('',#156201); #1357=PLANE('',#156202); #1358=PLANE('',#156203); #1359=PLANE('',#156204); #1360=PLANE('',#156205); #1361=PLANE('',#156206); #1362=PLANE('',#156207); #1363=PLANE('',#156208); #1364=PLANE('',#156209); #1365=PLANE('',#156210); #1366=PLANE('',#156211); #1367=PLANE('',#156215); #1368=PLANE('',#156216); #1369=PLANE('',#156217); #1370=PLANE('',#156227); #1371=PLANE('',#156231); #1372=PLANE('',#156232); #1373=PLANE('',#156233); #1374=PLANE('',#156234); #1375=PLANE('',#156235); #1376=PLANE('',#156236); #1377=PLANE('',#156237); #1378=PLANE('',#156247); #1379=PLANE('',#156248); #1380=PLANE('',#156249); #1381=PLANE('',#156250); #1382=PLANE('',#156251); #1383=PLANE('',#156252); #1384=PLANE('',#156253); #1385=PLANE('',#156254); #1386=PLANE('',#156255); #1387=PLANE('',#156256); #1388=PLANE('',#156257); #1389=PLANE('',#156258); #1390=PLANE('',#156259); #1391=PLANE('',#156260); #1392=PLANE('',#156261); #1393=PLANE('',#156262); #1394=PLANE('',#156263); #1395=PLANE('',#156264); #1396=PLANE('',#156265); #1397=PLANE('',#156269); #1398=PLANE('',#156270); #1399=PLANE('',#156271); #1400=PLANE('',#156278); #1401=PLANE('',#156279); #1402=PLANE('',#156280); #1403=PLANE('',#156281); #1404=PLANE('',#156282); #1405=PLANE('',#156283); #1406=PLANE('',#156284); #1407=PLANE('',#156285); #1408=PLANE('',#156286); #1409=PLANE('',#156287); #1410=PLANE('',#156288); #1411=PLANE('',#156289); #1412=PLANE('',#156290); #1413=PLANE('',#156291); #1414=PLANE('',#156292); #1415=PLANE('',#156293); #1416=PLANE('',#156294); #1417=PLANE('',#156295); #1418=PLANE('',#156296); #1419=PLANE('',#156297); #1420=PLANE('',#156298); #1421=PLANE('',#156299); #1422=PLANE('',#156300); #1423=PLANE('',#156301); #1424=PLANE('',#156302); #1425=PLANE('',#156306); #1426=PLANE('',#156307); #1427=PLANE('',#156308); #1428=PLANE('',#156309); #1429=PLANE('',#156310); #1430=PLANE('',#156311); #1431=PLANE('',#156312); #1432=PLANE('',#156313); #1433=PLANE('',#156314); #1434=PLANE('',#156315); #1435=PLANE('',#156316); #1436=PLANE('',#156317); #1437=PLANE('',#156318); #1438=PLANE('',#156319); #1439=PLANE('',#156320); #1440=PLANE('',#156321); #1441=PLANE('',#156322); #1442=PLANE('',#156323); #1443=PLANE('',#156324); #1444=PLANE('',#156325); #1445=PLANE('',#156326); #1446=PLANE('',#156327); #1447=PLANE('',#156328); #1448=PLANE('',#156329); #1449=PLANE('',#156330); #1450=PLANE('',#156337); #1451=PLANE('',#156338); #1452=PLANE('',#156351); #1453=PLANE('',#156352); #1454=PLANE('',#156353); #1455=PLANE('',#156354); #1456=PLANE('',#156355); #1457=PLANE('',#156356); #1458=PLANE('',#156357); #1459=PLANE('',#156358); #1460=PLANE('',#156359); #1461=PLANE('',#156363); #1462=PLANE('',#156364); #1463=PLANE('',#156365); #1464=PLANE('',#156366); #1465=PLANE('',#156367); #1466=PLANE('',#156368); #1467=PLANE('',#156369); #1468=PLANE('',#156370); #1469=PLANE('',#156374); #1470=PLANE('',#156378); #1471=PLANE('',#156379); #1472=PLANE('',#156380); #1473=PLANE('',#156381); #1474=PLANE('',#156382); #1475=PLANE('',#156386); #1476=PLANE('',#156387); #1477=PLANE('',#156391); #1478=PLANE('',#156395); #1479=PLANE('',#156396); #1480=PLANE('',#156397); #1481=PLANE('',#156398); #1482=PLANE('',#156399); #1483=PLANE('',#156400); #1484=PLANE('',#156401); #1485=PLANE('',#156402); #1486=PLANE('',#156403); #1487=PLANE('',#156407); #1488=PLANE('',#156408); #1489=PLANE('',#156409); #1490=PLANE('',#156410); #1491=PLANE('',#156411); #1492=PLANE('',#156412); #1493=PLANE('',#156413); #1494=PLANE('',#156414); #1495=PLANE('',#156421); #1496=PLANE('',#156422); #1497=PLANE('',#156423); #1498=PLANE('',#156424); #1499=PLANE('',#156431); #1500=PLANE('',#156432); #1501=PLANE('',#156433); #1502=PLANE('',#156434); #1503=PLANE('',#156438); #1504=PLANE('',#156439); #1505=PLANE('',#156440); #1506=PLANE('',#156441); #1507=PLANE('',#156442); #1508=PLANE('',#156443); #1509=PLANE('',#156444); #1510=PLANE('',#156445); #1511=PLANE('',#156446); #1512=PLANE('',#156447); #1513=PLANE('',#156451); #1514=PLANE('',#156452); #1515=PLANE('',#156453); #1516=PLANE('',#156457); #1517=PLANE('',#156461); #1518=PLANE('',#156462); #1519=PLANE('',#156463); #1520=PLANE('',#156464); #1521=PLANE('',#156465); #1522=PLANE('',#156466); #1523=PLANE('',#156467); #1524=PLANE('',#156468); #1525=PLANE('',#156469); #1526=PLANE('',#156473); #1527=PLANE('',#156474); #1528=PLANE('',#156475); #1529=PLANE('',#156476); #1530=PLANE('',#156477); #1531=PLANE('',#156478); #1532=PLANE('',#156479); #1533=PLANE('',#156480); #1534=PLANE('',#156481); #1535=PLANE('',#156482); #1536=PLANE('',#156492); #1537=PLANE('',#156493); #1538=PLANE('',#156494); #1539=PLANE('',#156495); #1540=PLANE('',#156496); #1541=PLANE('',#156497); #1542=PLANE('',#156501); #1543=PLANE('',#156502); #1544=PLANE('',#156503); #1545=PLANE('',#156504); #1546=PLANE('',#156505); #1547=PLANE('',#156506); #1548=PLANE('',#156510); #1549=PLANE('',#156511); #1550=PLANE('',#156512); #1551=PLANE('',#156519); #1552=PLANE('',#156520); #1553=PLANE('',#156521); #1554=PLANE('',#156522); #1555=PLANE('',#156523); #1556=PLANE('',#156524); #1557=PLANE('',#156525); #1558=PLANE('',#156529); #1559=PLANE('',#156530); #1560=PLANE('',#156531); #1561=PLANE('',#156532); #1562=PLANE('',#156533); #1563=PLANE('',#156534); #1564=PLANE('',#156535); #1565=PLANE('',#156536); #1566=PLANE('',#156537); #1567=PLANE('',#156538); #1568=PLANE('',#156539); #1569=PLANE('',#156540); #1570=PLANE('',#156541); #1571=PLANE('',#156545); #1572=PLANE('',#156555); #1573=PLANE('',#156568); #1574=PLANE('',#156575); #1575=PLANE('',#156576); #1576=PLANE('',#156577); #1577=PLANE('',#156581); #1578=PLANE('',#156582); #1579=PLANE('',#156583); #1580=PLANE('',#156584); #1581=PLANE('',#156585); #1582=PLANE('',#156586); #1583=PLANE('',#156590); #1584=PLANE('',#156591); #1585=PLANE('',#156592); #1586=PLANE('',#156593); #1587=PLANE('',#156594); #1588=PLANE('',#156595); #1589=PLANE('',#156596); #1590=PLANE('',#156597); #1591=PLANE('',#156598); #1592=PLANE('',#156605); #1593=PLANE('',#156606); #1594=PLANE('',#156610); #1595=PLANE('',#156611); #1596=PLANE('',#156612); #1597=PLANE('',#156613); #1598=PLANE('',#156623); #1599=PLANE('',#156624); #1600=PLANE('',#156625); #1601=PLANE('',#156626); #1602=PLANE('',#156627); #1603=PLANE('',#156628); #1604=PLANE('',#156629); #1605=PLANE('',#156630); #1606=PLANE('',#156631); #1607=PLANE('',#156632); #1608=PLANE('',#156636); #1609=PLANE('',#156646); #1610=PLANE('',#156659); #1611=PLANE('',#156663); #1612=PLANE('',#156664); #1613=PLANE('',#156665); #1614=PLANE('',#156666); #1615=PLANE('',#156667); #1616=PLANE('',#156668); #1617=PLANE('',#156669); #1618=PLANE('',#156673); #1619=PLANE('',#156674); #1620=PLANE('',#156675); #1621=PLANE('',#156685); #1622=PLANE('',#156698); #1623=PLANE('',#156702); #1624=PLANE('',#156703); #1625=PLANE('',#156704); #1626=PLANE('',#156705); #1627=PLANE('',#156706); #1628=PLANE('',#156707); #1629=PLANE('',#156708); #1630=PLANE('',#156709); #1631=PLANE('',#156710); #1632=PLANE('',#156711); #1633=PLANE('',#156712); #1634=PLANE('',#156713); #1635=PLANE('',#156714); #1636=PLANE('',#156715); #1637=PLANE('',#156716); #1638=PLANE('',#156717); #1639=PLANE('',#156718); #1640=PLANE('',#156719); #1641=PLANE('',#156720); #1642=PLANE('',#156721); #1643=PLANE('',#156722); #1644=PLANE('',#156723); #1645=PLANE('',#156727); #1646=PLANE('',#156728); #1647=PLANE('',#156729); #1648=PLANE('',#156730); #1649=PLANE('',#156731); #1650=PLANE('',#156732); #1651=PLANE('',#156733); #1652=PLANE('',#156734); #1653=PLANE('',#156735); #1654=PLANE('',#156739); #1655=PLANE('',#156740); #1656=PLANE('',#156741); #1657=PLANE('',#156742); #1658=PLANE('',#156743); #1659=PLANE('',#156744); #1660=PLANE('',#156745); #1661=PLANE('',#156746); #1662=PLANE('',#156747); #1663=PLANE('',#156748); #1664=PLANE('',#156749); #1665=PLANE('',#156750); #1666=PLANE('',#156751); #1667=PLANE('',#156752); #1668=PLANE('',#156753); #1669=PLANE('',#156754); #1670=PLANE('',#156755); #1671=PLANE('',#156768); #1672=PLANE('',#156784); #1673=PLANE('',#156788); #1674=PLANE('',#156789); #1675=PLANE('',#156790); #1676=PLANE('',#156791); #1677=PLANE('',#156792); #1678=PLANE('',#156793); #1679=PLANE('',#156794); #1680=PLANE('',#156795); #1681=PLANE('',#156796); #1682=PLANE('',#156797); #1683=PLANE('',#156798); #1684=PLANE('',#156799); #1685=PLANE('',#156800); #1686=PLANE('',#156801); #1687=PLANE('',#156802); #1688=PLANE('',#156803); #1689=PLANE('',#156804); #1690=PLANE('',#156805); #1691=PLANE('',#156806); #1692=PLANE('',#156807); #1693=PLANE('',#156808); #1694=PLANE('',#156809); #1695=PLANE('',#156810); #1696=PLANE('',#156811); #1697=PLANE('',#156815); #1698=PLANE('',#156816); #1699=PLANE('',#156817); #1700=PLANE('',#156818); #1701=PLANE('',#156819); #1702=PLANE('',#156820); #1703=PLANE('',#156821); #1704=PLANE('',#156822); #1705=PLANE('',#156823); #1706=PLANE('',#156824); #1707=PLANE('',#156825); #1708=PLANE('',#156826); #1709=PLANE('',#156833); #1710=PLANE('',#156834); #1711=PLANE('',#156835); #1712=PLANE('',#156836); #1713=PLANE('',#156840); #1714=PLANE('',#156844); #1715=PLANE('',#156845); #1716=PLANE('',#156846); #1717=PLANE('',#156847); #1718=PLANE('',#156848); #1719=PLANE('',#156855); #1720=PLANE('',#156856); #1721=PLANE('',#156857); #1722=PLANE('',#156858); #1723=PLANE('',#156862); #1724=PLANE('',#156863); #1725=PLANE('',#156867); #1726=PLANE('',#156868); #1727=PLANE('',#156869); #1728=PLANE('',#156873); #1729=PLANE('',#156874); #1730=PLANE('',#156875); #1731=PLANE('',#156879); #1732=PLANE('',#156880); #1733=PLANE('',#156881); #1734=PLANE('',#156882); #1735=PLANE('',#156883); #1736=PLANE('',#156887); #1737=PLANE('',#156888); #1738=PLANE('',#156895); #1739=PLANE('',#156905); #1740=PLANE('',#156909); #1741=PLANE('',#156910); #1742=PLANE('',#156911); #1743=PLANE('',#156912); #1744=PLANE('',#156913); #1745=PLANE('',#156914); #1746=PLANE('',#156918); #1747=PLANE('',#156922); #1748=PLANE('',#156923); #1749=PLANE('',#156927); #1750=PLANE('',#156931); #1751=PLANE('',#156932); #1752=PLANE('',#156933); #1753=PLANE('',#156934); #1754=PLANE('',#156935); #1755=PLANE('',#156945); #1756=PLANE('',#156946); #1757=PLANE('',#156953); #1758=PLANE('',#156954); #1759=PLANE('',#156955); #1760=PLANE('',#156956); #1761=PLANE('',#156957); #1762=PLANE('',#156958); #1763=PLANE('',#156959); #1764=PLANE('',#156963); #1765=PLANE('',#156964); #1766=PLANE('',#156965); #1767=PLANE('',#156966); #1768=PLANE('',#156967); #1769=PLANE('',#156968); #1770=PLANE('',#156969); #1771=PLANE('',#156970); #1772=PLANE('',#156971); #1773=PLANE('',#156975); #1774=PLANE('',#156976); #1775=PLANE('',#156977); #1776=PLANE('',#156984); #1777=PLANE('',#156985); #1778=PLANE('',#156986); #1779=PLANE('',#156990); #1780=PLANE('',#156991); #1781=PLANE('',#156995); #1782=PLANE('',#156996); #1783=PLANE('',#157000); #1784=PLANE('',#157001); #1785=PLANE('',#157002); #1786=PLANE('',#157003); #1787=PLANE('',#157004); #1788=PLANE('',#157005); #1789=PLANE('',#157006); #1790=PLANE('',#157007); #1791=PLANE('',#157014); #1792=PLANE('',#157027); #1793=PLANE('',#157028); #1794=PLANE('',#157029); #1795=PLANE('',#157030); #1796=PLANE('',#157031); #1797=PLANE('',#157032); #1798=PLANE('',#157033); #1799=PLANE('',#157034); #1800=PLANE('',#157038); #1801=PLANE('',#157039); #1802=PLANE('',#157046); #1803=PLANE('',#157047); #1804=PLANE('',#157048); #1805=PLANE('',#157049); #1806=PLANE('',#157050); #1807=PLANE('',#157051); #1808=PLANE('',#157052); #1809=PLANE('',#157053); #1810=PLANE('',#157054); #1811=PLANE('',#157055); #1812=PLANE('',#157056); #1813=PLANE('',#157063); #1814=PLANE('',#157064); #1815=PLANE('',#157065); #1816=PLANE('',#157066); #1817=PLANE('',#157067); #1818=PLANE('',#157068); #1819=PLANE('',#157069); #1820=PLANE('',#157076); #1821=PLANE('',#157077); #1822=PLANE('',#157078); #1823=PLANE('',#157079); #1824=PLANE('',#157080); #1825=PLANE('',#157081); #1826=PLANE('',#157082); #1827=PLANE('',#157086); #1828=PLANE('',#157087); #1829=PLANE('',#157088); #1830=PLANE('',#157089); #1831=PLANE('',#157096); #1832=PLANE('',#157103); #1833=PLANE('',#157104); #1834=PLANE('',#157105); #1835=PLANE('',#157106); #1836=PLANE('',#157113); #1837=PLANE('',#157114); #1838=PLANE('',#157115); #1839=PLANE('',#157116); #1840=PLANE('',#157117); #1841=PLANE('',#157121); #1842=PLANE('',#157128); #1843=PLANE('',#157129); #1844=PLANE('',#157130); #1845=PLANE('',#157131); #1846=PLANE('',#157132); #1847=PLANE('',#157133); #1848=PLANE('',#157137); #1849=PLANE('',#157138); #1850=PLANE('',#157139); #1851=PLANE('',#157140); #1852=PLANE('',#157144); #1853=PLANE('',#157145); #1854=PLANE('',#157146); #1855=PLANE('',#157147); #1856=PLANE('',#157151); #1857=PLANE('',#157152); #1858=PLANE('',#157153); #1859=PLANE('',#157154); #1860=PLANE('',#157155); #1861=PLANE('',#157156); #1862=PLANE('',#157160); #1863=PLANE('',#157161); #1864=PLANE('',#157168); #1865=PLANE('',#157169); #1866=PLANE('',#157170); #1867=PLANE('',#157171); #1868=PLANE('',#157172); #1869=PLANE('',#157173); #1870=PLANE('',#157174); #1871=PLANE('',#157175); #1872=PLANE('',#157176); #1873=PLANE('',#157177); #1874=PLANE('',#157178); #1875=PLANE('',#157179); #1876=PLANE('',#157183); #1877=PLANE('',#157184); #1878=PLANE('',#157191); #1879=PLANE('',#157198); #1880=PLANE('',#157202); #1881=PLANE('',#157203); #1882=PLANE('',#157204); #1883=PLANE('',#157214); #1884=PLANE('',#157215); #1885=PLANE('',#157216); #1886=PLANE('',#157217); #1887=PLANE('',#157227); #1888=PLANE('',#157228); #1889=PLANE('',#157229); #1890=PLANE('',#157242); #1891=PLANE('',#157252); #1892=PLANE('',#157253); #1893=PLANE('',#157254); #1894=PLANE('',#157255); #1895=PLANE('',#157256); #1896=PLANE('',#157260); #1897=PLANE('',#157261); #1898=PLANE('',#157262); #1899=PLANE('',#157263); #1900=PLANE('',#157264); #1901=PLANE('',#157265); #1902=PLANE('',#157266); #1903=PLANE('',#157267); #1904=PLANE('',#157268); #1905=PLANE('',#157269); #1906=PLANE('',#157270); #1907=PLANE('',#157271); #1908=PLANE('',#157272); #1909=PLANE('',#157273); #1910=PLANE('',#157274); #1911=PLANE('',#157275); #1912=PLANE('',#157276); #1913=PLANE('',#157277); #1914=PLANE('',#157281); #1915=PLANE('',#157282); #1916=PLANE('',#157283); #1917=PLANE('',#157287); #1918=PLANE('',#157288); #1919=PLANE('',#157289); #1920=PLANE('',#157290); #1921=PLANE('',#157291); #1922=PLANE('',#157292); #1923=PLANE('',#157293); #1924=PLANE('',#157294); #1925=PLANE('',#157295); #1926=PLANE('',#157296); #1927=PLANE('',#157297); #1928=PLANE('',#157298); #1929=PLANE('',#157299); #1930=PLANE('',#157300); #1931=PLANE('',#157301); #1932=PLANE('',#157302); #1933=PLANE('',#157303); #1934=PLANE('',#157304); #1935=PLANE('',#157305); #1936=PLANE('',#157306); #1937=PLANE('',#157307); #1938=PLANE('',#157308); #1939=PLANE('',#157309); #1940=PLANE('',#157310); #1941=PLANE('',#157311); #1942=PLANE('',#157312); #1943=PLANE('',#157313); #1944=PLANE('',#157314); #1945=PLANE('',#157315); #1946=PLANE('',#157319); #1947=PLANE('',#157320); #1948=PLANE('',#157321); #1949=PLANE('',#157322); #1950=PLANE('',#157323); #1951=PLANE('',#157324); #1952=PLANE('',#157328); #1953=PLANE('',#157356); #1954=PLANE('',#157357); #1955=PLANE('',#157358); #1956=PLANE('',#157359); #1957=PLANE('',#157363); #1958=PLANE('',#157364); #1959=PLANE('',#157365); #1960=PLANE('',#157366); #1961=PLANE('',#157367); #1962=PLANE('',#157368); #1963=PLANE('',#157375); #1964=PLANE('',#157376); #1965=PLANE('',#157377); #1966=PLANE('',#157378); #1967=PLANE('',#157379); #1968=PLANE('',#157380); #1969=PLANE('',#157390); #1970=PLANE('',#157400); #1971=PLANE('',#157401); #1972=PLANE('',#157420); #1973=PLANE('',#157421); #1974=PLANE('',#157422); #1975=PLANE('',#157423); #1976=PLANE('',#157424); #1977=PLANE('',#157425); #1978=PLANE('',#157426); #1979=PLANE('',#157427); #1980=PLANE('',#157428); #1981=PLANE('',#157429); #1982=PLANE('',#157430); #1983=PLANE('',#157431); #1984=PLANE('',#157432); #1985=PLANE('',#157439); #1986=PLANE('',#157446); #1987=PLANE('',#157453); #1988=PLANE('',#157454); #1989=PLANE('',#157455); #1990=PLANE('',#157459); #1991=PLANE('',#157460); #1992=PLANE('',#157461); #1993=PLANE('',#157462); #1994=PLANE('',#157463); #1995=PLANE('',#157464); #1996=PLANE('',#157465); #1997=PLANE('',#157466); #1998=PLANE('',#157467); #1999=PLANE('',#157468); #2000=PLANE('',#157472); #2001=PLANE('',#157476); #2002=PLANE('',#157477); #2003=PLANE('',#157478); #2004=PLANE('',#157479); #2005=PLANE('',#157480); #2006=PLANE('',#157481); #2007=PLANE('',#157482); #2008=PLANE('',#157483); #2009=PLANE('',#157493); #2010=PLANE('',#157494); #2011=PLANE('',#157495); #2012=PLANE('',#157496); #2013=PLANE('',#157497); #2014=PLANE('',#157498); #2015=PLANE('',#157499); #2016=PLANE('',#157500); #2017=PLANE('',#157501); #2018=PLANE('',#157502); #2019=PLANE('',#157503); #2020=PLANE('',#157504); #2021=PLANE('',#157505); #2022=PLANE('',#157506); #2023=PLANE('',#157507); #2024=PLANE('',#157508); #2025=PLANE('',#157509); #2026=PLANE('',#157510); #2027=PLANE('',#157514); #2028=PLANE('',#157515); #2029=PLANE('',#157516); #2030=PLANE('',#157520); #2031=PLANE('',#157521); #2032=PLANE('',#157522); #2033=PLANE('',#157523); #2034=PLANE('',#157524); #2035=PLANE('',#157525); #2036=PLANE('',#157526); #2037=PLANE('',#157527); #2038=PLANE('',#157528); #2039=PLANE('',#157529); #2040=PLANE('',#157530); #2041=PLANE('',#157531); #2042=PLANE('',#157532); #2043=PLANE('',#157533); #2044=PLANE('',#157534); #2045=PLANE('',#157535); #2046=PLANE('',#157536); #2047=PLANE('',#157537); #2048=PLANE('',#157538); #2049=PLANE('',#157539); #2050=PLANE('',#157540); #2051=PLANE('',#157541); #2052=PLANE('',#157542); #2053=PLANE('',#157543); #2054=PLANE('',#157544); #2055=PLANE('',#157548); #2056=PLANE('',#157555); #2057=PLANE('',#157556); #2058=PLANE('',#157557); #2059=PLANE('',#157558); #2060=PLANE('',#157559); #2061=PLANE('',#157560); #2062=PLANE('',#157561); #2063=PLANE('',#157562); #2064=PLANE('',#157563); #2065=PLANE('',#157564); #2066=PLANE('',#157565); #2067=PLANE('',#157569); #2068=PLANE('',#157570); #2069=PLANE('',#157571); #2070=PLANE('',#157572); #2071=PLANE('',#157573); #2072=PLANE('',#157574); #2073=PLANE('',#157575); #2074=PLANE('',#157576); #2075=PLANE('',#157577); #2076=PLANE('',#157578); #2077=PLANE('',#157579); #2078=PLANE('',#157583); #2079=PLANE('',#157584); #2080=PLANE('',#157588); #2081=PLANE('',#157589); #2082=PLANE('',#157590); #2083=PLANE('',#157591); #2084=PLANE('',#157592); #2085=PLANE('',#157593); #2086=PLANE('',#157594); #2087=PLANE('',#157595); #2088=PLANE('',#157596); #2089=PLANE('',#157597); #2090=PLANE('',#157598); #2091=PLANE('',#157599); #2092=PLANE('',#157600); #2093=PLANE('',#157601); #2094=PLANE('',#157602); #2095=PLANE('',#157603); #2096=PLANE('',#157604); #2097=PLANE('',#157605); #2098=PLANE('',#157606); #2099=PLANE('',#157607); #2100=PLANE('',#157608); #2101=PLANE('',#157609); #2102=PLANE('',#157610); #2103=PLANE('',#157611); #2104=PLANE('',#157612); #2105=PLANE('',#157616); #2106=PLANE('',#157623); #2107=PLANE('',#157627); #2108=PLANE('',#157628); #2109=PLANE('',#157629); #2110=PLANE('',#157630); #2111=PLANE('',#157631); #2112=PLANE('',#157632); #2113=PLANE('',#157633); #2114=PLANE('',#157634); #2115=PLANE('',#157635); #2116=PLANE('',#157636); #2117=PLANE('',#157637); #2118=PLANE('',#157638); #2119=PLANE('',#157639); #2120=PLANE('',#157640); #2121=PLANE('',#157641); #2122=PLANE('',#157642); #2123=PLANE('',#157643); #2124=PLANE('',#157644); #2125=PLANE('',#157645); #2126=PLANE('',#157649); #2127=PLANE('',#157653); #2128=PLANE('',#157654); #2129=PLANE('',#157655); #2130=PLANE('',#157656); #2131=PLANE('',#157657); #2132=PLANE('',#157658); #2133=PLANE('',#157659); #2134=PLANE('',#157660); #2135=PLANE('',#157661); #2136=PLANE('',#157662); #2137=PLANE('',#157663); #2138=PLANE('',#157664); #2139=PLANE('',#157665); #2140=PLANE('',#157669); #2141=PLANE('',#157670); #2142=PLANE('',#157671); #2143=PLANE('',#157672); #2144=PLANE('',#157673); #2145=PLANE('',#157674); #2146=PLANE('',#157675); #2147=PLANE('',#157676); #2148=PLANE('',#157677); #2149=PLANE('',#157678); #2150=PLANE('',#157679); #2151=PLANE('',#157680); #2152=PLANE('',#157681); #2153=PLANE('',#157682); #2154=PLANE('',#157683); #2155=PLANE('',#157684); #2156=PLANE('',#157685); #2157=PLANE('',#157689); #2158=PLANE('',#157690); #2159=PLANE('',#157691); #2160=PLANE('',#157692); #2161=PLANE('',#157693); #2162=PLANE('',#157694); #2163=PLANE('',#157695); #2164=PLANE('',#157696); #2165=PLANE('',#157697); #2166=PLANE('',#157698); #2167=PLANE('',#157699); #2168=PLANE('',#157700); #2169=PLANE('',#157704); #2170=PLANE('',#157705); #2171=PLANE('',#157706); #2172=PLANE('',#157707); #2173=PLANE('',#157708); #2174=PLANE('',#157709); #2175=PLANE('',#157710); #2176=PLANE('',#157711); #2177=PLANE('',#157712); #2178=PLANE('',#157713); #2179=PLANE('',#157714); #2180=PLANE('',#157718); #2181=PLANE('',#157722); #2182=PLANE('',#157723); #2183=PLANE('',#157724); #2184=PLANE('',#157725); #2185=PLANE('',#157726); #2186=PLANE('',#157730); #2187=PLANE('',#157731); #2188=PLANE('',#157732); #2189=PLANE('',#157733); #2190=PLANE('',#157734); #2191=PLANE('',#157735); #2192=PLANE('',#157736); #2193=PLANE('',#157737); #2194=PLANE('',#157738); #2195=PLANE('',#157739); #2196=PLANE('',#157740); #2197=PLANE('',#157741); #2198=PLANE('',#157742); #2199=PLANE('',#157743); #2200=PLANE('',#157744); #2201=PLANE('',#157745); #2202=PLANE('',#157746); #2203=PLANE('',#157747); #2204=PLANE('',#157748); #2205=PLANE('',#157749); #2206=PLANE('',#157750); #2207=PLANE('',#157751); #2208=PLANE('',#157752); #2209=PLANE('',#157753); #2210=PLANE('',#157754); #2211=PLANE('',#157755); #2212=PLANE('',#157759); #2213=PLANE('',#157763); #2214=PLANE('',#157764); #2215=PLANE('',#157765); #2216=PLANE('',#157766); #2217=PLANE('',#157767); #2218=PLANE('',#157768); #2219=PLANE('',#157769); #2220=PLANE('',#157770); #2221=PLANE('',#157771); #2222=PLANE('',#157772); #2223=PLANE('',#157773); #2224=PLANE('',#157774); #2225=PLANE('',#157775); #2226=PLANE('',#157776); #2227=PLANE('',#157777); #2228=PLANE('',#157778); #2229=PLANE('',#157779); #2230=PLANE('',#157780); #2231=PLANE('',#157781); #2232=PLANE('',#157782); #2233=PLANE('',#157783); #2234=PLANE('',#157784); #2235=PLANE('',#157785); #2236=PLANE('',#157786); #2237=PLANE('',#157790); #2238=PLANE('',#157791); #2239=PLANE('',#157792); #2240=PLANE('',#157793); #2241=PLANE('',#157794); #2242=PLANE('',#157801); #2243=PLANE('',#157802); #2244=PLANE('',#157803); #2245=PLANE('',#157804); #2246=PLANE('',#157805); #2247=PLANE('',#157806); #2248=PLANE('',#157807); #2249=PLANE('',#157808); #2250=PLANE('',#157809); #2251=PLANE('',#157810); #2252=PLANE('',#157811); #2253=PLANE('',#157812); #2254=PLANE('',#157813); #2255=PLANE('',#157814); #2256=PLANE('',#157815); #2257=PLANE('',#157816); #2258=PLANE('',#157817); #2259=PLANE('',#157818); #2260=PLANE('',#157819); #2261=PLANE('',#157820); #2262=PLANE('',#157821); #2263=PLANE('',#157822); #2264=PLANE('',#157823); #2265=PLANE('',#157824); #2266=PLANE('',#157825); #2267=PLANE('',#157826); #2268=PLANE('',#157827); #2269=PLANE('',#157831); #2270=PLANE('',#157841); #2271=PLANE('',#157842); #2272=PLANE('',#157843); #2273=PLANE('',#157844); #2274=PLANE('',#157845); #2275=PLANE('',#157846); #2276=PLANE('',#157847); #2277=PLANE('',#157851); #2278=PLANE('',#157852); #2279=PLANE('',#157853); #2280=PLANE('',#157854); #2281=PLANE('',#157855); #2282=PLANE('',#157856); #2283=PLANE('',#157857); #2284=PLANE('',#157858); #2285=PLANE('',#157859); #2286=PLANE('',#157860); #2287=PLANE('',#157861); #2288=PLANE('',#157862); #2289=PLANE('',#157863); #2290=PLANE('',#157864); #2291=PLANE('',#157868); #2292=PLANE('',#157869); #2293=PLANE('',#157870); #2294=PLANE('',#157877); #2295=PLANE('',#157878); #2296=PLANE('',#157879); #2297=PLANE('',#157880); #2298=PLANE('',#157881); #2299=PLANE('',#157885); #2300=PLANE('',#157886); #2301=PLANE('',#157887); #2302=PLANE('',#157888); #2303=PLANE('',#157889); #2304=PLANE('',#157902); #2305=PLANE('',#157918); #2306=PLANE('',#157922); #2307=PLANE('',#157923); #2308=PLANE('',#157924); #2309=PLANE('',#157925); #2310=PLANE('',#157926); #2311=PLANE('',#157927); #2312=PLANE('',#157928); #2313=PLANE('',#157929); #2314=PLANE('',#157930); #2315=PLANE('',#157931); #2316=PLANE('',#157932); #2317=PLANE('',#157933); #2318=PLANE('',#157934); #2319=PLANE('',#157935); #2320=PLANE('',#157936); #2321=PLANE('',#157937); #2322=PLANE('',#157938); #2323=PLANE('',#157939); #2324=PLANE('',#157940); #2325=PLANE('',#157941); #2326=PLANE('',#157942); #2327=PLANE('',#157943); #2328=PLANE('',#157944); #2329=PLANE('',#157945); #2330=PLANE('',#157949); #2331=PLANE('',#157950); #2332=PLANE('',#157951); #2333=PLANE('',#157952); #2334=PLANE('',#157953); #2335=PLANE('',#157954); #2336=PLANE('',#157955); #2337=PLANE('',#157956); #2338=PLANE('',#157957); #2339=PLANE('',#157958); #2340=PLANE('',#157959); #2341=PLANE('',#157960); #2342=PLANE('',#157961); #2343=PLANE('',#157962); #2344=PLANE('',#157963); #2345=PLANE('',#157964); #2346=PLANE('',#157965); #2347=PLANE('',#157966); #2348=PLANE('',#157967); #2349=PLANE('',#157968); #2350=PLANE('',#157969); #2351=PLANE('',#157970); #2352=PLANE('',#157971); #2353=PLANE('',#157972); #2354=PLANE('',#157973); #2355=PLANE('',#157977); #2356=PLANE('',#157987); #2357=PLANE('',#158000); #2358=PLANE('',#158004); #2359=PLANE('',#158005); #2360=PLANE('',#158006); #2361=PLANE('',#158007); #2362=PLANE('',#158008); #2363=PLANE('',#158009); #2364=PLANE('',#158010); #2365=PLANE('',#158011); #2366=PLANE('',#158012); #2367=PLANE('',#158013); #2368=PLANE('',#158014); #2369=PLANE('',#158015); #2370=PLANE('',#158016); #2371=PLANE('',#158017); #2372=PLANE('',#158018); #2373=PLANE('',#158019); #2374=PLANE('',#158020); #2375=PLANE('',#158021); #2376=PLANE('',#158022); #2377=PLANE('',#158023); #2378=PLANE('',#158024); #2379=PLANE('',#158025); #2380=PLANE('',#158026); #2381=PLANE('',#158030); #2382=PLANE('',#158031); #2383=PLANE('',#158032); #2384=PLANE('',#158033); #2385=PLANE('',#158034); #2386=PLANE('',#158035); #2387=PLANE('',#158036); #2388=PLANE('',#158037); #2389=PLANE('',#158038); #2390=PLANE('',#158039); #2391=PLANE('',#158040); #2392=PLANE('',#158041); #2393=PLANE('',#158042); #2394=PLANE('',#158043); #2395=PLANE('',#158044); #2396=PLANE('',#158045); #2397=PLANE('',#158046); #2398=PLANE('',#158047); #2399=PLANE('',#158048); #2400=PLANE('',#158049); #2401=PLANE('',#158050); #2402=PLANE('',#158051); #2403=PLANE('',#158052); #2404=PLANE('',#158053); #2405=PLANE('',#158054); #2406=PLANE('',#158058); #2407=PLANE('',#158059); #2408=PLANE('',#158063); #2409=PLANE('',#158064); #2410=PLANE('',#158065); #2411=PLANE('',#158066); #2412=PLANE('',#158067); #2413=PLANE('',#158068); #2414=PLANE('',#158069); #2415=PLANE('',#158082); #2416=PLANE('',#158083); #2417=PLANE('',#158084); #2418=PLANE('',#158088); #2419=PLANE('',#158095); #2420=PLANE('',#158099); #2421=PLANE('',#158106); #2422=PLANE('',#158107); #2423=PLANE('',#158111); #2424=PLANE('',#158112); #2425=PLANE('',#158113); #2426=PLANE('',#158114); #2427=PLANE('',#158115); #2428=PLANE('',#158116); #2429=PLANE('',#158120); #2430=PLANE('',#158121); #2431=PLANE('',#158122); #2432=PLANE('',#158123); #2433=PLANE('',#158124); #2434=PLANE('',#158134); #2435=PLANE('',#158135); #2436=PLANE('',#158136); #2437=PLANE('',#158140); #2438=PLANE('',#158141); #2439=PLANE('',#158145); #2440=PLANE('',#158146); #2441=PLANE('',#158147); #2442=PLANE('',#158154); #2443=PLANE('',#158158); #2444=PLANE('',#158159); #2445=PLANE('',#158160); #2446=PLANE('',#158164); #2447=PLANE('',#158165); #2448=PLANE('',#158166); #2449=PLANE('',#158167); #2450=PLANE('',#158168); #2451=PLANE('',#158169); #2452=PLANE('',#158170); #2453=PLANE('',#158171); #2454=PLANE('',#158172); #2455=PLANE('',#158173); #2456=PLANE('',#158174); #2457=PLANE('',#158175); #2458=PLANE('',#158176); #2459=PLANE('',#158177); #2460=PLANE('',#158181); #2461=PLANE('',#158194); #2462=PLANE('',#158195); #2463=PLANE('',#158196); #2464=PLANE('',#158197); #2465=PLANE('',#158198); #2466=PLANE('',#158202); #2467=PLANE('',#158203); #2468=PLANE('',#158204); #2469=PLANE('',#158208); #2470=PLANE('',#158209); #2471=PLANE('',#158210); #2472=PLANE('',#158223); #2473=PLANE('',#158224); #2474=PLANE('',#158225); #2475=PLANE('',#158226); #2476=PLANE('',#158233); #2477=PLANE('',#158237); #2478=PLANE('',#158238); #2479=PLANE('',#158239); #2480=PLANE('',#158240); #2481=PLANE('',#158241); #2482=PLANE('',#158242); #2483=PLANE('',#158243); #2484=PLANE('',#158244); #2485=PLANE('',#158248); #2486=PLANE('',#158249); #2487=PLANE('',#158250); #2488=PLANE('',#158251); #2489=PLANE('',#158255); #2490=PLANE('',#158256); #2491=PLANE('',#158257); #2492=PLANE('',#158258); #2493=PLANE('',#158259); #2494=PLANE('',#158260); #2495=PLANE('',#158261); #2496=PLANE('',#158262); #2497=PLANE('',#158263); #2498=PLANE('',#158264); #2499=PLANE('',#158265); #2500=PLANE('',#158266); #2501=PLANE('',#158270); #2502=PLANE('',#158271); #2503=PLANE('',#158272); #2504=PLANE('',#158273); #2505=PLANE('',#158274); #2506=PLANE('',#158275); #2507=PLANE('',#158276); #2508=PLANE('',#158277); #2509=PLANE('',#158278); #2510=PLANE('',#158279); #2511=PLANE('',#158280); #2512=PLANE('',#158287); #2513=PLANE('',#158288); #2514=PLANE('',#158289); #2515=PLANE('',#158290); #2516=PLANE('',#158291); #2517=PLANE('',#158292); #2518=PLANE('',#158293); #2519=PLANE('',#158294); #2520=PLANE('',#158298); #2521=PLANE('',#158299); #2522=PLANE('',#158300); #2523=PLANE('',#158301); #2524=PLANE('',#158302); #2525=PLANE('',#158303); #2526=PLANE('',#158304); #2527=PLANE('',#158305); #2528=PLANE('',#158306); #2529=PLANE('',#158307); #2530=PLANE('',#158308); #2531=PLANE('',#158309); #2532=PLANE('',#158310); #2533=PLANE('',#158314); #2534=PLANE('',#158315); #2535=PLANE('',#158319); #2536=PLANE('',#158326); #2537=PLANE('',#158327); #2538=PLANE('',#158328); #2539=PLANE('',#158329); #2540=PLANE('',#158330); #2541=PLANE('',#158331); #2542=PLANE('',#158332); #2543=PLANE('',#158333); #2544=PLANE('',#158334); #2545=PLANE('',#158335); #2546=PLANE('',#158336); #2547=PLANE('',#158337); #2548=PLANE('',#158341); #2549=PLANE('',#158345); #2550=PLANE('',#158346); #2551=PLANE('',#158347); #2552=PLANE('',#158348); #2553=PLANE('',#158349); #2554=PLANE('',#158350); #2555=PLANE('',#158351); #2556=PLANE('',#158355); #2557=PLANE('',#158356); #2558=PLANE('',#158363); #2559=PLANE('',#158364); #2560=PLANE('',#158365); #2561=PLANE('',#158366); #2562=PLANE('',#158367); #2563=PLANE('',#158368); #2564=PLANE('',#158369); #2565=PLANE('',#158370); #2566=PLANE('',#158371); #2567=PLANE('',#158372); #2568=PLANE('',#158376); #2569=PLANE('',#158377); #2570=PLANE('',#158378); #2571=PLANE('',#158382); #2572=PLANE('',#158389); #2573=PLANE('',#158390); #2574=PLANE('',#158394); #2575=PLANE('',#158404); #2576=PLANE('',#158405); #2577=PLANE('',#158406); #2578=PLANE('',#158407); #2579=PLANE('',#158408); #2580=PLANE('',#158409); #2581=PLANE('',#158410); #2582=PLANE('',#158411); #2583=PLANE('',#158412); #2584=PLANE('',#158413); #2585=PLANE('',#158414); #2586=PLANE('',#158415); #2587=PLANE('',#158416); #2588=PLANE('',#158417); #2589=PLANE('',#158418); #2590=PLANE('',#158419); #2591=PLANE('',#158420); #2592=PLANE('',#158421); #2593=PLANE('',#158422); #2594=PLANE('',#158423); #2595=PLANE('',#158427); #2596=PLANE('',#158428); #2597=PLANE('',#158432); #2598=PLANE('',#158433); #2599=PLANE('',#158437); #2600=PLANE('',#158438); #2601=PLANE('',#158439); #2602=PLANE('',#158440); #2603=PLANE('',#158441); #2604=PLANE('',#158442); #2605=PLANE('',#158443); #2606=PLANE('',#158444); #2607=PLANE('',#158448); #2608=PLANE('',#158455); #2609=PLANE('',#158456); #2610=PLANE('',#158457); #2611=PLANE('',#158458); #2612=PLANE('',#158459); #2613=PLANE('',#158460); #2614=PLANE('',#158461); #2615=PLANE('',#158465); #2616=PLANE('',#158466); #2617=PLANE('',#158470); #2618=PLANE('',#158471); #2619=PLANE('',#158472); #2620=PLANE('',#158473); #2621=PLANE('',#158474); #2622=PLANE('',#158475); #2623=PLANE('',#158476); #2624=PLANE('',#158477); #2625=PLANE('',#158484); #2626=PLANE('',#158485); #2627=PLANE('',#158489); #2628=PLANE('',#158490); #2629=PLANE('',#158494); #2630=PLANE('',#158495); #2631=PLANE('',#158496); #2632=PLANE('',#158497); #2633=PLANE('',#158501); #2634=PLANE('',#158502); #2635=PLANE('',#158503); #2636=PLANE('',#158504); #2637=PLANE('',#158505); #2638=PLANE('',#158506); #2639=PLANE('',#158510); #2640=PLANE('',#158511); #2641=PLANE('',#158512); #2642=PLANE('',#158513); #2643=PLANE('',#158514); #2644=PLANE('',#158515); #2645=PLANE('',#158516); #2646=PLANE('',#158517); #2647=PLANE('',#158518); #2648=PLANE('',#158519); #2649=PLANE('',#158523); #2650=PLANE('',#158524); #2651=PLANE('',#158525); #2652=PLANE('',#158526); #2653=PLANE('',#158527); #2654=PLANE('',#158528); #2655=PLANE('',#158529); #2656=PLANE('',#158530); #2657=PLANE('',#158531); #2658=PLANE('',#158535); #2659=PLANE('',#158542); #2660=PLANE('',#158543); #2661=PLANE('',#158544); #2662=PLANE('',#158545); #2663=PLANE('',#158546); #2664=PLANE('',#158547); #2665=PLANE('',#158551); #2666=PLANE('',#158552); #2667=PLANE('',#158553); #2668=PLANE('',#158554); #2669=PLANE('',#158555); #2670=PLANE('',#158556); #2671=PLANE('',#158557); #2672=PLANE('',#158558); #2673=PLANE('',#158562); #2674=PLANE('',#158563); #2675=PLANE('',#158564); #2676=PLANE('',#158565); #2677=PLANE('',#158569); #2678=PLANE('',#158570); #2679=PLANE('',#158571); #2680=PLANE('',#158575); #2681=PLANE('',#158576); #2682=PLANE('',#158583); #2683=PLANE('',#158584); #2684=PLANE('',#158585); #2685=PLANE('',#158586); #2686=PLANE('',#158587); #2687=PLANE('',#158588); #2688=PLANE('',#158589); #2689=PLANE('',#158590); #2690=PLANE('',#158591); #2691=PLANE('',#158595); #2692=PLANE('',#158599); #2693=PLANE('',#158600); #2694=PLANE('',#158601); #2695=PLANE('',#158602); #2696=PLANE('',#158603); #2697=PLANE('',#158604); #2698=PLANE('',#158605); #2699=PLANE('',#158609); #2700=PLANE('',#158610); #2701=PLANE('',#158611); #2702=PLANE('',#158612); #2703=PLANE('',#158616); #2704=PLANE('',#158617); #2705=PLANE('',#158621); #2706=PLANE('',#158625); #2707=PLANE('',#158626); #2708=PLANE('',#158627); #2709=PLANE('',#158628); #2710=PLANE('',#158629); #2711=PLANE('',#158630); #2712=PLANE('',#158631); #2713=PLANE('',#158632); #2714=PLANE('',#158633); #2715=PLANE('',#158634); #2716=PLANE('',#158638); #2717=PLANE('',#158639); #2718=PLANE('',#158640); #2719=PLANE('',#158641); #2720=PLANE('',#158642); #2721=PLANE('',#158643); #2722=PLANE('',#158644); #2723=PLANE('',#158645); #2724=PLANE('',#158646); #2725=PLANE('',#158647); #2726=PLANE('',#158648); #2727=PLANE('',#158649); #2728=PLANE('',#158650); #2729=PLANE('',#158651); #2730=PLANE('',#158661); #2731=PLANE('',#158662); #2732=PLANE('',#158663); #2733=PLANE('',#158664); #2734=PLANE('',#158668); #2735=PLANE('',#158675); #2736=PLANE('',#158676); #2737=PLANE('',#158677); #2738=PLANE('',#158681); #2739=PLANE('',#158682); #2740=PLANE('',#158689); #2741=PLANE('',#158705); #2742=PLANE('',#158706); #2743=PLANE('',#158707); #2744=PLANE('',#158708); #2745=PLANE('',#158712); #2746=PLANE('',#158713); #2747=PLANE('',#158714); #2748=PLANE('',#158721); #2749=PLANE('',#158722); #2750=PLANE('',#158726); #2751=PLANE('',#158730); #2752=PLANE('',#158731); #2753=PLANE('',#158732); #2754=PLANE('',#158736); #2755=PLANE('',#158737); #2756=PLANE('',#158738); #2757=PLANE('',#158739); #2758=PLANE('',#158740); #2759=PLANE('',#158741); #2760=PLANE('',#158742); #2761=PLANE('',#158743); #2762=PLANE('',#158747); #2763=PLANE('',#158748); #2764=PLANE('',#158749); #2765=PLANE('',#158750); #2766=PLANE('',#158751); #2767=PLANE('',#158752); #2768=PLANE('',#158753); #2769=PLANE('',#158754); #2770=PLANE('',#158764); #2771=PLANE('',#158765); #2772=PLANE('',#158772); #2773=PLANE('',#158773); #2774=PLANE('',#158780); #2775=PLANE('',#158784); #2776=PLANE('',#158788); #2777=PLANE('',#158789); #2778=PLANE('',#158790); #2779=PLANE('',#158791); #2780=PLANE('',#158795); #2781=PLANE('',#158802); #2782=PLANE('',#158803); #2783=PLANE('',#158804); #2784=PLANE('',#158805); #2785=PLANE('',#158809); #2786=PLANE('',#158810); #2787=PLANE('',#158811); #2788=PLANE('',#158812); #2789=PLANE('',#158816); #2790=PLANE('',#158817); #2791=PLANE('',#158821); #2792=PLANE('',#158822); #2793=PLANE('',#158823); #2794=PLANE('',#158827); #2795=PLANE('',#158828); #2796=PLANE('',#158829); #2797=PLANE('',#158830); #2798=PLANE('',#158831); #2799=PLANE('',#158832); #2800=PLANE('',#158833); #2801=PLANE('',#158834); #2802=PLANE('',#158835); #2803=PLANE('',#158836); #2804=PLANE('',#158837); #2805=PLANE('',#158838); #2806=PLANE('',#158839); #2807=PLANE('',#158840); #2808=PLANE('',#158841); #2809=PLANE('',#158842); #2810=PLANE('',#158843); #2811=PLANE('',#158844); #2812=PLANE('',#158845); #2813=PLANE('',#158846); #2814=PLANE('',#158847); #2815=PLANE('',#158848); #2816=PLANE('',#158849); #2817=PLANE('',#158850); #2818=PLANE('',#158851); #2819=PLANE('',#158852); #2820=PLANE('',#158853); #2821=PLANE('',#158854); #2822=PLANE('',#158858); #2823=PLANE('',#158859); #2824=PLANE('',#158860); #2825=PLANE('',#158861); #2826=PLANE('',#158865); #2827=PLANE('',#158866); #2828=PLANE('',#158867); #2829=PLANE('',#158868); #2830=PLANE('',#158869); #2831=PLANE('',#158870); #2832=PLANE('',#158871); #2833=PLANE('',#158872); #2834=PLANE('',#158873); #2835=PLANE('',#158874); #2836=PLANE('',#158875); #2837=PLANE('',#158876); #2838=PLANE('',#158877); #2839=PLANE('',#158878); #2840=PLANE('',#158879); #2841=PLANE('',#158880); #2842=PLANE('',#158881); #2843=PLANE('',#158882); #2844=PLANE('',#158883); #2845=PLANE('',#158884); #2846=PLANE('',#158885); #2847=PLANE('',#158886); #2848=PLANE('',#158887); #2849=PLANE('',#158888); #2850=PLANE('',#158892); #2851=PLANE('',#158893); #2852=PLANE('',#158894); #2853=PLANE('',#158895); #2854=PLANE('',#158896); #2855=PLANE('',#158897); #2856=PLANE('',#158898); #2857=PLANE('',#158899); #2858=PLANE('',#158900); #2859=PLANE('',#158901); #2860=PLANE('',#158902); #2861=PLANE('',#158903); #2862=PLANE('',#158904); #2863=PLANE('',#158905); #2864=PLANE('',#158906); #2865=PLANE('',#158907); #2866=PLANE('',#158908); #2867=PLANE('',#158909); #2868=PLANE('',#158910); #2869=PLANE('',#158911); #2870=PLANE('',#158912); #2871=PLANE('',#158913); #2872=PLANE('',#158914); #2873=PLANE('',#158915); #2874=PLANE('',#158916); #2875=PLANE('',#158920); #2876=PLANE('',#158921); #2877=PLANE('',#158922); #2878=PLANE('',#158932); #2879=PLANE('',#158933); #2880=PLANE('',#158934); #2881=PLANE('',#158935); #2882=PLANE('',#158936); #2883=PLANE('',#158937); #2884=PLANE('',#158938); #2885=PLANE('',#158939); #2886=PLANE('',#158940); #2887=PLANE('',#158941); #2888=PLANE('',#158942); #2889=PLANE('',#158943); #2890=PLANE('',#158944); #2891=PLANE('',#158945); #2892=PLANE('',#158946); #2893=PLANE('',#158947); #2894=PLANE('',#158948); #2895=PLANE('',#158949); #2896=PLANE('',#158950); #2897=PLANE('',#158951); #2898=PLANE('',#158952); #2899=PLANE('',#158953); #2900=PLANE('',#158954); #2901=PLANE('',#158958); #2902=PLANE('',#158959); #2903=PLANE('',#158960); #2904=PLANE('',#158961); #2905=PLANE('',#158962); #2906=PLANE('',#158963); #2907=PLANE('',#158964); #2908=PLANE('',#158965); #2909=PLANE('',#158966); #2910=PLANE('',#158967); #2911=PLANE('',#158968); #2912=PLANE('',#158969); #2913=PLANE('',#158970); #2914=PLANE('',#158971); #2915=PLANE('',#158972); #2916=PLANE('',#158973); #2917=PLANE('',#158974); #2918=PLANE('',#158975); #2919=PLANE('',#158976); #2920=PLANE('',#158977); #2921=PLANE('',#158978); #2922=PLANE('',#158979); #2923=PLANE('',#158980); #2924=PLANE('',#158981); #2925=PLANE('',#158985); #2926=PLANE('',#158986); #2927=PLANE('',#158987); #2928=PLANE('',#158991); #2929=PLANE('',#158992); #2930=PLANE('',#158993); #2931=PLANE('',#158994); #2932=PLANE('',#158995); #2933=PLANE('',#158996); #2934=PLANE('',#159012); #2935=PLANE('',#159022); #2936=PLANE('',#159023); #2937=PLANE('',#159024); #2938=PLANE('',#159025); #2939=PLANE('',#159026); #2940=PLANE('',#159027); #2941=PLANE('',#159028); #2942=PLANE('',#159029); #2943=PLANE('',#159045); #2944=PLANE('',#159055); #2945=PLANE('',#159056); #2946=PLANE('',#159057); #2947=PLANE('',#159058); #2948=PLANE('',#159059); #2949=PLANE('',#159069); #2950=PLANE('',#159070); #2951=PLANE('',#159080); #2952=PLANE('',#159081); #2953=PLANE('',#159082); #2954=PLANE('',#159083); #2955=PLANE('',#159084); #2956=PLANE('',#159085); #2957=PLANE('',#159089); #2958=PLANE('',#159090); #2959=PLANE('',#159091); #2960=PLANE('',#159092); #2961=PLANE('',#159093); #2962=PLANE('',#159094); #2963=PLANE('',#159095); #2964=PLANE('',#159096); #2965=PLANE('',#159097); #2966=PLANE('',#159098); #2967=PLANE('',#159099); #2968=PLANE('',#159100); #2969=PLANE('',#159101); #2970=PLANE('',#159102); #2971=PLANE('',#159124); #2972=PLANE('',#159125); #2973=PLANE('',#159126); #2974=PLANE('',#159127); #2975=PLANE('',#159128); #2976=PLANE('',#159129); #2977=PLANE('',#159130); #2978=PLANE('',#159131); #2979=PLANE('',#159135); #2980=PLANE('',#159136); #2981=PLANE('',#159137); #2982=PLANE('',#159138); #2983=PLANE('',#159139); #2984=PLANE('',#159140); #2985=PLANE('',#159141); #2986=PLANE('',#159142); #2987=PLANE('',#159143); #2988=PLANE('',#159144); #2989=PLANE('',#159145); #2990=PLANE('',#159146); #2991=PLANE('',#159147); #2992=PLANE('',#159148); #2993=PLANE('',#159152); #2994=PLANE('',#159153); #2995=PLANE('',#159157); #2996=PLANE('',#159158); #2997=PLANE('',#159159); #2998=PLANE('',#159160); #2999=PLANE('',#159164); #3000=PLANE('',#159171); #3001=PLANE('',#159172); #3002=PLANE('',#159173); #3003=PLANE('',#159174); #3004=PLANE('',#159175); #3005=PLANE('',#159176); #3006=PLANE('',#159177); #3007=PLANE('',#159178); #3008=PLANE('',#159179); #3009=PLANE('',#159183); #3010=PLANE('',#159184); #3011=PLANE('',#159185); #3012=PLANE('',#159186); #3013=PLANE('',#159187); #3014=PLANE('',#159188); #3015=PLANE('',#159192); #3016=PLANE('',#159193); #3017=PLANE('',#159194); #3018=PLANE('',#159201); #3019=PLANE('',#159202); #3020=PLANE('',#159203); #3021=PLANE('',#159204); #3022=PLANE('',#159205); #3023=PLANE('',#159206); #3024=PLANE('',#159207); #3025=PLANE('',#159208); #3026=PLANE('',#159209); #3027=PLANE('',#159210); #3028=PLANE('',#159211); #3029=PLANE('',#159212); #3030=PLANE('',#159213); #3031=PLANE('',#159214); #3032=PLANE('',#159215); #3033=PLANE('',#159216); #3034=PLANE('',#159217); #3035=PLANE('',#159218); #3036=PLANE('',#159219); #3037=PLANE('',#159220); #3038=PLANE('',#159221); #3039=PLANE('',#159222); #3040=PLANE('',#159223); #3041=PLANE('',#159224); #3042=PLANE('',#159225); #3043=PLANE('',#159229); #3044=PLANE('',#159239); #3045=PLANE('',#159252); #3046=PLANE('',#159256); #3047=PLANE('',#159257); #3048=PLANE('',#159258); #3049=PLANE('',#159259); #3050=PLANE('',#159260); #3051=PLANE('',#159261); #3052=PLANE('',#159262); #3053=PLANE('',#159263); #3054=PLANE('',#159264); #3055=PLANE('',#159265); #3056=PLANE('',#159266); #3057=PLANE('',#159267); #3058=PLANE('',#159268); #3059=PLANE('',#159269); #3060=PLANE('',#159270); #3061=PLANE('',#159271); #3062=PLANE('',#159272); #3063=PLANE('',#159273); #3064=PLANE('',#159274); #3065=PLANE('',#159275); #3066=PLANE('',#159276); #3067=PLANE('',#159277); #3068=PLANE('',#159281); #3069=PLANE('',#159282); #3070=PLANE('',#159283); #3071=PLANE('',#159284); #3072=PLANE('',#159285); #3073=PLANE('',#159289); #3074=PLANE('',#159290); #3075=PLANE('',#159291); #3076=PLANE('',#159292); #3077=PLANE('',#159293); #3078=PLANE('',#159294); #3079=PLANE('',#159295); #3080=PLANE('',#159296); #3081=PLANE('',#159297); #3082=PLANE('',#159298); #3083=PLANE('',#159299); #3084=PLANE('',#159300); #3085=PLANE('',#159301); #3086=PLANE('',#159302); #3087=PLANE('',#159303); #3088=PLANE('',#159304); #3089=PLANE('',#159305); #3090=PLANE('',#159306); #3091=PLANE('',#159313); #3092=PLANE('',#159326); #3093=PLANE('',#159339); #3094=PLANE('',#159379); #3095=PLANE('',#159386); #3096=PLANE('',#159393); #3097=PLANE('',#159394); #3098=PLANE('',#159395); #3099=PLANE('',#159399); #3100=PLANE('',#159400); #3101=PLANE('',#159410); #3102=PLANE('',#159420); #3103=PLANE('',#159421); #3104=PLANE('',#159422); #3105=PLANE('',#159426); #3106=PLANE('',#159430); #3107=PLANE('',#159431); #3108=PLANE('',#159432); #3109=PLANE('',#159433); #3110=PLANE('',#159434); #3111=PLANE('',#159435); #3112=PLANE('',#159442); #3113=PLANE('',#159443); #3114=PLANE('',#159444); #3115=PLANE('',#159445); #3116=PLANE('',#159446); #3117=PLANE('',#159447); #3118=PLANE('',#159448); #3119=PLANE('',#159449); #3120=PLANE('',#159450); #3121=PLANE('',#159451); #3122=PLANE('',#159452); #3123=PLANE('',#159453); #3124=PLANE('',#159454); #3125=PLANE('',#159455); #3126=PLANE('',#159456); #3127=PLANE('',#159457); #3128=PLANE('',#159458); #3129=PLANE('',#159459); #3130=PLANE('',#159460); #3131=PLANE('',#159461); #3132=PLANE('',#159462); #3133=PLANE('',#159463); #3134=PLANE('',#159464); #3135=PLANE('',#159465); #3136=PLANE('',#159466); #3137=PLANE('',#159467); #3138=PLANE('',#159468); #3139=PLANE('',#159469); #3140=PLANE('',#159470); #3141=PLANE('',#159471); #3142=PLANE('',#159472); #3143=PLANE('',#159473); #3144=PLANE('',#159474); #3145=PLANE('',#159475); #3146=PLANE('',#159479); #3147=PLANE('',#159480); #3148=PLANE('',#159481); #3149=PLANE('',#159482); #3150=PLANE('',#159483); #3151=PLANE('',#159484); #3152=PLANE('',#159485); #3153=PLANE('',#159486); #3154=PLANE('',#159487); #3155=PLANE('',#159488); #3156=PLANE('',#159489); #3157=PLANE('',#159490); #3158=PLANE('',#159491); #3159=PLANE('',#159492); #3160=PLANE('',#159493); #3161=PLANE('',#159494); #3162=PLANE('',#159495); #3163=PLANE('',#159496); #3164=PLANE('',#159497); #3165=PLANE('',#159498); #3166=PLANE('',#159499); #3167=PLANE('',#159500); #3168=PLANE('',#159501); #3169=PLANE('',#159505); #3170=PLANE('',#159506); #3171=PLANE('',#159507); #3172=PLANE('',#159508); #3173=PLANE('',#159515); #3174=PLANE('',#159516); #3175=PLANE('',#159517); #3176=PLANE('',#159518); #3177=PLANE('',#159519); #3178=PLANE('',#159520); #3179=PLANE('',#159521); #3180=PLANE('',#159522); #3181=PLANE('',#159523); #3182=PLANE('',#159524); #3183=PLANE('',#159525); #3184=PLANE('',#159526); #3185=PLANE('',#159527); #3186=PLANE('',#159528); #3187=PLANE('',#159529); #3188=PLANE('',#159530); #3189=PLANE('',#159531); #3190=PLANE('',#159532); #3191=PLANE('',#159533); #3192=PLANE('',#159534); #3193=PLANE('',#159535); #3194=PLANE('',#159536); #3195=PLANE('',#159537); #3196=PLANE('',#159538); #3197=PLANE('',#159542); #3198=PLANE('',#159543); #3199=PLANE('',#159544); #3200=PLANE('',#159545); #3201=PLANE('',#159546); #3202=PLANE('',#159547); #3203=PLANE('',#159548); #3204=PLANE('',#159549); #3205=PLANE('',#159550); #3206=PLANE('',#159551); #3207=PLANE('',#159552); #3208=PLANE('',#159553); #3209=PLANE('',#159554); #3210=PLANE('',#159555); #3211=PLANE('',#159556); #3212=PLANE('',#159557); #3213=PLANE('',#159558); #3214=PLANE('',#159559); #3215=PLANE('',#159560); #3216=PLANE('',#159561); #3217=PLANE('',#159562); #3218=PLANE('',#159563); #3219=PLANE('',#159564); #3220=PLANE('',#159571); #3221=PLANE('',#159572); #3222=PLANE('',#159573); #3223=PLANE('',#159574); #3224=PLANE('',#159575); #3225=PLANE('',#159579); #3226=PLANE('',#159580); #3227=PLANE('',#159581); #3228=PLANE('',#159582); #3229=PLANE('',#159583); #3230=PLANE('',#159584); #3231=PLANE('',#159585); #3232=PLANE('',#159586); #3233=PLANE('',#159593); #3234=PLANE('',#159594); #3235=PLANE('',#159595); #3236=PLANE('',#159596); #3237=PLANE('',#159597); #3238=PLANE('',#159598); #3239=PLANE('',#159599); #3240=PLANE('',#159600); #3241=PLANE('',#159601); #3242=PLANE('',#159602); #3243=PLANE('',#159603); #3244=PLANE('',#159604); #3245=PLANE('',#159605); #3246=PLANE('',#159609); #3247=PLANE('',#159610); #3248=PLANE('',#159611); #3249=PLANE('',#159612); #3250=PLANE('',#159613); #3251=PLANE('',#159614); #3252=PLANE('',#159615); #3253=PLANE('',#159616); #3254=PLANE('',#159617); #3255=PLANE('',#159618); #3256=PLANE('',#159622); #3257=PLANE('',#159632); #3258=PLANE('',#159633); #3259=PLANE('',#159634); #3260=PLANE('',#159635); #3261=PLANE('',#159636); #3262=PLANE('',#159643); #3263=PLANE('',#159644); #3264=PLANE('',#159645); #3265=PLANE('',#159646); #3266=PLANE('',#159647); #3267=PLANE('',#159648); #3268=PLANE('',#159649); #3269=PLANE('',#159650); #3270=PLANE('',#159654); #3271=PLANE('',#159658); #3272=PLANE('',#159659); #3273=PLANE('',#159660); #3274=PLANE('',#159664); #3275=PLANE('',#159665); #3276=PLANE('',#159666); #3277=PLANE('',#159667); #3278=PLANE('',#159668); #3279=PLANE('',#159675); #3280=PLANE('',#159676); #3281=PLANE('',#159677); #3282=PLANE('',#159678); #3283=PLANE('',#159679); #3284=PLANE('',#159680); #3285=PLANE('',#159684); #3286=PLANE('',#159685); #3287=PLANE('',#159689); #3288=PLANE('',#159690); #3289=PLANE('',#159691); #3290=PLANE('',#159692); #3291=PLANE('',#159693); #3292=PLANE('',#159694); #3293=PLANE('',#159695); #3294=PLANE('',#159696); #3295=PLANE('',#159697); #3296=PLANE('',#159698); #3297=PLANE('',#159699); #3298=PLANE('',#159700); #3299=PLANE('',#159701); #3300=PLANE('',#159702); #3301=PLANE('',#159703); #3302=PLANE('',#159704); #3303=PLANE('',#159705); #3304=PLANE('',#159706); #3305=PLANE('',#159707); #3306=PLANE('',#159708); #3307=PLANE('',#159709); #3308=PLANE('',#159710); #3309=PLANE('',#159711); #3310=PLANE('',#159712); #3311=PLANE('',#159719); #3312=PLANE('',#159720); #3313=PLANE('',#159721); #3314=PLANE('',#159722); #3315=PLANE('',#159723); #3316=PLANE('',#159724); #3317=PLANE('',#159725); #3318=PLANE('',#159726); #3319=PLANE('',#159727); #3320=PLANE('',#159728); #3321=PLANE('',#159732); #3322=PLANE('',#159733); #3323=PLANE('',#159734); #3324=PLANE('',#159735); #3325=PLANE('',#159736); #3326=PLANE('',#159737); #3327=PLANE('',#159738); #3328=PLANE('',#159739); #3329=PLANE('',#159740); #3330=PLANE('',#159741); #3331=PLANE('',#159742); #3332=PLANE('',#159749); #3333=PLANE('',#159750); #3334=PLANE('',#159751); #3335=PLANE('',#159752); #3336=PLANE('',#159756); #3337=PLANE('',#159757); #3338=PLANE('',#159758); #3339=PLANE('',#159759); #3340=PLANE('',#159760); #3341=PLANE('',#159761); #3342=PLANE('',#159762); #3343=PLANE('',#159763); #3344=PLANE('',#159767); #3345=PLANE('',#159771); #3346=PLANE('',#159772); #3347=PLANE('',#159773); #3348=PLANE('',#159774); #3349=PLANE('',#159775); #3350=PLANE('',#159776); #3351=PLANE('',#159777); #3352=PLANE('',#159778); #3353=PLANE('',#159779); #3354=PLANE('',#159780); #3355=PLANE('',#159781); #3356=PLANE('',#159785); #3357=PLANE('',#159786); #3358=PLANE('',#159796); #3359=PLANE('',#159797); #3360=PLANE('',#159798); #3361=PLANE('',#159805); #3362=PLANE('',#159821); #3363=PLANE('',#159837); #3364=PLANE('',#159841); #3365=PLANE('',#159842); #3366=PLANE('',#159843); #3367=PLANE('',#159862); #3368=PLANE('',#159863); #3369=PLANE('',#159867); #3370=PLANE('',#159880); #3371=PLANE('',#159884); #3372=PLANE('',#159891); #3373=PLANE('',#159898); #3374=PLANE('',#159899); #3375=PLANE('',#159906); #3376=PLANE('',#159907); #3377=PLANE('',#159908); #3378=PLANE('',#159909); #3379=PLANE('',#159910); #3380=PLANE('',#159911); #3381=PLANE('',#159912); #3382=PLANE('',#159913); #3383=PLANE('',#159914); #3384=PLANE('',#159918); #3385=PLANE('',#159919); #3386=PLANE('',#159920); #3387=PLANE('',#159921); #3388=PLANE('',#159922); #3389=PLANE('',#159923); #3390=PLANE('',#159924); #3391=PLANE('',#159925); #3392=PLANE('',#159926); #3393=PLANE('',#159927); #3394=PLANE('',#159928); #3395=PLANE('',#159929); #3396=PLANE('',#159933); #3397=PLANE('',#159934); #3398=PLANE('',#159935); #3399=PLANE('',#159936); #3400=PLANE('',#159937); #3401=PLANE('',#159938); #3402=PLANE('',#159939); #3403=PLANE('',#159940); #3404=PLANE('',#159944); #3405=PLANE('',#159945); #3406=PLANE('',#159946); #3407=PLANE('',#159953); #3408=PLANE('',#159957); #3409=PLANE('',#159958); #3410=PLANE('',#159959); #3411=PLANE('',#159963); #3412=PLANE('',#159964); #3413=PLANE('',#159965); #3414=PLANE('',#159966); #3415=PLANE('',#159973); #3416=PLANE('',#159974); #3417=PLANE('',#159975); #3418=PLANE('',#159976); #3419=PLANE('',#159977); #3420=PLANE('',#159978); #3421=PLANE('',#159982); #3422=PLANE('',#159983); #3423=PLANE('',#159984); #3424=PLANE('',#159985); #3425=PLANE('',#159989); #3426=PLANE('',#159993); #3427=PLANE('',#159994); #3428=PLANE('',#160001); #3429=PLANE('',#160002); #3430=PLANE('',#160003); #3431=PLANE('',#160004); #3432=PLANE('',#160008); #3433=PLANE('',#160009); #3434=PLANE('',#160010); #3435=PLANE('',#160011); #3436=PLANE('',#160012); #3437=PLANE('',#160013); #3438=PLANE('',#160014); #3439=PLANE('',#160015); #3440=PLANE('',#160016); #3441=PLANE('',#160017); #3442=PLANE('',#160018); #3443=PLANE('',#160019); #3444=PLANE('',#160020); #3445=PLANE('',#160021); #3446=PLANE('',#160022); #3447=PLANE('',#160023); #3448=PLANE('',#160027); #3449=PLANE('',#160031); #3450=PLANE('',#160032); #3451=PLANE('',#160033); #3452=PLANE('',#160034); #3453=PLANE('',#160041); #3454=PLANE('',#160042); #3455=PLANE('',#160043); #3456=PLANE('',#160044); #3457=PLANE('',#160045); #3458=PLANE('',#160049); #3459=PLANE('',#160050); #3460=PLANE('',#160051); #3461=PLANE('',#160052); #3462=PLANE('',#160059); #3463=PLANE('',#160060); #3464=PLANE('',#160061); #3465=PLANE('',#160062); #3466=PLANE('',#160066); #3467=PLANE('',#160070); #3468=PLANE('',#160071); #3469=PLANE('',#160072); #3470=PLANE('',#160073); #3471=PLANE('',#160074); #3472=PLANE('',#160081); #3473=PLANE('',#160082); #3474=PLANE('',#160083); #3475=PLANE('',#160084); #3476=PLANE('',#160085); #3477=PLANE('',#160086); #3478=PLANE('',#160087); #3479=PLANE('',#160088); #3480=PLANE('',#160089); #3481=PLANE('',#160090); #3482=PLANE('',#160097); #3483=PLANE('',#160098); #3484=PLANE('',#160099); #3485=PLANE('',#160100); #3486=PLANE('',#160101); #3487=PLANE('',#160102); #3488=PLANE('',#160103); #3489=PLANE('',#160104); #3490=PLANE('',#160111); #3491=PLANE('',#160118); #3492=PLANE('',#160122); #3493=PLANE('',#160123); #3494=PLANE('',#160124); #3495=PLANE('',#160125); #3496=PLANE('',#160129); #3497=PLANE('',#160130); #3498=PLANE('',#160131); #3499=PLANE('',#160132); #3500=PLANE('',#160133); #3501=PLANE('',#160137); #3502=PLANE('',#160138); #3503=PLANE('',#160139); #3504=PLANE('',#160140); #3505=PLANE('',#160141); #3506=PLANE('',#160142); #3507=PLANE('',#160143); #3508=PLANE('',#160144); #3509=PLANE('',#160145); #3510=PLANE('',#160146); #3511=PLANE('',#160147); #3512=PLANE('',#160148); #3513=PLANE('',#160155); #3514=PLANE('',#160156); #3515=PLANE('',#160157); #3516=PLANE('',#160158); #3517=PLANE('',#160177); #3518=PLANE('',#160178); #3519=PLANE('',#160179); #3520=PLANE('',#160180); #3521=PLANE('',#160187); #3522=PLANE('',#160188); #3523=PLANE('',#160189); #3524=PLANE('',#160190); #3525=PLANE('',#160191); #3526=PLANE('',#160192); #3527=PLANE('',#160193); #3528=PLANE('',#160194); #3529=PLANE('',#160195); #3530=PLANE('',#160196); #3531=PLANE('',#160197); #3532=PLANE('',#160198); #3533=PLANE('',#160199); #3534=PLANE('',#160200); #3535=PLANE('',#160201); #3536=PLANE('',#160202); #3537=PLANE('',#160203); #3538=PLANE('',#160204); #3539=PLANE('',#160205); #3540=PLANE('',#160206); #3541=PLANE('',#160207); #3542=PLANE('',#160211); #3543=PLANE('',#160218); #3544=PLANE('',#160219); #3545=PLANE('',#160220); #3546=PLANE('',#160221); #3547=PLANE('',#160222); #3548=PLANE('',#160223); #3549=PLANE('',#160224); #3550=PLANE('',#160225); #3551=PLANE('',#160226); #3552=PLANE('',#160227); #3553=PLANE('',#160228); #3554=PLANE('',#160229); #3555=PLANE('',#160230); #3556=PLANE('',#160231); #3557=PLANE('',#160232); #3558=PLANE('',#160233); #3559=PLANE('',#160234); #3560=PLANE('',#160235); #3561=PLANE('',#160236); #3562=PLANE('',#160237); #3563=PLANE('',#160238); #3564=PLANE('',#160239); #3565=PLANE('',#160240); #3566=PLANE('',#160244); #3567=PLANE('',#160245); #3568=PLANE('',#160246); #3569=PLANE('',#160247); #3570=PLANE('',#160248); #3571=PLANE('',#160249); #3572=PLANE('',#160250); #3573=PLANE('',#160251); #3574=PLANE('',#160252); #3575=PLANE('',#160253); #3576=PLANE('',#160254); #3577=PLANE('',#160255); #3578=PLANE('',#160256); #3579=PLANE('',#160257); #3580=PLANE('',#160258); #3581=PLANE('',#160259); #3582=PLANE('',#160260); #3583=PLANE('',#160261); #3584=PLANE('',#160262); #3585=PLANE('',#160263); #3586=PLANE('',#160267); #3587=PLANE('',#160268); #3588=PLANE('',#160269); #3589=PLANE('',#160273); #3590=PLANE('',#160280); #3591=PLANE('',#160281); #3592=PLANE('',#160282); #3593=PLANE('',#160283); #3594=PLANE('',#160284); #3595=PLANE('',#160291); #3596=PLANE('',#160292); #3597=PLANE('',#160293); #3598=PLANE('',#160294); #3599=PLANE('',#160301); #3600=PLANE('',#160302); #3601=PLANE('',#160303); #3602=PLANE('',#160304); #3603=PLANE('',#160305); #3604=PLANE('',#160306); #3605=PLANE('',#160307); #3606=PLANE('',#160308); #3607=PLANE('',#160309); #3608=PLANE('',#160310); #3609=PLANE('',#160311); #3610=PLANE('',#160312); #3611=PLANE('',#160313); #3612=PLANE('',#160317); #3613=PLANE('',#160318); #3614=PLANE('',#160319); #3615=PLANE('',#160320); #3616=PLANE('',#160321); #3617=PLANE('',#160322); #3618=PLANE('',#160323); #3619=PLANE('',#160324); #3620=PLANE('',#160325); #3621=PLANE('',#160326); #3622=PLANE('',#160327); #3623=PLANE('',#160328); #3624=PLANE('',#160329); #3625=PLANE('',#160330); #3626=PLANE('',#160331); #3627=PLANE('',#160332); #3628=PLANE('',#160336); #3629=PLANE('',#160337); #3630=PLANE('',#160338); #3631=PLANE('',#160339); #3632=PLANE('',#160340); #3633=PLANE('',#160341); #3634=PLANE('',#160342); #3635=PLANE('',#160343); #3636=PLANE('',#160347); #3637=PLANE('',#160348); #3638=PLANE('',#160349); #3639=PLANE('',#160350); #3640=PLANE('',#160351); #3641=PLANE('',#160355); #3642=PLANE('',#160356); #3643=PLANE('',#160357); #3644=PLANE('',#160358); #3645=PLANE('',#160359); #3646=PLANE('',#160360); #3647=PLANE('',#160361); #3648=PLANE('',#160365); #3649=PLANE('',#160366); #3650=PLANE('',#160367); #3651=PLANE('',#160368); #3652=PLANE('',#160369); #3653=PLANE('',#160376); #3654=PLANE('',#160377); #3655=PLANE('',#160378); #3656=PLANE('',#160379); #3657=PLANE('',#160383); #3658=PLANE('',#160384); #3659=PLANE('',#160385); #3660=PLANE('',#160386); #3661=PLANE('',#160387); #3662=PLANE('',#160388); #3663=PLANE('',#160389); #3664=PLANE('',#160390); #3665=PLANE('',#160391); #3666=PLANE('',#160392); #3667=PLANE('',#160393); #3668=PLANE('',#160397); #3669=PLANE('',#160398); #3670=PLANE('',#160399); #3671=PLANE('',#160400); #3672=PLANE('',#160401); #3673=PLANE('',#160402); #3674=PLANE('',#160406); #3675=PLANE('',#160407); #3676=PLANE('',#160408); #3677=PLANE('',#160409); #3678=PLANE('',#160410); #3679=PLANE('',#160411); #3680=PLANE('',#160412); #3681=PLANE('',#160413); #3682=PLANE('',#160414); #3683=PLANE('',#160415); #3684=PLANE('',#160416); #3685=PLANE('',#160417); #3686=PLANE('',#160418); #3687=PLANE('',#160419); #3688=PLANE('',#160420); #3689=PLANE('',#160421); #3690=PLANE('',#160422); #3691=PLANE('',#160423); #3692=PLANE('',#160424); #3693=PLANE('',#160425); #3694=PLANE('',#160426); #3695=PLANE('',#160427); #3696=PLANE('',#160428); #3697=PLANE('',#160429); #3698=PLANE('',#160433); #3699=PLANE('',#160434); #3700=PLANE('',#160435); #3701=PLANE('',#160436); #3702=PLANE('',#160437); #3703=PLANE('',#160438); #3704=PLANE('',#160439); #3705=PLANE('',#160440); #3706=PLANE('',#160441); #3707=PLANE('',#160442); #3708=PLANE('',#160443); #3709=PLANE('',#160444); #3710=PLANE('',#160445); #3711=PLANE('',#160446); #3712=PLANE('',#160447); #3713=PLANE('',#160448); #3714=PLANE('',#160449); #3715=PLANE('',#160450); #3716=PLANE('',#160451); #3717=PLANE('',#160452); #3718=PLANE('',#160453); #3719=PLANE('',#160454); #3720=PLANE('',#160458); #3721=PLANE('',#160459); #3722=PLANE('',#160460); #3723=PLANE('',#160461); #3724=PLANE('',#160462); #3725=PLANE('',#160463); #3726=PLANE('',#160464); #3727=PLANE('',#160471); #3728=PLANE('',#160472); #3729=PLANE('',#160473); #3730=PLANE('',#160474); #3731=PLANE('',#160475); #3732=PLANE('',#160476); #3733=PLANE('',#160477); #3734=PLANE('',#160481); #3735=PLANE('',#160485); #3736=PLANE('',#160486); #3737=PLANE('',#160487); #3738=PLANE('',#160488); #3739=PLANE('',#160489); #3740=PLANE('',#160490); #3741=PLANE('',#160491); #3742=PLANE('',#160492); #3743=PLANE('',#160493); #3744=PLANE('',#160494); #3745=PLANE('',#160498); #3746=PLANE('',#160499); #3747=PLANE('',#160500); #3748=PLANE('',#160501); #3749=PLANE('',#160505); #3750=PLANE('',#160506); #3751=PLANE('',#160507); #3752=PLANE('',#160508); #3753=PLANE('',#160509); #3754=PLANE('',#160510); #3755=PLANE('',#160514); #3756=PLANE('',#160515); #3757=PLANE('',#160516); #3758=PLANE('',#160517); #3759=PLANE('',#160524); #3760=PLANE('',#160525); #3761=PLANE('',#160526); #3762=PLANE('',#160533); #3763=PLANE('',#160534); #3764=PLANE('',#160538); #3765=PLANE('',#160539); #3766=PLANE('',#160540); #3767=PLANE('',#160541); #3768=PLANE('',#160542); #3769=PLANE('',#160543); #3770=PLANE('',#160544); #3771=PLANE('',#160545); #3772=PLANE('',#160546); #3773=PLANE('',#160547); #3774=PLANE('',#160548); #3775=PLANE('',#160549); #3776=PLANE('',#160550); #3777=PLANE('',#160551); #3778=PLANE('',#160564); #3779=PLANE('',#160565); #3780=PLANE('',#160566); #3781=PLANE('',#160570); #3782=PLANE('',#160571); #3783=PLANE('',#160572); #3784=PLANE('',#160573); #3785=PLANE('',#160583); #3786=PLANE('',#160584); #3787=PLANE('',#160585); #3788=PLANE('',#160586); #3789=PLANE('',#160587); #3790=PLANE('',#160588); #3791=PLANE('',#160592); #3792=PLANE('',#160605); #3793=PLANE('',#160618); #3794=PLANE('',#160619); #3795=PLANE('',#160623); #3796=PLANE('',#160624); #3797=PLANE('',#160625); #3798=PLANE('',#160626); #3799=PLANE('',#160627); #3800=PLANE('',#160628); #3801=PLANE('',#160629); #3802=PLANE('',#160633); #3803=PLANE('',#160634); #3804=PLANE('',#160635); #3805=PLANE('',#160636); #3806=PLANE('',#160637); #3807=PLANE('',#160638); #3808=PLANE('',#160639); #3809=PLANE('',#160640); #3810=PLANE('',#160644); #3811=PLANE('',#160648); #3812=PLANE('',#160649); #3813=PLANE('',#160653); #3814=PLANE('',#160654); #3815=PLANE('',#160655); #3816=PLANE('',#160656); #3817=PLANE('',#160657); #3818=PLANE('',#160658); #3819=PLANE('',#160659); #3820=PLANE('',#160660); #3821=PLANE('',#160661); #3822=PLANE('',#160662); #3823=PLANE('',#160663); #3824=PLANE('',#160664); #3825=PLANE('',#160665); #3826=PLANE('',#160666); #3827=PLANE('',#160667); #3828=PLANE('',#160668); #3829=PLANE('',#160669); #3830=PLANE('',#160670); #3831=PLANE('',#160671); #3832=PLANE('',#160681); #3833=PLANE('',#160685); #3834=PLANE('',#160686); #3835=PLANE('',#160687); #3836=PLANE('',#160688); #3837=PLANE('',#160689); #3838=PLANE('',#160690); #3839=PLANE('',#160697); #3840=PLANE('',#160698); #3841=PLANE('',#160699); #3842=PLANE('',#160700); #3843=PLANE('',#160701); #3844=PLANE('',#160702); #3845=PLANE('',#160703); #3846=PLANE('',#160704); #3847=PLANE('',#160705); #3848=PLANE('',#160706); #3849=PLANE('',#160716); #3850=PLANE('',#160723); #3851=PLANE('',#160724); #3852=PLANE('',#160725); #3853=PLANE('',#160747); #3854=PLANE('',#160748); #3855=PLANE('',#160752); #3856=PLANE('',#160768); #3857=PLANE('',#160769); #3858=PLANE('',#160773); #3859=PLANE('',#160774); #3860=PLANE('',#160775); #3861=PLANE('',#160776); #3862=PLANE('',#160777); #3863=PLANE('',#160778); #3864=PLANE('',#160779); #3865=PLANE('',#160783); #3866=PLANE('',#160784); #3867=PLANE('',#160785); #3868=PLANE('',#160786); #3869=PLANE('',#160787); #3870=PLANE('',#160788); #3871=PLANE('',#160792); #3872=PLANE('',#160793); #3873=PLANE('',#160794); #3874=PLANE('',#160795); #3875=PLANE('',#160796); #3876=PLANE('',#160797); #3877=PLANE('',#160798); #3878=PLANE('',#160799); #3879=PLANE('',#160803); #3880=PLANE('',#160807); #3881=PLANE('',#160808); #3882=PLANE('',#160809); #3883=PLANE('',#160810); #3884=PLANE('',#160811); #3885=PLANE('',#160812); #3886=PLANE('',#160813); #3887=PLANE('',#160814); #3888=PLANE('',#160815); #3889=PLANE('',#160816); #3890=PLANE('',#160817); #3891=PLANE('',#160818); #3892=PLANE('',#160819); #3893=PLANE('',#160826); #3894=PLANE('',#160827); #3895=PLANE('',#160828); #3896=PLANE('',#160829); #3897=PLANE('',#160830); #3898=PLANE('',#160834); #3899=PLANE('',#160838); #3900=PLANE('',#160839); #3901=PLANE('',#160846); #3902=PLANE('',#160847); #3903=PLANE('',#160848); #3904=PLANE('',#160849); #3905=PLANE('',#160850); #3906=PLANE('',#160851); #3907=PLANE('',#160852); #3908=PLANE('',#160853); #3909=PLANE('',#160854); #3910=PLANE('',#160855); #3911=PLANE('',#160856); #3912=PLANE('',#160866); #3913=PLANE('',#160867); #3914=PLANE('',#160868); #3915=PLANE('',#160869); #3916=PLANE('',#160870); #3917=PLANE('',#160871); #3918=PLANE('',#160875); #3919=PLANE('',#160876); #3920=PLANE('',#160877); #3921=PLANE('',#160878); #3922=PLANE('',#160879); #3923=PLANE('',#160880); #3924=PLANE('',#160881); #3925=PLANE('',#160882); #3926=PLANE('',#160886); #3927=PLANE('',#160887); #3928=PLANE('',#160888); #3929=PLANE('',#160889); #3930=PLANE('',#160890); #3931=PLANE('',#160891); #3932=PLANE('',#160892); #3933=PLANE('',#160893); #3934=PLANE('',#160897); #3935=PLANE('',#160898); #3936=PLANE('',#160902); #3937=PLANE('',#160903); #3938=PLANE('',#160907); #3939=PLANE('',#160908); #3940=PLANE('',#160909); #3941=PLANE('',#160916); #3942=PLANE('',#160917); #3943=PLANE('',#160918); #3944=PLANE('',#160919); #3945=PLANE('',#160920); #3946=PLANE('',#160921); #3947=PLANE('',#160922); #3948=PLANE('',#160923); #3949=PLANE('',#160927); #3950=PLANE('',#160937); #3951=PLANE('',#160938); #3952=PLANE('',#160939); #3953=PLANE('',#160940); #3954=PLANE('',#160941); #3955=PLANE('',#160945); #3956=PLANE('',#160946); #3957=PLANE('',#160947); #3958=PLANE('',#160951); #3959=PLANE('',#160955); #3960=PLANE('',#160956); #3961=PLANE('',#160957); #3962=PLANE('',#160958); #3963=PLANE('',#160959); #3964=PLANE('',#160960); #3965=PLANE('',#160961); #3966=PLANE('',#160962); #3967=PLANE('',#160966); #3968=PLANE('',#160970); #3969=PLANE('',#160971); #3970=PLANE('',#160972); #3971=PLANE('',#160973); #3972=PLANE('',#160977); #3973=PLANE('',#160981); #3974=PLANE('',#160988); #3975=PLANE('',#160989); #3976=PLANE('',#160990); #3977=PLANE('',#160991); #3978=PLANE('',#160992); #3979=PLANE('',#160993); #3980=PLANE('',#160994); #3981=PLANE('',#160995); #3982=PLANE('',#160996); #3983=PLANE('',#161000); #3984=PLANE('',#161001); #3985=PLANE('',#161002); #3986=PLANE('',#161003); #3987=PLANE('',#161010); #3988=PLANE('',#161020); #3989=PLANE('',#161021); #3990=PLANE('',#161022); #3991=PLANE('',#161023); #3992=PLANE('',#161024); #3993=PLANE('',#161025); #3994=PLANE('',#161026); #3995=PLANE('',#161027); #3996=PLANE('',#161028); #3997=PLANE('',#161029); #3998=PLANE('',#161030); #3999=PLANE('',#161031); #4000=PLANE('',#161032); #4001=PLANE('',#161033); #4002=PLANE('',#161034); #4003=PLANE('',#161035); #4004=PLANE('',#161036); #4005=PLANE('',#161037); #4006=PLANE('',#161038); #4007=PLANE('',#161039); #4008=PLANE('',#161040); #4009=PLANE('',#161041); #4010=PLANE('',#161042); #4011=PLANE('',#161043); #4012=PLANE('',#161047); #4013=PLANE('',#161048); #4014=PLANE('',#161049); #4015=PLANE('',#161059); #4016=PLANE('',#161060); #4017=PLANE('',#161061); #4018=PLANE('',#161062); #4019=PLANE('',#161063); #4020=PLANE('',#161064); #4021=PLANE('',#161065); #4022=PLANE('',#161066); #4023=PLANE('',#161067); #4024=PLANE('',#161068); #4025=PLANE('',#161069); #4026=PLANE('',#161070); #4027=PLANE('',#161071); #4028=PLANE('',#161072); #4029=PLANE('',#161073); #4030=PLANE('',#161074); #4031=PLANE('',#161078); #4032=PLANE('',#161079); #4033=PLANE('',#161083); #4034=PLANE('',#161084); #4035=PLANE('',#161085); #4036=PLANE('',#161086); #4037=PLANE('',#161087); #4038=PLANE('',#161088); #4039=PLANE('',#161089); #4040=PLANE('',#161090); #4041=PLANE('',#161091); #4042=PLANE('',#161092); #4043=PLANE('',#161093); #4044=PLANE('',#161094); #4045=PLANE('',#161095); #4046=PLANE('',#161096); #4047=PLANE('',#161097); #4048=PLANE('',#161098); #4049=PLANE('',#161099); #4050=PLANE('',#161100); #4051=PLANE('',#161101); #4052=PLANE('',#161102); #4053=PLANE('',#161103); #4054=PLANE('',#161104); #4055=PLANE('',#161105); #4056=PLANE('',#161106); #4057=PLANE('',#161107); #4058=PLANE('',#161108); #4059=PLANE('',#161112); #4060=PLANE('',#161113); #4061=PLANE('',#161114); #4062=PLANE('',#161115); #4063=PLANE('',#161116); #4064=PLANE('',#161117); #4065=PLANE('',#161118); #4066=PLANE('',#161119); #4067=PLANE('',#161120); #4068=PLANE('',#161121); #4069=PLANE('',#161122); #4070=PLANE('',#161123); #4071=PLANE('',#161124); #4072=PLANE('',#161125); #4073=PLANE('',#161126); #4074=PLANE('',#161127); #4075=PLANE('',#161128); #4076=PLANE('',#161129); #4077=PLANE('',#161130); #4078=PLANE('',#161131); #4079=PLANE('',#161132); #4080=PLANE('',#161133); #4081=PLANE('',#161134); #4082=PLANE('',#161135); #4083=PLANE('',#161136); #4084=PLANE('',#161140); #4085=PLANE('',#161141); #4086=PLANE('',#161154); #4087=PLANE('',#161155); #4088=PLANE('',#161156); #4089=PLANE('',#161157); #4090=PLANE('',#161158); #4091=PLANE('',#161159); #4092=PLANE('',#161160); #4093=PLANE('',#161161); #4094=PLANE('',#161162); #4095=PLANE('',#161163); #4096=PLANE('',#161164); #4097=PLANE('',#161174); #4098=PLANE('',#161175); #4099=PLANE('',#161176); #4100=PLANE('',#161177); #4101=PLANE('',#161178); #4102=PLANE('',#161179); #4103=PLANE('',#161180); #4104=PLANE('',#161181); #4105=PLANE('',#161182); #4106=PLANE('',#161183); #4107=PLANE('',#161184); #4108=PLANE('',#161185); #4109=PLANE('',#161186); #4110=PLANE('',#161187); #4111=PLANE('',#161188); #4112=PLANE('',#161189); #4113=PLANE('',#161190); #4114=PLANE('',#161191); #4115=PLANE('',#161192); #4116=PLANE('',#161199); #4117=PLANE('',#161215); #4118=PLANE('',#161216); #4119=PLANE('',#161217); #4120=PLANE('',#161218); #4121=PLANE('',#161219); #4122=PLANE('',#161220); #4123=PLANE('',#161221); #4124=PLANE('',#161222); #4125=PLANE('',#161223); #4126=PLANE('',#161224); #4127=PLANE('',#161225); #4128=PLANE('',#161229); #4129=PLANE('',#161230); #4130=PLANE('',#161231); #4131=PLANE('',#161232); #4132=PLANE('',#161233); #4133=PLANE('',#161234); #4134=PLANE('',#161235); #4135=PLANE('',#161236); #4136=PLANE('',#161237); #4137=PLANE('',#161241); #4138=PLANE('',#161242); #4139=PLANE('',#161243); #4140=PLANE('',#161244); #4141=PLANE('',#161245); #4142=PLANE('',#161252); #4143=PLANE('',#161253); #4144=PLANE('',#161254); #4145=PLANE('',#161255); #4146=PLANE('',#161256); #4147=PLANE('',#161257); #4148=PLANE('',#161258); #4149=PLANE('',#161259); #4150=PLANE('',#161260); #4151=PLANE('',#161261); #4152=PLANE('',#161262); #4153=PLANE('',#161263); #4154=PLANE('',#161264); #4155=PLANE('',#161265); #4156=PLANE('',#161266); #4157=PLANE('',#161267); #4158=PLANE('',#161268); #4159=PLANE('',#161269); #4160=PLANE('',#161270); #4161=PLANE('',#161271); #4162=PLANE('',#161272); #4163=PLANE('',#161273); #4164=PLANE('',#161286); #4165=PLANE('',#161287); #4166=PLANE('',#161288); #4167=PLANE('',#161289); #4168=PLANE('',#161290); #4169=PLANE('',#161291); #4170=PLANE('',#161292); #4171=PLANE('',#161293); #4172=PLANE('',#161294); #4173=PLANE('',#161295); #4174=PLANE('',#161299); #4175=PLANE('',#161300); #4176=PLANE('',#161301); #4177=PLANE('',#161302); #4178=PLANE('',#161303); #4179=PLANE('',#161304); #4180=PLANE('',#161305); #4181=PLANE('',#161306); #4182=PLANE('',#161307); #4183=PLANE('',#161308); #4184=PLANE('',#161312); #4185=PLANE('',#161313); #4186=PLANE('',#161314); #4187=PLANE('',#161318); #4188=PLANE('',#161325); #4189=PLANE('',#161326); #4190=PLANE('',#161327); #4191=PLANE('',#161328); #4192=PLANE('',#161329); #4193=PLANE('',#161330); #4194=PLANE('',#161331); #4195=PLANE('',#161332); #4196=PLANE('',#161333); #4197=PLANE('',#161340); #4198=PLANE('',#161350); #4199=PLANE('',#161354); #4200=PLANE('',#161355); #4201=PLANE('',#161359); #4202=PLANE('',#161369); #4203=PLANE('',#161379); #4204=PLANE('',#161380); #4205=PLANE('',#161381); #4206=PLANE('',#161382); #4207=PLANE('',#161389); #4208=PLANE('',#161399); #4209=PLANE('',#161400); #4210=PLANE('',#161401); #4211=PLANE('',#161405); #4212=PLANE('',#161406); #4213=PLANE('',#161410); #4214=PLANE('',#161414); #4215=PLANE('',#161415); #4216=PLANE('',#161419); #4217=PLANE('',#161420); #4218=PLANE('',#161421); #4219=PLANE('',#161428); #4220=PLANE('',#161429); #4221=PLANE('',#161436); #4222=PLANE('',#161440); #4223=PLANE('',#161441); #4224=PLANE('',#161442); #4225=PLANE('',#161443); #4226=PLANE('',#161450); #4227=PLANE('',#161451); #4228=PLANE('',#161455); #4229=PLANE('',#161462); #4230=PLANE('',#161463); #4231=PLANE('',#161464); #4232=PLANE('',#161465); #4233=PLANE('',#161466); #4234=PLANE('',#161467); #4235=PLANE('',#161468); #4236=PLANE('',#161469); #4237=PLANE('',#161473); #4238=PLANE('',#161474); #4239=PLANE('',#161475); #4240=PLANE('',#161476); #4241=PLANE('',#161477); #4242=PLANE('',#161478); #4243=PLANE('',#161479); #4244=PLANE('',#161486); #4245=PLANE('',#161496); #4246=PLANE('',#161509); #4247=PLANE('',#161513); #4248=PLANE('',#161514); #4249=PLANE('',#161515); #4250=PLANE('',#161516); #4251=PLANE('',#161517); #4252=PLANE('',#161518); #4253=PLANE('',#161519); #4254=PLANE('',#161520); #4255=PLANE('',#161521); #4256=PLANE('',#161522); #4257=PLANE('',#161523); #4258=PLANE('',#161527); #4259=PLANE('',#161528); #4260=PLANE('',#161532); #4261=PLANE('',#161533); #4262=PLANE('',#161534); #4263=PLANE('',#161535); #4264=PLANE('',#161536); #4265=PLANE('',#161537); #4266=PLANE('',#161547); #4267=PLANE('',#161548); #4268=PLANE('',#161549); #4269=PLANE('',#161550); #4270=PLANE('',#161551); #4271=PLANE('',#161552); #4272=PLANE('',#161553); #4273=PLANE('',#161554); #4274=PLANE('',#161558); #4275=PLANE('',#161559); #4276=PLANE('',#161560); #4277=PLANE('',#161561); #4278=PLANE('',#161562); #4279=PLANE('',#161566); #4280=PLANE('',#161567); #4281=PLANE('',#161568); #4282=PLANE('',#161569); #4283=PLANE('',#161570); #4284=PLANE('',#161574); #4285=PLANE('',#161587); #4286=PLANE('',#161603); #4287=PLANE('',#161607); #4288=PLANE('',#161608); #4289=PLANE('',#161609); #4290=PLANE('',#161610); #4291=PLANE('',#161611); #4292=PLANE('',#161612); #4293=PLANE('',#161613); #4294=PLANE('',#161614); #4295=PLANE('',#161615); #4296=PLANE('',#161616); #4297=PLANE('',#161617); #4298=PLANE('',#161618); #4299=PLANE('',#161619); #4300=PLANE('',#161620); #4301=PLANE('',#161621); #4302=PLANE('',#161622); #4303=PLANE('',#161626); #4304=PLANE('',#161627); #4305=PLANE('',#161628); #4306=PLANE('',#161635); #4307=PLANE('',#161636); #4308=PLANE('',#161637); #4309=PLANE('',#161647); #4310=PLANE('',#161648); #4311=PLANE('',#161649); #4312=PLANE('',#161650); #4313=PLANE('',#161651); #4314=PLANE('',#161652); #4315=PLANE('',#161653); #4316=PLANE('',#161663); #4317=PLANE('',#161664); #4318=PLANE('',#161665); #4319=PLANE('',#161666); #4320=PLANE('',#161667); #4321=PLANE('',#161668); #4322=PLANE('',#161669); #4323=PLANE('',#161682); #4324=PLANE('',#161692); #4325=PLANE('',#161693); #4326=PLANE('',#161694); #4327=PLANE('',#161695); #4328=PLANE('',#161696); #4329=PLANE('',#161697); #4330=PLANE('',#161698); #4331=PLANE('',#161699); #4332=PLANE('',#161700); #4333=PLANE('',#161701); #4334=PLANE('',#161702); #4335=PLANE('',#161703); #4336=PLANE('',#161704); #4337=PLANE('',#161705); #4338=PLANE('',#161706); #4339=PLANE('',#161707); #4340=PLANE('',#161708); #4341=PLANE('',#161709); #4342=PLANE('',#161710); #4343=PLANE('',#161711); #4344=PLANE('',#161712); #4345=PLANE('',#161713); #4346=PLANE('',#161714); #4347=PLANE('',#161715); #4348=PLANE('',#161716); #4349=PLANE('',#161717); #4350=PLANE('',#161721); #4351=PLANE('',#161722); #4352=PLANE('',#161726); #4353=PLANE('',#161727); #4354=PLANE('',#161728); #4355=PLANE('',#161729); #4356=PLANE('',#161730); #4357=PLANE('',#161731); #4358=PLANE('',#161732); #4359=PLANE('',#161733); #4360=PLANE('',#161734); #4361=PLANE('',#161735); #4362=PLANE('',#161736); #4363=PLANE('',#161737); #4364=PLANE('',#161738); #4365=PLANE('',#161739); #4366=PLANE('',#161740); #4367=PLANE('',#161741); #4368=PLANE('',#161742); #4369=PLANE('',#161743); #4370=PLANE('',#161744); #4371=PLANE('',#161745); #4372=PLANE('',#161746); #4373=PLANE('',#161747); #4374=PLANE('',#161748); #4375=PLANE('',#161749); #4376=PLANE('',#161750); #4377=PLANE('',#161751); #4378=PLANE('',#161752); #4379=PLANE('',#161753); #4380=PLANE('',#161754); #4381=PLANE('',#161755); #4382=PLANE('',#161756); #4383=PLANE('',#161757); #4384=PLANE('',#161758); #4385=PLANE('',#161759); #4386=PLANE('',#161760); #4387=PLANE('',#161761); #4388=PLANE('',#161762); #4389=PLANE('',#161763); #4390=PLANE('',#161764); #4391=PLANE('',#161765); #4392=PLANE('',#161766); #4393=PLANE('',#161767); #4394=PLANE('',#161768); #4395=PLANE('',#161775); #4396=PLANE('',#161776); #4397=PLANE('',#161777); #4398=PLANE('',#161778); #4399=PLANE('',#161779); #4400=PLANE('',#161780); #4401=PLANE('',#161781); #4402=PLANE('',#161782); #4403=PLANE('',#161783); #4404=PLANE('',#161784); #4405=PLANE('',#161785); #4406=PLANE('',#161786); #4407=PLANE('',#161787); #4408=PLANE('',#161788); #4409=PLANE('',#161789); #4410=PLANE('',#161790); #4411=PLANE('',#161791); #4412=PLANE('',#161792); #4413=PLANE('',#161793); #4414=PLANE('',#161794); #4415=PLANE('',#161795); #4416=PLANE('',#161796); #4417=PLANE('',#161797); #4418=PLANE('',#161801); #4419=PLANE('',#161802); #4420=PLANE('',#161803); #4421=PLANE('',#161807); #4422=PLANE('',#161808); #4423=PLANE('',#161809); #4424=PLANE('',#161813); #4425=PLANE('',#161814); #4426=PLANE('',#161815); #4427=PLANE('',#161816); #4428=PLANE('',#161817); #4429=PLANE('',#161818); #4430=PLANE('',#161819); #4431=PLANE('',#161820); #4432=PLANE('',#161824); #4433=PLANE('',#161825); #4434=PLANE('',#161826); #4435=PLANE('',#161827); #4436=PLANE('',#161828); #4437=PLANE('',#161829); #4438=PLANE('',#161833); #4439=PLANE('',#161834); #4440=PLANE('',#161835); #4441=PLANE('',#161836); #4442=PLANE('',#161837); #4443=PLANE('',#161838); #4444=PLANE('',#161839); #4445=PLANE('',#161840); #4446=PLANE('',#161841); #4447=PLANE('',#161854); #4448=PLANE('',#161855); #4449=PLANE('',#161856); #4450=PLANE('',#161857); #4451=PLANE('',#161858); #4452=PLANE('',#161859); #4453=PLANE('',#161860); #4454=PLANE('',#161861); #4455=PLANE('',#161862); #4456=PLANE('',#161863); #4457=PLANE('',#161864); #4458=PLANE('',#161865); #4459=PLANE('',#161866); #4460=PLANE('',#161867); #4461=PLANE('',#161868); #4462=PLANE('',#161869); #4463=PLANE('',#161870); #4464=PLANE('',#161871); #4465=PLANE('',#161872); #4466=PLANE('',#161873); #4467=PLANE('',#161874); #4468=PLANE('',#161875); #4469=PLANE('',#161876); #4470=PLANE('',#161877); #4471=PLANE('',#161878); #4472=PLANE('',#161882); #4473=PLANE('',#161883); #4474=PLANE('',#161887); #4475=PLANE('',#161888); #4476=PLANE('',#161889); #4477=PLANE('',#161890); #4478=PLANE('',#161891); #4479=PLANE('',#161892); #4480=PLANE('',#161893); #4481=PLANE('',#161894); #4482=PLANE('',#161895); #4483=PLANE('',#161896); #4484=PLANE('',#161897); #4485=PLANE('',#161898); #4486=PLANE('',#161899); #4487=PLANE('',#161900); #4488=PLANE('',#161901); #4489=PLANE('',#161902); #4490=PLANE('',#161903); #4491=PLANE('',#161904); #4492=PLANE('',#161905); #4493=PLANE('',#161906); #4494=PLANE('',#161907); #4495=PLANE('',#161908); #4496=PLANE('',#161909); #4497=PLANE('',#161910); #4498=PLANE('',#161911); #4499=PLANE('',#161912); #4500=PLANE('',#161913); #4501=PLANE('',#161920); #4502=PLANE('',#161921); #4503=PLANE('',#161922); #4504=PLANE('',#161923); #4505=PLANE('',#161924); #4506=PLANE('',#161925); #4507=PLANE('',#161926); #4508=PLANE('',#161927); #4509=PLANE('',#161928); #4510=PLANE('',#161929); #4511=PLANE('',#161930); #4512=PLANE('',#161931); #4513=PLANE('',#161932); #4514=PLANE('',#161933); #4515=PLANE('',#161934); #4516=PLANE('',#161935); #4517=PLANE('',#161936); #4518=PLANE('',#161937); #4519=PLANE('',#161938); #4520=PLANE('',#161939); #4521=PLANE('',#161940); #4522=PLANE('',#161941); #4523=PLANE('',#161942); #4524=PLANE('',#161943); #4525=PLANE('',#161944); #4526=PLANE('',#161945); #4527=PLANE('',#161949); #4528=PLANE('',#161950); #4529=PLANE('',#161951); #4530=PLANE('',#161952); #4531=PLANE('',#161956); #4532=PLANE('',#161957); #4533=PLANE('',#161958); #4534=PLANE('',#161959); #4535=PLANE('',#161960); #4536=PLANE('',#161961); #4537=PLANE('',#161962); #4538=PLANE('',#161963); #4539=PLANE('',#161985); #4540=PLANE('',#161995); #4541=PLANE('',#162023); #4542=PLANE('',#162030); #4543=PLANE('',#162034); #4544=PLANE('',#162038); #4545=PLANE('',#162039); #4546=PLANE('',#162040); #4547=PLANE('',#162044); #4548=PLANE('',#162045); #4549=PLANE('',#162046); #4550=PLANE('',#162053); #4551=PLANE('',#162054); #4552=PLANE('',#162058); #4553=PLANE('',#162059); #4554=PLANE('',#162060); #4555=PLANE('',#162061); #4556=PLANE('',#162062); #4557=PLANE('',#162063); #4558=PLANE('',#162076); #4559=PLANE('',#162080); #4560=PLANE('',#162084); #4561=PLANE('',#162088); #4562=PLANE('',#162089); #4563=PLANE('',#162093); #4564=PLANE('',#162097); #4565=PLANE('',#162101); #4566=PLANE('',#162102); #4567=PLANE('',#162103); #4568=PLANE('',#162104); #4569=PLANE('',#162105); #4570=PLANE('',#162106); #4571=PLANE('',#162107); #4572=PLANE('',#162108); #4573=PLANE('',#162109); #4574=PLANE('',#162110); #4575=PLANE('',#162111); #4576=PLANE('',#162112); #4577=PLANE('',#162113); #4578=PLANE('',#162114); #4579=PLANE('',#162115); #4580=PLANE('',#162116); #4581=PLANE('',#162117); #4582=PLANE('',#162118); #4583=PLANE('',#162125); #4584=PLANE('',#162126); #4585=PLANE('',#162127); #4586=PLANE('',#162128); #4587=PLANE('',#162129); #4588=PLANE('',#162130); #4589=PLANE('',#162131); #4590=PLANE('',#162132); #4591=PLANE('',#162133); #4592=PLANE('',#162134); #4593=PLANE('',#162135); #4594=PLANE('',#162136); #4595=PLANE('',#162137); #4596=PLANE('',#162138); #4597=PLANE('',#162139); #4598=PLANE('',#162140); #4599=PLANE('',#162144); #4600=PLANE('',#162145); #4601=PLANE('',#162146); #4602=PLANE('',#162147); #4603=PLANE('',#162148); #4604=PLANE('',#162149); #4605=PLANE('',#162150); #4606=PLANE('',#162154); #4607=PLANE('',#162161); #4608=PLANE('',#162162); #4609=PLANE('',#162163); #4610=PLANE('',#162164); #4611=PLANE('',#162165); #4612=PLANE('',#162166); #4613=PLANE('',#162167); #4614=PLANE('',#162168); #4615=PLANE('',#162169); #4616=PLANE('',#162170); #4617=PLANE('',#162171); #4618=PLANE('',#162172); #4619=PLANE('',#162173); #4620=PLANE('',#162174); #4621=PLANE('',#162175); #4622=PLANE('',#162176); #4623=PLANE('',#162177); #4624=PLANE('',#162178); #4625=PLANE('',#162179); #4626=PLANE('',#162180); #4627=PLANE('',#162181); #4628=PLANE('',#162182); #4629=PLANE('',#162183); #4630=PLANE('',#162184); #4631=PLANE('',#162188); #4632=PLANE('',#162189); #4633=PLANE('',#162190); #4634=PLANE('',#162191); #4635=PLANE('',#162195); #4636=PLANE('',#162196); #4637=PLANE('',#162197); #4638=PLANE('',#162198); #4639=PLANE('',#162199); #4640=PLANE('',#162200); #4641=PLANE('',#162201); #4642=PLANE('',#162202); #4643=PLANE('',#162203); #4644=PLANE('',#162204); #4645=PLANE('',#162205); #4646=PLANE('',#162206); #4647=PLANE('',#162207); #4648=PLANE('',#162208); #4649=PLANE('',#162209); #4650=PLANE('',#162210); #4651=PLANE('',#162211); #4652=PLANE('',#162212); #4653=PLANE('',#162216); #4654=PLANE('',#162217); #4655=PLANE('',#162218); #4656=PLANE('',#162219); #4657=PLANE('',#162220); #4658=PLANE('',#162221); #4659=PLANE('',#162228); #4660=PLANE('',#162232); #4661=PLANE('',#162233); #4662=PLANE('',#162237); #4663=PLANE('',#162238); #4664=PLANE('',#162239); #4665=PLANE('',#162240); #4666=PLANE('',#162241); #4667=PLANE('',#162245); #4668=PLANE('',#162246); #4669=PLANE('',#162247); #4670=PLANE('',#162248); #4671=PLANE('',#162249); #4672=PLANE('',#162250); #4673=PLANE('',#162269); #4674=PLANE('',#162270); #4675=PLANE('',#162271); #4676=PLANE('',#162272); #4677=PLANE('',#162273); #4678=PLANE('',#162274); #4679=PLANE('',#162275); #4680=PLANE('',#162276); #4681=PLANE('',#162277); #4682=PLANE('',#162278); #4683=PLANE('',#162279); #4684=PLANE('',#162280); #4685=PLANE('',#162281); #4686=PLANE('',#162282); #4687=PLANE('',#162283); #4688=PLANE('',#162284); #4689=PLANE('',#162285); #4690=PLANE('',#162286); #4691=PLANE('',#162287); #4692=PLANE('',#162288); #4693=PLANE('',#162289); #4694=PLANE('',#162293); #4695=PLANE('',#162297); #4696=PLANE('',#162306); #4697=PLANE('',#162310); #4698=PLANE('',#162314); #4699=PLANE('',#162318); #4700=PLANE('',#162324); #4701=PLANE('',#162328); #4702=PLANE('',#162329); #4703=PLANE('',#162330); #4704=PLANE('',#162335); #4705=PLANE('',#162350); #4706=PLANE('',#162362); #4707=PLANE('',#162367); #4708=PLANE('',#162368); #4709=PLANE('',#162369); #4710=PLANE('',#162374); #4711=PLANE('',#162379); #4712=PLANE('',#162382); #4713=PLANE('',#162383); #4714=PLANE('',#162386); #4715=PLANE('',#162389); #4716=PLANE('',#162392); #4717=PLANE('',#162397); #4718=PLANE('',#162409); #4719=PLANE('',#162413); #4720=PLANE('',#162416); #4721=PLANE('',#162430); #4722=PLANE('',#162440); #4723=PLANE('',#162441); #4724=PLANE('',#162442); #4725=PLANE('',#162443); #4726=PLANE('',#162444); #4727=PLANE('',#162459); #4728=PLANE('',#162460); #4729=PLANE('',#162461); #4730=PLANE('',#162462); #4731=PLANE('',#162464); #4732=PLANE('',#162466); #4733=PLANE('',#162467); #4734=PLANE('',#162469); #4735=PLANE('',#162470); #4736=PLANE('',#162473); #4737=PLANE('',#162475); #4738=PLANE('',#162476); #4739=PLANE('',#162478); #4740=PLANE('',#162480); #4741=PLANE('',#162481); #4742=PLANE('',#162483); #4743=PLANE('',#162484); #4744=PLANE('',#162486); #4745=PLANE('',#162487); #4746=PLANE('',#162489); #4747=PLANE('',#162490); #4748=PLANE('',#162492); #4749=PLANE('',#162494); #4750=PLANE('',#162495); #4751=PLANE('',#162497); #4752=PLANE('',#162498); #4753=PLANE('',#162499); #4754=PLANE('',#162500); #4755=PLANE('',#162501); #4756=PLANE('',#162502); #4757=PLANE('',#162503); #4758=PLANE('',#162504); #4759=PLANE('',#162505); #4760=PLANE('',#162506); #4761=PLANE('',#162508); #4762=PLANE('',#162514); #4763=PLANE('',#162594); #4764=PLANE('',#162595); #4765=PLANE('',#162597); #4766=PLANE('',#162599); #4767=PLANE('',#162601); #4768=PLANE('',#162603); #4769=PLANE('',#162605); #4770=PLANE('',#162606); #4771=PLANE('',#162607); #4772=PLANE('',#162608); #4773=PLANE('',#162609); #4774=PLANE('',#162610); #4775=PLANE('',#162611); #4776=PLANE('',#162612); #4777=PLANE('',#162620); #4778=PLANE('',#162642); #4779=PLANE('',#162661); #4780=PLANE('',#162665); #4781=PLANE('',#162687); #4782=PLANE('',#162706); #4783=PLANE('',#162707); #4784=PLANE('',#162708); #4785=PLANE('',#162709); #4786=PLANE('',#162710); #4787=PLANE('',#162711); #4788=PLANE('',#162712); #4789=PLANE('',#162713); #4790=PLANE('',#162714); #4791=PLANE('',#162715); #4792=PLANE('',#162719); #4793=PLANE('',#162720); #4794=PLANE('',#162721); #4795=PLANE('',#162734); #4796=PLANE('',#162735); #4797=PLANE('',#162736); #4798=PLANE('',#162749); #4799=PLANE('',#162762); #4800=PLANE('',#162763); #4801=PLANE('',#162764); #4802=PLANE('',#162765); #4803=PLANE('',#162766); #4804=PLANE('',#162779); #4805=PLANE('',#162789); #4806=PLANE('',#162790); #4807=PLANE('',#162803); #4808=PLANE('',#162804); #4809=PLANE('',#162805); #4810=PLANE('',#162821); #4811=PLANE('',#162822); #4812=PLANE('',#162823); #4813=PLANE('',#162836); #4814=PLANE('',#162837); #4815=PLANE('',#162838); #4816=PLANE('',#162851); #4817=PLANE('',#162861); #4818=PLANE('',#162871); #4819=PLANE('',#162884); #4820=PLANE('',#162897); #4821=PLANE('',#162898); #4822=PLANE('',#162899); #4823=PLANE('',#162912); #4824=PLANE('',#162913); #4825=PLANE('',#162917); #4826=PLANE('',#162918); #4827=PLANE('',#162919); #4828=PLANE('',#162920); #4829=PLANE('',#162933); #4830=PLANE('',#162934); #4831=PLANE('',#162935); #4832=PLANE('',#162948); #4833=PLANE('',#162961); #4834=PLANE('',#162962); #4835=PLANE('',#162963); #4836=PLANE('',#162964); #4837=PLANE('',#162965); #4838=PLANE('',#162966); #4839=PLANE('',#162979); #4840=PLANE('',#162989); #4841=PLANE('',#162990); #4842=PLANE('',#162991); #4843=PLANE('',#162992); #4844=PLANE('',#162993); #4845=PLANE('',#162994); #4846=PLANE('',#162995); #4847=PLANE('',#162996); #4848=PLANE('',#162997); #4849=PLANE('',#162998); #4850=PLANE('',#162999); #4851=PLANE('',#163000); #4852=PLANE('',#163001); #4853=PLANE('',#163002); #4854=PLANE('',#163003); #4855=PLANE('',#163004); #4856=PLANE('',#163005); #4857=PLANE('',#163006); #4858=PLANE('',#163007); #4859=PLANE('',#163008); #4860=PLANE('',#163009); #4861=PLANE('',#163010); #4862=PLANE('',#163011); #4863=PLANE('',#163012); #4864=PLANE('',#163013); #4865=PLANE('',#163014); #4866=PLANE('',#163015); #4867=PLANE('',#163016); #4868=PLANE('',#163017); #4869=PLANE('',#163018); #4870=PLANE('',#163019); #4871=PLANE('',#163020); #4872=PLANE('',#163021); #4873=PLANE('',#163022); #4874=PLANE('',#163023); #4875=PLANE('',#163024); #4876=PLANE('',#163025); #4877=PLANE('',#163026); #4878=PLANE('',#163027); #4879=PLANE('',#163028); #4880=PLANE('',#163029); #4881=PLANE('',#163030); #4882=PLANE('',#163031); #4883=PLANE('',#163032); #4884=PLANE('',#163033); #4885=PLANE('',#163034); #4886=PLANE('',#163035); #4887=PLANE('',#163036); #4888=PLANE('',#163037); #4889=PLANE('',#163038); #4890=PLANE('',#163039); #4891=PLANE('',#163040); #4892=PLANE('',#163041); #4893=PLANE('',#163042); #4894=PLANE('',#163043); #4895=PLANE('',#163044); #4896=PLANE('',#163045); #4897=PLANE('',#163046); #4898=PLANE('',#163047); #4899=PLANE('',#163048); #4900=PLANE('',#163049); #4901=PLANE('',#163050); #4902=PLANE('',#163051); #4903=PLANE('',#163052); #4904=PLANE('',#163053); #4905=PLANE('',#163054); #4906=PLANE('',#163055); #4907=PLANE('',#163056); #4908=PLANE('',#163057); #4909=PLANE('',#163058); #4910=PLANE('',#163059); #4911=PLANE('',#163060); #4912=PLANE('',#163061); #4913=PLANE('',#163062); #4914=PLANE('',#163063); #4915=PLANE('',#163064); #4916=PLANE('',#163065); #4917=PLANE('',#163066); #4918=PLANE('',#163067); #4919=PLANE('',#163068); #4920=PLANE('',#163069); #4921=PLANE('',#163070); #4922=PLANE('',#163071); #4923=PLANE('',#163072); #4924=PLANE('',#163073); #4925=PLANE('',#163074); #4926=PLANE('',#163075); #4927=PLANE('',#163076); #4928=PLANE('',#163077); #4929=PLANE('',#163078); #4930=PLANE('',#163079); #4931=PLANE('',#163080); #4932=PLANE('',#163081); #4933=PLANE('',#163082); #4934=PLANE('',#163083); #4935=PLANE('',#163084); #4936=PLANE('',#163085); #4937=PLANE('',#163086); #4938=PLANE('',#163087); #4939=PLANE('',#163088); #4940=PLANE('',#163089); #4941=PLANE('',#163090); #4942=PLANE('',#163091); #4943=PLANE('',#163092); #4944=PLANE('',#163093); #4945=PLANE('',#163094); #4946=PLANE('',#163095); #4947=PLANE('',#163096); #4948=PLANE('',#163097); #4949=PLANE('',#163098); #4950=PLANE('',#163099); #4951=PLANE('',#163100); #4952=PLANE('',#163101); #4953=PLANE('',#163102); #4954=PLANE('',#163103); #4955=PLANE('',#163104); #4956=PLANE('',#163105); #4957=PLANE('',#163106); #4958=PLANE('',#163107); #4959=PLANE('',#163108); #4960=PLANE('',#163109); #4961=PLANE('',#163110); #4962=PLANE('',#163111); #4963=PLANE('',#163112); #4964=PLANE('',#163113); #4965=PLANE('',#163114); #4966=PLANE('',#163115); #4967=PLANE('',#163116); #4968=PLANE('',#163117); #4969=PLANE('',#163118); #4970=PLANE('',#163119); #4971=PLANE('',#163120); #4972=PLANE('',#163121); #4973=PLANE('',#163122); #4974=PLANE('',#163123); #4975=PLANE('',#163124); #4976=PLANE('',#163125); #4977=PLANE('',#163126); #4978=PLANE('',#163127); #4979=PLANE('',#163128); #4980=PLANE('',#163129); #4981=PLANE('',#163130); #4982=PLANE('',#163131); #4983=PLANE('',#163132); #4984=PLANE('',#163133); #4985=PLANE('',#163134); #4986=PLANE('',#163135); #4987=PLANE('',#163136); #4988=PLANE('',#163137); #4989=PLANE('',#163138); #4990=PLANE('',#163139); #4991=PLANE('',#163140); #4992=PLANE('',#163141); #4993=PLANE('',#163142); #4994=PLANE('',#163143); #4995=PLANE('',#163144); #4996=PLANE('',#163145); #4997=PLANE('',#163146); #4998=PLANE('',#163147); #4999=PLANE('',#163148); #5000=PLANE('',#163149); #5001=PLANE('',#163150); #5002=PLANE('',#163151); #5003=PLANE('',#163152); #5004=PLANE('',#163153); #5005=PLANE('',#163154); #5006=PLANE('',#163155); #5007=PLANE('',#163156); #5008=PLANE('',#163157); #5009=PLANE('',#163158); #5010=PLANE('',#163159); #5011=PLANE('',#163160); #5012=PLANE('',#163161); #5013=PLANE('',#163162); #5014=PLANE('',#163163); #5015=PLANE('',#163164); #5016=PLANE('',#163165); #5017=PLANE('',#163166); #5018=PLANE('',#163167); #5019=PLANE('',#163168); #5020=PLANE('',#163169); #5021=PLANE('',#163170); #5022=PLANE('',#163171); #5023=PLANE('',#163172); #5024=PLANE('',#163173); #5025=PLANE('',#163174); #5026=PLANE('',#163175); #5027=PLANE('',#163176); #5028=PLANE('',#163177); #5029=PLANE('',#163178); #5030=PLANE('',#163179); #5031=PLANE('',#163180); #5032=PLANE('',#163181); #5033=PLANE('',#163182); #5034=PLANE('',#163183); #5035=PLANE('',#163184); #5036=PLANE('',#163185); #5037=PLANE('',#163186); #5038=PLANE('',#163187); #5039=PLANE('',#163188); #5040=PLANE('',#163189); #5041=PLANE('',#163190); #5042=PLANE('',#163191); #5043=PLANE('',#163192); #5044=PLANE('',#163193); #5045=PLANE('',#163194); #5046=PLANE('',#163195); #5047=PLANE('',#163196); #5048=PLANE('',#163197); #5049=PLANE('',#163198); #5050=PLANE('',#163199); #5051=PLANE('',#163200); #5052=PLANE('',#163201); #5053=PLANE('',#163202); #5054=PLANE('',#163203); #5055=PLANE('',#163204); #5056=PLANE('',#163205); #5057=PLANE('',#163206); #5058=PLANE('',#163207); #5059=PLANE('',#163208); #5060=PLANE('',#163209); #5061=PLANE('',#163210); #5062=PLANE('',#163211); #5063=PLANE('',#163212); #5064=PLANE('',#163213); #5065=PLANE('',#163214); #5066=PLANE('',#163215); #5067=PLANE('',#163216); #5068=PLANE('',#163217); #5069=PLANE('',#163218); #5070=PLANE('',#163219); #5071=PLANE('',#163220); #5072=PLANE('',#163221); #5073=PLANE('',#163222); #5074=PLANE('',#163223); #5075=PLANE('',#163224); #5076=PLANE('',#163228); #5077=PLANE('',#163229); #5078=PLANE('',#163230); #5079=PLANE('',#163231); #5080=PLANE('',#163235); #5081=PLANE('',#163236); #5082=PLANE('',#163237); #5083=PLANE('',#163241); #5084=PLANE('',#163242); #5085=PLANE('',#163243); #5086=PLANE('',#163244); #5087=PLANE('',#163248); #5088=PLANE('',#163249); #5089=PLANE('',#163250); #5090=PLANE('',#163251); #5091=PLANE('',#163252); #5092=PLANE('',#163253); #5093=PLANE('',#163254); #5094=PLANE('',#163255); #5095=PLANE('',#163271); #5096=PLANE('',#163278); #5097=PLANE('',#163288); #5098=PLANE('',#163289); #5099=PLANE('',#163290); #5100=PLANE('',#163291); #5101=PLANE('',#163292); #5102=PLANE('',#163293); #5103=PLANE('',#163294); #5104=PLANE('',#163295); #5105=PLANE('',#163311); #5106=PLANE('',#163318); #5107=PLANE('',#163319); #5108=PLANE('',#163320); #5109=PLANE('',#163321); #5110=PLANE('',#163322); #5111=PLANE('',#163323); #5112=PLANE('',#163324); #5113=PLANE('',#163328); #5114=PLANE('',#163329); #5115=PLANE('',#163330); #5116=PLANE('',#163331); #5117=PLANE('',#163332); #5118=PLANE('',#163336); #5119=PLANE('',#163340); #5120=PLANE('',#163341); #5121=PLANE('',#163342); #5122=PLANE('',#163343); #5123=PLANE('',#163344); #5124=PLANE('',#163345); #5125=PLANE('',#163373); #5126=PLANE('',#163392); #5127=PLANE('',#163396); #5128=PLANE('',#163397); #5129=PLANE('',#163398); #5130=PLANE('',#163399); #5131=PLANE('',#163403); #5132=PLANE('',#163404); #5133=PLANE('',#163405); #5134=PLANE('',#163406); #5135=PLANE('',#163407); #5136=PLANE('',#163408); #5137=PLANE('',#163409); #5138=PLANE('',#163410); #5139=PLANE('',#163411); #5140=PLANE('',#163412); #5141=PLANE('',#163413); #5142=PLANE('',#163414); #5143=PLANE('',#163415); #5144=PLANE('',#163416); #5145=PLANE('',#163417); #5146=PLANE('',#163418); #5147=PLANE('',#163422); #5148=PLANE('',#163429); #5149=PLANE('',#163433); #5150=PLANE('',#163434); #5151=PLANE('',#163438); #5152=PLANE('',#163442); #5153=PLANE('',#163446); #5154=PLANE('',#163450); #5155=PLANE('',#163451); #5156=PLANE('',#163470); #5157=PLANE('',#163486); #5158=PLANE('',#163487); #5159=PLANE('',#163488); #5160=PLANE('',#163489); #5161=PLANE('',#163490); #5162=PLANE('',#163491); #5163=PLANE('',#163492); #5164=PLANE('',#163496); #5165=PLANE('',#163500); #5166=PLANE('',#163501); #5167=PLANE('',#163523); #5168=PLANE('',#163530); #5169=PLANE('',#163531); #5170=PLANE('',#163532); #5171=PLANE('',#163533); #5172=PLANE('',#163534); #5173=PLANE('',#163535); #5174=PLANE('',#163536); #5175=PLANE('',#163537); #5176=PLANE('',#163538); #5177=PLANE('',#163539); #5178=PLANE('',#163540); #5179=PLANE('',#163541); #5180=PLANE('',#163542); #5181=PLANE('',#163543); #5182=PLANE('',#163544); #5183=PLANE('',#163545); #5184=PLANE('',#163546); #5185=PLANE('',#163562); #5186=PLANE('',#163581); #5187=PLANE('',#163594); #5188=PLANE('',#163595); #5189=PLANE('',#163596); #5190=PLANE('',#163609); #5191=PLANE('',#163622); #5192=PLANE('',#163623); #5193=PLANE('',#163624); #5194=PLANE('',#163625); #5195=PLANE('',#163626); #5196=PLANE('',#163627); #5197=PLANE('',#163628); #5198=PLANE('',#163629); #5199=PLANE('',#163642); #5200=PLANE('',#163643); #5201=PLANE('',#163656); #5202=PLANE('',#163711); #5203=PLANE('',#163724); #5204=PLANE('',#163773); #5205=PLANE('',#163783); #5206=PLANE('',#163814); #5207=PLANE('',#163827); #5208=PLANE('',#163840); #5209=PLANE('',#163877); #5210=PLANE('',#163878); #5211=PLANE('',#163891); #5212=PLANE('',#163907); #5213=PLANE('',#163908); #5214=PLANE('',#163921); #5215=PLANE('',#163922); #5216=PLANE('',#163923); #5217=PLANE('',#163936); #5218=PLANE('',#163949); #5219=PLANE('',#163950); #5220=PLANE('',#163963); #5221=PLANE('',#163964); #5222=PLANE('',#163977); #5223=PLANE('',#163978); #5224=PLANE('',#163991); #5225=PLANE('',#163992); #5226=PLANE('',#164005); #5227=PLANE('',#164006); #5228=PLANE('',#164028); #5229=PLANE('',#164029); #5230=PLANE('',#164033); #5231=PLANE('',#164052); #5232=PLANE('',#164068); #5233=PLANE('',#164090); #5234=PLANE('',#164112); #5235=PLANE('',#164116); #5236=PLANE('',#164135); #5237=PLANE('',#164154); #5238=PLANE('',#164176); #5239=PLANE('',#164195); #5240=PLANE('',#164202); #5241=PLANE('',#164221); #5242=PLANE('',#164234); #5243=PLANE('',#164256); #5244=PLANE('',#164278); #5245=PLANE('',#164291); #5246=PLANE('',#164310); #5247=PLANE('',#164317); #5248=PLANE('',#164339); #5249=PLANE('',#164361); #5250=PLANE('',#164416); #5251=PLANE('',#164417); #5252=PLANE('',#164418); #5253=PLANE('',#164431); #5254=PLANE('',#164432); #5255=PLANE('',#164433); #5256=PLANE('',#164443); #5257=PLANE('',#164528); #5258=PLANE('',#164553); #5259=PLANE('',#164596); #5260=PLANE('',#164597); #5261=PLANE('',#164610); #5262=PLANE('',#164611); #5263=PLANE('',#164633); #5264=PLANE('',#164646); #5265=PLANE('',#164659); #5266=PLANE('',#164660); #5267=PLANE('',#164667); #5268=PLANE('',#164680); #5269=PLANE('',#164681); #5270=PLANE('',#164682); #5271=PLANE('',#164698); #5272=PLANE('',#164699); #5273=PLANE('',#164700); #5274=PLANE('',#164707); #5275=PLANE('',#164708); #5276=PLANE('',#164709); #5277=PLANE('',#164710); #5278=PLANE('',#164711); #5279=PLANE('',#164712); #5280=PLANE('',#164713); #5281=PLANE('',#164714); #5282=PLANE('',#164715); #5283=PLANE('',#164716); #5284=PLANE('',#164717); #5285=PLANE('',#164718); #5286=PLANE('',#164719); #5287=PLANE('',#164720); #5288=PLANE('',#164721); #5289=PLANE('',#164722); #5290=PLANE('',#164723); #5291=PLANE('',#164724); #5292=PLANE('',#164725); #5293=PLANE('',#164726); #5294=PLANE('',#164727); #5295=PLANE('',#164728); #5296=PLANE('',#164729); #5297=PLANE('',#164730); #5298=PLANE('',#164731); #5299=PLANE('',#164732); #5300=PLANE('',#164733); #5301=PLANE('',#164734); #5302=PLANE('',#164735); #5303=PLANE('',#164736); #5304=PLANE('',#164737); #5305=PLANE('',#164738); #5306=PLANE('',#164739); #5307=PLANE('',#164740); #5308=PLANE('',#164741); #5309=PLANE('',#164742); #5310=PLANE('',#164743); #5311=PLANE('',#164744); #5312=PLANE('',#164745); #5313=PLANE('',#164749); #5314=PLANE('',#164750); #5315=PLANE('',#164751); #5316=PLANE('',#164752); #5317=PLANE('',#164753); #5318=PLANE('',#164754); #5319=PLANE('',#164755); #5320=PLANE('',#164756); #5321=PLANE('',#164760); #5322=PLANE('',#164761); #5323=PLANE('',#164768); #5324=PLANE('',#164772); #5325=PLANE('',#164773); #5326=PLANE('',#164774); #5327=PLANE('',#164775); #5328=PLANE('',#164776); #5329=PLANE('',#164777); #5330=PLANE('',#164778); #5331=PLANE('',#164779); #5332=PLANE('',#164783); #5333=PLANE('',#164784); #5334=PLANE('',#164785); #5335=PLANE('',#164786); #5336=PLANE('',#164787); #5337=PLANE('',#164788); #5338=PLANE('',#164789); #5339=PLANE('',#164790); #5340=PLANE('',#164791); #5341=PLANE('',#164792); #5342=PLANE('',#164793); #5343=PLANE('',#164794); #5344=PLANE('',#164801); #5345=PLANE('',#164811); #5346=PLANE('',#164812); #5347=PLANE('',#164813); #5348=PLANE('',#164814); #5349=PLANE('',#164815); #5350=PLANE('',#164819); #5351=PLANE('',#164829); #5352=PLANE('',#164833); #5353=PLANE('',#164834); #5354=PLANE('',#164835); #5355=PLANE('',#164839); #5356=PLANE('',#164840); #5357=PLANE('',#164841); #5358=PLANE('',#164842); #5359=PLANE('',#164843); #5360=PLANE('',#164847); #5361=PLANE('',#164848); #5362=PLANE('',#164849); #5363=PLANE('',#164850); #5364=PLANE('',#164857); #5365=PLANE('',#164858); #5366=PLANE('',#164862); #5367=PLANE('',#164869); #5368=PLANE('',#164870); #5369=PLANE('',#164880); #5370=PLANE('',#164884); #5371=PLANE('',#164885); #5372=PLANE('',#164889); #5373=PLANE('',#164890); #5374=PLANE('',#164894); #5375=PLANE('',#164895); #5376=PLANE('',#164899); #5377=PLANE('',#164900); #5378=PLANE('',#164904); #5379=PLANE('',#164905); #5380=PLANE('',#164912); #5381=PLANE('',#164913); #5382=PLANE('',#164917); #5383=PLANE('',#164927); #5384=PLANE('',#164946); #5385=PLANE('',#164974); #5386=PLANE('',#164975); #5387=PLANE('',#164976); #5388=PLANE('',#164983); #5389=PLANE('',#164990); #5390=PLANE('',#164994); #5391=PLANE('',#164995); #5392=PLANE('',#164996); #5393=PLANE('',#165000); #5394=PLANE('',#165001); #5395=PLANE('',#165002); #5396=PLANE('',#165003); #5397=PLANE('',#165007); #5398=PLANE('',#165008); #5399=PLANE('',#165015); #5400=PLANE('',#165016); #5401=PLANE('',#165017); #5402=PLANE('',#165018); #5403=PLANE('',#165028); #5404=PLANE('',#165038); #5405=PLANE('',#165039); #5406=PLANE('',#165040); #5407=PLANE('',#165041); #5408=PLANE('',#165042); #5409=PLANE('',#165043); #5410=PLANE('',#165044); #5411=PLANE('',#165045); #5412=PLANE('',#165046); #5413=PLANE('',#165047); #5414=PLANE('',#165051); #5415=PLANE('',#165052); #5416=PLANE('',#165053); #5417=PLANE('',#165057); #5418=PLANE('',#165058); #5419=PLANE('',#165059); #5420=PLANE('',#165060); #5421=PLANE('',#165073); #5422=PLANE('',#165074); #5423=PLANE('',#165075); #5424=PLANE('',#165088); #5425=PLANE('',#165089); #5426=PLANE('',#165090); #5427=PLANE('',#165091); #5428=PLANE('',#165104); #5429=PLANE('',#165117); #5430=PLANE('',#165118); #5431=PLANE('',#165122); #5432=PLANE('',#165135); #5433=PLANE('',#165136); #5434=PLANE('',#165137); #5435=PLANE('',#165150); #5436=PLANE('',#165163); #5437=PLANE('',#165164); #5438=PLANE('',#165165); #5439=PLANE('',#165166); #5440=PLANE('',#165179); #5441=PLANE('',#165180); #5442=PLANE('',#165193); #5443=PLANE('',#165194); #5444=PLANE('',#165195); #5445=PLANE('',#165205); #5446=PLANE('',#165218); #5447=PLANE('',#165231); #5448=PLANE('',#165232); #5449=PLANE('',#165233); #5450=PLANE('',#165234); #5451=PLANE('',#165235); #5452=PLANE('',#165236); #5453=PLANE('',#165255); #5454=PLANE('',#165274); #5455=PLANE('',#165275); #5456=PLANE('',#165276); #5457=PLANE('',#165277); #5458=PLANE('',#165278); #5459=PLANE('',#165297); #5460=PLANE('',#165316); #5461=PLANE('',#165317); #5462=PLANE('',#165321); #5463=PLANE('',#165340); #5464=PLANE('',#165359); #5465=PLANE('',#165381); #5466=PLANE('',#165400); #5467=PLANE('',#165428); #5468=PLANE('',#165429); #5469=PLANE('',#165430); #5470=PLANE('',#165443); #5471=PLANE('',#165444); #5472=PLANE('',#165445); #5473=PLANE('',#165482); #5474=PLANE('',#165492); #5475=PLANE('',#165511); #5476=PLANE('',#165527); #5477=PLANE('',#165549); #5478=PLANE('',#165565); #5479=PLANE('',#165572); #5480=PLANE('',#165585); #5481=PLANE('',#165586); #5482=PLANE('',#165587); #5483=PLANE('',#165603); #5484=PLANE('',#165604); #5485=PLANE('',#165605); #5486=PLANE('',#165612); #5487=PLANE('',#165613); #5488=PLANE('',#165618); #5489=PLANE('',#165619); #5490=PLANE('',#165620); #5491=PLANE('',#165621); #5492=PLANE('',#165622); #5493=PLANE('',#165623); #5494=PLANE('',#165624); #5495=PLANE('',#165625); #5496=PLANE('',#165626); #5497=PLANE('',#165627); #5498=PLANE('',#165628); #5499=PLANE('',#165629); #5500=PLANE('',#165630); #5501=PLANE('',#165631); #5502=PLANE('',#165632); #5503=PLANE('',#165633); #5504=PLANE('',#165634); #5505=PLANE('',#165635); #5506=PLANE('',#165636); #5507=PLANE('',#165637); #5508=PLANE('',#165640); #5509=PLANE('',#165643); #5510=PLANE('',#165646); #5511=PLANE('',#165649); #5512=PLANE('',#165650); #5513=PLANE('',#165654); #5514=PLANE('',#165655); #5515=PLANE('',#165656); #5516=PLANE('',#165657); #5517=PLANE('',#165658); #5518=PLANE('',#165659); #5519=PLANE('',#165660); #5520=PLANE('',#165661); #5521=PLANE('',#165662); #5522=PLANE('',#165663); #5523=PLANE('',#165664); #5524=PLANE('',#165665); #5525=PLANE('',#165666); #5526=PLANE('',#165667); #5527=PLANE('',#165668); #5528=PLANE('',#165669); #5529=PLANE('',#165670); #5530=PLANE('',#165671); #5531=PLANE('',#165672); #5532=PLANE('',#165673); #5533=PLANE('',#165674); #5534=PLANE('',#165675); #5535=PLANE('',#165676); #5536=PLANE('',#165677); #5537=PLANE('',#165678); #5538=PLANE('',#165679); #5539=PLANE('',#165680); #5540=PLANE('',#165681); #5541=PLANE('',#165682); #5542=PLANE('',#165683); #5543=PLANE('',#165684); #5544=PLANE('',#165685); #5545=PLANE('',#165686); #5546=PLANE('',#165687); #5547=PLANE('',#165688); #5548=PLANE('',#165689); #5549=PLANE('',#165690); #5550=PLANE('',#165691); #5551=PLANE('',#165692); #5552=PLANE('',#165693); #5553=PLANE('',#165694); #5554=PLANE('',#165695); #5555=PLANE('',#165697); #5556=PLANE('',#165698); #5557=PLANE('',#165699); #5558=PLANE('',#165700); #5559=PLANE('',#165702); #5560=PLANE('',#165703); #5561=PLANE('',#165704); #5562=PLANE('',#165705); #5563=PLANE('',#165707); #5564=PLANE('',#165708); #5565=PLANE('',#165709); #5566=PLANE('',#165710); #5567=PLANE('',#165712); #5568=PLANE('',#165713); #5569=PLANE('',#165714); #5570=PLANE('',#165715); #5571=PLANE('',#165717); #5572=PLANE('',#165718); #5573=PLANE('',#165719); #5574=PLANE('',#165720); #5575=PLANE('',#165722); #5576=PLANE('',#165723); #5577=PLANE('',#165724); #5578=PLANE('',#165725); #5579=PLANE('',#165727); #5580=PLANE('',#165728); #5581=PLANE('',#165729); #5582=PLANE('',#165730); #5583=PLANE('',#165732); #5584=PLANE('',#165733); #5585=PLANE('',#165734); #5586=PLANE('',#165735); #5587=PLANE('',#165737); #5588=PLANE('',#165738); #5589=PLANE('',#165739); #5590=PLANE('',#165740); #5591=PLANE('',#165742); #5592=PLANE('',#165743); #5593=PLANE('',#165744); #5594=PLANE('',#165745); #5595=PLANE('',#165747); #5596=PLANE('',#165748); #5597=PLANE('',#165749); #5598=PLANE('',#165750); #5599=PLANE('',#165752); #5600=PLANE('',#165753); #5601=PLANE('',#165754); #5602=PLANE('',#165755); #5603=PLANE('',#165757); #5604=PLANE('',#165758); #5605=PLANE('',#165759); #5606=PLANE('',#165760); #5607=PLANE('',#165762); #5608=PLANE('',#165763); #5609=PLANE('',#165764); #5610=PLANE('',#165765); #5611=PLANE('',#165767); #5612=PLANE('',#165768); #5613=PLANE('',#165769); #5614=PLANE('',#165770); #5615=PLANE('',#165772); #5616=PLANE('',#165773); #5617=PLANE('',#165774); #5618=PLANE('',#165775); #5619=PLANE('',#165777); #5620=PLANE('',#165778); #5621=PLANE('',#165779); #5622=PLANE('',#165780); #5623=PLANE('',#165782); #5624=PLANE('',#165783); #5625=PLANE('',#165784); #5626=PLANE('',#165785); #5627=PLANE('',#165787); #5628=PLANE('',#165788); #5629=PLANE('',#165789); #5630=PLANE('',#165790); #5631=PLANE('',#165792); #5632=PLANE('',#165793); #5633=PLANE('',#165794); #5634=PLANE('',#165795); #5635=PLANE('',#165797); #5636=PLANE('',#165798); #5637=PLANE('',#165799); #5638=PLANE('',#165800); #5639=PLANE('',#165802); #5640=PLANE('',#165803); #5641=PLANE('',#165804); #5642=PLANE('',#165805); #5643=PLANE('',#165807); #5644=PLANE('',#165808); #5645=PLANE('',#165809); #5646=PLANE('',#165810); #5647=PLANE('',#165812); #5648=PLANE('',#165813); #5649=PLANE('',#165814); #5650=PLANE('',#165815); #5651=PLANE('',#165817); #5652=PLANE('',#165818); #5653=PLANE('',#165819); #5654=PLANE('',#165820); #5655=PLANE('',#165822); #5656=PLANE('',#165823); #5657=PLANE('',#165824); #5658=PLANE('',#165825); #5659=PLANE('',#165827); #5660=PLANE('',#165828); #5661=PLANE('',#165829); #5662=PLANE('',#165830); #5663=PLANE('',#165832); #5664=PLANE('',#165833); #5665=PLANE('',#165834); #5666=PLANE('',#165835); #5667=PLANE('',#165837); #5668=PLANE('',#165838); #5669=PLANE('',#165839); #5670=PLANE('',#165840); #5671=PLANE('',#165842); #5672=PLANE('',#165843); #5673=PLANE('',#165844); #5674=PLANE('',#165845); #5675=PLANE('',#165847); #5676=PLANE('',#165848); #5677=PLANE('',#165849); #5678=PLANE('',#165850); #5679=PLANE('',#165852); #5680=PLANE('',#165853); #5681=PLANE('',#165854); #5682=PLANE('',#165855); #5683=PLANE('',#165857); #5684=PLANE('',#165858); #5685=PLANE('',#165859); #5686=PLANE('',#165860); #5687=PLANE('',#165862); #5688=PLANE('',#165863); #5689=PLANE('',#165864); #5690=PLANE('',#165865); #5691=PLANE('',#165867); #5692=PLANE('',#165868); #5693=PLANE('',#165869); #5694=PLANE('',#165870); #5695=PLANE('',#165872); #5696=PLANE('',#165873); #5697=PLANE('',#165874); #5698=PLANE('',#165875); #5699=PLANE('',#165877); #5700=PLANE('',#165878); #5701=PLANE('',#165879); #5702=PLANE('',#165880); #5703=PLANE('',#165882); #5704=PLANE('',#165883); #5705=PLANE('',#165884); #5706=PLANE('',#165885); #5707=PLANE('',#165887); #5708=PLANE('',#165888); #5709=PLANE('',#165889); #5710=PLANE('',#165890); #5711=PLANE('',#165892); #5712=PLANE('',#165893); #5713=PLANE('',#165894); #5714=PLANE('',#165895); #5715=PLANE('',#165897); #5716=PLANE('',#165898); #5717=PLANE('',#165899); #5718=PLANE('',#165900); #5719=PLANE('',#165902); #5720=PLANE('',#165903); #5721=PLANE('',#165904); #5722=PLANE('',#165905); #5723=PLANE('',#165907); #5724=PLANE('',#165908); #5725=PLANE('',#165909); #5726=PLANE('',#165910); #5727=PLANE('',#165912); #5728=PLANE('',#165913); #5729=PLANE('',#165914); #5730=PLANE('',#165915); #5731=PLANE('',#165917); #5732=PLANE('',#165918); #5733=PLANE('',#165919); #5734=PLANE('',#165920); #5735=PLANE('',#165922); #5736=PLANE('',#165923); #5737=PLANE('',#165924); #5738=PLANE('',#165925); #5739=PLANE('',#165927); #5740=PLANE('',#165928); #5741=PLANE('',#165929); #5742=PLANE('',#165930); #5743=PLANE('',#165932); #5744=PLANE('',#165933); #5745=PLANE('',#165934); #5746=PLANE('',#165935); #5747=PLANE('',#165937); #5748=PLANE('',#165938); #5749=PLANE('',#165939); #5750=PLANE('',#165940); #5751=PLANE('',#165942); #5752=PLANE('',#165943); #5753=PLANE('',#165944); #5754=PLANE('',#165945); #5755=PLANE('',#165947); #5756=PLANE('',#165948); #5757=PLANE('',#165949); #5758=PLANE('',#165950); #5759=PLANE('',#165952); #5760=PLANE('',#165953); #5761=PLANE('',#165954); #5762=PLANE('',#165955); #5763=PLANE('',#165957); #5764=PLANE('',#165958); #5765=PLANE('',#165959); #5766=PLANE('',#165960); #5767=PLANE('',#165962); #5768=PLANE('',#165963); #5769=PLANE('',#165964); #5770=PLANE('',#165965); #5771=PLANE('',#165967); #5772=PLANE('',#165968); #5773=PLANE('',#165969); #5774=PLANE('',#165970); #5775=PLANE('',#165972); #5776=PLANE('',#165973); #5777=PLANE('',#165974); #5778=PLANE('',#165975); #5779=PLANE('',#165977); #5780=PLANE('',#165978); #5781=PLANE('',#165979); #5782=PLANE('',#165980); #5783=PLANE('',#165982); #5784=PLANE('',#165983); #5785=PLANE('',#165984); #5786=PLANE('',#165985); #5787=PLANE('',#165987); #5788=PLANE('',#165988); #5789=PLANE('',#165989); #5790=PLANE('',#165990); #5791=PLANE('',#165992); #5792=PLANE('',#165993); #5793=PLANE('',#165994); #5794=PLANE('',#165995); #5795=PLANE('',#165997); #5796=PLANE('',#165998); #5797=PLANE('',#165999); #5798=PLANE('',#166000); #5799=PLANE('',#166002); #5800=PLANE('',#166003); #5801=PLANE('',#166004); #5802=PLANE('',#166005); #5803=PLANE('',#166007); #5804=PLANE('',#166008); #5805=PLANE('',#166009); #5806=PLANE('',#166010); #5807=PLANE('',#166044); #5808=PLANE('',#166045); #5809=PLANE('',#166046); #5810=PLANE('',#166047); #5811=PLANE('',#166048); #5812=PLANE('',#166049); #5813=PLANE('',#166050); #5814=PLANE('',#166051); #5815=PLANE('',#166052); #5816=PLANE('',#166053); #5817=PLANE('',#166055); #5818=PLANE('',#166059); #5819=PLANE('',#166066); #5820=PLANE('',#166079); #5821=PLANE('',#166092); #5822=PLANE('',#166099); #5823=PLANE('',#166103); #5824=PLANE('',#166104); #5825=PLANE('',#166105); #5826=PLANE('',#166106); #5827=PLANE('',#166309); #5828=PLANE('',#166312); #5829=PLANE('',#166315); #5830=PLANE('',#166318); #5831=PLANE('',#166319); #5832=PLANE('',#166322); #5833=PLANE('',#166325); #5834=PLANE('',#166326); #5835=PLANE('',#166327); #5836=PLANE('',#166328); #5837=PLANE('',#166329); #5838=PLANE('',#166330); #5839=PLANE('',#166331); #5840=PLANE('',#166332); #5841=PLANE('',#166333); #5842=PLANE('',#166334); #5843=PLANE('',#166335); #5844=PLANE('',#166336); #5845=PLANE('',#166337); #5846=PLANE('',#166338); #5847=PLANE('',#166339); #5848=PLANE('',#166340); #5849=PLANE('',#166344); #5850=PLANE('',#166347); #5851=PLANE('',#166350); #5852=PLANE('',#166351); #5853=PLANE('',#166352); #5854=PLANE('',#166353); #5855=PLANE('',#166354); #5856=PLANE('',#166357); #5857=PLANE('',#166358); #5858=PLANE('',#166359); #5859=PLANE('',#166360); #5860=PLANE('',#166361); #5861=PLANE('',#166362); #5862=PLANE('',#166363); #5863=PLANE('',#166364); #5864=PLANE('',#166365); #5865=PLANE('',#166366); #5866=PLANE('',#166371); #5867=PLANE('',#166374); #5868=PLANE('',#166377); #5869=PLANE('',#166378); #5870=PLANE('',#166379); #5871=PLANE('',#166380); #5872=PLANE('',#166381); #5873=PLANE('',#166382); #5874=PLANE('',#166383); #5875=PLANE('',#166386); #5876=PLANE('',#166387); #5877=PLANE('',#166392); #5878=PLANE('',#166395); #5879=PLANE('',#166396); #5880=PLANE('',#166397); #5881=PLANE('',#166398); #5882=PLANE('',#166399); #5883=PLANE('',#166400); #5884=PLANE('',#166401); #5885=PLANE('',#166402); #5886=PLANE('',#166403); #5887=PLANE('',#166406); #5888=PLANE('',#166407); #5889=PLANE('',#166408); #5890=PLANE('',#166409); #5891=PLANE('',#166410); #5892=PLANE('',#166413); #5893=PLANE('',#166414); #5894=PLANE('',#166415); #5895=PLANE('',#166416); #5896=PLANE('',#166417); #5897=PLANE('',#166418); #5898=PLANE('',#166419); #5899=PLANE('',#166420); #5900=PLANE('',#166421); #5901=PLANE('',#166422); #5902=PLANE('',#166423); #5903=PLANE('',#166424); #5904=PLANE('',#166425); #5905=PLANE('',#166426); #5906=PLANE('',#166427); #5907=PLANE('',#166428); #5908=PLANE('',#166433); #5909=PLANE('',#166440); #5910=PLANE('',#166441); #5911=PLANE('',#166442); #5912=PLANE('',#166443); #5913=PLANE('',#166444); #5914=PLANE('',#166447); #5915=PLANE('',#166454); #5916=PLANE('',#166457); #5917=PLANE('',#166458); #5918=PLANE('',#166461); #5919=PLANE('',#166462); #5920=PLANE('',#166463); #5921=PLANE('',#166464); #5922=PLANE('',#166465); #5923=PLANE('',#166466); #5924=PLANE('',#166467); #5925=PLANE('',#166468); #5926=PLANE('',#166469); #5927=PLANE('',#166474); #5928=PLANE('',#166475); #5929=PLANE('',#166478); #5930=PLANE('',#166483); #5931=PLANE('',#166484); #5932=PLANE('',#166491); #5933=PLANE('',#166494); #5934=PLANE('',#166495); #5935=PLANE('',#166496); #5936=PLANE('',#166499); #5937=PLANE('',#166500); #5938=PLANE('',#166501); #5939=PLANE('',#166504); #5940=PLANE('',#166505); #5941=PLANE('',#166506); #5942=PLANE('',#166509); #5943=PLANE('',#166510); #5944=PLANE('',#166513); #5945=PLANE('',#166514); #5946=PLANE('',#166519); #5947=PLANE('',#166520); #5948=PLANE('',#166525); #5949=PLANE('',#166535); #5950=PLANE('',#166551); #5951=PLANE('',#166570); #5952=PLANE('',#166571); #5953=PLANE('',#166572); #5954=PLANE('',#166577); #5955=PLANE('',#166578); #5956=PLANE('',#166579); #5957=PLANE('',#166580); #5958=PLANE('',#166581); #5959=PLANE('',#166588); #5960=PLANE('',#166595); #5961=PLANE('',#166598); #5962=PLANE('',#166599); #5963=PLANE('',#166600); #5964=PLANE('',#166601); #5965=PLANE('',#166602); #5966=PLANE('',#166603); #5967=PLANE('',#166604); #5968=PLANE('',#166607); #5969=PLANE('',#166608); #5970=PLANE('',#166609); #5971=PLANE('',#166612); #5972=PLANE('',#166617); #5973=PLANE('',#166622); #5974=PLANE('',#166625); #5975=PLANE('',#166626); #5976=PLANE('',#166627); #5977=PLANE('',#166630); #5978=PLANE('',#166631); #5979=PLANE('',#166632); #5980=PLANE('',#166633); #5981=PLANE('',#166636); #5982=PLANE('',#166637); #5983=PLANE('',#166638); #5984=PLANE('',#166639); #5985=PLANE('',#166640); #5986=PLANE('',#166641); #5987=PLANE('',#166642); #5988=PLANE('',#166645); #5989=PLANE('',#166648); #5990=PLANE('',#166649); #5991=PLANE('',#166652); #5992=PLANE('',#166655); #5993=PLANE('',#166658); #5994=PLANE('',#166661); #5995=PLANE('',#166664); #5996=PLANE('',#166667); #5997=PLANE('',#166670); #5998=PLANE('',#166671); #5999=PLANE('',#166674); #6000=PLANE('',#166677); #6001=PLANE('',#166678); #6002=PLANE('',#166681); #6003=PLANE('',#166682); #6004=PLANE('',#166685); #6005=PLANE('',#166686); #6006=PLANE('',#166689); #6007=PLANE('',#166692); #6008=PLANE('',#166693); #6009=PLANE('',#166696); #6010=PLANE('',#166699); #6011=PLANE('',#166702); #6012=PLANE('',#166705); #6013=PLANE('',#166706); #6014=PLANE('',#166709); #6015=PLANE('',#166712); #6016=PLANE('',#166715); #6017=PLANE('',#166716); #6018=PLANE('',#166717); #6019=PLANE('',#166718); #6020=PLANE('',#166719); #6021=PLANE('',#166720); #6022=PLANE('',#166725); #6023=PLANE('',#166726); #6024=PLANE('',#166727); #6025=PLANE('',#166728); #6026=PLANE('',#166731); #6027=PLANE('',#166732); #6028=PLANE('',#166735); #6029=PLANE('',#166736); #6030=PLANE('',#166741); #6031=PLANE('',#166742); #6032=PLANE('',#166745); #6033=PLANE('',#166746); #6034=PLANE('',#166747); #6035=PLANE('',#166748); #6036=PLANE('',#166749); #6037=PLANE('',#166750); #6038=PLANE('',#166751); #6039=PLANE('',#166754); #6040=PLANE('',#166755); #6041=PLANE('',#166756); #6042=PLANE('',#166759); #6043=PLANE('',#166760); #6044=PLANE('',#166761); #6045=PLANE('',#166762); #6046=PLANE('',#166765); #6047=PLANE('',#166766); #6048=PLANE('',#166767); #6049=PLANE('',#166768); #6050=PLANE('',#166771); #6051=PLANE('',#166772); #6052=PLANE('',#166773); #6053=PLANE('',#166776); #6054=PLANE('',#166777); #6055=PLANE('',#166780); #6056=PLANE('',#166781); #6057=PLANE('',#166782); #6058=PLANE('',#166787); #6059=PLANE('',#166788); #6060=PLANE('',#166793); #6061=PLANE('',#166798); #6062=PLANE('',#166799); #6063=PLANE('',#166804); #6064=PLANE('',#166805); #6065=PLANE('',#166806); #6066=PLANE('',#166809); #6067=PLANE('',#166810); #6068=PLANE('',#166811); #6069=PLANE('',#166812); #6070=PLANE('',#166813); #6071=PLANE('',#166816); #6072=PLANE('',#166817); #6073=PLANE('',#166818); #6074=PLANE('',#166819); #6075=PLANE('',#166820); #6076=PLANE('',#166821); #6077=PLANE('',#166822); #6078=PLANE('',#166823); #6079=PLANE('',#166826); #6080=PLANE('',#166827); #6081=PLANE('',#166828); #6082=PLANE('',#166829); #6083=PLANE('',#166832); #6084=PLANE('',#166833); #6085=PLANE('',#166834); #6086=PLANE('',#166837); #6087=PLANE('',#166838); #6088=PLANE('',#166839); #6089=PLANE('',#166840); #6090=PLANE('',#166843); #6091=PLANE('',#166844); #6092=PLANE('',#166845); #6093=PLANE('',#166846); #6094=PLANE('',#166847); #6095=PLANE('',#166848); #6096=PLANE('',#166849); #6097=PLANE('',#166850); #6098=PLANE('',#166851); #6099=PLANE('',#166852); #6100=PLANE('',#166853); #6101=PLANE('',#166854); #6102=PLANE('',#166855); #6103=PLANE('',#166873); #6104=PLANE('',#166878); #6105=PLANE('',#166879); #6106=PLANE('',#166880); #6107=PLANE('',#166881); #6108=PLANE('',#166882); #6109=PLANE('',#166883); #6110=PLANE('',#166884); #6111=PLANE('',#166887); #6112=PLANE('',#166892); #6113=PLANE('',#166899); #6114=PLANE('',#166900); #6115=PLANE('',#166901); #6116=PLANE('',#166902); #6117=PLANE('',#166903); #6118=PLANE('',#166904); #6119=PLANE('',#166905); #6120=PLANE('',#166906); #6121=PLANE('',#166915); #6122=PLANE('',#166916); #6123=PLANE('',#166917); #6124=PLANE('',#166918); #6125=PLANE('',#166921); #6126=PLANE('',#166924); #6127=PLANE('',#166925); #6128=PLANE('',#166926); #6129=PLANE('',#166927); #6130=PLANE('',#166928); #6131=PLANE('',#166929); #6132=PLANE('',#166933); #6133=PLANE('',#166960); #6134=PLANE('',#166961); #6135=PLANE('',#166962); #6136=PLANE('',#166963); #6137=PLANE('',#166964); #6138=PLANE('',#166965); #6139=PLANE('',#166966); #6140=PLANE('',#166967); #6141=PLANE('',#166968); #6142=PLANE('',#166969); #6143=PLANE('',#166970); #6144=PLANE('',#166971); #6145=PLANE('',#166972); #6146=PLANE('',#166973); #6147=PLANE('',#166974); #6148=PLANE('',#166975); #6149=PLANE('',#166978); #6150=PLANE('',#166983); #6151=PLANE('',#167002); #6152=PLANE('',#167005); #6153=PLANE('',#167006); #6154=PLANE('',#167007); #6155=PLANE('',#167008); #6156=PLANE('',#167009); #6157=PLANE('',#167016); #6158=PLANE('',#167019); #6159=PLANE('',#167020); #6160=PLANE('',#167023); #6161=PLANE('',#167024); #6162=PLANE('',#167037); #6163=PLANE('',#167048); #6164=PLANE('',#167049); #6165=PLANE('',#167050); #6166=PLANE('',#167051); #6167=PLANE('',#167052); #6168=PLANE('',#167053); #6169=PLANE('',#167054); #6170=PLANE('',#167057); #6171=PLANE('',#167060); #6172=PLANE('',#167061); #6173=PLANE('',#167074); #6174=PLANE('',#167079); #6175=PLANE('',#167080); #6176=PLANE('',#167081); #6177=PLANE('',#167082); #6178=PLANE('',#167083); #6179=PLANE('',#167084); #6180=PLANE('',#167085); #6181=PLANE('',#167088); #6182=PLANE('',#167091); #6183=PLANE('',#167092); #6184=PLANE('',#167093); #6185=PLANE('',#167094); #6186=PLANE('',#167095); #6187=PLANE('',#167096); #6188=PLANE('',#167097); #6189=PLANE('',#167098); #6190=PLANE('',#167099); #6191=PLANE('',#167100); #6192=PLANE('',#167101); #6193=PLANE('',#167102); #6194=PLANE('',#167103); #6195=PLANE('',#167104); #6196=PLANE('',#167105); #6197=PLANE('',#167106); #6198=PLANE('',#167107); #6199=PLANE('',#167108); #6200=PLANE('',#167109); #6201=PLANE('',#167110); #6202=PLANE('',#167111); #6203=PLANE('',#167114); #6204=PLANE('',#167117); #6205=PLANE('',#167118); #6206=PLANE('',#167119); #6207=PLANE('',#167120); #6208=PLANE('',#167121); #6209=PLANE('',#167122); #6210=PLANE('',#167123); #6211=PLANE('',#167124); #6212=PLANE('',#167125); #6213=PLANE('',#167126); #6214=PLANE('',#167127); #6215=PLANE('',#167128); #6216=PLANE('',#167129); #6217=PLANE('',#167130); #6218=PLANE('',#167131); #6219=PLANE('',#167132); #6220=PLANE('',#167133); #6221=PLANE('',#167134); #6222=PLANE('',#167135); #6223=PLANE('',#167136); #6224=PLANE('',#167137); #6225=PLANE('',#167138); #6226=PLANE('',#167139); #6227=PLANE('',#167140); #6228=PLANE('',#167141); #6229=PLANE('',#167142); #6230=PLANE('',#167143); #6231=PLANE('',#167144); #6232=PLANE('',#167145); #6233=PLANE('',#167146); #6234=PLANE('',#167147); #6235=PLANE('',#167148); #6236=PLANE('',#167149); #6237=PLANE('',#167152); #6238=PLANE('',#167155); #6239=PLANE('',#167158); #6240=PLANE('',#167161); #6241=PLANE('',#167162); #6242=PLANE('',#167163); #6243=PLANE('',#167164); #6244=PLANE('',#167165); #6245=PLANE('',#167194); #6246=PLANE('',#167195); #6247=PLANE('',#167196); #6248=PLANE('',#167197); #6249=PLANE('',#167198); #6250=PLANE('',#167199); #6251=PLANE('',#167200); #6252=PLANE('',#167201); #6253=PLANE('',#167202); #6254=PLANE('',#167203); #6255=PLANE('',#167204); #6256=PLANE('',#167205); #6257=PLANE('',#167206); #6258=PLANE('',#167207); #6259=PLANE('',#167208); #6260=PLANE('',#167209); #6261=PLANE('',#167210); #6262=PLANE('',#167211); #6263=PLANE('',#167212); #6264=PLANE('',#167213); #6265=PLANE('',#167214); #6266=PLANE('',#167215); #6267=PLANE('',#167216); #6268=PLANE('',#167217); #6269=PLANE('',#167218); #6270=PLANE('',#167219); #6271=PLANE('',#167220); #6272=PLANE('',#167221); #6273=PLANE('',#167228); #6274=PLANE('',#167229); #6275=PLANE('',#167236); #6276=PLANE('',#167237); #6277=PLANE('',#167238); #6278=PLANE('',#167239); #6279=PLANE('',#167240); #6280=PLANE('',#167241); #6281=PLANE('',#167242); #6282=PLANE('',#167243); #6283=PLANE('',#167244); #6284=PLANE('',#167245); #6285=PLANE('',#167246); #6286=PLANE('',#167247); #6287=PLANE('',#167248); #6288=PLANE('',#167249); #6289=PLANE('',#167250); #6290=PLANE('',#167251); #6291=PLANE('',#167252); #6292=PLANE('',#167253); #6293=PLANE('',#167254); #6294=PLANE('',#167255); #6295=PLANE('',#167258); #6296=PLANE('',#167263); #6297=PLANE('',#167266); #6298=PLANE('',#167271); #6299=PLANE('',#167274); #6300=PLANE('',#167279); #6301=PLANE('',#167282); #6302=PLANE('',#167287); #6303=PLANE('',#167289); #6304=PLANE('',#167291); #6305=PLANE('',#167292); #6306=PLANE('',#167294); #6307=PLANE('',#167296); #6308=PLANE('',#167297); #6309=PLANE('',#167299); #6310=PLANE('',#167301); #6311=PLANE('',#167312); #6312=PLANE('',#167361); #6313=PLANE('',#167366); #6314=PLANE('',#167373); #6315=PLANE('',#167376); #6316=PLANE('',#167402); #6317=PLANE('',#167419); #6318=PLANE('',#167422); #6319=PLANE('',#167423); #6320=PLANE('',#167424); #6321=PLANE('',#167443); #6322=PLANE('',#167446); #6323=PLANE('',#167448); #6324=PLANE('',#167449); #6325=PLANE('',#167450); #6326=PLANE('',#167451); #6327=PLANE('',#167452); #6328=PLANE('',#167453); #6329=PLANE('',#167454); #6330=PLANE('',#167455); #6331=PLANE('',#167456); #6332=PLANE('',#167457); #6333=PLANE('',#167458); #6334=PLANE('',#167459); #6335=PLANE('',#167460); #6336=PLANE('',#167461); #6337=PLANE('',#167462); #6338=PLANE('',#167463); #6339=PLANE('',#167464); #6340=PLANE('',#167465); #6341=PLANE('',#167466); #6342=PLANE('',#167467); #6343=PLANE('',#167468); #6344=PLANE('',#167469); #6345=PLANE('',#167470); #6346=PLANE('',#167477); #6347=PLANE('',#167478); #6348=PLANE('',#167479); #6349=PLANE('',#167480); #6350=PLANE('',#167481); #6351=PLANE('',#167539); #6352=PLANE('',#167543); #6353=PLANE('',#167582); #6354=PLANE('',#167586); #6355=PLANE('',#167625); #6356=PLANE('',#167629); #6357=PLANE('',#167702); #6358=PLANE('',#167709); #6359=PLANE('',#167748); #6360=PLANE('',#167752); #6361=PLANE('',#167787); #6362=PLANE('',#167795); #6363=PLANE('',#167833); #6364=PLANE('',#167837); #6365=PLANE('',#167872); #6366=PLANE('',#167882); #6367=PLANE('',#167920); #6368=PLANE('',#167924); #6369=PLANE('',#167963); #6370=PLANE('',#167967); #6371=PLANE('',#168005); #6372=PLANE('',#168015); #6373=PLANE('',#168024); #6374=PLANE('',#168032); #6375=PLANE('',#168041); #6376=PLANE('',#168051); #6377=PLANE('',#168060); #6378=PLANE('',#168069); #6379=PLANE('',#168079); #6380=PLANE('',#168089); #6381=PLANE('',#168099); #6382=PLANE('',#168109); #6383=PLANE('',#168118); #6384=PLANE('',#168126); #6385=PLANE('',#168134); #6386=PLANE('',#168142); #6387=PLANE('',#168150); #6388=PLANE('',#168158); #6389=PLANE('',#168167); #6390=PLANE('',#168176); #6391=PLANE('',#168218); #6392=PLANE('',#168221); #6393=PLANE('',#168222); #6394=PLANE('',#168225); #6395=PLANE('',#168230); #6396=PLANE('',#168231); #6397=PLANE('',#168232); #6398=PLANE('',#168233); #6399=PLANE('',#168234); #6400=PLANE('',#168235); #6401=PLANE('',#168236); #6402=PLANE('',#168237); #6403=PLANE('',#168239); #6404=PLANE('',#168243); #6405=PLANE('',#168248); #6406=PLANE('',#168249); #6407=PLANE('',#168250); #6408=PLANE('',#168251); #6409=PLANE('',#168252); #6410=PLANE('',#168253); #6411=PLANE('',#168254); #6412=PLANE('',#168255); #6413=PLANE('',#168256); #6414=PLANE('',#168257); #6415=PLANE('',#168258); #6416=PLANE('',#168259); #6417=PLANE('',#168260); #6418=PLANE('',#168261); #6419=PLANE('',#168262); #6420=PLANE('',#168263); #6421=PLANE('',#168264); #6422=PLANE('',#168265); #6423=PLANE('',#168266); #6424=PLANE('',#168267); #6425=PLANE('',#168268); #6426=PLANE('',#168269); #6427=PLANE('',#168270); #6428=PLANE('',#168271); #6429=PLANE('',#168272); #6430=PLANE('',#168273); #6431=PLANE('',#168274); #6432=PLANE('',#168275); #6433=PLANE('',#168276); #6434=PLANE('',#168277); #6435=PLANE('',#168319); #6436=PLANE('',#168320); #6437=PLANE('',#168321); #6438=PLANE('',#168322); #6439=PLANE('',#168323); #6440=PLANE('',#168324); #6441=PLANE('',#168325); #6442=PLANE('',#168327); #6443=PLANE('',#168329); #6444=PLANE('',#168330); #6445=PLANE('',#168331); #6446=PLANE('',#168332); #6447=PLANE('',#168333); #6448=PLANE('',#168334); #6449=PLANE('',#168335); #6450=PLANE('',#168336); #6451=PLANE('',#168337); #6452=PLANE('',#168338); #6453=PLANE('',#168339); #6454=PLANE('',#168340); #6455=PLANE('',#168341); #6456=PLANE('',#168342); #6457=PLANE('',#168343); #6458=PLANE('',#168344); #6459=PLANE('',#168345); #6460=PLANE('',#168346); #6461=PLANE('',#168347); #6462=PLANE('',#168348); #6463=PLANE('',#168349); #6464=PLANE('',#168350); #6465=PLANE('',#168351); #6466=PLANE('',#168352); #6467=PLANE('',#168353); #6468=PLANE('',#168354); #6469=PLANE('',#168355); #6470=PLANE('',#168356); #6471=PLANE('',#168357); #6472=PLANE('',#168358); #6473=PLANE('',#168360); #6474=PLANE('',#168369); #6475=PLANE('',#168378); #6476=PLANE('',#168384); #6477=PLANE('',#168395); #6478=PLANE('',#168396); #6479=PLANE('',#168397); #6480=PLANE('',#168398); #6481=PLANE('',#168401); #6482=PLANE('',#168404); #6483=PLANE('',#168407); #6484=PLANE('',#168409); #6485=PLANE('',#168410); #6486=PLANE('',#168411); #6487=PLANE('',#168413); #6488=PLANE('',#168415); #6489=PLANE('',#168416); #6490=PLANE('',#168417); #6491=PLANE('',#168422); #6492=PLANE('',#168424); #6493=PLANE('',#168425); #6494=PLANE('',#168426); #6495=PLANE('',#168427); #6496=PLANE('',#168428); #6497=PLANE('',#168429); #6498=PLANE('',#168430); #6499=PLANE('',#168431); #6500=PLANE('',#168432); #6501=PLANE('',#168433); #6502=PLANE('',#168434); #6503=PLANE('',#168435); #6504=PLANE('',#168436); #6505=PLANE('',#168437); #6506=PLANE('',#168440); #6507=PLANE('',#168443); #6508=PLANE('',#168446); #6509=PLANE('',#168479); #6510=PLANE('',#168480); #6511=PLANE('',#168481); #6512=PLANE('',#168482); #6513=PLANE('',#168483); #6514=PLANE('',#168484); #6515=PLANE('',#168485); #6516=PLANE('',#168486); #6517=PLANE('',#168487); #6518=PLANE('',#168488); #6519=PLANE('',#168489); #6520=PLANE('',#168490); #6521=PLANE('',#168491); #6522=PLANE('',#168492); #6523=PLANE('',#168493); #6524=PLANE('',#168494); #6525=PLANE('',#168495); #6526=PLANE('',#168496); #6527=PLANE('',#168497); #6528=PLANE('',#168498); #6529=PLANE('',#168499); #6530=PLANE('',#168500); #6531=PLANE('',#168501); #6532=PLANE('',#168502); #6533=PLANE('',#168503); #6534=PLANE('',#168510); #6535=PLANE('',#168511); #6536=PLANE('',#168528); #6537=PLANE('',#168529); #6538=PLANE('',#168530); #6539=PLANE('',#168531); #6540=PLANE('',#168532); #6541=PLANE('',#168533); #6542=PLANE('',#168534); #6543=PLANE('',#168535); #6544=PLANE('',#168536); #6545=PLANE('',#168537); #6546=FACE_OUTER_BOUND('',#14508,.T.); #6547=FACE_OUTER_BOUND('',#14509,.T.); #6548=FACE_OUTER_BOUND('',#14510,.T.); #6549=FACE_OUTER_BOUND('',#14511,.T.); #6550=FACE_OUTER_BOUND('',#14512,.T.); #6551=FACE_OUTER_BOUND('',#14513,.T.); #6552=FACE_OUTER_BOUND('',#14514,.T.); #6553=FACE_OUTER_BOUND('',#14515,.T.); #6554=FACE_OUTER_BOUND('',#14516,.T.); #6555=FACE_OUTER_BOUND('',#14517,.T.); #6556=FACE_OUTER_BOUND('',#14518,.T.); #6557=FACE_OUTER_BOUND('',#14519,.T.); #6558=FACE_OUTER_BOUND('',#14520,.T.); #6559=FACE_OUTER_BOUND('',#14521,.T.); #6560=FACE_OUTER_BOUND('',#14523,.T.); #6561=FACE_OUTER_BOUND('',#14524,.T.); #6562=FACE_OUTER_BOUND('',#14525,.T.); #6563=FACE_OUTER_BOUND('',#14526,.T.); #6564=FACE_OUTER_BOUND('',#14527,.T.); #6565=FACE_OUTER_BOUND('',#14528,.T.); #6566=FACE_OUTER_BOUND('',#14529,.T.); #6567=FACE_OUTER_BOUND('',#14530,.T.); #6568=FACE_OUTER_BOUND('',#14531,.T.); #6569=FACE_OUTER_BOUND('',#14532,.T.); #6570=FACE_OUTER_BOUND('',#14533,.T.); #6571=FACE_OUTER_BOUND('',#14534,.T.); #6572=FACE_OUTER_BOUND('',#14535,.T.); #6573=FACE_OUTER_BOUND('',#14536,.T.); #6574=FACE_OUTER_BOUND('',#14537,.T.); #6575=FACE_OUTER_BOUND('',#14538,.T.); #6576=FACE_OUTER_BOUND('',#14539,.T.); #6577=FACE_OUTER_BOUND('',#14540,.T.); #6578=FACE_OUTER_BOUND('',#14541,.T.); #6579=FACE_OUTER_BOUND('',#14542,.T.); #6580=FACE_OUTER_BOUND('',#14543,.T.); #6581=FACE_OUTER_BOUND('',#14544,.T.); #6582=FACE_OUTER_BOUND('',#14545,.T.); #6583=FACE_OUTER_BOUND('',#14546,.T.); #6584=FACE_OUTER_BOUND('',#14547,.T.); #6585=FACE_OUTER_BOUND('',#14548,.T.); #6586=FACE_OUTER_BOUND('',#14549,.T.); #6587=FACE_OUTER_BOUND('',#14550,.T.); #6588=FACE_OUTER_BOUND('',#14551,.T.); #6589=FACE_OUTER_BOUND('',#14552,.T.); #6590=FACE_OUTER_BOUND('',#14553,.T.); #6591=FACE_OUTER_BOUND('',#14554,.T.); #6592=FACE_OUTER_BOUND('',#14555,.T.); #6593=FACE_OUTER_BOUND('',#14556,.T.); #6594=FACE_OUTER_BOUND('',#14557,.T.); #6595=FACE_OUTER_BOUND('',#14558,.T.); #6596=FACE_OUTER_BOUND('',#14559,.T.); #6597=FACE_OUTER_BOUND('',#14560,.T.); #6598=FACE_OUTER_BOUND('',#14561,.T.); #6599=FACE_OUTER_BOUND('',#14562,.T.); #6600=FACE_OUTER_BOUND('',#14563,.T.); #6601=FACE_OUTER_BOUND('',#14564,.T.); #6602=FACE_OUTER_BOUND('',#14565,.T.); #6603=FACE_OUTER_BOUND('',#14566,.T.); #6604=FACE_OUTER_BOUND('',#14567,.T.); #6605=FACE_OUTER_BOUND('',#14568,.T.); #6606=FACE_OUTER_BOUND('',#14569,.T.); #6607=FACE_OUTER_BOUND('',#14570,.T.); #6608=FACE_OUTER_BOUND('',#14571,.T.); #6609=FACE_OUTER_BOUND('',#14572,.T.); #6610=FACE_OUTER_BOUND('',#14573,.T.); #6611=FACE_OUTER_BOUND('',#14574,.T.); #6612=FACE_OUTER_BOUND('',#14575,.T.); #6613=FACE_OUTER_BOUND('',#14576,.T.); #6614=FACE_OUTER_BOUND('',#14577,.T.); #6615=FACE_OUTER_BOUND('',#14578,.T.); #6616=FACE_OUTER_BOUND('',#14579,.T.); #6617=FACE_OUTER_BOUND('',#14580,.T.); #6618=FACE_OUTER_BOUND('',#14581,.T.); #6619=FACE_OUTER_BOUND('',#14582,.T.); #6620=FACE_OUTER_BOUND('',#14583,.T.); #6621=FACE_OUTER_BOUND('',#14587,.T.); #6622=FACE_OUTER_BOUND('',#14588,.T.); #6623=FACE_OUTER_BOUND('',#14589,.T.); #6624=FACE_OUTER_BOUND('',#14591,.T.); #6625=FACE_OUTER_BOUND('',#14592,.T.); #6626=FACE_OUTER_BOUND('',#14594,.T.); #6627=FACE_OUTER_BOUND('',#14595,.T.); #6628=FACE_OUTER_BOUND('',#14596,.T.); #6629=FACE_OUTER_BOUND('',#14597,.T.); #6630=FACE_OUTER_BOUND('',#14598,.T.); #6631=FACE_OUTER_BOUND('',#14599,.T.); #6632=FACE_OUTER_BOUND('',#14600,.T.); #6633=FACE_OUTER_BOUND('',#14601,.T.); #6634=FACE_OUTER_BOUND('',#14602,.T.); #6635=FACE_OUTER_BOUND('',#14603,.T.); #6636=FACE_OUTER_BOUND('',#14604,.T.); #6637=FACE_OUTER_BOUND('',#14605,.T.); #6638=FACE_OUTER_BOUND('',#14606,.T.); #6639=FACE_OUTER_BOUND('',#14607,.T.); #6640=FACE_OUTER_BOUND('',#14608,.T.); #6641=FACE_OUTER_BOUND('',#14609,.T.); #6642=FACE_OUTER_BOUND('',#14610,.T.); #6643=FACE_OUTER_BOUND('',#14611,.T.); #6644=FACE_OUTER_BOUND('',#14612,.T.); #6645=FACE_OUTER_BOUND('',#14613,.T.); #6646=FACE_OUTER_BOUND('',#14614,.T.); #6647=FACE_OUTER_BOUND('',#14615,.T.); #6648=FACE_OUTER_BOUND('',#14616,.T.); #6649=FACE_OUTER_BOUND('',#14617,.T.); #6650=FACE_OUTER_BOUND('',#14618,.T.); #6651=FACE_OUTER_BOUND('',#14619,.T.); #6652=FACE_OUTER_BOUND('',#14620,.T.); #6653=FACE_OUTER_BOUND('',#14621,.T.); #6654=FACE_OUTER_BOUND('',#14622,.T.); #6655=FACE_OUTER_BOUND('',#14623,.T.); #6656=FACE_OUTER_BOUND('',#14624,.T.); #6657=FACE_OUTER_BOUND('',#14625,.T.); #6658=FACE_OUTER_BOUND('',#14626,.T.); #6659=FACE_OUTER_BOUND('',#14627,.T.); #6660=FACE_OUTER_BOUND('',#14628,.T.); #6661=FACE_OUTER_BOUND('',#14629,.T.); #6662=FACE_OUTER_BOUND('',#14630,.T.); #6663=FACE_OUTER_BOUND('',#14631,.T.); #6664=FACE_OUTER_BOUND('',#14632,.T.); #6665=FACE_OUTER_BOUND('',#14633,.T.); #6666=FACE_OUTER_BOUND('',#14634,.T.); #6667=FACE_OUTER_BOUND('',#14635,.T.); #6668=FACE_OUTER_BOUND('',#14636,.T.); #6669=FACE_OUTER_BOUND('',#14637,.T.); #6670=FACE_OUTER_BOUND('',#14638,.T.); #6671=FACE_OUTER_BOUND('',#14639,.T.); #6672=FACE_OUTER_BOUND('',#14640,.T.); #6673=FACE_OUTER_BOUND('',#14641,.T.); #6674=FACE_OUTER_BOUND('',#14642,.T.); #6675=FACE_OUTER_BOUND('',#14643,.T.); #6676=FACE_OUTER_BOUND('',#14644,.T.); #6677=FACE_OUTER_BOUND('',#14645,.T.); #6678=FACE_OUTER_BOUND('',#14648,.T.); #6679=FACE_OUTER_BOUND('',#14649,.T.); #6680=FACE_OUTER_BOUND('',#14650,.T.); #6681=FACE_OUTER_BOUND('',#14651,.T.); #6682=FACE_OUTER_BOUND('',#14652,.T.); #6683=FACE_OUTER_BOUND('',#14653,.T.); #6684=FACE_OUTER_BOUND('',#14654,.T.); #6685=FACE_OUTER_BOUND('',#14655,.T.); #6686=FACE_OUTER_BOUND('',#14656,.T.); #6687=FACE_OUTER_BOUND('',#14657,.T.); #6688=FACE_OUTER_BOUND('',#14658,.T.); #6689=FACE_OUTER_BOUND('',#14659,.T.); #6690=FACE_OUTER_BOUND('',#14660,.T.); #6691=FACE_OUTER_BOUND('',#14661,.T.); #6692=FACE_OUTER_BOUND('',#14662,.T.); #6693=FACE_OUTER_BOUND('',#14663,.T.); #6694=FACE_OUTER_BOUND('',#14664,.T.); #6695=FACE_OUTER_BOUND('',#14665,.T.); #6696=FACE_OUTER_BOUND('',#14666,.T.); #6697=FACE_OUTER_BOUND('',#14667,.T.); #6698=FACE_OUTER_BOUND('',#14668,.T.); #6699=FACE_OUTER_BOUND('',#14669,.T.); #6700=FACE_OUTER_BOUND('',#14670,.T.); #6701=FACE_OUTER_BOUND('',#14671,.T.); #6702=FACE_OUTER_BOUND('',#14672,.T.); #6703=FACE_OUTER_BOUND('',#14673,.T.); #6704=FACE_OUTER_BOUND('',#14674,.T.); #6705=FACE_OUTER_BOUND('',#14675,.T.); #6706=FACE_OUTER_BOUND('',#14676,.T.); #6707=FACE_OUTER_BOUND('',#14677,.T.); #6708=FACE_OUTER_BOUND('',#14678,.T.); #6709=FACE_OUTER_BOUND('',#14679,.T.); #6710=FACE_OUTER_BOUND('',#14680,.T.); #6711=FACE_OUTER_BOUND('',#14681,.T.); #6712=FACE_OUTER_BOUND('',#14682,.T.); #6713=FACE_OUTER_BOUND('',#14683,.T.); #6714=FACE_OUTER_BOUND('',#14684,.T.); #6715=FACE_OUTER_BOUND('',#14685,.T.); #6716=FACE_OUTER_BOUND('',#14686,.T.); #6717=FACE_OUTER_BOUND('',#14687,.T.); #6718=FACE_OUTER_BOUND('',#14688,.T.); #6719=FACE_OUTER_BOUND('',#14689,.T.); #6720=FACE_OUTER_BOUND('',#14690,.T.); #6721=FACE_OUTER_BOUND('',#14691,.T.); #6722=FACE_OUTER_BOUND('',#14692,.T.); #6723=FACE_OUTER_BOUND('',#14693,.T.); #6724=FACE_OUTER_BOUND('',#14694,.T.); #6725=FACE_OUTER_BOUND('',#14695,.T.); #6726=FACE_OUTER_BOUND('',#14696,.T.); #6727=FACE_OUTER_BOUND('',#14697,.T.); #6728=FACE_OUTER_BOUND('',#14698,.T.); #6729=FACE_OUTER_BOUND('',#14699,.T.); #6730=FACE_OUTER_BOUND('',#14700,.T.); #6731=FACE_OUTER_BOUND('',#14701,.T.); #6732=FACE_OUTER_BOUND('',#14702,.T.); #6733=FACE_OUTER_BOUND('',#14703,.T.); #6734=FACE_OUTER_BOUND('',#14704,.T.); #6735=FACE_OUTER_BOUND('',#14705,.T.); #6736=FACE_OUTER_BOUND('',#14706,.T.); #6737=FACE_OUTER_BOUND('',#14707,.T.); #6738=FACE_OUTER_BOUND('',#14708,.T.); #6739=FACE_OUTER_BOUND('',#14709,.T.); #6740=FACE_OUTER_BOUND('',#14710,.T.); #6741=FACE_OUTER_BOUND('',#14711,.T.); #6742=FACE_OUTER_BOUND('',#14712,.T.); #6743=FACE_OUTER_BOUND('',#14713,.T.); #6744=FACE_OUTER_BOUND('',#14714,.T.); #6745=FACE_OUTER_BOUND('',#14715,.T.); #6746=FACE_OUTER_BOUND('',#14716,.T.); #6747=FACE_OUTER_BOUND('',#14717,.T.); #6748=FACE_OUTER_BOUND('',#14718,.T.); #6749=FACE_OUTER_BOUND('',#14719,.T.); #6750=FACE_OUTER_BOUND('',#14720,.T.); #6751=FACE_OUTER_BOUND('',#14721,.T.); #6752=FACE_OUTER_BOUND('',#14722,.T.); #6753=FACE_OUTER_BOUND('',#14723,.T.); #6754=FACE_OUTER_BOUND('',#14724,.T.); #6755=FACE_OUTER_BOUND('',#14725,.T.); #6756=FACE_OUTER_BOUND('',#14726,.T.); #6757=FACE_OUTER_BOUND('',#14727,.T.); #6758=FACE_OUTER_BOUND('',#14728,.T.); #6759=FACE_OUTER_BOUND('',#14729,.T.); #6760=FACE_OUTER_BOUND('',#14730,.T.); #6761=FACE_OUTER_BOUND('',#14731,.T.); #6762=FACE_OUTER_BOUND('',#14732,.T.); #6763=FACE_OUTER_BOUND('',#14733,.T.); #6764=FACE_OUTER_BOUND('',#14734,.T.); #6765=FACE_OUTER_BOUND('',#14735,.T.); #6766=FACE_OUTER_BOUND('',#14736,.T.); #6767=FACE_OUTER_BOUND('',#14737,.T.); #6768=FACE_OUTER_BOUND('',#14738,.T.); #6769=FACE_OUTER_BOUND('',#14739,.T.); #6770=FACE_OUTER_BOUND('',#14740,.T.); #6771=FACE_OUTER_BOUND('',#14741,.T.); #6772=FACE_OUTER_BOUND('',#14742,.T.); #6773=FACE_OUTER_BOUND('',#14743,.T.); #6774=FACE_OUTER_BOUND('',#14744,.T.); #6775=FACE_OUTER_BOUND('',#14745,.T.); #6776=FACE_OUTER_BOUND('',#14746,.T.); #6777=FACE_OUTER_BOUND('',#14747,.T.); #6778=FACE_OUTER_BOUND('',#14748,.T.); #6779=FACE_OUTER_BOUND('',#14749,.T.); #6780=FACE_OUTER_BOUND('',#14750,.T.); #6781=FACE_OUTER_BOUND('',#14751,.T.); #6782=FACE_OUTER_BOUND('',#14752,.T.); #6783=FACE_OUTER_BOUND('',#14753,.T.); #6784=FACE_OUTER_BOUND('',#14754,.T.); #6785=FACE_OUTER_BOUND('',#14755,.T.); #6786=FACE_OUTER_BOUND('',#14756,.T.); #6787=FACE_OUTER_BOUND('',#14757,.T.); #6788=FACE_OUTER_BOUND('',#14758,.T.); #6789=FACE_OUTER_BOUND('',#14759,.T.); #6790=FACE_OUTER_BOUND('',#14760,.T.); #6791=FACE_OUTER_BOUND('',#14761,.T.); #6792=FACE_OUTER_BOUND('',#14762,.T.); #6793=FACE_OUTER_BOUND('',#14763,.T.); #6794=FACE_OUTER_BOUND('',#14764,.T.); #6795=FACE_OUTER_BOUND('',#14765,.T.); #6796=FACE_OUTER_BOUND('',#14766,.T.); #6797=FACE_OUTER_BOUND('',#14767,.T.); #6798=FACE_OUTER_BOUND('',#14769,.T.); #6799=FACE_OUTER_BOUND('',#14770,.T.); #6800=FACE_OUTER_BOUND('',#14771,.T.); #6801=FACE_OUTER_BOUND('',#14772,.T.); #6802=FACE_OUTER_BOUND('',#14773,.T.); #6803=FACE_OUTER_BOUND('',#14774,.T.); #6804=FACE_OUTER_BOUND('',#14775,.T.); #6805=FACE_OUTER_BOUND('',#14776,.T.); #6806=FACE_OUTER_BOUND('',#14777,.T.); #6807=FACE_OUTER_BOUND('',#14778,.T.); #6808=FACE_OUTER_BOUND('',#14779,.T.); #6809=FACE_OUTER_BOUND('',#14780,.T.); #6810=FACE_OUTER_BOUND('',#14781,.T.); #6811=FACE_OUTER_BOUND('',#14782,.T.); #6812=FACE_OUTER_BOUND('',#14783,.T.); #6813=FACE_OUTER_BOUND('',#14784,.T.); #6814=FACE_OUTER_BOUND('',#14785,.T.); #6815=FACE_OUTER_BOUND('',#14786,.T.); #6816=FACE_OUTER_BOUND('',#14787,.T.); #6817=FACE_OUTER_BOUND('',#14788,.T.); #6818=FACE_OUTER_BOUND('',#14789,.T.); #6819=FACE_OUTER_BOUND('',#14790,.T.); #6820=FACE_OUTER_BOUND('',#14791,.T.); #6821=FACE_OUTER_BOUND('',#14792,.T.); #6822=FACE_OUTER_BOUND('',#14793,.T.); #6823=FACE_OUTER_BOUND('',#14794,.T.); #6824=FACE_OUTER_BOUND('',#14795,.T.); #6825=FACE_OUTER_BOUND('',#14796,.T.); #6826=FACE_OUTER_BOUND('',#14797,.T.); #6827=FACE_OUTER_BOUND('',#14798,.T.); #6828=FACE_OUTER_BOUND('',#14799,.T.); #6829=FACE_OUTER_BOUND('',#14800,.T.); #6830=FACE_OUTER_BOUND('',#14801,.T.); #6831=FACE_OUTER_BOUND('',#14802,.T.); #6832=FACE_OUTER_BOUND('',#14803,.T.); #6833=FACE_OUTER_BOUND('',#14804,.T.); #6834=FACE_OUTER_BOUND('',#14805,.T.); #6835=FACE_OUTER_BOUND('',#14806,.T.); #6836=FACE_OUTER_BOUND('',#14807,.T.); #6837=FACE_OUTER_BOUND('',#14808,.T.); #6838=FACE_OUTER_BOUND('',#14809,.T.); #6839=FACE_OUTER_BOUND('',#14810,.T.); #6840=FACE_OUTER_BOUND('',#14811,.T.); #6841=FACE_OUTER_BOUND('',#14812,.T.); #6842=FACE_OUTER_BOUND('',#14813,.T.); #6843=FACE_OUTER_BOUND('',#14814,.T.); #6844=FACE_OUTER_BOUND('',#14815,.T.); #6845=FACE_OUTER_BOUND('',#14816,.T.); #6846=FACE_OUTER_BOUND('',#14817,.T.); #6847=FACE_OUTER_BOUND('',#14818,.T.); #6848=FACE_OUTER_BOUND('',#14819,.T.); #6849=FACE_OUTER_BOUND('',#14820,.T.); #6850=FACE_OUTER_BOUND('',#14821,.T.); #6851=FACE_OUTER_BOUND('',#14822,.T.); #6852=FACE_OUTER_BOUND('',#14823,.T.); #6853=FACE_OUTER_BOUND('',#14824,.T.); #6854=FACE_OUTER_BOUND('',#14825,.T.); #6855=FACE_OUTER_BOUND('',#14826,.T.); #6856=FACE_OUTER_BOUND('',#14827,.T.); #6857=FACE_OUTER_BOUND('',#14828,.T.); #6858=FACE_OUTER_BOUND('',#14829,.T.); #6859=FACE_OUTER_BOUND('',#14830,.T.); #6860=FACE_OUTER_BOUND('',#14831,.T.); #6861=FACE_OUTER_BOUND('',#14832,.T.); #6862=FACE_OUTER_BOUND('',#14833,.T.); #6863=FACE_OUTER_BOUND('',#14834,.T.); #6864=FACE_OUTER_BOUND('',#14835,.T.); #6865=FACE_OUTER_BOUND('',#14836,.T.); #6866=FACE_OUTER_BOUND('',#14837,.T.); #6867=FACE_OUTER_BOUND('',#14838,.T.); #6868=FACE_OUTER_BOUND('',#14839,.T.); #6869=FACE_OUTER_BOUND('',#14840,.T.); #6870=FACE_OUTER_BOUND('',#14841,.T.); #6871=FACE_OUTER_BOUND('',#14842,.T.); #6872=FACE_OUTER_BOUND('',#14843,.T.); #6873=FACE_OUTER_BOUND('',#14844,.T.); #6874=FACE_OUTER_BOUND('',#14845,.T.); #6875=FACE_OUTER_BOUND('',#14846,.T.); #6876=FACE_OUTER_BOUND('',#14847,.T.); #6877=FACE_OUTER_BOUND('',#14848,.T.); #6878=FACE_OUTER_BOUND('',#14849,.T.); #6879=FACE_OUTER_BOUND('',#14850,.T.); #6880=FACE_OUTER_BOUND('',#14851,.T.); #6881=FACE_OUTER_BOUND('',#14852,.T.); #6882=FACE_OUTER_BOUND('',#14853,.T.); #6883=FACE_OUTER_BOUND('',#14854,.T.); #6884=FACE_OUTER_BOUND('',#14855,.T.); #6885=FACE_OUTER_BOUND('',#14856,.T.); #6886=FACE_OUTER_BOUND('',#14857,.T.); #6887=FACE_OUTER_BOUND('',#14858,.T.); #6888=FACE_OUTER_BOUND('',#14859,.T.); #6889=FACE_OUTER_BOUND('',#14860,.T.); #6890=FACE_OUTER_BOUND('',#14861,.T.); #6891=FACE_OUTER_BOUND('',#14862,.T.); #6892=FACE_OUTER_BOUND('',#14863,.T.); #6893=FACE_OUTER_BOUND('',#14864,.T.); #6894=FACE_OUTER_BOUND('',#14865,.T.); #6895=FACE_OUTER_BOUND('',#14866,.T.); #6896=FACE_OUTER_BOUND('',#14867,.T.); #6897=FACE_OUTER_BOUND('',#14868,.T.); #6898=FACE_OUTER_BOUND('',#14869,.T.); #6899=FACE_OUTER_BOUND('',#14870,.T.); #6900=FACE_OUTER_BOUND('',#14871,.T.); #6901=FACE_OUTER_BOUND('',#14873,.T.); #6902=FACE_OUTER_BOUND('',#14874,.T.); #6903=FACE_OUTER_BOUND('',#14875,.T.); #6904=FACE_OUTER_BOUND('',#14876,.T.); #6905=FACE_OUTER_BOUND('',#14877,.T.); #6906=FACE_OUTER_BOUND('',#14878,.T.); #6907=FACE_OUTER_BOUND('',#14879,.T.); #6908=FACE_OUTER_BOUND('',#14880,.T.); #6909=FACE_OUTER_BOUND('',#14881,.T.); #6910=FACE_OUTER_BOUND('',#14882,.T.); #6911=FACE_OUTER_BOUND('',#14883,.T.); #6912=FACE_OUTER_BOUND('',#14884,.T.); #6913=FACE_OUTER_BOUND('',#14885,.T.); #6914=FACE_OUTER_BOUND('',#14886,.T.); #6915=FACE_OUTER_BOUND('',#14887,.T.); #6916=FACE_OUTER_BOUND('',#14888,.T.); #6917=FACE_OUTER_BOUND('',#14889,.T.); #6918=FACE_OUTER_BOUND('',#14890,.T.); #6919=FACE_OUTER_BOUND('',#14891,.T.); #6920=FACE_OUTER_BOUND('',#14892,.T.); #6921=FACE_OUTER_BOUND('',#14893,.T.); #6922=FACE_OUTER_BOUND('',#14894,.T.); #6923=FACE_OUTER_BOUND('',#14895,.T.); #6924=FACE_OUTER_BOUND('',#14896,.T.); #6925=FACE_OUTER_BOUND('',#14897,.T.); #6926=FACE_OUTER_BOUND('',#14898,.T.); #6927=FACE_OUTER_BOUND('',#14899,.T.); #6928=FACE_OUTER_BOUND('',#14900,.T.); #6929=FACE_OUTER_BOUND('',#14901,.T.); #6930=FACE_OUTER_BOUND('',#14902,.T.); #6931=FACE_OUTER_BOUND('',#14903,.T.); #6932=FACE_OUTER_BOUND('',#14904,.T.); #6933=FACE_OUTER_BOUND('',#14905,.T.); #6934=FACE_OUTER_BOUND('',#14906,.T.); #6935=FACE_OUTER_BOUND('',#14907,.T.); #6936=FACE_OUTER_BOUND('',#14908,.T.); #6937=FACE_OUTER_BOUND('',#14909,.T.); #6938=FACE_OUTER_BOUND('',#14910,.T.); #6939=FACE_OUTER_BOUND('',#14911,.T.); #6940=FACE_OUTER_BOUND('',#14912,.T.); #6941=FACE_OUTER_BOUND('',#14913,.T.); #6942=FACE_OUTER_BOUND('',#14914,.T.); #6943=FACE_OUTER_BOUND('',#14915,.T.); #6944=FACE_OUTER_BOUND('',#14916,.T.); #6945=FACE_OUTER_BOUND('',#14917,.T.); #6946=FACE_OUTER_BOUND('',#14918,.T.); #6947=FACE_OUTER_BOUND('',#14919,.T.); #6948=FACE_OUTER_BOUND('',#14920,.T.); #6949=FACE_OUTER_BOUND('',#14921,.T.); #6950=FACE_OUTER_BOUND('',#14922,.T.); #6951=FACE_OUTER_BOUND('',#14923,.T.); #6952=FACE_OUTER_BOUND('',#14924,.T.); #6953=FACE_OUTER_BOUND('',#14925,.T.); #6954=FACE_OUTER_BOUND('',#14926,.T.); #6955=FACE_OUTER_BOUND('',#14927,.T.); #6956=FACE_OUTER_BOUND('',#14928,.T.); #6957=FACE_OUTER_BOUND('',#14929,.T.); #6958=FACE_OUTER_BOUND('',#14930,.T.); #6959=FACE_OUTER_BOUND('',#14931,.T.); #6960=FACE_OUTER_BOUND('',#14932,.T.); #6961=FACE_OUTER_BOUND('',#14933,.T.); #6962=FACE_OUTER_BOUND('',#14934,.T.); #6963=FACE_OUTER_BOUND('',#14935,.T.); #6964=FACE_OUTER_BOUND('',#14936,.T.); #6965=FACE_OUTER_BOUND('',#14937,.T.); #6966=FACE_OUTER_BOUND('',#14938,.T.); #6967=FACE_OUTER_BOUND('',#14939,.T.); #6968=FACE_OUTER_BOUND('',#14940,.T.); #6969=FACE_OUTER_BOUND('',#14941,.T.); #6970=FACE_OUTER_BOUND('',#14942,.T.); #6971=FACE_OUTER_BOUND('',#14943,.T.); #6972=FACE_OUTER_BOUND('',#14944,.T.); #6973=FACE_OUTER_BOUND('',#14945,.T.); #6974=FACE_OUTER_BOUND('',#14946,.T.); #6975=FACE_OUTER_BOUND('',#14947,.T.); #6976=FACE_OUTER_BOUND('',#14948,.T.); #6977=FACE_OUTER_BOUND('',#14949,.T.); #6978=FACE_OUTER_BOUND('',#14950,.T.); #6979=FACE_OUTER_BOUND('',#14951,.T.); #6980=FACE_OUTER_BOUND('',#14952,.T.); #6981=FACE_OUTER_BOUND('',#14953,.T.); #6982=FACE_OUTER_BOUND('',#14954,.T.); #6983=FACE_OUTER_BOUND('',#14955,.T.); #6984=FACE_OUTER_BOUND('',#14956,.T.); #6985=FACE_OUTER_BOUND('',#14957,.T.); #6986=FACE_OUTER_BOUND('',#14958,.T.); #6987=FACE_OUTER_BOUND('',#14959,.T.); #6988=FACE_OUTER_BOUND('',#14960,.T.); #6989=FACE_OUTER_BOUND('',#14961,.T.); #6990=FACE_OUTER_BOUND('',#14962,.T.); #6991=FACE_OUTER_BOUND('',#14963,.T.); #6992=FACE_OUTER_BOUND('',#14964,.T.); #6993=FACE_OUTER_BOUND('',#14965,.T.); #6994=FACE_OUTER_BOUND('',#14966,.T.); #6995=FACE_OUTER_BOUND('',#14967,.T.); #6996=FACE_OUTER_BOUND('',#14968,.T.); #6997=FACE_OUTER_BOUND('',#14969,.T.); #6998=FACE_OUTER_BOUND('',#14970,.T.); #6999=FACE_OUTER_BOUND('',#14971,.T.); #7000=FACE_OUTER_BOUND('',#14972,.T.); #7001=FACE_OUTER_BOUND('',#14973,.T.); #7002=FACE_OUTER_BOUND('',#14974,.T.); #7003=FACE_OUTER_BOUND('',#14975,.T.); #7004=FACE_OUTER_BOUND('',#14976,.T.); #7005=FACE_OUTER_BOUND('',#14977,.T.); #7006=FACE_OUTER_BOUND('',#14978,.T.); #7007=FACE_OUTER_BOUND('',#14979,.T.); #7008=FACE_OUTER_BOUND('',#14980,.T.); #7009=FACE_OUTER_BOUND('',#14981,.T.); #7010=FACE_OUTER_BOUND('',#14982,.T.); #7011=FACE_OUTER_BOUND('',#14983,.T.); #7012=FACE_OUTER_BOUND('',#14984,.T.); #7013=FACE_OUTER_BOUND('',#14985,.T.); #7014=FACE_OUTER_BOUND('',#14986,.T.); #7015=FACE_OUTER_BOUND('',#14987,.T.); #7016=FACE_OUTER_BOUND('',#14988,.T.); #7017=FACE_OUTER_BOUND('',#14989,.T.); #7018=FACE_OUTER_BOUND('',#14990,.T.); #7019=FACE_OUTER_BOUND('',#14991,.T.); #7020=FACE_OUTER_BOUND('',#14992,.T.); #7021=FACE_OUTER_BOUND('',#14993,.T.); #7022=FACE_OUTER_BOUND('',#14994,.T.); #7023=FACE_OUTER_BOUND('',#14995,.T.); #7024=FACE_OUTER_BOUND('',#14996,.T.); #7025=FACE_OUTER_BOUND('',#14997,.T.); #7026=FACE_OUTER_BOUND('',#14998,.T.); #7027=FACE_OUTER_BOUND('',#14999,.T.); #7028=FACE_OUTER_BOUND('',#15000,.T.); #7029=FACE_OUTER_BOUND('',#15001,.T.); #7030=FACE_OUTER_BOUND('',#15002,.T.); #7031=FACE_OUTER_BOUND('',#15003,.T.); #7032=FACE_OUTER_BOUND('',#15004,.T.); #7033=FACE_OUTER_BOUND('',#15005,.T.); #7034=FACE_OUTER_BOUND('',#15006,.T.); #7035=FACE_OUTER_BOUND('',#15007,.T.); #7036=FACE_OUTER_BOUND('',#15008,.T.); #7037=FACE_OUTER_BOUND('',#15009,.T.); #7038=FACE_OUTER_BOUND('',#15010,.T.); #7039=FACE_OUTER_BOUND('',#15011,.T.); #7040=FACE_OUTER_BOUND('',#15012,.T.); #7041=FACE_OUTER_BOUND('',#15013,.T.); #7042=FACE_OUTER_BOUND('',#15014,.T.); #7043=FACE_OUTER_BOUND('',#15015,.T.); #7044=FACE_OUTER_BOUND('',#15016,.T.); #7045=FACE_OUTER_BOUND('',#15017,.T.); #7046=FACE_OUTER_BOUND('',#15018,.T.); #7047=FACE_OUTER_BOUND('',#15019,.T.); #7048=FACE_OUTER_BOUND('',#15020,.T.); #7049=FACE_OUTER_BOUND('',#15021,.T.); #7050=FACE_OUTER_BOUND('',#15022,.T.); #7051=FACE_OUTER_BOUND('',#15023,.T.); #7052=FACE_OUTER_BOUND('',#15024,.T.); #7053=FACE_OUTER_BOUND('',#15025,.T.); #7054=FACE_OUTER_BOUND('',#15026,.T.); #7055=FACE_OUTER_BOUND('',#15027,.T.); #7056=FACE_OUTER_BOUND('',#15028,.T.); #7057=FACE_OUTER_BOUND('',#15029,.T.); #7058=FACE_OUTER_BOUND('',#15030,.T.); #7059=FACE_OUTER_BOUND('',#15031,.T.); #7060=FACE_OUTER_BOUND('',#15032,.T.); #7061=FACE_OUTER_BOUND('',#15033,.T.); #7062=FACE_OUTER_BOUND('',#15034,.T.); #7063=FACE_OUTER_BOUND('',#15035,.T.); #7064=FACE_OUTER_BOUND('',#15036,.T.); #7065=FACE_OUTER_BOUND('',#15037,.T.); #7066=FACE_OUTER_BOUND('',#15038,.T.); #7067=FACE_OUTER_BOUND('',#15039,.T.); #7068=FACE_OUTER_BOUND('',#15040,.T.); #7069=FACE_OUTER_BOUND('',#15041,.T.); #7070=FACE_OUTER_BOUND('',#15042,.T.); #7071=FACE_OUTER_BOUND('',#15043,.T.); #7072=FACE_OUTER_BOUND('',#15044,.T.); #7073=FACE_OUTER_BOUND('',#15045,.T.); #7074=FACE_OUTER_BOUND('',#15046,.T.); #7075=FACE_OUTER_BOUND('',#15047,.T.); #7076=FACE_OUTER_BOUND('',#15048,.T.); #7077=FACE_OUTER_BOUND('',#15049,.T.); #7078=FACE_OUTER_BOUND('',#15050,.T.); #7079=FACE_OUTER_BOUND('',#15051,.T.); #7080=FACE_OUTER_BOUND('',#15052,.T.); #7081=FACE_OUTER_BOUND('',#15053,.T.); #7082=FACE_OUTER_BOUND('',#15054,.T.); #7083=FACE_OUTER_BOUND('',#15055,.T.); #7084=FACE_OUTER_BOUND('',#15056,.T.); #7085=FACE_OUTER_BOUND('',#15057,.T.); #7086=FACE_OUTER_BOUND('',#15058,.T.); #7087=FACE_OUTER_BOUND('',#15059,.T.); #7088=FACE_OUTER_BOUND('',#15060,.T.); #7089=FACE_OUTER_BOUND('',#15061,.T.); #7090=FACE_OUTER_BOUND('',#15062,.T.); #7091=FACE_OUTER_BOUND('',#15063,.T.); #7092=FACE_OUTER_BOUND('',#15064,.T.); #7093=FACE_OUTER_BOUND('',#15065,.T.); #7094=FACE_OUTER_BOUND('',#15066,.T.); #7095=FACE_OUTER_BOUND('',#15067,.T.); #7096=FACE_OUTER_BOUND('',#15068,.T.); #7097=FACE_OUTER_BOUND('',#15069,.T.); #7098=FACE_OUTER_BOUND('',#15070,.T.); #7099=FACE_OUTER_BOUND('',#15071,.T.); #7100=FACE_OUTER_BOUND('',#15072,.T.); #7101=FACE_OUTER_BOUND('',#15073,.T.); #7102=FACE_OUTER_BOUND('',#15074,.T.); #7103=FACE_OUTER_BOUND('',#15075,.T.); #7104=FACE_OUTER_BOUND('',#15076,.T.); #7105=FACE_OUTER_BOUND('',#15077,.T.); #7106=FACE_OUTER_BOUND('',#15078,.T.); #7107=FACE_OUTER_BOUND('',#15079,.T.); #7108=FACE_OUTER_BOUND('',#15080,.T.); #7109=FACE_OUTER_BOUND('',#15081,.T.); #7110=FACE_OUTER_BOUND('',#15082,.T.); #7111=FACE_OUTER_BOUND('',#15083,.T.); #7112=FACE_OUTER_BOUND('',#15084,.T.); #7113=FACE_OUTER_BOUND('',#15085,.T.); #7114=FACE_OUTER_BOUND('',#15086,.T.); #7115=FACE_OUTER_BOUND('',#15087,.T.); #7116=FACE_OUTER_BOUND('',#15088,.T.); #7117=FACE_OUTER_BOUND('',#15089,.T.); #7118=FACE_OUTER_BOUND('',#15090,.T.); #7119=FACE_OUTER_BOUND('',#15091,.T.); #7120=FACE_OUTER_BOUND('',#15092,.T.); #7121=FACE_OUTER_BOUND('',#15093,.T.); #7122=FACE_OUTER_BOUND('',#15094,.T.); #7123=FACE_OUTER_BOUND('',#15095,.T.); #7124=FACE_OUTER_BOUND('',#15096,.T.); #7125=FACE_OUTER_BOUND('',#15097,.T.); #7126=FACE_OUTER_BOUND('',#15098,.T.); #7127=FACE_OUTER_BOUND('',#15099,.T.); #7128=FACE_OUTER_BOUND('',#15100,.T.); #7129=FACE_OUTER_BOUND('',#15101,.T.); #7130=FACE_OUTER_BOUND('',#15102,.T.); #7131=FACE_OUTER_BOUND('',#15103,.T.); #7132=FACE_OUTER_BOUND('',#15104,.T.); #7133=FACE_OUTER_BOUND('',#15105,.T.); #7134=FACE_OUTER_BOUND('',#15106,.T.); #7135=FACE_OUTER_BOUND('',#15107,.T.); #7136=FACE_OUTER_BOUND('',#15108,.T.); #7137=FACE_OUTER_BOUND('',#15109,.T.); #7138=FACE_OUTER_BOUND('',#15110,.T.); #7139=FACE_OUTER_BOUND('',#15111,.T.); #7140=FACE_OUTER_BOUND('',#15112,.T.); #7141=FACE_OUTER_BOUND('',#15113,.T.); #7142=FACE_OUTER_BOUND('',#15114,.T.); #7143=FACE_OUTER_BOUND('',#15115,.T.); #7144=FACE_OUTER_BOUND('',#15116,.T.); #7145=FACE_OUTER_BOUND('',#15117,.T.); #7146=FACE_OUTER_BOUND('',#15118,.T.); #7147=FACE_OUTER_BOUND('',#15119,.T.); #7148=FACE_OUTER_BOUND('',#15120,.T.); #7149=FACE_OUTER_BOUND('',#15121,.T.); #7150=FACE_OUTER_BOUND('',#15122,.T.); #7151=FACE_OUTER_BOUND('',#15123,.T.); #7152=FACE_OUTER_BOUND('',#15124,.T.); #7153=FACE_OUTER_BOUND('',#15125,.T.); #7154=FACE_OUTER_BOUND('',#15126,.T.); #7155=FACE_OUTER_BOUND('',#15127,.T.); #7156=FACE_OUTER_BOUND('',#15128,.T.); #7157=FACE_OUTER_BOUND('',#15129,.T.); #7158=FACE_OUTER_BOUND('',#15130,.T.); #7159=FACE_OUTER_BOUND('',#15131,.T.); #7160=FACE_OUTER_BOUND('',#15132,.T.); #7161=FACE_OUTER_BOUND('',#15133,.T.); #7162=FACE_OUTER_BOUND('',#15134,.T.); #7163=FACE_OUTER_BOUND('',#15135,.T.); #7164=FACE_OUTER_BOUND('',#15136,.T.); #7165=FACE_OUTER_BOUND('',#15137,.T.); #7166=FACE_OUTER_BOUND('',#15138,.T.); #7167=FACE_OUTER_BOUND('',#15139,.T.); #7168=FACE_OUTER_BOUND('',#15140,.T.); #7169=FACE_OUTER_BOUND('',#15141,.T.); #7170=FACE_OUTER_BOUND('',#15142,.T.); #7171=FACE_OUTER_BOUND('',#15143,.T.); #7172=FACE_OUTER_BOUND('',#15144,.T.); #7173=FACE_OUTER_BOUND('',#15145,.T.); #7174=FACE_OUTER_BOUND('',#15146,.T.); #7175=FACE_OUTER_BOUND('',#15147,.T.); #7176=FACE_OUTER_BOUND('',#15148,.T.); #7177=FACE_OUTER_BOUND('',#15149,.T.); #7178=FACE_OUTER_BOUND('',#15150,.T.); #7179=FACE_OUTER_BOUND('',#15151,.T.); #7180=FACE_OUTER_BOUND('',#15152,.T.); #7181=FACE_OUTER_BOUND('',#15153,.T.); #7182=FACE_OUTER_BOUND('',#15154,.T.); #7183=FACE_OUTER_BOUND('',#15155,.T.); #7184=FACE_OUTER_BOUND('',#15156,.T.); #7185=FACE_OUTER_BOUND('',#15157,.T.); #7186=FACE_OUTER_BOUND('',#15158,.T.); #7187=FACE_OUTER_BOUND('',#15159,.T.); #7188=FACE_OUTER_BOUND('',#15160,.T.); #7189=FACE_OUTER_BOUND('',#15161,.T.); #7190=FACE_OUTER_BOUND('',#15162,.T.); #7191=FACE_OUTER_BOUND('',#15163,.T.); #7192=FACE_OUTER_BOUND('',#15164,.T.); #7193=FACE_OUTER_BOUND('',#15165,.T.); #7194=FACE_OUTER_BOUND('',#15166,.T.); #7195=FACE_OUTER_BOUND('',#15167,.T.); #7196=FACE_OUTER_BOUND('',#15168,.T.); #7197=FACE_OUTER_BOUND('',#15169,.T.); #7198=FACE_OUTER_BOUND('',#15170,.T.); #7199=FACE_OUTER_BOUND('',#15171,.T.); #7200=FACE_OUTER_BOUND('',#15172,.T.); #7201=FACE_OUTER_BOUND('',#15173,.T.); #7202=FACE_OUTER_BOUND('',#15174,.T.); #7203=FACE_OUTER_BOUND('',#15175,.T.); #7204=FACE_OUTER_BOUND('',#15176,.T.); #7205=FACE_OUTER_BOUND('',#15177,.T.); #7206=FACE_OUTER_BOUND('',#15178,.T.); #7207=FACE_OUTER_BOUND('',#15179,.T.); #7208=FACE_OUTER_BOUND('',#15180,.T.); #7209=FACE_OUTER_BOUND('',#15181,.T.); #7210=FACE_OUTER_BOUND('',#15182,.T.); #7211=FACE_OUTER_BOUND('',#15183,.T.); #7212=FACE_OUTER_BOUND('',#15184,.T.); #7213=FACE_OUTER_BOUND('',#15185,.T.); #7214=FACE_OUTER_BOUND('',#15186,.T.); #7215=FACE_OUTER_BOUND('',#15187,.T.); #7216=FACE_OUTER_BOUND('',#15188,.T.); #7217=FACE_OUTER_BOUND('',#15189,.T.); #7218=FACE_OUTER_BOUND('',#15190,.T.); #7219=FACE_OUTER_BOUND('',#15191,.T.); #7220=FACE_OUTER_BOUND('',#15192,.T.); #7221=FACE_OUTER_BOUND('',#15193,.T.); #7222=FACE_OUTER_BOUND('',#15194,.T.); #7223=FACE_OUTER_BOUND('',#15195,.T.); #7224=FACE_OUTER_BOUND('',#15196,.T.); #7225=FACE_OUTER_BOUND('',#15197,.T.); #7226=FACE_OUTER_BOUND('',#15198,.T.); #7227=FACE_OUTER_BOUND('',#15199,.T.); #7228=FACE_OUTER_BOUND('',#15200,.T.); #7229=FACE_OUTER_BOUND('',#15201,.T.); #7230=FACE_OUTER_BOUND('',#15202,.T.); #7231=FACE_OUTER_BOUND('',#15203,.T.); #7232=FACE_OUTER_BOUND('',#15204,.T.); #7233=FACE_OUTER_BOUND('',#15205,.T.); #7234=FACE_OUTER_BOUND('',#15206,.T.); #7235=FACE_OUTER_BOUND('',#15207,.T.); #7236=FACE_OUTER_BOUND('',#15208,.T.); #7237=FACE_OUTER_BOUND('',#15209,.T.); #7238=FACE_OUTER_BOUND('',#15210,.T.); #7239=FACE_OUTER_BOUND('',#15211,.T.); #7240=FACE_OUTER_BOUND('',#15212,.T.); #7241=FACE_OUTER_BOUND('',#15213,.T.); #7242=FACE_OUTER_BOUND('',#15214,.T.); #7243=FACE_OUTER_BOUND('',#15215,.T.); #7244=FACE_OUTER_BOUND('',#15216,.T.); #7245=FACE_OUTER_BOUND('',#15217,.T.); #7246=FACE_OUTER_BOUND('',#15218,.T.); #7247=FACE_OUTER_BOUND('',#15219,.T.); #7248=FACE_OUTER_BOUND('',#15220,.T.); #7249=FACE_OUTER_BOUND('',#15221,.T.); #7250=FACE_OUTER_BOUND('',#15222,.T.); #7251=FACE_OUTER_BOUND('',#15223,.T.); #7252=FACE_OUTER_BOUND('',#15224,.T.); #7253=FACE_OUTER_BOUND('',#15225,.T.); #7254=FACE_OUTER_BOUND('',#15226,.T.); #7255=FACE_OUTER_BOUND('',#15227,.T.); #7256=FACE_OUTER_BOUND('',#15228,.T.); #7257=FACE_OUTER_BOUND('',#15229,.T.); #7258=FACE_OUTER_BOUND('',#15230,.T.); #7259=FACE_OUTER_BOUND('',#15231,.T.); #7260=FACE_OUTER_BOUND('',#15232,.T.); #7261=FACE_OUTER_BOUND('',#15233,.T.); #7262=FACE_OUTER_BOUND('',#15234,.T.); #7263=FACE_OUTER_BOUND('',#15235,.T.); #7264=FACE_OUTER_BOUND('',#15236,.T.); #7265=FACE_OUTER_BOUND('',#15237,.T.); #7266=FACE_OUTER_BOUND('',#15238,.T.); #7267=FACE_OUTER_BOUND('',#15239,.T.); #7268=FACE_OUTER_BOUND('',#15240,.T.); #7269=FACE_OUTER_BOUND('',#15241,.T.); #7270=FACE_OUTER_BOUND('',#15242,.T.); #7271=FACE_OUTER_BOUND('',#15243,.T.); #7272=FACE_OUTER_BOUND('',#15244,.T.); #7273=FACE_OUTER_BOUND('',#15245,.T.); #7274=FACE_OUTER_BOUND('',#15246,.T.); #7275=FACE_OUTER_BOUND('',#15247,.T.); #7276=FACE_OUTER_BOUND('',#15248,.T.); #7277=FACE_OUTER_BOUND('',#15249,.T.); #7278=FACE_OUTER_BOUND('',#15250,.T.); #7279=FACE_OUTER_BOUND('',#15251,.T.); #7280=FACE_OUTER_BOUND('',#15252,.T.); #7281=FACE_OUTER_BOUND('',#15253,.T.); #7282=FACE_OUTER_BOUND('',#15254,.T.); #7283=FACE_OUTER_BOUND('',#15255,.T.); #7284=FACE_OUTER_BOUND('',#15256,.T.); #7285=FACE_OUTER_BOUND('',#15257,.T.); #7286=FACE_OUTER_BOUND('',#15258,.T.); #7287=FACE_OUTER_BOUND('',#15259,.T.); #7288=FACE_OUTER_BOUND('',#15260,.T.); #7289=FACE_OUTER_BOUND('',#15261,.T.); #7290=FACE_OUTER_BOUND('',#15262,.T.); #7291=FACE_OUTER_BOUND('',#15263,.T.); #7292=FACE_OUTER_BOUND('',#15264,.T.); #7293=FACE_OUTER_BOUND('',#15265,.T.); #7294=FACE_OUTER_BOUND('',#15266,.T.); #7295=FACE_OUTER_BOUND('',#15267,.T.); #7296=FACE_OUTER_BOUND('',#15268,.T.); #7297=FACE_OUTER_BOUND('',#15269,.T.); #7298=FACE_OUTER_BOUND('',#15270,.T.); #7299=FACE_OUTER_BOUND('',#15271,.T.); #7300=FACE_OUTER_BOUND('',#15272,.T.); #7301=FACE_OUTER_BOUND('',#15273,.T.); #7302=FACE_OUTER_BOUND('',#15274,.T.); #7303=FACE_OUTER_BOUND('',#15275,.T.); #7304=FACE_OUTER_BOUND('',#15276,.T.); #7305=FACE_OUTER_BOUND('',#15277,.T.); #7306=FACE_OUTER_BOUND('',#15278,.T.); #7307=FACE_OUTER_BOUND('',#15279,.T.); #7308=FACE_OUTER_BOUND('',#15280,.T.); #7309=FACE_OUTER_BOUND('',#15281,.T.); #7310=FACE_OUTER_BOUND('',#15282,.T.); #7311=FACE_OUTER_BOUND('',#15283,.T.); #7312=FACE_OUTER_BOUND('',#15284,.T.); #7313=FACE_OUTER_BOUND('',#15285,.T.); #7314=FACE_OUTER_BOUND('',#15286,.T.); #7315=FACE_OUTER_BOUND('',#15287,.T.); #7316=FACE_OUTER_BOUND('',#15288,.T.); #7317=FACE_OUTER_BOUND('',#15289,.T.); #7318=FACE_OUTER_BOUND('',#15290,.T.); #7319=FACE_OUTER_BOUND('',#15291,.T.); #7320=FACE_OUTER_BOUND('',#15292,.T.); #7321=FACE_OUTER_BOUND('',#15293,.T.); #7322=FACE_OUTER_BOUND('',#15294,.T.); #7323=FACE_OUTER_BOUND('',#15295,.T.); #7324=FACE_OUTER_BOUND('',#15296,.T.); #7325=FACE_OUTER_BOUND('',#15297,.T.); #7326=FACE_OUTER_BOUND('',#15298,.T.); #7327=FACE_OUTER_BOUND('',#15299,.T.); #7328=FACE_OUTER_BOUND('',#15300,.T.); #7329=FACE_OUTER_BOUND('',#15301,.T.); #7330=FACE_OUTER_BOUND('',#15302,.T.); #7331=FACE_OUTER_BOUND('',#15303,.T.); #7332=FACE_OUTER_BOUND('',#15304,.T.); #7333=FACE_OUTER_BOUND('',#15305,.T.); #7334=FACE_OUTER_BOUND('',#15306,.T.); #7335=FACE_OUTER_BOUND('',#15307,.T.); #7336=FACE_OUTER_BOUND('',#15308,.T.); #7337=FACE_OUTER_BOUND('',#15309,.T.); #7338=FACE_OUTER_BOUND('',#15310,.T.); #7339=FACE_OUTER_BOUND('',#15311,.T.); #7340=FACE_OUTER_BOUND('',#15312,.T.); #7341=FACE_OUTER_BOUND('',#15313,.T.); #7342=FACE_OUTER_BOUND('',#15314,.T.); #7343=FACE_OUTER_BOUND('',#15315,.T.); #7344=FACE_OUTER_BOUND('',#15316,.T.); #7345=FACE_OUTER_BOUND('',#15317,.T.); #7346=FACE_OUTER_BOUND('',#15318,.T.); #7347=FACE_OUTER_BOUND('',#15319,.T.); #7348=FACE_OUTER_BOUND('',#15320,.T.); #7349=FACE_OUTER_BOUND('',#15321,.T.); #7350=FACE_OUTER_BOUND('',#15322,.T.); #7351=FACE_OUTER_BOUND('',#15323,.T.); #7352=FACE_OUTER_BOUND('',#15324,.T.); #7353=FACE_OUTER_BOUND('',#15325,.T.); #7354=FACE_OUTER_BOUND('',#15326,.T.); #7355=FACE_OUTER_BOUND('',#15327,.T.); #7356=FACE_OUTER_BOUND('',#15328,.T.); #7357=FACE_OUTER_BOUND('',#15329,.T.); #7358=FACE_OUTER_BOUND('',#15330,.T.); #7359=FACE_OUTER_BOUND('',#15331,.T.); #7360=FACE_OUTER_BOUND('',#15332,.T.); #7361=FACE_OUTER_BOUND('',#15333,.T.); #7362=FACE_OUTER_BOUND('',#15334,.T.); #7363=FACE_OUTER_BOUND('',#15335,.T.); #7364=FACE_OUTER_BOUND('',#15336,.T.); #7365=FACE_OUTER_BOUND('',#15337,.T.); #7366=FACE_OUTER_BOUND('',#15338,.T.); #7367=FACE_OUTER_BOUND('',#15339,.T.); #7368=FACE_OUTER_BOUND('',#15340,.T.); #7369=FACE_OUTER_BOUND('',#15341,.T.); #7370=FACE_OUTER_BOUND('',#15342,.T.); #7371=FACE_OUTER_BOUND('',#15343,.T.); #7372=FACE_OUTER_BOUND('',#15344,.T.); #7373=FACE_OUTER_BOUND('',#15345,.T.); #7374=FACE_OUTER_BOUND('',#15346,.T.); #7375=FACE_OUTER_BOUND('',#15347,.T.); #7376=FACE_OUTER_BOUND('',#15348,.T.); #7377=FACE_OUTER_BOUND('',#15349,.T.); #7378=FACE_OUTER_BOUND('',#15350,.T.); #7379=FACE_OUTER_BOUND('',#15351,.T.); #7380=FACE_OUTER_BOUND('',#15352,.T.); #7381=FACE_OUTER_BOUND('',#15353,.T.); #7382=FACE_OUTER_BOUND('',#15354,.T.); #7383=FACE_OUTER_BOUND('',#15355,.T.); #7384=FACE_OUTER_BOUND('',#15356,.T.); #7385=FACE_OUTER_BOUND('',#15357,.T.); #7386=FACE_OUTER_BOUND('',#15358,.T.); #7387=FACE_OUTER_BOUND('',#15359,.T.); #7388=FACE_OUTER_BOUND('',#15360,.T.); #7389=FACE_OUTER_BOUND('',#15361,.T.); #7390=FACE_OUTER_BOUND('',#15362,.T.); #7391=FACE_OUTER_BOUND('',#15363,.T.); #7392=FACE_OUTER_BOUND('',#15364,.T.); #7393=FACE_OUTER_BOUND('',#15365,.T.); #7394=FACE_OUTER_BOUND('',#15366,.T.); #7395=FACE_OUTER_BOUND('',#15367,.T.); #7396=FACE_OUTER_BOUND('',#15368,.T.); #7397=FACE_OUTER_BOUND('',#15369,.T.); #7398=FACE_OUTER_BOUND('',#15370,.T.); #7399=FACE_OUTER_BOUND('',#15371,.T.); #7400=FACE_OUTER_BOUND('',#15372,.T.); #7401=FACE_OUTER_BOUND('',#15373,.T.); #7402=FACE_OUTER_BOUND('',#15374,.T.); #7403=FACE_OUTER_BOUND('',#15375,.T.); #7404=FACE_OUTER_BOUND('',#15376,.T.); #7405=FACE_OUTER_BOUND('',#15377,.T.); #7406=FACE_OUTER_BOUND('',#15378,.T.); #7407=FACE_OUTER_BOUND('',#15379,.T.); #7408=FACE_OUTER_BOUND('',#15380,.T.); #7409=FACE_OUTER_BOUND('',#15381,.T.); #7410=FACE_OUTER_BOUND('',#15382,.T.); #7411=FACE_OUTER_BOUND('',#15383,.T.); #7412=FACE_OUTER_BOUND('',#15384,.T.); #7413=FACE_OUTER_BOUND('',#15385,.T.); #7414=FACE_OUTER_BOUND('',#15386,.T.); #7415=FACE_OUTER_BOUND('',#15387,.T.); #7416=FACE_OUTER_BOUND('',#15388,.T.); #7417=FACE_OUTER_BOUND('',#15389,.T.); #7418=FACE_OUTER_BOUND('',#15390,.T.); #7419=FACE_OUTER_BOUND('',#15391,.T.); #7420=FACE_OUTER_BOUND('',#15392,.T.); #7421=FACE_OUTER_BOUND('',#15393,.T.); #7422=FACE_OUTER_BOUND('',#15394,.T.); #7423=FACE_OUTER_BOUND('',#15395,.T.); #7424=FACE_OUTER_BOUND('',#15396,.T.); #7425=FACE_OUTER_BOUND('',#15397,.T.); #7426=FACE_OUTER_BOUND('',#15398,.T.); #7427=FACE_OUTER_BOUND('',#15399,.T.); #7428=FACE_OUTER_BOUND('',#15400,.T.); #7429=FACE_OUTER_BOUND('',#15401,.T.); #7430=FACE_OUTER_BOUND('',#15402,.T.); #7431=FACE_OUTER_BOUND('',#15403,.T.); #7432=FACE_OUTER_BOUND('',#15404,.T.); #7433=FACE_OUTER_BOUND('',#15405,.T.); #7434=FACE_OUTER_BOUND('',#15406,.T.); #7435=FACE_OUTER_BOUND('',#15407,.T.); #7436=FACE_OUTER_BOUND('',#15408,.T.); #7437=FACE_OUTER_BOUND('',#15409,.T.); #7438=FACE_OUTER_BOUND('',#15410,.T.); #7439=FACE_OUTER_BOUND('',#15411,.T.); #7440=FACE_OUTER_BOUND('',#15412,.T.); #7441=FACE_OUTER_BOUND('',#15413,.T.); #7442=FACE_OUTER_BOUND('',#15414,.T.); #7443=FACE_OUTER_BOUND('',#15415,.T.); #7444=FACE_OUTER_BOUND('',#15416,.T.); #7445=FACE_OUTER_BOUND('',#15417,.T.); #7446=FACE_OUTER_BOUND('',#15418,.T.); #7447=FACE_OUTER_BOUND('',#15419,.T.); #7448=FACE_OUTER_BOUND('',#15420,.T.); #7449=FACE_OUTER_BOUND('',#15421,.T.); #7450=FACE_OUTER_BOUND('',#15422,.T.); #7451=FACE_OUTER_BOUND('',#15423,.T.); #7452=FACE_OUTER_BOUND('',#15424,.T.); #7453=FACE_OUTER_BOUND('',#15425,.T.); #7454=FACE_OUTER_BOUND('',#15426,.T.); #7455=FACE_OUTER_BOUND('',#15427,.T.); #7456=FACE_OUTER_BOUND('',#15428,.T.); #7457=FACE_OUTER_BOUND('',#15429,.T.); #7458=FACE_OUTER_BOUND('',#15430,.T.); #7459=FACE_OUTER_BOUND('',#15431,.T.); #7460=FACE_OUTER_BOUND('',#15432,.T.); #7461=FACE_OUTER_BOUND('',#15433,.T.); #7462=FACE_OUTER_BOUND('',#15434,.T.); #7463=FACE_OUTER_BOUND('',#15435,.T.); #7464=FACE_OUTER_BOUND('',#15436,.T.); #7465=FACE_OUTER_BOUND('',#15437,.T.); #7466=FACE_OUTER_BOUND('',#15438,.T.); #7467=FACE_OUTER_BOUND('',#15439,.T.); #7468=FACE_OUTER_BOUND('',#15440,.T.); #7469=FACE_OUTER_BOUND('',#15441,.T.); #7470=FACE_OUTER_BOUND('',#15442,.T.); #7471=FACE_OUTER_BOUND('',#15443,.T.); #7472=FACE_OUTER_BOUND('',#15444,.T.); #7473=FACE_OUTER_BOUND('',#15445,.T.); #7474=FACE_OUTER_BOUND('',#15446,.T.); #7475=FACE_OUTER_BOUND('',#15447,.T.); #7476=FACE_OUTER_BOUND('',#15448,.T.); #7477=FACE_OUTER_BOUND('',#15449,.T.); #7478=FACE_OUTER_BOUND('',#15450,.T.); #7479=FACE_OUTER_BOUND('',#15451,.T.); #7480=FACE_OUTER_BOUND('',#15452,.T.); #7481=FACE_OUTER_BOUND('',#15453,.T.); #7482=FACE_OUTER_BOUND('',#15454,.T.); #7483=FACE_OUTER_BOUND('',#15455,.T.); #7484=FACE_OUTER_BOUND('',#15456,.T.); #7485=FACE_OUTER_BOUND('',#15457,.T.); #7486=FACE_OUTER_BOUND('',#15458,.T.); #7487=FACE_OUTER_BOUND('',#15459,.T.); #7488=FACE_OUTER_BOUND('',#15460,.T.); #7489=FACE_OUTER_BOUND('',#15461,.T.); #7490=FACE_OUTER_BOUND('',#15462,.T.); #7491=FACE_OUTER_BOUND('',#15463,.T.); #7492=FACE_OUTER_BOUND('',#15464,.T.); #7493=FACE_OUTER_BOUND('',#15465,.T.); #7494=FACE_OUTER_BOUND('',#15466,.T.); #7495=FACE_OUTER_BOUND('',#15467,.T.); #7496=FACE_OUTER_BOUND('',#15468,.T.); #7497=FACE_OUTER_BOUND('',#15469,.T.); #7498=FACE_OUTER_BOUND('',#15470,.T.); #7499=FACE_OUTER_BOUND('',#15471,.T.); #7500=FACE_OUTER_BOUND('',#15472,.T.); #7501=FACE_OUTER_BOUND('',#15473,.T.); #7502=FACE_OUTER_BOUND('',#15474,.T.); #7503=FACE_OUTER_BOUND('',#15475,.T.); #7504=FACE_OUTER_BOUND('',#15476,.T.); #7505=FACE_OUTER_BOUND('',#15477,.T.); #7506=FACE_OUTER_BOUND('',#15478,.T.); #7507=FACE_OUTER_BOUND('',#15479,.T.); #7508=FACE_OUTER_BOUND('',#15480,.T.); #7509=FACE_OUTER_BOUND('',#15481,.T.); #7510=FACE_OUTER_BOUND('',#15482,.T.); #7511=FACE_OUTER_BOUND('',#15483,.T.); #7512=FACE_OUTER_BOUND('',#15484,.T.); #7513=FACE_OUTER_BOUND('',#15485,.T.); #7514=FACE_OUTER_BOUND('',#15486,.T.); #7515=FACE_OUTER_BOUND('',#15487,.T.); #7516=FACE_OUTER_BOUND('',#15488,.T.); #7517=FACE_OUTER_BOUND('',#15489,.T.); #7518=FACE_OUTER_BOUND('',#15490,.T.); #7519=FACE_OUTER_BOUND('',#15491,.T.); #7520=FACE_OUTER_BOUND('',#15492,.T.); #7521=FACE_OUTER_BOUND('',#15493,.T.); #7522=FACE_OUTER_BOUND('',#15494,.T.); #7523=FACE_OUTER_BOUND('',#15495,.T.); #7524=FACE_OUTER_BOUND('',#15496,.T.); #7525=FACE_OUTER_BOUND('',#15497,.T.); #7526=FACE_OUTER_BOUND('',#15498,.T.); #7527=FACE_OUTER_BOUND('',#15499,.T.); #7528=FACE_OUTER_BOUND('',#15500,.T.); #7529=FACE_OUTER_BOUND('',#15501,.T.); #7530=FACE_OUTER_BOUND('',#15502,.T.); #7531=FACE_OUTER_BOUND('',#15503,.T.); #7532=FACE_OUTER_BOUND('',#15504,.T.); #7533=FACE_OUTER_BOUND('',#15507,.T.); #7534=FACE_OUTER_BOUND('',#15508,.T.); #7535=FACE_OUTER_BOUND('',#15509,.T.); #7536=FACE_OUTER_BOUND('',#15510,.T.); #7537=FACE_OUTER_BOUND('',#15511,.T.); #7538=FACE_OUTER_BOUND('',#15512,.T.); #7539=FACE_OUTER_BOUND('',#15513,.T.); #7540=FACE_OUTER_BOUND('',#15514,.T.); #7541=FACE_OUTER_BOUND('',#15515,.T.); #7542=FACE_OUTER_BOUND('',#15516,.T.); #7543=FACE_OUTER_BOUND('',#15517,.T.); #7544=FACE_OUTER_BOUND('',#15518,.T.); #7545=FACE_OUTER_BOUND('',#15519,.T.); #7546=FACE_OUTER_BOUND('',#15520,.T.); #7547=FACE_OUTER_BOUND('',#15521,.T.); #7548=FACE_OUTER_BOUND('',#15522,.T.); #7549=FACE_OUTER_BOUND('',#15523,.T.); #7550=FACE_OUTER_BOUND('',#15524,.T.); #7551=FACE_OUTER_BOUND('',#15525,.T.); #7552=FACE_OUTER_BOUND('',#15526,.T.); #7553=FACE_OUTER_BOUND('',#15527,.T.); #7554=FACE_OUTER_BOUND('',#15528,.T.); #7555=FACE_OUTER_BOUND('',#15529,.T.); #7556=FACE_OUTER_BOUND('',#15530,.T.); #7557=FACE_OUTER_BOUND('',#15531,.T.); #7558=FACE_OUTER_BOUND('',#15532,.T.); #7559=FACE_OUTER_BOUND('',#15533,.T.); #7560=FACE_OUTER_BOUND('',#15534,.T.); #7561=FACE_OUTER_BOUND('',#15535,.T.); #7562=FACE_OUTER_BOUND('',#15536,.T.); #7563=FACE_OUTER_BOUND('',#15537,.T.); #7564=FACE_OUTER_BOUND('',#15538,.T.); #7565=FACE_OUTER_BOUND('',#15539,.T.); #7566=FACE_OUTER_BOUND('',#15540,.T.); #7567=FACE_OUTER_BOUND('',#15541,.T.); #7568=FACE_OUTER_BOUND('',#15542,.T.); #7569=FACE_OUTER_BOUND('',#15543,.T.); #7570=FACE_OUTER_BOUND('',#15544,.T.); #7571=FACE_OUTER_BOUND('',#15545,.T.); #7572=FACE_OUTER_BOUND('',#15546,.T.); #7573=FACE_OUTER_BOUND('',#15547,.T.); #7574=FACE_OUTER_BOUND('',#15548,.T.); #7575=FACE_OUTER_BOUND('',#15549,.T.); #7576=FACE_OUTER_BOUND('',#15550,.T.); #7577=FACE_OUTER_BOUND('',#15551,.T.); #7578=FACE_OUTER_BOUND('',#15552,.T.); #7579=FACE_OUTER_BOUND('',#15553,.T.); #7580=FACE_OUTER_BOUND('',#15554,.T.); #7581=FACE_OUTER_BOUND('',#15555,.T.); #7582=FACE_OUTER_BOUND('',#15556,.T.); #7583=FACE_OUTER_BOUND('',#15557,.T.); #7584=FACE_OUTER_BOUND('',#15558,.T.); #7585=FACE_OUTER_BOUND('',#15559,.T.); #7586=FACE_OUTER_BOUND('',#15560,.T.); #7587=FACE_OUTER_BOUND('',#15561,.T.); #7588=FACE_OUTER_BOUND('',#15562,.T.); #7589=FACE_OUTER_BOUND('',#15563,.T.); #7590=FACE_OUTER_BOUND('',#15564,.T.); #7591=FACE_OUTER_BOUND('',#15565,.T.); #7592=FACE_OUTER_BOUND('',#15566,.T.); #7593=FACE_OUTER_BOUND('',#15567,.T.); #7594=FACE_OUTER_BOUND('',#15568,.T.); #7595=FACE_OUTER_BOUND('',#15569,.T.); #7596=FACE_OUTER_BOUND('',#15570,.T.); #7597=FACE_OUTER_BOUND('',#15571,.T.); #7598=FACE_OUTER_BOUND('',#15573,.T.); #7599=FACE_OUTER_BOUND('',#15574,.T.); #7600=FACE_OUTER_BOUND('',#15575,.T.); #7601=FACE_OUTER_BOUND('',#15576,.T.); #7602=FACE_OUTER_BOUND('',#15577,.T.); #7603=FACE_OUTER_BOUND('',#15578,.T.); #7604=FACE_OUTER_BOUND('',#15579,.T.); #7605=FACE_OUTER_BOUND('',#15580,.T.); #7606=FACE_OUTER_BOUND('',#15581,.T.); #7607=FACE_OUTER_BOUND('',#15582,.T.); #7608=FACE_OUTER_BOUND('',#15583,.T.); #7609=FACE_OUTER_BOUND('',#15584,.T.); #7610=FACE_OUTER_BOUND('',#15585,.T.); #7611=FACE_OUTER_BOUND('',#15586,.T.); #7612=FACE_OUTER_BOUND('',#15587,.T.); #7613=FACE_OUTER_BOUND('',#15588,.T.); #7614=FACE_OUTER_BOUND('',#15589,.T.); #7615=FACE_OUTER_BOUND('',#15590,.T.); #7616=FACE_OUTER_BOUND('',#15591,.T.); #7617=FACE_OUTER_BOUND('',#15592,.T.); #7618=FACE_OUTER_BOUND('',#15593,.T.); #7619=FACE_OUTER_BOUND('',#15594,.T.); #7620=FACE_OUTER_BOUND('',#15595,.T.); #7621=FACE_OUTER_BOUND('',#15596,.T.); #7622=FACE_OUTER_BOUND('',#15597,.T.); #7623=FACE_OUTER_BOUND('',#15598,.T.); #7624=FACE_OUTER_BOUND('',#15599,.T.); #7625=FACE_OUTER_BOUND('',#15600,.T.); #7626=FACE_OUTER_BOUND('',#15601,.T.); #7627=FACE_OUTER_BOUND('',#15602,.T.); #7628=FACE_OUTER_BOUND('',#15603,.T.); #7629=FACE_OUTER_BOUND('',#15604,.T.); #7630=FACE_OUTER_BOUND('',#15605,.T.); #7631=FACE_OUTER_BOUND('',#15606,.T.); #7632=FACE_OUTER_BOUND('',#15607,.T.); #7633=FACE_OUTER_BOUND('',#15608,.T.); #7634=FACE_OUTER_BOUND('',#15609,.T.); #7635=FACE_OUTER_BOUND('',#15610,.T.); #7636=FACE_OUTER_BOUND('',#15611,.T.); #7637=FACE_OUTER_BOUND('',#15612,.T.); #7638=FACE_OUTER_BOUND('',#15613,.T.); #7639=FACE_OUTER_BOUND('',#15614,.T.); #7640=FACE_OUTER_BOUND('',#15615,.T.); #7641=FACE_OUTER_BOUND('',#15616,.T.); #7642=FACE_OUTER_BOUND('',#15617,.T.); #7643=FACE_OUTER_BOUND('',#15618,.T.); #7644=FACE_OUTER_BOUND('',#15619,.T.); #7645=FACE_OUTER_BOUND('',#15620,.T.); #7646=FACE_OUTER_BOUND('',#15621,.T.); #7647=FACE_OUTER_BOUND('',#15622,.T.); #7648=FACE_OUTER_BOUND('',#15623,.T.); #7649=FACE_OUTER_BOUND('',#15624,.T.); #7650=FACE_OUTER_BOUND('',#15625,.T.); #7651=FACE_OUTER_BOUND('',#15626,.T.); #7652=FACE_OUTER_BOUND('',#15627,.T.); #7653=FACE_OUTER_BOUND('',#15628,.T.); #7654=FACE_OUTER_BOUND('',#15629,.T.); #7655=FACE_OUTER_BOUND('',#15630,.T.); #7656=FACE_OUTER_BOUND('',#15631,.T.); #7657=FACE_OUTER_BOUND('',#15632,.T.); #7658=FACE_OUTER_BOUND('',#15633,.T.); #7659=FACE_OUTER_BOUND('',#15634,.T.); #7660=FACE_OUTER_BOUND('',#15635,.T.); #7661=FACE_OUTER_BOUND('',#15636,.T.); #7662=FACE_OUTER_BOUND('',#15637,.T.); #7663=FACE_OUTER_BOUND('',#15638,.T.); #7664=FACE_OUTER_BOUND('',#15639,.T.); #7665=FACE_OUTER_BOUND('',#15640,.T.); #7666=FACE_OUTER_BOUND('',#15641,.T.); #7667=FACE_OUTER_BOUND('',#15642,.T.); #7668=FACE_OUTER_BOUND('',#15643,.T.); #7669=FACE_OUTER_BOUND('',#15644,.T.); #7670=FACE_OUTER_BOUND('',#15645,.T.); #7671=FACE_OUTER_BOUND('',#15646,.T.); #7672=FACE_OUTER_BOUND('',#15647,.T.); #7673=FACE_OUTER_BOUND('',#15648,.T.); #7674=FACE_OUTER_BOUND('',#15649,.T.); #7675=FACE_OUTER_BOUND('',#15650,.T.); #7676=FACE_OUTER_BOUND('',#15651,.T.); #7677=FACE_OUTER_BOUND('',#15652,.T.); #7678=FACE_OUTER_BOUND('',#15653,.T.); #7679=FACE_OUTER_BOUND('',#15654,.T.); #7680=FACE_OUTER_BOUND('',#15655,.T.); #7681=FACE_OUTER_BOUND('',#15656,.T.); #7682=FACE_OUTER_BOUND('',#15657,.T.); #7683=FACE_OUTER_BOUND('',#15658,.T.); #7684=FACE_OUTER_BOUND('',#15659,.T.); #7685=FACE_OUTER_BOUND('',#15660,.T.); #7686=FACE_OUTER_BOUND('',#15661,.T.); #7687=FACE_OUTER_BOUND('',#15662,.T.); #7688=FACE_OUTER_BOUND('',#15663,.T.); #7689=FACE_OUTER_BOUND('',#15664,.T.); #7690=FACE_OUTER_BOUND('',#15665,.T.); #7691=FACE_OUTER_BOUND('',#15666,.T.); #7692=FACE_OUTER_BOUND('',#15667,.T.); #7693=FACE_OUTER_BOUND('',#15668,.T.); #7694=FACE_OUTER_BOUND('',#15669,.T.); #7695=FACE_OUTER_BOUND('',#15670,.T.); #7696=FACE_OUTER_BOUND('',#15671,.T.); #7697=FACE_OUTER_BOUND('',#15672,.T.); #7698=FACE_OUTER_BOUND('',#15673,.T.); #7699=FACE_OUTER_BOUND('',#15674,.T.); #7700=FACE_OUTER_BOUND('',#15675,.T.); #7701=FACE_OUTER_BOUND('',#15676,.T.); #7702=FACE_OUTER_BOUND('',#15677,.T.); #7703=FACE_OUTER_BOUND('',#15678,.T.); #7704=FACE_OUTER_BOUND('',#15679,.T.); #7705=FACE_OUTER_BOUND('',#15680,.T.); #7706=FACE_OUTER_BOUND('',#15681,.T.); #7707=FACE_OUTER_BOUND('',#15682,.T.); #7708=FACE_OUTER_BOUND('',#15683,.T.); #7709=FACE_OUTER_BOUND('',#15684,.T.); #7710=FACE_OUTER_BOUND('',#15685,.T.); #7711=FACE_OUTER_BOUND('',#15686,.T.); #7712=FACE_OUTER_BOUND('',#15687,.T.); #7713=FACE_OUTER_BOUND('',#15688,.T.); #7714=FACE_OUTER_BOUND('',#15689,.T.); #7715=FACE_OUTER_BOUND('',#15690,.T.); #7716=FACE_OUTER_BOUND('',#15691,.T.); #7717=FACE_OUTER_BOUND('',#15692,.T.); #7718=FACE_OUTER_BOUND('',#15693,.T.); #7719=FACE_OUTER_BOUND('',#15694,.T.); #7720=FACE_OUTER_BOUND('',#15695,.T.); #7721=FACE_OUTER_BOUND('',#15696,.T.); #7722=FACE_OUTER_BOUND('',#15697,.T.); #7723=FACE_OUTER_BOUND('',#15698,.T.); #7724=FACE_OUTER_BOUND('',#15699,.T.); #7725=FACE_OUTER_BOUND('',#15700,.T.); #7726=FACE_OUTER_BOUND('',#15701,.T.); #7727=FACE_OUTER_BOUND('',#15702,.T.); #7728=FACE_OUTER_BOUND('',#15703,.T.); #7729=FACE_OUTER_BOUND('',#15704,.T.); #7730=FACE_OUTER_BOUND('',#15705,.T.); #7731=FACE_OUTER_BOUND('',#15706,.T.); #7732=FACE_OUTER_BOUND('',#15707,.T.); #7733=FACE_OUTER_BOUND('',#15708,.T.); #7734=FACE_OUTER_BOUND('',#15709,.T.); #7735=FACE_OUTER_BOUND('',#15710,.T.); #7736=FACE_OUTER_BOUND('',#15711,.T.); #7737=FACE_OUTER_BOUND('',#15712,.T.); #7738=FACE_OUTER_BOUND('',#15713,.T.); #7739=FACE_OUTER_BOUND('',#15714,.T.); #7740=FACE_OUTER_BOUND('',#15715,.T.); #7741=FACE_OUTER_BOUND('',#15716,.T.); #7742=FACE_OUTER_BOUND('',#15717,.T.); #7743=FACE_OUTER_BOUND('',#15718,.T.); #7744=FACE_OUTER_BOUND('',#15719,.T.); #7745=FACE_OUTER_BOUND('',#15720,.T.); #7746=FACE_OUTER_BOUND('',#15721,.T.); #7747=FACE_OUTER_BOUND('',#15722,.T.); #7748=FACE_OUTER_BOUND('',#15723,.T.); #7749=FACE_OUTER_BOUND('',#15724,.T.); #7750=FACE_OUTER_BOUND('',#15725,.T.); #7751=FACE_OUTER_BOUND('',#15726,.T.); #7752=FACE_OUTER_BOUND('',#15727,.T.); #7753=FACE_OUTER_BOUND('',#15728,.T.); #7754=FACE_OUTER_BOUND('',#15729,.T.); #7755=FACE_OUTER_BOUND('',#15730,.T.); #7756=FACE_OUTER_BOUND('',#15731,.T.); #7757=FACE_OUTER_BOUND('',#15732,.T.); #7758=FACE_OUTER_BOUND('',#15733,.T.); #7759=FACE_OUTER_BOUND('',#15734,.T.); #7760=FACE_OUTER_BOUND('',#15735,.T.); #7761=FACE_OUTER_BOUND('',#15736,.T.); #7762=FACE_OUTER_BOUND('',#15737,.T.); #7763=FACE_OUTER_BOUND('',#15738,.T.); #7764=FACE_OUTER_BOUND('',#15739,.T.); #7765=FACE_OUTER_BOUND('',#15740,.T.); #7766=FACE_OUTER_BOUND('',#15741,.T.); #7767=FACE_OUTER_BOUND('',#15742,.T.); #7768=FACE_OUTER_BOUND('',#15743,.T.); #7769=FACE_OUTER_BOUND('',#15744,.T.); #7770=FACE_OUTER_BOUND('',#15745,.T.); #7771=FACE_OUTER_BOUND('',#15746,.T.); #7772=FACE_OUTER_BOUND('',#15747,.T.); #7773=FACE_OUTER_BOUND('',#15748,.T.); #7774=FACE_OUTER_BOUND('',#15749,.T.); #7775=FACE_OUTER_BOUND('',#15750,.T.); #7776=FACE_OUTER_BOUND('',#15751,.T.); #7777=FACE_OUTER_BOUND('',#15752,.T.); #7778=FACE_OUTER_BOUND('',#15753,.T.); #7779=FACE_OUTER_BOUND('',#15754,.T.); #7780=FACE_OUTER_BOUND('',#15755,.T.); #7781=FACE_OUTER_BOUND('',#15756,.T.); #7782=FACE_OUTER_BOUND('',#15757,.T.); #7783=FACE_OUTER_BOUND('',#15758,.T.); #7784=FACE_OUTER_BOUND('',#15759,.T.); #7785=FACE_OUTER_BOUND('',#15760,.T.); #7786=FACE_OUTER_BOUND('',#15761,.T.); #7787=FACE_OUTER_BOUND('',#15762,.T.); #7788=FACE_OUTER_BOUND('',#15763,.T.); #7789=FACE_OUTER_BOUND('',#15764,.T.); #7790=FACE_OUTER_BOUND('',#15765,.T.); #7791=FACE_OUTER_BOUND('',#15766,.T.); #7792=FACE_OUTER_BOUND('',#15767,.T.); #7793=FACE_OUTER_BOUND('',#15768,.T.); #7794=FACE_OUTER_BOUND('',#15769,.T.); #7795=FACE_OUTER_BOUND('',#15770,.T.); #7796=FACE_OUTER_BOUND('',#15771,.T.); #7797=FACE_OUTER_BOUND('',#15772,.T.); #7798=FACE_OUTER_BOUND('',#15773,.T.); #7799=FACE_OUTER_BOUND('',#15774,.T.); #7800=FACE_OUTER_BOUND('',#15775,.T.); #7801=FACE_OUTER_BOUND('',#15776,.T.); #7802=FACE_OUTER_BOUND('',#15777,.T.); #7803=FACE_OUTER_BOUND('',#15778,.T.); #7804=FACE_OUTER_BOUND('',#15779,.T.); #7805=FACE_OUTER_BOUND('',#15780,.T.); #7806=FACE_OUTER_BOUND('',#15781,.T.); #7807=FACE_OUTER_BOUND('',#15782,.T.); #7808=FACE_OUTER_BOUND('',#15783,.T.); #7809=FACE_OUTER_BOUND('',#15784,.T.); #7810=FACE_OUTER_BOUND('',#15785,.T.); #7811=FACE_OUTER_BOUND('',#15786,.T.); #7812=FACE_OUTER_BOUND('',#15787,.T.); #7813=FACE_OUTER_BOUND('',#15788,.T.); #7814=FACE_OUTER_BOUND('',#15789,.T.); #7815=FACE_OUTER_BOUND('',#15790,.T.); #7816=FACE_OUTER_BOUND('',#15791,.T.); #7817=FACE_OUTER_BOUND('',#15792,.T.); #7818=FACE_OUTER_BOUND('',#15793,.T.); #7819=FACE_OUTER_BOUND('',#15794,.T.); #7820=FACE_OUTER_BOUND('',#15795,.T.); #7821=FACE_OUTER_BOUND('',#15796,.T.); #7822=FACE_OUTER_BOUND('',#15797,.T.); #7823=FACE_OUTER_BOUND('',#15798,.T.); #7824=FACE_OUTER_BOUND('',#15799,.T.); #7825=FACE_OUTER_BOUND('',#15800,.T.); #7826=FACE_OUTER_BOUND('',#15801,.T.); #7827=FACE_OUTER_BOUND('',#15802,.T.); #7828=FACE_OUTER_BOUND('',#15803,.T.); #7829=FACE_OUTER_BOUND('',#15804,.T.); #7830=FACE_OUTER_BOUND('',#15805,.T.); #7831=FACE_OUTER_BOUND('',#15806,.T.); #7832=FACE_OUTER_BOUND('',#15807,.T.); #7833=FACE_OUTER_BOUND('',#15808,.T.); #7834=FACE_OUTER_BOUND('',#15809,.T.); #7835=FACE_OUTER_BOUND('',#15810,.T.); #7836=FACE_OUTER_BOUND('',#15811,.T.); #7837=FACE_OUTER_BOUND('',#15812,.T.); #7838=FACE_OUTER_BOUND('',#15813,.T.); #7839=FACE_OUTER_BOUND('',#15814,.T.); #7840=FACE_OUTER_BOUND('',#15815,.T.); #7841=FACE_OUTER_BOUND('',#15816,.T.); #7842=FACE_OUTER_BOUND('',#15817,.T.); #7843=FACE_OUTER_BOUND('',#15818,.T.); #7844=FACE_OUTER_BOUND('',#15819,.T.); #7845=FACE_OUTER_BOUND('',#15820,.T.); #7846=FACE_OUTER_BOUND('',#15821,.T.); #7847=FACE_OUTER_BOUND('',#15822,.T.); #7848=FACE_OUTER_BOUND('',#15823,.T.); #7849=FACE_OUTER_BOUND('',#15824,.T.); #7850=FACE_OUTER_BOUND('',#15825,.T.); #7851=FACE_OUTER_BOUND('',#15826,.T.); #7852=FACE_OUTER_BOUND('',#15827,.T.); #7853=FACE_OUTER_BOUND('',#15828,.T.); #7854=FACE_OUTER_BOUND('',#15829,.T.); #7855=FACE_OUTER_BOUND('',#15830,.T.); #7856=FACE_OUTER_BOUND('',#15831,.T.); #7857=FACE_OUTER_BOUND('',#15832,.T.); #7858=FACE_OUTER_BOUND('',#15833,.T.); #7859=FACE_OUTER_BOUND('',#15834,.T.); #7860=FACE_OUTER_BOUND('',#15835,.T.); #7861=FACE_OUTER_BOUND('',#15836,.T.); #7862=FACE_OUTER_BOUND('',#15837,.T.); #7863=FACE_OUTER_BOUND('',#15838,.T.); #7864=FACE_OUTER_BOUND('',#15839,.T.); #7865=FACE_OUTER_BOUND('',#15840,.T.); #7866=FACE_OUTER_BOUND('',#15841,.T.); #7867=FACE_OUTER_BOUND('',#15842,.T.); #7868=FACE_OUTER_BOUND('',#15843,.T.); #7869=FACE_OUTER_BOUND('',#15844,.T.); #7870=FACE_OUTER_BOUND('',#15845,.T.); #7871=FACE_OUTER_BOUND('',#15846,.T.); #7872=FACE_OUTER_BOUND('',#15847,.T.); #7873=FACE_OUTER_BOUND('',#15848,.T.); #7874=FACE_OUTER_BOUND('',#15849,.T.); #7875=FACE_OUTER_BOUND('',#15850,.T.); #7876=FACE_OUTER_BOUND('',#15851,.T.); #7877=FACE_OUTER_BOUND('',#15852,.T.); #7878=FACE_OUTER_BOUND('',#15853,.T.); #7879=FACE_OUTER_BOUND('',#15854,.T.); #7880=FACE_OUTER_BOUND('',#15855,.T.); #7881=FACE_OUTER_BOUND('',#15856,.T.); #7882=FACE_OUTER_BOUND('',#15857,.T.); #7883=FACE_OUTER_BOUND('',#15858,.T.); #7884=FACE_OUTER_BOUND('',#15859,.T.); #7885=FACE_OUTER_BOUND('',#15860,.T.); #7886=FACE_OUTER_BOUND('',#15861,.T.); #7887=FACE_OUTER_BOUND('',#15862,.T.); #7888=FACE_OUTER_BOUND('',#15863,.T.); #7889=FACE_OUTER_BOUND('',#15864,.T.); #7890=FACE_OUTER_BOUND('',#15865,.T.); #7891=FACE_OUTER_BOUND('',#15866,.T.); #7892=FACE_OUTER_BOUND('',#15867,.T.); #7893=FACE_OUTER_BOUND('',#15868,.T.); #7894=FACE_OUTER_BOUND('',#15869,.T.); #7895=FACE_OUTER_BOUND('',#15870,.T.); #7896=FACE_OUTER_BOUND('',#15871,.T.); #7897=FACE_OUTER_BOUND('',#15872,.T.); #7898=FACE_OUTER_BOUND('',#15873,.T.); #7899=FACE_OUTER_BOUND('',#15874,.T.); #7900=FACE_OUTER_BOUND('',#15875,.T.); #7901=FACE_OUTER_BOUND('',#15876,.T.); #7902=FACE_OUTER_BOUND('',#15877,.T.); #7903=FACE_OUTER_BOUND('',#15878,.T.); #7904=FACE_OUTER_BOUND('',#15879,.T.); #7905=FACE_OUTER_BOUND('',#15880,.T.); #7906=FACE_OUTER_BOUND('',#15881,.T.); #7907=FACE_OUTER_BOUND('',#15882,.T.); #7908=FACE_OUTER_BOUND('',#15883,.T.); #7909=FACE_OUTER_BOUND('',#15884,.T.); #7910=FACE_OUTER_BOUND('',#15885,.T.); #7911=FACE_OUTER_BOUND('',#15886,.T.); #7912=FACE_OUTER_BOUND('',#15887,.T.); #7913=FACE_OUTER_BOUND('',#15888,.T.); #7914=FACE_OUTER_BOUND('',#15889,.T.); #7915=FACE_OUTER_BOUND('',#15890,.T.); #7916=FACE_OUTER_BOUND('',#15891,.T.); #7917=FACE_OUTER_BOUND('',#15892,.T.); #7918=FACE_OUTER_BOUND('',#15893,.T.); #7919=FACE_OUTER_BOUND('',#15894,.T.); #7920=FACE_OUTER_BOUND('',#15895,.T.); #7921=FACE_OUTER_BOUND('',#15896,.T.); #7922=FACE_OUTER_BOUND('',#15897,.T.); #7923=FACE_OUTER_BOUND('',#15898,.T.); #7924=FACE_OUTER_BOUND('',#15899,.T.); #7925=FACE_OUTER_BOUND('',#15900,.T.); #7926=FACE_OUTER_BOUND('',#15901,.T.); #7927=FACE_OUTER_BOUND('',#15902,.T.); #7928=FACE_OUTER_BOUND('',#15903,.T.); #7929=FACE_OUTER_BOUND('',#15904,.T.); #7930=FACE_OUTER_BOUND('',#15905,.T.); #7931=FACE_OUTER_BOUND('',#15906,.T.); #7932=FACE_OUTER_BOUND('',#15907,.T.); #7933=FACE_OUTER_BOUND('',#15908,.T.); #7934=FACE_OUTER_BOUND('',#15909,.T.); #7935=FACE_OUTER_BOUND('',#15910,.T.); #7936=FACE_OUTER_BOUND('',#15911,.T.); #7937=FACE_OUTER_BOUND('',#15912,.T.); #7938=FACE_OUTER_BOUND('',#15913,.T.); #7939=FACE_OUTER_BOUND('',#15914,.T.); #7940=FACE_OUTER_BOUND('',#15915,.T.); #7941=FACE_OUTER_BOUND('',#15916,.T.); #7942=FACE_OUTER_BOUND('',#15917,.T.); #7943=FACE_OUTER_BOUND('',#15918,.T.); #7944=FACE_OUTER_BOUND('',#15919,.T.); #7945=FACE_OUTER_BOUND('',#15920,.T.); #7946=FACE_OUTER_BOUND('',#15921,.T.); #7947=FACE_OUTER_BOUND('',#15922,.T.); #7948=FACE_OUTER_BOUND('',#15923,.T.); #7949=FACE_OUTER_BOUND('',#15924,.T.); #7950=FACE_OUTER_BOUND('',#15925,.T.); #7951=FACE_OUTER_BOUND('',#15926,.T.); #7952=FACE_OUTER_BOUND('',#15927,.T.); #7953=FACE_OUTER_BOUND('',#15928,.T.); #7954=FACE_OUTER_BOUND('',#15929,.T.); #7955=FACE_OUTER_BOUND('',#15930,.T.); #7956=FACE_OUTER_BOUND('',#15931,.T.); #7957=FACE_OUTER_BOUND('',#15932,.T.); #7958=FACE_OUTER_BOUND('',#15933,.T.); #7959=FACE_OUTER_BOUND('',#15934,.T.); #7960=FACE_OUTER_BOUND('',#15935,.T.); #7961=FACE_OUTER_BOUND('',#15936,.T.); #7962=FACE_OUTER_BOUND('',#15937,.T.); #7963=FACE_OUTER_BOUND('',#15938,.T.); #7964=FACE_OUTER_BOUND('',#15939,.T.); #7965=FACE_OUTER_BOUND('',#15940,.T.); #7966=FACE_OUTER_BOUND('',#15941,.T.); #7967=FACE_OUTER_BOUND('',#15942,.T.); #7968=FACE_OUTER_BOUND('',#15943,.T.); #7969=FACE_OUTER_BOUND('',#15944,.T.); #7970=FACE_OUTER_BOUND('',#15945,.T.); #7971=FACE_OUTER_BOUND('',#15946,.T.); #7972=FACE_OUTER_BOUND('',#15947,.T.); #7973=FACE_OUTER_BOUND('',#15948,.T.); #7974=FACE_OUTER_BOUND('',#15949,.T.); #7975=FACE_OUTER_BOUND('',#15950,.T.); #7976=FACE_OUTER_BOUND('',#15951,.T.); #7977=FACE_OUTER_BOUND('',#15952,.T.); #7978=FACE_OUTER_BOUND('',#15953,.T.); #7979=FACE_OUTER_BOUND('',#15954,.T.); #7980=FACE_OUTER_BOUND('',#15955,.T.); #7981=FACE_OUTER_BOUND('',#15956,.T.); #7982=FACE_OUTER_BOUND('',#15957,.T.); #7983=FACE_OUTER_BOUND('',#15958,.T.); #7984=FACE_OUTER_BOUND('',#15959,.T.); #7985=FACE_OUTER_BOUND('',#15960,.T.); #7986=FACE_OUTER_BOUND('',#15961,.T.); #7987=FACE_OUTER_BOUND('',#15962,.T.); #7988=FACE_OUTER_BOUND('',#15963,.T.); #7989=FACE_OUTER_BOUND('',#15964,.T.); #7990=FACE_OUTER_BOUND('',#15965,.T.); #7991=FACE_OUTER_BOUND('',#15966,.T.); #7992=FACE_OUTER_BOUND('',#15967,.T.); #7993=FACE_OUTER_BOUND('',#15968,.T.); #7994=FACE_OUTER_BOUND('',#15969,.T.); #7995=FACE_OUTER_BOUND('',#15970,.T.); #7996=FACE_OUTER_BOUND('',#15971,.T.); #7997=FACE_OUTER_BOUND('',#15972,.T.); #7998=FACE_OUTER_BOUND('',#15973,.T.); #7999=FACE_OUTER_BOUND('',#15974,.T.); #8000=FACE_OUTER_BOUND('',#15975,.T.); #8001=FACE_OUTER_BOUND('',#15976,.T.); #8002=FACE_OUTER_BOUND('',#15977,.T.); #8003=FACE_OUTER_BOUND('',#15978,.T.); #8004=FACE_OUTER_BOUND('',#15979,.T.); #8005=FACE_OUTER_BOUND('',#15980,.T.); #8006=FACE_OUTER_BOUND('',#15981,.T.); #8007=FACE_OUTER_BOUND('',#15982,.T.); #8008=FACE_OUTER_BOUND('',#15983,.T.); #8009=FACE_OUTER_BOUND('',#15984,.T.); #8010=FACE_OUTER_BOUND('',#15985,.T.); #8011=FACE_OUTER_BOUND('',#15986,.T.); #8012=FACE_OUTER_BOUND('',#15987,.T.); #8013=FACE_OUTER_BOUND('',#15988,.T.); #8014=FACE_OUTER_BOUND('',#15989,.T.); #8015=FACE_OUTER_BOUND('',#15990,.T.); #8016=FACE_OUTER_BOUND('',#15991,.T.); #8017=FACE_OUTER_BOUND('',#15992,.T.); #8018=FACE_OUTER_BOUND('',#15993,.T.); #8019=FACE_OUTER_BOUND('',#15994,.T.); #8020=FACE_OUTER_BOUND('',#15995,.T.); #8021=FACE_OUTER_BOUND('',#15996,.T.); #8022=FACE_OUTER_BOUND('',#15997,.T.); #8023=FACE_OUTER_BOUND('',#15998,.T.); #8024=FACE_OUTER_BOUND('',#15999,.T.); #8025=FACE_OUTER_BOUND('',#16000,.T.); #8026=FACE_OUTER_BOUND('',#16001,.T.); #8027=FACE_OUTER_BOUND('',#16002,.T.); #8028=FACE_OUTER_BOUND('',#16003,.T.); #8029=FACE_OUTER_BOUND('',#16004,.T.); #8030=FACE_OUTER_BOUND('',#16005,.T.); #8031=FACE_OUTER_BOUND('',#16006,.T.); #8032=FACE_OUTER_BOUND('',#16007,.T.); #8033=FACE_OUTER_BOUND('',#16008,.T.); #8034=FACE_OUTER_BOUND('',#16009,.T.); #8035=FACE_OUTER_BOUND('',#16010,.T.); #8036=FACE_OUTER_BOUND('',#16011,.T.); #8037=FACE_OUTER_BOUND('',#16012,.T.); #8038=FACE_OUTER_BOUND('',#16013,.T.); #8039=FACE_OUTER_BOUND('',#16014,.T.); #8040=FACE_OUTER_BOUND('',#16015,.T.); #8041=FACE_OUTER_BOUND('',#16016,.T.); #8042=FACE_OUTER_BOUND('',#16017,.T.); #8043=FACE_OUTER_BOUND('',#16018,.T.); #8044=FACE_OUTER_BOUND('',#16019,.T.); #8045=FACE_OUTER_BOUND('',#16020,.T.); #8046=FACE_OUTER_BOUND('',#16021,.T.); #8047=FACE_OUTER_BOUND('',#16022,.T.); #8048=FACE_OUTER_BOUND('',#16023,.T.); #8049=FACE_OUTER_BOUND('',#16024,.T.); #8050=FACE_OUTER_BOUND('',#16025,.T.); #8051=FACE_OUTER_BOUND('',#16026,.T.); #8052=FACE_OUTER_BOUND('',#16027,.T.); #8053=FACE_OUTER_BOUND('',#16028,.T.); #8054=FACE_OUTER_BOUND('',#16029,.T.); #8055=FACE_OUTER_BOUND('',#16030,.T.); #8056=FACE_OUTER_BOUND('',#16031,.T.); #8057=FACE_OUTER_BOUND('',#16032,.T.); #8058=FACE_OUTER_BOUND('',#16033,.T.); #8059=FACE_OUTER_BOUND('',#16034,.T.); #8060=FACE_OUTER_BOUND('',#16035,.T.); #8061=FACE_OUTER_BOUND('',#16036,.T.); #8062=FACE_OUTER_BOUND('',#16037,.T.); #8063=FACE_OUTER_BOUND('',#16038,.T.); #8064=FACE_OUTER_BOUND('',#16039,.T.); #8065=FACE_OUTER_BOUND('',#16040,.T.); #8066=FACE_OUTER_BOUND('',#16041,.T.); #8067=FACE_OUTER_BOUND('',#16042,.T.); #8068=FACE_OUTER_BOUND('',#16043,.T.); #8069=FACE_OUTER_BOUND('',#16044,.T.); #8070=FACE_OUTER_BOUND('',#16045,.T.); #8071=FACE_OUTER_BOUND('',#16046,.T.); #8072=FACE_OUTER_BOUND('',#16047,.T.); #8073=FACE_OUTER_BOUND('',#16048,.T.); #8074=FACE_OUTER_BOUND('',#16049,.T.); #8075=FACE_OUTER_BOUND('',#16050,.T.); #8076=FACE_OUTER_BOUND('',#16051,.T.); #8077=FACE_OUTER_BOUND('',#16052,.T.); #8078=FACE_OUTER_BOUND('',#16053,.T.); #8079=FACE_OUTER_BOUND('',#16054,.T.); #8080=FACE_OUTER_BOUND('',#16055,.T.); #8081=FACE_OUTER_BOUND('',#16056,.T.); #8082=FACE_OUTER_BOUND('',#16057,.T.); #8083=FACE_OUTER_BOUND('',#16058,.T.); #8084=FACE_OUTER_BOUND('',#16059,.T.); #8085=FACE_OUTER_BOUND('',#16060,.T.); #8086=FACE_OUTER_BOUND('',#16061,.T.); #8087=FACE_OUTER_BOUND('',#16062,.T.); #8088=FACE_OUTER_BOUND('',#16063,.T.); #8089=FACE_OUTER_BOUND('',#16064,.T.); #8090=FACE_OUTER_BOUND('',#16065,.T.); #8091=FACE_OUTER_BOUND('',#16066,.T.); #8092=FACE_OUTER_BOUND('',#16067,.T.); #8093=FACE_OUTER_BOUND('',#16068,.T.); #8094=FACE_OUTER_BOUND('',#16069,.T.); #8095=FACE_OUTER_BOUND('',#16070,.T.); #8096=FACE_OUTER_BOUND('',#16071,.T.); #8097=FACE_OUTER_BOUND('',#16072,.T.); #8098=FACE_OUTER_BOUND('',#16073,.T.); #8099=FACE_OUTER_BOUND('',#16074,.T.); #8100=FACE_OUTER_BOUND('',#16075,.T.); #8101=FACE_OUTER_BOUND('',#16076,.T.); #8102=FACE_OUTER_BOUND('',#16077,.T.); #8103=FACE_OUTER_BOUND('',#16078,.T.); #8104=FACE_OUTER_BOUND('',#16079,.T.); #8105=FACE_OUTER_BOUND('',#16080,.T.); #8106=FACE_OUTER_BOUND('',#16081,.T.); #8107=FACE_OUTER_BOUND('',#16082,.T.); #8108=FACE_OUTER_BOUND('',#16083,.T.); #8109=FACE_OUTER_BOUND('',#16084,.T.); #8110=FACE_OUTER_BOUND('',#16085,.T.); #8111=FACE_OUTER_BOUND('',#16086,.T.); #8112=FACE_OUTER_BOUND('',#16087,.T.); #8113=FACE_OUTER_BOUND('',#16088,.T.); #8114=FACE_OUTER_BOUND('',#16089,.T.); #8115=FACE_OUTER_BOUND('',#16090,.T.); #8116=FACE_OUTER_BOUND('',#16091,.T.); #8117=FACE_OUTER_BOUND('',#16092,.T.); #8118=FACE_OUTER_BOUND('',#16093,.T.); #8119=FACE_OUTER_BOUND('',#16094,.T.); #8120=FACE_OUTER_BOUND('',#16095,.T.); #8121=FACE_OUTER_BOUND('',#16096,.T.); #8122=FACE_OUTER_BOUND('',#16097,.T.); #8123=FACE_OUTER_BOUND('',#16098,.T.); #8124=FACE_OUTER_BOUND('',#16099,.T.); #8125=FACE_OUTER_BOUND('',#16100,.T.); #8126=FACE_OUTER_BOUND('',#16101,.T.); #8127=FACE_OUTER_BOUND('',#16102,.T.); #8128=FACE_OUTER_BOUND('',#16103,.T.); #8129=FACE_OUTER_BOUND('',#16104,.T.); #8130=FACE_OUTER_BOUND('',#16105,.T.); #8131=FACE_OUTER_BOUND('',#16106,.T.); #8132=FACE_OUTER_BOUND('',#16107,.T.); #8133=FACE_OUTER_BOUND('',#16108,.T.); #8134=FACE_OUTER_BOUND('',#16109,.T.); #8135=FACE_OUTER_BOUND('',#16110,.T.); #8136=FACE_OUTER_BOUND('',#16111,.T.); #8137=FACE_OUTER_BOUND('',#16112,.T.); #8138=FACE_OUTER_BOUND('',#16113,.T.); #8139=FACE_OUTER_BOUND('',#16114,.T.); #8140=FACE_OUTER_BOUND('',#16115,.T.); #8141=FACE_OUTER_BOUND('',#16116,.T.); #8142=FACE_OUTER_BOUND('',#16117,.T.); #8143=FACE_OUTER_BOUND('',#16118,.T.); #8144=FACE_OUTER_BOUND('',#16119,.T.); #8145=FACE_OUTER_BOUND('',#16120,.T.); #8146=FACE_OUTER_BOUND('',#16121,.T.); #8147=FACE_OUTER_BOUND('',#16122,.T.); #8148=FACE_OUTER_BOUND('',#16123,.T.); #8149=FACE_OUTER_BOUND('',#16124,.T.); #8150=FACE_OUTER_BOUND('',#16125,.T.); #8151=FACE_OUTER_BOUND('',#16126,.T.); #8152=FACE_OUTER_BOUND('',#16127,.T.); #8153=FACE_OUTER_BOUND('',#16128,.T.); #8154=FACE_OUTER_BOUND('',#16129,.T.); #8155=FACE_OUTER_BOUND('',#16130,.T.); #8156=FACE_OUTER_BOUND('',#16131,.T.); #8157=FACE_OUTER_BOUND('',#16132,.T.); #8158=FACE_OUTER_BOUND('',#16133,.T.); #8159=FACE_OUTER_BOUND('',#16134,.T.); #8160=FACE_OUTER_BOUND('',#16135,.T.); #8161=FACE_OUTER_BOUND('',#16136,.T.); #8162=FACE_OUTER_BOUND('',#16137,.T.); #8163=FACE_OUTER_BOUND('',#16138,.T.); #8164=FACE_OUTER_BOUND('',#16139,.T.); #8165=FACE_OUTER_BOUND('',#16140,.T.); #8166=FACE_OUTER_BOUND('',#16141,.T.); #8167=FACE_OUTER_BOUND('',#16142,.T.); #8168=FACE_OUTER_BOUND('',#16143,.T.); #8169=FACE_OUTER_BOUND('',#16144,.T.); #8170=FACE_OUTER_BOUND('',#16145,.T.); #8171=FACE_OUTER_BOUND('',#16146,.T.); #8172=FACE_OUTER_BOUND('',#16147,.T.); #8173=FACE_OUTER_BOUND('',#16148,.T.); #8174=FACE_OUTER_BOUND('',#16149,.T.); #8175=FACE_OUTER_BOUND('',#16150,.T.); #8176=FACE_OUTER_BOUND('',#16151,.T.); #8177=FACE_OUTER_BOUND('',#16152,.T.); #8178=FACE_OUTER_BOUND('',#16153,.T.); #8179=FACE_OUTER_BOUND('',#16154,.T.); #8180=FACE_OUTER_BOUND('',#16155,.T.); #8181=FACE_OUTER_BOUND('',#16156,.T.); #8182=FACE_OUTER_BOUND('',#16157,.T.); #8183=FACE_OUTER_BOUND('',#16158,.T.); #8184=FACE_OUTER_BOUND('',#16159,.T.); #8185=FACE_OUTER_BOUND('',#16160,.T.); #8186=FACE_OUTER_BOUND('',#16161,.T.); #8187=FACE_OUTER_BOUND('',#16162,.T.); #8188=FACE_OUTER_BOUND('',#16163,.T.); #8189=FACE_OUTER_BOUND('',#16164,.T.); #8190=FACE_OUTER_BOUND('',#16165,.T.); #8191=FACE_OUTER_BOUND('',#16166,.T.); #8192=FACE_OUTER_BOUND('',#16167,.T.); #8193=FACE_OUTER_BOUND('',#16168,.T.); #8194=FACE_OUTER_BOUND('',#16169,.T.); #8195=FACE_OUTER_BOUND('',#16170,.T.); #8196=FACE_OUTER_BOUND('',#16171,.T.); #8197=FACE_OUTER_BOUND('',#16172,.T.); #8198=FACE_OUTER_BOUND('',#16173,.T.); #8199=FACE_OUTER_BOUND('',#16174,.T.); #8200=FACE_OUTER_BOUND('',#16175,.T.); #8201=FACE_OUTER_BOUND('',#16176,.T.); #8202=FACE_OUTER_BOUND('',#16177,.T.); #8203=FACE_OUTER_BOUND('',#16178,.T.); #8204=FACE_OUTER_BOUND('',#16179,.T.); #8205=FACE_OUTER_BOUND('',#16180,.T.); #8206=FACE_OUTER_BOUND('',#16181,.T.); #8207=FACE_OUTER_BOUND('',#16182,.T.); #8208=FACE_OUTER_BOUND('',#16183,.T.); #8209=FACE_OUTER_BOUND('',#16184,.T.); #8210=FACE_OUTER_BOUND('',#16185,.T.); #8211=FACE_OUTER_BOUND('',#16186,.T.); #8212=FACE_OUTER_BOUND('',#16187,.T.); #8213=FACE_OUTER_BOUND('',#16188,.T.); #8214=FACE_OUTER_BOUND('',#16189,.T.); #8215=FACE_OUTER_BOUND('',#16190,.T.); #8216=FACE_OUTER_BOUND('',#16191,.T.); #8217=FACE_OUTER_BOUND('',#16192,.T.); #8218=FACE_OUTER_BOUND('',#16193,.T.); #8219=FACE_OUTER_BOUND('',#16194,.T.); #8220=FACE_OUTER_BOUND('',#16195,.T.); #8221=FACE_OUTER_BOUND('',#16196,.T.); #8222=FACE_OUTER_BOUND('',#16197,.T.); #8223=FACE_OUTER_BOUND('',#16198,.T.); #8224=FACE_OUTER_BOUND('',#16199,.T.); #8225=FACE_OUTER_BOUND('',#16200,.T.); #8226=FACE_OUTER_BOUND('',#16201,.T.); #8227=FACE_OUTER_BOUND('',#16202,.T.); #8228=FACE_OUTER_BOUND('',#16203,.T.); #8229=FACE_OUTER_BOUND('',#16204,.T.); #8230=FACE_OUTER_BOUND('',#16205,.T.); #8231=FACE_OUTER_BOUND('',#16206,.T.); #8232=FACE_OUTER_BOUND('',#16207,.T.); #8233=FACE_OUTER_BOUND('',#16208,.T.); #8234=FACE_OUTER_BOUND('',#16209,.T.); #8235=FACE_OUTER_BOUND('',#16210,.T.); #8236=FACE_OUTER_BOUND('',#16211,.T.); #8237=FACE_OUTER_BOUND('',#16212,.T.); #8238=FACE_OUTER_BOUND('',#16213,.T.); #8239=FACE_OUTER_BOUND('',#16214,.T.); #8240=FACE_OUTER_BOUND('',#16215,.T.); #8241=FACE_OUTER_BOUND('',#16216,.T.); #8242=FACE_OUTER_BOUND('',#16217,.T.); #8243=FACE_OUTER_BOUND('',#16218,.T.); #8244=FACE_OUTER_BOUND('',#16219,.T.); #8245=FACE_OUTER_BOUND('',#16220,.T.); #8246=FACE_OUTER_BOUND('',#16221,.T.); #8247=FACE_OUTER_BOUND('',#16222,.T.); #8248=FACE_OUTER_BOUND('',#16223,.T.); #8249=FACE_OUTER_BOUND('',#16224,.T.); #8250=FACE_OUTER_BOUND('',#16225,.T.); #8251=FACE_OUTER_BOUND('',#16226,.T.); #8252=FACE_OUTER_BOUND('',#16227,.T.); #8253=FACE_OUTER_BOUND('',#16228,.T.); #8254=FACE_OUTER_BOUND('',#16229,.T.); #8255=FACE_OUTER_BOUND('',#16230,.T.); #8256=FACE_OUTER_BOUND('',#16231,.T.); #8257=FACE_OUTER_BOUND('',#16232,.T.); #8258=FACE_OUTER_BOUND('',#16233,.T.); #8259=FACE_OUTER_BOUND('',#16234,.T.); #8260=FACE_OUTER_BOUND('',#16235,.T.); #8261=FACE_OUTER_BOUND('',#16236,.T.); #8262=FACE_OUTER_BOUND('',#16237,.T.); #8263=FACE_OUTER_BOUND('',#16238,.T.); #8264=FACE_OUTER_BOUND('',#16239,.T.); #8265=FACE_OUTER_BOUND('',#16240,.T.); #8266=FACE_OUTER_BOUND('',#16241,.T.); #8267=FACE_OUTER_BOUND('',#16242,.T.); #8268=FACE_OUTER_BOUND('',#16243,.T.); #8269=FACE_OUTER_BOUND('',#16244,.T.); #8270=FACE_OUTER_BOUND('',#16245,.T.); #8271=FACE_OUTER_BOUND('',#16246,.T.); #8272=FACE_OUTER_BOUND('',#16247,.T.); #8273=FACE_OUTER_BOUND('',#16248,.T.); #8274=FACE_OUTER_BOUND('',#16249,.T.); #8275=FACE_OUTER_BOUND('',#16250,.T.); #8276=FACE_OUTER_BOUND('',#16251,.T.); #8277=FACE_OUTER_BOUND('',#16252,.T.); #8278=FACE_OUTER_BOUND('',#16253,.T.); #8279=FACE_OUTER_BOUND('',#16254,.T.); #8280=FACE_OUTER_BOUND('',#16255,.T.); #8281=FACE_OUTER_BOUND('',#16256,.T.); #8282=FACE_OUTER_BOUND('',#16257,.T.); #8283=FACE_OUTER_BOUND('',#16258,.T.); #8284=FACE_OUTER_BOUND('',#16259,.T.); #8285=FACE_OUTER_BOUND('',#16260,.T.); #8286=FACE_OUTER_BOUND('',#16261,.T.); #8287=FACE_OUTER_BOUND('',#16262,.T.); #8288=FACE_OUTER_BOUND('',#16263,.T.); #8289=FACE_OUTER_BOUND('',#16264,.T.); #8290=FACE_OUTER_BOUND('',#16265,.T.); #8291=FACE_OUTER_BOUND('',#16266,.T.); #8292=FACE_OUTER_BOUND('',#16267,.T.); #8293=FACE_OUTER_BOUND('',#16268,.T.); #8294=FACE_OUTER_BOUND('',#16269,.T.); #8295=FACE_OUTER_BOUND('',#16270,.T.); #8296=FACE_OUTER_BOUND('',#16271,.T.); #8297=FACE_OUTER_BOUND('',#16272,.T.); #8298=FACE_OUTER_BOUND('',#16273,.T.); #8299=FACE_OUTER_BOUND('',#16274,.T.); #8300=FACE_OUTER_BOUND('',#16275,.T.); #8301=FACE_OUTER_BOUND('',#16276,.T.); #8302=FACE_OUTER_BOUND('',#16277,.T.); #8303=FACE_OUTER_BOUND('',#16278,.T.); #8304=FACE_OUTER_BOUND('',#16279,.T.); #8305=FACE_OUTER_BOUND('',#16280,.T.); #8306=FACE_OUTER_BOUND('',#16281,.T.); #8307=FACE_OUTER_BOUND('',#16282,.T.); #8308=FACE_OUTER_BOUND('',#16283,.T.); #8309=FACE_OUTER_BOUND('',#16284,.T.); #8310=FACE_OUTER_BOUND('',#16285,.T.); #8311=FACE_OUTER_BOUND('',#16286,.T.); #8312=FACE_OUTER_BOUND('',#16287,.T.); #8313=FACE_OUTER_BOUND('',#16288,.T.); #8314=FACE_OUTER_BOUND('',#16289,.T.); #8315=FACE_OUTER_BOUND('',#16290,.T.); #8316=FACE_OUTER_BOUND('',#16291,.T.); #8317=FACE_OUTER_BOUND('',#16292,.T.); #8318=FACE_OUTER_BOUND('',#16293,.T.); #8319=FACE_OUTER_BOUND('',#16294,.T.); #8320=FACE_OUTER_BOUND('',#16295,.T.); #8321=FACE_OUTER_BOUND('',#16296,.T.); #8322=FACE_OUTER_BOUND('',#16297,.T.); #8323=FACE_OUTER_BOUND('',#16298,.T.); #8324=FACE_OUTER_BOUND('',#16299,.T.); #8325=FACE_OUTER_BOUND('',#16300,.T.); #8326=FACE_OUTER_BOUND('',#16301,.T.); #8327=FACE_OUTER_BOUND('',#16302,.T.); #8328=FACE_OUTER_BOUND('',#16303,.T.); #8329=FACE_OUTER_BOUND('',#16304,.T.); #8330=FACE_OUTER_BOUND('',#16305,.T.); #8331=FACE_OUTER_BOUND('',#16306,.T.); #8332=FACE_OUTER_BOUND('',#16307,.T.); #8333=FACE_OUTER_BOUND('',#16308,.T.); #8334=FACE_OUTER_BOUND('',#16309,.T.); #8335=FACE_OUTER_BOUND('',#16310,.T.); #8336=FACE_OUTER_BOUND('',#16311,.T.); #8337=FACE_OUTER_BOUND('',#16312,.T.); #8338=FACE_OUTER_BOUND('',#16313,.T.); #8339=FACE_OUTER_BOUND('',#16314,.T.); #8340=FACE_OUTER_BOUND('',#16315,.T.); #8341=FACE_OUTER_BOUND('',#16316,.T.); #8342=FACE_OUTER_BOUND('',#16317,.T.); #8343=FACE_OUTER_BOUND('',#16318,.T.); #8344=FACE_OUTER_BOUND('',#16319,.T.); #8345=FACE_OUTER_BOUND('',#16320,.T.); #8346=FACE_OUTER_BOUND('',#16321,.T.); #8347=FACE_OUTER_BOUND('',#16322,.T.); #8348=FACE_OUTER_BOUND('',#16323,.T.); #8349=FACE_OUTER_BOUND('',#16324,.T.); #8350=FACE_OUTER_BOUND('',#16325,.T.); #8351=FACE_OUTER_BOUND('',#16326,.T.); #8352=FACE_OUTER_BOUND('',#16327,.T.); #8353=FACE_OUTER_BOUND('',#16328,.T.); #8354=FACE_OUTER_BOUND('',#16329,.T.); #8355=FACE_OUTER_BOUND('',#16330,.T.); #8356=FACE_OUTER_BOUND('',#16331,.T.); #8357=FACE_OUTER_BOUND('',#16332,.T.); #8358=FACE_OUTER_BOUND('',#16333,.T.); #8359=FACE_OUTER_BOUND('',#16334,.T.); #8360=FACE_OUTER_BOUND('',#16335,.T.); #8361=FACE_OUTER_BOUND('',#16336,.T.); #8362=FACE_OUTER_BOUND('',#16337,.T.); #8363=FACE_OUTER_BOUND('',#16338,.T.); #8364=FACE_OUTER_BOUND('',#16339,.T.); #8365=FACE_OUTER_BOUND('',#16340,.T.); #8366=FACE_OUTER_BOUND('',#16341,.T.); #8367=FACE_OUTER_BOUND('',#16342,.T.); #8368=FACE_OUTER_BOUND('',#16343,.T.); #8369=FACE_OUTER_BOUND('',#16344,.T.); #8370=FACE_OUTER_BOUND('',#16345,.T.); #8371=FACE_OUTER_BOUND('',#16346,.T.); #8372=FACE_OUTER_BOUND('',#16347,.T.); #8373=FACE_OUTER_BOUND('',#16348,.T.); #8374=FACE_OUTER_BOUND('',#16349,.T.); #8375=FACE_OUTER_BOUND('',#16350,.T.); #8376=FACE_OUTER_BOUND('',#16351,.T.); #8377=FACE_OUTER_BOUND('',#16352,.T.); #8378=FACE_OUTER_BOUND('',#16353,.T.); #8379=FACE_OUTER_BOUND('',#16354,.T.); #8380=FACE_OUTER_BOUND('',#16355,.T.); #8381=FACE_OUTER_BOUND('',#16356,.T.); #8382=FACE_OUTER_BOUND('',#16357,.T.); #8383=FACE_OUTER_BOUND('',#16358,.T.); #8384=FACE_OUTER_BOUND('',#16359,.T.); #8385=FACE_OUTER_BOUND('',#16360,.T.); #8386=FACE_OUTER_BOUND('',#16361,.T.); #8387=FACE_OUTER_BOUND('',#16362,.T.); #8388=FACE_OUTER_BOUND('',#16363,.T.); #8389=FACE_OUTER_BOUND('',#16364,.T.); #8390=FACE_OUTER_BOUND('',#16365,.T.); #8391=FACE_OUTER_BOUND('',#16366,.T.); #8392=FACE_OUTER_BOUND('',#16367,.T.); #8393=FACE_OUTER_BOUND('',#16368,.T.); #8394=FACE_OUTER_BOUND('',#16369,.T.); #8395=FACE_OUTER_BOUND('',#16370,.T.); #8396=FACE_OUTER_BOUND('',#16371,.T.); #8397=FACE_OUTER_BOUND('',#16372,.T.); #8398=FACE_OUTER_BOUND('',#16373,.T.); #8399=FACE_OUTER_BOUND('',#16374,.T.); #8400=FACE_OUTER_BOUND('',#16375,.T.); #8401=FACE_OUTER_BOUND('',#16376,.T.); #8402=FACE_OUTER_BOUND('',#16377,.T.); #8403=FACE_OUTER_BOUND('',#16378,.T.); #8404=FACE_OUTER_BOUND('',#16379,.T.); #8405=FACE_OUTER_BOUND('',#16380,.T.); #8406=FACE_OUTER_BOUND('',#16381,.T.); #8407=FACE_OUTER_BOUND('',#16382,.T.); #8408=FACE_OUTER_BOUND('',#16383,.T.); #8409=FACE_OUTER_BOUND('',#16384,.T.); #8410=FACE_OUTER_BOUND('',#16385,.T.); #8411=FACE_OUTER_BOUND('',#16386,.T.); #8412=FACE_OUTER_BOUND('',#16387,.T.); #8413=FACE_OUTER_BOUND('',#16388,.T.); #8414=FACE_OUTER_BOUND('',#16389,.T.); #8415=FACE_OUTER_BOUND('',#16390,.T.); #8416=FACE_OUTER_BOUND('',#16391,.T.); #8417=FACE_OUTER_BOUND('',#16392,.T.); #8418=FACE_OUTER_BOUND('',#16393,.T.); #8419=FACE_OUTER_BOUND('',#16394,.T.); #8420=FACE_OUTER_BOUND('',#16395,.T.); #8421=FACE_OUTER_BOUND('',#16396,.T.); #8422=FACE_OUTER_BOUND('',#16397,.T.); #8423=FACE_OUTER_BOUND('',#16398,.T.); #8424=FACE_OUTER_BOUND('',#16399,.T.); #8425=FACE_OUTER_BOUND('',#16400,.T.); #8426=FACE_OUTER_BOUND('',#16401,.T.); #8427=FACE_OUTER_BOUND('',#16402,.T.); #8428=FACE_OUTER_BOUND('',#16403,.T.); #8429=FACE_OUTER_BOUND('',#16404,.T.); #8430=FACE_OUTER_BOUND('',#16405,.T.); #8431=FACE_OUTER_BOUND('',#16406,.T.); #8432=FACE_OUTER_BOUND('',#16407,.T.); #8433=FACE_OUTER_BOUND('',#16408,.T.); #8434=FACE_OUTER_BOUND('',#16409,.T.); #8435=FACE_OUTER_BOUND('',#16410,.T.); #8436=FACE_OUTER_BOUND('',#16411,.T.); #8437=FACE_OUTER_BOUND('',#16412,.T.); #8438=FACE_OUTER_BOUND('',#16413,.T.); #8439=FACE_OUTER_BOUND('',#16414,.T.); #8440=FACE_OUTER_BOUND('',#16415,.T.); #8441=FACE_OUTER_BOUND('',#16416,.T.); #8442=FACE_OUTER_BOUND('',#16417,.T.); #8443=FACE_OUTER_BOUND('',#16418,.T.); #8444=FACE_OUTER_BOUND('',#16419,.T.); #8445=FACE_OUTER_BOUND('',#16420,.T.); #8446=FACE_OUTER_BOUND('',#16421,.T.); #8447=FACE_OUTER_BOUND('',#16422,.T.); #8448=FACE_OUTER_BOUND('',#16423,.T.); #8449=FACE_OUTER_BOUND('',#16424,.T.); #8450=FACE_OUTER_BOUND('',#16425,.T.); #8451=FACE_OUTER_BOUND('',#16426,.T.); #8452=FACE_OUTER_BOUND('',#16427,.T.); #8453=FACE_OUTER_BOUND('',#16428,.T.); #8454=FACE_OUTER_BOUND('',#16429,.T.); #8455=FACE_OUTER_BOUND('',#16430,.T.); #8456=FACE_OUTER_BOUND('',#16431,.T.); #8457=FACE_OUTER_BOUND('',#16432,.T.); #8458=FACE_OUTER_BOUND('',#16433,.T.); #8459=FACE_OUTER_BOUND('',#16434,.T.); #8460=FACE_OUTER_BOUND('',#16435,.T.); #8461=FACE_OUTER_BOUND('',#16436,.T.); #8462=FACE_OUTER_BOUND('',#16437,.T.); #8463=FACE_OUTER_BOUND('',#16438,.T.); #8464=FACE_OUTER_BOUND('',#16439,.T.); #8465=FACE_OUTER_BOUND('',#16440,.T.); #8466=FACE_OUTER_BOUND('',#16441,.T.); #8467=FACE_OUTER_BOUND('',#16442,.T.); #8468=FACE_OUTER_BOUND('',#16443,.T.); #8469=FACE_OUTER_BOUND('',#16444,.T.); #8470=FACE_OUTER_BOUND('',#16445,.T.); #8471=FACE_OUTER_BOUND('',#16446,.T.); #8472=FACE_OUTER_BOUND('',#16447,.T.); #8473=FACE_OUTER_BOUND('',#16448,.T.); #8474=FACE_OUTER_BOUND('',#16449,.T.); #8475=FACE_OUTER_BOUND('',#16450,.T.); #8476=FACE_OUTER_BOUND('',#16451,.T.); #8477=FACE_OUTER_BOUND('',#16452,.T.); #8478=FACE_OUTER_BOUND('',#16453,.T.); #8479=FACE_OUTER_BOUND('',#16454,.T.); #8480=FACE_OUTER_BOUND('',#16455,.T.); #8481=FACE_OUTER_BOUND('',#16456,.T.); #8482=FACE_OUTER_BOUND('',#16457,.T.); #8483=FACE_OUTER_BOUND('',#16458,.T.); #8484=FACE_OUTER_BOUND('',#16459,.T.); #8485=FACE_OUTER_BOUND('',#16460,.T.); #8486=FACE_OUTER_BOUND('',#16461,.T.); #8487=FACE_OUTER_BOUND('',#16462,.T.); #8488=FACE_OUTER_BOUND('',#16463,.T.); #8489=FACE_OUTER_BOUND('',#16464,.T.); #8490=FACE_OUTER_BOUND('',#16465,.T.); #8491=FACE_OUTER_BOUND('',#16466,.T.); #8492=FACE_OUTER_BOUND('',#16467,.T.); #8493=FACE_OUTER_BOUND('',#16468,.T.); #8494=FACE_OUTER_BOUND('',#16469,.T.); #8495=FACE_OUTER_BOUND('',#16470,.T.); #8496=FACE_OUTER_BOUND('',#16471,.T.); #8497=FACE_OUTER_BOUND('',#16472,.T.); #8498=FACE_OUTER_BOUND('',#16473,.T.); #8499=FACE_OUTER_BOUND('',#16474,.T.); #8500=FACE_OUTER_BOUND('',#16475,.T.); #8501=FACE_OUTER_BOUND('',#16476,.T.); #8502=FACE_OUTER_BOUND('',#16477,.T.); #8503=FACE_OUTER_BOUND('',#16478,.T.); #8504=FACE_OUTER_BOUND('',#16479,.T.); #8505=FACE_OUTER_BOUND('',#16480,.T.); #8506=FACE_OUTER_BOUND('',#16481,.T.); #8507=FACE_OUTER_BOUND('',#16482,.T.); #8508=FACE_OUTER_BOUND('',#16483,.T.); #8509=FACE_OUTER_BOUND('',#16484,.T.); #8510=FACE_OUTER_BOUND('',#16485,.T.); #8511=FACE_OUTER_BOUND('',#16486,.T.); #8512=FACE_OUTER_BOUND('',#16487,.T.); #8513=FACE_OUTER_BOUND('',#16488,.T.); #8514=FACE_OUTER_BOUND('',#16489,.T.); #8515=FACE_OUTER_BOUND('',#16490,.T.); #8516=FACE_OUTER_BOUND('',#16491,.T.); #8517=FACE_OUTER_BOUND('',#16492,.T.); #8518=FACE_OUTER_BOUND('',#16493,.T.); #8519=FACE_OUTER_BOUND('',#16494,.T.); #8520=FACE_OUTER_BOUND('',#16495,.T.); #8521=FACE_OUTER_BOUND('',#16496,.T.); #8522=FACE_OUTER_BOUND('',#16497,.T.); #8523=FACE_OUTER_BOUND('',#16498,.T.); #8524=FACE_OUTER_BOUND('',#16499,.T.); #8525=FACE_OUTER_BOUND('',#16500,.T.); #8526=FACE_OUTER_BOUND('',#16501,.T.); #8527=FACE_OUTER_BOUND('',#16502,.T.); #8528=FACE_OUTER_BOUND('',#16503,.T.); #8529=FACE_OUTER_BOUND('',#16504,.T.); #8530=FACE_OUTER_BOUND('',#16505,.T.); #8531=FACE_OUTER_BOUND('',#16506,.T.); #8532=FACE_OUTER_BOUND('',#16507,.T.); #8533=FACE_OUTER_BOUND('',#16508,.T.); #8534=FACE_OUTER_BOUND('',#16509,.T.); #8535=FACE_OUTER_BOUND('',#16510,.T.); #8536=FACE_OUTER_BOUND('',#16511,.T.); #8537=FACE_OUTER_BOUND('',#16512,.T.); #8538=FACE_OUTER_BOUND('',#16513,.T.); #8539=FACE_OUTER_BOUND('',#16514,.T.); #8540=FACE_OUTER_BOUND('',#16515,.T.); #8541=FACE_OUTER_BOUND('',#16516,.T.); #8542=FACE_OUTER_BOUND('',#16517,.T.); #8543=FACE_OUTER_BOUND('',#16518,.T.); #8544=FACE_OUTER_BOUND('',#16519,.T.); #8545=FACE_OUTER_BOUND('',#16520,.T.); #8546=FACE_OUTER_BOUND('',#16521,.T.); #8547=FACE_OUTER_BOUND('',#16522,.T.); #8548=FACE_OUTER_BOUND('',#16523,.T.); #8549=FACE_OUTER_BOUND('',#16524,.T.); #8550=FACE_OUTER_BOUND('',#16525,.T.); #8551=FACE_OUTER_BOUND('',#16526,.T.); #8552=FACE_OUTER_BOUND('',#16527,.T.); #8553=FACE_OUTER_BOUND('',#16528,.T.); #8554=FACE_OUTER_BOUND('',#16529,.T.); #8555=FACE_OUTER_BOUND('',#16530,.T.); #8556=FACE_OUTER_BOUND('',#16531,.T.); #8557=FACE_OUTER_BOUND('',#16532,.T.); #8558=FACE_OUTER_BOUND('',#16533,.T.); #8559=FACE_OUTER_BOUND('',#16534,.T.); #8560=FACE_OUTER_BOUND('',#16535,.T.); #8561=FACE_OUTER_BOUND('',#16536,.T.); #8562=FACE_OUTER_BOUND('',#16537,.T.); #8563=FACE_OUTER_BOUND('',#16538,.T.); #8564=FACE_OUTER_BOUND('',#16539,.T.); #8565=FACE_OUTER_BOUND('',#16540,.T.); #8566=FACE_OUTER_BOUND('',#16541,.T.); #8567=FACE_OUTER_BOUND('',#16542,.T.); #8568=FACE_OUTER_BOUND('',#16543,.T.); #8569=FACE_OUTER_BOUND('',#16544,.T.); #8570=FACE_OUTER_BOUND('',#16545,.T.); #8571=FACE_OUTER_BOUND('',#16546,.T.); #8572=FACE_OUTER_BOUND('',#16547,.T.); #8573=FACE_OUTER_BOUND('',#16548,.T.); #8574=FACE_OUTER_BOUND('',#16549,.T.); #8575=FACE_OUTER_BOUND('',#16550,.T.); #8576=FACE_OUTER_BOUND('',#16551,.T.); #8577=FACE_OUTER_BOUND('',#16552,.T.); #8578=FACE_OUTER_BOUND('',#16553,.T.); #8579=FACE_OUTER_BOUND('',#16554,.T.); #8580=FACE_OUTER_BOUND('',#16555,.T.); #8581=FACE_OUTER_BOUND('',#16556,.T.); #8582=FACE_OUTER_BOUND('',#16557,.T.); #8583=FACE_OUTER_BOUND('',#16558,.T.); #8584=FACE_OUTER_BOUND('',#16559,.T.); #8585=FACE_OUTER_BOUND('',#16560,.T.); #8586=FACE_OUTER_BOUND('',#16561,.T.); #8587=FACE_OUTER_BOUND('',#16562,.T.); #8588=FACE_OUTER_BOUND('',#16563,.T.); #8589=FACE_OUTER_BOUND('',#16564,.T.); #8590=FACE_OUTER_BOUND('',#16565,.T.); #8591=FACE_OUTER_BOUND('',#16566,.T.); #8592=FACE_OUTER_BOUND('',#16567,.T.); #8593=FACE_OUTER_BOUND('',#16568,.T.); #8594=FACE_OUTER_BOUND('',#16569,.T.); #8595=FACE_OUTER_BOUND('',#16570,.T.); #8596=FACE_OUTER_BOUND('',#16571,.T.); #8597=FACE_OUTER_BOUND('',#16572,.T.); #8598=FACE_OUTER_BOUND('',#16573,.T.); #8599=FACE_OUTER_BOUND('',#16575,.T.); #8600=FACE_OUTER_BOUND('',#16576,.T.); #8601=FACE_OUTER_BOUND('',#16577,.T.); #8602=FACE_OUTER_BOUND('',#16578,.T.); #8603=FACE_OUTER_BOUND('',#16579,.T.); #8604=FACE_OUTER_BOUND('',#16580,.T.); #8605=FACE_OUTER_BOUND('',#16581,.T.); #8606=FACE_OUTER_BOUND('',#16582,.T.); #8607=FACE_OUTER_BOUND('',#16583,.T.); #8608=FACE_OUTER_BOUND('',#16584,.T.); #8609=FACE_OUTER_BOUND('',#16585,.T.); #8610=FACE_OUTER_BOUND('',#16586,.T.); #8611=FACE_OUTER_BOUND('',#16587,.T.); #8612=FACE_OUTER_BOUND('',#16588,.T.); #8613=FACE_OUTER_BOUND('',#16589,.T.); #8614=FACE_OUTER_BOUND('',#16590,.T.); #8615=FACE_OUTER_BOUND('',#16591,.T.); #8616=FACE_OUTER_BOUND('',#16592,.T.); #8617=FACE_OUTER_BOUND('',#16593,.T.); #8618=FACE_OUTER_BOUND('',#16594,.T.); #8619=FACE_OUTER_BOUND('',#16595,.T.); #8620=FACE_OUTER_BOUND('',#16596,.T.); #8621=FACE_OUTER_BOUND('',#16597,.T.); #8622=FACE_OUTER_BOUND('',#16598,.T.); #8623=FACE_OUTER_BOUND('',#16599,.T.); #8624=FACE_OUTER_BOUND('',#16600,.T.); #8625=FACE_OUTER_BOUND('',#16601,.T.); #8626=FACE_OUTER_BOUND('',#16602,.T.); #8627=FACE_OUTER_BOUND('',#16603,.T.); #8628=FACE_OUTER_BOUND('',#16604,.T.); #8629=FACE_OUTER_BOUND('',#16605,.T.); #8630=FACE_OUTER_BOUND('',#16606,.T.); #8631=FACE_OUTER_BOUND('',#16607,.T.); #8632=FACE_OUTER_BOUND('',#16608,.T.); #8633=FACE_OUTER_BOUND('',#16609,.T.); #8634=FACE_OUTER_BOUND('',#16610,.T.); #8635=FACE_OUTER_BOUND('',#16611,.T.); #8636=FACE_OUTER_BOUND('',#16612,.T.); #8637=FACE_OUTER_BOUND('',#16613,.T.); #8638=FACE_OUTER_BOUND('',#16614,.T.); #8639=FACE_OUTER_BOUND('',#16615,.T.); #8640=FACE_OUTER_BOUND('',#16616,.T.); #8641=FACE_OUTER_BOUND('',#16617,.T.); #8642=FACE_OUTER_BOUND('',#16618,.T.); #8643=FACE_OUTER_BOUND('',#16619,.T.); #8644=FACE_OUTER_BOUND('',#16620,.T.); #8645=FACE_OUTER_BOUND('',#16621,.T.); #8646=FACE_OUTER_BOUND('',#16622,.T.); #8647=FACE_OUTER_BOUND('',#16623,.T.); #8648=FACE_OUTER_BOUND('',#16624,.T.); #8649=FACE_OUTER_BOUND('',#16625,.T.); #8650=FACE_OUTER_BOUND('',#16626,.T.); #8651=FACE_OUTER_BOUND('',#16627,.T.); #8652=FACE_OUTER_BOUND('',#16628,.T.); #8653=FACE_OUTER_BOUND('',#16629,.T.); #8654=FACE_OUTER_BOUND('',#16630,.T.); #8655=FACE_OUTER_BOUND('',#16631,.T.); #8656=FACE_OUTER_BOUND('',#16632,.T.); #8657=FACE_OUTER_BOUND('',#16633,.T.); #8658=FACE_OUTER_BOUND('',#16634,.T.); #8659=FACE_OUTER_BOUND('',#16635,.T.); #8660=FACE_OUTER_BOUND('',#16636,.T.); #8661=FACE_OUTER_BOUND('',#16637,.T.); #8662=FACE_OUTER_BOUND('',#16638,.T.); #8663=FACE_OUTER_BOUND('',#16639,.T.); #8664=FACE_OUTER_BOUND('',#16640,.T.); #8665=FACE_OUTER_BOUND('',#16641,.T.); #8666=FACE_OUTER_BOUND('',#16642,.T.); #8667=FACE_OUTER_BOUND('',#16643,.T.); #8668=FACE_OUTER_BOUND('',#16644,.T.); #8669=FACE_OUTER_BOUND('',#16645,.T.); #8670=FACE_OUTER_BOUND('',#16646,.T.); #8671=FACE_OUTER_BOUND('',#16647,.T.); #8672=FACE_OUTER_BOUND('',#16648,.T.); #8673=FACE_OUTER_BOUND('',#16649,.T.); #8674=FACE_OUTER_BOUND('',#16650,.T.); #8675=FACE_OUTER_BOUND('',#16651,.T.); #8676=FACE_OUTER_BOUND('',#16652,.T.); #8677=FACE_OUTER_BOUND('',#16653,.T.); #8678=FACE_OUTER_BOUND('',#16654,.T.); #8679=FACE_OUTER_BOUND('',#16655,.T.); #8680=FACE_OUTER_BOUND('',#16656,.T.); #8681=FACE_OUTER_BOUND('',#16657,.T.); #8682=FACE_OUTER_BOUND('',#16658,.T.); #8683=FACE_OUTER_BOUND('',#16659,.T.); #8684=FACE_OUTER_BOUND('',#16660,.T.); #8685=FACE_OUTER_BOUND('',#16661,.T.); #8686=FACE_OUTER_BOUND('',#16662,.T.); #8687=FACE_OUTER_BOUND('',#16663,.T.); #8688=FACE_OUTER_BOUND('',#16664,.T.); #8689=FACE_OUTER_BOUND('',#16665,.T.); #8690=FACE_OUTER_BOUND('',#16666,.T.); #8691=FACE_OUTER_BOUND('',#16667,.T.); #8692=FACE_OUTER_BOUND('',#16668,.T.); #8693=FACE_OUTER_BOUND('',#16669,.T.); #8694=FACE_OUTER_BOUND('',#16670,.T.); #8695=FACE_OUTER_BOUND('',#16671,.T.); #8696=FACE_OUTER_BOUND('',#16672,.T.); #8697=FACE_OUTER_BOUND('',#16673,.T.); #8698=FACE_OUTER_BOUND('',#16674,.T.); #8699=FACE_OUTER_BOUND('',#16675,.T.); #8700=FACE_OUTER_BOUND('',#16676,.T.); #8701=FACE_OUTER_BOUND('',#16677,.T.); #8702=FACE_OUTER_BOUND('',#16678,.T.); #8703=FACE_OUTER_BOUND('',#16679,.T.); #8704=FACE_OUTER_BOUND('',#16680,.T.); #8705=FACE_OUTER_BOUND('',#16681,.T.); #8706=FACE_OUTER_BOUND('',#16682,.T.); #8707=FACE_OUTER_BOUND('',#16683,.T.); #8708=FACE_OUTER_BOUND('',#16684,.T.); #8709=FACE_OUTER_BOUND('',#16685,.T.); #8710=FACE_OUTER_BOUND('',#16686,.T.); #8711=FACE_OUTER_BOUND('',#16687,.T.); #8712=FACE_OUTER_BOUND('',#16688,.T.); #8713=FACE_OUTER_BOUND('',#16689,.T.); #8714=FACE_OUTER_BOUND('',#16690,.T.); #8715=FACE_OUTER_BOUND('',#16691,.T.); #8716=FACE_OUTER_BOUND('',#16692,.T.); #8717=FACE_OUTER_BOUND('',#16693,.T.); #8718=FACE_OUTER_BOUND('',#16694,.T.); #8719=FACE_OUTER_BOUND('',#16695,.T.); #8720=FACE_OUTER_BOUND('',#16696,.T.); #8721=FACE_OUTER_BOUND('',#16697,.T.); #8722=FACE_OUTER_BOUND('',#16698,.T.); #8723=FACE_OUTER_BOUND('',#16699,.T.); #8724=FACE_OUTER_BOUND('',#16700,.T.); #8725=FACE_OUTER_BOUND('',#16701,.T.); #8726=FACE_OUTER_BOUND('',#16702,.T.); #8727=FACE_OUTER_BOUND('',#16703,.T.); #8728=FACE_OUTER_BOUND('',#16704,.T.); #8729=FACE_OUTER_BOUND('',#16705,.T.); #8730=FACE_OUTER_BOUND('',#16706,.T.); #8731=FACE_OUTER_BOUND('',#16707,.T.); #8732=FACE_OUTER_BOUND('',#16708,.T.); #8733=FACE_OUTER_BOUND('',#16709,.T.); #8734=FACE_OUTER_BOUND('',#16710,.T.); #8735=FACE_OUTER_BOUND('',#16711,.T.); #8736=FACE_OUTER_BOUND('',#16712,.T.); #8737=FACE_OUTER_BOUND('',#16713,.T.); #8738=FACE_OUTER_BOUND('',#16714,.T.); #8739=FACE_OUTER_BOUND('',#16715,.T.); #8740=FACE_OUTER_BOUND('',#16716,.T.); #8741=FACE_OUTER_BOUND('',#16717,.T.); #8742=FACE_OUTER_BOUND('',#16718,.T.); #8743=FACE_OUTER_BOUND('',#16719,.T.); #8744=FACE_OUTER_BOUND('',#16720,.T.); #8745=FACE_OUTER_BOUND('',#16721,.T.); #8746=FACE_OUTER_BOUND('',#16722,.T.); #8747=FACE_OUTER_BOUND('',#16723,.T.); #8748=FACE_OUTER_BOUND('',#16724,.T.); #8749=FACE_OUTER_BOUND('',#16725,.T.); #8750=FACE_OUTER_BOUND('',#16726,.T.); #8751=FACE_OUTER_BOUND('',#16727,.T.); #8752=FACE_OUTER_BOUND('',#16728,.T.); #8753=FACE_OUTER_BOUND('',#16729,.T.); #8754=FACE_OUTER_BOUND('',#16730,.T.); #8755=FACE_OUTER_BOUND('',#16731,.T.); #8756=FACE_OUTER_BOUND('',#16732,.T.); #8757=FACE_OUTER_BOUND('',#16733,.T.); #8758=FACE_OUTER_BOUND('',#16734,.T.); #8759=FACE_OUTER_BOUND('',#16735,.T.); #8760=FACE_OUTER_BOUND('',#16737,.T.); #8761=FACE_OUTER_BOUND('',#16738,.T.); #8762=FACE_OUTER_BOUND('',#16739,.T.); #8763=FACE_OUTER_BOUND('',#16740,.T.); #8764=FACE_OUTER_BOUND('',#16741,.T.); #8765=FACE_OUTER_BOUND('',#16742,.T.); #8766=FACE_OUTER_BOUND('',#16743,.T.); #8767=FACE_OUTER_BOUND('',#16744,.T.); #8768=FACE_OUTER_BOUND('',#16745,.T.); #8769=FACE_OUTER_BOUND('',#16746,.T.); #8770=FACE_OUTER_BOUND('',#16747,.T.); #8771=FACE_OUTER_BOUND('',#16748,.T.); #8772=FACE_OUTER_BOUND('',#16749,.T.); #8773=FACE_OUTER_BOUND('',#16750,.T.); #8774=FACE_OUTER_BOUND('',#16751,.T.); #8775=FACE_OUTER_BOUND('',#16752,.T.); #8776=FACE_OUTER_BOUND('',#16753,.T.); #8777=FACE_OUTER_BOUND('',#16754,.T.); #8778=FACE_OUTER_BOUND('',#16755,.T.); #8779=FACE_OUTER_BOUND('',#16756,.T.); #8780=FACE_OUTER_BOUND('',#16757,.T.); #8781=FACE_OUTER_BOUND('',#16758,.T.); #8782=FACE_OUTER_BOUND('',#16759,.T.); #8783=FACE_OUTER_BOUND('',#16760,.T.); #8784=FACE_OUTER_BOUND('',#16761,.T.); #8785=FACE_OUTER_BOUND('',#16762,.T.); #8786=FACE_OUTER_BOUND('',#16763,.T.); #8787=FACE_OUTER_BOUND('',#16764,.T.); #8788=FACE_OUTER_BOUND('',#16765,.T.); #8789=FACE_OUTER_BOUND('',#16766,.T.); #8790=FACE_OUTER_BOUND('',#16767,.T.); #8791=FACE_OUTER_BOUND('',#16768,.T.); #8792=FACE_OUTER_BOUND('',#16769,.T.); #8793=FACE_OUTER_BOUND('',#16770,.T.); #8794=FACE_OUTER_BOUND('',#16771,.T.); #8795=FACE_OUTER_BOUND('',#16772,.T.); #8796=FACE_OUTER_BOUND('',#16773,.T.); #8797=FACE_OUTER_BOUND('',#16774,.T.); #8798=FACE_OUTER_BOUND('',#16775,.T.); #8799=FACE_OUTER_BOUND('',#16777,.T.); #8800=FACE_OUTER_BOUND('',#16778,.T.); #8801=FACE_OUTER_BOUND('',#16779,.T.); #8802=FACE_OUTER_BOUND('',#16780,.T.); #8803=FACE_OUTER_BOUND('',#16781,.T.); #8804=FACE_OUTER_BOUND('',#16782,.T.); #8805=FACE_OUTER_BOUND('',#16783,.T.); #8806=FACE_OUTER_BOUND('',#16784,.T.); #8807=FACE_OUTER_BOUND('',#16785,.T.); #8808=FACE_OUTER_BOUND('',#16786,.T.); #8809=FACE_OUTER_BOUND('',#16787,.T.); #8810=FACE_OUTER_BOUND('',#16788,.T.); #8811=FACE_OUTER_BOUND('',#16789,.T.); #8812=FACE_OUTER_BOUND('',#16790,.T.); #8813=FACE_OUTER_BOUND('',#16791,.T.); #8814=FACE_OUTER_BOUND('',#16792,.T.); #8815=FACE_OUTER_BOUND('',#16793,.T.); #8816=FACE_OUTER_BOUND('',#16794,.T.); #8817=FACE_OUTER_BOUND('',#16795,.T.); #8818=FACE_OUTER_BOUND('',#16796,.T.); #8819=FACE_OUTER_BOUND('',#16797,.T.); #8820=FACE_OUTER_BOUND('',#16798,.T.); #8821=FACE_OUTER_BOUND('',#16799,.T.); #8822=FACE_OUTER_BOUND('',#16800,.T.); #8823=FACE_OUTER_BOUND('',#16801,.T.); #8824=FACE_OUTER_BOUND('',#16802,.T.); #8825=FACE_OUTER_BOUND('',#16803,.T.); #8826=FACE_OUTER_BOUND('',#16804,.T.); #8827=FACE_OUTER_BOUND('',#16805,.T.); #8828=FACE_OUTER_BOUND('',#16806,.T.); #8829=FACE_OUTER_BOUND('',#16807,.T.); #8830=FACE_OUTER_BOUND('',#16808,.T.); #8831=FACE_OUTER_BOUND('',#16809,.T.); #8832=FACE_OUTER_BOUND('',#16810,.T.); #8833=FACE_OUTER_BOUND('',#16811,.T.); #8834=FACE_OUTER_BOUND('',#16812,.T.); #8835=FACE_OUTER_BOUND('',#16813,.T.); #8836=FACE_OUTER_BOUND('',#16814,.T.); #8837=FACE_OUTER_BOUND('',#16815,.T.); #8838=FACE_OUTER_BOUND('',#16816,.T.); #8839=FACE_OUTER_BOUND('',#16817,.T.); #8840=FACE_OUTER_BOUND('',#16818,.T.); #8841=FACE_OUTER_BOUND('',#16819,.T.); #8842=FACE_OUTER_BOUND('',#16820,.T.); #8843=FACE_OUTER_BOUND('',#16821,.T.); #8844=FACE_OUTER_BOUND('',#16822,.T.); #8845=FACE_OUTER_BOUND('',#16823,.T.); #8846=FACE_OUTER_BOUND('',#16824,.T.); #8847=FACE_OUTER_BOUND('',#16825,.T.); #8848=FACE_OUTER_BOUND('',#16826,.T.); #8849=FACE_OUTER_BOUND('',#16827,.T.); #8850=FACE_OUTER_BOUND('',#16828,.T.); #8851=FACE_OUTER_BOUND('',#16829,.T.); #8852=FACE_OUTER_BOUND('',#16830,.T.); #8853=FACE_OUTER_BOUND('',#16831,.T.); #8854=FACE_OUTER_BOUND('',#16832,.T.); #8855=FACE_OUTER_BOUND('',#16833,.T.); #8856=FACE_OUTER_BOUND('',#16834,.T.); #8857=FACE_OUTER_BOUND('',#16835,.T.); #8858=FACE_OUTER_BOUND('',#16836,.T.); #8859=FACE_OUTER_BOUND('',#16837,.T.); #8860=FACE_OUTER_BOUND('',#16838,.T.); #8861=FACE_OUTER_BOUND('',#16840,.T.); #8862=FACE_OUTER_BOUND('',#16841,.T.); #8863=FACE_OUTER_BOUND('',#16842,.T.); #8864=FACE_OUTER_BOUND('',#16843,.T.); #8865=FACE_OUTER_BOUND('',#16844,.T.); #8866=FACE_OUTER_BOUND('',#16845,.T.); #8867=FACE_OUTER_BOUND('',#16846,.T.); #8868=FACE_OUTER_BOUND('',#16847,.T.); #8869=FACE_OUTER_BOUND('',#16848,.T.); #8870=FACE_OUTER_BOUND('',#16849,.T.); #8871=FACE_OUTER_BOUND('',#16850,.T.); #8872=FACE_OUTER_BOUND('',#16851,.T.); #8873=FACE_OUTER_BOUND('',#16852,.T.); #8874=FACE_OUTER_BOUND('',#16853,.T.); #8875=FACE_OUTER_BOUND('',#16854,.T.); #8876=FACE_OUTER_BOUND('',#16855,.T.); #8877=FACE_OUTER_BOUND('',#16856,.T.); #8878=FACE_OUTER_BOUND('',#16857,.T.); #8879=FACE_OUTER_BOUND('',#16858,.T.); #8880=FACE_OUTER_BOUND('',#16859,.T.); #8881=FACE_OUTER_BOUND('',#16860,.T.); #8882=FACE_OUTER_BOUND('',#16861,.T.); #8883=FACE_OUTER_BOUND('',#16862,.T.); #8884=FACE_OUTER_BOUND('',#16863,.T.); #8885=FACE_OUTER_BOUND('',#16864,.T.); #8886=FACE_OUTER_BOUND('',#16865,.T.); #8887=FACE_OUTER_BOUND('',#16866,.T.); #8888=FACE_OUTER_BOUND('',#16867,.T.); #8889=FACE_OUTER_BOUND('',#16868,.T.); #8890=FACE_OUTER_BOUND('',#16869,.T.); #8891=FACE_OUTER_BOUND('',#16870,.T.); #8892=FACE_OUTER_BOUND('',#16871,.T.); #8893=FACE_OUTER_BOUND('',#16872,.T.); #8894=FACE_OUTER_BOUND('',#16873,.T.); #8895=FACE_OUTER_BOUND('',#16874,.T.); #8896=FACE_OUTER_BOUND('',#16875,.T.); #8897=FACE_OUTER_BOUND('',#16876,.T.); #8898=FACE_OUTER_BOUND('',#16877,.T.); #8899=FACE_OUTER_BOUND('',#16878,.T.); #8900=FACE_OUTER_BOUND('',#16879,.T.); #8901=FACE_OUTER_BOUND('',#16880,.T.); #8902=FACE_OUTER_BOUND('',#16881,.T.); #8903=FACE_OUTER_BOUND('',#16882,.T.); #8904=FACE_OUTER_BOUND('',#16883,.T.); #8905=FACE_OUTER_BOUND('',#16884,.T.); #8906=FACE_OUTER_BOUND('',#16885,.T.); #8907=FACE_OUTER_BOUND('',#16886,.T.); #8908=FACE_OUTER_BOUND('',#16887,.T.); #8909=FACE_OUTER_BOUND('',#16888,.T.); #8910=FACE_OUTER_BOUND('',#16889,.T.); #8911=FACE_OUTER_BOUND('',#16890,.T.); #8912=FACE_OUTER_BOUND('',#16891,.T.); #8913=FACE_OUTER_BOUND('',#16892,.T.); #8914=FACE_OUTER_BOUND('',#16893,.T.); #8915=FACE_OUTER_BOUND('',#16894,.T.); #8916=FACE_OUTER_BOUND('',#16895,.T.); #8917=FACE_OUTER_BOUND('',#16896,.T.); #8918=FACE_OUTER_BOUND('',#16897,.T.); #8919=FACE_OUTER_BOUND('',#16898,.T.); #8920=FACE_OUTER_BOUND('',#16899,.T.); #8921=FACE_OUTER_BOUND('',#16900,.T.); #8922=FACE_OUTER_BOUND('',#16901,.T.); #8923=FACE_OUTER_BOUND('',#16902,.T.); #8924=FACE_OUTER_BOUND('',#16903,.T.); #8925=FACE_OUTER_BOUND('',#16904,.T.); #8926=FACE_OUTER_BOUND('',#16905,.T.); #8927=FACE_OUTER_BOUND('',#16906,.T.); #8928=FACE_OUTER_BOUND('',#16907,.T.); #8929=FACE_OUTER_BOUND('',#16908,.T.); #8930=FACE_OUTER_BOUND('',#16909,.T.); #8931=FACE_OUTER_BOUND('',#16910,.T.); #8932=FACE_OUTER_BOUND('',#16911,.T.); #8933=FACE_OUTER_BOUND('',#16912,.T.); #8934=FACE_OUTER_BOUND('',#16913,.T.); #8935=FACE_OUTER_BOUND('',#16914,.T.); #8936=FACE_OUTER_BOUND('',#16915,.T.); #8937=FACE_OUTER_BOUND('',#16916,.T.); #8938=FACE_OUTER_BOUND('',#16917,.T.); #8939=FACE_OUTER_BOUND('',#16918,.T.); #8940=FACE_OUTER_BOUND('',#16919,.T.); #8941=FACE_OUTER_BOUND('',#16920,.T.); #8942=FACE_OUTER_BOUND('',#16921,.T.); #8943=FACE_OUTER_BOUND('',#16922,.T.); #8944=FACE_OUTER_BOUND('',#16923,.T.); #8945=FACE_OUTER_BOUND('',#16924,.T.); #8946=FACE_OUTER_BOUND('',#16925,.T.); #8947=FACE_OUTER_BOUND('',#16926,.T.); #8948=FACE_OUTER_BOUND('',#16927,.T.); #8949=FACE_OUTER_BOUND('',#16928,.T.); #8950=FACE_OUTER_BOUND('',#16929,.T.); #8951=FACE_OUTER_BOUND('',#16930,.T.); #8952=FACE_OUTER_BOUND('',#16931,.T.); #8953=FACE_OUTER_BOUND('',#16932,.T.); #8954=FACE_OUTER_BOUND('',#16933,.T.); #8955=FACE_OUTER_BOUND('',#16934,.T.); #8956=FACE_OUTER_BOUND('',#16935,.T.); #8957=FACE_OUTER_BOUND('',#16936,.T.); #8958=FACE_OUTER_BOUND('',#16937,.T.); #8959=FACE_OUTER_BOUND('',#16938,.T.); #8960=FACE_OUTER_BOUND('',#16939,.T.); #8961=FACE_OUTER_BOUND('',#16940,.T.); #8962=FACE_OUTER_BOUND('',#16941,.T.); #8963=FACE_OUTER_BOUND('',#16942,.T.); #8964=FACE_OUTER_BOUND('',#16943,.T.); #8965=FACE_OUTER_BOUND('',#16944,.T.); #8966=FACE_OUTER_BOUND('',#16945,.T.); #8967=FACE_OUTER_BOUND('',#16946,.T.); #8968=FACE_OUTER_BOUND('',#16947,.T.); #8969=FACE_OUTER_BOUND('',#16948,.T.); #8970=FACE_OUTER_BOUND('',#16949,.T.); #8971=FACE_OUTER_BOUND('',#16950,.T.); #8972=FACE_OUTER_BOUND('',#16951,.T.); #8973=FACE_OUTER_BOUND('',#16952,.T.); #8974=FACE_OUTER_BOUND('',#16953,.T.); #8975=FACE_OUTER_BOUND('',#16954,.T.); #8976=FACE_OUTER_BOUND('',#16955,.T.); #8977=FACE_OUTER_BOUND('',#16956,.T.); #8978=FACE_OUTER_BOUND('',#16957,.T.); #8979=FACE_OUTER_BOUND('',#16958,.T.); #8980=FACE_OUTER_BOUND('',#16959,.T.); #8981=FACE_OUTER_BOUND('',#16960,.T.); #8982=FACE_OUTER_BOUND('',#16961,.T.); #8983=FACE_OUTER_BOUND('',#16962,.T.); #8984=FACE_OUTER_BOUND('',#16963,.T.); #8985=FACE_OUTER_BOUND('',#16964,.T.); #8986=FACE_OUTER_BOUND('',#16965,.T.); #8987=FACE_OUTER_BOUND('',#16966,.T.); #8988=FACE_OUTER_BOUND('',#16967,.T.); #8989=FACE_OUTER_BOUND('',#16968,.T.); #8990=FACE_OUTER_BOUND('',#16969,.T.); #8991=FACE_OUTER_BOUND('',#16970,.T.); #8992=FACE_OUTER_BOUND('',#16971,.T.); #8993=FACE_OUTER_BOUND('',#16972,.T.); #8994=FACE_OUTER_BOUND('',#16973,.T.); #8995=FACE_OUTER_BOUND('',#16974,.T.); #8996=FACE_OUTER_BOUND('',#16975,.T.); #8997=FACE_OUTER_BOUND('',#16976,.T.); #8998=FACE_OUTER_BOUND('',#16978,.T.); #8999=FACE_OUTER_BOUND('',#16979,.T.); #9000=FACE_OUTER_BOUND('',#16980,.T.); #9001=FACE_OUTER_BOUND('',#16981,.T.); #9002=FACE_OUTER_BOUND('',#16982,.T.); #9003=FACE_OUTER_BOUND('',#16983,.T.); #9004=FACE_OUTER_BOUND('',#16984,.T.); #9005=FACE_OUTER_BOUND('',#16985,.T.); #9006=FACE_OUTER_BOUND('',#16986,.T.); #9007=FACE_OUTER_BOUND('',#16987,.T.); #9008=FACE_OUTER_BOUND('',#16988,.T.); #9009=FACE_OUTER_BOUND('',#16989,.T.); #9010=FACE_OUTER_BOUND('',#16990,.T.); #9011=FACE_OUTER_BOUND('',#16991,.T.); #9012=FACE_OUTER_BOUND('',#16992,.T.); #9013=FACE_OUTER_BOUND('',#16993,.T.); #9014=FACE_OUTER_BOUND('',#16994,.T.); #9015=FACE_OUTER_BOUND('',#16995,.T.); #9016=FACE_OUTER_BOUND('',#16996,.T.); #9017=FACE_OUTER_BOUND('',#16997,.T.); #9018=FACE_OUTER_BOUND('',#16998,.T.); #9019=FACE_OUTER_BOUND('',#16999,.T.); #9020=FACE_OUTER_BOUND('',#17000,.T.); #9021=FACE_OUTER_BOUND('',#17001,.T.); #9022=FACE_OUTER_BOUND('',#17002,.T.); #9023=FACE_OUTER_BOUND('',#17003,.T.); #9024=FACE_OUTER_BOUND('',#17004,.T.); #9025=FACE_OUTER_BOUND('',#17005,.T.); #9026=FACE_OUTER_BOUND('',#17006,.T.); #9027=FACE_OUTER_BOUND('',#17007,.T.); #9028=FACE_OUTER_BOUND('',#17008,.T.); #9029=FACE_OUTER_BOUND('',#17009,.T.); #9030=FACE_OUTER_BOUND('',#17010,.T.); #9031=FACE_OUTER_BOUND('',#17011,.T.); #9032=FACE_OUTER_BOUND('',#17012,.T.); #9033=FACE_OUTER_BOUND('',#17013,.T.); #9034=FACE_OUTER_BOUND('',#17014,.T.); #9035=FACE_OUTER_BOUND('',#17015,.T.); #9036=FACE_OUTER_BOUND('',#17016,.T.); #9037=FACE_OUTER_BOUND('',#17017,.T.); #9038=FACE_OUTER_BOUND('',#17018,.T.); #9039=FACE_OUTER_BOUND('',#17019,.T.); #9040=FACE_OUTER_BOUND('',#17020,.T.); #9041=FACE_OUTER_BOUND('',#17021,.T.); #9042=FACE_OUTER_BOUND('',#17022,.T.); #9043=FACE_OUTER_BOUND('',#17023,.T.); #9044=FACE_OUTER_BOUND('',#17024,.T.); #9045=FACE_OUTER_BOUND('',#17025,.T.); #9046=FACE_OUTER_BOUND('',#17026,.T.); #9047=FACE_OUTER_BOUND('',#17027,.T.); #9048=FACE_OUTER_BOUND('',#17028,.T.); #9049=FACE_OUTER_BOUND('',#17029,.T.); #9050=FACE_OUTER_BOUND('',#17030,.T.); #9051=FACE_OUTER_BOUND('',#17031,.T.); #9052=FACE_OUTER_BOUND('',#17032,.T.); #9053=FACE_OUTER_BOUND('',#17033,.T.); #9054=FACE_OUTER_BOUND('',#17034,.T.); #9055=FACE_OUTER_BOUND('',#17035,.T.); #9056=FACE_OUTER_BOUND('',#17036,.T.); #9057=FACE_OUTER_BOUND('',#17037,.T.); #9058=FACE_OUTER_BOUND('',#17038,.T.); #9059=FACE_OUTER_BOUND('',#17039,.T.); #9060=FACE_OUTER_BOUND('',#17040,.T.); #9061=FACE_OUTER_BOUND('',#17041,.T.); #9062=FACE_OUTER_BOUND('',#17042,.T.); #9063=FACE_OUTER_BOUND('',#17043,.T.); #9064=FACE_OUTER_BOUND('',#17044,.T.); #9065=FACE_OUTER_BOUND('',#17045,.T.); #9066=FACE_OUTER_BOUND('',#17046,.T.); #9067=FACE_OUTER_BOUND('',#17047,.T.); #9068=FACE_OUTER_BOUND('',#17048,.T.); #9069=FACE_OUTER_BOUND('',#17049,.T.); #9070=FACE_OUTER_BOUND('',#17050,.T.); #9071=FACE_OUTER_BOUND('',#17051,.T.); #9072=FACE_OUTER_BOUND('',#17052,.T.); #9073=FACE_OUTER_BOUND('',#17053,.T.); #9074=FACE_OUTER_BOUND('',#17054,.T.); #9075=FACE_OUTER_BOUND('',#17055,.T.); #9076=FACE_OUTER_BOUND('',#17056,.T.); #9077=FACE_OUTER_BOUND('',#17057,.T.); #9078=FACE_OUTER_BOUND('',#17058,.T.); #9079=FACE_OUTER_BOUND('',#17059,.T.); #9080=FACE_OUTER_BOUND('',#17060,.T.); #9081=FACE_OUTER_BOUND('',#17061,.T.); #9082=FACE_OUTER_BOUND('',#17062,.T.); #9083=FACE_OUTER_BOUND('',#17063,.T.); #9084=FACE_OUTER_BOUND('',#17064,.T.); #9085=FACE_OUTER_BOUND('',#17065,.T.); #9086=FACE_OUTER_BOUND('',#17066,.T.); #9087=FACE_OUTER_BOUND('',#17067,.T.); #9088=FACE_OUTER_BOUND('',#17068,.T.); #9089=FACE_OUTER_BOUND('',#17069,.T.); #9090=FACE_OUTER_BOUND('',#17070,.T.); #9091=FACE_OUTER_BOUND('',#17071,.T.); #9092=FACE_OUTER_BOUND('',#17072,.T.); #9093=FACE_OUTER_BOUND('',#17073,.T.); #9094=FACE_OUTER_BOUND('',#17074,.T.); #9095=FACE_OUTER_BOUND('',#17075,.T.); #9096=FACE_OUTER_BOUND('',#17076,.T.); #9097=FACE_OUTER_BOUND('',#17077,.T.); #9098=FACE_OUTER_BOUND('',#17078,.T.); #9099=FACE_OUTER_BOUND('',#17079,.T.); #9100=FACE_OUTER_BOUND('',#17080,.T.); #9101=FACE_OUTER_BOUND('',#17081,.T.); #9102=FACE_OUTER_BOUND('',#17082,.T.); #9103=FACE_OUTER_BOUND('',#17083,.T.); #9104=FACE_OUTER_BOUND('',#17084,.T.); #9105=FACE_OUTER_BOUND('',#17085,.T.); #9106=FACE_OUTER_BOUND('',#17086,.T.); #9107=FACE_OUTER_BOUND('',#17087,.T.); #9108=FACE_OUTER_BOUND('',#17088,.T.); #9109=FACE_OUTER_BOUND('',#17089,.T.); #9110=FACE_OUTER_BOUND('',#17090,.T.); #9111=FACE_OUTER_BOUND('',#17091,.T.); #9112=FACE_OUTER_BOUND('',#17092,.T.); #9113=FACE_OUTER_BOUND('',#17093,.T.); #9114=FACE_OUTER_BOUND('',#17094,.T.); #9115=FACE_OUTER_BOUND('',#17095,.T.); #9116=FACE_OUTER_BOUND('',#17096,.T.); #9117=FACE_OUTER_BOUND('',#17097,.T.); #9118=FACE_OUTER_BOUND('',#17098,.T.); #9119=FACE_OUTER_BOUND('',#17099,.T.); #9120=FACE_OUTER_BOUND('',#17100,.T.); #9121=FACE_OUTER_BOUND('',#17101,.T.); #9122=FACE_OUTER_BOUND('',#17102,.T.); #9123=FACE_OUTER_BOUND('',#17103,.T.); #9124=FACE_OUTER_BOUND('',#17104,.T.); #9125=FACE_OUTER_BOUND('',#17105,.T.); #9126=FACE_OUTER_BOUND('',#17106,.T.); #9127=FACE_OUTER_BOUND('',#17107,.T.); #9128=FACE_OUTER_BOUND('',#17108,.T.); #9129=FACE_OUTER_BOUND('',#17109,.T.); #9130=FACE_OUTER_BOUND('',#17110,.T.); #9131=FACE_OUTER_BOUND('',#17111,.T.); #9132=FACE_OUTER_BOUND('',#17112,.T.); #9133=FACE_OUTER_BOUND('',#17113,.T.); #9134=FACE_OUTER_BOUND('',#17114,.T.); #9135=FACE_OUTER_BOUND('',#17115,.T.); #9136=FACE_OUTER_BOUND('',#17116,.T.); #9137=FACE_OUTER_BOUND('',#17117,.T.); #9138=FACE_OUTER_BOUND('',#17118,.T.); #9139=FACE_OUTER_BOUND('',#17119,.T.); #9140=FACE_OUTER_BOUND('',#17120,.T.); #9141=FACE_OUTER_BOUND('',#17121,.T.); #9142=FACE_OUTER_BOUND('',#17122,.T.); #9143=FACE_OUTER_BOUND('',#17123,.T.); #9144=FACE_OUTER_BOUND('',#17124,.T.); #9145=FACE_OUTER_BOUND('',#17125,.T.); #9146=FACE_OUTER_BOUND('',#17126,.T.); #9147=FACE_OUTER_BOUND('',#17127,.T.); #9148=FACE_OUTER_BOUND('',#17128,.T.); #9149=FACE_OUTER_BOUND('',#17129,.T.); #9150=FACE_OUTER_BOUND('',#17130,.T.); #9151=FACE_OUTER_BOUND('',#17131,.T.); #9152=FACE_OUTER_BOUND('',#17132,.T.); #9153=FACE_OUTER_BOUND('',#17133,.T.); #9154=FACE_OUTER_BOUND('',#17134,.T.); #9155=FACE_OUTER_BOUND('',#17135,.T.); #9156=FACE_OUTER_BOUND('',#17136,.T.); #9157=FACE_OUTER_BOUND('',#17137,.T.); #9158=FACE_OUTER_BOUND('',#17138,.T.); #9159=FACE_OUTER_BOUND('',#17139,.T.); #9160=FACE_OUTER_BOUND('',#17140,.T.); #9161=FACE_OUTER_BOUND('',#17141,.T.); #9162=FACE_OUTER_BOUND('',#17142,.T.); #9163=FACE_OUTER_BOUND('',#17143,.T.); #9164=FACE_OUTER_BOUND('',#17144,.T.); #9165=FACE_OUTER_BOUND('',#17145,.T.); #9166=FACE_OUTER_BOUND('',#17146,.T.); #9167=FACE_OUTER_BOUND('',#17147,.T.); #9168=FACE_OUTER_BOUND('',#17148,.T.); #9169=FACE_OUTER_BOUND('',#17149,.T.); #9170=FACE_OUTER_BOUND('',#17150,.T.); #9171=FACE_OUTER_BOUND('',#17151,.T.); #9172=FACE_OUTER_BOUND('',#17152,.T.); #9173=FACE_OUTER_BOUND('',#17153,.T.); #9174=FACE_OUTER_BOUND('',#17154,.T.); #9175=FACE_OUTER_BOUND('',#17155,.T.); #9176=FACE_OUTER_BOUND('',#17156,.T.); #9177=FACE_OUTER_BOUND('',#17157,.T.); #9178=FACE_OUTER_BOUND('',#17158,.T.); #9179=FACE_OUTER_BOUND('',#17159,.T.); #9180=FACE_OUTER_BOUND('',#17160,.T.); #9181=FACE_OUTER_BOUND('',#17161,.T.); #9182=FACE_OUTER_BOUND('',#17162,.T.); #9183=FACE_OUTER_BOUND('',#17163,.T.); #9184=FACE_OUTER_BOUND('',#17164,.T.); #9185=FACE_OUTER_BOUND('',#17166,.T.); #9186=FACE_OUTER_BOUND('',#17167,.T.); #9187=FACE_OUTER_BOUND('',#17168,.T.); #9188=FACE_OUTER_BOUND('',#17169,.T.); #9189=FACE_OUTER_BOUND('',#17170,.T.); #9190=FACE_OUTER_BOUND('',#17171,.T.); #9191=FACE_OUTER_BOUND('',#17172,.T.); #9192=FACE_OUTER_BOUND('',#17173,.T.); #9193=FACE_OUTER_BOUND('',#17174,.T.); #9194=FACE_OUTER_BOUND('',#17175,.T.); #9195=FACE_OUTER_BOUND('',#17176,.T.); #9196=FACE_OUTER_BOUND('',#17177,.T.); #9197=FACE_OUTER_BOUND('',#17178,.T.); #9198=FACE_OUTER_BOUND('',#17179,.T.); #9199=FACE_OUTER_BOUND('',#17180,.T.); #9200=FACE_OUTER_BOUND('',#17181,.T.); #9201=FACE_OUTER_BOUND('',#17182,.T.); #9202=FACE_OUTER_BOUND('',#17183,.T.); #9203=FACE_OUTER_BOUND('',#17184,.T.); #9204=FACE_OUTER_BOUND('',#17185,.T.); #9205=FACE_OUTER_BOUND('',#17186,.T.); #9206=FACE_OUTER_BOUND('',#17187,.T.); #9207=FACE_OUTER_BOUND('',#17188,.T.); #9208=FACE_OUTER_BOUND('',#17189,.T.); #9209=FACE_OUTER_BOUND('',#17190,.T.); #9210=FACE_OUTER_BOUND('',#17191,.T.); #9211=FACE_OUTER_BOUND('',#17192,.T.); #9212=FACE_OUTER_BOUND('',#17193,.T.); #9213=FACE_OUTER_BOUND('',#17194,.T.); #9214=FACE_OUTER_BOUND('',#17195,.T.); #9215=FACE_OUTER_BOUND('',#17196,.T.); #9216=FACE_OUTER_BOUND('',#17197,.T.); #9217=FACE_OUTER_BOUND('',#17198,.T.); #9218=FACE_OUTER_BOUND('',#17199,.T.); #9219=FACE_OUTER_BOUND('',#17200,.T.); #9220=FACE_OUTER_BOUND('',#17201,.T.); #9221=FACE_OUTER_BOUND('',#17202,.T.); #9222=FACE_OUTER_BOUND('',#17203,.T.); #9223=FACE_OUTER_BOUND('',#17204,.T.); #9224=FACE_OUTER_BOUND('',#17205,.T.); #9225=FACE_OUTER_BOUND('',#17206,.T.); #9226=FACE_OUTER_BOUND('',#17207,.T.); #9227=FACE_OUTER_BOUND('',#17208,.T.); #9228=FACE_OUTER_BOUND('',#17209,.T.); #9229=FACE_OUTER_BOUND('',#17210,.T.); #9230=FACE_OUTER_BOUND('',#17211,.T.); #9231=FACE_OUTER_BOUND('',#17212,.T.); #9232=FACE_OUTER_BOUND('',#17213,.T.); #9233=FACE_OUTER_BOUND('',#17214,.T.); #9234=FACE_OUTER_BOUND('',#17215,.T.); #9235=FACE_OUTER_BOUND('',#17216,.T.); #9236=FACE_OUTER_BOUND('',#17217,.T.); #9237=FACE_OUTER_BOUND('',#17218,.T.); #9238=FACE_OUTER_BOUND('',#17219,.T.); #9239=FACE_OUTER_BOUND('',#17220,.T.); #9240=FACE_OUTER_BOUND('',#17221,.T.); #9241=FACE_OUTER_BOUND('',#17222,.T.); #9242=FACE_OUTER_BOUND('',#17223,.T.); #9243=FACE_OUTER_BOUND('',#17224,.T.); #9244=FACE_OUTER_BOUND('',#17225,.T.); #9245=FACE_OUTER_BOUND('',#17226,.T.); #9246=FACE_OUTER_BOUND('',#17227,.T.); #9247=FACE_OUTER_BOUND('',#17228,.T.); #9248=FACE_OUTER_BOUND('',#17229,.T.); #9249=FACE_OUTER_BOUND('',#17230,.T.); #9250=FACE_OUTER_BOUND('',#17231,.T.); #9251=FACE_OUTER_BOUND('',#17232,.T.); #9252=FACE_OUTER_BOUND('',#17233,.T.); #9253=FACE_OUTER_BOUND('',#17234,.T.); #9254=FACE_OUTER_BOUND('',#17235,.T.); #9255=FACE_OUTER_BOUND('',#17236,.T.); #9256=FACE_OUTER_BOUND('',#17237,.T.); #9257=FACE_OUTER_BOUND('',#17238,.T.); #9258=FACE_OUTER_BOUND('',#17239,.T.); #9259=FACE_OUTER_BOUND('',#17240,.T.); #9260=FACE_OUTER_BOUND('',#17241,.T.); #9261=FACE_OUTER_BOUND('',#17242,.T.); #9262=FACE_OUTER_BOUND('',#17243,.T.); #9263=FACE_OUTER_BOUND('',#17244,.T.); #9264=FACE_OUTER_BOUND('',#17245,.T.); #9265=FACE_OUTER_BOUND('',#17246,.T.); #9266=FACE_OUTER_BOUND('',#17247,.T.); #9267=FACE_OUTER_BOUND('',#17248,.T.); #9268=FACE_OUTER_BOUND('',#17249,.T.); #9269=FACE_OUTER_BOUND('',#17250,.T.); #9270=FACE_OUTER_BOUND('',#17251,.T.); #9271=FACE_OUTER_BOUND('',#17252,.T.); #9272=FACE_OUTER_BOUND('',#17253,.T.); #9273=FACE_OUTER_BOUND('',#17254,.T.); #9274=FACE_OUTER_BOUND('',#17255,.T.); #9275=FACE_OUTER_BOUND('',#17256,.T.); #9276=FACE_OUTER_BOUND('',#17257,.T.); #9277=FACE_OUTER_BOUND('',#17258,.T.); #9278=FACE_OUTER_BOUND('',#17259,.T.); #9279=FACE_OUTER_BOUND('',#17260,.T.); #9280=FACE_OUTER_BOUND('',#17261,.T.); #9281=FACE_OUTER_BOUND('',#17262,.T.); #9282=FACE_OUTER_BOUND('',#17263,.T.); #9283=FACE_OUTER_BOUND('',#17264,.T.); #9284=FACE_OUTER_BOUND('',#17265,.T.); #9285=FACE_OUTER_BOUND('',#17266,.T.); #9286=FACE_OUTER_BOUND('',#17267,.T.); #9287=FACE_OUTER_BOUND('',#17268,.T.); #9288=FACE_OUTER_BOUND('',#17269,.T.); #9289=FACE_OUTER_BOUND('',#17270,.T.); #9290=FACE_OUTER_BOUND('',#17271,.T.); #9291=FACE_OUTER_BOUND('',#17272,.T.); #9292=FACE_OUTER_BOUND('',#17273,.T.); #9293=FACE_OUTER_BOUND('',#17274,.T.); #9294=FACE_OUTER_BOUND('',#17275,.T.); #9295=FACE_OUTER_BOUND('',#17276,.T.); #9296=FACE_OUTER_BOUND('',#17277,.T.); #9297=FACE_OUTER_BOUND('',#17278,.T.); #9298=FACE_OUTER_BOUND('',#17279,.T.); #9299=FACE_OUTER_BOUND('',#17280,.T.); #9300=FACE_OUTER_BOUND('',#17281,.T.); #9301=FACE_OUTER_BOUND('',#17282,.T.); #9302=FACE_OUTER_BOUND('',#17283,.T.); #9303=FACE_OUTER_BOUND('',#17284,.T.); #9304=FACE_OUTER_BOUND('',#17285,.T.); #9305=FACE_OUTER_BOUND('',#17286,.T.); #9306=FACE_OUTER_BOUND('',#17287,.T.); #9307=FACE_OUTER_BOUND('',#17288,.T.); #9308=FACE_OUTER_BOUND('',#17289,.T.); #9309=FACE_OUTER_BOUND('',#17290,.T.); #9310=FACE_OUTER_BOUND('',#17291,.T.); #9311=FACE_OUTER_BOUND('',#17292,.T.); #9312=FACE_OUTER_BOUND('',#17293,.T.); #9313=FACE_OUTER_BOUND('',#17294,.T.); #9314=FACE_OUTER_BOUND('',#17295,.T.); #9315=FACE_OUTER_BOUND('',#17296,.T.); #9316=FACE_OUTER_BOUND('',#17297,.T.); #9317=FACE_OUTER_BOUND('',#17298,.T.); #9318=FACE_OUTER_BOUND('',#17299,.T.); #9319=FACE_OUTER_BOUND('',#17300,.T.); #9320=FACE_OUTER_BOUND('',#17301,.T.); #9321=FACE_OUTER_BOUND('',#17302,.T.); #9322=FACE_OUTER_BOUND('',#17303,.T.); #9323=FACE_OUTER_BOUND('',#17304,.T.); #9324=FACE_OUTER_BOUND('',#17305,.T.); #9325=FACE_OUTER_BOUND('',#17306,.T.); #9326=FACE_OUTER_BOUND('',#17307,.T.); #9327=FACE_OUTER_BOUND('',#17308,.T.); #9328=FACE_OUTER_BOUND('',#17309,.T.); #9329=FACE_OUTER_BOUND('',#17310,.T.); #9330=FACE_OUTER_BOUND('',#17311,.T.); #9331=FACE_OUTER_BOUND('',#17312,.T.); #9332=FACE_OUTER_BOUND('',#17313,.T.); #9333=FACE_OUTER_BOUND('',#17314,.T.); #9334=FACE_OUTER_BOUND('',#17315,.T.); #9335=FACE_OUTER_BOUND('',#17316,.T.); #9336=FACE_OUTER_BOUND('',#17317,.T.); #9337=FACE_OUTER_BOUND('',#17318,.T.); #9338=FACE_OUTER_BOUND('',#17319,.T.); #9339=FACE_OUTER_BOUND('',#17320,.T.); #9340=FACE_OUTER_BOUND('',#17321,.T.); #9341=FACE_OUTER_BOUND('',#17322,.T.); #9342=FACE_OUTER_BOUND('',#17323,.T.); #9343=FACE_OUTER_BOUND('',#17324,.T.); #9344=FACE_OUTER_BOUND('',#17325,.T.); #9345=FACE_OUTER_BOUND('',#17326,.T.); #9346=FACE_OUTER_BOUND('',#17327,.T.); #9347=FACE_OUTER_BOUND('',#17328,.T.); #9348=FACE_OUTER_BOUND('',#17329,.T.); #9349=FACE_OUTER_BOUND('',#17330,.T.); #9350=FACE_OUTER_BOUND('',#17331,.T.); #9351=FACE_OUTER_BOUND('',#17332,.T.); #9352=FACE_OUTER_BOUND('',#17333,.T.); #9353=FACE_OUTER_BOUND('',#17334,.T.); #9354=FACE_OUTER_BOUND('',#17335,.T.); #9355=FACE_OUTER_BOUND('',#17336,.T.); #9356=FACE_OUTER_BOUND('',#17337,.T.); #9357=FACE_OUTER_BOUND('',#17338,.T.); #9358=FACE_OUTER_BOUND('',#17339,.T.); #9359=FACE_OUTER_BOUND('',#17340,.T.); #9360=FACE_OUTER_BOUND('',#17341,.T.); #9361=FACE_OUTER_BOUND('',#17342,.T.); #9362=FACE_OUTER_BOUND('',#17343,.T.); #9363=FACE_OUTER_BOUND('',#17344,.T.); #9364=FACE_OUTER_BOUND('',#17345,.T.); #9365=FACE_OUTER_BOUND('',#17346,.T.); #9366=FACE_OUTER_BOUND('',#17347,.T.); #9367=FACE_OUTER_BOUND('',#17348,.T.); #9368=FACE_OUTER_BOUND('',#17349,.T.); #9369=FACE_OUTER_BOUND('',#17350,.T.); #9370=FACE_OUTER_BOUND('',#17351,.T.); #9371=FACE_OUTER_BOUND('',#17352,.T.); #9372=FACE_OUTER_BOUND('',#17353,.T.); #9373=FACE_OUTER_BOUND('',#17354,.T.); #9374=FACE_OUTER_BOUND('',#17355,.T.); #9375=FACE_OUTER_BOUND('',#17356,.T.); #9376=FACE_OUTER_BOUND('',#17357,.T.); #9377=FACE_OUTER_BOUND('',#17358,.T.); #9378=FACE_OUTER_BOUND('',#17359,.T.); #9379=FACE_OUTER_BOUND('',#17360,.T.); #9380=FACE_OUTER_BOUND('',#17361,.T.); #9381=FACE_OUTER_BOUND('',#17362,.T.); #9382=FACE_OUTER_BOUND('',#17363,.T.); #9383=FACE_OUTER_BOUND('',#17364,.T.); #9384=FACE_OUTER_BOUND('',#17365,.T.); #9385=FACE_OUTER_BOUND('',#17366,.T.); #9386=FACE_OUTER_BOUND('',#17367,.T.); #9387=FACE_OUTER_BOUND('',#17368,.T.); #9388=FACE_OUTER_BOUND('',#17369,.T.); #9389=FACE_OUTER_BOUND('',#17370,.T.); #9390=FACE_OUTER_BOUND('',#17371,.T.); #9391=FACE_OUTER_BOUND('',#17372,.T.); #9392=FACE_OUTER_BOUND('',#17373,.T.); #9393=FACE_OUTER_BOUND('',#17374,.T.); #9394=FACE_OUTER_BOUND('',#17375,.T.); #9395=FACE_OUTER_BOUND('',#17376,.T.); #9396=FACE_OUTER_BOUND('',#17377,.T.); #9397=FACE_OUTER_BOUND('',#17378,.T.); #9398=FACE_OUTER_BOUND('',#17379,.T.); #9399=FACE_OUTER_BOUND('',#17380,.T.); #9400=FACE_OUTER_BOUND('',#17381,.T.); #9401=FACE_OUTER_BOUND('',#17382,.T.); #9402=FACE_OUTER_BOUND('',#17383,.T.); #9403=FACE_OUTER_BOUND('',#17384,.T.); #9404=FACE_OUTER_BOUND('',#17385,.T.); #9405=FACE_OUTER_BOUND('',#17386,.T.); #9406=FACE_OUTER_BOUND('',#17387,.T.); #9407=FACE_OUTER_BOUND('',#17388,.T.); #9408=FACE_OUTER_BOUND('',#17389,.T.); #9409=FACE_OUTER_BOUND('',#17390,.T.); #9410=FACE_OUTER_BOUND('',#17391,.T.); #9411=FACE_OUTER_BOUND('',#17392,.T.); #9412=FACE_OUTER_BOUND('',#17393,.T.); #9413=FACE_OUTER_BOUND('',#17394,.T.); #9414=FACE_OUTER_BOUND('',#17395,.T.); #9415=FACE_OUTER_BOUND('',#17396,.T.); #9416=FACE_OUTER_BOUND('',#17397,.T.); #9417=FACE_OUTER_BOUND('',#17398,.T.); #9418=FACE_OUTER_BOUND('',#17399,.T.); #9419=FACE_OUTER_BOUND('',#17400,.T.); #9420=FACE_OUTER_BOUND('',#17401,.T.); #9421=FACE_OUTER_BOUND('',#17402,.T.); #9422=FACE_OUTER_BOUND('',#17403,.T.); #9423=FACE_OUTER_BOUND('',#17404,.T.); #9424=FACE_OUTER_BOUND('',#17405,.T.); #9425=FACE_OUTER_BOUND('',#17406,.T.); #9426=FACE_OUTER_BOUND('',#17407,.T.); #9427=FACE_OUTER_BOUND('',#17408,.T.); #9428=FACE_OUTER_BOUND('',#17409,.T.); #9429=FACE_OUTER_BOUND('',#17410,.T.); #9430=FACE_OUTER_BOUND('',#17411,.T.); #9431=FACE_OUTER_BOUND('',#17412,.T.); #9432=FACE_OUTER_BOUND('',#17413,.T.); #9433=FACE_OUTER_BOUND('',#17414,.T.); #9434=FACE_OUTER_BOUND('',#17415,.T.); #9435=FACE_OUTER_BOUND('',#17416,.T.); #9436=FACE_OUTER_BOUND('',#17417,.T.); #9437=FACE_OUTER_BOUND('',#17418,.T.); #9438=FACE_OUTER_BOUND('',#17419,.T.); #9439=FACE_OUTER_BOUND('',#17420,.T.); #9440=FACE_OUTER_BOUND('',#17421,.T.); #9441=FACE_OUTER_BOUND('',#17422,.T.); #9442=FACE_OUTER_BOUND('',#17423,.T.); #9443=FACE_OUTER_BOUND('',#17424,.T.); #9444=FACE_OUTER_BOUND('',#17425,.T.); #9445=FACE_OUTER_BOUND('',#17426,.T.); #9446=FACE_OUTER_BOUND('',#17427,.T.); #9447=FACE_OUTER_BOUND('',#17428,.T.); #9448=FACE_OUTER_BOUND('',#17429,.T.); #9449=FACE_OUTER_BOUND('',#17430,.T.); #9450=FACE_OUTER_BOUND('',#17431,.T.); #9451=FACE_OUTER_BOUND('',#17432,.T.); #9452=FACE_OUTER_BOUND('',#17433,.T.); #9453=FACE_OUTER_BOUND('',#17434,.T.); #9454=FACE_OUTER_BOUND('',#17435,.T.); #9455=FACE_OUTER_BOUND('',#17436,.T.); #9456=FACE_OUTER_BOUND('',#17437,.T.); #9457=FACE_OUTER_BOUND('',#17438,.T.); #9458=FACE_OUTER_BOUND('',#17439,.T.); #9459=FACE_OUTER_BOUND('',#17440,.T.); #9460=FACE_OUTER_BOUND('',#17441,.T.); #9461=FACE_OUTER_BOUND('',#17442,.T.); #9462=FACE_OUTER_BOUND('',#17443,.T.); #9463=FACE_OUTER_BOUND('',#17444,.T.); #9464=FACE_OUTER_BOUND('',#17445,.T.); #9465=FACE_OUTER_BOUND('',#17446,.T.); #9466=FACE_OUTER_BOUND('',#17447,.T.); #9467=FACE_OUTER_BOUND('',#17448,.T.); #9468=FACE_OUTER_BOUND('',#17449,.T.); #9469=FACE_OUTER_BOUND('',#17450,.T.); #9470=FACE_OUTER_BOUND('',#17451,.T.); #9471=FACE_OUTER_BOUND('',#17452,.T.); #9472=FACE_OUTER_BOUND('',#17453,.T.); #9473=FACE_OUTER_BOUND('',#17454,.T.); #9474=FACE_OUTER_BOUND('',#17455,.T.); #9475=FACE_OUTER_BOUND('',#17456,.T.); #9476=FACE_OUTER_BOUND('',#17457,.T.); #9477=FACE_OUTER_BOUND('',#17458,.T.); #9478=FACE_OUTER_BOUND('',#17459,.T.); #9479=FACE_OUTER_BOUND('',#17460,.T.); #9480=FACE_OUTER_BOUND('',#17461,.T.); #9481=FACE_OUTER_BOUND('',#17462,.T.); #9482=FACE_OUTER_BOUND('',#17463,.T.); #9483=FACE_OUTER_BOUND('',#17464,.T.); #9484=FACE_OUTER_BOUND('',#17465,.T.); #9485=FACE_OUTER_BOUND('',#17466,.T.); #9486=FACE_OUTER_BOUND('',#17467,.T.); #9487=FACE_OUTER_BOUND('',#17468,.T.); #9488=FACE_OUTER_BOUND('',#17469,.T.); #9489=FACE_OUTER_BOUND('',#17470,.T.); #9490=FACE_OUTER_BOUND('',#17471,.T.); #9491=FACE_OUTER_BOUND('',#17472,.T.); #9492=FACE_OUTER_BOUND('',#17473,.T.); #9493=FACE_OUTER_BOUND('',#17474,.T.); #9494=FACE_OUTER_BOUND('',#17475,.T.); #9495=FACE_OUTER_BOUND('',#17476,.T.); #9496=FACE_OUTER_BOUND('',#17477,.T.); #9497=FACE_OUTER_BOUND('',#17478,.T.); #9498=FACE_OUTER_BOUND('',#17479,.T.); #9499=FACE_OUTER_BOUND('',#17480,.T.); #9500=FACE_OUTER_BOUND('',#17481,.T.); #9501=FACE_OUTER_BOUND('',#17482,.T.); #9502=FACE_OUTER_BOUND('',#17483,.T.); #9503=FACE_OUTER_BOUND('',#17485,.T.); #9504=FACE_OUTER_BOUND('',#17486,.T.); #9505=FACE_OUTER_BOUND('',#17487,.T.); #9506=FACE_OUTER_BOUND('',#17488,.T.); #9507=FACE_OUTER_BOUND('',#17489,.T.); #9508=FACE_OUTER_BOUND('',#17490,.T.); #9509=FACE_OUTER_BOUND('',#17491,.T.); #9510=FACE_OUTER_BOUND('',#17492,.T.); #9511=FACE_OUTER_BOUND('',#17493,.T.); #9512=FACE_OUTER_BOUND('',#17494,.T.); #9513=FACE_OUTER_BOUND('',#17495,.T.); #9514=FACE_OUTER_BOUND('',#17496,.T.); #9515=FACE_OUTER_BOUND('',#17497,.T.); #9516=FACE_OUTER_BOUND('',#17498,.T.); #9517=FACE_OUTER_BOUND('',#17499,.T.); #9518=FACE_OUTER_BOUND('',#17500,.T.); #9519=FACE_OUTER_BOUND('',#17501,.T.); #9520=FACE_OUTER_BOUND('',#17502,.T.); #9521=FACE_OUTER_BOUND('',#17503,.T.); #9522=FACE_OUTER_BOUND('',#17504,.T.); #9523=FACE_OUTER_BOUND('',#17505,.T.); #9524=FACE_OUTER_BOUND('',#17506,.T.); #9525=FACE_OUTER_BOUND('',#17507,.T.); #9526=FACE_OUTER_BOUND('',#17508,.T.); #9527=FACE_OUTER_BOUND('',#17509,.T.); #9528=FACE_OUTER_BOUND('',#17510,.T.); #9529=FACE_OUTER_BOUND('',#17511,.T.); #9530=FACE_OUTER_BOUND('',#17512,.T.); #9531=FACE_OUTER_BOUND('',#17513,.T.); #9532=FACE_OUTER_BOUND('',#17514,.T.); #9533=FACE_OUTER_BOUND('',#17515,.T.); #9534=FACE_OUTER_BOUND('',#17516,.T.); #9535=FACE_OUTER_BOUND('',#17517,.T.); #9536=FACE_OUTER_BOUND('',#17518,.T.); #9537=FACE_OUTER_BOUND('',#17519,.T.); #9538=FACE_OUTER_BOUND('',#17520,.T.); #9539=FACE_OUTER_BOUND('',#17521,.T.); #9540=FACE_OUTER_BOUND('',#17522,.T.); #9541=FACE_OUTER_BOUND('',#17523,.T.); #9542=FACE_OUTER_BOUND('',#17524,.T.); #9543=FACE_OUTER_BOUND('',#17525,.T.); #9544=FACE_OUTER_BOUND('',#17526,.T.); #9545=FACE_OUTER_BOUND('',#17527,.T.); #9546=FACE_OUTER_BOUND('',#17528,.T.); #9547=FACE_OUTER_BOUND('',#17529,.T.); #9548=FACE_OUTER_BOUND('',#17530,.T.); #9549=FACE_OUTER_BOUND('',#17531,.T.); #9550=FACE_OUTER_BOUND('',#17532,.T.); #9551=FACE_OUTER_BOUND('',#17533,.T.); #9552=FACE_OUTER_BOUND('',#17534,.T.); #9553=FACE_OUTER_BOUND('',#17535,.T.); #9554=FACE_OUTER_BOUND('',#17536,.T.); #9555=FACE_OUTER_BOUND('',#17537,.T.); #9556=FACE_OUTER_BOUND('',#17538,.T.); #9557=FACE_OUTER_BOUND('',#17539,.T.); #9558=FACE_OUTER_BOUND('',#17540,.T.); #9559=FACE_OUTER_BOUND('',#17541,.T.); #9560=FACE_OUTER_BOUND('',#17542,.T.); #9561=FACE_OUTER_BOUND('',#17543,.T.); #9562=FACE_OUTER_BOUND('',#17544,.T.); #9563=FACE_OUTER_BOUND('',#17545,.T.); #9564=FACE_OUTER_BOUND('',#17546,.T.); #9565=FACE_OUTER_BOUND('',#17547,.T.); #9566=FACE_OUTER_BOUND('',#17549,.T.); #9567=FACE_OUTER_BOUND('',#17550,.T.); #9568=FACE_OUTER_BOUND('',#17551,.T.); #9569=FACE_OUTER_BOUND('',#17552,.T.); #9570=FACE_OUTER_BOUND('',#17553,.T.); #9571=FACE_OUTER_BOUND('',#17554,.T.); #9572=FACE_OUTER_BOUND('',#17555,.T.); #9573=FACE_OUTER_BOUND('',#17556,.T.); #9574=FACE_OUTER_BOUND('',#17557,.T.); #9575=FACE_OUTER_BOUND('',#17558,.T.); #9576=FACE_OUTER_BOUND('',#17559,.T.); #9577=FACE_OUTER_BOUND('',#17560,.T.); #9578=FACE_OUTER_BOUND('',#17561,.T.); #9579=FACE_OUTER_BOUND('',#17562,.T.); #9580=FACE_OUTER_BOUND('',#17563,.T.); #9581=FACE_OUTER_BOUND('',#17564,.T.); #9582=FACE_OUTER_BOUND('',#17565,.T.); #9583=FACE_OUTER_BOUND('',#17566,.T.); #9584=FACE_OUTER_BOUND('',#17567,.T.); #9585=FACE_OUTER_BOUND('',#17568,.T.); #9586=FACE_OUTER_BOUND('',#17569,.T.); #9587=FACE_OUTER_BOUND('',#17570,.T.); #9588=FACE_OUTER_BOUND('',#17571,.T.); #9589=FACE_OUTER_BOUND('',#17572,.T.); #9590=FACE_OUTER_BOUND('',#17573,.T.); #9591=FACE_OUTER_BOUND('',#17574,.T.); #9592=FACE_OUTER_BOUND('',#17575,.T.); #9593=FACE_OUTER_BOUND('',#17576,.T.); #9594=FACE_OUTER_BOUND('',#17577,.T.); #9595=FACE_OUTER_BOUND('',#17578,.T.); #9596=FACE_OUTER_BOUND('',#17579,.T.); #9597=FACE_OUTER_BOUND('',#17580,.T.); #9598=FACE_OUTER_BOUND('',#17581,.T.); #9599=FACE_OUTER_BOUND('',#17582,.T.); #9600=FACE_OUTER_BOUND('',#17583,.T.); #9601=FACE_OUTER_BOUND('',#17584,.T.); #9602=FACE_OUTER_BOUND('',#17585,.T.); #9603=FACE_OUTER_BOUND('',#17586,.T.); #9604=FACE_OUTER_BOUND('',#17587,.T.); #9605=FACE_OUTER_BOUND('',#17588,.T.); #9606=FACE_OUTER_BOUND('',#17589,.T.); #9607=FACE_OUTER_BOUND('',#17590,.T.); #9608=FACE_OUTER_BOUND('',#17591,.T.); #9609=FACE_OUTER_BOUND('',#17592,.T.); #9610=FACE_OUTER_BOUND('',#17593,.T.); #9611=FACE_OUTER_BOUND('',#17594,.T.); #9612=FACE_OUTER_BOUND('',#17595,.T.); #9613=FACE_OUTER_BOUND('',#17596,.T.); #9614=FACE_OUTER_BOUND('',#17597,.T.); #9615=FACE_OUTER_BOUND('',#17598,.T.); #9616=FACE_OUTER_BOUND('',#17599,.T.); #9617=FACE_OUTER_BOUND('',#17600,.T.); #9618=FACE_OUTER_BOUND('',#17601,.T.); #9619=FACE_OUTER_BOUND('',#17602,.T.); #9620=FACE_OUTER_BOUND('',#17603,.T.); #9621=FACE_OUTER_BOUND('',#17604,.T.); #9622=FACE_OUTER_BOUND('',#17605,.T.); #9623=FACE_OUTER_BOUND('',#17606,.T.); #9624=FACE_OUTER_BOUND('',#17607,.T.); #9625=FACE_OUTER_BOUND('',#17608,.T.); #9626=FACE_OUTER_BOUND('',#17609,.T.); #9627=FACE_OUTER_BOUND('',#17610,.T.); #9628=FACE_OUTER_BOUND('',#17611,.T.); #9629=FACE_OUTER_BOUND('',#17612,.T.); #9630=FACE_OUTER_BOUND('',#17613,.T.); #9631=FACE_OUTER_BOUND('',#17614,.T.); #9632=FACE_OUTER_BOUND('',#17615,.T.); #9633=FACE_OUTER_BOUND('',#17616,.T.); #9634=FACE_OUTER_BOUND('',#17617,.T.); #9635=FACE_OUTER_BOUND('',#17618,.T.); #9636=FACE_OUTER_BOUND('',#17619,.T.); #9637=FACE_OUTER_BOUND('',#17620,.T.); #9638=FACE_OUTER_BOUND('',#17621,.T.); #9639=FACE_OUTER_BOUND('',#17622,.T.); #9640=FACE_OUTER_BOUND('',#17623,.T.); #9641=FACE_OUTER_BOUND('',#17624,.T.); #9642=FACE_OUTER_BOUND('',#17625,.T.); #9643=FACE_OUTER_BOUND('',#17626,.T.); #9644=FACE_OUTER_BOUND('',#17627,.T.); #9645=FACE_OUTER_BOUND('',#17628,.T.); #9646=FACE_OUTER_BOUND('',#17629,.T.); #9647=FACE_OUTER_BOUND('',#17630,.T.); #9648=FACE_OUTER_BOUND('',#17631,.T.); #9649=FACE_OUTER_BOUND('',#17632,.T.); #9650=FACE_OUTER_BOUND('',#17633,.T.); #9651=FACE_OUTER_BOUND('',#17634,.T.); #9652=FACE_OUTER_BOUND('',#17635,.T.); #9653=FACE_OUTER_BOUND('',#17636,.T.); #9654=FACE_OUTER_BOUND('',#17637,.T.); #9655=FACE_OUTER_BOUND('',#17638,.T.); #9656=FACE_OUTER_BOUND('',#17639,.T.); #9657=FACE_OUTER_BOUND('',#17640,.T.); #9658=FACE_OUTER_BOUND('',#17641,.T.); #9659=FACE_OUTER_BOUND('',#17642,.T.); #9660=FACE_OUTER_BOUND('',#17643,.T.); #9661=FACE_OUTER_BOUND('',#17644,.T.); #9662=FACE_OUTER_BOUND('',#17645,.T.); #9663=FACE_OUTER_BOUND('',#17646,.T.); #9664=FACE_OUTER_BOUND('',#17647,.T.); #9665=FACE_OUTER_BOUND('',#17648,.T.); #9666=FACE_OUTER_BOUND('',#17649,.T.); #9667=FACE_OUTER_BOUND('',#17650,.T.); #9668=FACE_OUTER_BOUND('',#17651,.T.); #9669=FACE_OUTER_BOUND('',#17652,.T.); #9670=FACE_OUTER_BOUND('',#17653,.T.); #9671=FACE_OUTER_BOUND('',#17654,.T.); #9672=FACE_OUTER_BOUND('',#17655,.T.); #9673=FACE_OUTER_BOUND('',#17656,.T.); #9674=FACE_OUTER_BOUND('',#17657,.T.); #9675=FACE_OUTER_BOUND('',#17658,.T.); #9676=FACE_OUTER_BOUND('',#17659,.T.); #9677=FACE_OUTER_BOUND('',#17660,.T.); #9678=FACE_OUTER_BOUND('',#17661,.T.); #9679=FACE_OUTER_BOUND('',#17662,.T.); #9680=FACE_OUTER_BOUND('',#17663,.T.); #9681=FACE_OUTER_BOUND('',#17664,.T.); #9682=FACE_OUTER_BOUND('',#17665,.T.); #9683=FACE_OUTER_BOUND('',#17666,.T.); #9684=FACE_OUTER_BOUND('',#17667,.T.); #9685=FACE_OUTER_BOUND('',#17668,.T.); #9686=FACE_OUTER_BOUND('',#17669,.T.); #9687=FACE_OUTER_BOUND('',#17670,.T.); #9688=FACE_OUTER_BOUND('',#17671,.T.); #9689=FACE_OUTER_BOUND('',#17672,.T.); #9690=FACE_OUTER_BOUND('',#17673,.T.); #9691=FACE_OUTER_BOUND('',#17674,.T.); #9692=FACE_OUTER_BOUND('',#17675,.T.); #9693=FACE_OUTER_BOUND('',#17676,.T.); #9694=FACE_OUTER_BOUND('',#17677,.T.); #9695=FACE_OUTER_BOUND('',#17678,.T.); #9696=FACE_OUTER_BOUND('',#17679,.T.); #9697=FACE_OUTER_BOUND('',#17680,.T.); #9698=FACE_OUTER_BOUND('',#17681,.T.); #9699=FACE_OUTER_BOUND('',#17682,.T.); #9700=FACE_OUTER_BOUND('',#17683,.T.); #9701=FACE_OUTER_BOUND('',#17684,.T.); #9702=FACE_OUTER_BOUND('',#17685,.T.); #9703=FACE_OUTER_BOUND('',#17686,.T.); #9704=FACE_OUTER_BOUND('',#17687,.T.); #9705=FACE_OUTER_BOUND('',#17688,.T.); #9706=FACE_OUTER_BOUND('',#17689,.T.); #9707=FACE_OUTER_BOUND('',#17690,.T.); #9708=FACE_OUTER_BOUND('',#17691,.T.); #9709=FACE_OUTER_BOUND('',#17692,.T.); #9710=FACE_OUTER_BOUND('',#17693,.T.); #9711=FACE_OUTER_BOUND('',#17694,.T.); #9712=FACE_OUTER_BOUND('',#17695,.T.); #9713=FACE_OUTER_BOUND('',#17696,.T.); #9714=FACE_OUTER_BOUND('',#17697,.T.); #9715=FACE_OUTER_BOUND('',#17698,.T.); #9716=FACE_OUTER_BOUND('',#17699,.T.); #9717=FACE_OUTER_BOUND('',#17700,.T.); #9718=FACE_OUTER_BOUND('',#17701,.T.); #9719=FACE_OUTER_BOUND('',#17702,.T.); #9720=FACE_OUTER_BOUND('',#17703,.T.); #9721=FACE_OUTER_BOUND('',#17704,.T.); #9722=FACE_OUTER_BOUND('',#17705,.T.); #9723=FACE_OUTER_BOUND('',#17706,.T.); #9724=FACE_OUTER_BOUND('',#17707,.T.); #9725=FACE_OUTER_BOUND('',#17708,.T.); #9726=FACE_OUTER_BOUND('',#17709,.T.); #9727=FACE_OUTER_BOUND('',#17710,.T.); #9728=FACE_OUTER_BOUND('',#17711,.T.); #9729=FACE_OUTER_BOUND('',#17712,.T.); #9730=FACE_OUTER_BOUND('',#17713,.T.); #9731=FACE_OUTER_BOUND('',#17714,.T.); #9732=FACE_OUTER_BOUND('',#17715,.T.); #9733=FACE_OUTER_BOUND('',#17716,.T.); #9734=FACE_OUTER_BOUND('',#17717,.T.); #9735=FACE_OUTER_BOUND('',#17718,.T.); #9736=FACE_OUTER_BOUND('',#17719,.T.); #9737=FACE_OUTER_BOUND('',#17720,.T.); #9738=FACE_OUTER_BOUND('',#17721,.T.); #9739=FACE_OUTER_BOUND('',#17722,.T.); #9740=FACE_OUTER_BOUND('',#17723,.T.); #9741=FACE_OUTER_BOUND('',#17724,.T.); #9742=FACE_OUTER_BOUND('',#17725,.T.); #9743=FACE_OUTER_BOUND('',#17726,.T.); #9744=FACE_OUTER_BOUND('',#17727,.T.); #9745=FACE_OUTER_BOUND('',#17728,.T.); #9746=FACE_OUTER_BOUND('',#17729,.T.); #9747=FACE_OUTER_BOUND('',#17730,.T.); #9748=FACE_OUTER_BOUND('',#17731,.T.); #9749=FACE_OUTER_BOUND('',#17732,.T.); #9750=FACE_OUTER_BOUND('',#17733,.T.); #9751=FACE_OUTER_BOUND('',#17734,.T.); #9752=FACE_OUTER_BOUND('',#17735,.T.); #9753=FACE_OUTER_BOUND('',#17736,.T.); #9754=FACE_OUTER_BOUND('',#17737,.T.); #9755=FACE_OUTER_BOUND('',#17738,.T.); #9756=FACE_OUTER_BOUND('',#17739,.T.); #9757=FACE_OUTER_BOUND('',#17740,.T.); #9758=FACE_OUTER_BOUND('',#17741,.T.); #9759=FACE_OUTER_BOUND('',#17742,.T.); #9760=FACE_OUTER_BOUND('',#17743,.T.); #9761=FACE_OUTER_BOUND('',#17744,.T.); #9762=FACE_OUTER_BOUND('',#17745,.T.); #9763=FACE_OUTER_BOUND('',#17746,.T.); #9764=FACE_OUTER_BOUND('',#17747,.T.); #9765=FACE_OUTER_BOUND('',#17748,.T.); #9766=FACE_OUTER_BOUND('',#17749,.T.); #9767=FACE_OUTER_BOUND('',#17750,.T.); #9768=FACE_OUTER_BOUND('',#17751,.T.); #9769=FACE_OUTER_BOUND('',#17752,.T.); #9770=FACE_OUTER_BOUND('',#17753,.T.); #9771=FACE_OUTER_BOUND('',#17754,.T.); #9772=FACE_OUTER_BOUND('',#17755,.T.); #9773=FACE_OUTER_BOUND('',#17756,.T.); #9774=FACE_OUTER_BOUND('',#17757,.T.); #9775=FACE_OUTER_BOUND('',#17758,.T.); #9776=FACE_OUTER_BOUND('',#17759,.T.); #9777=FACE_OUTER_BOUND('',#17760,.T.); #9778=FACE_OUTER_BOUND('',#17761,.T.); #9779=FACE_OUTER_BOUND('',#17762,.T.); #9780=FACE_OUTER_BOUND('',#17763,.T.); #9781=FACE_OUTER_BOUND('',#17764,.T.); #9782=FACE_OUTER_BOUND('',#17765,.T.); #9783=FACE_OUTER_BOUND('',#17766,.T.); #9784=FACE_OUTER_BOUND('',#17767,.T.); #9785=FACE_OUTER_BOUND('',#17768,.T.); #9786=FACE_OUTER_BOUND('',#17769,.T.); #9787=FACE_OUTER_BOUND('',#17770,.T.); #9788=FACE_OUTER_BOUND('',#17771,.T.); #9789=FACE_OUTER_BOUND('',#17772,.T.); #9790=FACE_OUTER_BOUND('',#17773,.T.); #9791=FACE_OUTER_BOUND('',#17774,.T.); #9792=FACE_OUTER_BOUND('',#17775,.T.); #9793=FACE_OUTER_BOUND('',#17776,.T.); #9794=FACE_OUTER_BOUND('',#17777,.T.); #9795=FACE_OUTER_BOUND('',#17778,.T.); #9796=FACE_OUTER_BOUND('',#17779,.T.); #9797=FACE_OUTER_BOUND('',#17780,.T.); #9798=FACE_OUTER_BOUND('',#17781,.T.); #9799=FACE_OUTER_BOUND('',#17782,.T.); #9800=FACE_OUTER_BOUND('',#17783,.T.); #9801=FACE_OUTER_BOUND('',#17784,.T.); #9802=FACE_OUTER_BOUND('',#17785,.T.); #9803=FACE_OUTER_BOUND('',#17786,.T.); #9804=FACE_OUTER_BOUND('',#17787,.T.); #9805=FACE_OUTER_BOUND('',#17788,.T.); #9806=FACE_OUTER_BOUND('',#17789,.T.); #9807=FACE_OUTER_BOUND('',#17790,.T.); #9808=FACE_OUTER_BOUND('',#17791,.T.); #9809=FACE_OUTER_BOUND('',#17792,.T.); #9810=FACE_OUTER_BOUND('',#17793,.T.); #9811=FACE_OUTER_BOUND('',#17794,.T.); #9812=FACE_OUTER_BOUND('',#17795,.T.); #9813=FACE_OUTER_BOUND('',#17796,.T.); #9814=FACE_OUTER_BOUND('',#17797,.T.); #9815=FACE_OUTER_BOUND('',#17798,.T.); #9816=FACE_OUTER_BOUND('',#17799,.T.); #9817=FACE_OUTER_BOUND('',#17800,.T.); #9818=FACE_OUTER_BOUND('',#17801,.T.); #9819=FACE_OUTER_BOUND('',#17802,.T.); #9820=FACE_OUTER_BOUND('',#17803,.T.); #9821=FACE_OUTER_BOUND('',#17804,.T.); #9822=FACE_OUTER_BOUND('',#17805,.T.); #9823=FACE_OUTER_BOUND('',#17806,.T.); #9824=FACE_OUTER_BOUND('',#17807,.T.); #9825=FACE_OUTER_BOUND('',#17808,.T.); #9826=FACE_OUTER_BOUND('',#17809,.T.); #9827=FACE_OUTER_BOUND('',#17810,.T.); #9828=FACE_OUTER_BOUND('',#17811,.T.); #9829=FACE_OUTER_BOUND('',#17812,.T.); #9830=FACE_OUTER_BOUND('',#17813,.T.); #9831=FACE_OUTER_BOUND('',#17814,.T.); #9832=FACE_OUTER_BOUND('',#17815,.T.); #9833=FACE_OUTER_BOUND('',#17816,.T.); #9834=FACE_OUTER_BOUND('',#17817,.T.); #9835=FACE_OUTER_BOUND('',#17818,.T.); #9836=FACE_OUTER_BOUND('',#17819,.T.); #9837=FACE_OUTER_BOUND('',#17820,.T.); #9838=FACE_OUTER_BOUND('',#17821,.T.); #9839=FACE_OUTER_BOUND('',#17822,.T.); #9840=FACE_OUTER_BOUND('',#17823,.T.); #9841=FACE_OUTER_BOUND('',#17824,.T.); #9842=FACE_OUTER_BOUND('',#17825,.T.); #9843=FACE_OUTER_BOUND('',#17826,.T.); #9844=FACE_OUTER_BOUND('',#17828,.T.); #9845=FACE_OUTER_BOUND('',#17829,.T.); #9846=FACE_OUTER_BOUND('',#17830,.T.); #9847=FACE_OUTER_BOUND('',#17831,.T.); #9848=FACE_OUTER_BOUND('',#17832,.T.); #9849=FACE_OUTER_BOUND('',#17833,.T.); #9850=FACE_OUTER_BOUND('',#17834,.T.); #9851=FACE_OUTER_BOUND('',#17835,.T.); #9852=FACE_OUTER_BOUND('',#17836,.T.); #9853=FACE_OUTER_BOUND('',#17837,.T.); #9854=FACE_OUTER_BOUND('',#17838,.T.); #9855=FACE_OUTER_BOUND('',#17839,.T.); #9856=FACE_OUTER_BOUND('',#17840,.T.); #9857=FACE_OUTER_BOUND('',#17841,.T.); #9858=FACE_OUTER_BOUND('',#17842,.T.); #9859=FACE_OUTER_BOUND('',#17843,.T.); #9860=FACE_OUTER_BOUND('',#17844,.T.); #9861=FACE_OUTER_BOUND('',#17845,.T.); #9862=FACE_OUTER_BOUND('',#17846,.T.); #9863=FACE_OUTER_BOUND('',#17847,.T.); #9864=FACE_OUTER_BOUND('',#17848,.T.); #9865=FACE_OUTER_BOUND('',#17849,.T.); #9866=FACE_OUTER_BOUND('',#17850,.T.); #9867=FACE_OUTER_BOUND('',#17851,.T.); #9868=FACE_OUTER_BOUND('',#17852,.T.); #9869=FACE_OUTER_BOUND('',#17853,.T.); #9870=FACE_OUTER_BOUND('',#17854,.T.); #9871=FACE_OUTER_BOUND('',#17855,.T.); #9872=FACE_OUTER_BOUND('',#17856,.T.); #9873=FACE_OUTER_BOUND('',#17857,.T.); #9874=FACE_OUTER_BOUND('',#17858,.T.); #9875=FACE_OUTER_BOUND('',#17859,.T.); #9876=FACE_OUTER_BOUND('',#17860,.T.); #9877=FACE_OUTER_BOUND('',#17861,.T.); #9878=FACE_OUTER_BOUND('',#17862,.T.); #9879=FACE_OUTER_BOUND('',#17863,.T.); #9880=FACE_OUTER_BOUND('',#17864,.T.); #9881=FACE_OUTER_BOUND('',#17865,.T.); #9882=FACE_OUTER_BOUND('',#17866,.T.); #9883=FACE_OUTER_BOUND('',#17867,.T.); #9884=FACE_OUTER_BOUND('',#17868,.T.); #9885=FACE_OUTER_BOUND('',#17869,.T.); #9886=FACE_OUTER_BOUND('',#17870,.T.); #9887=FACE_OUTER_BOUND('',#17871,.T.); #9888=FACE_OUTER_BOUND('',#17872,.T.); #9889=FACE_OUTER_BOUND('',#17873,.T.); #9890=FACE_OUTER_BOUND('',#17874,.T.); #9891=FACE_OUTER_BOUND('',#17875,.T.); #9892=FACE_OUTER_BOUND('',#17876,.T.); #9893=FACE_OUTER_BOUND('',#17877,.T.); #9894=FACE_OUTER_BOUND('',#17878,.T.); #9895=FACE_OUTER_BOUND('',#17879,.T.); #9896=FACE_OUTER_BOUND('',#17880,.T.); #9897=FACE_OUTER_BOUND('',#17881,.T.); #9898=FACE_OUTER_BOUND('',#17882,.T.); #9899=FACE_OUTER_BOUND('',#17883,.T.); #9900=FACE_OUTER_BOUND('',#17884,.T.); #9901=FACE_OUTER_BOUND('',#17885,.T.); #9902=FACE_OUTER_BOUND('',#17886,.T.); #9903=FACE_OUTER_BOUND('',#17887,.T.); #9904=FACE_OUTER_BOUND('',#17888,.T.); #9905=FACE_OUTER_BOUND('',#17889,.T.); #9906=FACE_OUTER_BOUND('',#17890,.T.); #9907=FACE_OUTER_BOUND('',#17891,.T.); #9908=FACE_OUTER_BOUND('',#17892,.T.); #9909=FACE_OUTER_BOUND('',#17893,.T.); #9910=FACE_OUTER_BOUND('',#17894,.T.); #9911=FACE_OUTER_BOUND('',#17895,.T.); #9912=FACE_OUTER_BOUND('',#17896,.T.); #9913=FACE_OUTER_BOUND('',#17897,.T.); #9914=FACE_OUTER_BOUND('',#17898,.T.); #9915=FACE_OUTER_BOUND('',#17899,.T.); #9916=FACE_OUTER_BOUND('',#17900,.T.); #9917=FACE_OUTER_BOUND('',#17901,.T.); #9918=FACE_OUTER_BOUND('',#17902,.T.); #9919=FACE_OUTER_BOUND('',#17903,.T.); #9920=FACE_OUTER_BOUND('',#17906,.T.); #9921=FACE_OUTER_BOUND('',#17907,.T.); #9922=FACE_OUTER_BOUND('',#17908,.T.); #9923=FACE_OUTER_BOUND('',#17909,.T.); #9924=FACE_OUTER_BOUND('',#17910,.T.); #9925=FACE_OUTER_BOUND('',#17911,.T.); #9926=FACE_OUTER_BOUND('',#17912,.T.); #9927=FACE_OUTER_BOUND('',#17913,.T.); #9928=FACE_OUTER_BOUND('',#17914,.T.); #9929=FACE_OUTER_BOUND('',#17915,.T.); #9930=FACE_OUTER_BOUND('',#17916,.T.); #9931=FACE_OUTER_BOUND('',#17917,.T.); #9932=FACE_OUTER_BOUND('',#17918,.T.); #9933=FACE_OUTER_BOUND('',#17919,.T.); #9934=FACE_OUTER_BOUND('',#17920,.T.); #9935=FACE_OUTER_BOUND('',#17921,.T.); #9936=FACE_OUTER_BOUND('',#17922,.T.); #9937=FACE_OUTER_BOUND('',#17923,.T.); #9938=FACE_OUTER_BOUND('',#17924,.T.); #9939=FACE_OUTER_BOUND('',#17925,.T.); #9940=FACE_OUTER_BOUND('',#17926,.T.); #9941=FACE_OUTER_BOUND('',#17927,.T.); #9942=FACE_OUTER_BOUND('',#17928,.T.); #9943=FACE_OUTER_BOUND('',#17929,.T.); #9944=FACE_OUTER_BOUND('',#17930,.T.); #9945=FACE_OUTER_BOUND('',#17931,.T.); #9946=FACE_OUTER_BOUND('',#17932,.T.); #9947=FACE_OUTER_BOUND('',#17933,.T.); #9948=FACE_OUTER_BOUND('',#17934,.T.); #9949=FACE_OUTER_BOUND('',#17935,.T.); #9950=FACE_OUTER_BOUND('',#17936,.T.); #9951=FACE_OUTER_BOUND('',#17937,.T.); #9952=FACE_OUTER_BOUND('',#17938,.T.); #9953=FACE_OUTER_BOUND('',#17939,.T.); #9954=FACE_OUTER_BOUND('',#17940,.T.); #9955=FACE_OUTER_BOUND('',#17941,.T.); #9956=FACE_OUTER_BOUND('',#17942,.T.); #9957=FACE_OUTER_BOUND('',#17943,.T.); #9958=FACE_OUTER_BOUND('',#17944,.T.); #9959=FACE_OUTER_BOUND('',#17945,.T.); #9960=FACE_OUTER_BOUND('',#17946,.T.); #9961=FACE_OUTER_BOUND('',#17947,.T.); #9962=FACE_OUTER_BOUND('',#17948,.T.); #9963=FACE_OUTER_BOUND('',#17949,.T.); #9964=FACE_OUTER_BOUND('',#17950,.T.); #9965=FACE_OUTER_BOUND('',#17951,.T.); #9966=FACE_OUTER_BOUND('',#17952,.T.); #9967=FACE_OUTER_BOUND('',#17953,.T.); #9968=FACE_OUTER_BOUND('',#17954,.T.); #9969=FACE_OUTER_BOUND('',#17955,.T.); #9970=FACE_OUTER_BOUND('',#17956,.T.); #9971=FACE_OUTER_BOUND('',#17957,.T.); #9972=FACE_OUTER_BOUND('',#17958,.T.); #9973=FACE_OUTER_BOUND('',#17959,.T.); #9974=FACE_OUTER_BOUND('',#17960,.T.); #9975=FACE_OUTER_BOUND('',#17961,.T.); #9976=FACE_OUTER_BOUND('',#17962,.T.); #9977=FACE_OUTER_BOUND('',#17963,.T.); #9978=FACE_OUTER_BOUND('',#17964,.T.); #9979=FACE_OUTER_BOUND('',#17965,.T.); #9980=FACE_OUTER_BOUND('',#17966,.T.); #9981=FACE_OUTER_BOUND('',#17967,.T.); #9982=FACE_OUTER_BOUND('',#17968,.T.); #9983=FACE_OUTER_BOUND('',#17969,.T.); #9984=FACE_OUTER_BOUND('',#17970,.T.); #9985=FACE_OUTER_BOUND('',#17971,.T.); #9986=FACE_OUTER_BOUND('',#17972,.T.); #9987=FACE_OUTER_BOUND('',#17973,.T.); #9988=FACE_OUTER_BOUND('',#17974,.T.); #9989=FACE_OUTER_BOUND('',#17975,.T.); #9990=FACE_OUTER_BOUND('',#17976,.T.); #9991=FACE_OUTER_BOUND('',#17977,.T.); #9992=FACE_OUTER_BOUND('',#17978,.T.); #9993=FACE_OUTER_BOUND('',#17979,.T.); #9994=FACE_OUTER_BOUND('',#17980,.T.); #9995=FACE_OUTER_BOUND('',#17981,.T.); #9996=FACE_OUTER_BOUND('',#17982,.T.); #9997=FACE_OUTER_BOUND('',#17983,.T.); #9998=FACE_OUTER_BOUND('',#17984,.T.); #9999=FACE_OUTER_BOUND('',#17985,.T.); #10000=FACE_OUTER_BOUND('',#17986,.T.); #10001=FACE_OUTER_BOUND('',#17987,.T.); #10002=FACE_OUTER_BOUND('',#17988,.T.); #10003=FACE_OUTER_BOUND('',#17989,.T.); #10004=FACE_OUTER_BOUND('',#17990,.T.); #10005=FACE_OUTER_BOUND('',#17991,.T.); #10006=FACE_OUTER_BOUND('',#17992,.T.); #10007=FACE_OUTER_BOUND('',#17993,.T.); #10008=FACE_OUTER_BOUND('',#17994,.T.); #10009=FACE_OUTER_BOUND('',#17995,.T.); #10010=FACE_OUTER_BOUND('',#17996,.T.); #10011=FACE_OUTER_BOUND('',#17997,.T.); #10012=FACE_OUTER_BOUND('',#17998,.T.); #10013=FACE_OUTER_BOUND('',#17999,.T.); #10014=FACE_OUTER_BOUND('',#18000,.T.); #10015=FACE_OUTER_BOUND('',#18001,.T.); #10016=FACE_OUTER_BOUND('',#18002,.T.); #10017=FACE_OUTER_BOUND('',#18003,.T.); #10018=FACE_OUTER_BOUND('',#18004,.T.); #10019=FACE_OUTER_BOUND('',#18005,.T.); #10020=FACE_OUTER_BOUND('',#18006,.T.); #10021=FACE_OUTER_BOUND('',#18007,.T.); #10022=FACE_OUTER_BOUND('',#18008,.T.); #10023=FACE_OUTER_BOUND('',#18009,.T.); #10024=FACE_OUTER_BOUND('',#18010,.T.); #10025=FACE_OUTER_BOUND('',#18011,.T.); #10026=FACE_OUTER_BOUND('',#18012,.T.); #10027=FACE_OUTER_BOUND('',#18013,.T.); #10028=FACE_OUTER_BOUND('',#18014,.T.); #10029=FACE_OUTER_BOUND('',#18015,.T.); #10030=FACE_OUTER_BOUND('',#18016,.T.); #10031=FACE_OUTER_BOUND('',#18017,.T.); #10032=FACE_OUTER_BOUND('',#18018,.T.); #10033=FACE_OUTER_BOUND('',#18019,.T.); #10034=FACE_OUTER_BOUND('',#18020,.T.); #10035=FACE_OUTER_BOUND('',#18021,.T.); #10036=FACE_OUTER_BOUND('',#18022,.T.); #10037=FACE_OUTER_BOUND('',#18023,.T.); #10038=FACE_OUTER_BOUND('',#18024,.T.); #10039=FACE_OUTER_BOUND('',#18025,.T.); #10040=FACE_OUTER_BOUND('',#18026,.T.); #10041=FACE_OUTER_BOUND('',#18027,.T.); #10042=FACE_OUTER_BOUND('',#18028,.T.); #10043=FACE_OUTER_BOUND('',#18029,.T.); #10044=FACE_OUTER_BOUND('',#18030,.T.); #10045=FACE_OUTER_BOUND('',#18031,.T.); #10046=FACE_OUTER_BOUND('',#18032,.T.); #10047=FACE_OUTER_BOUND('',#18033,.T.); #10048=FACE_OUTER_BOUND('',#18034,.T.); #10049=FACE_OUTER_BOUND('',#18035,.T.); #10050=FACE_OUTER_BOUND('',#18036,.T.); #10051=FACE_OUTER_BOUND('',#18037,.T.); #10052=FACE_OUTER_BOUND('',#18038,.T.); #10053=FACE_OUTER_BOUND('',#18039,.T.); #10054=FACE_OUTER_BOUND('',#18040,.T.); #10055=FACE_OUTER_BOUND('',#18041,.T.); #10056=FACE_OUTER_BOUND('',#18042,.T.); #10057=FACE_OUTER_BOUND('',#18043,.T.); #10058=FACE_OUTER_BOUND('',#18044,.T.); #10059=FACE_OUTER_BOUND('',#18045,.T.); #10060=FACE_OUTER_BOUND('',#18046,.T.); #10061=FACE_OUTER_BOUND('',#18047,.T.); #10062=FACE_OUTER_BOUND('',#18048,.T.); #10063=FACE_OUTER_BOUND('',#18049,.T.); #10064=FACE_OUTER_BOUND('',#18050,.T.); #10065=FACE_OUTER_BOUND('',#18051,.T.); #10066=FACE_OUTER_BOUND('',#18052,.T.); #10067=FACE_OUTER_BOUND('',#18053,.T.); #10068=FACE_OUTER_BOUND('',#18054,.T.); #10069=FACE_OUTER_BOUND('',#18055,.T.); #10070=FACE_OUTER_BOUND('',#18056,.T.); #10071=FACE_OUTER_BOUND('',#18057,.T.); #10072=FACE_OUTER_BOUND('',#18058,.T.); #10073=FACE_OUTER_BOUND('',#18059,.T.); #10074=FACE_OUTER_BOUND('',#18060,.T.); #10075=FACE_OUTER_BOUND('',#18061,.T.); #10076=FACE_OUTER_BOUND('',#18062,.T.); #10077=FACE_OUTER_BOUND('',#18063,.T.); #10078=FACE_OUTER_BOUND('',#18064,.T.); #10079=FACE_OUTER_BOUND('',#18065,.T.); #10080=FACE_OUTER_BOUND('',#18066,.T.); #10081=FACE_OUTER_BOUND('',#18067,.T.); #10082=FACE_OUTER_BOUND('',#18068,.T.); #10083=FACE_OUTER_BOUND('',#18069,.T.); #10084=FACE_OUTER_BOUND('',#18070,.T.); #10085=FACE_OUTER_BOUND('',#18071,.T.); #10086=FACE_OUTER_BOUND('',#18072,.T.); #10087=FACE_OUTER_BOUND('',#18073,.T.); #10088=FACE_OUTER_BOUND('',#18074,.T.); #10089=FACE_OUTER_BOUND('',#18075,.T.); #10090=FACE_OUTER_BOUND('',#18076,.T.); #10091=FACE_OUTER_BOUND('',#18077,.T.); #10092=FACE_OUTER_BOUND('',#18078,.T.); #10093=FACE_OUTER_BOUND('',#18079,.T.); #10094=FACE_OUTER_BOUND('',#18080,.T.); #10095=FACE_OUTER_BOUND('',#18081,.T.); #10096=FACE_OUTER_BOUND('',#18082,.T.); #10097=FACE_OUTER_BOUND('',#18083,.T.); #10098=FACE_OUTER_BOUND('',#18084,.T.); #10099=FACE_OUTER_BOUND('',#18085,.T.); #10100=FACE_OUTER_BOUND('',#18086,.T.); #10101=FACE_OUTER_BOUND('',#18087,.T.); #10102=FACE_OUTER_BOUND('',#18088,.T.); #10103=FACE_OUTER_BOUND('',#18089,.T.); #10104=FACE_OUTER_BOUND('',#18090,.T.); #10105=FACE_OUTER_BOUND('',#18091,.T.); #10106=FACE_OUTER_BOUND('',#18092,.T.); #10107=FACE_OUTER_BOUND('',#18093,.T.); #10108=FACE_OUTER_BOUND('',#18094,.T.); #10109=FACE_OUTER_BOUND('',#18095,.T.); #10110=FACE_OUTER_BOUND('',#18096,.T.); #10111=FACE_OUTER_BOUND('',#18097,.T.); #10112=FACE_OUTER_BOUND('',#18098,.T.); #10113=FACE_OUTER_BOUND('',#18099,.T.); #10114=FACE_OUTER_BOUND('',#18100,.T.); #10115=FACE_OUTER_BOUND('',#18101,.T.); #10116=FACE_OUTER_BOUND('',#18102,.T.); #10117=FACE_OUTER_BOUND('',#18103,.T.); #10118=FACE_OUTER_BOUND('',#18104,.T.); #10119=FACE_OUTER_BOUND('',#18105,.T.); #10120=FACE_OUTER_BOUND('',#18106,.T.); #10121=FACE_OUTER_BOUND('',#18107,.T.); #10122=FACE_OUTER_BOUND('',#18108,.T.); #10123=FACE_OUTER_BOUND('',#18109,.T.); #10124=FACE_OUTER_BOUND('',#18110,.T.); #10125=FACE_OUTER_BOUND('',#18111,.T.); #10126=FACE_OUTER_BOUND('',#18112,.T.); #10127=FACE_OUTER_BOUND('',#18113,.T.); #10128=FACE_OUTER_BOUND('',#18114,.T.); #10129=FACE_OUTER_BOUND('',#18115,.T.); #10130=FACE_OUTER_BOUND('',#18116,.T.); #10131=FACE_OUTER_BOUND('',#18117,.T.); #10132=FACE_OUTER_BOUND('',#18118,.T.); #10133=FACE_OUTER_BOUND('',#18119,.T.); #10134=FACE_OUTER_BOUND('',#18120,.T.); #10135=FACE_OUTER_BOUND('',#18121,.T.); #10136=FACE_OUTER_BOUND('',#18122,.T.); #10137=FACE_OUTER_BOUND('',#18123,.T.); #10138=FACE_OUTER_BOUND('',#18124,.T.); #10139=FACE_OUTER_BOUND('',#18125,.T.); #10140=FACE_OUTER_BOUND('',#18126,.T.); #10141=FACE_OUTER_BOUND('',#18127,.T.); #10142=FACE_OUTER_BOUND('',#18128,.T.); #10143=FACE_OUTER_BOUND('',#18129,.T.); #10144=FACE_OUTER_BOUND('',#18130,.T.); #10145=FACE_OUTER_BOUND('',#18131,.T.); #10146=FACE_OUTER_BOUND('',#18132,.T.); #10147=FACE_OUTER_BOUND('',#18133,.T.); #10148=FACE_OUTER_BOUND('',#18134,.T.); #10149=FACE_OUTER_BOUND('',#18135,.T.); #10150=FACE_OUTER_BOUND('',#18137,.T.); #10151=FACE_OUTER_BOUND('',#18138,.T.); #10152=FACE_OUTER_BOUND('',#18139,.T.); #10153=FACE_OUTER_BOUND('',#18140,.T.); #10154=FACE_OUTER_BOUND('',#18141,.T.); #10155=FACE_OUTER_BOUND('',#18142,.T.); #10156=FACE_OUTER_BOUND('',#18143,.T.); #10157=FACE_OUTER_BOUND('',#18144,.T.); #10158=FACE_OUTER_BOUND('',#18145,.T.); #10159=FACE_OUTER_BOUND('',#18146,.T.); #10160=FACE_OUTER_BOUND('',#18147,.T.); #10161=FACE_OUTER_BOUND('',#18148,.T.); #10162=FACE_OUTER_BOUND('',#18149,.T.); #10163=FACE_OUTER_BOUND('',#18150,.T.); #10164=FACE_OUTER_BOUND('',#18151,.T.); #10165=FACE_OUTER_BOUND('',#18152,.T.); #10166=FACE_OUTER_BOUND('',#18153,.T.); #10167=FACE_OUTER_BOUND('',#18154,.T.); #10168=FACE_OUTER_BOUND('',#18155,.T.); #10169=FACE_OUTER_BOUND('',#18156,.T.); #10170=FACE_OUTER_BOUND('',#18157,.T.); #10171=FACE_OUTER_BOUND('',#18158,.T.); #10172=FACE_OUTER_BOUND('',#18159,.T.); #10173=FACE_OUTER_BOUND('',#18160,.T.); #10174=FACE_OUTER_BOUND('',#18161,.T.); #10175=FACE_OUTER_BOUND('',#18162,.T.); #10176=FACE_OUTER_BOUND('',#18163,.T.); #10177=FACE_OUTER_BOUND('',#18164,.T.); #10178=FACE_OUTER_BOUND('',#18165,.T.); #10179=FACE_OUTER_BOUND('',#18166,.T.); #10180=FACE_OUTER_BOUND('',#18167,.T.); #10181=FACE_OUTER_BOUND('',#18168,.T.); #10182=FACE_OUTER_BOUND('',#18169,.T.); #10183=FACE_OUTER_BOUND('',#18170,.T.); #10184=FACE_OUTER_BOUND('',#18171,.T.); #10185=FACE_OUTER_BOUND('',#18172,.T.); #10186=FACE_OUTER_BOUND('',#18173,.T.); #10187=FACE_OUTER_BOUND('',#18174,.T.); #10188=FACE_OUTER_BOUND('',#18175,.T.); #10189=FACE_OUTER_BOUND('',#18176,.T.); #10190=FACE_OUTER_BOUND('',#18177,.T.); #10191=FACE_OUTER_BOUND('',#18178,.T.); #10192=FACE_OUTER_BOUND('',#18179,.T.); #10193=FACE_OUTER_BOUND('',#18180,.T.); #10194=FACE_OUTER_BOUND('',#18181,.T.); #10195=FACE_OUTER_BOUND('',#18182,.T.); #10196=FACE_OUTER_BOUND('',#18183,.T.); #10197=FACE_OUTER_BOUND('',#18184,.T.); #10198=FACE_OUTER_BOUND('',#18185,.T.); #10199=FACE_OUTER_BOUND('',#18186,.T.); #10200=FACE_OUTER_BOUND('',#18187,.T.); #10201=FACE_OUTER_BOUND('',#18188,.T.); #10202=FACE_OUTER_BOUND('',#18189,.T.); #10203=FACE_OUTER_BOUND('',#18190,.T.); #10204=FACE_OUTER_BOUND('',#18191,.T.); #10205=FACE_OUTER_BOUND('',#18192,.T.); #10206=FACE_OUTER_BOUND('',#18193,.T.); #10207=FACE_OUTER_BOUND('',#18194,.T.); #10208=FACE_OUTER_BOUND('',#18195,.T.); #10209=FACE_OUTER_BOUND('',#18196,.T.); #10210=FACE_OUTER_BOUND('',#18197,.T.); #10211=FACE_OUTER_BOUND('',#18198,.T.); #10212=FACE_OUTER_BOUND('',#18199,.T.); #10213=FACE_OUTER_BOUND('',#18200,.T.); #10214=FACE_OUTER_BOUND('',#18201,.T.); #10215=FACE_OUTER_BOUND('',#18202,.T.); #10216=FACE_OUTER_BOUND('',#18203,.T.); #10217=FACE_OUTER_BOUND('',#18204,.T.); #10218=FACE_OUTER_BOUND('',#18205,.T.); #10219=FACE_OUTER_BOUND('',#18206,.T.); #10220=FACE_OUTER_BOUND('',#18207,.T.); #10221=FACE_OUTER_BOUND('',#18208,.T.); #10222=FACE_OUTER_BOUND('',#18209,.T.); #10223=FACE_OUTER_BOUND('',#18210,.T.); #10224=FACE_OUTER_BOUND('',#18211,.T.); #10225=FACE_OUTER_BOUND('',#18212,.T.); #10226=FACE_OUTER_BOUND('',#18213,.T.); #10227=FACE_OUTER_BOUND('',#18214,.T.); #10228=FACE_OUTER_BOUND('',#18215,.T.); #10229=FACE_OUTER_BOUND('',#18216,.T.); #10230=FACE_OUTER_BOUND('',#18217,.T.); #10231=FACE_OUTER_BOUND('',#18218,.T.); #10232=FACE_OUTER_BOUND('',#18219,.T.); #10233=FACE_OUTER_BOUND('',#18220,.T.); #10234=FACE_OUTER_BOUND('',#18221,.T.); #10235=FACE_OUTER_BOUND('',#18222,.T.); #10236=FACE_OUTER_BOUND('',#18223,.T.); #10237=FACE_OUTER_BOUND('',#18224,.T.); #10238=FACE_OUTER_BOUND('',#18225,.T.); #10239=FACE_OUTER_BOUND('',#18226,.T.); #10240=FACE_OUTER_BOUND('',#18227,.T.); #10241=FACE_OUTER_BOUND('',#18228,.T.); #10242=FACE_OUTER_BOUND('',#18229,.T.); #10243=FACE_OUTER_BOUND('',#18230,.T.); #10244=FACE_OUTER_BOUND('',#18231,.T.); #10245=FACE_OUTER_BOUND('',#18232,.T.); #10246=FACE_OUTER_BOUND('',#18233,.T.); #10247=FACE_OUTER_BOUND('',#18234,.T.); #10248=FACE_OUTER_BOUND('',#18235,.T.); #10249=FACE_OUTER_BOUND('',#18236,.T.); #10250=FACE_OUTER_BOUND('',#18237,.T.); #10251=FACE_OUTER_BOUND('',#18238,.T.); #10252=FACE_OUTER_BOUND('',#18239,.T.); #10253=FACE_OUTER_BOUND('',#18240,.T.); #10254=FACE_OUTER_BOUND('',#18241,.T.); #10255=FACE_OUTER_BOUND('',#18242,.T.); #10256=FACE_OUTER_BOUND('',#18243,.T.); #10257=FACE_OUTER_BOUND('',#18244,.T.); #10258=FACE_OUTER_BOUND('',#18245,.T.); #10259=FACE_OUTER_BOUND('',#18246,.T.); #10260=FACE_OUTER_BOUND('',#18248,.T.); #10261=FACE_OUTER_BOUND('',#18249,.T.); #10262=FACE_OUTER_BOUND('',#18250,.T.); #10263=FACE_OUTER_BOUND('',#18251,.T.); #10264=FACE_OUTER_BOUND('',#18252,.T.); #10265=FACE_OUTER_BOUND('',#18253,.T.); #10266=FACE_OUTER_BOUND('',#18254,.T.); #10267=FACE_OUTER_BOUND('',#18255,.T.); #10268=FACE_OUTER_BOUND('',#18256,.T.); #10269=FACE_OUTER_BOUND('',#18257,.T.); #10270=FACE_OUTER_BOUND('',#18258,.T.); #10271=FACE_OUTER_BOUND('',#18259,.T.); #10272=FACE_OUTER_BOUND('',#18260,.T.); #10273=FACE_OUTER_BOUND('',#18261,.T.); #10274=FACE_OUTER_BOUND('',#18262,.T.); #10275=FACE_OUTER_BOUND('',#18263,.T.); #10276=FACE_OUTER_BOUND('',#18264,.T.); #10277=FACE_OUTER_BOUND('',#18265,.T.); #10278=FACE_OUTER_BOUND('',#18266,.T.); #10279=FACE_OUTER_BOUND('',#18267,.T.); #10280=FACE_OUTER_BOUND('',#18268,.T.); #10281=FACE_OUTER_BOUND('',#18269,.T.); #10282=FACE_OUTER_BOUND('',#18270,.T.); #10283=FACE_OUTER_BOUND('',#18271,.T.); #10284=FACE_OUTER_BOUND('',#18272,.T.); #10285=FACE_OUTER_BOUND('',#18273,.T.); #10286=FACE_OUTER_BOUND('',#18274,.T.); #10287=FACE_OUTER_BOUND('',#18275,.T.); #10288=FACE_OUTER_BOUND('',#18276,.T.); #10289=FACE_OUTER_BOUND('',#18277,.T.); #10290=FACE_OUTER_BOUND('',#18278,.T.); #10291=FACE_OUTER_BOUND('',#18279,.T.); #10292=FACE_OUTER_BOUND('',#18280,.T.); #10293=FACE_OUTER_BOUND('',#18281,.T.); #10294=FACE_OUTER_BOUND('',#18282,.T.); #10295=FACE_OUTER_BOUND('',#18283,.T.); #10296=FACE_OUTER_BOUND('',#18284,.T.); #10297=FACE_OUTER_BOUND('',#18285,.T.); #10298=FACE_OUTER_BOUND('',#18286,.T.); #10299=FACE_OUTER_BOUND('',#18287,.T.); #10300=FACE_OUTER_BOUND('',#18288,.T.); #10301=FACE_OUTER_BOUND('',#18289,.T.); #10302=FACE_OUTER_BOUND('',#18290,.T.); #10303=FACE_OUTER_BOUND('',#18291,.T.); #10304=FACE_OUTER_BOUND('',#18292,.T.); #10305=FACE_OUTER_BOUND('',#18293,.T.); #10306=FACE_OUTER_BOUND('',#18294,.T.); #10307=FACE_OUTER_BOUND('',#18295,.T.); #10308=FACE_OUTER_BOUND('',#18296,.T.); #10309=FACE_OUTER_BOUND('',#18297,.T.); #10310=FACE_OUTER_BOUND('',#18298,.T.); #10311=FACE_OUTER_BOUND('',#18299,.T.); #10312=FACE_OUTER_BOUND('',#18300,.T.); #10313=FACE_OUTER_BOUND('',#18301,.T.); #10314=FACE_OUTER_BOUND('',#18302,.T.); #10315=FACE_OUTER_BOUND('',#18303,.T.); #10316=FACE_OUTER_BOUND('',#18304,.T.); #10317=FACE_OUTER_BOUND('',#18305,.T.); #10318=FACE_OUTER_BOUND('',#18306,.T.); #10319=FACE_OUTER_BOUND('',#18307,.T.); #10320=FACE_OUTER_BOUND('',#18308,.T.); #10321=FACE_OUTER_BOUND('',#18309,.T.); #10322=FACE_OUTER_BOUND('',#18310,.T.); #10323=FACE_OUTER_BOUND('',#18311,.T.); #10324=FACE_OUTER_BOUND('',#18312,.T.); #10325=FACE_OUTER_BOUND('',#18313,.T.); #10326=FACE_OUTER_BOUND('',#18314,.T.); #10327=FACE_OUTER_BOUND('',#18315,.T.); #10328=FACE_OUTER_BOUND('',#18316,.T.); #10329=FACE_OUTER_BOUND('',#18317,.T.); #10330=FACE_OUTER_BOUND('',#18318,.T.); #10331=FACE_OUTER_BOUND('',#18319,.T.); #10332=FACE_OUTER_BOUND('',#18320,.T.); #10333=FACE_OUTER_BOUND('',#18321,.T.); #10334=FACE_OUTER_BOUND('',#18322,.T.); #10335=FACE_OUTER_BOUND('',#18323,.T.); #10336=FACE_OUTER_BOUND('',#18324,.T.); #10337=FACE_OUTER_BOUND('',#18325,.T.); #10338=FACE_OUTER_BOUND('',#18326,.T.); #10339=FACE_OUTER_BOUND('',#18327,.T.); #10340=FACE_OUTER_BOUND('',#18328,.T.); #10341=FACE_OUTER_BOUND('',#18329,.T.); #10342=FACE_OUTER_BOUND('',#18330,.T.); #10343=FACE_OUTER_BOUND('',#18331,.T.); #10344=FACE_OUTER_BOUND('',#18332,.T.); #10345=FACE_OUTER_BOUND('',#18333,.T.); #10346=FACE_OUTER_BOUND('',#18334,.T.); #10347=FACE_OUTER_BOUND('',#18335,.T.); #10348=FACE_OUTER_BOUND('',#18336,.T.); #10349=FACE_OUTER_BOUND('',#18337,.T.); #10350=FACE_OUTER_BOUND('',#18338,.T.); #10351=FACE_OUTER_BOUND('',#18339,.T.); #10352=FACE_OUTER_BOUND('',#18340,.T.); #10353=FACE_OUTER_BOUND('',#18341,.T.); #10354=FACE_OUTER_BOUND('',#18342,.T.); #10355=FACE_OUTER_BOUND('',#18343,.T.); #10356=FACE_OUTER_BOUND('',#18344,.T.); #10357=FACE_OUTER_BOUND('',#18345,.T.); #10358=FACE_OUTER_BOUND('',#18346,.T.); #10359=FACE_OUTER_BOUND('',#18347,.T.); #10360=FACE_OUTER_BOUND('',#18348,.T.); #10361=FACE_OUTER_BOUND('',#18349,.T.); #10362=FACE_OUTER_BOUND('',#18350,.T.); #10363=FACE_OUTER_BOUND('',#18351,.T.); #10364=FACE_OUTER_BOUND('',#18352,.T.); #10365=FACE_OUTER_BOUND('',#18353,.T.); #10366=FACE_OUTER_BOUND('',#18354,.T.); #10367=FACE_OUTER_BOUND('',#18355,.T.); #10368=FACE_OUTER_BOUND('',#18356,.T.); #10369=FACE_OUTER_BOUND('',#18357,.T.); #10370=FACE_OUTER_BOUND('',#18358,.T.); #10371=FACE_OUTER_BOUND('',#18359,.T.); #10372=FACE_OUTER_BOUND('',#18360,.T.); #10373=FACE_OUTER_BOUND('',#18361,.T.); #10374=FACE_OUTER_BOUND('',#18362,.T.); #10375=FACE_OUTER_BOUND('',#18363,.T.); #10376=FACE_OUTER_BOUND('',#18364,.T.); #10377=FACE_OUTER_BOUND('',#18366,.T.); #10378=FACE_OUTER_BOUND('',#18367,.T.); #10379=FACE_OUTER_BOUND('',#18368,.T.); #10380=FACE_OUTER_BOUND('',#18369,.T.); #10381=FACE_OUTER_BOUND('',#18370,.T.); #10382=FACE_OUTER_BOUND('',#18371,.T.); #10383=FACE_OUTER_BOUND('',#18372,.T.); #10384=FACE_OUTER_BOUND('',#18373,.T.); #10385=FACE_OUTER_BOUND('',#18374,.T.); #10386=FACE_OUTER_BOUND('',#18375,.T.); #10387=FACE_OUTER_BOUND('',#18376,.T.); #10388=FACE_OUTER_BOUND('',#18377,.T.); #10389=FACE_OUTER_BOUND('',#18378,.T.); #10390=FACE_OUTER_BOUND('',#18379,.T.); #10391=FACE_OUTER_BOUND('',#18380,.T.); #10392=FACE_OUTER_BOUND('',#18381,.T.); #10393=FACE_OUTER_BOUND('',#18382,.T.); #10394=FACE_OUTER_BOUND('',#18383,.T.); #10395=FACE_OUTER_BOUND('',#18384,.T.); #10396=FACE_OUTER_BOUND('',#18385,.T.); #10397=FACE_OUTER_BOUND('',#18386,.T.); #10398=FACE_OUTER_BOUND('',#18387,.T.); #10399=FACE_OUTER_BOUND('',#18388,.T.); #10400=FACE_OUTER_BOUND('',#18389,.T.); #10401=FACE_OUTER_BOUND('',#18390,.T.); #10402=FACE_OUTER_BOUND('',#18391,.T.); #10403=FACE_OUTER_BOUND('',#18392,.T.); #10404=FACE_OUTER_BOUND('',#18393,.T.); #10405=FACE_OUTER_BOUND('',#18394,.T.); #10406=FACE_OUTER_BOUND('',#18395,.T.); #10407=FACE_OUTER_BOUND('',#18396,.T.); #10408=FACE_OUTER_BOUND('',#18397,.T.); #10409=FACE_OUTER_BOUND('',#18398,.T.); #10410=FACE_OUTER_BOUND('',#18399,.T.); #10411=FACE_OUTER_BOUND('',#18400,.T.); #10412=FACE_OUTER_BOUND('',#18401,.T.); #10413=FACE_OUTER_BOUND('',#18402,.T.); #10414=FACE_OUTER_BOUND('',#18403,.T.); #10415=FACE_OUTER_BOUND('',#18404,.T.); #10416=FACE_OUTER_BOUND('',#18405,.T.); #10417=FACE_OUTER_BOUND('',#18406,.T.); #10418=FACE_OUTER_BOUND('',#18407,.T.); #10419=FACE_OUTER_BOUND('',#18408,.T.); #10420=FACE_OUTER_BOUND('',#18409,.T.); #10421=FACE_OUTER_BOUND('',#18410,.T.); #10422=FACE_OUTER_BOUND('',#18411,.T.); #10423=FACE_OUTER_BOUND('',#18412,.T.); #10424=FACE_OUTER_BOUND('',#18413,.T.); #10425=FACE_OUTER_BOUND('',#18414,.T.); #10426=FACE_OUTER_BOUND('',#18415,.T.); #10427=FACE_OUTER_BOUND('',#18416,.T.); #10428=FACE_OUTER_BOUND('',#18417,.T.); #10429=FACE_OUTER_BOUND('',#18418,.T.); #10430=FACE_OUTER_BOUND('',#18419,.T.); #10431=FACE_OUTER_BOUND('',#18420,.T.); #10432=FACE_OUTER_BOUND('',#18421,.T.); #10433=FACE_OUTER_BOUND('',#18422,.T.); #10434=FACE_OUTER_BOUND('',#18423,.T.); #10435=FACE_OUTER_BOUND('',#18424,.T.); #10436=FACE_OUTER_BOUND('',#18425,.T.); #10437=FACE_OUTER_BOUND('',#18426,.T.); #10438=FACE_OUTER_BOUND('',#18427,.T.); #10439=FACE_OUTER_BOUND('',#18428,.T.); #10440=FACE_OUTER_BOUND('',#18429,.T.); #10441=FACE_OUTER_BOUND('',#18430,.T.); #10442=FACE_OUTER_BOUND('',#18431,.T.); #10443=FACE_OUTER_BOUND('',#18432,.T.); #10444=FACE_OUTER_BOUND('',#18433,.T.); #10445=FACE_OUTER_BOUND('',#18434,.T.); #10446=FACE_OUTER_BOUND('',#18435,.T.); #10447=FACE_OUTER_BOUND('',#18436,.T.); #10448=FACE_OUTER_BOUND('',#18437,.T.); #10449=FACE_OUTER_BOUND('',#18438,.T.); #10450=FACE_OUTER_BOUND('',#18439,.T.); #10451=FACE_OUTER_BOUND('',#18440,.T.); #10452=FACE_OUTER_BOUND('',#18441,.T.); #10453=FACE_OUTER_BOUND('',#18442,.T.); #10454=FACE_OUTER_BOUND('',#18443,.T.); #10455=FACE_OUTER_BOUND('',#18444,.T.); #10456=FACE_OUTER_BOUND('',#18445,.T.); #10457=FACE_OUTER_BOUND('',#18446,.T.); #10458=FACE_OUTER_BOUND('',#18447,.T.); #10459=FACE_OUTER_BOUND('',#18448,.T.); #10460=FACE_OUTER_BOUND('',#18449,.T.); #10461=FACE_OUTER_BOUND('',#18450,.T.); #10462=FACE_OUTER_BOUND('',#18451,.T.); #10463=FACE_OUTER_BOUND('',#18452,.T.); #10464=FACE_OUTER_BOUND('',#18453,.T.); #10465=FACE_OUTER_BOUND('',#18454,.T.); #10466=FACE_OUTER_BOUND('',#18455,.T.); #10467=FACE_OUTER_BOUND('',#18456,.T.); #10468=FACE_OUTER_BOUND('',#18457,.T.); #10469=FACE_OUTER_BOUND('',#18458,.T.); #10470=FACE_OUTER_BOUND('',#18459,.T.); #10471=FACE_OUTER_BOUND('',#18460,.T.); #10472=FACE_OUTER_BOUND('',#18461,.T.); #10473=FACE_OUTER_BOUND('',#18462,.T.); #10474=FACE_OUTER_BOUND('',#18463,.T.); #10475=FACE_OUTER_BOUND('',#18464,.T.); #10476=FACE_OUTER_BOUND('',#18465,.T.); #10477=FACE_OUTER_BOUND('',#18466,.T.); #10478=FACE_OUTER_BOUND('',#18467,.T.); #10479=FACE_OUTER_BOUND('',#18468,.T.); #10480=FACE_OUTER_BOUND('',#18469,.T.); #10481=FACE_OUTER_BOUND('',#18470,.T.); #10482=FACE_OUTER_BOUND('',#18471,.T.); #10483=FACE_OUTER_BOUND('',#18472,.T.); #10484=FACE_OUTER_BOUND('',#18473,.T.); #10485=FACE_OUTER_BOUND('',#18474,.T.); #10486=FACE_OUTER_BOUND('',#18475,.T.); #10487=FACE_OUTER_BOUND('',#18476,.T.); #10488=FACE_OUTER_BOUND('',#18477,.T.); #10489=FACE_OUTER_BOUND('',#18478,.T.); #10490=FACE_OUTER_BOUND('',#18479,.T.); #10491=FACE_OUTER_BOUND('',#18480,.T.); #10492=FACE_OUTER_BOUND('',#18481,.T.); #10493=FACE_OUTER_BOUND('',#18482,.T.); #10494=FACE_OUTER_BOUND('',#18483,.T.); #10495=FACE_OUTER_BOUND('',#18484,.T.); #10496=FACE_OUTER_BOUND('',#18485,.T.); #10497=FACE_OUTER_BOUND('',#18486,.T.); #10498=FACE_OUTER_BOUND('',#18487,.T.); #10499=FACE_OUTER_BOUND('',#18488,.T.); #10500=FACE_OUTER_BOUND('',#18489,.T.); #10501=FACE_OUTER_BOUND('',#18490,.T.); #10502=FACE_OUTER_BOUND('',#18491,.T.); #10503=FACE_OUTER_BOUND('',#18492,.T.); #10504=FACE_OUTER_BOUND('',#18493,.T.); #10505=FACE_OUTER_BOUND('',#18494,.T.); #10506=FACE_OUTER_BOUND('',#18495,.T.); #10507=FACE_OUTER_BOUND('',#18496,.T.); #10508=FACE_OUTER_BOUND('',#18497,.T.); #10509=FACE_OUTER_BOUND('',#18498,.T.); #10510=FACE_OUTER_BOUND('',#18499,.T.); #10511=FACE_OUTER_BOUND('',#18500,.T.); #10512=FACE_OUTER_BOUND('',#18501,.T.); #10513=FACE_OUTER_BOUND('',#18502,.T.); #10514=FACE_OUTER_BOUND('',#18503,.T.); #10515=FACE_OUTER_BOUND('',#18504,.T.); #10516=FACE_OUTER_BOUND('',#18505,.T.); #10517=FACE_OUTER_BOUND('',#18506,.T.); #10518=FACE_OUTER_BOUND('',#18507,.T.); #10519=FACE_OUTER_BOUND('',#18508,.T.); #10520=FACE_OUTER_BOUND('',#18509,.T.); #10521=FACE_OUTER_BOUND('',#18510,.T.); #10522=FACE_OUTER_BOUND('',#18511,.T.); #10523=FACE_OUTER_BOUND('',#18512,.T.); #10524=FACE_OUTER_BOUND('',#18513,.T.); #10525=FACE_OUTER_BOUND('',#18514,.T.); #10526=FACE_OUTER_BOUND('',#18515,.T.); #10527=FACE_OUTER_BOUND('',#18516,.T.); #10528=FACE_OUTER_BOUND('',#18517,.T.); #10529=FACE_OUTER_BOUND('',#18518,.T.); #10530=FACE_OUTER_BOUND('',#18519,.T.); #10531=FACE_OUTER_BOUND('',#18520,.T.); #10532=FACE_OUTER_BOUND('',#18521,.T.); #10533=FACE_OUTER_BOUND('',#18522,.T.); #10534=FACE_OUTER_BOUND('',#18523,.T.); #10535=FACE_OUTER_BOUND('',#18524,.T.); #10536=FACE_OUTER_BOUND('',#18525,.T.); #10537=FACE_OUTER_BOUND('',#18526,.T.); #10538=FACE_OUTER_BOUND('',#18527,.T.); #10539=FACE_OUTER_BOUND('',#18528,.T.); #10540=FACE_OUTER_BOUND('',#18529,.T.); #10541=FACE_OUTER_BOUND('',#18530,.T.); #10542=FACE_OUTER_BOUND('',#18531,.T.); #10543=FACE_OUTER_BOUND('',#18532,.T.); #10544=FACE_OUTER_BOUND('',#18533,.T.); #10545=FACE_OUTER_BOUND('',#18534,.T.); #10546=FACE_OUTER_BOUND('',#18535,.T.); #10547=FACE_OUTER_BOUND('',#18536,.T.); #10548=FACE_OUTER_BOUND('',#18537,.T.); #10549=FACE_OUTER_BOUND('',#18538,.T.); #10550=FACE_OUTER_BOUND('',#18539,.T.); #10551=FACE_OUTER_BOUND('',#18540,.T.); #10552=FACE_OUTER_BOUND('',#18541,.T.); #10553=FACE_OUTER_BOUND('',#18542,.T.); #10554=FACE_OUTER_BOUND('',#18543,.T.); #10555=FACE_OUTER_BOUND('',#18544,.T.); #10556=FACE_OUTER_BOUND('',#18545,.T.); #10557=FACE_OUTER_BOUND('',#18546,.T.); #10558=FACE_OUTER_BOUND('',#18547,.T.); #10559=FACE_OUTER_BOUND('',#18548,.T.); #10560=FACE_OUTER_BOUND('',#18549,.T.); #10561=FACE_OUTER_BOUND('',#18550,.T.); #10562=FACE_OUTER_BOUND('',#18551,.T.); #10563=FACE_OUTER_BOUND('',#18552,.T.); #10564=FACE_OUTER_BOUND('',#18553,.T.); #10565=FACE_OUTER_BOUND('',#18554,.T.); #10566=FACE_OUTER_BOUND('',#18555,.T.); #10567=FACE_OUTER_BOUND('',#18556,.T.); #10568=FACE_OUTER_BOUND('',#18557,.T.); #10569=FACE_OUTER_BOUND('',#18558,.T.); #10570=FACE_OUTER_BOUND('',#18559,.T.); #10571=FACE_OUTER_BOUND('',#18560,.T.); #10572=FACE_OUTER_BOUND('',#18561,.T.); #10573=FACE_OUTER_BOUND('',#18562,.T.); #10574=FACE_OUTER_BOUND('',#18563,.T.); #10575=FACE_OUTER_BOUND('',#18564,.T.); #10576=FACE_OUTER_BOUND('',#18565,.T.); #10577=FACE_OUTER_BOUND('',#18566,.T.); #10578=FACE_OUTER_BOUND('',#18567,.T.); #10579=FACE_OUTER_BOUND('',#18568,.T.); #10580=FACE_OUTER_BOUND('',#18569,.T.); #10581=FACE_OUTER_BOUND('',#18570,.T.); #10582=FACE_OUTER_BOUND('',#18571,.T.); #10583=FACE_OUTER_BOUND('',#18572,.T.); #10584=FACE_OUTER_BOUND('',#18573,.T.); #10585=FACE_OUTER_BOUND('',#18574,.T.); #10586=FACE_OUTER_BOUND('',#18575,.T.); #10587=FACE_OUTER_BOUND('',#18576,.T.); #10588=FACE_OUTER_BOUND('',#18577,.T.); #10589=FACE_OUTER_BOUND('',#18578,.T.); #10590=FACE_OUTER_BOUND('',#18579,.T.); #10591=FACE_OUTER_BOUND('',#18580,.T.); #10592=FACE_OUTER_BOUND('',#18581,.T.); #10593=FACE_OUTER_BOUND('',#18582,.T.); #10594=FACE_OUTER_BOUND('',#18583,.T.); #10595=FACE_OUTER_BOUND('',#18584,.T.); #10596=FACE_OUTER_BOUND('',#18585,.T.); #10597=FACE_OUTER_BOUND('',#18586,.T.); #10598=FACE_OUTER_BOUND('',#18587,.T.); #10599=FACE_OUTER_BOUND('',#18588,.T.); #10600=FACE_OUTER_BOUND('',#18589,.T.); #10601=FACE_OUTER_BOUND('',#18590,.T.); #10602=FACE_OUTER_BOUND('',#18591,.T.); #10603=FACE_OUTER_BOUND('',#18592,.T.); #10604=FACE_OUTER_BOUND('',#18593,.T.); #10605=FACE_OUTER_BOUND('',#18594,.T.); #10606=FACE_OUTER_BOUND('',#18595,.T.); #10607=FACE_OUTER_BOUND('',#18596,.T.); #10608=FACE_OUTER_BOUND('',#18597,.T.); #10609=FACE_OUTER_BOUND('',#18598,.T.); #10610=FACE_OUTER_BOUND('',#18599,.T.); #10611=FACE_OUTER_BOUND('',#18600,.T.); #10612=FACE_OUTER_BOUND('',#18601,.T.); #10613=FACE_OUTER_BOUND('',#18602,.T.); #10614=FACE_OUTER_BOUND('',#18603,.T.); #10615=FACE_OUTER_BOUND('',#18604,.T.); #10616=FACE_OUTER_BOUND('',#18605,.T.); #10617=FACE_OUTER_BOUND('',#18606,.T.); #10618=FACE_OUTER_BOUND('',#18607,.T.); #10619=FACE_OUTER_BOUND('',#18608,.T.); #10620=FACE_OUTER_BOUND('',#18609,.T.); #10621=FACE_OUTER_BOUND('',#18610,.T.); #10622=FACE_OUTER_BOUND('',#18611,.T.); #10623=FACE_OUTER_BOUND('',#18612,.T.); #10624=FACE_OUTER_BOUND('',#18613,.T.); #10625=FACE_OUTER_BOUND('',#18614,.T.); #10626=FACE_OUTER_BOUND('',#18615,.T.); #10627=FACE_OUTER_BOUND('',#18616,.T.); #10628=FACE_OUTER_BOUND('',#18617,.T.); #10629=FACE_OUTER_BOUND('',#18618,.T.); #10630=FACE_OUTER_BOUND('',#18619,.T.); #10631=FACE_OUTER_BOUND('',#18620,.T.); #10632=FACE_OUTER_BOUND('',#18621,.T.); #10633=FACE_OUTER_BOUND('',#18622,.T.); #10634=FACE_OUTER_BOUND('',#18623,.T.); #10635=FACE_OUTER_BOUND('',#18624,.T.); #10636=FACE_OUTER_BOUND('',#18625,.T.); #10637=FACE_OUTER_BOUND('',#18626,.T.); #10638=FACE_OUTER_BOUND('',#18627,.T.); #10639=FACE_OUTER_BOUND('',#18628,.T.); #10640=FACE_OUTER_BOUND('',#18629,.T.); #10641=FACE_OUTER_BOUND('',#18630,.T.); #10642=FACE_OUTER_BOUND('',#18633,.T.); #10643=FACE_OUTER_BOUND('',#18634,.T.); #10644=FACE_OUTER_BOUND('',#18635,.T.); #10645=FACE_OUTER_BOUND('',#18636,.T.); #10646=FACE_OUTER_BOUND('',#18637,.T.); #10647=FACE_OUTER_BOUND('',#18638,.T.); #10648=FACE_OUTER_BOUND('',#18639,.T.); #10649=FACE_OUTER_BOUND('',#18640,.T.); #10650=FACE_OUTER_BOUND('',#18641,.T.); #10651=FACE_OUTER_BOUND('',#18642,.T.); #10652=FACE_OUTER_BOUND('',#18643,.T.); #10653=FACE_OUTER_BOUND('',#18644,.T.); #10654=FACE_OUTER_BOUND('',#18645,.T.); #10655=FACE_OUTER_BOUND('',#18646,.T.); #10656=FACE_OUTER_BOUND('',#18647,.T.); #10657=FACE_OUTER_BOUND('',#18648,.T.); #10658=FACE_OUTER_BOUND('',#18649,.T.); #10659=FACE_OUTER_BOUND('',#18650,.T.); #10660=FACE_OUTER_BOUND('',#18651,.T.); #10661=FACE_OUTER_BOUND('',#18652,.T.); #10662=FACE_OUTER_BOUND('',#18653,.T.); #10663=FACE_OUTER_BOUND('',#18654,.T.); #10664=FACE_OUTER_BOUND('',#18655,.T.); #10665=FACE_OUTER_BOUND('',#18656,.T.); #10666=FACE_OUTER_BOUND('',#18657,.T.); #10667=FACE_OUTER_BOUND('',#18658,.T.); #10668=FACE_OUTER_BOUND('',#18659,.T.); #10669=FACE_OUTER_BOUND('',#18660,.T.); #10670=FACE_OUTER_BOUND('',#18661,.T.); #10671=FACE_OUTER_BOUND('',#18662,.T.); #10672=FACE_OUTER_BOUND('',#18663,.T.); #10673=FACE_OUTER_BOUND('',#18664,.T.); #10674=FACE_OUTER_BOUND('',#18665,.T.); #10675=FACE_OUTER_BOUND('',#18666,.T.); #10676=FACE_OUTER_BOUND('',#18667,.T.); #10677=FACE_OUTER_BOUND('',#18668,.T.); #10678=FACE_OUTER_BOUND('',#18669,.T.); #10679=FACE_OUTER_BOUND('',#18670,.T.); #10680=FACE_OUTER_BOUND('',#18671,.T.); #10681=FACE_OUTER_BOUND('',#18672,.T.); #10682=FACE_OUTER_BOUND('',#18673,.T.); #10683=FACE_OUTER_BOUND('',#18674,.T.); #10684=FACE_OUTER_BOUND('',#18675,.T.); #10685=FACE_OUTER_BOUND('',#18676,.T.); #10686=FACE_OUTER_BOUND('',#18677,.T.); #10687=FACE_OUTER_BOUND('',#18678,.T.); #10688=FACE_OUTER_BOUND('',#18679,.T.); #10689=FACE_OUTER_BOUND('',#18680,.T.); #10690=FACE_OUTER_BOUND('',#18681,.T.); #10691=FACE_OUTER_BOUND('',#18682,.T.); #10692=FACE_OUTER_BOUND('',#18683,.T.); #10693=FACE_OUTER_BOUND('',#18684,.T.); #10694=FACE_OUTER_BOUND('',#18685,.T.); #10695=FACE_OUTER_BOUND('',#18686,.T.); #10696=FACE_OUTER_BOUND('',#18687,.T.); #10697=FACE_OUTER_BOUND('',#18688,.T.); #10698=FACE_OUTER_BOUND('',#18689,.T.); #10699=FACE_OUTER_BOUND('',#18690,.T.); #10700=FACE_OUTER_BOUND('',#18691,.T.); #10701=FACE_OUTER_BOUND('',#18692,.T.); #10702=FACE_OUTER_BOUND('',#18693,.T.); #10703=FACE_OUTER_BOUND('',#18694,.T.); #10704=FACE_OUTER_BOUND('',#18695,.T.); #10705=FACE_OUTER_BOUND('',#18696,.T.); #10706=FACE_OUTER_BOUND('',#18697,.T.); #10707=FACE_OUTER_BOUND('',#18698,.T.); #10708=FACE_OUTER_BOUND('',#18699,.T.); #10709=FACE_OUTER_BOUND('',#18700,.T.); #10710=FACE_OUTER_BOUND('',#18701,.T.); #10711=FACE_OUTER_BOUND('',#18702,.T.); #10712=FACE_OUTER_BOUND('',#18703,.T.); #10713=FACE_OUTER_BOUND('',#18704,.T.); #10714=FACE_OUTER_BOUND('',#18705,.T.); #10715=FACE_OUTER_BOUND('',#18706,.T.); #10716=FACE_OUTER_BOUND('',#18707,.T.); #10717=FACE_OUTER_BOUND('',#18708,.T.); #10718=FACE_OUTER_BOUND('',#18709,.T.); #10719=FACE_OUTER_BOUND('',#18710,.T.); #10720=FACE_OUTER_BOUND('',#18711,.T.); #10721=FACE_OUTER_BOUND('',#18712,.T.); #10722=FACE_OUTER_BOUND('',#18713,.T.); #10723=FACE_OUTER_BOUND('',#18714,.T.); #10724=FACE_OUTER_BOUND('',#18715,.T.); #10725=FACE_OUTER_BOUND('',#18716,.T.); #10726=FACE_OUTER_BOUND('',#18717,.T.); #10727=FACE_OUTER_BOUND('',#18718,.T.); #10728=FACE_OUTER_BOUND('',#18719,.T.); #10729=FACE_OUTER_BOUND('',#18720,.T.); #10730=FACE_OUTER_BOUND('',#18721,.T.); #10731=FACE_OUTER_BOUND('',#18722,.T.); #10732=FACE_OUTER_BOUND('',#18723,.T.); #10733=FACE_OUTER_BOUND('',#18724,.T.); #10734=FACE_OUTER_BOUND('',#18725,.T.); #10735=FACE_OUTER_BOUND('',#18726,.T.); #10736=FACE_OUTER_BOUND('',#18727,.T.); #10737=FACE_OUTER_BOUND('',#18728,.T.); #10738=FACE_OUTER_BOUND('',#18729,.T.); #10739=FACE_OUTER_BOUND('',#18730,.T.); #10740=FACE_OUTER_BOUND('',#18731,.T.); #10741=FACE_OUTER_BOUND('',#18732,.T.); #10742=FACE_OUTER_BOUND('',#18733,.T.); #10743=FACE_OUTER_BOUND('',#18734,.T.); #10744=FACE_OUTER_BOUND('',#18735,.T.); #10745=FACE_OUTER_BOUND('',#18736,.T.); #10746=FACE_OUTER_BOUND('',#18737,.T.); #10747=FACE_OUTER_BOUND('',#18738,.T.); #10748=FACE_OUTER_BOUND('',#18739,.T.); #10749=FACE_OUTER_BOUND('',#18740,.T.); #10750=FACE_OUTER_BOUND('',#18741,.T.); #10751=FACE_OUTER_BOUND('',#18742,.T.); #10752=FACE_OUTER_BOUND('',#18743,.T.); #10753=FACE_OUTER_BOUND('',#18744,.T.); #10754=FACE_OUTER_BOUND('',#18745,.T.); #10755=FACE_OUTER_BOUND('',#18746,.T.); #10756=FACE_OUTER_BOUND('',#18747,.T.); #10757=FACE_OUTER_BOUND('',#18748,.T.); #10758=FACE_OUTER_BOUND('',#18749,.T.); #10759=FACE_OUTER_BOUND('',#18750,.T.); #10760=FACE_OUTER_BOUND('',#18751,.T.); #10761=FACE_OUTER_BOUND('',#18752,.T.); #10762=FACE_OUTER_BOUND('',#18753,.T.); #10763=FACE_OUTER_BOUND('',#18754,.T.); #10764=FACE_OUTER_BOUND('',#18755,.T.); #10765=FACE_OUTER_BOUND('',#18756,.T.); #10766=FACE_OUTER_BOUND('',#18757,.T.); #10767=FACE_OUTER_BOUND('',#18758,.T.); #10768=FACE_OUTER_BOUND('',#18759,.T.); #10769=FACE_OUTER_BOUND('',#18760,.T.); #10770=FACE_OUTER_BOUND('',#18761,.T.); #10771=FACE_OUTER_BOUND('',#18762,.T.); #10772=FACE_OUTER_BOUND('',#18763,.T.); #10773=FACE_OUTER_BOUND('',#18764,.T.); #10774=FACE_OUTER_BOUND('',#18765,.T.); #10775=FACE_OUTER_BOUND('',#18766,.T.); #10776=FACE_OUTER_BOUND('',#18767,.T.); #10777=FACE_OUTER_BOUND('',#18768,.T.); #10778=FACE_OUTER_BOUND('',#18769,.T.); #10779=FACE_OUTER_BOUND('',#18770,.T.); #10780=FACE_OUTER_BOUND('',#18771,.T.); #10781=FACE_OUTER_BOUND('',#18772,.T.); #10782=FACE_OUTER_BOUND('',#18773,.T.); #10783=FACE_OUTER_BOUND('',#18774,.T.); #10784=FACE_OUTER_BOUND('',#18775,.T.); #10785=FACE_OUTER_BOUND('',#18776,.T.); #10786=FACE_OUTER_BOUND('',#18777,.T.); #10787=FACE_OUTER_BOUND('',#18778,.T.); #10788=FACE_OUTER_BOUND('',#18779,.T.); #10789=FACE_OUTER_BOUND('',#18780,.T.); #10790=FACE_OUTER_BOUND('',#18781,.T.); #10791=FACE_OUTER_BOUND('',#18782,.T.); #10792=FACE_OUTER_BOUND('',#18783,.T.); #10793=FACE_OUTER_BOUND('',#18784,.T.); #10794=FACE_OUTER_BOUND('',#18785,.T.); #10795=FACE_OUTER_BOUND('',#18786,.T.); #10796=FACE_OUTER_BOUND('',#18787,.T.); #10797=FACE_OUTER_BOUND('',#18788,.T.); #10798=FACE_OUTER_BOUND('',#18789,.T.); #10799=FACE_OUTER_BOUND('',#18790,.T.); #10800=FACE_OUTER_BOUND('',#18791,.T.); #10801=FACE_OUTER_BOUND('',#18792,.T.); #10802=FACE_OUTER_BOUND('',#18793,.T.); #10803=FACE_OUTER_BOUND('',#18794,.T.); #10804=FACE_OUTER_BOUND('',#18795,.T.); #10805=FACE_OUTER_BOUND('',#18796,.T.); #10806=FACE_OUTER_BOUND('',#18797,.T.); #10807=FACE_OUTER_BOUND('',#18798,.T.); #10808=FACE_OUTER_BOUND('',#18799,.T.); #10809=FACE_OUTER_BOUND('',#18800,.T.); #10810=FACE_OUTER_BOUND('',#18801,.T.); #10811=FACE_OUTER_BOUND('',#18802,.T.); #10812=FACE_OUTER_BOUND('',#18803,.T.); #10813=FACE_OUTER_BOUND('',#18804,.T.); #10814=FACE_OUTER_BOUND('',#18805,.T.); #10815=FACE_OUTER_BOUND('',#18806,.T.); #10816=FACE_OUTER_BOUND('',#18807,.T.); #10817=FACE_OUTER_BOUND('',#18808,.T.); #10818=FACE_OUTER_BOUND('',#18809,.T.); #10819=FACE_OUTER_BOUND('',#18810,.T.); #10820=FACE_OUTER_BOUND('',#18811,.T.); #10821=FACE_OUTER_BOUND('',#18812,.T.); #10822=FACE_OUTER_BOUND('',#18813,.T.); #10823=FACE_OUTER_BOUND('',#18814,.T.); #10824=FACE_OUTER_BOUND('',#18815,.T.); #10825=FACE_OUTER_BOUND('',#18816,.T.); #10826=FACE_OUTER_BOUND('',#18817,.T.); #10827=FACE_OUTER_BOUND('',#18818,.T.); #10828=FACE_OUTER_BOUND('',#18819,.T.); #10829=FACE_OUTER_BOUND('',#18820,.T.); #10830=FACE_OUTER_BOUND('',#18821,.T.); #10831=FACE_OUTER_BOUND('',#18822,.T.); #10832=FACE_OUTER_BOUND('',#18823,.T.); #10833=FACE_OUTER_BOUND('',#18824,.T.); #10834=FACE_OUTER_BOUND('',#18825,.T.); #10835=FACE_OUTER_BOUND('',#18826,.T.); #10836=FACE_OUTER_BOUND('',#18827,.T.); #10837=FACE_OUTER_BOUND('',#18828,.T.); #10838=FACE_OUTER_BOUND('',#18829,.T.); #10839=FACE_OUTER_BOUND('',#18830,.T.); #10840=FACE_OUTER_BOUND('',#18831,.T.); #10841=FACE_OUTER_BOUND('',#18832,.T.); #10842=FACE_OUTER_BOUND('',#18833,.T.); #10843=FACE_OUTER_BOUND('',#18834,.T.); #10844=FACE_OUTER_BOUND('',#18836,.T.); #10845=FACE_OUTER_BOUND('',#18837,.T.); #10846=FACE_OUTER_BOUND('',#18838,.T.); #10847=FACE_OUTER_BOUND('',#18839,.T.); #10848=FACE_OUTER_BOUND('',#18840,.T.); #10849=FACE_OUTER_BOUND('',#18841,.T.); #10850=FACE_OUTER_BOUND('',#18842,.T.); #10851=FACE_OUTER_BOUND('',#18843,.T.); #10852=FACE_OUTER_BOUND('',#18844,.T.); #10853=FACE_OUTER_BOUND('',#18845,.T.); #10854=FACE_OUTER_BOUND('',#18846,.T.); #10855=FACE_OUTER_BOUND('',#18847,.T.); #10856=FACE_OUTER_BOUND('',#18848,.T.); #10857=FACE_OUTER_BOUND('',#18849,.T.); #10858=FACE_OUTER_BOUND('',#18850,.T.); #10859=FACE_OUTER_BOUND('',#18851,.T.); #10860=FACE_OUTER_BOUND('',#18852,.T.); #10861=FACE_OUTER_BOUND('',#18853,.T.); #10862=FACE_OUTER_BOUND('',#18854,.T.); #10863=FACE_OUTER_BOUND('',#18855,.T.); #10864=FACE_OUTER_BOUND('',#18856,.T.); #10865=FACE_OUTER_BOUND('',#18857,.T.); #10866=FACE_OUTER_BOUND('',#18858,.T.); #10867=FACE_OUTER_BOUND('',#18859,.T.); #10868=FACE_OUTER_BOUND('',#18860,.T.); #10869=FACE_OUTER_BOUND('',#18861,.T.); #10870=FACE_OUTER_BOUND('',#18862,.T.); #10871=FACE_OUTER_BOUND('',#18863,.T.); #10872=FACE_OUTER_BOUND('',#18864,.T.); #10873=FACE_OUTER_BOUND('',#18865,.T.); #10874=FACE_OUTER_BOUND('',#18866,.T.); #10875=FACE_OUTER_BOUND('',#18867,.T.); #10876=FACE_OUTER_BOUND('',#18868,.T.); #10877=FACE_OUTER_BOUND('',#18869,.T.); #10878=FACE_OUTER_BOUND('',#18870,.T.); #10879=FACE_OUTER_BOUND('',#18871,.T.); #10880=FACE_OUTER_BOUND('',#18872,.T.); #10881=FACE_OUTER_BOUND('',#18873,.T.); #10882=FACE_OUTER_BOUND('',#18874,.T.); #10883=FACE_OUTER_BOUND('',#18875,.T.); #10884=FACE_OUTER_BOUND('',#18876,.T.); #10885=FACE_OUTER_BOUND('',#18877,.T.); #10886=FACE_OUTER_BOUND('',#18878,.T.); #10887=FACE_OUTER_BOUND('',#18879,.T.); #10888=FACE_OUTER_BOUND('',#18880,.T.); #10889=FACE_OUTER_BOUND('',#18881,.T.); #10890=FACE_OUTER_BOUND('',#18882,.T.); #10891=FACE_OUTER_BOUND('',#18883,.T.); #10892=FACE_OUTER_BOUND('',#18884,.T.); #10893=FACE_OUTER_BOUND('',#18885,.T.); #10894=FACE_OUTER_BOUND('',#18886,.T.); #10895=FACE_OUTER_BOUND('',#18887,.T.); #10896=FACE_OUTER_BOUND('',#18888,.T.); #10897=FACE_OUTER_BOUND('',#18889,.T.); #10898=FACE_OUTER_BOUND('',#18890,.T.); #10899=FACE_OUTER_BOUND('',#18891,.T.); #10900=FACE_OUTER_BOUND('',#18892,.T.); #10901=FACE_OUTER_BOUND('',#18893,.T.); #10902=FACE_OUTER_BOUND('',#18894,.T.); #10903=FACE_OUTER_BOUND('',#18895,.T.); #10904=FACE_OUTER_BOUND('',#18896,.T.); #10905=FACE_OUTER_BOUND('',#18897,.T.); #10906=FACE_OUTER_BOUND('',#18898,.T.); #10907=FACE_OUTER_BOUND('',#18899,.T.); #10908=FACE_OUTER_BOUND('',#18900,.T.); #10909=FACE_OUTER_BOUND('',#18901,.T.); #10910=FACE_OUTER_BOUND('',#18902,.T.); #10911=FACE_OUTER_BOUND('',#18903,.T.); #10912=FACE_OUTER_BOUND('',#18904,.T.); #10913=FACE_OUTER_BOUND('',#18905,.T.); #10914=FACE_OUTER_BOUND('',#18906,.T.); #10915=FACE_OUTER_BOUND('',#18907,.T.); #10916=FACE_OUTER_BOUND('',#18908,.T.); #10917=FACE_OUTER_BOUND('',#18909,.T.); #10918=FACE_OUTER_BOUND('',#18910,.T.); #10919=FACE_OUTER_BOUND('',#18911,.T.); #10920=FACE_OUTER_BOUND('',#18912,.T.); #10921=FACE_OUTER_BOUND('',#18913,.T.); #10922=FACE_OUTER_BOUND('',#18914,.T.); #10923=FACE_OUTER_BOUND('',#18915,.T.); #10924=FACE_OUTER_BOUND('',#18916,.T.); #10925=FACE_OUTER_BOUND('',#18917,.T.); #10926=FACE_OUTER_BOUND('',#18918,.T.); #10927=FACE_OUTER_BOUND('',#18919,.T.); #10928=FACE_OUTER_BOUND('',#18920,.T.); #10929=FACE_OUTER_BOUND('',#18921,.T.); #10930=FACE_OUTER_BOUND('',#18922,.T.); #10931=FACE_OUTER_BOUND('',#18923,.T.); #10932=FACE_OUTER_BOUND('',#18924,.T.); #10933=FACE_OUTER_BOUND('',#18925,.T.); #10934=FACE_OUTER_BOUND('',#18926,.T.); #10935=FACE_OUTER_BOUND('',#18927,.T.); #10936=FACE_OUTER_BOUND('',#18928,.T.); #10937=FACE_OUTER_BOUND('',#18929,.T.); #10938=FACE_OUTER_BOUND('',#18930,.T.); #10939=FACE_OUTER_BOUND('',#18931,.T.); #10940=FACE_OUTER_BOUND('',#18932,.T.); #10941=FACE_OUTER_BOUND('',#18933,.T.); #10942=FACE_OUTER_BOUND('',#18934,.T.); #10943=FACE_OUTER_BOUND('',#18935,.T.); #10944=FACE_OUTER_BOUND('',#18936,.T.); #10945=FACE_OUTER_BOUND('',#18937,.T.); #10946=FACE_OUTER_BOUND('',#18938,.T.); #10947=FACE_OUTER_BOUND('',#18939,.T.); #10948=FACE_OUTER_BOUND('',#18940,.T.); #10949=FACE_OUTER_BOUND('',#18941,.T.); #10950=FACE_OUTER_BOUND('',#18942,.T.); #10951=FACE_OUTER_BOUND('',#18943,.T.); #10952=FACE_OUTER_BOUND('',#18944,.T.); #10953=FACE_OUTER_BOUND('',#18945,.T.); #10954=FACE_OUTER_BOUND('',#18946,.T.); #10955=FACE_OUTER_BOUND('',#18947,.T.); #10956=FACE_OUTER_BOUND('',#18948,.T.); #10957=FACE_OUTER_BOUND('',#18949,.T.); #10958=FACE_OUTER_BOUND('',#18950,.T.); #10959=FACE_OUTER_BOUND('',#18951,.T.); #10960=FACE_OUTER_BOUND('',#18952,.T.); #10961=FACE_OUTER_BOUND('',#18953,.T.); #10962=FACE_OUTER_BOUND('',#18954,.T.); #10963=FACE_OUTER_BOUND('',#18955,.T.); #10964=FACE_OUTER_BOUND('',#18956,.T.); #10965=FACE_OUTER_BOUND('',#18957,.T.); #10966=FACE_OUTER_BOUND('',#18958,.T.); #10967=FACE_OUTER_BOUND('',#18959,.T.); #10968=FACE_OUTER_BOUND('',#18960,.T.); #10969=FACE_OUTER_BOUND('',#18961,.T.); #10970=FACE_OUTER_BOUND('',#18962,.T.); #10971=FACE_OUTER_BOUND('',#18963,.T.); #10972=FACE_OUTER_BOUND('',#18964,.T.); #10973=FACE_OUTER_BOUND('',#18965,.T.); #10974=FACE_OUTER_BOUND('',#18966,.T.); #10975=FACE_OUTER_BOUND('',#18967,.T.); #10976=FACE_OUTER_BOUND('',#18968,.T.); #10977=FACE_OUTER_BOUND('',#18969,.T.); #10978=FACE_OUTER_BOUND('',#18970,.T.); #10979=FACE_OUTER_BOUND('',#18971,.T.); #10980=FACE_OUTER_BOUND('',#18972,.T.); #10981=FACE_OUTER_BOUND('',#18973,.T.); #10982=FACE_OUTER_BOUND('',#18974,.T.); #10983=FACE_OUTER_BOUND('',#18975,.T.); #10984=FACE_OUTER_BOUND('',#18976,.T.); #10985=FACE_OUTER_BOUND('',#18977,.T.); #10986=FACE_OUTER_BOUND('',#18978,.T.); #10987=FACE_OUTER_BOUND('',#18979,.T.); #10988=FACE_OUTER_BOUND('',#18980,.T.); #10989=FACE_OUTER_BOUND('',#18981,.T.); #10990=FACE_OUTER_BOUND('',#18982,.T.); #10991=FACE_OUTER_BOUND('',#18983,.T.); #10992=FACE_OUTER_BOUND('',#18984,.T.); #10993=FACE_OUTER_BOUND('',#18985,.T.); #10994=FACE_OUTER_BOUND('',#18986,.T.); #10995=FACE_OUTER_BOUND('',#18987,.T.); #10996=FACE_OUTER_BOUND('',#18988,.T.); #10997=FACE_OUTER_BOUND('',#18989,.T.); #10998=FACE_OUTER_BOUND('',#18990,.T.); #10999=FACE_OUTER_BOUND('',#18991,.T.); #11000=FACE_OUTER_BOUND('',#18992,.T.); #11001=FACE_OUTER_BOUND('',#18993,.T.); #11002=FACE_OUTER_BOUND('',#18994,.T.); #11003=FACE_OUTER_BOUND('',#18995,.T.); #11004=FACE_OUTER_BOUND('',#18996,.T.); #11005=FACE_OUTER_BOUND('',#18997,.T.); #11006=FACE_OUTER_BOUND('',#18998,.T.); #11007=FACE_OUTER_BOUND('',#19054,.T.); #11008=FACE_OUTER_BOUND('',#19055,.T.); #11009=FACE_OUTER_BOUND('',#19056,.T.); #11010=FACE_OUTER_BOUND('',#19057,.T.); #11011=FACE_OUTER_BOUND('',#19058,.T.); #11012=FACE_OUTER_BOUND('',#19059,.T.); #11013=FACE_OUTER_BOUND('',#19060,.T.); #11014=FACE_OUTER_BOUND('',#19061,.T.); #11015=FACE_OUTER_BOUND('',#19062,.T.); #11016=FACE_OUTER_BOUND('',#19063,.T.); #11017=FACE_OUTER_BOUND('',#19064,.T.); #11018=FACE_OUTER_BOUND('',#19065,.T.); #11019=FACE_OUTER_BOUND('',#19066,.T.); #11020=FACE_OUTER_BOUND('',#19067,.T.); #11021=FACE_OUTER_BOUND('',#19068,.T.); #11022=FACE_OUTER_BOUND('',#19069,.T.); #11023=FACE_OUTER_BOUND('',#19070,.T.); #11024=FACE_OUTER_BOUND('',#19071,.T.); #11025=FACE_OUTER_BOUND('',#19072,.T.); #11026=FACE_OUTER_BOUND('',#19073,.T.); #11027=FACE_OUTER_BOUND('',#19074,.T.); #11028=FACE_OUTER_BOUND('',#19075,.T.); #11029=FACE_OUTER_BOUND('',#19076,.T.); #11030=FACE_OUTER_BOUND('',#19077,.T.); #11031=FACE_OUTER_BOUND('',#19078,.T.); #11032=FACE_OUTER_BOUND('',#19082,.T.); #11033=FACE_OUTER_BOUND('',#19083,.T.); #11034=FACE_OUTER_BOUND('',#19084,.T.); #11035=FACE_OUTER_BOUND('',#19085,.T.); #11036=FACE_OUTER_BOUND('',#19086,.T.); #11037=FACE_OUTER_BOUND('',#19087,.T.); #11038=FACE_OUTER_BOUND('',#19088,.T.); #11039=FACE_OUTER_BOUND('',#19089,.T.); #11040=FACE_OUTER_BOUND('',#19090,.T.); #11041=FACE_OUTER_BOUND('',#19091,.T.); #11042=FACE_OUTER_BOUND('',#19092,.T.); #11043=FACE_OUTER_BOUND('',#19093,.T.); #11044=FACE_OUTER_BOUND('',#19094,.T.); #11045=FACE_OUTER_BOUND('',#19095,.T.); #11046=FACE_OUTER_BOUND('',#19096,.T.); #11047=FACE_OUTER_BOUND('',#19097,.T.); #11048=FACE_OUTER_BOUND('',#19099,.T.); #11049=FACE_OUTER_BOUND('',#19100,.T.); #11050=FACE_OUTER_BOUND('',#19101,.T.); #11051=FACE_OUTER_BOUND('',#19102,.T.); #11052=FACE_OUTER_BOUND('',#19103,.T.); #11053=FACE_OUTER_BOUND('',#19104,.T.); #11054=FACE_OUTER_BOUND('',#19105,.T.); #11055=FACE_OUTER_BOUND('',#19109,.T.); #11056=FACE_OUTER_BOUND('',#19110,.T.); #11057=FACE_OUTER_BOUND('',#19111,.T.); #11058=FACE_OUTER_BOUND('',#19112,.T.); #11059=FACE_OUTER_BOUND('',#19113,.T.); #11060=FACE_OUTER_BOUND('',#19114,.T.); #11061=FACE_OUTER_BOUND('',#19115,.T.); #11062=FACE_OUTER_BOUND('',#19116,.T.); #11063=FACE_OUTER_BOUND('',#19117,.T.); #11064=FACE_OUTER_BOUND('',#19118,.T.); #11065=FACE_OUTER_BOUND('',#19119,.T.); #11066=FACE_OUTER_BOUND('',#19120,.T.); #11067=FACE_OUTER_BOUND('',#19121,.T.); #11068=FACE_OUTER_BOUND('',#19122,.T.); #11069=FACE_OUTER_BOUND('',#19123,.T.); #11070=FACE_OUTER_BOUND('',#19124,.T.); #11071=FACE_OUTER_BOUND('',#19125,.T.); #11072=FACE_OUTER_BOUND('',#19126,.T.); #11073=FACE_OUTER_BOUND('',#19127,.T.); #11074=FACE_OUTER_BOUND('',#19128,.T.); #11075=FACE_OUTER_BOUND('',#19129,.T.); #11076=FACE_OUTER_BOUND('',#19130,.T.); #11077=FACE_OUTER_BOUND('',#19131,.T.); #11078=FACE_OUTER_BOUND('',#19132,.T.); #11079=FACE_OUTER_BOUND('',#19133,.T.); #11080=FACE_OUTER_BOUND('',#19134,.T.); #11081=FACE_OUTER_BOUND('',#19135,.T.); #11082=FACE_OUTER_BOUND('',#19136,.T.); #11083=FACE_OUTER_BOUND('',#19137,.T.); #11084=FACE_OUTER_BOUND('',#19138,.T.); #11085=FACE_OUTER_BOUND('',#19139,.T.); #11086=FACE_OUTER_BOUND('',#19140,.T.); #11087=FACE_OUTER_BOUND('',#19141,.T.); #11088=FACE_OUTER_BOUND('',#19142,.T.); #11089=FACE_OUTER_BOUND('',#19143,.T.); #11090=FACE_OUTER_BOUND('',#19144,.T.); #11091=FACE_OUTER_BOUND('',#19145,.T.); #11092=FACE_OUTER_BOUND('',#19146,.T.); #11093=FACE_OUTER_BOUND('',#19147,.T.); #11094=FACE_OUTER_BOUND('',#19151,.T.); #11095=FACE_OUTER_BOUND('',#19152,.T.); #11096=FACE_OUTER_BOUND('',#19153,.T.); #11097=FACE_OUTER_BOUND('',#19154,.T.); #11098=FACE_OUTER_BOUND('',#19155,.T.); #11099=FACE_OUTER_BOUND('',#19156,.T.); #11100=FACE_OUTER_BOUND('',#19157,.T.); #11101=FACE_OUTER_BOUND('',#19158,.T.); #11102=FACE_OUTER_BOUND('',#19159,.T.); #11103=FACE_OUTER_BOUND('',#19160,.T.); #11104=FACE_OUTER_BOUND('',#19163,.T.); #11105=FACE_OUTER_BOUND('',#19164,.T.); #11106=FACE_OUTER_BOUND('',#19165,.T.); #11107=FACE_OUTER_BOUND('',#19166,.T.); #11108=FACE_OUTER_BOUND('',#19167,.T.); #11109=FACE_OUTER_BOUND('',#19168,.T.); #11110=FACE_OUTER_BOUND('',#19169,.T.); #11111=FACE_OUTER_BOUND('',#19170,.T.); #11112=FACE_OUTER_BOUND('',#19171,.T.); #11113=FACE_OUTER_BOUND('',#19172,.T.); #11114=FACE_OUTER_BOUND('',#19173,.T.); #11115=FACE_OUTER_BOUND('',#19174,.T.); #11116=FACE_OUTER_BOUND('',#19175,.T.); #11117=FACE_OUTER_BOUND('',#19176,.T.); #11118=FACE_OUTER_BOUND('',#19177,.T.); #11119=FACE_OUTER_BOUND('',#19178,.T.); #11120=FACE_OUTER_BOUND('',#19179,.T.); #11121=FACE_OUTER_BOUND('',#19180,.T.); #11122=FACE_OUTER_BOUND('',#19181,.T.); #11123=FACE_OUTER_BOUND('',#19182,.T.); #11124=FACE_OUTER_BOUND('',#19183,.T.); #11125=FACE_OUTER_BOUND('',#19184,.T.); #11126=FACE_OUTER_BOUND('',#19185,.T.); #11127=FACE_OUTER_BOUND('',#19186,.T.); #11128=FACE_OUTER_BOUND('',#19187,.T.); #11129=FACE_OUTER_BOUND('',#19188,.T.); #11130=FACE_OUTER_BOUND('',#19189,.T.); #11131=FACE_OUTER_BOUND('',#19190,.T.); #11132=FACE_OUTER_BOUND('',#19191,.T.); #11133=FACE_OUTER_BOUND('',#19192,.T.); #11134=FACE_OUTER_BOUND('',#19193,.T.); #11135=FACE_OUTER_BOUND('',#19194,.T.); #11136=FACE_OUTER_BOUND('',#19195,.T.); #11137=FACE_OUTER_BOUND('',#19196,.T.); #11138=FACE_OUTER_BOUND('',#19197,.T.); #11139=FACE_OUTER_BOUND('',#19198,.T.); #11140=FACE_OUTER_BOUND('',#19199,.T.); #11141=FACE_OUTER_BOUND('',#19200,.T.); #11142=FACE_OUTER_BOUND('',#19201,.T.); #11143=FACE_OUTER_BOUND('',#19202,.T.); #11144=FACE_OUTER_BOUND('',#19203,.T.); #11145=FACE_OUTER_BOUND('',#19204,.T.); #11146=FACE_OUTER_BOUND('',#19205,.T.); #11147=FACE_OUTER_BOUND('',#19206,.T.); #11148=FACE_OUTER_BOUND('',#19207,.T.); #11149=FACE_OUTER_BOUND('',#19208,.T.); #11150=FACE_OUTER_BOUND('',#19209,.T.); #11151=FACE_OUTER_BOUND('',#19210,.T.); #11152=FACE_OUTER_BOUND('',#19211,.T.); #11153=FACE_OUTER_BOUND('',#19212,.T.); #11154=FACE_OUTER_BOUND('',#19213,.T.); #11155=FACE_OUTER_BOUND('',#19214,.T.); #11156=FACE_OUTER_BOUND('',#19215,.T.); #11157=FACE_OUTER_BOUND('',#19216,.T.); #11158=FACE_OUTER_BOUND('',#19217,.T.); #11159=FACE_OUTER_BOUND('',#19218,.T.); #11160=FACE_OUTER_BOUND('',#19219,.T.); #11161=FACE_OUTER_BOUND('',#19220,.T.); #11162=FACE_OUTER_BOUND('',#19221,.T.); #11163=FACE_OUTER_BOUND('',#19222,.T.); #11164=FACE_OUTER_BOUND('',#19223,.T.); #11165=FACE_OUTER_BOUND('',#19224,.T.); #11166=FACE_OUTER_BOUND('',#19225,.T.); #11167=FACE_OUTER_BOUND('',#19226,.T.); #11168=FACE_OUTER_BOUND('',#19227,.T.); #11169=FACE_OUTER_BOUND('',#19228,.T.); #11170=FACE_OUTER_BOUND('',#19229,.T.); #11171=FACE_OUTER_BOUND('',#19230,.T.); #11172=FACE_OUTER_BOUND('',#19231,.T.); #11173=FACE_OUTER_BOUND('',#19232,.T.); #11174=FACE_OUTER_BOUND('',#19233,.T.); #11175=FACE_OUTER_BOUND('',#19234,.T.); #11176=FACE_OUTER_BOUND('',#19235,.T.); #11177=FACE_OUTER_BOUND('',#19236,.T.); #11178=FACE_OUTER_BOUND('',#19237,.T.); #11179=FACE_OUTER_BOUND('',#19238,.T.); #11180=FACE_OUTER_BOUND('',#19239,.T.); #11181=FACE_OUTER_BOUND('',#19240,.T.); #11182=FACE_OUTER_BOUND('',#19241,.T.); #11183=FACE_OUTER_BOUND('',#19242,.T.); #11184=FACE_OUTER_BOUND('',#19243,.T.); #11185=FACE_OUTER_BOUND('',#19244,.T.); #11186=FACE_OUTER_BOUND('',#19245,.T.); #11187=FACE_OUTER_BOUND('',#19246,.T.); #11188=FACE_OUTER_BOUND('',#19247,.T.); #11189=FACE_OUTER_BOUND('',#19248,.T.); #11190=FACE_OUTER_BOUND('',#19249,.T.); #11191=FACE_OUTER_BOUND('',#19250,.T.); #11192=FACE_OUTER_BOUND('',#19251,.T.); #11193=FACE_OUTER_BOUND('',#19252,.T.); #11194=FACE_OUTER_BOUND('',#19253,.T.); #11195=FACE_OUTER_BOUND('',#19254,.T.); #11196=FACE_OUTER_BOUND('',#19255,.T.); #11197=FACE_OUTER_BOUND('',#19256,.T.); #11198=FACE_OUTER_BOUND('',#19257,.T.); #11199=FACE_OUTER_BOUND('',#19258,.T.); #11200=FACE_OUTER_BOUND('',#19259,.T.); #11201=FACE_OUTER_BOUND('',#19260,.T.); #11202=FACE_OUTER_BOUND('',#19261,.T.); #11203=FACE_OUTER_BOUND('',#19262,.T.); #11204=FACE_OUTER_BOUND('',#19264,.T.); #11205=FACE_OUTER_BOUND('',#19265,.T.); #11206=FACE_OUTER_BOUND('',#19266,.T.); #11207=FACE_OUTER_BOUND('',#19267,.T.); #11208=FACE_OUTER_BOUND('',#19268,.T.); #11209=FACE_OUTER_BOUND('',#19269,.T.); #11210=FACE_OUTER_BOUND('',#19271,.T.); #11211=FACE_OUTER_BOUND('',#19272,.T.); #11212=FACE_OUTER_BOUND('',#19273,.T.); #11213=FACE_OUTER_BOUND('',#19274,.T.); #11214=FACE_OUTER_BOUND('',#19275,.T.); #11215=FACE_OUTER_BOUND('',#19276,.T.); #11216=FACE_OUTER_BOUND('',#19277,.T.); #11217=FACE_OUTER_BOUND('',#19278,.T.); #11218=FACE_OUTER_BOUND('',#19279,.T.); #11219=FACE_OUTER_BOUND('',#19280,.T.); #11220=FACE_OUTER_BOUND('',#19281,.T.); #11221=FACE_OUTER_BOUND('',#19282,.T.); #11222=FACE_OUTER_BOUND('',#19283,.T.); #11223=FACE_OUTER_BOUND('',#19284,.T.); #11224=FACE_OUTER_BOUND('',#19285,.T.); #11225=FACE_OUTER_BOUND('',#19286,.T.); #11226=FACE_OUTER_BOUND('',#19287,.T.); #11227=FACE_OUTER_BOUND('',#19288,.T.); #11228=FACE_OUTER_BOUND('',#19289,.T.); #11229=FACE_OUTER_BOUND('',#19290,.T.); #11230=FACE_OUTER_BOUND('',#19291,.T.); #11231=FACE_OUTER_BOUND('',#19292,.T.); #11232=FACE_OUTER_BOUND('',#19293,.T.); #11233=FACE_OUTER_BOUND('',#19294,.T.); #11234=FACE_OUTER_BOUND('',#19295,.T.); #11235=FACE_OUTER_BOUND('',#19296,.T.); #11236=FACE_OUTER_BOUND('',#19297,.T.); #11237=FACE_OUTER_BOUND('',#19298,.T.); #11238=FACE_OUTER_BOUND('',#19299,.T.); #11239=FACE_OUTER_BOUND('',#19300,.T.); #11240=FACE_OUTER_BOUND('',#19301,.T.); #11241=FACE_OUTER_BOUND('',#19302,.T.); #11242=FACE_OUTER_BOUND('',#19303,.T.); #11243=FACE_OUTER_BOUND('',#19304,.T.); #11244=FACE_OUTER_BOUND('',#19305,.T.); #11245=FACE_OUTER_BOUND('',#19306,.T.); #11246=FACE_OUTER_BOUND('',#19307,.T.); #11247=FACE_OUTER_BOUND('',#19308,.T.); #11248=FACE_OUTER_BOUND('',#19309,.T.); #11249=FACE_OUTER_BOUND('',#19310,.T.); #11250=FACE_OUTER_BOUND('',#19311,.T.); #11251=FACE_OUTER_BOUND('',#19312,.T.); #11252=FACE_OUTER_BOUND('',#19313,.T.); #11253=FACE_OUTER_BOUND('',#19314,.T.); #11254=FACE_OUTER_BOUND('',#19315,.T.); #11255=FACE_OUTER_BOUND('',#19316,.T.); #11256=FACE_OUTER_BOUND('',#19317,.T.); #11257=FACE_OUTER_BOUND('',#19318,.T.); #11258=FACE_OUTER_BOUND('',#19320,.T.); #11259=FACE_OUTER_BOUND('',#19321,.T.); #11260=FACE_OUTER_BOUND('',#19322,.T.); #11261=FACE_OUTER_BOUND('',#19323,.T.); #11262=FACE_OUTER_BOUND('',#19324,.T.); #11263=FACE_OUTER_BOUND('',#19325,.T.); #11264=FACE_OUTER_BOUND('',#19326,.T.); #11265=FACE_OUTER_BOUND('',#19327,.T.); #11266=FACE_OUTER_BOUND('',#19328,.T.); #11267=FACE_OUTER_BOUND('',#19329,.T.); #11268=FACE_OUTER_BOUND('',#19330,.T.); #11269=FACE_OUTER_BOUND('',#19331,.T.); #11270=FACE_OUTER_BOUND('',#19332,.T.); #11271=FACE_OUTER_BOUND('',#19333,.T.); #11272=FACE_OUTER_BOUND('',#19334,.T.); #11273=FACE_OUTER_BOUND('',#19335,.T.); #11274=FACE_OUTER_BOUND('',#19336,.T.); #11275=FACE_OUTER_BOUND('',#19337,.T.); #11276=FACE_OUTER_BOUND('',#19338,.T.); #11277=FACE_OUTER_BOUND('',#19339,.T.); #11278=FACE_OUTER_BOUND('',#19340,.T.); #11279=FACE_OUTER_BOUND('',#19341,.T.); #11280=FACE_OUTER_BOUND('',#19342,.T.); #11281=FACE_OUTER_BOUND('',#19343,.T.); #11282=FACE_OUTER_BOUND('',#19344,.T.); #11283=FACE_OUTER_BOUND('',#19345,.T.); #11284=FACE_OUTER_BOUND('',#19346,.T.); #11285=FACE_OUTER_BOUND('',#19347,.T.); #11286=FACE_OUTER_BOUND('',#19348,.T.); #11287=FACE_OUTER_BOUND('',#19349,.T.); #11288=FACE_OUTER_BOUND('',#19350,.T.); #11289=FACE_OUTER_BOUND('',#19351,.T.); #11290=FACE_OUTER_BOUND('',#19352,.T.); #11291=FACE_OUTER_BOUND('',#19353,.T.); #11292=FACE_OUTER_BOUND('',#19354,.T.); #11293=FACE_OUTER_BOUND('',#19355,.T.); #11294=FACE_OUTER_BOUND('',#19356,.T.); #11295=FACE_OUTER_BOUND('',#19357,.T.); #11296=FACE_OUTER_BOUND('',#19358,.T.); #11297=FACE_OUTER_BOUND('',#19359,.T.); #11298=FACE_OUTER_BOUND('',#19360,.T.); #11299=FACE_OUTER_BOUND('',#19361,.T.); #11300=FACE_OUTER_BOUND('',#19362,.T.); #11301=FACE_OUTER_BOUND('',#19364,.T.); #11302=FACE_OUTER_BOUND('',#19365,.T.); #11303=FACE_OUTER_BOUND('',#19366,.T.); #11304=FACE_OUTER_BOUND('',#19367,.T.); #11305=FACE_OUTER_BOUND('',#19368,.T.); #11306=FACE_OUTER_BOUND('',#19369,.T.); #11307=FACE_OUTER_BOUND('',#19370,.T.); #11308=FACE_OUTER_BOUND('',#19371,.T.); #11309=FACE_OUTER_BOUND('',#19372,.T.); #11310=FACE_OUTER_BOUND('',#19373,.T.); #11311=FACE_OUTER_BOUND('',#19374,.T.); #11312=FACE_OUTER_BOUND('',#19375,.T.); #11313=FACE_OUTER_BOUND('',#19376,.T.); #11314=FACE_OUTER_BOUND('',#19377,.T.); #11315=FACE_OUTER_BOUND('',#19378,.T.); #11316=FACE_OUTER_BOUND('',#19379,.T.); #11317=FACE_OUTER_BOUND('',#19380,.T.); #11318=FACE_OUTER_BOUND('',#19381,.T.); #11319=FACE_OUTER_BOUND('',#19382,.T.); #11320=FACE_OUTER_BOUND('',#19383,.T.); #11321=FACE_OUTER_BOUND('',#19384,.T.); #11322=FACE_OUTER_BOUND('',#19385,.T.); #11323=FACE_OUTER_BOUND('',#19386,.T.); #11324=FACE_OUTER_BOUND('',#19387,.T.); #11325=FACE_OUTER_BOUND('',#19388,.T.); #11326=FACE_OUTER_BOUND('',#19389,.T.); #11327=FACE_OUTER_BOUND('',#19390,.T.); #11328=FACE_OUTER_BOUND('',#19391,.T.); #11329=FACE_OUTER_BOUND('',#19392,.T.); #11330=FACE_OUTER_BOUND('',#19393,.T.); #11331=FACE_OUTER_BOUND('',#19394,.T.); #11332=FACE_OUTER_BOUND('',#19395,.T.); #11333=FACE_OUTER_BOUND('',#19396,.T.); #11334=FACE_OUTER_BOUND('',#19397,.T.); #11335=FACE_OUTER_BOUND('',#19398,.T.); #11336=FACE_OUTER_BOUND('',#19399,.T.); #11337=FACE_OUTER_BOUND('',#19400,.T.); #11338=FACE_OUTER_BOUND('',#19401,.T.); #11339=FACE_OUTER_BOUND('',#19402,.T.); #11340=FACE_OUTER_BOUND('',#19403,.T.); #11341=FACE_OUTER_BOUND('',#19404,.T.); #11342=FACE_OUTER_BOUND('',#19405,.T.); #11343=FACE_OUTER_BOUND('',#19406,.T.); #11344=FACE_OUTER_BOUND('',#19407,.T.); #11345=FACE_OUTER_BOUND('',#19408,.T.); #11346=FACE_OUTER_BOUND('',#19409,.T.); #11347=FACE_OUTER_BOUND('',#19410,.T.); #11348=FACE_OUTER_BOUND('',#19411,.T.); #11349=FACE_OUTER_BOUND('',#19412,.T.); #11350=FACE_OUTER_BOUND('',#19413,.T.); #11351=FACE_OUTER_BOUND('',#19414,.T.); #11352=FACE_OUTER_BOUND('',#19415,.T.); #11353=FACE_OUTER_BOUND('',#19416,.T.); #11354=FACE_OUTER_BOUND('',#19417,.T.); #11355=FACE_OUTER_BOUND('',#19419,.T.); #11356=FACE_OUTER_BOUND('',#19420,.T.); #11357=FACE_OUTER_BOUND('',#19421,.T.); #11358=FACE_OUTER_BOUND('',#19422,.T.); #11359=FACE_OUTER_BOUND('',#19423,.T.); #11360=FACE_OUTER_BOUND('',#19424,.T.); #11361=FACE_OUTER_BOUND('',#19425,.T.); #11362=FACE_OUTER_BOUND('',#19426,.T.); #11363=FACE_OUTER_BOUND('',#19427,.T.); #11364=FACE_OUTER_BOUND('',#19428,.T.); #11365=FACE_OUTER_BOUND('',#19429,.T.); #11366=FACE_OUTER_BOUND('',#19430,.T.); #11367=FACE_OUTER_BOUND('',#19431,.T.); #11368=FACE_OUTER_BOUND('',#19432,.T.); #11369=FACE_OUTER_BOUND('',#19433,.T.); #11370=FACE_OUTER_BOUND('',#19434,.T.); #11371=FACE_OUTER_BOUND('',#19435,.T.); #11372=FACE_OUTER_BOUND('',#19436,.T.); #11373=FACE_OUTER_BOUND('',#19437,.T.); #11374=FACE_OUTER_BOUND('',#19438,.T.); #11375=FACE_OUTER_BOUND('',#19439,.T.); #11376=FACE_OUTER_BOUND('',#19440,.T.); #11377=FACE_OUTER_BOUND('',#19441,.T.); #11378=FACE_OUTER_BOUND('',#19442,.T.); #11379=FACE_OUTER_BOUND('',#19443,.T.); #11380=FACE_OUTER_BOUND('',#19444,.T.); #11381=FACE_OUTER_BOUND('',#19445,.T.); #11382=FACE_OUTER_BOUND('',#19446,.T.); #11383=FACE_OUTER_BOUND('',#19447,.T.); #11384=FACE_OUTER_BOUND('',#19448,.T.); #11385=FACE_OUTER_BOUND('',#19449,.T.); #11386=FACE_OUTER_BOUND('',#19450,.T.); #11387=FACE_OUTER_BOUND('',#19451,.T.); #11388=FACE_OUTER_BOUND('',#19452,.T.); #11389=FACE_OUTER_BOUND('',#19453,.T.); #11390=FACE_OUTER_BOUND('',#19454,.T.); #11391=FACE_OUTER_BOUND('',#19455,.T.); #11392=FACE_OUTER_BOUND('',#19456,.T.); #11393=FACE_OUTER_BOUND('',#19457,.T.); #11394=FACE_OUTER_BOUND('',#19458,.T.); #11395=FACE_OUTER_BOUND('',#19459,.T.); #11396=FACE_OUTER_BOUND('',#19460,.T.); #11397=FACE_OUTER_BOUND('',#19461,.T.); #11398=FACE_OUTER_BOUND('',#19462,.T.); #11399=FACE_OUTER_BOUND('',#19463,.T.); #11400=FACE_OUTER_BOUND('',#19464,.T.); #11401=FACE_OUTER_BOUND('',#19465,.T.); #11402=FACE_OUTER_BOUND('',#19466,.T.); #11403=FACE_OUTER_BOUND('',#19467,.T.); #11404=FACE_OUTER_BOUND('',#19468,.T.); #11405=FACE_OUTER_BOUND('',#19469,.T.); #11406=FACE_OUTER_BOUND('',#19470,.T.); #11407=FACE_OUTER_BOUND('',#19471,.T.); #11408=FACE_OUTER_BOUND('',#19472,.T.); #11409=FACE_OUTER_BOUND('',#19473,.T.); #11410=FACE_OUTER_BOUND('',#19474,.T.); #11411=FACE_OUTER_BOUND('',#19475,.T.); #11412=FACE_OUTER_BOUND('',#19476,.T.); #11413=FACE_OUTER_BOUND('',#19477,.T.); #11414=FACE_OUTER_BOUND('',#19478,.T.); #11415=FACE_OUTER_BOUND('',#19479,.T.); #11416=FACE_OUTER_BOUND('',#19480,.T.); #11417=FACE_OUTER_BOUND('',#19481,.T.); #11418=FACE_OUTER_BOUND('',#19482,.T.); #11419=FACE_OUTER_BOUND('',#19483,.T.); #11420=FACE_OUTER_BOUND('',#19484,.T.); #11421=FACE_OUTER_BOUND('',#19485,.T.); #11422=FACE_OUTER_BOUND('',#19486,.T.); #11423=FACE_OUTER_BOUND('',#19487,.T.); #11424=FACE_OUTER_BOUND('',#19488,.T.); #11425=FACE_OUTER_BOUND('',#19489,.T.); #11426=FACE_OUTER_BOUND('',#19490,.T.); #11427=FACE_OUTER_BOUND('',#19491,.T.); #11428=FACE_OUTER_BOUND('',#19492,.T.); #11429=FACE_OUTER_BOUND('',#19493,.T.); #11430=FACE_OUTER_BOUND('',#19494,.T.); #11431=FACE_OUTER_BOUND('',#19495,.T.); #11432=FACE_OUTER_BOUND('',#19496,.T.); #11433=FACE_OUTER_BOUND('',#19497,.T.); #11434=FACE_OUTER_BOUND('',#19498,.T.); #11435=FACE_OUTER_BOUND('',#19499,.T.); #11436=FACE_OUTER_BOUND('',#19500,.T.); #11437=FACE_OUTER_BOUND('',#19501,.T.); #11438=FACE_OUTER_BOUND('',#19502,.T.); #11439=FACE_OUTER_BOUND('',#19503,.T.); #11440=FACE_OUTER_BOUND('',#19504,.T.); #11441=FACE_OUTER_BOUND('',#19505,.T.); #11442=FACE_OUTER_BOUND('',#19506,.T.); #11443=FACE_OUTER_BOUND('',#19507,.T.); #11444=FACE_OUTER_BOUND('',#19508,.T.); #11445=FACE_OUTER_BOUND('',#19509,.T.); #11446=FACE_OUTER_BOUND('',#19510,.T.); #11447=FACE_OUTER_BOUND('',#19511,.T.); #11448=FACE_OUTER_BOUND('',#19512,.T.); #11449=FACE_OUTER_BOUND('',#19513,.T.); #11450=FACE_OUTER_BOUND('',#19514,.T.); #11451=FACE_OUTER_BOUND('',#19515,.T.); #11452=FACE_OUTER_BOUND('',#19516,.T.); #11453=FACE_OUTER_BOUND('',#19517,.T.); #11454=FACE_OUTER_BOUND('',#19518,.T.); #11455=FACE_OUTER_BOUND('',#19519,.T.); #11456=FACE_OUTER_BOUND('',#19520,.T.); #11457=FACE_OUTER_BOUND('',#19521,.T.); #11458=FACE_OUTER_BOUND('',#19522,.T.); #11459=FACE_OUTER_BOUND('',#19523,.T.); #11460=FACE_OUTER_BOUND('',#19524,.T.); #11461=FACE_OUTER_BOUND('',#19525,.T.); #11462=FACE_OUTER_BOUND('',#19526,.T.); #11463=FACE_OUTER_BOUND('',#19527,.T.); #11464=FACE_OUTER_BOUND('',#19528,.T.); #11465=FACE_OUTER_BOUND('',#19529,.T.); #11466=FACE_OUTER_BOUND('',#19530,.T.); #11467=FACE_OUTER_BOUND('',#19531,.T.); #11468=FACE_OUTER_BOUND('',#19532,.T.); #11469=FACE_OUTER_BOUND('',#19533,.T.); #11470=FACE_OUTER_BOUND('',#19534,.T.); #11471=FACE_OUTER_BOUND('',#19535,.T.); #11472=FACE_OUTER_BOUND('',#19536,.T.); #11473=FACE_OUTER_BOUND('',#19537,.T.); #11474=FACE_OUTER_BOUND('',#19538,.T.); #11475=FACE_OUTER_BOUND('',#19539,.T.); #11476=FACE_OUTER_BOUND('',#19540,.T.); #11477=FACE_OUTER_BOUND('',#19541,.T.); #11478=FACE_OUTER_BOUND('',#19542,.T.); #11479=FACE_OUTER_BOUND('',#19543,.T.); #11480=FACE_OUTER_BOUND('',#19544,.T.); #11481=FACE_OUTER_BOUND('',#19545,.T.); #11482=FACE_OUTER_BOUND('',#19546,.T.); #11483=FACE_OUTER_BOUND('',#19547,.T.); #11484=FACE_OUTER_BOUND('',#19548,.T.); #11485=FACE_OUTER_BOUND('',#19549,.T.); #11486=FACE_OUTER_BOUND('',#19550,.T.); #11487=FACE_OUTER_BOUND('',#19551,.T.); #11488=FACE_OUTER_BOUND('',#19552,.T.); #11489=FACE_OUTER_BOUND('',#19553,.T.); #11490=FACE_OUTER_BOUND('',#19554,.T.); #11491=FACE_OUTER_BOUND('',#19555,.T.); #11492=FACE_OUTER_BOUND('',#19556,.T.); #11493=FACE_OUTER_BOUND('',#19557,.T.); #11494=FACE_OUTER_BOUND('',#19558,.T.); #11495=FACE_OUTER_BOUND('',#19559,.T.); #11496=FACE_OUTER_BOUND('',#19560,.T.); #11497=FACE_OUTER_BOUND('',#19561,.T.); #11498=FACE_OUTER_BOUND('',#19562,.T.); #11499=FACE_OUTER_BOUND('',#19563,.T.); #11500=FACE_OUTER_BOUND('',#19564,.T.); #11501=FACE_OUTER_BOUND('',#19565,.T.); #11502=FACE_OUTER_BOUND('',#19566,.T.); #11503=FACE_OUTER_BOUND('',#19567,.T.); #11504=FACE_OUTER_BOUND('',#19568,.T.); #11505=FACE_OUTER_BOUND('',#19569,.T.); #11506=FACE_OUTER_BOUND('',#19570,.T.); #11507=FACE_OUTER_BOUND('',#19571,.T.); #11508=FACE_OUTER_BOUND('',#19572,.T.); #11509=FACE_OUTER_BOUND('',#19573,.T.); #11510=FACE_OUTER_BOUND('',#19574,.T.); #11511=FACE_OUTER_BOUND('',#19575,.T.); #11512=FACE_OUTER_BOUND('',#19576,.T.); #11513=FACE_OUTER_BOUND('',#19577,.T.); #11514=FACE_OUTER_BOUND('',#19578,.T.); #11515=FACE_OUTER_BOUND('',#19579,.T.); #11516=FACE_OUTER_BOUND('',#19580,.T.); #11517=FACE_OUTER_BOUND('',#19581,.T.); #11518=FACE_OUTER_BOUND('',#19582,.T.); #11519=FACE_OUTER_BOUND('',#19583,.T.); #11520=FACE_OUTER_BOUND('',#19584,.T.); #11521=FACE_OUTER_BOUND('',#19585,.T.); #11522=FACE_OUTER_BOUND('',#19586,.T.); #11523=FACE_OUTER_BOUND('',#19587,.T.); #11524=FACE_OUTER_BOUND('',#19588,.T.); #11525=FACE_OUTER_BOUND('',#19589,.T.); #11526=FACE_OUTER_BOUND('',#19590,.T.); #11527=FACE_OUTER_BOUND('',#19591,.T.); #11528=FACE_OUTER_BOUND('',#19592,.T.); #11529=FACE_OUTER_BOUND('',#19593,.T.); #11530=FACE_OUTER_BOUND('',#19594,.T.); #11531=FACE_OUTER_BOUND('',#19595,.T.); #11532=FACE_OUTER_BOUND('',#19596,.T.); #11533=FACE_OUTER_BOUND('',#19597,.T.); #11534=FACE_OUTER_BOUND('',#19598,.T.); #11535=FACE_OUTER_BOUND('',#19599,.T.); #11536=FACE_OUTER_BOUND('',#19600,.T.); #11537=FACE_OUTER_BOUND('',#19601,.T.); #11538=FACE_OUTER_BOUND('',#19602,.T.); #11539=FACE_OUTER_BOUND('',#19603,.T.); #11540=FACE_OUTER_BOUND('',#19604,.T.); #11541=FACE_OUTER_BOUND('',#19605,.T.); #11542=FACE_OUTER_BOUND('',#19606,.T.); #11543=FACE_OUTER_BOUND('',#19607,.T.); #11544=FACE_OUTER_BOUND('',#19608,.T.); #11545=FACE_OUTER_BOUND('',#19609,.T.); #11546=FACE_OUTER_BOUND('',#19610,.T.); #11547=FACE_OUTER_BOUND('',#19611,.T.); #11548=FACE_OUTER_BOUND('',#19612,.T.); #11549=FACE_OUTER_BOUND('',#19613,.T.); #11550=FACE_OUTER_BOUND('',#19614,.T.); #11551=FACE_OUTER_BOUND('',#19615,.T.); #11552=FACE_OUTER_BOUND('',#19616,.T.); #11553=FACE_OUTER_BOUND('',#19617,.T.); #11554=FACE_OUTER_BOUND('',#19618,.T.); #11555=FACE_OUTER_BOUND('',#19619,.T.); #11556=FACE_OUTER_BOUND('',#19620,.T.); #11557=FACE_OUTER_BOUND('',#19621,.T.); #11558=FACE_OUTER_BOUND('',#19622,.T.); #11559=FACE_OUTER_BOUND('',#19623,.T.); #11560=FACE_OUTER_BOUND('',#19624,.T.); #11561=FACE_OUTER_BOUND('',#19625,.T.); #11562=FACE_OUTER_BOUND('',#19626,.T.); #11563=FACE_OUTER_BOUND('',#19627,.T.); #11564=FACE_OUTER_BOUND('',#19628,.T.); #11565=FACE_OUTER_BOUND('',#19629,.T.); #11566=FACE_OUTER_BOUND('',#19630,.T.); #11567=FACE_OUTER_BOUND('',#19631,.T.); #11568=FACE_OUTER_BOUND('',#19632,.T.); #11569=FACE_OUTER_BOUND('',#19633,.T.); #11570=FACE_OUTER_BOUND('',#19634,.T.); #11571=FACE_OUTER_BOUND('',#19635,.T.); #11572=FACE_OUTER_BOUND('',#19636,.T.); #11573=FACE_OUTER_BOUND('',#19637,.T.); #11574=FACE_OUTER_BOUND('',#19638,.T.); #11575=FACE_OUTER_BOUND('',#19639,.T.); #11576=FACE_OUTER_BOUND('',#19640,.T.); #11577=FACE_OUTER_BOUND('',#19641,.T.); #11578=FACE_OUTER_BOUND('',#19642,.T.); #11579=FACE_OUTER_BOUND('',#19643,.T.); #11580=FACE_OUTER_BOUND('',#19644,.T.); #11581=FACE_OUTER_BOUND('',#19645,.T.); #11582=FACE_OUTER_BOUND('',#19646,.T.); #11583=FACE_OUTER_BOUND('',#19647,.T.); #11584=FACE_OUTER_BOUND('',#19648,.T.); #11585=FACE_OUTER_BOUND('',#19649,.T.); #11586=FACE_OUTER_BOUND('',#19650,.T.); #11587=FACE_OUTER_BOUND('',#19651,.T.); #11588=FACE_OUTER_BOUND('',#19652,.T.); #11589=FACE_OUTER_BOUND('',#19653,.T.); #11590=FACE_OUTER_BOUND('',#19654,.T.); #11591=FACE_OUTER_BOUND('',#19655,.T.); #11592=FACE_OUTER_BOUND('',#19656,.T.); #11593=FACE_OUTER_BOUND('',#19657,.T.); #11594=FACE_OUTER_BOUND('',#19658,.T.); #11595=FACE_OUTER_BOUND('',#19659,.T.); #11596=FACE_OUTER_BOUND('',#19660,.T.); #11597=FACE_OUTER_BOUND('',#19661,.T.); #11598=FACE_OUTER_BOUND('',#19662,.T.); #11599=FACE_OUTER_BOUND('',#19663,.T.); #11600=FACE_OUTER_BOUND('',#19664,.T.); #11601=FACE_OUTER_BOUND('',#19665,.T.); #11602=FACE_OUTER_BOUND('',#19666,.T.); #11603=FACE_OUTER_BOUND('',#19667,.T.); #11604=FACE_OUTER_BOUND('',#19668,.T.); #11605=FACE_OUTER_BOUND('',#19669,.T.); #11606=FACE_OUTER_BOUND('',#19670,.T.); #11607=FACE_OUTER_BOUND('',#19671,.T.); #11608=FACE_OUTER_BOUND('',#19672,.T.); #11609=FACE_OUTER_BOUND('',#19673,.T.); #11610=FACE_OUTER_BOUND('',#19674,.T.); #11611=FACE_OUTER_BOUND('',#19675,.T.); #11612=FACE_OUTER_BOUND('',#19676,.T.); #11613=FACE_OUTER_BOUND('',#19677,.T.); #11614=FACE_OUTER_BOUND('',#19678,.T.); #11615=FACE_OUTER_BOUND('',#19679,.T.); #11616=FACE_OUTER_BOUND('',#19680,.T.); #11617=FACE_OUTER_BOUND('',#19681,.T.); #11618=FACE_OUTER_BOUND('',#19682,.T.); #11619=FACE_OUTER_BOUND('',#19683,.T.); #11620=FACE_OUTER_BOUND('',#19684,.T.); #11621=FACE_OUTER_BOUND('',#19686,.T.); #11622=FACE_OUTER_BOUND('',#19687,.T.); #11623=FACE_OUTER_BOUND('',#19688,.T.); #11624=FACE_OUTER_BOUND('',#19689,.T.); #11625=FACE_OUTER_BOUND('',#19690,.T.); #11626=FACE_OUTER_BOUND('',#19691,.T.); #11627=FACE_OUTER_BOUND('',#19692,.T.); #11628=FACE_OUTER_BOUND('',#19693,.T.); #11629=FACE_OUTER_BOUND('',#19694,.T.); #11630=FACE_OUTER_BOUND('',#19695,.T.); #11631=FACE_OUTER_BOUND('',#19696,.T.); #11632=FACE_OUTER_BOUND('',#19697,.T.); #11633=FACE_OUTER_BOUND('',#19698,.T.); #11634=FACE_OUTER_BOUND('',#19699,.T.); #11635=FACE_OUTER_BOUND('',#19700,.T.); #11636=FACE_OUTER_BOUND('',#19701,.T.); #11637=FACE_OUTER_BOUND('',#19702,.T.); #11638=FACE_OUTER_BOUND('',#19703,.T.); #11639=FACE_OUTER_BOUND('',#19704,.T.); #11640=FACE_OUTER_BOUND('',#19705,.T.); #11641=FACE_OUTER_BOUND('',#19706,.T.); #11642=FACE_OUTER_BOUND('',#19707,.T.); #11643=FACE_OUTER_BOUND('',#19708,.T.); #11644=FACE_OUTER_BOUND('',#19709,.T.); #11645=FACE_OUTER_BOUND('',#19710,.T.); #11646=FACE_OUTER_BOUND('',#19711,.T.); #11647=FACE_OUTER_BOUND('',#19712,.T.); #11648=FACE_OUTER_BOUND('',#19713,.T.); #11649=FACE_OUTER_BOUND('',#19714,.T.); #11650=FACE_OUTER_BOUND('',#19715,.T.); #11651=FACE_OUTER_BOUND('',#19716,.T.); #11652=FACE_OUTER_BOUND('',#19717,.T.); #11653=FACE_OUTER_BOUND('',#19718,.T.); #11654=FACE_OUTER_BOUND('',#19719,.T.); #11655=FACE_OUTER_BOUND('',#19720,.T.); #11656=FACE_OUTER_BOUND('',#19721,.T.); #11657=FACE_OUTER_BOUND('',#19722,.T.); #11658=FACE_OUTER_BOUND('',#19723,.T.); #11659=FACE_OUTER_BOUND('',#19724,.T.); #11660=FACE_OUTER_BOUND('',#19725,.T.); #11661=FACE_OUTER_BOUND('',#19726,.T.); #11662=FACE_OUTER_BOUND('',#19727,.T.); #11663=FACE_OUTER_BOUND('',#19728,.T.); #11664=FACE_OUTER_BOUND('',#19729,.T.); #11665=FACE_OUTER_BOUND('',#19730,.T.); #11666=FACE_OUTER_BOUND('',#19731,.T.); #11667=FACE_OUTER_BOUND('',#19732,.T.); #11668=FACE_OUTER_BOUND('',#19733,.T.); #11669=FACE_OUTER_BOUND('',#19734,.T.); #11670=FACE_OUTER_BOUND('',#19735,.T.); #11671=FACE_OUTER_BOUND('',#19736,.T.); #11672=FACE_OUTER_BOUND('',#19737,.T.); #11673=FACE_OUTER_BOUND('',#19738,.T.); #11674=FACE_OUTER_BOUND('',#19739,.T.); #11675=FACE_OUTER_BOUND('',#19740,.T.); #11676=FACE_OUTER_BOUND('',#19741,.T.); #11677=FACE_OUTER_BOUND('',#19742,.T.); #11678=FACE_OUTER_BOUND('',#19743,.T.); #11679=FACE_OUTER_BOUND('',#19744,.T.); #11680=FACE_OUTER_BOUND('',#19745,.T.); #11681=FACE_OUTER_BOUND('',#19746,.T.); #11682=FACE_OUTER_BOUND('',#19747,.T.); #11683=FACE_OUTER_BOUND('',#19748,.T.); #11684=FACE_OUTER_BOUND('',#19749,.T.); #11685=FACE_OUTER_BOUND('',#19750,.T.); #11686=FACE_OUTER_BOUND('',#19751,.T.); #11687=FACE_OUTER_BOUND('',#19753,.T.); #11688=FACE_OUTER_BOUND('',#19754,.T.); #11689=FACE_OUTER_BOUND('',#19755,.T.); #11690=FACE_OUTER_BOUND('',#19756,.T.); #11691=FACE_OUTER_BOUND('',#19757,.T.); #11692=FACE_OUTER_BOUND('',#19758,.T.); #11693=FACE_OUTER_BOUND('',#19759,.T.); #11694=FACE_OUTER_BOUND('',#19760,.T.); #11695=FACE_OUTER_BOUND('',#19761,.T.); #11696=FACE_OUTER_BOUND('',#19762,.T.); #11697=FACE_OUTER_BOUND('',#19763,.T.); #11698=FACE_OUTER_BOUND('',#19764,.T.); #11699=FACE_OUTER_BOUND('',#19765,.T.); #11700=FACE_OUTER_BOUND('',#19766,.T.); #11701=FACE_OUTER_BOUND('',#19767,.T.); #11702=FACE_OUTER_BOUND('',#19768,.T.); #11703=FACE_OUTER_BOUND('',#19769,.T.); #11704=FACE_OUTER_BOUND('',#19770,.T.); #11705=FACE_OUTER_BOUND('',#19771,.T.); #11706=FACE_OUTER_BOUND('',#19772,.T.); #11707=FACE_OUTER_BOUND('',#19773,.T.); #11708=FACE_OUTER_BOUND('',#19774,.T.); #11709=FACE_OUTER_BOUND('',#19775,.T.); #11710=FACE_OUTER_BOUND('',#19776,.T.); #11711=FACE_OUTER_BOUND('',#19777,.T.); #11712=FACE_OUTER_BOUND('',#19778,.T.); #11713=FACE_OUTER_BOUND('',#19779,.T.); #11714=FACE_OUTER_BOUND('',#19780,.T.); #11715=FACE_OUTER_BOUND('',#19781,.T.); #11716=FACE_OUTER_BOUND('',#19782,.T.); #11717=FACE_OUTER_BOUND('',#19783,.T.); #11718=FACE_OUTER_BOUND('',#19784,.T.); #11719=FACE_OUTER_BOUND('',#19785,.T.); #11720=FACE_OUTER_BOUND('',#19786,.T.); #11721=FACE_OUTER_BOUND('',#19787,.T.); #11722=FACE_OUTER_BOUND('',#19788,.T.); #11723=FACE_OUTER_BOUND('',#19789,.T.); #11724=FACE_OUTER_BOUND('',#19790,.T.); #11725=FACE_OUTER_BOUND('',#19791,.T.); #11726=FACE_OUTER_BOUND('',#19792,.T.); #11727=FACE_OUTER_BOUND('',#19793,.T.); #11728=FACE_OUTER_BOUND('',#19794,.T.); #11729=FACE_OUTER_BOUND('',#19795,.T.); #11730=FACE_OUTER_BOUND('',#19796,.T.); #11731=FACE_OUTER_BOUND('',#19797,.T.); #11732=FACE_OUTER_BOUND('',#19798,.T.); #11733=FACE_OUTER_BOUND('',#19799,.T.); #11734=FACE_OUTER_BOUND('',#19800,.T.); #11735=FACE_OUTER_BOUND('',#19801,.T.); #11736=FACE_OUTER_BOUND('',#19802,.T.); #11737=FACE_OUTER_BOUND('',#19803,.T.); #11738=FACE_OUTER_BOUND('',#19804,.T.); #11739=FACE_OUTER_BOUND('',#19805,.T.); #11740=FACE_OUTER_BOUND('',#19806,.T.); #11741=FACE_OUTER_BOUND('',#19807,.T.); #11742=FACE_OUTER_BOUND('',#19808,.T.); #11743=FACE_OUTER_BOUND('',#19809,.T.); #11744=FACE_OUTER_BOUND('',#19810,.T.); #11745=FACE_OUTER_BOUND('',#19811,.T.); #11746=FACE_OUTER_BOUND('',#19812,.T.); #11747=FACE_OUTER_BOUND('',#19813,.T.); #11748=FACE_OUTER_BOUND('',#19815,.T.); #11749=FACE_OUTER_BOUND('',#19816,.T.); #11750=FACE_OUTER_BOUND('',#19817,.T.); #11751=FACE_OUTER_BOUND('',#19818,.T.); #11752=FACE_OUTER_BOUND('',#19819,.T.); #11753=FACE_OUTER_BOUND('',#19820,.T.); #11754=FACE_OUTER_BOUND('',#19821,.T.); #11755=FACE_OUTER_BOUND('',#19822,.T.); #11756=FACE_OUTER_BOUND('',#19823,.T.); #11757=FACE_OUTER_BOUND('',#19824,.T.); #11758=FACE_OUTER_BOUND('',#19825,.T.); #11759=FACE_OUTER_BOUND('',#19826,.T.); #11760=FACE_OUTER_BOUND('',#19827,.T.); #11761=FACE_OUTER_BOUND('',#19828,.T.); #11762=FACE_OUTER_BOUND('',#19829,.T.); #11763=FACE_OUTER_BOUND('',#19830,.T.); #11764=FACE_OUTER_BOUND('',#19831,.T.); #11765=FACE_OUTER_BOUND('',#19832,.T.); #11766=FACE_OUTER_BOUND('',#19833,.T.); #11767=FACE_OUTER_BOUND('',#19834,.T.); #11768=FACE_OUTER_BOUND('',#19835,.T.); #11769=FACE_OUTER_BOUND('',#19836,.T.); #11770=FACE_OUTER_BOUND('',#19837,.T.); #11771=FACE_OUTER_BOUND('',#19838,.T.); #11772=FACE_OUTER_BOUND('',#19839,.T.); #11773=FACE_OUTER_BOUND('',#19840,.T.); #11774=FACE_OUTER_BOUND('',#19841,.T.); #11775=FACE_OUTER_BOUND('',#19842,.T.); #11776=FACE_OUTER_BOUND('',#19843,.T.); #11777=FACE_OUTER_BOUND('',#19844,.T.); #11778=FACE_OUTER_BOUND('',#19845,.T.); #11779=FACE_OUTER_BOUND('',#19846,.T.); #11780=FACE_OUTER_BOUND('',#19847,.T.); #11781=FACE_OUTER_BOUND('',#19848,.T.); #11782=FACE_OUTER_BOUND('',#19849,.T.); #11783=FACE_OUTER_BOUND('',#19850,.T.); #11784=FACE_OUTER_BOUND('',#19851,.T.); #11785=FACE_OUTER_BOUND('',#19852,.T.); #11786=FACE_OUTER_BOUND('',#19853,.T.); #11787=FACE_OUTER_BOUND('',#19854,.T.); #11788=FACE_OUTER_BOUND('',#19855,.T.); #11789=FACE_OUTER_BOUND('',#19856,.T.); #11790=FACE_OUTER_BOUND('',#19857,.T.); #11791=FACE_OUTER_BOUND('',#19858,.T.); #11792=FACE_OUTER_BOUND('',#19859,.T.); #11793=FACE_OUTER_BOUND('',#19860,.T.); #11794=FACE_OUTER_BOUND('',#19861,.T.); #11795=FACE_OUTER_BOUND('',#19862,.T.); #11796=FACE_OUTER_BOUND('',#19863,.T.); #11797=FACE_OUTER_BOUND('',#19864,.T.); #11798=FACE_OUTER_BOUND('',#19865,.T.); #11799=FACE_OUTER_BOUND('',#19866,.T.); #11800=FACE_OUTER_BOUND('',#19867,.T.); #11801=FACE_OUTER_BOUND('',#19868,.T.); #11802=FACE_OUTER_BOUND('',#19869,.T.); #11803=FACE_OUTER_BOUND('',#19870,.T.); #11804=FACE_OUTER_BOUND('',#19872,.T.); #11805=FACE_OUTER_BOUND('',#19873,.T.); #11806=FACE_OUTER_BOUND('',#19874,.T.); #11807=FACE_OUTER_BOUND('',#19875,.T.); #11808=FACE_OUTER_BOUND('',#19876,.T.); #11809=FACE_OUTER_BOUND('',#19877,.T.); #11810=FACE_OUTER_BOUND('',#19878,.T.); #11811=FACE_OUTER_BOUND('',#19879,.T.); #11812=FACE_OUTER_BOUND('',#19880,.T.); #11813=FACE_OUTER_BOUND('',#19881,.T.); #11814=FACE_OUTER_BOUND('',#19882,.T.); #11815=FACE_OUTER_BOUND('',#19883,.T.); #11816=FACE_OUTER_BOUND('',#19884,.T.); #11817=FACE_OUTER_BOUND('',#19885,.T.); #11818=FACE_OUTER_BOUND('',#19886,.T.); #11819=FACE_OUTER_BOUND('',#19887,.T.); #11820=FACE_OUTER_BOUND('',#19888,.T.); #11821=FACE_OUTER_BOUND('',#19889,.T.); #11822=FACE_OUTER_BOUND('',#19890,.T.); #11823=FACE_OUTER_BOUND('',#19891,.T.); #11824=FACE_OUTER_BOUND('',#19892,.T.); #11825=FACE_OUTER_BOUND('',#19893,.T.); #11826=FACE_OUTER_BOUND('',#19894,.T.); #11827=FACE_OUTER_BOUND('',#19895,.T.); #11828=FACE_OUTER_BOUND('',#19896,.T.); #11829=FACE_OUTER_BOUND('',#19897,.T.); #11830=FACE_OUTER_BOUND('',#19898,.T.); #11831=FACE_OUTER_BOUND('',#19899,.T.); #11832=FACE_OUTER_BOUND('',#19900,.T.); #11833=FACE_OUTER_BOUND('',#19901,.T.); #11834=FACE_OUTER_BOUND('',#19902,.T.); #11835=FACE_OUTER_BOUND('',#19903,.T.); #11836=FACE_OUTER_BOUND('',#19904,.T.); #11837=FACE_OUTER_BOUND('',#19905,.T.); #11838=FACE_OUTER_BOUND('',#19906,.T.); #11839=FACE_OUTER_BOUND('',#19907,.T.); #11840=FACE_OUTER_BOUND('',#19908,.T.); #11841=FACE_OUTER_BOUND('',#19909,.T.); #11842=FACE_OUTER_BOUND('',#19910,.T.); #11843=FACE_OUTER_BOUND('',#19911,.T.); #11844=FACE_OUTER_BOUND('',#19912,.T.); #11845=FACE_OUTER_BOUND('',#19913,.T.); #11846=FACE_OUTER_BOUND('',#19914,.T.); #11847=FACE_OUTER_BOUND('',#19915,.T.); #11848=FACE_OUTER_BOUND('',#19917,.T.); #11849=FACE_OUTER_BOUND('',#19918,.T.); #11850=FACE_OUTER_BOUND('',#19919,.T.); #11851=FACE_OUTER_BOUND('',#19920,.T.); #11852=FACE_OUTER_BOUND('',#19921,.T.); #11853=FACE_OUTER_BOUND('',#19922,.T.); #11854=FACE_OUTER_BOUND('',#19923,.T.); #11855=FACE_OUTER_BOUND('',#19924,.T.); #11856=FACE_OUTER_BOUND('',#19925,.T.); #11857=FACE_OUTER_BOUND('',#19926,.T.); #11858=FACE_OUTER_BOUND('',#19927,.T.); #11859=FACE_OUTER_BOUND('',#19928,.T.); #11860=FACE_OUTER_BOUND('',#19929,.T.); #11861=FACE_OUTER_BOUND('',#19930,.T.); #11862=FACE_OUTER_BOUND('',#19931,.T.); #11863=FACE_OUTER_BOUND('',#19932,.T.); #11864=FACE_OUTER_BOUND('',#19933,.T.); #11865=FACE_OUTER_BOUND('',#19934,.T.); #11866=FACE_OUTER_BOUND('',#19935,.T.); #11867=FACE_OUTER_BOUND('',#19936,.T.); #11868=FACE_OUTER_BOUND('',#19937,.T.); #11869=FACE_OUTER_BOUND('',#19938,.T.); #11870=FACE_OUTER_BOUND('',#19939,.T.); #11871=FACE_OUTER_BOUND('',#19940,.T.); #11872=FACE_OUTER_BOUND('',#19941,.T.); #11873=FACE_OUTER_BOUND('',#19942,.T.); #11874=FACE_OUTER_BOUND('',#19943,.T.); #11875=FACE_OUTER_BOUND('',#19944,.T.); #11876=FACE_OUTER_BOUND('',#19945,.T.); #11877=FACE_OUTER_BOUND('',#19946,.T.); #11878=FACE_OUTER_BOUND('',#19947,.T.); #11879=FACE_OUTER_BOUND('',#19948,.T.); #11880=FACE_OUTER_BOUND('',#19949,.T.); #11881=FACE_OUTER_BOUND('',#19950,.T.); #11882=FACE_OUTER_BOUND('',#19951,.T.); #11883=FACE_OUTER_BOUND('',#19952,.T.); #11884=FACE_OUTER_BOUND('',#19953,.T.); #11885=FACE_OUTER_BOUND('',#19954,.T.); #11886=FACE_OUTER_BOUND('',#19955,.T.); #11887=FACE_OUTER_BOUND('',#19956,.T.); #11888=FACE_OUTER_BOUND('',#19957,.T.); #11889=FACE_OUTER_BOUND('',#19958,.T.); #11890=FACE_OUTER_BOUND('',#19959,.T.); #11891=FACE_OUTER_BOUND('',#19960,.T.); #11892=FACE_OUTER_BOUND('',#19961,.T.); #11893=FACE_OUTER_BOUND('',#19962,.T.); #11894=FACE_OUTER_BOUND('',#19963,.T.); #11895=FACE_OUTER_BOUND('',#19964,.T.); #11896=FACE_OUTER_BOUND('',#19965,.T.); #11897=FACE_OUTER_BOUND('',#19966,.T.); #11898=FACE_OUTER_BOUND('',#19967,.T.); #11899=FACE_OUTER_BOUND('',#19968,.T.); #11900=FACE_OUTER_BOUND('',#19969,.T.); #11901=FACE_OUTER_BOUND('',#19970,.T.); #11902=FACE_OUTER_BOUND('',#19971,.T.); #11903=FACE_OUTER_BOUND('',#19972,.T.); #11904=FACE_OUTER_BOUND('',#19973,.T.); #11905=FACE_OUTER_BOUND('',#19974,.T.); #11906=FACE_OUTER_BOUND('',#19975,.T.); #11907=FACE_OUTER_BOUND('',#19976,.T.); #11908=FACE_OUTER_BOUND('',#19977,.T.); #11909=FACE_OUTER_BOUND('',#19978,.T.); #11910=FACE_OUTER_BOUND('',#19979,.T.); #11911=FACE_OUTER_BOUND('',#19980,.T.); #11912=FACE_OUTER_BOUND('',#19981,.T.); #11913=FACE_OUTER_BOUND('',#19982,.T.); #11914=FACE_OUTER_BOUND('',#19983,.T.); #11915=FACE_OUTER_BOUND('',#19984,.T.); #11916=FACE_OUTER_BOUND('',#19985,.T.); #11917=FACE_OUTER_BOUND('',#19986,.T.); #11918=FACE_OUTER_BOUND('',#19987,.T.); #11919=FACE_OUTER_BOUND('',#19988,.T.); #11920=FACE_OUTER_BOUND('',#19989,.T.); #11921=FACE_OUTER_BOUND('',#19990,.T.); #11922=FACE_OUTER_BOUND('',#19991,.T.); #11923=FACE_OUTER_BOUND('',#19992,.T.); #11924=FACE_OUTER_BOUND('',#19993,.T.); #11925=FACE_OUTER_BOUND('',#19994,.T.); #11926=FACE_OUTER_BOUND('',#19995,.T.); #11927=FACE_OUTER_BOUND('',#19996,.T.); #11928=FACE_OUTER_BOUND('',#19997,.T.); #11929=FACE_OUTER_BOUND('',#19998,.T.); #11930=FACE_OUTER_BOUND('',#19999,.T.); #11931=FACE_OUTER_BOUND('',#20000,.T.); #11932=FACE_OUTER_BOUND('',#20001,.T.); #11933=FACE_OUTER_BOUND('',#20002,.T.); #11934=FACE_OUTER_BOUND('',#20003,.T.); #11935=FACE_OUTER_BOUND('',#20004,.T.); #11936=FACE_OUTER_BOUND('',#20005,.T.); #11937=FACE_OUTER_BOUND('',#20006,.T.); #11938=FACE_OUTER_BOUND('',#20007,.T.); #11939=FACE_OUTER_BOUND('',#20008,.T.); #11940=FACE_OUTER_BOUND('',#20009,.T.); #11941=FACE_OUTER_BOUND('',#20010,.T.); #11942=FACE_OUTER_BOUND('',#20011,.T.); #11943=FACE_OUTER_BOUND('',#20012,.T.); #11944=FACE_OUTER_BOUND('',#20013,.T.); #11945=FACE_OUTER_BOUND('',#20014,.T.); #11946=FACE_OUTER_BOUND('',#20015,.T.); #11947=FACE_OUTER_BOUND('',#20016,.T.); #11948=FACE_OUTER_BOUND('',#20017,.T.); #11949=FACE_OUTER_BOUND('',#20018,.T.); #11950=FACE_OUTER_BOUND('',#20019,.T.); #11951=FACE_OUTER_BOUND('',#20020,.T.); #11952=FACE_OUTER_BOUND('',#20021,.T.); #11953=FACE_OUTER_BOUND('',#20022,.T.); #11954=FACE_OUTER_BOUND('',#20023,.T.); #11955=FACE_OUTER_BOUND('',#20024,.T.); #11956=FACE_OUTER_BOUND('',#20025,.T.); #11957=FACE_OUTER_BOUND('',#20026,.T.); #11958=FACE_OUTER_BOUND('',#20027,.T.); #11959=FACE_OUTER_BOUND('',#20028,.T.); #11960=FACE_OUTER_BOUND('',#20029,.T.); #11961=FACE_OUTER_BOUND('',#20030,.T.); #11962=FACE_OUTER_BOUND('',#20031,.T.); #11963=FACE_OUTER_BOUND('',#20032,.T.); #11964=FACE_OUTER_BOUND('',#20033,.T.); #11965=FACE_OUTER_BOUND('',#20034,.T.); #11966=FACE_OUTER_BOUND('',#20035,.T.); #11967=FACE_OUTER_BOUND('',#20036,.T.); #11968=FACE_OUTER_BOUND('',#20037,.T.); #11969=FACE_OUTER_BOUND('',#20038,.T.); #11970=FACE_OUTER_BOUND('',#20039,.T.); #11971=FACE_OUTER_BOUND('',#20040,.T.); #11972=FACE_OUTER_BOUND('',#20041,.T.); #11973=FACE_OUTER_BOUND('',#20042,.T.); #11974=FACE_OUTER_BOUND('',#20043,.T.); #11975=FACE_OUTER_BOUND('',#20044,.T.); #11976=FACE_OUTER_BOUND('',#20045,.T.); #11977=FACE_OUTER_BOUND('',#20046,.T.); #11978=FACE_OUTER_BOUND('',#20047,.T.); #11979=FACE_OUTER_BOUND('',#20048,.T.); #11980=FACE_OUTER_BOUND('',#20049,.T.); #11981=FACE_OUTER_BOUND('',#20050,.T.); #11982=FACE_OUTER_BOUND('',#20051,.T.); #11983=FACE_OUTER_BOUND('',#20052,.T.); #11984=FACE_OUTER_BOUND('',#20053,.T.); #11985=FACE_OUTER_BOUND('',#20054,.T.); #11986=FACE_OUTER_BOUND('',#20055,.T.); #11987=FACE_OUTER_BOUND('',#20056,.T.); #11988=FACE_OUTER_BOUND('',#20057,.T.); #11989=FACE_OUTER_BOUND('',#20058,.T.); #11990=FACE_OUTER_BOUND('',#20059,.T.); #11991=FACE_OUTER_BOUND('',#20060,.T.); #11992=FACE_OUTER_BOUND('',#20061,.T.); #11993=FACE_OUTER_BOUND('',#20062,.T.); #11994=FACE_OUTER_BOUND('',#20063,.T.); #11995=FACE_OUTER_BOUND('',#20064,.T.); #11996=FACE_OUTER_BOUND('',#20065,.T.); #11997=FACE_OUTER_BOUND('',#20066,.T.); #11998=FACE_OUTER_BOUND('',#20067,.T.); #11999=FACE_OUTER_BOUND('',#20068,.T.); #12000=FACE_OUTER_BOUND('',#20069,.T.); #12001=FACE_OUTER_BOUND('',#20070,.T.); #12002=FACE_OUTER_BOUND('',#20071,.T.); #12003=FACE_OUTER_BOUND('',#20072,.T.); #12004=FACE_OUTER_BOUND('',#20073,.T.); #12005=FACE_OUTER_BOUND('',#20074,.T.); #12006=FACE_OUTER_BOUND('',#20075,.T.); #12007=FACE_OUTER_BOUND('',#20076,.T.); #12008=FACE_OUTER_BOUND('',#20077,.T.); #12009=FACE_OUTER_BOUND('',#20078,.T.); #12010=FACE_OUTER_BOUND('',#20079,.T.); #12011=FACE_OUTER_BOUND('',#20080,.T.); #12012=FACE_OUTER_BOUND('',#20081,.T.); #12013=FACE_OUTER_BOUND('',#20082,.T.); #12014=FACE_OUTER_BOUND('',#20083,.T.); #12015=FACE_OUTER_BOUND('',#20084,.T.); #12016=FACE_OUTER_BOUND('',#20085,.T.); #12017=FACE_OUTER_BOUND('',#20086,.T.); #12018=FACE_OUTER_BOUND('',#20087,.T.); #12019=FACE_OUTER_BOUND('',#20088,.T.); #12020=FACE_OUTER_BOUND('',#20089,.T.); #12021=FACE_OUTER_BOUND('',#20090,.T.); #12022=FACE_OUTER_BOUND('',#20091,.T.); #12023=FACE_OUTER_BOUND('',#20092,.T.); #12024=FACE_OUTER_BOUND('',#20093,.T.); #12025=FACE_OUTER_BOUND('',#20094,.T.); #12026=FACE_OUTER_BOUND('',#20095,.T.); #12027=FACE_OUTER_BOUND('',#20096,.T.); #12028=FACE_OUTER_BOUND('',#20098,.T.); #12029=FACE_OUTER_BOUND('',#20099,.T.); #12030=FACE_OUTER_BOUND('',#20100,.T.); #12031=FACE_OUTER_BOUND('',#20101,.T.); #12032=FACE_OUTER_BOUND('',#20102,.T.); #12033=FACE_OUTER_BOUND('',#20103,.T.); #12034=FACE_OUTER_BOUND('',#20104,.T.); #12035=FACE_OUTER_BOUND('',#20105,.T.); #12036=FACE_OUTER_BOUND('',#20106,.T.); #12037=FACE_OUTER_BOUND('',#20107,.T.); #12038=FACE_OUTER_BOUND('',#20108,.T.); #12039=FACE_OUTER_BOUND('',#20109,.T.); #12040=FACE_OUTER_BOUND('',#20110,.T.); #12041=FACE_OUTER_BOUND('',#20111,.T.); #12042=FACE_OUTER_BOUND('',#20112,.T.); #12043=FACE_OUTER_BOUND('',#20113,.T.); #12044=FACE_OUTER_BOUND('',#20114,.T.); #12045=FACE_OUTER_BOUND('',#20115,.T.); #12046=FACE_OUTER_BOUND('',#20116,.T.); #12047=FACE_OUTER_BOUND('',#20117,.T.); #12048=FACE_OUTER_BOUND('',#20118,.T.); #12049=FACE_OUTER_BOUND('',#20119,.T.); #12050=FACE_OUTER_BOUND('',#20120,.T.); #12051=FACE_OUTER_BOUND('',#20121,.T.); #12052=FACE_OUTER_BOUND('',#20122,.T.); #12053=FACE_OUTER_BOUND('',#20123,.T.); #12054=FACE_OUTER_BOUND('',#20124,.T.); #12055=FACE_OUTER_BOUND('',#20125,.T.); #12056=FACE_OUTER_BOUND('',#20126,.T.); #12057=FACE_OUTER_BOUND('',#20127,.T.); #12058=FACE_OUTER_BOUND('',#20128,.T.); #12059=FACE_OUTER_BOUND('',#20130,.T.); #12060=FACE_OUTER_BOUND('',#20131,.T.); #12061=FACE_OUTER_BOUND('',#20132,.T.); #12062=FACE_OUTER_BOUND('',#20133,.T.); #12063=FACE_OUTER_BOUND('',#20134,.T.); #12064=FACE_OUTER_BOUND('',#20135,.T.); #12065=FACE_OUTER_BOUND('',#20136,.T.); #12066=FACE_OUTER_BOUND('',#20137,.T.); #12067=FACE_OUTER_BOUND('',#20138,.T.); #12068=FACE_OUTER_BOUND('',#20139,.T.); #12069=FACE_OUTER_BOUND('',#20140,.T.); #12070=FACE_OUTER_BOUND('',#20141,.T.); #12071=FACE_OUTER_BOUND('',#20142,.T.); #12072=FACE_OUTER_BOUND('',#20143,.T.); #12073=FACE_OUTER_BOUND('',#20144,.T.); #12074=FACE_OUTER_BOUND('',#20145,.T.); #12075=FACE_OUTER_BOUND('',#20146,.T.); #12076=FACE_OUTER_BOUND('',#20147,.T.); #12077=FACE_OUTER_BOUND('',#20148,.T.); #12078=FACE_OUTER_BOUND('',#20149,.T.); #12079=FACE_OUTER_BOUND('',#20150,.T.); #12080=FACE_OUTER_BOUND('',#20151,.T.); #12081=FACE_OUTER_BOUND('',#20152,.T.); #12082=FACE_OUTER_BOUND('',#20153,.T.); #12083=FACE_OUTER_BOUND('',#20154,.T.); #12084=FACE_OUTER_BOUND('',#20155,.T.); #12085=FACE_OUTER_BOUND('',#20156,.T.); #12086=FACE_OUTER_BOUND('',#20157,.T.); #12087=FACE_OUTER_BOUND('',#20158,.T.); #12088=FACE_OUTER_BOUND('',#20159,.T.); #12089=FACE_OUTER_BOUND('',#20160,.T.); #12090=FACE_OUTER_BOUND('',#20162,.T.); #12091=FACE_OUTER_BOUND('',#20163,.T.); #12092=FACE_OUTER_BOUND('',#20164,.T.); #12093=FACE_OUTER_BOUND('',#20165,.T.); #12094=FACE_OUTER_BOUND('',#20166,.T.); #12095=FACE_OUTER_BOUND('',#20167,.T.); #12096=FACE_OUTER_BOUND('',#20168,.T.); #12097=FACE_OUTER_BOUND('',#20169,.T.); #12098=FACE_OUTER_BOUND('',#20170,.T.); #12099=FACE_OUTER_BOUND('',#20171,.T.); #12100=FACE_OUTER_BOUND('',#20172,.T.); #12101=FACE_OUTER_BOUND('',#20173,.T.); #12102=FACE_OUTER_BOUND('',#20174,.T.); #12103=FACE_OUTER_BOUND('',#20175,.T.); #12104=FACE_OUTER_BOUND('',#20176,.T.); #12105=FACE_OUTER_BOUND('',#20177,.T.); #12106=FACE_OUTER_BOUND('',#20178,.T.); #12107=FACE_OUTER_BOUND('',#20179,.T.); #12108=FACE_OUTER_BOUND('',#20180,.T.); #12109=FACE_OUTER_BOUND('',#20181,.T.); #12110=FACE_OUTER_BOUND('',#20182,.T.); #12111=FACE_OUTER_BOUND('',#20183,.T.); #12112=FACE_OUTER_BOUND('',#20184,.T.); #12113=FACE_OUTER_BOUND('',#20185,.T.); #12114=FACE_OUTER_BOUND('',#20186,.T.); #12115=FACE_OUTER_BOUND('',#20187,.T.); #12116=FACE_OUTER_BOUND('',#20188,.T.); #12117=FACE_OUTER_BOUND('',#20189,.T.); #12118=FACE_OUTER_BOUND('',#20190,.T.); #12119=FACE_OUTER_BOUND('',#20191,.T.); #12120=FACE_OUTER_BOUND('',#20192,.T.); #12121=FACE_OUTER_BOUND('',#20194,.T.); #12122=FACE_OUTER_BOUND('',#20195,.T.); #12123=FACE_OUTER_BOUND('',#20196,.T.); #12124=FACE_OUTER_BOUND('',#20197,.T.); #12125=FACE_OUTER_BOUND('',#20198,.T.); #12126=FACE_OUTER_BOUND('',#20199,.T.); #12127=FACE_OUTER_BOUND('',#20200,.T.); #12128=FACE_OUTER_BOUND('',#20201,.T.); #12129=FACE_OUTER_BOUND('',#20202,.T.); #12130=FACE_OUTER_BOUND('',#20203,.T.); #12131=FACE_OUTER_BOUND('',#20204,.T.); #12132=FACE_OUTER_BOUND('',#20205,.T.); #12133=FACE_OUTER_BOUND('',#20206,.T.); #12134=FACE_OUTER_BOUND('',#20207,.T.); #12135=FACE_OUTER_BOUND('',#20208,.T.); #12136=FACE_OUTER_BOUND('',#20209,.T.); #12137=FACE_OUTER_BOUND('',#20210,.T.); #12138=FACE_OUTER_BOUND('',#20211,.T.); #12139=FACE_OUTER_BOUND('',#20212,.T.); #12140=FACE_OUTER_BOUND('',#20213,.T.); #12141=FACE_OUTER_BOUND('',#20214,.T.); #12142=FACE_OUTER_BOUND('',#20215,.T.); #12143=FACE_OUTER_BOUND('',#20216,.T.); #12144=FACE_OUTER_BOUND('',#20217,.T.); #12145=FACE_OUTER_BOUND('',#20218,.T.); #12146=FACE_OUTER_BOUND('',#20219,.T.); #12147=FACE_OUTER_BOUND('',#20220,.T.); #12148=FACE_OUTER_BOUND('',#20221,.T.); #12149=FACE_OUTER_BOUND('',#20222,.T.); #12150=FACE_OUTER_BOUND('',#20223,.T.); #12151=FACE_OUTER_BOUND('',#20224,.T.); #12152=FACE_OUTER_BOUND('',#20225,.T.); #12153=FACE_OUTER_BOUND('',#20226,.T.); #12154=FACE_OUTER_BOUND('',#20227,.T.); #12155=FACE_OUTER_BOUND('',#20228,.T.); #12156=FACE_OUTER_BOUND('',#20229,.T.); #12157=FACE_OUTER_BOUND('',#20230,.T.); #12158=FACE_OUTER_BOUND('',#20231,.T.); #12159=FACE_OUTER_BOUND('',#20232,.T.); #12160=FACE_OUTER_BOUND('',#20233,.T.); #12161=FACE_OUTER_BOUND('',#20234,.T.); #12162=FACE_OUTER_BOUND('',#20235,.T.); #12163=FACE_OUTER_BOUND('',#20236,.T.); #12164=FACE_OUTER_BOUND('',#20237,.T.); #12165=FACE_OUTER_BOUND('',#20238,.T.); #12166=FACE_OUTER_BOUND('',#20239,.T.); #12167=FACE_OUTER_BOUND('',#20240,.T.); #12168=FACE_OUTER_BOUND('',#20241,.T.); #12169=FACE_OUTER_BOUND('',#20242,.T.); #12170=FACE_OUTER_BOUND('',#20243,.T.); #12171=FACE_OUTER_BOUND('',#20244,.T.); #12172=FACE_OUTER_BOUND('',#20245,.T.); #12173=FACE_OUTER_BOUND('',#20246,.T.); #12174=FACE_OUTER_BOUND('',#20247,.T.); #12175=FACE_OUTER_BOUND('',#20248,.T.); #12176=FACE_OUTER_BOUND('',#20249,.T.); #12177=FACE_OUTER_BOUND('',#20250,.T.); #12178=FACE_OUTER_BOUND('',#20251,.T.); #12179=FACE_OUTER_BOUND('',#20252,.T.); #12180=FACE_OUTER_BOUND('',#20253,.T.); #12181=FACE_OUTER_BOUND('',#20254,.T.); #12182=FACE_OUTER_BOUND('',#20255,.T.); #12183=FACE_OUTER_BOUND('',#20256,.T.); #12184=FACE_OUTER_BOUND('',#20257,.T.); #12185=FACE_OUTER_BOUND('',#20258,.T.); #12186=FACE_OUTER_BOUND('',#20259,.T.); #12187=FACE_OUTER_BOUND('',#20260,.T.); #12188=FACE_OUTER_BOUND('',#20261,.T.); #12189=FACE_OUTER_BOUND('',#20262,.T.); #12190=FACE_OUTER_BOUND('',#20263,.T.); #12191=FACE_OUTER_BOUND('',#20264,.T.); #12192=FACE_OUTER_BOUND('',#20265,.T.); #12193=FACE_OUTER_BOUND('',#20266,.T.); #12194=FACE_OUTER_BOUND('',#20267,.T.); #12195=FACE_OUTER_BOUND('',#20268,.T.); #12196=FACE_OUTER_BOUND('',#20269,.T.); #12197=FACE_OUTER_BOUND('',#20270,.T.); #12198=FACE_OUTER_BOUND('',#20271,.T.); #12199=FACE_OUTER_BOUND('',#20272,.T.); #12200=FACE_OUTER_BOUND('',#20273,.T.); #12201=FACE_OUTER_BOUND('',#20274,.T.); #12202=FACE_OUTER_BOUND('',#20275,.T.); #12203=FACE_OUTER_BOUND('',#20276,.T.); #12204=FACE_OUTER_BOUND('',#20277,.T.); #12205=FACE_OUTER_BOUND('',#20278,.T.); #12206=FACE_OUTER_BOUND('',#20279,.T.); #12207=FACE_OUTER_BOUND('',#20280,.T.); #12208=FACE_OUTER_BOUND('',#20281,.T.); #12209=FACE_OUTER_BOUND('',#20282,.T.); #12210=FACE_OUTER_BOUND('',#20283,.T.); #12211=FACE_OUTER_BOUND('',#20284,.T.); #12212=FACE_OUTER_BOUND('',#20285,.T.); #12213=FACE_OUTER_BOUND('',#20286,.T.); #12214=FACE_OUTER_BOUND('',#20287,.T.); #12215=FACE_OUTER_BOUND('',#20288,.T.); #12216=FACE_OUTER_BOUND('',#20289,.T.); #12217=FACE_OUTER_BOUND('',#20290,.T.); #12218=FACE_OUTER_BOUND('',#20291,.T.); #12219=FACE_OUTER_BOUND('',#20292,.T.); #12220=FACE_OUTER_BOUND('',#20293,.T.); #12221=FACE_OUTER_BOUND('',#20294,.T.); #12222=FACE_OUTER_BOUND('',#20295,.T.); #12223=FACE_OUTER_BOUND('',#20296,.T.); #12224=FACE_OUTER_BOUND('',#20297,.T.); #12225=FACE_OUTER_BOUND('',#20298,.T.); #12226=FACE_OUTER_BOUND('',#20299,.T.); #12227=FACE_OUTER_BOUND('',#20300,.T.); #12228=FACE_OUTER_BOUND('',#20301,.T.); #12229=FACE_OUTER_BOUND('',#20302,.T.); #12230=FACE_OUTER_BOUND('',#20303,.T.); #12231=FACE_OUTER_BOUND('',#20304,.T.); #12232=FACE_OUTER_BOUND('',#20305,.T.); #12233=FACE_OUTER_BOUND('',#20306,.T.); #12234=FACE_OUTER_BOUND('',#20307,.T.); #12235=FACE_OUTER_BOUND('',#20308,.T.); #12236=FACE_OUTER_BOUND('',#20309,.T.); #12237=FACE_OUTER_BOUND('',#20310,.T.); #12238=FACE_OUTER_BOUND('',#20311,.T.); #12239=FACE_OUTER_BOUND('',#20312,.T.); #12240=FACE_OUTER_BOUND('',#20313,.T.); #12241=FACE_OUTER_BOUND('',#20314,.T.); #12242=FACE_OUTER_BOUND('',#20315,.T.); #12243=FACE_OUTER_BOUND('',#20316,.T.); #12244=FACE_OUTER_BOUND('',#20317,.T.); #12245=FACE_OUTER_BOUND('',#20318,.T.); #12246=FACE_OUTER_BOUND('',#20319,.T.); #12247=FACE_OUTER_BOUND('',#20320,.T.); #12248=FACE_OUTER_BOUND('',#20321,.T.); #12249=FACE_OUTER_BOUND('',#20322,.T.); #12250=FACE_OUTER_BOUND('',#20323,.T.); #12251=FACE_OUTER_BOUND('',#20324,.T.); #12252=FACE_OUTER_BOUND('',#20325,.T.); #12253=FACE_OUTER_BOUND('',#20326,.T.); #12254=FACE_OUTER_BOUND('',#20327,.T.); #12255=FACE_OUTER_BOUND('',#20328,.T.); #12256=FACE_OUTER_BOUND('',#20329,.T.); #12257=FACE_OUTER_BOUND('',#20330,.T.); #12258=FACE_OUTER_BOUND('',#20331,.T.); #12259=FACE_OUTER_BOUND('',#20332,.T.); #12260=FACE_OUTER_BOUND('',#20333,.T.); #12261=FACE_OUTER_BOUND('',#20334,.T.); #12262=FACE_OUTER_BOUND('',#20335,.T.); #12263=FACE_OUTER_BOUND('',#20336,.T.); #12264=FACE_OUTER_BOUND('',#20337,.T.); #12265=FACE_OUTER_BOUND('',#20338,.T.); #12266=FACE_OUTER_BOUND('',#20339,.T.); #12267=FACE_OUTER_BOUND('',#20340,.T.); #12268=FACE_OUTER_BOUND('',#20341,.T.); #12269=FACE_OUTER_BOUND('',#20342,.T.); #12270=FACE_OUTER_BOUND('',#20343,.T.); #12271=FACE_OUTER_BOUND('',#20344,.T.); #12272=FACE_OUTER_BOUND('',#20345,.T.); #12273=FACE_OUTER_BOUND('',#20346,.T.); #12274=FACE_OUTER_BOUND('',#20347,.T.); #12275=FACE_OUTER_BOUND('',#20348,.T.); #12276=FACE_OUTER_BOUND('',#20349,.T.); #12277=FACE_OUTER_BOUND('',#20350,.T.); #12278=FACE_OUTER_BOUND('',#20351,.T.); #12279=FACE_OUTER_BOUND('',#20352,.T.); #12280=FACE_OUTER_BOUND('',#20354,.T.); #12281=FACE_OUTER_BOUND('',#20355,.T.); #12282=FACE_OUTER_BOUND('',#20356,.T.); #12283=FACE_OUTER_BOUND('',#20357,.T.); #12284=FACE_OUTER_BOUND('',#20358,.T.); #12285=FACE_OUTER_BOUND('',#20359,.T.); #12286=FACE_OUTER_BOUND('',#20360,.T.); #12287=FACE_OUTER_BOUND('',#20361,.T.); #12288=FACE_OUTER_BOUND('',#20362,.T.); #12289=FACE_OUTER_BOUND('',#20363,.T.); #12290=FACE_OUTER_BOUND('',#20364,.T.); #12291=FACE_OUTER_BOUND('',#20365,.T.); #12292=FACE_OUTER_BOUND('',#20366,.T.); #12293=FACE_OUTER_BOUND('',#20367,.T.); #12294=FACE_OUTER_BOUND('',#20368,.T.); #12295=FACE_OUTER_BOUND('',#20369,.T.); #12296=FACE_OUTER_BOUND('',#20370,.T.); #12297=FACE_OUTER_BOUND('',#20371,.T.); #12298=FACE_OUTER_BOUND('',#20372,.T.); #12299=FACE_OUTER_BOUND('',#20373,.T.); #12300=FACE_OUTER_BOUND('',#20374,.T.); #12301=FACE_OUTER_BOUND('',#20375,.T.); #12302=FACE_OUTER_BOUND('',#20376,.T.); #12303=FACE_OUTER_BOUND('',#20377,.T.); #12304=FACE_OUTER_BOUND('',#20378,.T.); #12305=FACE_OUTER_BOUND('',#20379,.T.); #12306=FACE_OUTER_BOUND('',#20380,.T.); #12307=FACE_OUTER_BOUND('',#20381,.T.); #12308=FACE_OUTER_BOUND('',#20382,.T.); #12309=FACE_OUTER_BOUND('',#20383,.T.); #12310=FACE_OUTER_BOUND('',#20384,.T.); #12311=FACE_OUTER_BOUND('',#20385,.T.); #12312=FACE_OUTER_BOUND('',#20386,.T.); #12313=FACE_OUTER_BOUND('',#20387,.T.); #12314=FACE_OUTER_BOUND('',#20388,.T.); #12315=FACE_OUTER_BOUND('',#20389,.T.); #12316=FACE_OUTER_BOUND('',#20390,.T.); #12317=FACE_OUTER_BOUND('',#20391,.T.); #12318=FACE_OUTER_BOUND('',#20392,.T.); #12319=FACE_OUTER_BOUND('',#20393,.T.); #12320=FACE_OUTER_BOUND('',#20394,.T.); #12321=FACE_OUTER_BOUND('',#20395,.T.); #12322=FACE_OUTER_BOUND('',#20396,.T.); #12323=FACE_OUTER_BOUND('',#20397,.T.); #12324=FACE_OUTER_BOUND('',#20398,.T.); #12325=FACE_OUTER_BOUND('',#20399,.T.); #12326=FACE_OUTER_BOUND('',#20400,.T.); #12327=FACE_OUTER_BOUND('',#20401,.T.); #12328=FACE_OUTER_BOUND('',#20402,.T.); #12329=FACE_OUTER_BOUND('',#20403,.T.); #12330=FACE_OUTER_BOUND('',#20404,.T.); #12331=FACE_OUTER_BOUND('',#20405,.T.); #12332=FACE_OUTER_BOUND('',#20406,.T.); #12333=FACE_OUTER_BOUND('',#20407,.T.); #12334=FACE_OUTER_BOUND('',#20408,.T.); #12335=FACE_OUTER_BOUND('',#20409,.T.); #12336=FACE_OUTER_BOUND('',#20410,.T.); #12337=FACE_OUTER_BOUND('',#20411,.T.); #12338=FACE_OUTER_BOUND('',#20412,.T.); #12339=FACE_OUTER_BOUND('',#20413,.T.); #12340=FACE_OUTER_BOUND('',#20414,.T.); #12341=FACE_OUTER_BOUND('',#20415,.T.); #12342=FACE_OUTER_BOUND('',#20416,.T.); #12343=FACE_OUTER_BOUND('',#20417,.T.); #12344=FACE_OUTER_BOUND('',#20418,.T.); #12345=FACE_OUTER_BOUND('',#20419,.T.); #12346=FACE_OUTER_BOUND('',#20420,.T.); #12347=FACE_OUTER_BOUND('',#20421,.T.); #12348=FACE_OUTER_BOUND('',#20422,.T.); #12349=FACE_OUTER_BOUND('',#20423,.T.); #12350=FACE_OUTER_BOUND('',#20424,.T.); #12351=FACE_OUTER_BOUND('',#20425,.T.); #12352=FACE_OUTER_BOUND('',#20426,.T.); #12353=FACE_OUTER_BOUND('',#20427,.T.); #12354=FACE_OUTER_BOUND('',#20428,.T.); #12355=FACE_OUTER_BOUND('',#20429,.T.); #12356=FACE_OUTER_BOUND('',#20430,.T.); #12357=FACE_OUTER_BOUND('',#20431,.T.); #12358=FACE_OUTER_BOUND('',#20432,.T.); #12359=FACE_OUTER_BOUND('',#20433,.T.); #12360=FACE_OUTER_BOUND('',#20434,.T.); #12361=FACE_OUTER_BOUND('',#20435,.T.); #12362=FACE_OUTER_BOUND('',#20436,.T.); #12363=FACE_OUTER_BOUND('',#20437,.T.); #12364=FACE_OUTER_BOUND('',#20438,.T.); #12365=FACE_OUTER_BOUND('',#20439,.T.); #12366=FACE_OUTER_BOUND('',#20440,.T.); #12367=FACE_OUTER_BOUND('',#20441,.T.); #12368=FACE_OUTER_BOUND('',#20442,.T.); #12369=FACE_OUTER_BOUND('',#20443,.T.); #12370=FACE_OUTER_BOUND('',#20444,.T.); #12371=FACE_OUTER_BOUND('',#20445,.T.); #12372=FACE_OUTER_BOUND('',#20446,.T.); #12373=FACE_OUTER_BOUND('',#20447,.T.); #12374=FACE_OUTER_BOUND('',#20448,.T.); #12375=FACE_OUTER_BOUND('',#20449,.T.); #12376=FACE_OUTER_BOUND('',#20450,.T.); #12377=FACE_OUTER_BOUND('',#20451,.T.); #12378=FACE_OUTER_BOUND('',#20452,.T.); #12379=FACE_OUTER_BOUND('',#20453,.T.); #12380=FACE_OUTER_BOUND('',#20454,.T.); #12381=FACE_OUTER_BOUND('',#20455,.T.); #12382=FACE_OUTER_BOUND('',#20456,.T.); #12383=FACE_OUTER_BOUND('',#20457,.T.); #12384=FACE_OUTER_BOUND('',#20458,.T.); #12385=FACE_OUTER_BOUND('',#20459,.T.); #12386=FACE_OUTER_BOUND('',#20460,.T.); #12387=FACE_OUTER_BOUND('',#20461,.T.); #12388=FACE_OUTER_BOUND('',#20462,.T.); #12389=FACE_OUTER_BOUND('',#20463,.T.); #12390=FACE_OUTER_BOUND('',#20464,.T.); #12391=FACE_OUTER_BOUND('',#20465,.T.); #12392=FACE_OUTER_BOUND('',#20466,.T.); #12393=FACE_OUTER_BOUND('',#20467,.T.); #12394=FACE_OUTER_BOUND('',#20468,.T.); #12395=FACE_OUTER_BOUND('',#20469,.T.); #12396=FACE_OUTER_BOUND('',#20470,.T.); #12397=FACE_OUTER_BOUND('',#20471,.T.); #12398=FACE_OUTER_BOUND('',#20472,.T.); #12399=FACE_OUTER_BOUND('',#20473,.T.); #12400=FACE_OUTER_BOUND('',#20474,.T.); #12401=FACE_OUTER_BOUND('',#20475,.T.); #12402=FACE_OUTER_BOUND('',#20476,.T.); #12403=FACE_OUTER_BOUND('',#20477,.T.); #12404=FACE_OUTER_BOUND('',#20478,.T.); #12405=FACE_OUTER_BOUND('',#20479,.T.); #12406=FACE_OUTER_BOUND('',#20480,.T.); #12407=FACE_OUTER_BOUND('',#20481,.T.); #12408=FACE_OUTER_BOUND('',#20482,.T.); #12409=FACE_OUTER_BOUND('',#20483,.T.); #12410=FACE_OUTER_BOUND('',#20484,.T.); #12411=FACE_OUTER_BOUND('',#20485,.T.); #12412=FACE_OUTER_BOUND('',#20486,.T.); #12413=FACE_OUTER_BOUND('',#20487,.T.); #12414=FACE_OUTER_BOUND('',#20488,.T.); #12415=FACE_OUTER_BOUND('',#20489,.T.); #12416=FACE_OUTER_BOUND('',#20490,.T.); #12417=FACE_OUTER_BOUND('',#20492,.T.); #12418=FACE_OUTER_BOUND('',#20493,.T.); #12419=FACE_OUTER_BOUND('',#20494,.T.); #12420=FACE_OUTER_BOUND('',#20495,.T.); #12421=FACE_OUTER_BOUND('',#20496,.T.); #12422=FACE_OUTER_BOUND('',#20497,.T.); #12423=FACE_OUTER_BOUND('',#20498,.T.); #12424=FACE_OUTER_BOUND('',#20499,.T.); #12425=FACE_OUTER_BOUND('',#20500,.T.); #12426=FACE_OUTER_BOUND('',#20501,.T.); #12427=FACE_OUTER_BOUND('',#20502,.T.); #12428=FACE_OUTER_BOUND('',#20503,.T.); #12429=FACE_OUTER_BOUND('',#20504,.T.); #12430=FACE_OUTER_BOUND('',#20505,.T.); #12431=FACE_OUTER_BOUND('',#20506,.T.); #12432=FACE_OUTER_BOUND('',#20507,.T.); #12433=FACE_OUTER_BOUND('',#20508,.T.); #12434=FACE_OUTER_BOUND('',#20509,.T.); #12435=FACE_OUTER_BOUND('',#20510,.T.); #12436=FACE_OUTER_BOUND('',#20511,.T.); #12437=FACE_OUTER_BOUND('',#20512,.T.); #12438=FACE_OUTER_BOUND('',#20513,.T.); #12439=FACE_OUTER_BOUND('',#20514,.T.); #12440=FACE_OUTER_BOUND('',#20515,.T.); #12441=FACE_OUTER_BOUND('',#20516,.T.); #12442=FACE_OUTER_BOUND('',#20517,.T.); #12443=FACE_OUTER_BOUND('',#20518,.T.); #12444=FACE_OUTER_BOUND('',#20519,.T.); #12445=FACE_OUTER_BOUND('',#20520,.T.); #12446=FACE_OUTER_BOUND('',#20521,.T.); #12447=FACE_OUTER_BOUND('',#20522,.T.); #12448=FACE_OUTER_BOUND('',#20523,.T.); #12449=FACE_OUTER_BOUND('',#20524,.T.); #12450=FACE_OUTER_BOUND('',#20525,.T.); #12451=FACE_OUTER_BOUND('',#20526,.T.); #12452=FACE_OUTER_BOUND('',#20527,.T.); #12453=FACE_OUTER_BOUND('',#20528,.T.); #12454=FACE_OUTER_BOUND('',#20529,.T.); #12455=FACE_OUTER_BOUND('',#20530,.T.); #12456=FACE_OUTER_BOUND('',#20531,.T.); #12457=FACE_OUTER_BOUND('',#20532,.T.); #12458=FACE_OUTER_BOUND('',#20533,.T.); #12459=FACE_OUTER_BOUND('',#20534,.T.); #12460=FACE_OUTER_BOUND('',#20535,.T.); #12461=FACE_OUTER_BOUND('',#20536,.T.); #12462=FACE_OUTER_BOUND('',#20537,.T.); #12463=FACE_OUTER_BOUND('',#20538,.T.); #12464=FACE_OUTER_BOUND('',#20539,.T.); #12465=FACE_OUTER_BOUND('',#20540,.T.); #12466=FACE_OUTER_BOUND('',#20541,.T.); #12467=FACE_OUTER_BOUND('',#20542,.T.); #12468=FACE_OUTER_BOUND('',#20543,.T.); #12469=FACE_OUTER_BOUND('',#20544,.T.); #12470=FACE_OUTER_BOUND('',#20545,.T.); #12471=FACE_OUTER_BOUND('',#20546,.T.); #12472=FACE_OUTER_BOUND('',#20547,.T.); #12473=FACE_OUTER_BOUND('',#20548,.T.); #12474=FACE_OUTER_BOUND('',#20549,.T.); #12475=FACE_OUTER_BOUND('',#20550,.T.); #12476=FACE_OUTER_BOUND('',#20551,.T.); #12477=FACE_OUTER_BOUND('',#20552,.T.); #12478=FACE_OUTER_BOUND('',#20553,.T.); #12479=FACE_OUTER_BOUND('',#20554,.T.); #12480=FACE_OUTER_BOUND('',#20555,.T.); #12481=FACE_OUTER_BOUND('',#20556,.T.); #12482=FACE_OUTER_BOUND('',#20557,.T.); #12483=FACE_OUTER_BOUND('',#20558,.T.); #12484=FACE_OUTER_BOUND('',#20559,.T.); #12485=FACE_OUTER_BOUND('',#20560,.T.); #12486=FACE_OUTER_BOUND('',#20561,.T.); #12487=FACE_OUTER_BOUND('',#20562,.T.); #12488=FACE_OUTER_BOUND('',#20563,.T.); #12489=FACE_OUTER_BOUND('',#20564,.T.); #12490=FACE_OUTER_BOUND('',#20565,.T.); #12491=FACE_OUTER_BOUND('',#20566,.T.); #12492=FACE_OUTER_BOUND('',#20567,.T.); #12493=FACE_OUTER_BOUND('',#20568,.T.); #12494=FACE_OUTER_BOUND('',#20569,.T.); #12495=FACE_OUTER_BOUND('',#20570,.T.); #12496=FACE_OUTER_BOUND('',#20571,.T.); #12497=FACE_OUTER_BOUND('',#20572,.T.); #12498=FACE_OUTER_BOUND('',#20573,.T.); #12499=FACE_OUTER_BOUND('',#20574,.T.); #12500=FACE_OUTER_BOUND('',#20575,.T.); #12501=FACE_OUTER_BOUND('',#20576,.T.); #12502=FACE_OUTER_BOUND('',#20577,.T.); #12503=FACE_OUTER_BOUND('',#20578,.T.); #12504=FACE_OUTER_BOUND('',#20579,.T.); #12505=FACE_OUTER_BOUND('',#20580,.T.); #12506=FACE_OUTER_BOUND('',#20581,.T.); #12507=FACE_OUTER_BOUND('',#20582,.T.); #12508=FACE_OUTER_BOUND('',#20583,.T.); #12509=FACE_OUTER_BOUND('',#20584,.T.); #12510=FACE_OUTER_BOUND('',#20585,.T.); #12511=FACE_OUTER_BOUND('',#20586,.T.); #12512=FACE_OUTER_BOUND('',#20587,.T.); #12513=FACE_OUTER_BOUND('',#20588,.T.); #12514=FACE_OUTER_BOUND('',#20589,.T.); #12515=FACE_OUTER_BOUND('',#20590,.T.); #12516=FACE_OUTER_BOUND('',#20591,.T.); #12517=FACE_OUTER_BOUND('',#20592,.T.); #12518=FACE_OUTER_BOUND('',#20593,.T.); #12519=FACE_OUTER_BOUND('',#20594,.T.); #12520=FACE_OUTER_BOUND('',#20595,.T.); #12521=FACE_OUTER_BOUND('',#20596,.T.); #12522=FACE_OUTER_BOUND('',#20597,.T.); #12523=FACE_OUTER_BOUND('',#20598,.T.); #12524=FACE_OUTER_BOUND('',#20599,.T.); #12525=FACE_OUTER_BOUND('',#20600,.T.); #12526=FACE_OUTER_BOUND('',#20601,.T.); #12527=FACE_OUTER_BOUND('',#20602,.T.); #12528=FACE_OUTER_BOUND('',#20603,.T.); #12529=FACE_OUTER_BOUND('',#20604,.T.); #12530=FACE_OUTER_BOUND('',#20605,.T.); #12531=FACE_OUTER_BOUND('',#20606,.T.); #12532=FACE_OUTER_BOUND('',#20607,.T.); #12533=FACE_OUTER_BOUND('',#20608,.T.); #12534=FACE_OUTER_BOUND('',#20609,.T.); #12535=FACE_OUTER_BOUND('',#20610,.T.); #12536=FACE_OUTER_BOUND('',#20611,.T.); #12537=FACE_OUTER_BOUND('',#20612,.T.); #12538=FACE_OUTER_BOUND('',#20613,.T.); #12539=FACE_OUTER_BOUND('',#20614,.T.); #12540=FACE_OUTER_BOUND('',#20615,.T.); #12541=FACE_OUTER_BOUND('',#20616,.T.); #12542=FACE_OUTER_BOUND('',#20617,.T.); #12543=FACE_OUTER_BOUND('',#20618,.T.); #12544=FACE_OUTER_BOUND('',#20619,.T.); #12545=FACE_OUTER_BOUND('',#20620,.T.); #12546=FACE_OUTER_BOUND('',#20621,.T.); #12547=FACE_OUTER_BOUND('',#20622,.T.); #12548=FACE_OUTER_BOUND('',#20623,.T.); #12549=FACE_OUTER_BOUND('',#20624,.T.); #12550=FACE_OUTER_BOUND('',#20625,.T.); #12551=FACE_OUTER_BOUND('',#20626,.T.); #12552=FACE_OUTER_BOUND('',#20627,.T.); #12553=FACE_OUTER_BOUND('',#20628,.T.); #12554=FACE_OUTER_BOUND('',#20629,.T.); #12555=FACE_OUTER_BOUND('',#20630,.T.); #12556=FACE_OUTER_BOUND('',#20631,.T.); #12557=FACE_OUTER_BOUND('',#20632,.T.); #12558=FACE_OUTER_BOUND('',#20633,.T.); #12559=FACE_OUTER_BOUND('',#20634,.T.); #12560=FACE_OUTER_BOUND('',#20635,.T.); #12561=FACE_OUTER_BOUND('',#20636,.T.); #12562=FACE_OUTER_BOUND('',#20637,.T.); #12563=FACE_OUTER_BOUND('',#20638,.T.); #12564=FACE_OUTER_BOUND('',#20639,.T.); #12565=FACE_OUTER_BOUND('',#20640,.T.); #12566=FACE_OUTER_BOUND('',#20641,.T.); #12567=FACE_OUTER_BOUND('',#20642,.T.); #12568=FACE_OUTER_BOUND('',#20643,.T.); #12569=FACE_OUTER_BOUND('',#20644,.T.); #12570=FACE_OUTER_BOUND('',#20645,.T.); #12571=FACE_OUTER_BOUND('',#20646,.T.); #12572=FACE_OUTER_BOUND('',#20647,.T.); #12573=FACE_OUTER_BOUND('',#20648,.T.); #12574=FACE_OUTER_BOUND('',#20649,.T.); #12575=FACE_OUTER_BOUND('',#20650,.T.); #12576=FACE_OUTER_BOUND('',#20651,.T.); #12577=FACE_OUTER_BOUND('',#20652,.T.); #12578=FACE_OUTER_BOUND('',#20653,.T.); #12579=FACE_OUTER_BOUND('',#20654,.T.); #12580=FACE_OUTER_BOUND('',#20655,.T.); #12581=FACE_OUTER_BOUND('',#20656,.T.); #12582=FACE_OUTER_BOUND('',#20657,.T.); #12583=FACE_OUTER_BOUND('',#20658,.T.); #12584=FACE_OUTER_BOUND('',#20659,.T.); #12585=FACE_OUTER_BOUND('',#20660,.T.); #12586=FACE_OUTER_BOUND('',#20661,.T.); #12587=FACE_OUTER_BOUND('',#20662,.T.); #12588=FACE_OUTER_BOUND('',#20663,.T.); #12589=FACE_OUTER_BOUND('',#20664,.T.); #12590=FACE_OUTER_BOUND('',#20665,.T.); #12591=FACE_OUTER_BOUND('',#20666,.T.); #12592=FACE_OUTER_BOUND('',#20667,.T.); #12593=FACE_OUTER_BOUND('',#20668,.T.); #12594=FACE_OUTER_BOUND('',#20669,.T.); #12595=FACE_OUTER_BOUND('',#20670,.T.); #12596=FACE_OUTER_BOUND('',#20671,.T.); #12597=FACE_OUTER_BOUND('',#20672,.T.); #12598=FACE_OUTER_BOUND('',#20673,.T.); #12599=FACE_OUTER_BOUND('',#20674,.T.); #12600=FACE_OUTER_BOUND('',#20675,.T.); #12601=FACE_OUTER_BOUND('',#20676,.T.); #12602=FACE_OUTER_BOUND('',#20677,.T.); #12603=FACE_OUTER_BOUND('',#20678,.T.); #12604=FACE_OUTER_BOUND('',#20679,.T.); #12605=FACE_OUTER_BOUND('',#20680,.T.); #12606=FACE_OUTER_BOUND('',#20681,.T.); #12607=FACE_OUTER_BOUND('',#20682,.T.); #12608=FACE_OUTER_BOUND('',#20683,.T.); #12609=FACE_OUTER_BOUND('',#20684,.T.); #12610=FACE_OUTER_BOUND('',#20685,.T.); #12611=FACE_OUTER_BOUND('',#20686,.T.); #12612=FACE_OUTER_BOUND('',#20688,.T.); #12613=FACE_OUTER_BOUND('',#20689,.T.); #12614=FACE_OUTER_BOUND('',#20690,.T.); #12615=FACE_OUTER_BOUND('',#20691,.T.); #12616=FACE_OUTER_BOUND('',#20692,.T.); #12617=FACE_OUTER_BOUND('',#20693,.T.); #12618=FACE_OUTER_BOUND('',#20694,.T.); #12619=FACE_OUTER_BOUND('',#20695,.T.); #12620=FACE_OUTER_BOUND('',#20696,.T.); #12621=FACE_OUTER_BOUND('',#20697,.T.); #12622=FACE_OUTER_BOUND('',#20698,.T.); #12623=FACE_OUTER_BOUND('',#20699,.T.); #12624=FACE_OUTER_BOUND('',#20700,.T.); #12625=FACE_OUTER_BOUND('',#20701,.T.); #12626=FACE_OUTER_BOUND('',#20702,.T.); #12627=FACE_OUTER_BOUND('',#20703,.T.); #12628=FACE_OUTER_BOUND('',#20704,.T.); #12629=FACE_OUTER_BOUND('',#20705,.T.); #12630=FACE_OUTER_BOUND('',#20706,.T.); #12631=FACE_OUTER_BOUND('',#20707,.T.); #12632=FACE_OUTER_BOUND('',#20708,.T.); #12633=FACE_OUTER_BOUND('',#20709,.T.); #12634=FACE_OUTER_BOUND('',#20710,.T.); #12635=FACE_OUTER_BOUND('',#20711,.T.); #12636=FACE_OUTER_BOUND('',#20712,.T.); #12637=FACE_OUTER_BOUND('',#20713,.T.); #12638=FACE_OUTER_BOUND('',#20714,.T.); #12639=FACE_OUTER_BOUND('',#20715,.T.); #12640=FACE_OUTER_BOUND('',#20716,.T.); #12641=FACE_OUTER_BOUND('',#20717,.T.); #12642=FACE_OUTER_BOUND('',#20718,.T.); #12643=FACE_OUTER_BOUND('',#20719,.T.); #12644=FACE_OUTER_BOUND('',#20720,.T.); #12645=FACE_OUTER_BOUND('',#20721,.T.); #12646=FACE_OUTER_BOUND('',#20722,.T.); #12647=FACE_OUTER_BOUND('',#20723,.T.); #12648=FACE_OUTER_BOUND('',#20724,.T.); #12649=FACE_OUTER_BOUND('',#20725,.T.); #12650=FACE_OUTER_BOUND('',#20726,.T.); #12651=FACE_OUTER_BOUND('',#20727,.T.); #12652=FACE_OUTER_BOUND('',#20728,.T.); #12653=FACE_OUTER_BOUND('',#20729,.T.); #12654=FACE_OUTER_BOUND('',#20730,.T.); #12655=FACE_OUTER_BOUND('',#20731,.T.); #12656=FACE_OUTER_BOUND('',#20732,.T.); #12657=FACE_OUTER_BOUND('',#20733,.T.); #12658=FACE_OUTER_BOUND('',#20734,.T.); #12659=FACE_OUTER_BOUND('',#20735,.T.); #12660=FACE_OUTER_BOUND('',#20736,.T.); #12661=FACE_OUTER_BOUND('',#20737,.T.); #12662=FACE_OUTER_BOUND('',#20738,.T.); #12663=FACE_OUTER_BOUND('',#20739,.T.); #12664=FACE_OUTER_BOUND('',#20740,.T.); #12665=FACE_OUTER_BOUND('',#20741,.T.); #12666=FACE_OUTER_BOUND('',#20742,.T.); #12667=FACE_OUTER_BOUND('',#20743,.T.); #12668=FACE_OUTER_BOUND('',#20744,.T.); #12669=FACE_OUTER_BOUND('',#20745,.T.); #12670=FACE_OUTER_BOUND('',#20746,.T.); #12671=FACE_OUTER_BOUND('',#20747,.T.); #12672=FACE_OUTER_BOUND('',#20748,.T.); #12673=FACE_OUTER_BOUND('',#20749,.T.); #12674=FACE_OUTER_BOUND('',#20750,.T.); #12675=FACE_OUTER_BOUND('',#20752,.T.); #12676=FACE_OUTER_BOUND('',#20753,.T.); #12677=FACE_OUTER_BOUND('',#20754,.T.); #12678=FACE_OUTER_BOUND('',#20755,.T.); #12679=FACE_OUTER_BOUND('',#20756,.T.); #12680=FACE_OUTER_BOUND('',#20757,.T.); #12681=FACE_OUTER_BOUND('',#20758,.T.); #12682=FACE_OUTER_BOUND('',#20759,.T.); #12683=FACE_OUTER_BOUND('',#20760,.T.); #12684=FACE_OUTER_BOUND('',#20761,.T.); #12685=FACE_OUTER_BOUND('',#20762,.T.); #12686=FACE_OUTER_BOUND('',#20763,.T.); #12687=FACE_OUTER_BOUND('',#20764,.T.); #12688=FACE_OUTER_BOUND('',#20765,.T.); #12689=FACE_OUTER_BOUND('',#20766,.T.); #12690=FACE_OUTER_BOUND('',#20767,.T.); #12691=FACE_OUTER_BOUND('',#20768,.T.); #12692=FACE_OUTER_BOUND('',#20769,.T.); #12693=FACE_OUTER_BOUND('',#20770,.T.); #12694=FACE_OUTER_BOUND('',#20771,.T.); #12695=FACE_OUTER_BOUND('',#20772,.T.); #12696=FACE_OUTER_BOUND('',#20773,.T.); #12697=FACE_OUTER_BOUND('',#20819,.T.); #12698=FACE_OUTER_BOUND('',#20820,.T.); #12699=FACE_OUTER_BOUND('',#20821,.T.); #12700=FACE_OUTER_BOUND('',#20822,.T.); #12701=FACE_OUTER_BOUND('',#20824,.T.); #12702=FACE_OUTER_BOUND('',#20825,.T.); #12703=FACE_OUTER_BOUND('',#20826,.T.); #12704=FACE_OUTER_BOUND('',#20827,.T.); #12705=FACE_OUTER_BOUND('',#20828,.T.); #12706=FACE_OUTER_BOUND('',#20829,.T.); #12707=FACE_OUTER_BOUND('',#20831,.T.); #12708=FACE_OUTER_BOUND('',#20832,.T.); #12709=FACE_OUTER_BOUND('',#20833,.T.); #12710=FACE_OUTER_BOUND('',#20834,.T.); #12711=FACE_OUTER_BOUND('',#20835,.T.); #12712=FACE_OUTER_BOUND('',#20836,.T.); #12713=FACE_OUTER_BOUND('',#20837,.T.); #12714=FACE_OUTER_BOUND('',#20839,.T.); #12715=FACE_OUTER_BOUND('',#20840,.T.); #12716=FACE_OUTER_BOUND('',#20841,.T.); #12717=FACE_OUTER_BOUND('',#20842,.T.); #12718=FACE_OUTER_BOUND('',#20843,.T.); #12719=FACE_OUTER_BOUND('',#20844,.T.); #12720=FACE_OUTER_BOUND('',#20845,.T.); #12721=FACE_OUTER_BOUND('',#20846,.T.); #12722=FACE_OUTER_BOUND('',#20847,.T.); #12723=FACE_OUTER_BOUND('',#20848,.T.); #12724=FACE_OUTER_BOUND('',#20849,.T.); #12725=FACE_OUTER_BOUND('',#20850,.T.); #12726=FACE_OUTER_BOUND('',#20851,.T.); #12727=FACE_OUTER_BOUND('',#20853,.T.); #12728=FACE_OUTER_BOUND('',#20854,.T.); #12729=FACE_OUTER_BOUND('',#20855,.T.); #12730=FACE_OUTER_BOUND('',#20856,.T.); #12731=FACE_OUTER_BOUND('',#20857,.T.); #12732=FACE_OUTER_BOUND('',#20858,.T.); #12733=FACE_OUTER_BOUND('',#20859,.T.); #12734=FACE_OUTER_BOUND('',#20860,.T.); #12735=FACE_OUTER_BOUND('',#20861,.T.); #12736=FACE_OUTER_BOUND('',#20862,.T.); #12737=FACE_OUTER_BOUND('',#20863,.T.); #12738=FACE_OUTER_BOUND('',#20864,.T.); #12739=FACE_OUTER_BOUND('',#20865,.T.); #12740=FACE_OUTER_BOUND('',#20866,.T.); #12741=FACE_OUTER_BOUND('',#20867,.T.); #12742=FACE_OUTER_BOUND('',#20868,.T.); #12743=FACE_OUTER_BOUND('',#20869,.T.); #12744=FACE_OUTER_BOUND('',#20870,.T.); #12745=FACE_OUTER_BOUND('',#20871,.T.); #12746=FACE_OUTER_BOUND('',#20872,.T.); #12747=FACE_OUTER_BOUND('',#20873,.T.); #12748=FACE_OUTER_BOUND('',#20874,.T.); #12749=FACE_OUTER_BOUND('',#20875,.T.); #12750=FACE_OUTER_BOUND('',#20876,.T.); #12751=FACE_OUTER_BOUND('',#20877,.T.); #12752=FACE_OUTER_BOUND('',#20878,.T.); #12753=FACE_OUTER_BOUND('',#20879,.T.); #12754=FACE_OUTER_BOUND('',#20880,.T.); #12755=FACE_OUTER_BOUND('',#20881,.T.); #12756=FACE_OUTER_BOUND('',#20882,.T.); #12757=FACE_OUTER_BOUND('',#20883,.T.); #12758=FACE_OUTER_BOUND('',#20884,.T.); #12759=FACE_OUTER_BOUND('',#20885,.T.); #12760=FACE_OUTER_BOUND('',#20886,.T.); #12761=FACE_OUTER_BOUND('',#20887,.T.); #12762=FACE_OUTER_BOUND('',#20888,.T.); #12763=FACE_OUTER_BOUND('',#20889,.T.); #12764=FACE_OUTER_BOUND('',#20890,.T.); #12765=FACE_OUTER_BOUND('',#20891,.T.); #12766=FACE_OUTER_BOUND('',#20892,.T.); #12767=FACE_OUTER_BOUND('',#20893,.T.); #12768=FACE_OUTER_BOUND('',#20894,.T.); #12769=FACE_OUTER_BOUND('',#20895,.T.); #12770=FACE_OUTER_BOUND('',#20896,.T.); #12771=FACE_OUTER_BOUND('',#20897,.T.); #12772=FACE_OUTER_BOUND('',#20898,.T.); #12773=FACE_OUTER_BOUND('',#20899,.T.); #12774=FACE_OUTER_BOUND('',#20900,.T.); #12775=FACE_OUTER_BOUND('',#20901,.T.); #12776=FACE_OUTER_BOUND('',#20902,.T.); #12777=FACE_OUTER_BOUND('',#20903,.T.); #12778=FACE_OUTER_BOUND('',#20904,.T.); #12779=FACE_OUTER_BOUND('',#20905,.T.); #12780=FACE_OUTER_BOUND('',#20906,.T.); #12781=FACE_OUTER_BOUND('',#20907,.T.); #12782=FACE_OUTER_BOUND('',#20908,.T.); #12783=FACE_OUTER_BOUND('',#20909,.T.); #12784=FACE_OUTER_BOUND('',#20910,.T.); #12785=FACE_OUTER_BOUND('',#20911,.T.); #12786=FACE_OUTER_BOUND('',#20912,.T.); #12787=FACE_OUTER_BOUND('',#20913,.T.); #12788=FACE_OUTER_BOUND('',#20914,.T.); #12789=FACE_OUTER_BOUND('',#20915,.T.); #12790=FACE_OUTER_BOUND('',#20916,.T.); #12791=FACE_OUTER_BOUND('',#20917,.T.); #12792=FACE_OUTER_BOUND('',#20918,.T.); #12793=FACE_OUTER_BOUND('',#20919,.T.); #12794=FACE_OUTER_BOUND('',#20920,.T.); #12795=FACE_OUTER_BOUND('',#20921,.T.); #12796=FACE_OUTER_BOUND('',#20922,.T.); #12797=FACE_OUTER_BOUND('',#20923,.T.); #12798=FACE_OUTER_BOUND('',#20924,.T.); #12799=FACE_OUTER_BOUND('',#20925,.T.); #12800=FACE_OUTER_BOUND('',#20926,.T.); #12801=FACE_OUTER_BOUND('',#20927,.T.); #12802=FACE_OUTER_BOUND('',#20928,.T.); #12803=FACE_OUTER_BOUND('',#20929,.T.); #12804=FACE_OUTER_BOUND('',#20930,.T.); #12805=FACE_OUTER_BOUND('',#20931,.T.); #12806=FACE_OUTER_BOUND('',#20932,.T.); #12807=FACE_OUTER_BOUND('',#20933,.T.); #12808=FACE_OUTER_BOUND('',#20934,.T.); #12809=FACE_OUTER_BOUND('',#20935,.T.); #12810=FACE_OUTER_BOUND('',#20936,.T.); #12811=FACE_OUTER_BOUND('',#20937,.T.); #12812=FACE_OUTER_BOUND('',#20938,.T.); #12813=FACE_OUTER_BOUND('',#20939,.T.); #12814=FACE_OUTER_BOUND('',#20940,.T.); #12815=FACE_OUTER_BOUND('',#20941,.T.); #12816=FACE_OUTER_BOUND('',#20942,.T.); #12817=FACE_OUTER_BOUND('',#20943,.T.); #12818=FACE_OUTER_BOUND('',#20944,.T.); #12819=FACE_OUTER_BOUND('',#20945,.T.); #12820=FACE_OUTER_BOUND('',#20946,.T.); #12821=FACE_OUTER_BOUND('',#20947,.T.); #12822=FACE_OUTER_BOUND('',#20948,.T.); #12823=FACE_OUTER_BOUND('',#20949,.T.); #12824=FACE_OUTER_BOUND('',#20950,.T.); #12825=FACE_OUTER_BOUND('',#20951,.T.); #12826=FACE_OUTER_BOUND('',#20952,.T.); #12827=FACE_OUTER_BOUND('',#20953,.T.); #12828=FACE_OUTER_BOUND('',#20954,.T.); #12829=FACE_OUTER_BOUND('',#20955,.T.); #12830=FACE_OUTER_BOUND('',#20956,.T.); #12831=FACE_OUTER_BOUND('',#20957,.T.); #12832=FACE_OUTER_BOUND('',#20958,.T.); #12833=FACE_OUTER_BOUND('',#20959,.T.); #12834=FACE_OUTER_BOUND('',#20960,.T.); #12835=FACE_OUTER_BOUND('',#20961,.T.); #12836=FACE_OUTER_BOUND('',#20962,.T.); #12837=FACE_OUTER_BOUND('',#20963,.T.); #12838=FACE_OUTER_BOUND('',#20964,.T.); #12839=FACE_OUTER_BOUND('',#20965,.T.); #12840=FACE_OUTER_BOUND('',#20966,.T.); #12841=FACE_OUTER_BOUND('',#20967,.T.); #12842=FACE_OUTER_BOUND('',#20968,.T.); #12843=FACE_OUTER_BOUND('',#20969,.T.); #12844=FACE_OUTER_BOUND('',#20970,.T.); #12845=FACE_OUTER_BOUND('',#20971,.T.); #12846=FACE_OUTER_BOUND('',#20972,.T.); #12847=FACE_OUTER_BOUND('',#20973,.T.); #12848=FACE_OUTER_BOUND('',#20974,.T.); #12849=FACE_OUTER_BOUND('',#20975,.T.); #12850=FACE_OUTER_BOUND('',#20976,.T.); #12851=FACE_OUTER_BOUND('',#20977,.T.); #12852=FACE_OUTER_BOUND('',#20978,.T.); #12853=FACE_OUTER_BOUND('',#20979,.T.); #12854=FACE_OUTER_BOUND('',#20980,.T.); #12855=FACE_OUTER_BOUND('',#20981,.T.); #12856=FACE_OUTER_BOUND('',#20982,.T.); #12857=FACE_OUTER_BOUND('',#20983,.T.); #12858=FACE_OUTER_BOUND('',#20984,.T.); #12859=FACE_OUTER_BOUND('',#20985,.T.); #12860=FACE_OUTER_BOUND('',#20986,.T.); #12861=FACE_OUTER_BOUND('',#20987,.T.); #12862=FACE_OUTER_BOUND('',#20988,.T.); #12863=FACE_OUTER_BOUND('',#20989,.T.); #12864=FACE_OUTER_BOUND('',#20990,.T.); #12865=FACE_OUTER_BOUND('',#20991,.T.); #12866=FACE_OUTER_BOUND('',#20992,.T.); #12867=FACE_OUTER_BOUND('',#20993,.T.); #12868=FACE_OUTER_BOUND('',#20994,.T.); #12869=FACE_OUTER_BOUND('',#20995,.T.); #12870=FACE_OUTER_BOUND('',#20996,.T.); #12871=FACE_OUTER_BOUND('',#20997,.T.); #12872=FACE_OUTER_BOUND('',#20998,.T.); #12873=FACE_OUTER_BOUND('',#20999,.T.); #12874=FACE_OUTER_BOUND('',#21000,.T.); #12875=FACE_OUTER_BOUND('',#21001,.T.); #12876=FACE_OUTER_BOUND('',#21002,.T.); #12877=FACE_OUTER_BOUND('',#21003,.T.); #12878=FACE_OUTER_BOUND('',#21004,.T.); #12879=FACE_OUTER_BOUND('',#21005,.T.); #12880=FACE_OUTER_BOUND('',#21006,.T.); #12881=FACE_OUTER_BOUND('',#21007,.T.); #12882=FACE_OUTER_BOUND('',#21008,.T.); #12883=FACE_OUTER_BOUND('',#21009,.T.); #12884=FACE_OUTER_BOUND('',#21010,.T.); #12885=FACE_OUTER_BOUND('',#21011,.T.); #12886=FACE_OUTER_BOUND('',#21012,.T.); #12887=FACE_OUTER_BOUND('',#21013,.T.); #12888=FACE_OUTER_BOUND('',#21014,.T.); #12889=FACE_OUTER_BOUND('',#21015,.T.); #12890=FACE_OUTER_BOUND('',#21016,.T.); #12891=FACE_OUTER_BOUND('',#21017,.T.); #12892=FACE_OUTER_BOUND('',#21018,.T.); #12893=FACE_OUTER_BOUND('',#21019,.T.); #12894=FACE_OUTER_BOUND('',#21020,.T.); #12895=FACE_OUTER_BOUND('',#21021,.T.); #12896=FACE_OUTER_BOUND('',#21022,.T.); #12897=FACE_OUTER_BOUND('',#21023,.T.); #12898=FACE_OUTER_BOUND('',#21024,.T.); #12899=FACE_OUTER_BOUND('',#21025,.T.); #12900=FACE_OUTER_BOUND('',#21026,.T.); #12901=FACE_OUTER_BOUND('',#21027,.T.); #12902=FACE_OUTER_BOUND('',#21028,.T.); #12903=FACE_OUTER_BOUND('',#21029,.T.); #12904=FACE_OUTER_BOUND('',#21030,.T.); #12905=FACE_OUTER_BOUND('',#21031,.T.); #12906=FACE_OUTER_BOUND('',#21032,.T.); #12907=FACE_OUTER_BOUND('',#21033,.T.); #12908=FACE_OUTER_BOUND('',#21034,.T.); #12909=FACE_OUTER_BOUND('',#21035,.T.); #12910=FACE_OUTER_BOUND('',#21036,.T.); #12911=FACE_OUTER_BOUND('',#21037,.T.); #12912=FACE_OUTER_BOUND('',#21038,.T.); #12913=FACE_OUTER_BOUND('',#21039,.T.); #12914=FACE_OUTER_BOUND('',#21040,.T.); #12915=FACE_OUTER_BOUND('',#21041,.T.); #12916=FACE_OUTER_BOUND('',#21042,.T.); #12917=FACE_OUTER_BOUND('',#21043,.T.); #12918=FACE_OUTER_BOUND('',#21044,.T.); #12919=FACE_OUTER_BOUND('',#21045,.T.); #12920=FACE_OUTER_BOUND('',#21046,.T.); #12921=FACE_OUTER_BOUND('',#21047,.T.); #12922=FACE_OUTER_BOUND('',#21048,.T.); #12923=FACE_OUTER_BOUND('',#21049,.T.); #12924=FACE_OUTER_BOUND('',#21050,.T.); #12925=FACE_OUTER_BOUND('',#21051,.T.); #12926=FACE_OUTER_BOUND('',#21052,.T.); #12927=FACE_OUTER_BOUND('',#21053,.T.); #12928=FACE_OUTER_BOUND('',#21054,.T.); #12929=FACE_OUTER_BOUND('',#21055,.T.); #12930=FACE_OUTER_BOUND('',#21056,.T.); #12931=FACE_OUTER_BOUND('',#21057,.T.); #12932=FACE_OUTER_BOUND('',#21058,.T.); #12933=FACE_OUTER_BOUND('',#21059,.T.); #12934=FACE_OUTER_BOUND('',#21060,.T.); #12935=FACE_OUTER_BOUND('',#21061,.T.); #12936=FACE_OUTER_BOUND('',#21062,.T.); #12937=FACE_OUTER_BOUND('',#21063,.T.); #12938=FACE_OUTER_BOUND('',#21064,.T.); #12939=FACE_OUTER_BOUND('',#21065,.T.); #12940=FACE_OUTER_BOUND('',#21066,.T.); #12941=FACE_OUTER_BOUND('',#21067,.T.); #12942=FACE_OUTER_BOUND('',#21068,.T.); #12943=FACE_OUTER_BOUND('',#21069,.T.); #12944=FACE_OUTER_BOUND('',#21070,.T.); #12945=FACE_OUTER_BOUND('',#21071,.T.); #12946=FACE_OUTER_BOUND('',#21072,.T.); #12947=FACE_OUTER_BOUND('',#21073,.T.); #12948=FACE_OUTER_BOUND('',#21074,.T.); #12949=FACE_OUTER_BOUND('',#21075,.T.); #12950=FACE_OUTER_BOUND('',#21076,.T.); #12951=FACE_OUTER_BOUND('',#21077,.T.); #12952=FACE_OUTER_BOUND('',#21078,.T.); #12953=FACE_OUTER_BOUND('',#21079,.T.); #12954=FACE_OUTER_BOUND('',#21080,.T.); #12955=FACE_OUTER_BOUND('',#21081,.T.); #12956=FACE_OUTER_BOUND('',#21082,.T.); #12957=FACE_OUTER_BOUND('',#21083,.T.); #12958=FACE_OUTER_BOUND('',#21084,.T.); #12959=FACE_OUTER_BOUND('',#21085,.T.); #12960=FACE_OUTER_BOUND('',#21086,.T.); #12961=FACE_OUTER_BOUND('',#21087,.T.); #12962=FACE_OUTER_BOUND('',#21088,.T.); #12963=FACE_OUTER_BOUND('',#21089,.T.); #12964=FACE_OUTER_BOUND('',#21090,.T.); #12965=FACE_OUTER_BOUND('',#21091,.T.); #12966=FACE_OUTER_BOUND('',#21092,.T.); #12967=FACE_OUTER_BOUND('',#21093,.T.); #12968=FACE_OUTER_BOUND('',#21094,.T.); #12969=FACE_OUTER_BOUND('',#21095,.T.); #12970=FACE_OUTER_BOUND('',#21096,.T.); #12971=FACE_OUTER_BOUND('',#21097,.T.); #12972=FACE_OUTER_BOUND('',#21098,.T.); #12973=FACE_OUTER_BOUND('',#21099,.T.); #12974=FACE_OUTER_BOUND('',#21100,.T.); #12975=FACE_OUTER_BOUND('',#21101,.T.); #12976=FACE_OUTER_BOUND('',#21102,.T.); #12977=FACE_OUTER_BOUND('',#21103,.T.); #12978=FACE_OUTER_BOUND('',#21104,.T.); #12979=FACE_OUTER_BOUND('',#21105,.T.); #12980=FACE_OUTER_BOUND('',#21106,.T.); #12981=FACE_OUTER_BOUND('',#21107,.T.); #12982=FACE_OUTER_BOUND('',#21108,.T.); #12983=FACE_OUTER_BOUND('',#21109,.T.); #12984=FACE_OUTER_BOUND('',#21110,.T.); #12985=FACE_OUTER_BOUND('',#21111,.T.); #12986=FACE_OUTER_BOUND('',#21112,.T.); #12987=FACE_OUTER_BOUND('',#21113,.T.); #12988=FACE_OUTER_BOUND('',#21114,.T.); #12989=FACE_OUTER_BOUND('',#21115,.T.); #12990=FACE_OUTER_BOUND('',#21116,.T.); #12991=FACE_OUTER_BOUND('',#21117,.T.); #12992=FACE_OUTER_BOUND('',#21118,.T.); #12993=FACE_OUTER_BOUND('',#21119,.T.); #12994=FACE_OUTER_BOUND('',#21120,.T.); #12995=FACE_OUTER_BOUND('',#21121,.T.); #12996=FACE_OUTER_BOUND('',#21122,.T.); #12997=FACE_OUTER_BOUND('',#21123,.T.); #12998=FACE_OUTER_BOUND('',#21124,.T.); #12999=FACE_OUTER_BOUND('',#21125,.T.); #13000=FACE_OUTER_BOUND('',#21126,.T.); #13001=FACE_OUTER_BOUND('',#21127,.T.); #13002=FACE_OUTER_BOUND('',#21128,.T.); #13003=FACE_OUTER_BOUND('',#21129,.T.); #13004=FACE_OUTER_BOUND('',#21130,.T.); #13005=FACE_OUTER_BOUND('',#21131,.T.); #13006=FACE_OUTER_BOUND('',#21132,.T.); #13007=FACE_OUTER_BOUND('',#21133,.T.); #13008=FACE_OUTER_BOUND('',#21134,.T.); #13009=FACE_OUTER_BOUND('',#21135,.T.); #13010=FACE_OUTER_BOUND('',#21136,.T.); #13011=FACE_OUTER_BOUND('',#21137,.T.); #13012=FACE_OUTER_BOUND('',#21138,.T.); #13013=FACE_OUTER_BOUND('',#21139,.T.); #13014=FACE_OUTER_BOUND('',#21140,.T.); #13015=FACE_OUTER_BOUND('',#21141,.T.); #13016=FACE_OUTER_BOUND('',#21142,.T.); #13017=FACE_OUTER_BOUND('',#21143,.T.); #13018=FACE_OUTER_BOUND('',#21144,.T.); #13019=FACE_OUTER_BOUND('',#21145,.T.); #13020=FACE_OUTER_BOUND('',#21146,.T.); #13021=FACE_OUTER_BOUND('',#21147,.T.); #13022=FACE_OUTER_BOUND('',#21148,.T.); #13023=FACE_OUTER_BOUND('',#21149,.T.); #13024=FACE_OUTER_BOUND('',#21150,.T.); #13025=FACE_OUTER_BOUND('',#21151,.T.); #13026=FACE_OUTER_BOUND('',#21152,.T.); #13027=FACE_OUTER_BOUND('',#21153,.T.); #13028=FACE_OUTER_BOUND('',#21154,.T.); #13029=FACE_OUTER_BOUND('',#21155,.T.); #13030=FACE_OUTER_BOUND('',#21156,.T.); #13031=FACE_OUTER_BOUND('',#21157,.T.); #13032=FACE_OUTER_BOUND('',#21158,.T.); #13033=FACE_OUTER_BOUND('',#21159,.T.); #13034=FACE_OUTER_BOUND('',#21160,.T.); #13035=FACE_OUTER_BOUND('',#21161,.T.); #13036=FACE_OUTER_BOUND('',#21162,.T.); #13037=FACE_OUTER_BOUND('',#21163,.T.); #13038=FACE_OUTER_BOUND('',#21164,.T.); #13039=FACE_OUTER_BOUND('',#21165,.T.); #13040=FACE_OUTER_BOUND('',#21166,.T.); #13041=FACE_OUTER_BOUND('',#21167,.T.); #13042=FACE_OUTER_BOUND('',#21168,.T.); #13043=FACE_OUTER_BOUND('',#21169,.T.); #13044=FACE_OUTER_BOUND('',#21170,.T.); #13045=FACE_OUTER_BOUND('',#21171,.T.); #13046=FACE_OUTER_BOUND('',#21172,.T.); #13047=FACE_OUTER_BOUND('',#21173,.T.); #13048=FACE_OUTER_BOUND('',#21174,.T.); #13049=FACE_OUTER_BOUND('',#21175,.T.); #13050=FACE_OUTER_BOUND('',#21176,.T.); #13051=FACE_OUTER_BOUND('',#21177,.T.); #13052=FACE_OUTER_BOUND('',#21178,.T.); #13053=FACE_OUTER_BOUND('',#21180,.T.); #13054=FACE_OUTER_BOUND('',#21181,.T.); #13055=FACE_OUTER_BOUND('',#21182,.T.); #13056=FACE_OUTER_BOUND('',#21183,.T.); #13057=FACE_OUTER_BOUND('',#21184,.T.); #13058=FACE_OUTER_BOUND('',#21185,.T.); #13059=FACE_OUTER_BOUND('',#21186,.T.); #13060=FACE_OUTER_BOUND('',#21187,.T.); #13061=FACE_OUTER_BOUND('',#21188,.T.); #13062=FACE_OUTER_BOUND('',#21189,.T.); #13063=FACE_OUTER_BOUND('',#21190,.T.); #13064=FACE_OUTER_BOUND('',#21191,.T.); #13065=FACE_OUTER_BOUND('',#21192,.T.); #13066=FACE_OUTER_BOUND('',#21193,.T.); #13067=FACE_OUTER_BOUND('',#21194,.T.); #13068=FACE_OUTER_BOUND('',#21195,.T.); #13069=FACE_OUTER_BOUND('',#21196,.T.); #13070=FACE_OUTER_BOUND('',#21197,.T.); #13071=FACE_OUTER_BOUND('',#21198,.T.); #13072=FACE_OUTER_BOUND('',#21199,.T.); #13073=FACE_OUTER_BOUND('',#21200,.T.); #13074=FACE_OUTER_BOUND('',#21201,.T.); #13075=FACE_OUTER_BOUND('',#21202,.T.); #13076=FACE_OUTER_BOUND('',#21203,.T.); #13077=FACE_OUTER_BOUND('',#21204,.T.); #13078=FACE_OUTER_BOUND('',#21205,.T.); #13079=FACE_OUTER_BOUND('',#21206,.T.); #13080=FACE_OUTER_BOUND('',#21207,.T.); #13081=FACE_OUTER_BOUND('',#21208,.T.); #13082=FACE_OUTER_BOUND('',#21209,.T.); #13083=FACE_OUTER_BOUND('',#21210,.T.); #13084=FACE_OUTER_BOUND('',#21211,.T.); #13085=FACE_OUTER_BOUND('',#21213,.T.); #13086=FACE_OUTER_BOUND('',#21247,.T.); #13087=FACE_OUTER_BOUND('',#21248,.T.); #13088=FACE_OUTER_BOUND('',#21249,.T.); #13089=FACE_OUTER_BOUND('',#21250,.T.); #13090=FACE_OUTER_BOUND('',#21251,.T.); #13091=FACE_OUTER_BOUND('',#21252,.T.); #13092=FACE_OUTER_BOUND('',#21253,.T.); #13093=FACE_OUTER_BOUND('',#21254,.T.); #13094=FACE_OUTER_BOUND('',#21255,.T.); #13095=FACE_OUTER_BOUND('',#21256,.T.); #13096=FACE_OUTER_BOUND('',#21257,.T.); #13097=FACE_OUTER_BOUND('',#21258,.T.); #13098=FACE_OUTER_BOUND('',#21259,.T.); #13099=FACE_OUTER_BOUND('',#21260,.T.); #13100=FACE_OUTER_BOUND('',#21261,.T.); #13101=FACE_OUTER_BOUND('',#21262,.T.); #13102=FACE_OUTER_BOUND('',#21263,.T.); #13103=FACE_OUTER_BOUND('',#21264,.T.); #13104=FACE_OUTER_BOUND('',#21265,.T.); #13105=FACE_OUTER_BOUND('',#21266,.T.); #13106=FACE_OUTER_BOUND('',#21267,.T.); #13107=FACE_OUTER_BOUND('',#21268,.T.); #13108=FACE_OUTER_BOUND('',#21269,.T.); #13109=FACE_OUTER_BOUND('',#21270,.T.); #13110=FACE_OUTER_BOUND('',#21271,.T.); #13111=FACE_OUTER_BOUND('',#21272,.T.); #13112=FACE_OUTER_BOUND('',#21273,.T.); #13113=FACE_OUTER_BOUND('',#21274,.T.); #13114=FACE_OUTER_BOUND('',#21275,.T.); #13115=FACE_OUTER_BOUND('',#21276,.T.); #13116=FACE_OUTER_BOUND('',#21277,.T.); #13117=FACE_OUTER_BOUND('',#21278,.T.); #13118=FACE_OUTER_BOUND('',#21279,.T.); #13119=FACE_OUTER_BOUND('',#21280,.T.); #13120=FACE_OUTER_BOUND('',#21281,.T.); #13121=FACE_OUTER_BOUND('',#21282,.T.); #13122=FACE_OUTER_BOUND('',#21283,.T.); #13123=FACE_OUTER_BOUND('',#21284,.T.); #13124=FACE_OUTER_BOUND('',#21285,.T.); #13125=FACE_OUTER_BOUND('',#21286,.T.); #13126=FACE_OUTER_BOUND('',#21287,.T.); #13127=FACE_OUTER_BOUND('',#21288,.T.); #13128=FACE_OUTER_BOUND('',#21289,.T.); #13129=FACE_OUTER_BOUND('',#21290,.T.); #13130=FACE_OUTER_BOUND('',#21291,.T.); #13131=FACE_OUTER_BOUND('',#21292,.T.); #13132=FACE_OUTER_BOUND('',#21293,.T.); #13133=FACE_OUTER_BOUND('',#21294,.T.); #13134=FACE_OUTER_BOUND('',#21295,.T.); #13135=FACE_OUTER_BOUND('',#21296,.T.); #13136=FACE_OUTER_BOUND('',#21297,.T.); #13137=FACE_OUTER_BOUND('',#21298,.T.); #13138=FACE_OUTER_BOUND('',#21299,.T.); #13139=FACE_OUTER_BOUND('',#21300,.T.); #13140=FACE_OUTER_BOUND('',#21301,.T.); #13141=FACE_OUTER_BOUND('',#21302,.T.); #13142=FACE_OUTER_BOUND('',#21303,.T.); #13143=FACE_OUTER_BOUND('',#21304,.T.); #13144=FACE_OUTER_BOUND('',#21305,.T.); #13145=FACE_OUTER_BOUND('',#21306,.T.); #13146=FACE_OUTER_BOUND('',#21307,.T.); #13147=FACE_OUTER_BOUND('',#21309,.T.); #13148=FACE_OUTER_BOUND('',#21310,.T.); #13149=FACE_OUTER_BOUND('',#21311,.T.); #13150=FACE_OUTER_BOUND('',#21312,.T.); #13151=FACE_OUTER_BOUND('',#21313,.T.); #13152=FACE_OUTER_BOUND('',#21314,.T.); #13153=FACE_OUTER_BOUND('',#21315,.T.); #13154=FACE_OUTER_BOUND('',#21316,.T.); #13155=FACE_OUTER_BOUND('',#21317,.T.); #13156=FACE_OUTER_BOUND('',#21318,.T.); #13157=FACE_OUTER_BOUND('',#21319,.T.); #13158=FACE_OUTER_BOUND('',#21320,.T.); #13159=FACE_OUTER_BOUND('',#21321,.T.); #13160=FACE_OUTER_BOUND('',#21322,.T.); #13161=FACE_OUTER_BOUND('',#21323,.T.); #13162=FACE_OUTER_BOUND('',#21324,.T.); #13163=FACE_OUTER_BOUND('',#21325,.T.); #13164=FACE_OUTER_BOUND('',#21326,.T.); #13165=FACE_OUTER_BOUND('',#21327,.T.); #13166=FACE_OUTER_BOUND('',#21328,.T.); #13167=FACE_OUTER_BOUND('',#21329,.T.); #13168=FACE_OUTER_BOUND('',#21330,.T.); #13169=FACE_OUTER_BOUND('',#21331,.T.); #13170=FACE_OUTER_BOUND('',#21332,.T.); #13171=FACE_OUTER_BOUND('',#21333,.T.); #13172=FACE_OUTER_BOUND('',#21334,.T.); #13173=FACE_OUTER_BOUND('',#21335,.T.); #13174=FACE_OUTER_BOUND('',#21336,.T.); #13175=FACE_OUTER_BOUND('',#21337,.T.); #13176=FACE_OUTER_BOUND('',#21338,.T.); #13177=FACE_OUTER_BOUND('',#21339,.T.); #13178=FACE_OUTER_BOUND('',#21340,.T.); #13179=FACE_OUTER_BOUND('',#21341,.T.); #13180=FACE_OUTER_BOUND('',#21342,.T.); #13181=FACE_OUTER_BOUND('',#21343,.T.); #13182=FACE_OUTER_BOUND('',#21344,.T.); #13183=FACE_OUTER_BOUND('',#21345,.T.); #13184=FACE_OUTER_BOUND('',#21346,.T.); #13185=FACE_OUTER_BOUND('',#21347,.T.); #13186=FACE_OUTER_BOUND('',#21348,.T.); #13187=FACE_OUTER_BOUND('',#21349,.T.); #13188=FACE_OUTER_BOUND('',#21350,.T.); #13189=FACE_OUTER_BOUND('',#21351,.T.); #13190=FACE_OUTER_BOUND('',#21352,.T.); #13191=FACE_OUTER_BOUND('',#21353,.T.); #13192=FACE_OUTER_BOUND('',#21354,.T.); #13193=FACE_OUTER_BOUND('',#21355,.T.); #13194=FACE_OUTER_BOUND('',#21356,.T.); #13195=FACE_OUTER_BOUND('',#21357,.T.); #13196=FACE_OUTER_BOUND('',#21358,.T.); #13197=FACE_OUTER_BOUND('',#21359,.T.); #13198=FACE_OUTER_BOUND('',#21360,.T.); #13199=FACE_OUTER_BOUND('',#21361,.T.); #13200=FACE_OUTER_BOUND('',#21362,.T.); #13201=FACE_OUTER_BOUND('',#21363,.T.); #13202=FACE_OUTER_BOUND('',#21364,.T.); #13203=FACE_OUTER_BOUND('',#21365,.T.); #13204=FACE_OUTER_BOUND('',#21366,.T.); #13205=FACE_OUTER_BOUND('',#21367,.T.); #13206=FACE_OUTER_BOUND('',#21368,.T.); #13207=FACE_OUTER_BOUND('',#21369,.T.); #13208=FACE_OUTER_BOUND('',#21370,.T.); #13209=FACE_OUTER_BOUND('',#21371,.T.); #13210=FACE_OUTER_BOUND('',#21372,.T.); #13211=FACE_OUTER_BOUND('',#21373,.T.); #13212=FACE_OUTER_BOUND('',#21374,.T.); #13213=FACE_OUTER_BOUND('',#21375,.T.); #13214=FACE_OUTER_BOUND('',#21376,.T.); #13215=FACE_OUTER_BOUND('',#21377,.T.); #13216=FACE_OUTER_BOUND('',#21378,.T.); #13217=FACE_OUTER_BOUND('',#21379,.T.); #13218=FACE_OUTER_BOUND('',#21380,.T.); #13219=FACE_OUTER_BOUND('',#21381,.T.); #13220=FACE_OUTER_BOUND('',#21382,.T.); #13221=FACE_OUTER_BOUND('',#21383,.T.); #13222=FACE_OUTER_BOUND('',#21384,.T.); #13223=FACE_OUTER_BOUND('',#21385,.T.); #13224=FACE_OUTER_BOUND('',#21386,.T.); #13225=FACE_OUTER_BOUND('',#21387,.T.); #13226=FACE_OUTER_BOUND('',#21388,.T.); #13227=FACE_OUTER_BOUND('',#21389,.T.); #13228=FACE_OUTER_BOUND('',#21390,.T.); #13229=FACE_OUTER_BOUND('',#21391,.T.); #13230=FACE_OUTER_BOUND('',#21392,.T.); #13231=FACE_OUTER_BOUND('',#21393,.T.); #13232=FACE_OUTER_BOUND('',#21394,.T.); #13233=FACE_OUTER_BOUND('',#21395,.T.); #13234=FACE_OUTER_BOUND('',#21396,.T.); #13235=FACE_OUTER_BOUND('',#21397,.T.); #13236=FACE_OUTER_BOUND('',#21398,.T.); #13237=FACE_OUTER_BOUND('',#21399,.T.); #13238=FACE_OUTER_BOUND('',#21400,.T.); #13239=FACE_OUTER_BOUND('',#21401,.T.); #13240=FACE_OUTER_BOUND('',#21402,.T.); #13241=FACE_OUTER_BOUND('',#21403,.T.); #13242=FACE_OUTER_BOUND('',#21404,.T.); #13243=FACE_OUTER_BOUND('',#21405,.T.); #13244=FACE_OUTER_BOUND('',#21406,.T.); #13245=FACE_OUTER_BOUND('',#21407,.T.); #13246=FACE_OUTER_BOUND('',#21408,.T.); #13247=FACE_OUTER_BOUND('',#21409,.T.); #13248=FACE_OUTER_BOUND('',#21410,.T.); #13249=FACE_OUTER_BOUND('',#21411,.T.); #13250=FACE_OUTER_BOUND('',#21412,.T.); #13251=FACE_OUTER_BOUND('',#21413,.T.); #13252=FACE_OUTER_BOUND('',#21414,.T.); #13253=FACE_OUTER_BOUND('',#21415,.T.); #13254=FACE_OUTER_BOUND('',#21416,.T.); #13255=FACE_OUTER_BOUND('',#21417,.T.); #13256=FACE_OUTER_BOUND('',#21418,.T.); #13257=FACE_OUTER_BOUND('',#21419,.T.); #13258=FACE_OUTER_BOUND('',#21420,.T.); #13259=FACE_OUTER_BOUND('',#21421,.T.); #13260=FACE_OUTER_BOUND('',#21422,.T.); #13261=FACE_OUTER_BOUND('',#21423,.T.); #13262=FACE_OUTER_BOUND('',#21424,.T.); #13263=FACE_OUTER_BOUND('',#21425,.T.); #13264=FACE_OUTER_BOUND('',#21426,.T.); #13265=FACE_OUTER_BOUND('',#21427,.T.); #13266=FACE_OUTER_BOUND('',#21428,.T.); #13267=FACE_OUTER_BOUND('',#21429,.T.); #13268=FACE_OUTER_BOUND('',#21430,.T.); #13269=FACE_OUTER_BOUND('',#21431,.T.); #13270=FACE_OUTER_BOUND('',#21432,.T.); #13271=FACE_OUTER_BOUND('',#21433,.T.); #13272=FACE_OUTER_BOUND('',#21434,.T.); #13273=FACE_OUTER_BOUND('',#21435,.T.); #13274=FACE_OUTER_BOUND('',#21436,.T.); #13275=FACE_OUTER_BOUND('',#21437,.T.); #13276=FACE_OUTER_BOUND('',#21438,.T.); #13277=FACE_OUTER_BOUND('',#21440,.T.); #13278=FACE_OUTER_BOUND('',#21441,.T.); #13279=FACE_OUTER_BOUND('',#21442,.T.); #13280=FACE_OUTER_BOUND('',#21443,.T.); #13281=FACE_OUTER_BOUND('',#21444,.T.); #13282=FACE_OUTER_BOUND('',#21445,.T.); #13283=FACE_OUTER_BOUND('',#21446,.T.); #13284=FACE_OUTER_BOUND('',#21447,.T.); #13285=FACE_OUTER_BOUND('',#21448,.T.); #13286=FACE_OUTER_BOUND('',#21449,.T.); #13287=FACE_OUTER_BOUND('',#21450,.T.); #13288=FACE_OUTER_BOUND('',#21451,.T.); #13289=FACE_OUTER_BOUND('',#21452,.T.); #13290=FACE_OUTER_BOUND('',#21453,.T.); #13291=FACE_OUTER_BOUND('',#21454,.T.); #13292=FACE_OUTER_BOUND('',#21455,.T.); #13293=FACE_OUTER_BOUND('',#21456,.T.); #13294=FACE_OUTER_BOUND('',#21457,.T.); #13295=FACE_OUTER_BOUND('',#21458,.T.); #13296=FACE_OUTER_BOUND('',#21459,.T.); #13297=FACE_OUTER_BOUND('',#21460,.T.); #13298=FACE_OUTER_BOUND('',#21461,.T.); #13299=FACE_OUTER_BOUND('',#21462,.T.); #13300=FACE_OUTER_BOUND('',#21463,.T.); #13301=FACE_OUTER_BOUND('',#21464,.T.); #13302=FACE_OUTER_BOUND('',#21465,.T.); #13303=FACE_OUTER_BOUND('',#21466,.T.); #13304=FACE_OUTER_BOUND('',#21467,.T.); #13305=FACE_OUTER_BOUND('',#21468,.T.); #13306=FACE_OUTER_BOUND('',#21469,.T.); #13307=FACE_OUTER_BOUND('',#21470,.T.); #13308=FACE_OUTER_BOUND('',#21471,.T.); #13309=FACE_OUTER_BOUND('',#21472,.T.); #13310=FACE_OUTER_BOUND('',#21473,.T.); #13311=FACE_OUTER_BOUND('',#21474,.T.); #13312=FACE_OUTER_BOUND('',#21475,.T.); #13313=FACE_OUTER_BOUND('',#21476,.T.); #13314=FACE_OUTER_BOUND('',#21477,.T.); #13315=FACE_OUTER_BOUND('',#21478,.T.); #13316=FACE_OUTER_BOUND('',#21479,.T.); #13317=FACE_OUTER_BOUND('',#21480,.T.); #13318=FACE_OUTER_BOUND('',#21481,.T.); #13319=FACE_OUTER_BOUND('',#21482,.T.); #13320=FACE_OUTER_BOUND('',#21483,.T.); #13321=FACE_OUTER_BOUND('',#21484,.T.); #13322=FACE_OUTER_BOUND('',#21485,.T.); #13323=FACE_OUTER_BOUND('',#21486,.T.); #13324=FACE_OUTER_BOUND('',#21487,.T.); #13325=FACE_OUTER_BOUND('',#21488,.T.); #13326=FACE_OUTER_BOUND('',#21489,.T.); #13327=FACE_OUTER_BOUND('',#21490,.T.); #13328=FACE_OUTER_BOUND('',#21491,.T.); #13329=FACE_OUTER_BOUND('',#21492,.T.); #13330=FACE_OUTER_BOUND('',#21493,.T.); #13331=FACE_OUTER_BOUND('',#21494,.T.); #13332=FACE_OUTER_BOUND('',#21495,.T.); #13333=FACE_OUTER_BOUND('',#21496,.T.); #13334=FACE_OUTER_BOUND('',#21497,.T.); #13335=FACE_OUTER_BOUND('',#21498,.T.); #13336=FACE_OUTER_BOUND('',#21499,.T.); #13337=FACE_OUTER_BOUND('',#21500,.T.); #13338=FACE_OUTER_BOUND('',#21501,.T.); #13339=FACE_OUTER_BOUND('',#21502,.T.); #13340=FACE_OUTER_BOUND('',#21503,.T.); #13341=FACE_OUTER_BOUND('',#21504,.T.); #13342=FACE_OUTER_BOUND('',#21505,.T.); #13343=FACE_OUTER_BOUND('',#21506,.T.); #13344=FACE_OUTER_BOUND('',#21507,.T.); #13345=FACE_OUTER_BOUND('',#21508,.T.); #13346=FACE_OUTER_BOUND('',#21509,.T.); #13347=FACE_OUTER_BOUND('',#21510,.T.); #13348=FACE_OUTER_BOUND('',#21511,.T.); #13349=FACE_OUTER_BOUND('',#21512,.T.); #13350=FACE_OUTER_BOUND('',#21513,.T.); #13351=FACE_OUTER_BOUND('',#21514,.T.); #13352=FACE_OUTER_BOUND('',#21515,.T.); #13353=FACE_OUTER_BOUND('',#21516,.T.); #13354=FACE_OUTER_BOUND('',#21517,.T.); #13355=FACE_OUTER_BOUND('',#21518,.T.); #13356=FACE_OUTER_BOUND('',#21519,.T.); #13357=FACE_OUTER_BOUND('',#21520,.T.); #13358=FACE_OUTER_BOUND('',#21521,.T.); #13359=FACE_OUTER_BOUND('',#21522,.T.); #13360=FACE_OUTER_BOUND('',#21523,.T.); #13361=FACE_OUTER_BOUND('',#21524,.T.); #13362=FACE_OUTER_BOUND('',#21525,.T.); #13363=FACE_OUTER_BOUND('',#21526,.T.); #13364=FACE_OUTER_BOUND('',#21527,.T.); #13365=FACE_OUTER_BOUND('',#21528,.T.); #13366=FACE_OUTER_BOUND('',#21529,.T.); #13367=FACE_OUTER_BOUND('',#21530,.T.); #13368=FACE_OUTER_BOUND('',#21531,.T.); #13369=FACE_OUTER_BOUND('',#21532,.T.); #13370=FACE_OUTER_BOUND('',#21533,.T.); #13371=FACE_OUTER_BOUND('',#21534,.T.); #13372=FACE_OUTER_BOUND('',#21535,.T.); #13373=FACE_OUTER_BOUND('',#21536,.T.); #13374=FACE_OUTER_BOUND('',#21537,.T.); #13375=FACE_OUTER_BOUND('',#21538,.T.); #13376=FACE_OUTER_BOUND('',#21539,.T.); #13377=FACE_OUTER_BOUND('',#21540,.T.); #13378=FACE_OUTER_BOUND('',#21541,.T.); #13379=FACE_OUTER_BOUND('',#21542,.T.); #13380=FACE_OUTER_BOUND('',#21543,.T.); #13381=FACE_OUTER_BOUND('',#21544,.T.); #13382=FACE_OUTER_BOUND('',#21545,.T.); #13383=FACE_OUTER_BOUND('',#21546,.T.); #13384=FACE_OUTER_BOUND('',#21547,.T.); #13385=FACE_OUTER_BOUND('',#21548,.T.); #13386=FACE_OUTER_BOUND('',#21549,.T.); #13387=FACE_OUTER_BOUND('',#21550,.T.); #13388=FACE_OUTER_BOUND('',#21551,.T.); #13389=FACE_OUTER_BOUND('',#21552,.T.); #13390=FACE_OUTER_BOUND('',#21553,.T.); #13391=FACE_OUTER_BOUND('',#21554,.T.); #13392=FACE_OUTER_BOUND('',#21555,.T.); #13393=FACE_OUTER_BOUND('',#21556,.T.); #13394=FACE_OUTER_BOUND('',#21557,.T.); #13395=FACE_OUTER_BOUND('',#21558,.T.); #13396=FACE_OUTER_BOUND('',#21559,.T.); #13397=FACE_OUTER_BOUND('',#21560,.T.); #13398=FACE_OUTER_BOUND('',#21561,.T.); #13399=FACE_OUTER_BOUND('',#21562,.T.); #13400=FACE_OUTER_BOUND('',#21563,.T.); #13401=FACE_OUTER_BOUND('',#21564,.T.); #13402=FACE_OUTER_BOUND('',#21565,.T.); #13403=FACE_OUTER_BOUND('',#21566,.T.); #13404=FACE_OUTER_BOUND('',#21567,.T.); #13405=FACE_OUTER_BOUND('',#21568,.T.); #13406=FACE_OUTER_BOUND('',#21569,.T.); #13407=FACE_OUTER_BOUND('',#21570,.T.); #13408=FACE_OUTER_BOUND('',#21571,.T.); #13409=FACE_OUTER_BOUND('',#21572,.T.); #13410=FACE_OUTER_BOUND('',#21573,.T.); #13411=FACE_OUTER_BOUND('',#21574,.T.); #13412=FACE_OUTER_BOUND('',#21575,.T.); #13413=FACE_OUTER_BOUND('',#21576,.T.); #13414=FACE_OUTER_BOUND('',#21577,.T.); #13415=FACE_OUTER_BOUND('',#21578,.T.); #13416=FACE_OUTER_BOUND('',#21579,.T.); #13417=FACE_OUTER_BOUND('',#21580,.T.); #13418=FACE_OUTER_BOUND('',#21581,.T.); #13419=FACE_OUTER_BOUND('',#21582,.T.); #13420=FACE_OUTER_BOUND('',#21583,.T.); #13421=FACE_OUTER_BOUND('',#21584,.T.); #13422=FACE_OUTER_BOUND('',#21585,.T.); #13423=FACE_OUTER_BOUND('',#21586,.T.); #13424=FACE_OUTER_BOUND('',#21587,.T.); #13425=FACE_OUTER_BOUND('',#21588,.T.); #13426=FACE_OUTER_BOUND('',#21589,.T.); #13427=FACE_OUTER_BOUND('',#21590,.T.); #13428=FACE_OUTER_BOUND('',#21591,.T.); #13429=FACE_OUTER_BOUND('',#21592,.T.); #13430=FACE_OUTER_BOUND('',#21593,.T.); #13431=FACE_OUTER_BOUND('',#21594,.T.); #13432=FACE_OUTER_BOUND('',#21595,.T.); #13433=FACE_OUTER_BOUND('',#21596,.T.); #13434=FACE_OUTER_BOUND('',#21597,.T.); #13435=FACE_OUTER_BOUND('',#21598,.T.); #13436=FACE_OUTER_BOUND('',#21599,.T.); #13437=FACE_OUTER_BOUND('',#21600,.T.); #13438=FACE_OUTER_BOUND('',#21601,.T.); #13439=FACE_OUTER_BOUND('',#21602,.T.); #13440=FACE_OUTER_BOUND('',#21603,.T.); #13441=FACE_OUTER_BOUND('',#21604,.T.); #13442=FACE_OUTER_BOUND('',#21605,.T.); #13443=FACE_OUTER_BOUND('',#21606,.T.); #13444=FACE_OUTER_BOUND('',#21607,.T.); #13445=FACE_OUTER_BOUND('',#21608,.T.); #13446=FACE_OUTER_BOUND('',#21609,.T.); #13447=FACE_OUTER_BOUND('',#21610,.T.); #13448=FACE_OUTER_BOUND('',#21611,.T.); #13449=FACE_OUTER_BOUND('',#21612,.T.); #13450=FACE_OUTER_BOUND('',#21613,.T.); #13451=FACE_OUTER_BOUND('',#21614,.T.); #13452=FACE_OUTER_BOUND('',#21615,.T.); #13453=FACE_OUTER_BOUND('',#21616,.T.); #13454=FACE_OUTER_BOUND('',#21617,.T.); #13455=FACE_OUTER_BOUND('',#21618,.T.); #13456=FACE_OUTER_BOUND('',#21619,.T.); #13457=FACE_OUTER_BOUND('',#21620,.T.); #13458=FACE_OUTER_BOUND('',#21621,.T.); #13459=FACE_OUTER_BOUND('',#21622,.T.); #13460=FACE_OUTER_BOUND('',#21623,.T.); #13461=FACE_OUTER_BOUND('',#21624,.T.); #13462=FACE_OUTER_BOUND('',#21625,.T.); #13463=FACE_OUTER_BOUND('',#21626,.T.); #13464=FACE_OUTER_BOUND('',#21627,.T.); #13465=FACE_OUTER_BOUND('',#21628,.T.); #13466=FACE_OUTER_BOUND('',#21629,.T.); #13467=FACE_OUTER_BOUND('',#21630,.T.); #13468=FACE_OUTER_BOUND('',#21631,.T.); #13469=FACE_OUTER_BOUND('',#21632,.T.); #13470=FACE_OUTER_BOUND('',#21633,.T.); #13471=FACE_OUTER_BOUND('',#21634,.T.); #13472=FACE_OUTER_BOUND('',#21635,.T.); #13473=FACE_OUTER_BOUND('',#21636,.T.); #13474=FACE_OUTER_BOUND('',#21637,.T.); #13475=FACE_OUTER_BOUND('',#21638,.T.); #13476=FACE_OUTER_BOUND('',#21639,.T.); #13477=FACE_OUTER_BOUND('',#21640,.T.); #13478=FACE_OUTER_BOUND('',#21641,.T.); #13479=FACE_OUTER_BOUND('',#21642,.T.); #13480=FACE_OUTER_BOUND('',#21643,.T.); #13481=FACE_OUTER_BOUND('',#21644,.T.); #13482=FACE_OUTER_BOUND('',#21645,.T.); #13483=FACE_OUTER_BOUND('',#21646,.T.); #13484=FACE_OUTER_BOUND('',#21647,.T.); #13485=FACE_OUTER_BOUND('',#21648,.T.); #13486=FACE_OUTER_BOUND('',#21649,.T.); #13487=FACE_OUTER_BOUND('',#21650,.T.); #13488=FACE_OUTER_BOUND('',#21651,.T.); #13489=FACE_OUTER_BOUND('',#21652,.T.); #13490=FACE_OUTER_BOUND('',#21653,.T.); #13491=FACE_OUTER_BOUND('',#21654,.T.); #13492=FACE_OUTER_BOUND('',#21655,.T.); #13493=FACE_OUTER_BOUND('',#21656,.T.); #13494=FACE_OUTER_BOUND('',#21657,.T.); #13495=FACE_OUTER_BOUND('',#21658,.T.); #13496=FACE_OUTER_BOUND('',#21659,.T.); #13497=FACE_OUTER_BOUND('',#21660,.T.); #13498=FACE_OUTER_BOUND('',#21661,.T.); #13499=FACE_OUTER_BOUND('',#21662,.T.); #13500=FACE_OUTER_BOUND('',#21663,.T.); #13501=FACE_OUTER_BOUND('',#21664,.T.); #13502=FACE_OUTER_BOUND('',#21665,.T.); #13503=FACE_OUTER_BOUND('',#21666,.T.); #13504=FACE_OUTER_BOUND('',#21667,.T.); #13505=FACE_OUTER_BOUND('',#21668,.T.); #13506=FACE_OUTER_BOUND('',#21669,.T.); #13507=FACE_OUTER_BOUND('',#21670,.T.); #13508=FACE_OUTER_BOUND('',#21671,.T.); #13509=FACE_OUTER_BOUND('',#21672,.T.); #13510=FACE_OUTER_BOUND('',#21673,.T.); #13511=FACE_OUTER_BOUND('',#21674,.T.); #13512=FACE_OUTER_BOUND('',#21675,.T.); #13513=FACE_OUTER_BOUND('',#21676,.T.); #13514=FACE_OUTER_BOUND('',#21677,.T.); #13515=FACE_OUTER_BOUND('',#21678,.T.); #13516=FACE_OUTER_BOUND('',#21679,.T.); #13517=FACE_OUTER_BOUND('',#21680,.T.); #13518=FACE_OUTER_BOUND('',#21681,.T.); #13519=FACE_OUTER_BOUND('',#21682,.T.); #13520=FACE_OUTER_BOUND('',#21683,.T.); #13521=FACE_OUTER_BOUND('',#21684,.T.); #13522=FACE_OUTER_BOUND('',#21685,.T.); #13523=FACE_OUTER_BOUND('',#21686,.T.); #13524=FACE_OUTER_BOUND('',#21687,.T.); #13525=FACE_OUTER_BOUND('',#21688,.T.); #13526=FACE_OUTER_BOUND('',#21689,.T.); #13527=FACE_OUTER_BOUND('',#21690,.T.); #13528=FACE_OUTER_BOUND('',#21691,.T.); #13529=FACE_OUTER_BOUND('',#21692,.T.); #13530=FACE_OUTER_BOUND('',#21693,.T.); #13531=FACE_OUTER_BOUND('',#21695,.T.); #13532=FACE_OUTER_BOUND('',#21696,.T.); #13533=FACE_OUTER_BOUND('',#21697,.T.); #13534=FACE_OUTER_BOUND('',#21698,.T.); #13535=FACE_OUTER_BOUND('',#21699,.T.); #13536=FACE_OUTER_BOUND('',#21700,.T.); #13537=FACE_OUTER_BOUND('',#21701,.T.); #13538=FACE_OUTER_BOUND('',#21702,.T.); #13539=FACE_OUTER_BOUND('',#21703,.T.); #13540=FACE_OUTER_BOUND('',#21704,.T.); #13541=FACE_OUTER_BOUND('',#21705,.T.); #13542=FACE_OUTER_BOUND('',#21706,.T.); #13543=FACE_OUTER_BOUND('',#21707,.T.); #13544=FACE_OUTER_BOUND('',#21708,.T.); #13545=FACE_OUTER_BOUND('',#21709,.T.); #13546=FACE_OUTER_BOUND('',#21710,.T.); #13547=FACE_OUTER_BOUND('',#21711,.T.); #13548=FACE_OUTER_BOUND('',#21712,.T.); #13549=FACE_OUTER_BOUND('',#21713,.T.); #13550=FACE_OUTER_BOUND('',#21714,.T.); #13551=FACE_OUTER_BOUND('',#21715,.T.); #13552=FACE_OUTER_BOUND('',#21716,.T.); #13553=FACE_OUTER_BOUND('',#21717,.T.); #13554=FACE_OUTER_BOUND('',#21718,.T.); #13555=FACE_OUTER_BOUND('',#21719,.T.); #13556=FACE_OUTER_BOUND('',#21720,.T.); #13557=FACE_OUTER_BOUND('',#21721,.T.); #13558=FACE_OUTER_BOUND('',#21722,.T.); #13559=FACE_OUTER_BOUND('',#21723,.T.); #13560=FACE_OUTER_BOUND('',#21724,.T.); #13561=FACE_OUTER_BOUND('',#21725,.T.); #13562=FACE_OUTER_BOUND('',#21726,.T.); #13563=FACE_OUTER_BOUND('',#21727,.T.); #13564=FACE_OUTER_BOUND('',#21728,.T.); #13565=FACE_OUTER_BOUND('',#21729,.T.); #13566=FACE_OUTER_BOUND('',#21730,.T.); #13567=FACE_OUTER_BOUND('',#21731,.T.); #13568=FACE_OUTER_BOUND('',#21732,.T.); #13569=FACE_OUTER_BOUND('',#21733,.T.); #13570=FACE_OUTER_BOUND('',#21734,.T.); #13571=FACE_OUTER_BOUND('',#21735,.T.); #13572=FACE_OUTER_BOUND('',#21736,.T.); #13573=FACE_OUTER_BOUND('',#21737,.T.); #13574=FACE_OUTER_BOUND('',#21738,.T.); #13575=FACE_OUTER_BOUND('',#21739,.T.); #13576=FACE_OUTER_BOUND('',#21740,.T.); #13577=FACE_OUTER_BOUND('',#21741,.T.); #13578=FACE_OUTER_BOUND('',#21742,.T.); #13579=FACE_OUTER_BOUND('',#21743,.T.); #13580=FACE_OUTER_BOUND('',#21744,.T.); #13581=FACE_OUTER_BOUND('',#21745,.T.); #13582=FACE_OUTER_BOUND('',#21746,.T.); #13583=FACE_OUTER_BOUND('',#21747,.T.); #13584=FACE_OUTER_BOUND('',#21748,.T.); #13585=FACE_OUTER_BOUND('',#21749,.T.); #13586=FACE_OUTER_BOUND('',#21750,.T.); #13587=FACE_OUTER_BOUND('',#21751,.T.); #13588=FACE_OUTER_BOUND('',#21752,.T.); #13589=FACE_OUTER_BOUND('',#21753,.T.); #13590=FACE_OUTER_BOUND('',#21754,.T.); #13591=FACE_OUTER_BOUND('',#21756,.T.); #13592=FACE_OUTER_BOUND('',#21757,.T.); #13593=FACE_OUTER_BOUND('',#21758,.T.); #13594=FACE_OUTER_BOUND('',#21759,.T.); #13595=FACE_OUTER_BOUND('',#21760,.T.); #13596=FACE_OUTER_BOUND('',#21761,.T.); #13597=FACE_OUTER_BOUND('',#21762,.T.); #13598=FACE_OUTER_BOUND('',#21763,.T.); #13599=FACE_OUTER_BOUND('',#21764,.T.); #13600=FACE_OUTER_BOUND('',#21765,.T.); #13601=FACE_OUTER_BOUND('',#21766,.T.); #13602=FACE_OUTER_BOUND('',#21767,.T.); #13603=FACE_OUTER_BOUND('',#21768,.T.); #13604=FACE_OUTER_BOUND('',#21769,.T.); #13605=FACE_OUTER_BOUND('',#21770,.T.); #13606=FACE_OUTER_BOUND('',#21771,.T.); #13607=FACE_OUTER_BOUND('',#21772,.T.); #13608=FACE_OUTER_BOUND('',#21773,.T.); #13609=FACE_OUTER_BOUND('',#21774,.T.); #13610=FACE_OUTER_BOUND('',#21775,.T.); #13611=FACE_OUTER_BOUND('',#21776,.T.); #13612=FACE_OUTER_BOUND('',#21777,.T.); #13613=FACE_OUTER_BOUND('',#21778,.T.); #13614=FACE_OUTER_BOUND('',#21779,.T.); #13615=FACE_OUTER_BOUND('',#21780,.T.); #13616=FACE_OUTER_BOUND('',#21781,.T.); #13617=FACE_OUTER_BOUND('',#21782,.T.); #13618=FACE_OUTER_BOUND('',#21783,.T.); #13619=FACE_OUTER_BOUND('',#21784,.T.); #13620=FACE_OUTER_BOUND('',#21785,.T.); #13621=FACE_OUTER_BOUND('',#21786,.T.); #13622=FACE_OUTER_BOUND('',#21787,.T.); #13623=FACE_OUTER_BOUND('',#21788,.T.); #13624=FACE_OUTER_BOUND('',#21789,.T.); #13625=FACE_OUTER_BOUND('',#21790,.T.); #13626=FACE_OUTER_BOUND('',#21791,.T.); #13627=FACE_OUTER_BOUND('',#21792,.T.); #13628=FACE_OUTER_BOUND('',#21793,.T.); #13629=FACE_OUTER_BOUND('',#21794,.T.); #13630=FACE_OUTER_BOUND('',#21795,.T.); #13631=FACE_OUTER_BOUND('',#21796,.T.); #13632=FACE_OUTER_BOUND('',#21797,.T.); #13633=FACE_OUTER_BOUND('',#21798,.T.); #13634=FACE_OUTER_BOUND('',#21799,.T.); #13635=FACE_OUTER_BOUND('',#21800,.T.); #13636=FACE_OUTER_BOUND('',#21801,.T.); #13637=FACE_OUTER_BOUND('',#21802,.T.); #13638=FACE_OUTER_BOUND('',#21803,.T.); #13639=FACE_OUTER_BOUND('',#21804,.T.); #13640=FACE_OUTER_BOUND('',#21805,.T.); #13641=FACE_OUTER_BOUND('',#21806,.T.); #13642=FACE_OUTER_BOUND('',#21807,.T.); #13643=FACE_OUTER_BOUND('',#21808,.T.); #13644=FACE_OUTER_BOUND('',#21809,.T.); #13645=FACE_OUTER_BOUND('',#21810,.T.); #13646=FACE_OUTER_BOUND('',#21811,.T.); #13647=FACE_OUTER_BOUND('',#21812,.T.); #13648=FACE_OUTER_BOUND('',#21813,.T.); #13649=FACE_OUTER_BOUND('',#21814,.T.); #13650=FACE_OUTER_BOUND('',#21815,.T.); #13651=FACE_OUTER_BOUND('',#21816,.T.); #13652=FACE_OUTER_BOUND('',#21817,.T.); #13653=FACE_OUTER_BOUND('',#21818,.T.); #13654=FACE_OUTER_BOUND('',#21819,.T.); #13655=FACE_OUTER_BOUND('',#21820,.T.); #13656=FACE_OUTER_BOUND('',#21821,.T.); #13657=FACE_OUTER_BOUND('',#21822,.T.); #13658=FACE_OUTER_BOUND('',#21823,.T.); #13659=FACE_OUTER_BOUND('',#21824,.T.); #13660=FACE_OUTER_BOUND('',#21825,.T.); #13661=FACE_OUTER_BOUND('',#21826,.T.); #13662=FACE_OUTER_BOUND('',#21827,.T.); #13663=FACE_OUTER_BOUND('',#21828,.T.); #13664=FACE_OUTER_BOUND('',#21829,.T.); #13665=FACE_OUTER_BOUND('',#21830,.T.); #13666=FACE_OUTER_BOUND('',#21831,.T.); #13667=FACE_OUTER_BOUND('',#21832,.T.); #13668=FACE_OUTER_BOUND('',#21833,.T.); #13669=FACE_OUTER_BOUND('',#21834,.T.); #13670=FACE_OUTER_BOUND('',#21835,.T.); #13671=FACE_OUTER_BOUND('',#21836,.T.); #13672=FACE_OUTER_BOUND('',#21837,.T.); #13673=FACE_OUTER_BOUND('',#21838,.T.); #13674=FACE_OUTER_BOUND('',#21839,.T.); #13675=FACE_OUTER_BOUND('',#21840,.T.); #13676=FACE_OUTER_BOUND('',#21841,.T.); #13677=FACE_OUTER_BOUND('',#21842,.T.); #13678=FACE_OUTER_BOUND('',#21843,.T.); #13679=FACE_OUTER_BOUND('',#21844,.T.); #13680=FACE_OUTER_BOUND('',#21845,.T.); #13681=FACE_OUTER_BOUND('',#21846,.T.); #13682=FACE_OUTER_BOUND('',#21847,.T.); #13683=FACE_OUTER_BOUND('',#21848,.T.); #13684=FACE_OUTER_BOUND('',#21849,.T.); #13685=FACE_OUTER_BOUND('',#21850,.T.); #13686=FACE_OUTER_BOUND('',#21851,.T.); #13687=FACE_OUTER_BOUND('',#21852,.T.); #13688=FACE_OUTER_BOUND('',#21853,.T.); #13689=FACE_OUTER_BOUND('',#21854,.T.); #13690=FACE_OUTER_BOUND('',#21855,.T.); #13691=FACE_OUTER_BOUND('',#21856,.T.); #13692=FACE_OUTER_BOUND('',#21857,.T.); #13693=FACE_OUTER_BOUND('',#21858,.T.); #13694=FACE_OUTER_BOUND('',#21859,.T.); #13695=FACE_OUTER_BOUND('',#21860,.T.); #13696=FACE_OUTER_BOUND('',#21861,.T.); #13697=FACE_OUTER_BOUND('',#21862,.T.); #13698=FACE_OUTER_BOUND('',#21863,.T.); #13699=FACE_OUTER_BOUND('',#21864,.T.); #13700=FACE_OUTER_BOUND('',#21865,.T.); #13701=FACE_OUTER_BOUND('',#21866,.T.); #13702=FACE_OUTER_BOUND('',#21867,.T.); #13703=FACE_OUTER_BOUND('',#21868,.T.); #13704=FACE_OUTER_BOUND('',#21869,.T.); #13705=FACE_OUTER_BOUND('',#21870,.T.); #13706=FACE_OUTER_BOUND('',#21871,.T.); #13707=FACE_OUTER_BOUND('',#21872,.T.); #13708=FACE_OUTER_BOUND('',#21873,.T.); #13709=FACE_OUTER_BOUND('',#21874,.T.); #13710=FACE_OUTER_BOUND('',#21875,.T.); #13711=FACE_OUTER_BOUND('',#21876,.T.); #13712=FACE_OUTER_BOUND('',#21877,.T.); #13713=FACE_OUTER_BOUND('',#21878,.T.); #13714=FACE_OUTER_BOUND('',#21879,.T.); #13715=FACE_OUTER_BOUND('',#21880,.T.); #13716=FACE_OUTER_BOUND('',#21881,.T.); #13717=FACE_OUTER_BOUND('',#21882,.T.); #13718=FACE_OUTER_BOUND('',#21883,.T.); #13719=FACE_OUTER_BOUND('',#21884,.T.); #13720=FACE_OUTER_BOUND('',#21885,.T.); #13721=FACE_OUTER_BOUND('',#21886,.T.); #13722=FACE_OUTER_BOUND('',#21887,.T.); #13723=FACE_OUTER_BOUND('',#21888,.T.); #13724=FACE_OUTER_BOUND('',#21889,.T.); #13725=FACE_OUTER_BOUND('',#21890,.T.); #13726=FACE_OUTER_BOUND('',#21891,.T.); #13727=FACE_OUTER_BOUND('',#21892,.T.); #13728=FACE_OUTER_BOUND('',#21893,.T.); #13729=FACE_OUTER_BOUND('',#21894,.T.); #13730=FACE_OUTER_BOUND('',#21895,.T.); #13731=FACE_OUTER_BOUND('',#21896,.T.); #13732=FACE_OUTER_BOUND('',#21897,.T.); #13733=FACE_OUTER_BOUND('',#21898,.T.); #13734=FACE_OUTER_BOUND('',#21899,.T.); #13735=FACE_OUTER_BOUND('',#21900,.T.); #13736=FACE_OUTER_BOUND('',#21901,.T.); #13737=FACE_OUTER_BOUND('',#21902,.T.); #13738=FACE_OUTER_BOUND('',#21903,.T.); #13739=FACE_OUTER_BOUND('',#21904,.T.); #13740=FACE_OUTER_BOUND('',#21905,.T.); #13741=FACE_OUTER_BOUND('',#21906,.T.); #13742=FACE_OUTER_BOUND('',#21907,.T.); #13743=FACE_OUTER_BOUND('',#21908,.T.); #13744=FACE_OUTER_BOUND('',#21909,.T.); #13745=FACE_OUTER_BOUND('',#21910,.T.); #13746=FACE_OUTER_BOUND('',#21911,.T.); #13747=FACE_OUTER_BOUND('',#21912,.T.); #13748=FACE_OUTER_BOUND('',#21913,.T.); #13749=FACE_OUTER_BOUND('',#21914,.T.); #13750=FACE_OUTER_BOUND('',#21915,.T.); #13751=FACE_OUTER_BOUND('',#21916,.T.); #13752=FACE_OUTER_BOUND('',#21917,.T.); #13753=FACE_OUTER_BOUND('',#21918,.T.); #13754=FACE_OUTER_BOUND('',#21919,.T.); #13755=FACE_OUTER_BOUND('',#21920,.T.); #13756=FACE_OUTER_BOUND('',#21921,.T.); #13757=FACE_OUTER_BOUND('',#21922,.T.); #13758=FACE_OUTER_BOUND('',#21923,.T.); #13759=FACE_OUTER_BOUND('',#21924,.T.); #13760=FACE_OUTER_BOUND('',#21925,.T.); #13761=FACE_OUTER_BOUND('',#21926,.T.); #13762=FACE_OUTER_BOUND('',#21927,.T.); #13763=FACE_OUTER_BOUND('',#21928,.T.); #13764=FACE_OUTER_BOUND('',#21929,.T.); #13765=FACE_OUTER_BOUND('',#21930,.T.); #13766=FACE_OUTER_BOUND('',#21931,.T.); #13767=FACE_OUTER_BOUND('',#21932,.T.); #13768=FACE_OUTER_BOUND('',#21933,.T.); #13769=FACE_OUTER_BOUND('',#21934,.T.); #13770=FACE_OUTER_BOUND('',#21935,.T.); #13771=FACE_OUTER_BOUND('',#21936,.T.); #13772=FACE_OUTER_BOUND('',#21937,.T.); #13773=FACE_OUTER_BOUND('',#21938,.T.); #13774=FACE_OUTER_BOUND('',#21939,.T.); #13775=FACE_OUTER_BOUND('',#21954,.T.); #13776=FACE_OUTER_BOUND('',#21955,.T.); #13777=FACE_OUTER_BOUND('',#21956,.T.); #13778=FACE_OUTER_BOUND('',#21957,.T.); #13779=FACE_OUTER_BOUND('',#21958,.T.); #13780=FACE_OUTER_BOUND('',#21959,.T.); #13781=FACE_OUTER_BOUND('',#21960,.T.); #13782=FACE_OUTER_BOUND('',#21961,.T.); #13783=FACE_OUTER_BOUND('',#21962,.T.); #13784=FACE_OUTER_BOUND('',#21963,.T.); #13785=FACE_OUTER_BOUND('',#21964,.T.); #13786=FACE_OUTER_BOUND('',#21965,.T.); #13787=FACE_OUTER_BOUND('',#21966,.T.); #13788=FACE_OUTER_BOUND('',#21967,.T.); #13789=FACE_OUTER_BOUND('',#21968,.T.); #13790=FACE_OUTER_BOUND('',#21969,.T.); #13791=FACE_OUTER_BOUND('',#21970,.T.); #13792=FACE_OUTER_BOUND('',#21971,.T.); #13793=FACE_OUTER_BOUND('',#21972,.T.); #13794=FACE_OUTER_BOUND('',#21973,.T.); #13795=FACE_OUTER_BOUND('',#21974,.T.); #13796=FACE_OUTER_BOUND('',#21975,.T.); #13797=FACE_OUTER_BOUND('',#21976,.T.); #13798=FACE_OUTER_BOUND('',#21977,.T.); #13799=FACE_OUTER_BOUND('',#21978,.T.); #13800=FACE_OUTER_BOUND('',#21979,.T.); #13801=FACE_OUTER_BOUND('',#21980,.T.); #13802=FACE_OUTER_BOUND('',#21981,.T.); #13803=FACE_OUTER_BOUND('',#21982,.T.); #13804=FACE_OUTER_BOUND('',#21983,.T.); #13805=FACE_OUTER_BOUND('',#21984,.T.); #13806=FACE_OUTER_BOUND('',#21985,.T.); #13807=FACE_OUTER_BOUND('',#21986,.T.); #13808=FACE_OUTER_BOUND('',#21987,.T.); #13809=FACE_OUTER_BOUND('',#21988,.T.); #13810=FACE_OUTER_BOUND('',#21990,.T.); #13811=FACE_OUTER_BOUND('',#21991,.T.); #13812=FACE_OUTER_BOUND('',#21992,.T.); #13813=FACE_OUTER_BOUND('',#21993,.T.); #13814=FACE_OUTER_BOUND('',#21994,.T.); #13815=FACE_OUTER_BOUND('',#21995,.T.); #13816=FACE_OUTER_BOUND('',#21996,.T.); #13817=FACE_OUTER_BOUND('',#21997,.T.); #13818=FACE_OUTER_BOUND('',#21998,.T.); #13819=FACE_OUTER_BOUND('',#21999,.T.); #13820=FACE_OUTER_BOUND('',#22000,.T.); #13821=FACE_OUTER_BOUND('',#22001,.T.); #13822=FACE_OUTER_BOUND('',#22002,.T.); #13823=FACE_OUTER_BOUND('',#22003,.T.); #13824=FACE_OUTER_BOUND('',#22004,.T.); #13825=FACE_OUTER_BOUND('',#22005,.T.); #13826=FACE_OUTER_BOUND('',#22006,.T.); #13827=FACE_OUTER_BOUND('',#22007,.T.); #13828=FACE_OUTER_BOUND('',#22008,.T.); #13829=FACE_OUTER_BOUND('',#22009,.T.); #13830=FACE_OUTER_BOUND('',#22010,.T.); #13831=FACE_OUTER_BOUND('',#22011,.T.); #13832=FACE_OUTER_BOUND('',#22012,.T.); #13833=FACE_OUTER_BOUND('',#22013,.T.); #13834=FACE_OUTER_BOUND('',#22014,.T.); #13835=FACE_OUTER_BOUND('',#22015,.T.); #13836=FACE_OUTER_BOUND('',#22016,.T.); #13837=FACE_OUTER_BOUND('',#22017,.T.); #13838=FACE_OUTER_BOUND('',#22018,.T.); #13839=FACE_OUTER_BOUND('',#22019,.T.); #13840=FACE_OUTER_BOUND('',#22020,.T.); #13841=FACE_OUTER_BOUND('',#22021,.T.); #13842=FACE_OUTER_BOUND('',#22022,.T.); #13843=FACE_OUTER_BOUND('',#22023,.T.); #13844=FACE_OUTER_BOUND('',#22024,.T.); #13845=FACE_OUTER_BOUND('',#22025,.T.); #13846=FACE_OUTER_BOUND('',#22026,.T.); #13847=FACE_OUTER_BOUND('',#22027,.T.); #13848=FACE_OUTER_BOUND('',#22028,.T.); #13849=FACE_OUTER_BOUND('',#22029,.T.); #13850=FACE_OUTER_BOUND('',#22030,.T.); #13851=FACE_OUTER_BOUND('',#22031,.T.); #13852=FACE_OUTER_BOUND('',#22032,.T.); #13853=FACE_OUTER_BOUND('',#22033,.T.); #13854=FACE_OUTER_BOUND('',#22034,.T.); #13855=FACE_OUTER_BOUND('',#22035,.T.); #13856=FACE_OUTER_BOUND('',#22036,.T.); #13857=FACE_OUTER_BOUND('',#22037,.T.); #13858=FACE_OUTER_BOUND('',#22038,.T.); #13859=FACE_OUTER_BOUND('',#22039,.T.); #13860=FACE_OUTER_BOUND('',#22040,.T.); #13861=FACE_OUTER_BOUND('',#22041,.T.); #13862=FACE_OUTER_BOUND('',#22042,.T.); #13863=FACE_OUTER_BOUND('',#22043,.T.); #13864=FACE_OUTER_BOUND('',#22044,.T.); #13865=FACE_OUTER_BOUND('',#22045,.T.); #13866=FACE_OUTER_BOUND('',#22046,.T.); #13867=FACE_OUTER_BOUND('',#22047,.T.); #13868=FACE_OUTER_BOUND('',#22048,.T.); #13869=FACE_OUTER_BOUND('',#22049,.T.); #13870=FACE_OUTER_BOUND('',#22050,.T.); #13871=FACE_OUTER_BOUND('',#22051,.T.); #13872=FACE_OUTER_BOUND('',#22052,.T.); #13873=FACE_OUTER_BOUND('',#22053,.T.); #13874=FACE_OUTER_BOUND('',#22054,.T.); #13875=FACE_OUTER_BOUND('',#22055,.T.); #13876=FACE_OUTER_BOUND('',#22056,.T.); #13877=FACE_OUTER_BOUND('',#22057,.T.); #13878=FACE_OUTER_BOUND('',#22058,.T.); #13879=FACE_OUTER_BOUND('',#22059,.T.); #13880=FACE_OUTER_BOUND('',#22061,.T.); #13881=FACE_OUTER_BOUND('',#22062,.T.); #13882=FACE_OUTER_BOUND('',#22063,.T.); #13883=FACE_OUTER_BOUND('',#22064,.T.); #13884=FACE_OUTER_BOUND('',#22065,.T.); #13885=FACE_OUTER_BOUND('',#22066,.T.); #13886=FACE_OUTER_BOUND('',#22067,.T.); #13887=FACE_OUTER_BOUND('',#22068,.T.); #13888=FACE_OUTER_BOUND('',#22069,.T.); #13889=FACE_OUTER_BOUND('',#22070,.T.); #13890=FACE_OUTER_BOUND('',#22071,.T.); #13891=FACE_OUTER_BOUND('',#22072,.T.); #13892=FACE_OUTER_BOUND('',#22073,.T.); #13893=FACE_OUTER_BOUND('',#22074,.T.); #13894=FACE_OUTER_BOUND('',#22075,.T.); #13895=FACE_OUTER_BOUND('',#22076,.T.); #13896=FACE_OUTER_BOUND('',#22077,.T.); #13897=FACE_OUTER_BOUND('',#22078,.T.); #13898=FACE_OUTER_BOUND('',#22079,.T.); #13899=FACE_OUTER_BOUND('',#22080,.T.); #13900=FACE_OUTER_BOUND('',#22081,.T.); #13901=FACE_OUTER_BOUND('',#22082,.T.); #13902=FACE_OUTER_BOUND('',#22083,.T.); #13903=FACE_OUTER_BOUND('',#22084,.T.); #13904=FACE_OUTER_BOUND('',#22085,.T.); #13905=FACE_OUTER_BOUND('',#22086,.T.); #13906=FACE_OUTER_BOUND('',#22087,.T.); #13907=FACE_OUTER_BOUND('',#22088,.T.); #13908=FACE_OUTER_BOUND('',#22089,.T.); #13909=FACE_OUTER_BOUND('',#22090,.T.); #13910=FACE_OUTER_BOUND('',#22091,.T.); #13911=FACE_OUTER_BOUND('',#22092,.T.); #13912=FACE_OUTER_BOUND('',#22093,.T.); #13913=FACE_OUTER_BOUND('',#22094,.T.); #13914=FACE_OUTER_BOUND('',#22095,.T.); #13915=FACE_OUTER_BOUND('',#22096,.T.); #13916=FACE_OUTER_BOUND('',#22097,.T.); #13917=FACE_OUTER_BOUND('',#22098,.T.); #13918=FACE_OUTER_BOUND('',#22099,.T.); #13919=FACE_OUTER_BOUND('',#22100,.T.); #13920=FACE_OUTER_BOUND('',#22101,.T.); #13921=FACE_OUTER_BOUND('',#22102,.T.); #13922=FACE_OUTER_BOUND('',#22103,.T.); #13923=FACE_OUTER_BOUND('',#22104,.T.); #13924=FACE_OUTER_BOUND('',#22105,.T.); #13925=FACE_OUTER_BOUND('',#22106,.T.); #13926=FACE_OUTER_BOUND('',#22107,.T.); #13927=FACE_OUTER_BOUND('',#22108,.T.); #13928=FACE_OUTER_BOUND('',#22109,.T.); #13929=FACE_OUTER_BOUND('',#22110,.T.); #13930=FACE_OUTER_BOUND('',#22111,.T.); #13931=FACE_OUTER_BOUND('',#22112,.T.); #13932=FACE_OUTER_BOUND('',#22113,.T.); #13933=FACE_OUTER_BOUND('',#22114,.T.); #13934=FACE_OUTER_BOUND('',#22115,.T.); #13935=FACE_OUTER_BOUND('',#22116,.T.); #13936=FACE_OUTER_BOUND('',#22117,.T.); #13937=FACE_OUTER_BOUND('',#22118,.T.); #13938=FACE_OUTER_BOUND('',#22119,.T.); #13939=FACE_OUTER_BOUND('',#22120,.T.); #13940=FACE_OUTER_BOUND('',#22121,.T.); #13941=FACE_OUTER_BOUND('',#22122,.T.); #13942=FACE_OUTER_BOUND('',#22123,.T.); #13943=FACE_OUTER_BOUND('',#22124,.T.); #13944=FACE_OUTER_BOUND('',#22125,.T.); #13945=FACE_OUTER_BOUND('',#22126,.T.); #13946=FACE_OUTER_BOUND('',#22127,.T.); #13947=FACE_OUTER_BOUND('',#22128,.T.); #13948=FACE_OUTER_BOUND('',#22129,.T.); #13949=FACE_OUTER_BOUND('',#22130,.T.); #13950=FACE_OUTER_BOUND('',#22131,.T.); #13951=FACE_OUTER_BOUND('',#22132,.T.); #13952=FACE_OUTER_BOUND('',#22133,.T.); #13953=FACE_OUTER_BOUND('',#22134,.T.); #13954=FACE_OUTER_BOUND('',#22135,.T.); #13955=FACE_OUTER_BOUND('',#22136,.T.); #13956=FACE_OUTER_BOUND('',#22137,.T.); #13957=FACE_OUTER_BOUND('',#22138,.T.); #13958=FACE_OUTER_BOUND('',#22139,.T.); #13959=FACE_OUTER_BOUND('',#22140,.T.); #13960=FACE_OUTER_BOUND('',#22141,.T.); #13961=FACE_OUTER_BOUND('',#22142,.T.); #13962=FACE_OUTER_BOUND('',#22143,.T.); #13963=FACE_OUTER_BOUND('',#22144,.T.); #13964=FACE_OUTER_BOUND('',#22145,.T.); #13965=FACE_OUTER_BOUND('',#22146,.T.); #13966=FACE_OUTER_BOUND('',#22147,.T.); #13967=FACE_OUTER_BOUND('',#22148,.T.); #13968=FACE_OUTER_BOUND('',#22149,.T.); #13969=FACE_OUTER_BOUND('',#22150,.T.); #13970=FACE_OUTER_BOUND('',#22151,.T.); #13971=FACE_OUTER_BOUND('',#22152,.T.); #13972=FACE_OUTER_BOUND('',#22153,.T.); #13973=FACE_OUTER_BOUND('',#22154,.T.); #13974=FACE_OUTER_BOUND('',#22155,.T.); #13975=FACE_OUTER_BOUND('',#22156,.T.); #13976=FACE_OUTER_BOUND('',#22157,.T.); #13977=FACE_OUTER_BOUND('',#22158,.T.); #13978=FACE_OUTER_BOUND('',#22159,.T.); #13979=FACE_OUTER_BOUND('',#22160,.T.); #13980=FACE_OUTER_BOUND('',#22161,.T.); #13981=FACE_OUTER_BOUND('',#22162,.T.); #13982=FACE_OUTER_BOUND('',#22163,.T.); #13983=FACE_OUTER_BOUND('',#22164,.T.); #13984=FACE_OUTER_BOUND('',#22165,.T.); #13985=FACE_OUTER_BOUND('',#22166,.T.); #13986=FACE_OUTER_BOUND('',#22167,.T.); #13987=FACE_OUTER_BOUND('',#22168,.T.); #13988=FACE_OUTER_BOUND('',#22169,.T.); #13989=FACE_OUTER_BOUND('',#22170,.T.); #13990=FACE_OUTER_BOUND('',#22171,.T.); #13991=FACE_OUTER_BOUND('',#22172,.T.); #13992=FACE_OUTER_BOUND('',#22173,.T.); #13993=FACE_OUTER_BOUND('',#22174,.T.); #13994=FACE_OUTER_BOUND('',#22175,.T.); #13995=FACE_OUTER_BOUND('',#22176,.T.); #13996=FACE_OUTER_BOUND('',#22177,.T.); #13997=FACE_OUTER_BOUND('',#22178,.T.); #13998=FACE_OUTER_BOUND('',#22179,.T.); #13999=FACE_OUTER_BOUND('',#22180,.T.); #14000=FACE_OUTER_BOUND('',#22181,.T.); #14001=FACE_OUTER_BOUND('',#22182,.T.); #14002=FACE_OUTER_BOUND('',#22183,.T.); #14003=FACE_OUTER_BOUND('',#22184,.T.); #14004=FACE_OUTER_BOUND('',#22185,.T.); #14005=FACE_OUTER_BOUND('',#22186,.T.); #14006=FACE_OUTER_BOUND('',#22187,.T.); #14007=FACE_OUTER_BOUND('',#22188,.T.); #14008=FACE_OUTER_BOUND('',#22189,.T.); #14009=FACE_OUTER_BOUND('',#22190,.T.); #14010=FACE_OUTER_BOUND('',#22191,.T.); #14011=FACE_OUTER_BOUND('',#22192,.T.); #14012=FACE_OUTER_BOUND('',#22193,.T.); #14013=FACE_OUTER_BOUND('',#22194,.T.); #14014=FACE_OUTER_BOUND('',#22195,.T.); #14015=FACE_OUTER_BOUND('',#22196,.T.); #14016=FACE_OUTER_BOUND('',#22197,.T.); #14017=FACE_OUTER_BOUND('',#22198,.T.); #14018=FACE_OUTER_BOUND('',#22199,.T.); #14019=FACE_OUTER_BOUND('',#22200,.T.); #14020=FACE_OUTER_BOUND('',#22201,.T.); #14021=FACE_OUTER_BOUND('',#22202,.T.); #14022=FACE_OUTER_BOUND('',#22203,.T.); #14023=FACE_OUTER_BOUND('',#22204,.T.); #14024=FACE_OUTER_BOUND('',#22205,.T.); #14025=FACE_OUTER_BOUND('',#22206,.T.); #14026=FACE_OUTER_BOUND('',#22207,.T.); #14027=FACE_OUTER_BOUND('',#22208,.T.); #14028=FACE_OUTER_BOUND('',#22209,.T.); #14029=FACE_OUTER_BOUND('',#22210,.T.); #14030=FACE_OUTER_BOUND('',#22211,.T.); #14031=FACE_OUTER_BOUND('',#22212,.T.); #14032=FACE_OUTER_BOUND('',#22213,.T.); #14033=FACE_OUTER_BOUND('',#22214,.T.); #14034=FACE_OUTER_BOUND('',#22215,.T.); #14035=FACE_OUTER_BOUND('',#22216,.T.); #14036=FACE_OUTER_BOUND('',#22217,.T.); #14037=FACE_OUTER_BOUND('',#22218,.T.); #14038=FACE_OUTER_BOUND('',#22219,.T.); #14039=FACE_OUTER_BOUND('',#22220,.T.); #14040=FACE_OUTER_BOUND('',#22221,.T.); #14041=FACE_OUTER_BOUND('',#22222,.T.); #14042=FACE_OUTER_BOUND('',#22223,.T.); #14043=FACE_OUTER_BOUND('',#22224,.T.); #14044=FACE_OUTER_BOUND('',#22225,.T.); #14045=FACE_OUTER_BOUND('',#22226,.T.); #14046=FACE_OUTER_BOUND('',#22227,.T.); #14047=FACE_OUTER_BOUND('',#22228,.T.); #14048=FACE_OUTER_BOUND('',#22229,.T.); #14049=FACE_OUTER_BOUND('',#22230,.T.); #14050=FACE_OUTER_BOUND('',#22231,.T.); #14051=FACE_OUTER_BOUND('',#22232,.T.); #14052=FACE_OUTER_BOUND('',#22233,.T.); #14053=FACE_OUTER_BOUND('',#22234,.T.); #14054=FACE_OUTER_BOUND('',#22235,.T.); #14055=FACE_OUTER_BOUND('',#22236,.T.); #14056=FACE_OUTER_BOUND('',#22237,.T.); #14057=FACE_OUTER_BOUND('',#22238,.T.); #14058=FACE_OUTER_BOUND('',#22239,.T.); #14059=FACE_OUTER_BOUND('',#22240,.T.); #14060=FACE_OUTER_BOUND('',#22241,.T.); #14061=FACE_OUTER_BOUND('',#22242,.T.); #14062=FACE_OUTER_BOUND('',#22243,.T.); #14063=FACE_OUTER_BOUND('',#22244,.T.); #14064=FACE_OUTER_BOUND('',#22245,.T.); #14065=FACE_OUTER_BOUND('',#22246,.T.); #14066=FACE_OUTER_BOUND('',#22247,.T.); #14067=FACE_OUTER_BOUND('',#22248,.T.); #14068=FACE_OUTER_BOUND('',#22249,.T.); #14069=FACE_OUTER_BOUND('',#22250,.T.); #14070=FACE_OUTER_BOUND('',#22251,.T.); #14071=FACE_OUTER_BOUND('',#22252,.T.); #14072=FACE_OUTER_BOUND('',#22253,.T.); #14073=FACE_OUTER_BOUND('',#22254,.T.); #14074=FACE_OUTER_BOUND('',#22255,.T.); #14075=FACE_OUTER_BOUND('',#22256,.T.); #14076=FACE_OUTER_BOUND('',#22257,.T.); #14077=FACE_OUTER_BOUND('',#22258,.T.); #14078=FACE_OUTER_BOUND('',#22259,.T.); #14079=FACE_OUTER_BOUND('',#22260,.T.); #14080=FACE_OUTER_BOUND('',#22261,.T.); #14081=FACE_OUTER_BOUND('',#22262,.T.); #14082=FACE_OUTER_BOUND('',#22263,.T.); #14083=FACE_OUTER_BOUND('',#22264,.T.); #14084=FACE_OUTER_BOUND('',#22265,.T.); #14085=FACE_OUTER_BOUND('',#22266,.T.); #14086=FACE_OUTER_BOUND('',#22267,.T.); #14087=FACE_OUTER_BOUND('',#22268,.T.); #14088=FACE_OUTER_BOUND('',#22269,.T.); #14089=FACE_OUTER_BOUND('',#22270,.T.); #14090=FACE_OUTER_BOUND('',#22271,.T.); #14091=FACE_OUTER_BOUND('',#22272,.T.); #14092=FACE_OUTER_BOUND('',#22273,.T.); #14093=FACE_OUTER_BOUND('',#22274,.T.); #14094=FACE_OUTER_BOUND('',#22275,.T.); #14095=FACE_OUTER_BOUND('',#22276,.T.); #14096=FACE_OUTER_BOUND('',#22277,.T.); #14097=FACE_OUTER_BOUND('',#22278,.T.); #14098=FACE_OUTER_BOUND('',#22279,.T.); #14099=FACE_OUTER_BOUND('',#22280,.T.); #14100=FACE_OUTER_BOUND('',#22281,.T.); #14101=FACE_OUTER_BOUND('',#22282,.T.); #14102=FACE_OUTER_BOUND('',#22283,.T.); #14103=FACE_OUTER_BOUND('',#22284,.T.); #14104=FACE_OUTER_BOUND('',#22285,.T.); #14105=FACE_OUTER_BOUND('',#22286,.T.); #14106=FACE_OUTER_BOUND('',#22287,.T.); #14107=FACE_OUTER_BOUND('',#22288,.T.); #14108=FACE_OUTER_BOUND('',#22289,.T.); #14109=FACE_OUTER_BOUND('',#22290,.T.); #14110=FACE_OUTER_BOUND('',#22291,.T.); #14111=FACE_OUTER_BOUND('',#22292,.T.); #14112=FACE_OUTER_BOUND('',#22293,.T.); #14113=FACE_OUTER_BOUND('',#22294,.T.); #14114=FACE_OUTER_BOUND('',#22295,.T.); #14115=FACE_OUTER_BOUND('',#22296,.T.); #14116=FACE_OUTER_BOUND('',#22297,.T.); #14117=FACE_OUTER_BOUND('',#22298,.T.); #14118=FACE_OUTER_BOUND('',#22299,.T.); #14119=FACE_OUTER_BOUND('',#22300,.T.); #14120=FACE_OUTER_BOUND('',#22301,.T.); #14121=FACE_OUTER_BOUND('',#22302,.T.); #14122=FACE_OUTER_BOUND('',#22303,.T.); #14123=FACE_OUTER_BOUND('',#22304,.T.); #14124=FACE_OUTER_BOUND('',#22305,.T.); #14125=FACE_OUTER_BOUND('',#22306,.T.); #14126=FACE_OUTER_BOUND('',#22307,.T.); #14127=FACE_OUTER_BOUND('',#22308,.T.); #14128=FACE_OUTER_BOUND('',#22309,.T.); #14129=FACE_OUTER_BOUND('',#22310,.T.); #14130=FACE_OUTER_BOUND('',#22311,.T.); #14131=FACE_OUTER_BOUND('',#22312,.T.); #14132=FACE_OUTER_BOUND('',#22313,.T.); #14133=FACE_OUTER_BOUND('',#22314,.T.); #14134=FACE_OUTER_BOUND('',#22315,.T.); #14135=FACE_OUTER_BOUND('',#22316,.T.); #14136=FACE_OUTER_BOUND('',#22317,.T.); #14137=FACE_OUTER_BOUND('',#22318,.T.); #14138=FACE_OUTER_BOUND('',#22319,.T.); #14139=FACE_OUTER_BOUND('',#22320,.T.); #14140=FACE_OUTER_BOUND('',#22321,.T.); #14141=FACE_OUTER_BOUND('',#22322,.T.); #14142=FACE_OUTER_BOUND('',#22323,.T.); #14143=FACE_OUTER_BOUND('',#22324,.T.); #14144=FACE_OUTER_BOUND('',#22325,.T.); #14145=FACE_OUTER_BOUND('',#22326,.T.); #14146=FACE_OUTER_BOUND('',#22327,.T.); #14147=FACE_OUTER_BOUND('',#22328,.T.); #14148=FACE_OUTER_BOUND('',#22329,.T.); #14149=FACE_OUTER_BOUND('',#22330,.T.); #14150=FACE_OUTER_BOUND('',#22331,.T.); #14151=FACE_OUTER_BOUND('',#22332,.T.); #14152=FACE_OUTER_BOUND('',#22333,.T.); #14153=FACE_OUTER_BOUND('',#22334,.T.); #14154=FACE_OUTER_BOUND('',#22335,.T.); #14155=FACE_OUTER_BOUND('',#22336,.T.); #14156=FACE_OUTER_BOUND('',#22337,.T.); #14157=FACE_OUTER_BOUND('',#22338,.T.); #14158=FACE_OUTER_BOUND('',#22339,.T.); #14159=FACE_OUTER_BOUND('',#22340,.T.); #14160=FACE_OUTER_BOUND('',#22341,.T.); #14161=FACE_OUTER_BOUND('',#22342,.T.); #14162=FACE_OUTER_BOUND('',#22343,.T.); #14163=FACE_OUTER_BOUND('',#22344,.T.); #14164=FACE_OUTER_BOUND('',#22345,.T.); #14165=FACE_OUTER_BOUND('',#22346,.T.); #14166=FACE_OUTER_BOUND('',#22347,.T.); #14167=FACE_OUTER_BOUND('',#22348,.T.); #14168=FACE_OUTER_BOUND('',#22349,.T.); #14169=FACE_OUTER_BOUND('',#22350,.T.); #14170=FACE_OUTER_BOUND('',#22351,.T.); #14171=FACE_OUTER_BOUND('',#22352,.T.); #14172=FACE_OUTER_BOUND('',#22353,.T.); #14173=FACE_OUTER_BOUND('',#22354,.T.); #14174=FACE_OUTER_BOUND('',#22355,.T.); #14175=FACE_OUTER_BOUND('',#22356,.T.); #14176=FACE_OUTER_BOUND('',#22357,.T.); #14177=FACE_OUTER_BOUND('',#22358,.T.); #14178=FACE_OUTER_BOUND('',#22359,.T.); #14179=FACE_OUTER_BOUND('',#22360,.T.); #14180=FACE_OUTER_BOUND('',#22361,.T.); #14181=FACE_OUTER_BOUND('',#22362,.T.); #14182=FACE_OUTER_BOUND('',#22363,.T.); #14183=FACE_OUTER_BOUND('',#22364,.T.); #14184=FACE_OUTER_BOUND('',#22365,.T.); #14185=FACE_OUTER_BOUND('',#22366,.T.); #14186=FACE_OUTER_BOUND('',#22367,.T.); #14187=FACE_OUTER_BOUND('',#22368,.T.); #14188=FACE_OUTER_BOUND('',#22369,.T.); #14189=FACE_OUTER_BOUND('',#22370,.T.); #14190=FACE_OUTER_BOUND('',#22371,.T.); #14191=FACE_OUTER_BOUND('',#22372,.T.); #14192=FACE_OUTER_BOUND('',#22373,.T.); #14193=FACE_OUTER_BOUND('',#22374,.T.); #14194=FACE_OUTER_BOUND('',#22375,.T.); #14195=FACE_OUTER_BOUND('',#22376,.T.); #14196=FACE_OUTER_BOUND('',#22377,.T.); #14197=FACE_OUTER_BOUND('',#22378,.T.); #14198=FACE_OUTER_BOUND('',#22379,.T.); #14199=FACE_OUTER_BOUND('',#22380,.T.); #14200=FACE_OUTER_BOUND('',#22381,.T.); #14201=FACE_OUTER_BOUND('',#22382,.T.); #14202=FACE_OUTER_BOUND('',#22383,.T.); #14203=FACE_OUTER_BOUND('',#22384,.T.); #14204=FACE_OUTER_BOUND('',#22385,.T.); #14205=FACE_OUTER_BOUND('',#22386,.T.); #14206=FACE_OUTER_BOUND('',#22387,.T.); #14207=FACE_OUTER_BOUND('',#22388,.T.); #14208=FACE_OUTER_BOUND('',#22389,.T.); #14209=FACE_OUTER_BOUND('',#22390,.T.); #14210=FACE_OUTER_BOUND('',#22391,.T.); #14211=FACE_OUTER_BOUND('',#22392,.T.); #14212=FACE_OUTER_BOUND('',#22393,.T.); #14213=FACE_OUTER_BOUND('',#22394,.T.); #14214=FACE_OUTER_BOUND('',#22395,.T.); #14215=FACE_OUTER_BOUND('',#22396,.T.); #14216=FACE_OUTER_BOUND('',#22397,.T.); #14217=FACE_OUTER_BOUND('',#22398,.T.); #14218=FACE_OUTER_BOUND('',#22399,.T.); #14219=FACE_OUTER_BOUND('',#22400,.T.); #14220=FACE_OUTER_BOUND('',#22401,.T.); #14221=FACE_OUTER_BOUND('',#22402,.T.); #14222=FACE_OUTER_BOUND('',#22403,.T.); #14223=FACE_OUTER_BOUND('',#22404,.T.); #14224=FACE_OUTER_BOUND('',#22405,.T.); #14225=FACE_OUTER_BOUND('',#22406,.T.); #14226=FACE_OUTER_BOUND('',#22407,.T.); #14227=FACE_OUTER_BOUND('',#22408,.T.); #14228=FACE_OUTER_BOUND('',#22409,.T.); #14229=FACE_OUTER_BOUND('',#22410,.T.); #14230=FACE_OUTER_BOUND('',#22411,.T.); #14231=FACE_OUTER_BOUND('',#22412,.T.); #14232=FACE_OUTER_BOUND('',#22413,.T.); #14233=FACE_OUTER_BOUND('',#22414,.T.); #14234=FACE_OUTER_BOUND('',#22415,.T.); #14235=FACE_OUTER_BOUND('',#22416,.T.); #14236=FACE_OUTER_BOUND('',#22417,.T.); #14237=FACE_OUTER_BOUND('',#22418,.T.); #14238=FACE_OUTER_BOUND('',#22419,.T.); #14239=FACE_OUTER_BOUND('',#22420,.T.); #14240=FACE_OUTER_BOUND('',#22421,.T.); #14241=FACE_OUTER_BOUND('',#22422,.T.); #14242=FACE_OUTER_BOUND('',#22423,.T.); #14243=FACE_OUTER_BOUND('',#22424,.T.); #14244=FACE_OUTER_BOUND('',#22425,.T.); #14245=FACE_OUTER_BOUND('',#22426,.T.); #14246=FACE_OUTER_BOUND('',#22427,.T.); #14247=FACE_OUTER_BOUND('',#22428,.T.); #14248=FACE_OUTER_BOUND('',#22429,.T.); #14249=FACE_OUTER_BOUND('',#22430,.T.); #14250=FACE_OUTER_BOUND('',#22431,.T.); #14251=FACE_OUTER_BOUND('',#22432,.T.); #14252=FACE_OUTER_BOUND('',#22433,.T.); #14253=FACE_OUTER_BOUND('',#22434,.T.); #14254=FACE_OUTER_BOUND('',#22435,.T.); #14255=FACE_OUTER_BOUND('',#22436,.T.); #14256=FACE_OUTER_BOUND('',#22437,.T.); #14257=FACE_OUTER_BOUND('',#22438,.T.); #14258=FACE_OUTER_BOUND('',#22439,.T.); #14259=FACE_OUTER_BOUND('',#22440,.T.); #14260=FACE_OUTER_BOUND('',#22441,.T.); #14261=FACE_OUTER_BOUND('',#22442,.T.); #14262=FACE_OUTER_BOUND('',#22443,.T.); #14263=FACE_OUTER_BOUND('',#22444,.T.); #14264=FACE_OUTER_BOUND('',#22445,.T.); #14265=FACE_OUTER_BOUND('',#22446,.T.); #14266=FACE_OUTER_BOUND('',#22447,.T.); #14267=FACE_OUTER_BOUND('',#22448,.T.); #14268=FACE_OUTER_BOUND('',#22449,.T.); #14269=FACE_OUTER_BOUND('',#22450,.T.); #14270=FACE_OUTER_BOUND('',#22451,.T.); #14271=FACE_OUTER_BOUND('',#22452,.T.); #14272=FACE_OUTER_BOUND('',#22453,.T.); #14273=FACE_OUTER_BOUND('',#22454,.T.); #14274=FACE_OUTER_BOUND('',#22455,.T.); #14275=FACE_OUTER_BOUND('',#22456,.T.); #14276=FACE_OUTER_BOUND('',#22457,.T.); #14277=FACE_OUTER_BOUND('',#22458,.T.); #14278=FACE_OUTER_BOUND('',#22459,.T.); #14279=FACE_OUTER_BOUND('',#22460,.T.); #14280=FACE_OUTER_BOUND('',#22461,.T.); #14281=FACE_OUTER_BOUND('',#22462,.T.); #14282=FACE_OUTER_BOUND('',#22463,.T.); #14283=FACE_OUTER_BOUND('',#22464,.T.); #14284=FACE_OUTER_BOUND('',#22465,.T.); #14285=FACE_OUTER_BOUND('',#22466,.T.); #14286=FACE_OUTER_BOUND('',#22467,.T.); #14287=FACE_OUTER_BOUND('',#22468,.T.); #14288=FACE_OUTER_BOUND('',#22469,.T.); #14289=FACE_OUTER_BOUND('',#22470,.T.); #14290=FACE_OUTER_BOUND('',#22471,.T.); #14291=FACE_OUTER_BOUND('',#22472,.T.); #14292=FACE_OUTER_BOUND('',#22473,.T.); #14293=FACE_OUTER_BOUND('',#22474,.T.); #14294=FACE_OUTER_BOUND('',#22475,.T.); #14295=FACE_OUTER_BOUND('',#22476,.T.); #14296=FACE_OUTER_BOUND('',#22477,.T.); #14297=FACE_OUTER_BOUND('',#22478,.T.); #14298=FACE_OUTER_BOUND('',#22479,.T.); #14299=FACE_OUTER_BOUND('',#22480,.T.); #14300=FACE_OUTER_BOUND('',#22481,.T.); #14301=FACE_OUTER_BOUND('',#22483,.T.); #14302=FACE_OUTER_BOUND('',#22484,.T.); #14303=FACE_OUTER_BOUND('',#22485,.T.); #14304=FACE_OUTER_BOUND('',#22486,.T.); #14305=FACE_OUTER_BOUND('',#22487,.T.); #14306=FACE_OUTER_BOUND('',#22488,.T.); #14307=FACE_OUTER_BOUND('',#22489,.T.); #14308=FACE_OUTER_BOUND('',#22490,.T.); #14309=FACE_OUTER_BOUND('',#22491,.T.); #14310=FACE_OUTER_BOUND('',#22492,.T.); #14311=FACE_OUTER_BOUND('',#22493,.T.); #14312=FACE_OUTER_BOUND('',#22494,.T.); #14313=FACE_OUTER_BOUND('',#22495,.T.); #14314=FACE_OUTER_BOUND('',#22496,.T.); #14315=FACE_OUTER_BOUND('',#22497,.T.); #14316=FACE_OUTER_BOUND('',#22499,.T.); #14317=FACE_OUTER_BOUND('',#22501,.T.); #14318=FACE_OUTER_BOUND('',#22502,.T.); #14319=FACE_OUTER_BOUND('',#22503,.T.); #14320=FACE_OUTER_BOUND('',#22504,.T.); #14321=FACE_OUTER_BOUND('',#22505,.T.); #14322=FACE_OUTER_BOUND('',#22506,.T.); #14323=FACE_OUTER_BOUND('',#22507,.T.); #14324=FACE_OUTER_BOUND('',#22508,.T.); #14325=FACE_OUTER_BOUND('',#22509,.T.); #14326=FACE_OUTER_BOUND('',#22510,.T.); #14327=FACE_OUTER_BOUND('',#22511,.T.); #14328=FACE_OUTER_BOUND('',#22512,.T.); #14329=FACE_OUTER_BOUND('',#22513,.T.); #14330=FACE_OUTER_BOUND('',#22514,.T.); #14331=FACE_OUTER_BOUND('',#22515,.T.); #14332=FACE_OUTER_BOUND('',#22516,.T.); #14333=FACE_OUTER_BOUND('',#22517,.T.); #14334=FACE_OUTER_BOUND('',#22518,.T.); #14335=FACE_OUTER_BOUND('',#22519,.T.); #14336=FACE_OUTER_BOUND('',#22520,.T.); #14337=FACE_OUTER_BOUND('',#22521,.T.); #14338=FACE_OUTER_BOUND('',#22522,.T.); #14339=FACE_OUTER_BOUND('',#22523,.T.); #14340=FACE_OUTER_BOUND('',#22524,.T.); #14341=FACE_OUTER_BOUND('',#22525,.T.); #14342=FACE_OUTER_BOUND('',#22526,.T.); #14343=FACE_OUTER_BOUND('',#22527,.T.); #14344=FACE_OUTER_BOUND('',#22528,.T.); #14345=FACE_OUTER_BOUND('',#22529,.T.); #14346=FACE_OUTER_BOUND('',#22530,.T.); #14347=FACE_OUTER_BOUND('',#22531,.T.); #14348=FACE_OUTER_BOUND('',#22532,.T.); #14349=FACE_OUTER_BOUND('',#22533,.T.); #14350=FACE_OUTER_BOUND('',#22534,.T.); #14351=FACE_OUTER_BOUND('',#22535,.T.); #14352=FACE_OUTER_BOUND('',#22536,.T.); #14353=FACE_OUTER_BOUND('',#22537,.T.); #14354=FACE_OUTER_BOUND('',#22538,.T.); #14355=FACE_OUTER_BOUND('',#22539,.T.); #14356=FACE_OUTER_BOUND('',#22540,.T.); #14357=FACE_OUTER_BOUND('',#22541,.T.); #14358=FACE_OUTER_BOUND('',#22542,.T.); #14359=FACE_OUTER_BOUND('',#22543,.T.); #14360=FACE_OUTER_BOUND('',#22544,.T.); #14361=FACE_OUTER_BOUND('',#22545,.T.); #14362=FACE_OUTER_BOUND('',#22546,.T.); #14363=FACE_OUTER_BOUND('',#22547,.T.); #14364=FACE_OUTER_BOUND('',#22548,.T.); #14365=FACE_OUTER_BOUND('',#22549,.T.); #14366=FACE_OUTER_BOUND('',#22550,.T.); #14367=FACE_OUTER_BOUND('',#22551,.T.); #14368=FACE_OUTER_BOUND('',#22552,.T.); #14369=FACE_OUTER_BOUND('',#22553,.T.); #14370=FACE_OUTER_BOUND('',#22554,.T.); #14371=FACE_OUTER_BOUND('',#22555,.T.); #14372=FACE_OUTER_BOUND('',#22556,.T.); #14373=FACE_OUTER_BOUND('',#22558,.T.); #14374=FACE_OUTER_BOUND('',#22560,.T.); #14375=FACE_OUTER_BOUND('',#22561,.T.); #14376=FACE_OUTER_BOUND('',#22562,.T.); #14377=FACE_OUTER_BOUND('',#22563,.T.); #14378=FACE_OUTER_BOUND('',#22564,.T.); #14379=FACE_OUTER_BOUND('',#22565,.T.); #14380=FACE_OUTER_BOUND('',#22566,.T.); #14381=FACE_OUTER_BOUND('',#22567,.T.); #14382=FACE_OUTER_BOUND('',#22568,.T.); #14383=FACE_OUTER_BOUND('',#22569,.T.); #14384=FACE_OUTER_BOUND('',#22570,.T.); #14385=FACE_OUTER_BOUND('',#22571,.T.); #14386=FACE_OUTER_BOUND('',#22572,.T.); #14387=FACE_OUTER_BOUND('',#22573,.T.); #14388=FACE_OUTER_BOUND('',#22574,.T.); #14389=FACE_OUTER_BOUND('',#22575,.T.); #14390=FACE_OUTER_BOUND('',#22576,.T.); #14391=FACE_OUTER_BOUND('',#22577,.T.); #14392=FACE_OUTER_BOUND('',#22578,.T.); #14393=FACE_OUTER_BOUND('',#22579,.T.); #14394=FACE_OUTER_BOUND('',#22580,.T.); #14395=FACE_OUTER_BOUND('',#22581,.T.); #14396=FACE_OUTER_BOUND('',#22582,.T.); #14397=FACE_OUTER_BOUND('',#22583,.T.); #14398=FACE_OUTER_BOUND('',#22584,.T.); #14399=FACE_OUTER_BOUND('',#22585,.T.); #14400=FACE_OUTER_BOUND('',#22586,.T.); #14401=FACE_OUTER_BOUND('',#22587,.T.); #14402=FACE_OUTER_BOUND('',#22588,.T.); #14403=FACE_OUTER_BOUND('',#22589,.T.); #14404=FACE_OUTER_BOUND('',#22590,.T.); #14405=FACE_OUTER_BOUND('',#22591,.T.); #14406=FACE_OUTER_BOUND('',#22595,.T.); #14407=FACE_OUTER_BOUND('',#22596,.T.); #14408=FACE_OUTER_BOUND('',#22597,.T.); #14409=FACE_OUTER_BOUND('',#22598,.T.); #14410=FACE_OUTER_BOUND('',#22599,.T.); #14411=FACE_OUTER_BOUND('',#22600,.T.); #14412=FACE_OUTER_BOUND('',#22601,.T.); #14413=FACE_OUTER_BOUND('',#22602,.T.); #14414=FACE_OUTER_BOUND('',#22603,.T.); #14415=FACE_OUTER_BOUND('',#22604,.T.); #14416=FACE_OUTER_BOUND('',#22605,.T.); #14417=FACE_OUTER_BOUND('',#22606,.T.); #14418=FACE_OUTER_BOUND('',#22607,.T.); #14419=FACE_OUTER_BOUND('',#22608,.T.); #14420=FACE_OUTER_BOUND('',#22609,.T.); #14421=FACE_OUTER_BOUND('',#22610,.T.); #14422=FACE_OUTER_BOUND('',#22611,.T.); #14423=FACE_OUTER_BOUND('',#22612,.T.); #14424=FACE_OUTER_BOUND('',#22613,.T.); #14425=FACE_OUTER_BOUND('',#22614,.T.); #14426=FACE_OUTER_BOUND('',#22615,.T.); #14427=FACE_OUTER_BOUND('',#22616,.T.); #14428=FACE_OUTER_BOUND('',#22617,.T.); #14429=FACE_OUTER_BOUND('',#22618,.T.); #14430=FACE_OUTER_BOUND('',#22619,.T.); #14431=FACE_OUTER_BOUND('',#22620,.T.); #14432=FACE_OUTER_BOUND('',#22621,.T.); #14433=FACE_OUTER_BOUND('',#22622,.T.); #14434=FACE_OUTER_BOUND('',#22623,.T.); #14435=FACE_OUTER_BOUND('',#22624,.T.); #14436=FACE_OUTER_BOUND('',#22625,.T.); #14437=FACE_OUTER_BOUND('',#22626,.T.); #14438=FACE_OUTER_BOUND('',#22627,.T.); #14439=FACE_OUTER_BOUND('',#22628,.T.); #14440=FACE_OUTER_BOUND('',#22629,.T.); #14441=FACE_OUTER_BOUND('',#22630,.T.); #14442=FACE_OUTER_BOUND('',#22631,.T.); #14443=FACE_OUTER_BOUND('',#22632,.T.); #14444=FACE_OUTER_BOUND('',#22633,.T.); #14445=FACE_OUTER_BOUND('',#22634,.T.); #14446=FACE_OUTER_BOUND('',#22635,.T.); #14447=FACE_OUTER_BOUND('',#22636,.T.); #14448=FACE_OUTER_BOUND('',#22637,.T.); #14449=FACE_OUTER_BOUND('',#22638,.T.); #14450=FACE_OUTER_BOUND('',#22640,.T.); #14451=FACE_OUTER_BOUND('',#22641,.T.); #14452=FACE_OUTER_BOUND('',#22642,.T.); #14453=FACE_OUTER_BOUND('',#22643,.T.); #14454=FACE_OUTER_BOUND('',#22644,.T.); #14455=FACE_OUTER_BOUND('',#22645,.T.); #14456=FACE_OUTER_BOUND('',#22646,.T.); #14457=FACE_OUTER_BOUND('',#22647,.T.); #14458=FACE_OUTER_BOUND('',#22648,.T.); #14459=FACE_OUTER_BOUND('',#22649,.T.); #14460=FACE_OUTER_BOUND('',#22650,.T.); #14461=FACE_OUTER_BOUND('',#22651,.T.); #14462=FACE_OUTER_BOUND('',#22652,.T.); #14463=FACE_OUTER_BOUND('',#22653,.T.); #14464=FACE_OUTER_BOUND('',#22654,.T.); #14465=FACE_OUTER_BOUND('',#22655,.T.); #14466=FACE_OUTER_BOUND('',#22656,.T.); #14467=FACE_OUTER_BOUND('',#22658,.T.); #14468=FACE_OUTER_BOUND('',#22660,.T.); #14469=FACE_OUTER_BOUND('',#22661,.T.); #14470=FACE_OUTER_BOUND('',#22662,.T.); #14471=FACE_OUTER_BOUND('',#22663,.T.); #14472=FACE_OUTER_BOUND('',#22664,.T.); #14473=FACE_OUTER_BOUND('',#22665,.T.); #14474=FACE_OUTER_BOUND('',#22666,.T.); #14475=FACE_OUTER_BOUND('',#22667,.T.); #14476=FACE_OUTER_BOUND('',#22668,.T.); #14477=FACE_OUTER_BOUND('',#22669,.T.); #14478=FACE_OUTER_BOUND('',#22670,.T.); #14479=FACE_OUTER_BOUND('',#22671,.T.); #14480=FACE_OUTER_BOUND('',#22672,.T.); #14481=FACE_OUTER_BOUND('',#22673,.T.); #14482=FACE_OUTER_BOUND('',#22674,.T.); #14483=FACE_OUTER_BOUND('',#22675,.T.); #14484=FACE_OUTER_BOUND('',#22676,.T.); #14485=FACE_OUTER_BOUND('',#22677,.T.); #14486=FACE_OUTER_BOUND('',#22678,.T.); #14487=FACE_OUTER_BOUND('',#22679,.T.); #14488=FACE_OUTER_BOUND('',#22680,.T.); #14489=FACE_OUTER_BOUND('',#22682,.T.); #14490=FACE_OUTER_BOUND('',#22684,.T.); #14491=FACE_OUTER_BOUND('',#22686,.T.); #14492=FACE_OUTER_BOUND('',#22687,.T.); #14493=FACE_OUTER_BOUND('',#22688,.T.); #14494=FACE_OUTER_BOUND('',#22690,.T.); #14495=FACE_OUTER_BOUND('',#22692,.T.); #14496=FACE_OUTER_BOUND('',#22693,.T.); #14497=FACE_OUTER_BOUND('',#22694,.T.); #14498=FACE_OUTER_BOUND('',#22695,.T.); #14499=FACE_OUTER_BOUND('',#22696,.T.); #14500=FACE_OUTER_BOUND('',#22697,.T.); #14501=FACE_OUTER_BOUND('',#22698,.T.); #14502=FACE_OUTER_BOUND('',#22699,.T.); #14503=FACE_OUTER_BOUND('',#22700,.T.); #14504=FACE_OUTER_BOUND('',#22701,.T.); #14505=FACE_OUTER_BOUND('',#22702,.T.); #14506=FACE_OUTER_BOUND('',#22703,.T.); #14507=FACE_OUTER_BOUND('',#22704,.T.); #14508=EDGE_LOOP('',(#100084,#100085,#100086,#100087)); #14509=EDGE_LOOP('',(#100088,#100089,#100090,#100091,#100092,#100093,#100094, #100095)); #14510=EDGE_LOOP('',(#100096,#100097,#100098,#100099,#100100,#100101,#100102, #100103,#100104,#100105,#100106,#100107,#100108,#100109)); #14511=EDGE_LOOP('',(#100110,#100111,#100112,#100113,#100114,#100115)); #14512=EDGE_LOOP('',(#100116,#100117,#100118,#100119)); #14513=EDGE_LOOP('',(#100120,#100121,#100122,#100123,#100124,#100125,#100126, #100127)); #14514=EDGE_LOOP('',(#100128,#100129,#100130,#100131,#100132,#100133)); #14515=EDGE_LOOP('',(#100134,#100135,#100136,#100137,#100138,#100139)); #14516=EDGE_LOOP('',(#100140,#100141,#100142,#100143)); #14517=EDGE_LOOP('',(#100144,#100145,#100146,#100147)); #14518=EDGE_LOOP('',(#100148,#100149,#100150,#100151)); #14519=EDGE_LOOP('',(#100152,#100153,#100154,#100155)); #14520=EDGE_LOOP('',(#100156,#100157,#100158,#100159)); #14521=EDGE_LOOP('',(#100160,#100161,#100162,#100163,#100164,#100165,#100166, #100167,#100168,#100169,#100170,#100171,#100172,#100173,#100174,#100175, #100176,#100177)); #14522=EDGE_LOOP('',(#100178,#100179,#100180,#100181,#100182,#100183,#100184, #100185)); #14523=EDGE_LOOP('',(#100186,#100187,#100188,#100189,#100190,#100191,#100192, #100193,#100194,#100195,#100196,#100197,#100198,#100199,#100200,#100201, #100202,#100203,#100204,#100205,#100206,#100207,#100208,#100209,#100210, #100211,#100212,#100213,#100214,#100215,#100216,#100217)); #14524=EDGE_LOOP('',(#100218,#100219,#100220,#100221)); #14525=EDGE_LOOP('',(#100222,#100223,#100224,#100225)); #14526=EDGE_LOOP('',(#100226,#100227,#100228,#100229)); #14527=EDGE_LOOP('',(#100230,#100231,#100232,#100233,#100234,#100235,#100236, #100237)); #14528=EDGE_LOOP('',(#100238,#100239,#100240,#100241)); #14529=EDGE_LOOP('',(#100242,#100243,#100244,#100245)); #14530=EDGE_LOOP('',(#100246,#100247,#100248,#100249)); #14531=EDGE_LOOP('',(#100250,#100251,#100252,#100253)); #14532=EDGE_LOOP('',(#100254,#100255,#100256,#100257)); #14533=EDGE_LOOP('',(#100258,#100259,#100260,#100261)); #14534=EDGE_LOOP('',(#100262,#100263,#100264,#100265)); #14535=EDGE_LOOP('',(#100266,#100267,#100268,#100269)); #14536=EDGE_LOOP('',(#100270,#100271,#100272,#100273)); #14537=EDGE_LOOP('',(#100274,#100275,#100276,#100277)); #14538=EDGE_LOOP('',(#100278,#100279,#100280,#100281)); #14539=EDGE_LOOP('',(#100282,#100283,#100284,#100285)); #14540=EDGE_LOOP('',(#100286,#100287,#100288,#100289)); #14541=EDGE_LOOP('',(#100290,#100291,#100292,#100293)); #14542=EDGE_LOOP('',(#100294,#100295,#100296,#100297)); #14543=EDGE_LOOP('',(#100298,#100299,#100300,#100301)); #14544=EDGE_LOOP('',(#100302,#100303,#100304,#100305)); #14545=EDGE_LOOP('',(#100306,#100307,#100308,#100309)); #14546=EDGE_LOOP('',(#100310,#100311,#100312,#100313)); #14547=EDGE_LOOP('',(#100314,#100315,#100316,#100317)); #14548=EDGE_LOOP('',(#100318,#100319,#100320,#100321)); #14549=EDGE_LOOP('',(#100322,#100323,#100324,#100325)); #14550=EDGE_LOOP('',(#100326,#100327,#100328,#100329)); #14551=EDGE_LOOP('',(#100330,#100331,#100332,#100333)); #14552=EDGE_LOOP('',(#100334,#100335,#100336,#100337)); #14553=EDGE_LOOP('',(#100338,#100339,#100340,#100341)); #14554=EDGE_LOOP('',(#100342,#100343,#100344,#100345)); #14555=EDGE_LOOP('',(#100346,#100347,#100348,#100349)); #14556=EDGE_LOOP('',(#100350,#100351,#100352,#100353)); #14557=EDGE_LOOP('',(#100354,#100355,#100356,#100357)); #14558=EDGE_LOOP('',(#100358,#100359,#100360,#100361)); #14559=EDGE_LOOP('',(#100362,#100363,#100364,#100365)); #14560=EDGE_LOOP('',(#100366,#100367,#100368,#100369)); #14561=EDGE_LOOP('',(#100370,#100371,#100372,#100373)); #14562=EDGE_LOOP('',(#100374,#100375,#100376,#100377)); #14563=EDGE_LOOP('',(#100378,#100379,#100380,#100381)); #14564=EDGE_LOOP('',(#100382,#100383,#100384,#100385)); #14565=EDGE_LOOP('',(#100386,#100387,#100388,#100389)); #14566=EDGE_LOOP('',(#100390,#100391,#100392,#100393,#100394,#100395,#100396, #100397)); #14567=EDGE_LOOP('',(#100398,#100399,#100400,#100401)); #14568=EDGE_LOOP('',(#100402,#100403,#100404,#100405)); #14569=EDGE_LOOP('',(#100406,#100407,#100408,#100409)); #14570=EDGE_LOOP('',(#100410,#100411,#100412,#100413)); #14571=EDGE_LOOP('',(#100414,#100415,#100416,#100417)); #14572=EDGE_LOOP('',(#100418,#100419,#100420,#100421)); #14573=EDGE_LOOP('',(#100422,#100423,#100424,#100425)); #14574=EDGE_LOOP('',(#100426,#100427,#100428,#100429)); #14575=EDGE_LOOP('',(#100430,#100431,#100432,#100433)); #14576=EDGE_LOOP('',(#100434,#100435,#100436,#100437)); #14577=EDGE_LOOP('',(#100438,#100439,#100440,#100441)); #14578=EDGE_LOOP('',(#100442,#100443,#100444,#100445)); #14579=EDGE_LOOP('',(#100446,#100447,#100448,#100449)); #14580=EDGE_LOOP('',(#100450,#100451,#100452,#100453)); #14581=EDGE_LOOP('',(#100454,#100455,#100456,#100457,#100458,#100459,#100460, #100461,#100462,#100463,#100464,#100465,#100466,#100467,#100468,#100469, #100470,#100471,#100472,#100473,#100474,#100475,#100476,#100477,#100478, #100479,#100480)); #14582=EDGE_LOOP('',(#100481,#100482,#100483,#100484)); #14583=EDGE_LOOP('',(#100485,#100486,#100487,#100488,#100489,#100490,#100491, #100492,#100493,#100494,#100495,#100496,#100497,#100498,#100499,#100500, #100501,#100502,#100503,#100504,#100505,#100506,#100507,#100508,#100509, #100510,#100511,#100512,#100513,#100514,#100515,#100516,#100517,#100518, #100519)); #14584=EDGE_LOOP('',(#100520)); #14585=EDGE_LOOP('',(#100521)); #14586=EDGE_LOOP('',(#100522,#100523,#100524,#100525,#100526,#100527,#100528, #100529)); #14587=EDGE_LOOP('',(#100530,#100531,#100532,#100533)); #14588=EDGE_LOOP('',(#100534,#100535,#100536,#100537)); #14589=EDGE_LOOP('',(#100538)); #14590=EDGE_LOOP('',(#100539)); #14591=EDGE_LOOP('',(#100540,#100541,#100542,#100543)); #14592=EDGE_LOOP('',(#100544)); #14593=EDGE_LOOP('',(#100545)); #14594=EDGE_LOOP('',(#100546,#100547,#100548,#100549)); #14595=EDGE_LOOP('',(#100550,#100551,#100552,#100553)); #14596=EDGE_LOOP('',(#100554,#100555,#100556,#100557)); #14597=EDGE_LOOP('',(#100558,#100559,#100560,#100561,#100562,#100563,#100564, #100565,#100566,#100567,#100568,#100569,#100570,#100571,#100572,#100573, #100574,#100575,#100576,#100577)); #14598=EDGE_LOOP('',(#100578,#100579,#100580,#100581)); #14599=EDGE_LOOP('',(#100582,#100583,#100584,#100585)); #14600=EDGE_LOOP('',(#100586,#100587,#100588,#100589)); #14601=EDGE_LOOP('',(#100590,#100591,#100592,#100593)); #14602=EDGE_LOOP('',(#100594,#100595,#100596,#100597)); #14603=EDGE_LOOP('',(#100598,#100599,#100600,#100601)); #14604=EDGE_LOOP('',(#100602,#100603,#100604,#100605)); #14605=EDGE_LOOP('',(#100606,#100607,#100608,#100609)); #14606=EDGE_LOOP('',(#100610,#100611,#100612,#100613)); #14607=EDGE_LOOP('',(#100614,#100615,#100616,#100617)); #14608=EDGE_LOOP('',(#100618,#100619,#100620,#100621)); #14609=EDGE_LOOP('',(#100622,#100623,#100624,#100625)); #14610=EDGE_LOOP('',(#100626,#100627,#100628,#100629)); #14611=EDGE_LOOP('',(#100630,#100631,#100632,#100633)); #14612=EDGE_LOOP('',(#100634,#100635,#100636,#100637)); #14613=EDGE_LOOP('',(#100638,#100639,#100640,#100641)); #14614=EDGE_LOOP('',(#100642,#100643,#100644,#100645)); #14615=EDGE_LOOP('',(#100646,#100647,#100648,#100649)); #14616=EDGE_LOOP('',(#100650,#100651,#100652,#100653)); #14617=EDGE_LOOP('',(#100654,#100655,#100656,#100657)); #14618=EDGE_LOOP('',(#100658,#100659,#100660,#100661)); #14619=EDGE_LOOP('',(#100662,#100663,#100664,#100665)); #14620=EDGE_LOOP('',(#100666,#100667,#100668,#100669)); #14621=EDGE_LOOP('',(#100670,#100671,#100672,#100673)); #14622=EDGE_LOOP('',(#100674,#100675,#100676,#100677)); #14623=EDGE_LOOP('',(#100678,#100679,#100680,#100681)); #14624=EDGE_LOOP('',(#100682,#100683,#100684,#100685)); #14625=EDGE_LOOP('',(#100686,#100687,#100688,#100689)); #14626=EDGE_LOOP('',(#100690,#100691,#100692,#100693)); #14627=EDGE_LOOP('',(#100694,#100695,#100696,#100697)); #14628=EDGE_LOOP('',(#100698,#100699,#100700,#100701)); #14629=EDGE_LOOP('',(#100702,#100703,#100704,#100705)); #14630=EDGE_LOOP('',(#100706,#100707,#100708,#100709)); #14631=EDGE_LOOP('',(#100710,#100711,#100712,#100713)); #14632=EDGE_LOOP('',(#100714,#100715,#100716,#100717)); #14633=EDGE_LOOP('',(#100718,#100719,#100720,#100721)); #14634=EDGE_LOOP('',(#100722,#100723,#100724,#100725)); #14635=EDGE_LOOP('',(#100726,#100727,#100728,#100729)); #14636=EDGE_LOOP('',(#100730,#100731,#100732,#100733)); #14637=EDGE_LOOP('',(#100734,#100735,#100736,#100737)); #14638=EDGE_LOOP('',(#100738,#100739,#100740,#100741)); #14639=EDGE_LOOP('',(#100742,#100743,#100744,#100745)); #14640=EDGE_LOOP('',(#100746,#100747,#100748,#100749)); #14641=EDGE_LOOP('',(#100750,#100751,#100752,#100753)); #14642=EDGE_LOOP('',(#100754,#100755,#100756,#100757)); #14643=EDGE_LOOP('',(#100758,#100759,#100760,#100761)); #14644=EDGE_LOOP('',(#100762,#100763,#100764,#100765)); #14645=EDGE_LOOP('',(#100766,#100767,#100768,#100769,#100770,#100771,#100772, #100773,#100774,#100775,#100776,#100777,#100778,#100779,#100780,#100781, #100782,#100783,#100784,#100785,#100786,#100787,#100788,#100789,#100790, #100791,#100792,#100793,#100794,#100795,#100796,#100797,#100798,#100799, #100800,#100801,#100802,#100803,#100804,#100805,#100806,#100807,#100808, #100809,#100810,#100811,#100812,#100813,#100814,#100815,#100816,#100817, #100818,#100819,#100820,#100821,#100822,#100823,#100824,#100825,#100826, #100827,#100828,#100829,#100830,#100831,#100832,#100833,#100834,#100835, #100836,#100837)); #14646=EDGE_LOOP('',(#100838)); #14647=EDGE_LOOP('',(#100839)); #14648=EDGE_LOOP('',(#100840,#100841,#100842,#100843)); #14649=EDGE_LOOP('',(#100844,#100845,#100846,#100847)); #14650=EDGE_LOOP('',(#100848,#100849,#100850,#100851)); #14651=EDGE_LOOP('',(#100852,#100853,#100854,#100855)); #14652=EDGE_LOOP('',(#100856,#100857,#100858,#100859)); #14653=EDGE_LOOP('',(#100860,#100861,#100862,#100863)); #14654=EDGE_LOOP('',(#100864,#100865,#100866,#100867)); #14655=EDGE_LOOP('',(#100868,#100869,#100870,#100871)); #14656=EDGE_LOOP('',(#100872,#100873,#100874,#100875)); #14657=EDGE_LOOP('',(#100876,#100877,#100878,#100879)); #14658=EDGE_LOOP('',(#100880,#100881,#100882,#100883)); #14659=EDGE_LOOP('',(#100884,#100885,#100886,#100887)); #14660=EDGE_LOOP('',(#100888,#100889,#100890,#100891)); #14661=EDGE_LOOP('',(#100892,#100893,#100894,#100895)); #14662=EDGE_LOOP('',(#100896,#100897,#100898,#100899)); #14663=EDGE_LOOP('',(#100900,#100901,#100902,#100903)); #14664=EDGE_LOOP('',(#100904,#100905,#100906,#100907)); #14665=EDGE_LOOP('',(#100908,#100909,#100910,#100911)); #14666=EDGE_LOOP('',(#100912,#100913,#100914,#100915)); #14667=EDGE_LOOP('',(#100916,#100917,#100918,#100919)); #14668=EDGE_LOOP('',(#100920,#100921,#100922,#100923)); #14669=EDGE_LOOP('',(#100924,#100925,#100926,#100927)); #14670=EDGE_LOOP('',(#100928,#100929,#100930,#100931)); #14671=EDGE_LOOP('',(#100932,#100933,#100934,#100935)); #14672=EDGE_LOOP('',(#100936,#100937,#100938,#100939)); #14673=EDGE_LOOP('',(#100940,#100941,#100942,#100943)); #14674=EDGE_LOOP('',(#100944,#100945,#100946,#100947)); #14675=EDGE_LOOP('',(#100948,#100949,#100950,#100951)); #14676=EDGE_LOOP('',(#100952,#100953,#100954,#100955)); #14677=EDGE_LOOP('',(#100956,#100957,#100958,#100959)); #14678=EDGE_LOOP('',(#100960,#100961,#100962,#100963)); #14679=EDGE_LOOP('',(#100964,#100965,#100966,#100967)); #14680=EDGE_LOOP('',(#100968,#100969,#100970,#100971)); #14681=EDGE_LOOP('',(#100972,#100973,#100974,#100975)); #14682=EDGE_LOOP('',(#100976,#100977,#100978,#100979)); #14683=EDGE_LOOP('',(#100980,#100981,#100982,#100983)); #14684=EDGE_LOOP('',(#100984,#100985,#100986,#100987)); #14685=EDGE_LOOP('',(#100988,#100989,#100990,#100991)); #14686=EDGE_LOOP('',(#100992,#100993,#100994,#100995)); #14687=EDGE_LOOP('',(#100996,#100997,#100998,#100999)); #14688=EDGE_LOOP('',(#101000,#101001,#101002,#101003)); #14689=EDGE_LOOP('',(#101004,#101005,#101006,#101007)); #14690=EDGE_LOOP('',(#101008,#101009,#101010,#101011)); #14691=EDGE_LOOP('',(#101012,#101013,#101014,#101015)); #14692=EDGE_LOOP('',(#101016,#101017,#101018,#101019)); #14693=EDGE_LOOP('',(#101020,#101021,#101022,#101023)); #14694=EDGE_LOOP('',(#101024,#101025,#101026,#101027)); #14695=EDGE_LOOP('',(#101028,#101029,#101030,#101031)); #14696=EDGE_LOOP('',(#101032,#101033,#101034,#101035)); #14697=EDGE_LOOP('',(#101036,#101037,#101038,#101039)); #14698=EDGE_LOOP('',(#101040,#101041,#101042,#101043)); #14699=EDGE_LOOP('',(#101044,#101045,#101046,#101047)); #14700=EDGE_LOOP('',(#101048,#101049,#101050,#101051)); #14701=EDGE_LOOP('',(#101052,#101053,#101054,#101055)); #14702=EDGE_LOOP('',(#101056,#101057,#101058,#101059)); #14703=EDGE_LOOP('',(#101060,#101061,#101062,#101063)); #14704=EDGE_LOOP('',(#101064,#101065,#101066,#101067)); #14705=EDGE_LOOP('',(#101068,#101069,#101070,#101071)); #14706=EDGE_LOOP('',(#101072,#101073,#101074,#101075)); #14707=EDGE_LOOP('',(#101076,#101077,#101078,#101079)); #14708=EDGE_LOOP('',(#101080,#101081,#101082,#101083)); #14709=EDGE_LOOP('',(#101084,#101085,#101086,#101087)); #14710=EDGE_LOOP('',(#101088,#101089,#101090,#101091)); #14711=EDGE_LOOP('',(#101092,#101093,#101094,#101095)); #14712=EDGE_LOOP('',(#101096,#101097,#101098,#101099)); #14713=EDGE_LOOP('',(#101100,#101101,#101102,#101103)); #14714=EDGE_LOOP('',(#101104,#101105,#101106,#101107)); #14715=EDGE_LOOP('',(#101108,#101109,#101110,#101111)); #14716=EDGE_LOOP('',(#101112,#101113,#101114,#101115)); #14717=EDGE_LOOP('',(#101116,#101117,#101118,#101119)); #14718=EDGE_LOOP('',(#101120,#101121,#101122,#101123)); #14719=EDGE_LOOP('',(#101124,#101125,#101126,#101127)); #14720=EDGE_LOOP('',(#101128,#101129,#101130,#101131)); #14721=EDGE_LOOP('',(#101132,#101133,#101134,#101135)); #14722=EDGE_LOOP('',(#101136,#101137,#101138,#101139)); #14723=EDGE_LOOP('',(#101140,#101141,#101142,#101143)); #14724=EDGE_LOOP('',(#101144,#101145,#101146,#101147)); #14725=EDGE_LOOP('',(#101148,#101149,#101150,#101151)); #14726=EDGE_LOOP('',(#101152,#101153,#101154,#101155)); #14727=EDGE_LOOP('',(#101156,#101157,#101158,#101159)); #14728=EDGE_LOOP('',(#101160,#101161,#101162,#101163)); #14729=EDGE_LOOP('',(#101164,#101165,#101166,#101167)); #14730=EDGE_LOOP('',(#101168,#101169,#101170,#101171)); #14731=EDGE_LOOP('',(#101172,#101173,#101174,#101175)); #14732=EDGE_LOOP('',(#101176,#101177,#101178,#101179)); #14733=EDGE_LOOP('',(#101180,#101181,#101182,#101183)); #14734=EDGE_LOOP('',(#101184,#101185,#101186,#101187)); #14735=EDGE_LOOP('',(#101188,#101189,#101190,#101191)); #14736=EDGE_LOOP('',(#101192,#101193,#101194,#101195)); #14737=EDGE_LOOP('',(#101196,#101197,#101198,#101199)); #14738=EDGE_LOOP('',(#101200,#101201,#101202,#101203)); #14739=EDGE_LOOP('',(#101204,#101205,#101206,#101207)); #14740=EDGE_LOOP('',(#101208,#101209,#101210,#101211)); #14741=EDGE_LOOP('',(#101212,#101213,#101214,#101215)); #14742=EDGE_LOOP('',(#101216,#101217,#101218,#101219)); #14743=EDGE_LOOP('',(#101220,#101221,#101222,#101223)); #14744=EDGE_LOOP('',(#101224,#101225,#101226,#101227)); #14745=EDGE_LOOP('',(#101228,#101229,#101230,#101231)); #14746=EDGE_LOOP('',(#101232,#101233,#101234,#101235)); #14747=EDGE_LOOP('',(#101236,#101237,#101238,#101239)); #14748=EDGE_LOOP('',(#101240,#101241,#101242,#101243)); #14749=EDGE_LOOP('',(#101244,#101245,#101246,#101247)); #14750=EDGE_LOOP('',(#101248,#101249,#101250,#101251)); #14751=EDGE_LOOP('',(#101252,#101253,#101254,#101255)); #14752=EDGE_LOOP('',(#101256,#101257,#101258,#101259)); #14753=EDGE_LOOP('',(#101260,#101261,#101262,#101263)); #14754=EDGE_LOOP('',(#101264,#101265,#101266,#101267)); #14755=EDGE_LOOP('',(#101268,#101269,#101270,#101271)); #14756=EDGE_LOOP('',(#101272,#101273,#101274,#101275)); #14757=EDGE_LOOP('',(#101276,#101277,#101278,#101279)); #14758=EDGE_LOOP('',(#101280,#101281,#101282,#101283)); #14759=EDGE_LOOP('',(#101284,#101285,#101286,#101287)); #14760=EDGE_LOOP('',(#101288,#101289,#101290,#101291)); #14761=EDGE_LOOP('',(#101292,#101293,#101294,#101295)); #14762=EDGE_LOOP('',(#101296,#101297,#101298,#101299)); #14763=EDGE_LOOP('',(#101300,#101301,#101302,#101303)); #14764=EDGE_LOOP('',(#101304,#101305,#101306,#101307)); #14765=EDGE_LOOP('',(#101308,#101309,#101310,#101311)); #14766=EDGE_LOOP('',(#101312,#101313,#101314,#101315)); #14767=EDGE_LOOP('',(#101316,#101317,#101318,#101319,#101320,#101321,#101322, #101323,#101324,#101325,#101326,#101327,#101328,#101329,#101330,#101331, #101332,#101333,#101334,#101335,#101336,#101337,#101338,#101339,#101340, #101341,#101342,#101343,#101344,#101345,#101346,#101347,#101348,#101349, #101350,#101351,#101352,#101353,#101354,#101355,#101356,#101357,#101358, #101359,#101360,#101361,#101362,#101363,#101364,#101365,#101366,#101367, #101368,#101369,#101370,#101371,#101372,#101373,#101374,#101375,#101376, #101377,#101378,#101379,#101380,#101381,#101382,#101383,#101384,#101385, #101386,#101387,#101388,#101389,#101390,#101391,#101392,#101393,#101394, #101395,#101396,#101397,#101398,#101399,#101400,#101401,#101402,#101403, #101404,#101405,#101406,#101407,#101408,#101409,#101410,#101411,#101412, #101413,#101414,#101415,#101416,#101417,#101418,#101419,#101420,#101421, #101422,#101423,#101424,#101425,#101426,#101427)); #14768=EDGE_LOOP('',(#101428,#101429,#101430,#101431,#101432,#101433,#101434)); #14769=EDGE_LOOP('',(#101435,#101436,#101437,#101438)); #14770=EDGE_LOOP('',(#101439,#101440,#101441,#101442)); #14771=EDGE_LOOP('',(#101443,#101444,#101445,#101446)); #14772=EDGE_LOOP('',(#101447,#101448,#101449,#101450)); #14773=EDGE_LOOP('',(#101451,#101452,#101453,#101454)); #14774=EDGE_LOOP('',(#101455,#101456,#101457,#101458)); #14775=EDGE_LOOP('',(#101459,#101460,#101461,#101462)); #14776=EDGE_LOOP('',(#101463,#101464,#101465,#101466)); #14777=EDGE_LOOP('',(#101467,#101468,#101469,#101470)); #14778=EDGE_LOOP('',(#101471,#101472,#101473,#101474)); #14779=EDGE_LOOP('',(#101475,#101476,#101477,#101478)); #14780=EDGE_LOOP('',(#101479,#101480,#101481,#101482)); #14781=EDGE_LOOP('',(#101483,#101484,#101485,#101486)); #14782=EDGE_LOOP('',(#101487,#101488,#101489,#101490)); #14783=EDGE_LOOP('',(#101491,#101492,#101493,#101494)); #14784=EDGE_LOOP('',(#101495,#101496,#101497,#101498)); #14785=EDGE_LOOP('',(#101499,#101500,#101501,#101502)); #14786=EDGE_LOOP('',(#101503,#101504,#101505,#101506)); #14787=EDGE_LOOP('',(#101507,#101508,#101509,#101510)); #14788=EDGE_LOOP('',(#101511,#101512,#101513,#101514)); #14789=EDGE_LOOP('',(#101515,#101516,#101517,#101518)); #14790=EDGE_LOOP('',(#101519,#101520,#101521,#101522)); #14791=EDGE_LOOP('',(#101523,#101524,#101525,#101526)); #14792=EDGE_LOOP('',(#101527,#101528,#101529,#101530)); #14793=EDGE_LOOP('',(#101531,#101532,#101533,#101534)); #14794=EDGE_LOOP('',(#101535,#101536,#101537,#101538)); #14795=EDGE_LOOP('',(#101539,#101540,#101541,#101542)); #14796=EDGE_LOOP('',(#101543,#101544,#101545,#101546)); #14797=EDGE_LOOP('',(#101547,#101548,#101549,#101550)); #14798=EDGE_LOOP('',(#101551,#101552,#101553,#101554)); #14799=EDGE_LOOP('',(#101555,#101556,#101557,#101558)); #14800=EDGE_LOOP('',(#101559,#101560,#101561,#101562)); #14801=EDGE_LOOP('',(#101563,#101564,#101565,#101566)); #14802=EDGE_LOOP('',(#101567,#101568,#101569,#101570)); #14803=EDGE_LOOP('',(#101571,#101572,#101573,#101574)); #14804=EDGE_LOOP('',(#101575,#101576,#101577,#101578)); #14805=EDGE_LOOP('',(#101579,#101580,#101581,#101582)); #14806=EDGE_LOOP('',(#101583,#101584,#101585,#101586)); #14807=EDGE_LOOP('',(#101587,#101588,#101589,#101590)); #14808=EDGE_LOOP('',(#101591,#101592,#101593,#101594)); #14809=EDGE_LOOP('',(#101595,#101596,#101597,#101598)); #14810=EDGE_LOOP('',(#101599,#101600,#101601,#101602)); #14811=EDGE_LOOP('',(#101603,#101604,#101605,#101606)); #14812=EDGE_LOOP('',(#101607,#101608,#101609,#101610)); #14813=EDGE_LOOP('',(#101611,#101612,#101613,#101614)); #14814=EDGE_LOOP('',(#101615,#101616,#101617,#101618)); #14815=EDGE_LOOP('',(#101619,#101620,#101621,#101622)); #14816=EDGE_LOOP('',(#101623,#101624,#101625,#101626)); #14817=EDGE_LOOP('',(#101627,#101628,#101629,#101630)); #14818=EDGE_LOOP('',(#101631,#101632,#101633,#101634)); #14819=EDGE_LOOP('',(#101635,#101636,#101637,#101638)); #14820=EDGE_LOOP('',(#101639,#101640,#101641,#101642)); #14821=EDGE_LOOP('',(#101643,#101644,#101645,#101646)); #14822=EDGE_LOOP('',(#101647,#101648,#101649,#101650)); #14823=EDGE_LOOP('',(#101651,#101652,#101653,#101654)); #14824=EDGE_LOOP('',(#101655,#101656,#101657,#101658)); #14825=EDGE_LOOP('',(#101659,#101660,#101661,#101662)); #14826=EDGE_LOOP('',(#101663,#101664,#101665,#101666)); #14827=EDGE_LOOP('',(#101667,#101668,#101669,#101670)); #14828=EDGE_LOOP('',(#101671,#101672,#101673,#101674)); #14829=EDGE_LOOP('',(#101675,#101676,#101677,#101678)); #14830=EDGE_LOOP('',(#101679,#101680,#101681,#101682)); #14831=EDGE_LOOP('',(#101683,#101684,#101685,#101686)); #14832=EDGE_LOOP('',(#101687,#101688,#101689,#101690)); #14833=EDGE_LOOP('',(#101691,#101692,#101693,#101694)); #14834=EDGE_LOOP('',(#101695,#101696,#101697,#101698)); #14835=EDGE_LOOP('',(#101699,#101700,#101701,#101702)); #14836=EDGE_LOOP('',(#101703,#101704,#101705,#101706)); #14837=EDGE_LOOP('',(#101707,#101708,#101709,#101710)); #14838=EDGE_LOOP('',(#101711,#101712,#101713,#101714)); #14839=EDGE_LOOP('',(#101715,#101716,#101717,#101718)); #14840=EDGE_LOOP('',(#101719,#101720,#101721,#101722)); #14841=EDGE_LOOP('',(#101723,#101724,#101725,#101726)); #14842=EDGE_LOOP('',(#101727,#101728,#101729,#101730)); #14843=EDGE_LOOP('',(#101731,#101732,#101733,#101734)); #14844=EDGE_LOOP('',(#101735,#101736,#101737,#101738)); #14845=EDGE_LOOP('',(#101739,#101740,#101741,#101742)); #14846=EDGE_LOOP('',(#101743,#101744,#101745,#101746)); #14847=EDGE_LOOP('',(#101747,#101748,#101749,#101750)); #14848=EDGE_LOOP('',(#101751,#101752,#101753,#101754)); #14849=EDGE_LOOP('',(#101755,#101756,#101757,#101758)); #14850=EDGE_LOOP('',(#101759,#101760,#101761,#101762)); #14851=EDGE_LOOP('',(#101763,#101764,#101765,#101766)); #14852=EDGE_LOOP('',(#101767,#101768,#101769,#101770)); #14853=EDGE_LOOP('',(#101771,#101772,#101773,#101774)); #14854=EDGE_LOOP('',(#101775,#101776,#101777,#101778)); #14855=EDGE_LOOP('',(#101779,#101780,#101781,#101782)); #14856=EDGE_LOOP('',(#101783,#101784,#101785,#101786)); #14857=EDGE_LOOP('',(#101787,#101788,#101789,#101790)); #14858=EDGE_LOOP('',(#101791,#101792,#101793,#101794)); #14859=EDGE_LOOP('',(#101795,#101796,#101797,#101798)); #14860=EDGE_LOOP('',(#101799,#101800,#101801,#101802)); #14861=EDGE_LOOP('',(#101803,#101804,#101805,#101806)); #14862=EDGE_LOOP('',(#101807,#101808,#101809,#101810)); #14863=EDGE_LOOP('',(#101811,#101812,#101813,#101814)); #14864=EDGE_LOOP('',(#101815,#101816,#101817,#101818)); #14865=EDGE_LOOP('',(#101819,#101820,#101821,#101822)); #14866=EDGE_LOOP('',(#101823,#101824,#101825,#101826)); #14867=EDGE_LOOP('',(#101827,#101828,#101829,#101830)); #14868=EDGE_LOOP('',(#101831,#101832,#101833,#101834)); #14869=EDGE_LOOP('',(#101835,#101836,#101837,#101838)); #14870=EDGE_LOOP('',(#101839,#101840,#101841,#101842)); #14871=EDGE_LOOP('',(#101843,#101844,#101845,#101846,#101847,#101848,#101849, #101850,#101851,#101852,#101853,#101854,#101855,#101856,#101857,#101858, #101859,#101860,#101861,#101862,#101863,#101864,#101865,#101866,#101867, #101868,#101869,#101870,#101871,#101872,#101873,#101874,#101875,#101876, #101877,#101878,#101879,#101880,#101881,#101882,#101883,#101884,#101885, #101886,#101887,#101888,#101889,#101890,#101891,#101892,#101893,#101894, #101895,#101896,#101897,#101898,#101899,#101900,#101901,#101902,#101903, #101904,#101905,#101906,#101907,#101908,#101909,#101910,#101911,#101912, #101913,#101914,#101915,#101916,#101917,#101918,#101919,#101920,#101921, #101922,#101923,#101924,#101925,#101926,#101927,#101928,#101929,#101930, #101931,#101932,#101933)); #14872=EDGE_LOOP('',(#101934,#101935,#101936,#101937,#101938,#101939,#101940, #101941,#101942,#101943,#101944)); #14873=EDGE_LOOP('',(#101945,#101946,#101947,#101948)); #14874=EDGE_LOOP('',(#101949,#101950,#101951,#101952)); #14875=EDGE_LOOP('',(#101953,#101954,#101955,#101956)); #14876=EDGE_LOOP('',(#101957,#101958,#101959,#101960)); #14877=EDGE_LOOP('',(#101961,#101962,#101963,#101964)); #14878=EDGE_LOOP('',(#101965,#101966,#101967,#101968,#101969)); #14879=EDGE_LOOP('',(#101970,#101971,#101972,#101973)); #14880=EDGE_LOOP('',(#101974,#101975,#101976,#101977)); #14881=EDGE_LOOP('',(#101978,#101979,#101980,#101981)); #14882=EDGE_LOOP('',(#101982,#101983,#101984,#101985)); #14883=EDGE_LOOP('',(#101986,#101987,#101988,#101989)); #14884=EDGE_LOOP('',(#101990,#101991,#101992,#101993)); #14885=EDGE_LOOP('',(#101994,#101995,#101996,#101997)); #14886=EDGE_LOOP('',(#101998,#101999,#102000,#102001)); #14887=EDGE_LOOP('',(#102002,#102003,#102004,#102005)); #14888=EDGE_LOOP('',(#102006,#102007,#102008,#102009)); #14889=EDGE_LOOP('',(#102010,#102011,#102012,#102013)); #14890=EDGE_LOOP('',(#102014,#102015,#102016,#102017)); #14891=EDGE_LOOP('',(#102018,#102019,#102020,#102021)); #14892=EDGE_LOOP('',(#102022,#102023,#102024,#102025)); #14893=EDGE_LOOP('',(#102026,#102027,#102028,#102029)); #14894=EDGE_LOOP('',(#102030,#102031,#102032,#102033)); #14895=EDGE_LOOP('',(#102034,#102035,#102036,#102037)); #14896=EDGE_LOOP('',(#102038,#102039,#102040,#102041)); #14897=EDGE_LOOP('',(#102042,#102043,#102044,#102045)); #14898=EDGE_LOOP('',(#102046,#102047,#102048,#102049)); #14899=EDGE_LOOP('',(#102050,#102051,#102052,#102053)); #14900=EDGE_LOOP('',(#102054,#102055,#102056,#102057)); #14901=EDGE_LOOP('',(#102058,#102059,#102060,#102061)); #14902=EDGE_LOOP('',(#102062,#102063,#102064,#102065)); #14903=EDGE_LOOP('',(#102066,#102067,#102068,#102069)); #14904=EDGE_LOOP('',(#102070,#102071,#102072,#102073)); #14905=EDGE_LOOP('',(#102074,#102075,#102076,#102077)); #14906=EDGE_LOOP('',(#102078,#102079,#102080,#102081)); #14907=EDGE_LOOP('',(#102082,#102083,#102084,#102085)); #14908=EDGE_LOOP('',(#102086,#102087,#102088,#102089)); #14909=EDGE_LOOP('',(#102090,#102091,#102092,#102093)); #14910=EDGE_LOOP('',(#102094,#102095,#102096,#102097)); #14911=EDGE_LOOP('',(#102098,#102099,#102100,#102101)); #14912=EDGE_LOOP('',(#102102,#102103,#102104,#102105)); #14913=EDGE_LOOP('',(#102106,#102107,#102108,#102109)); #14914=EDGE_LOOP('',(#102110,#102111,#102112,#102113)); #14915=EDGE_LOOP('',(#102114,#102115,#102116,#102117)); #14916=EDGE_LOOP('',(#102118,#102119,#102120,#102121)); #14917=EDGE_LOOP('',(#102122,#102123,#102124,#102125)); #14918=EDGE_LOOP('',(#102126,#102127,#102128,#102129)); #14919=EDGE_LOOP('',(#102130,#102131,#102132,#102133)); #14920=EDGE_LOOP('',(#102134,#102135,#102136,#102137)); #14921=EDGE_LOOP('',(#102138,#102139,#102140,#102141)); #14922=EDGE_LOOP('',(#102142,#102143,#102144,#102145)); #14923=EDGE_LOOP('',(#102146,#102147,#102148,#102149)); #14924=EDGE_LOOP('',(#102150,#102151,#102152,#102153)); #14925=EDGE_LOOP('',(#102154,#102155,#102156,#102157)); #14926=EDGE_LOOP('',(#102158,#102159,#102160,#102161)); #14927=EDGE_LOOP('',(#102162,#102163,#102164,#102165)); #14928=EDGE_LOOP('',(#102166,#102167,#102168,#102169)); #14929=EDGE_LOOP('',(#102170,#102171,#102172,#102173)); #14930=EDGE_LOOP('',(#102174,#102175,#102176,#102177)); #14931=EDGE_LOOP('',(#102178,#102179,#102180,#102181)); #14932=EDGE_LOOP('',(#102182,#102183,#102184,#102185)); #14933=EDGE_LOOP('',(#102186,#102187,#102188,#102189)); #14934=EDGE_LOOP('',(#102190,#102191,#102192,#102193)); #14935=EDGE_LOOP('',(#102194,#102195,#102196,#102197)); #14936=EDGE_LOOP('',(#102198,#102199,#102200,#102201)); #14937=EDGE_LOOP('',(#102202,#102203,#102204,#102205)); #14938=EDGE_LOOP('',(#102206,#102207,#102208,#102209)); #14939=EDGE_LOOP('',(#102210,#102211,#102212,#102213)); #14940=EDGE_LOOP('',(#102214,#102215,#102216,#102217)); #14941=EDGE_LOOP('',(#102218,#102219,#102220,#102221)); #14942=EDGE_LOOP('',(#102222,#102223,#102224,#102225)); #14943=EDGE_LOOP('',(#102226,#102227,#102228,#102229)); #14944=EDGE_LOOP('',(#102230,#102231,#102232,#102233)); #14945=EDGE_LOOP('',(#102234,#102235,#102236,#102237)); #14946=EDGE_LOOP('',(#102238,#102239,#102240,#102241)); #14947=EDGE_LOOP('',(#102242,#102243,#102244,#102245)); #14948=EDGE_LOOP('',(#102246,#102247,#102248,#102249)); #14949=EDGE_LOOP('',(#102250,#102251,#102252,#102253)); #14950=EDGE_LOOP('',(#102254,#102255,#102256,#102257)); #14951=EDGE_LOOP('',(#102258,#102259,#102260,#102261)); #14952=EDGE_LOOP('',(#102262,#102263,#102264,#102265)); #14953=EDGE_LOOP('',(#102266,#102267,#102268,#102269)); #14954=EDGE_LOOP('',(#102270,#102271,#102272,#102273)); #14955=EDGE_LOOP('',(#102274,#102275,#102276,#102277)); #14956=EDGE_LOOP('',(#102278,#102279,#102280,#102281)); #14957=EDGE_LOOP('',(#102282,#102283,#102284,#102285)); #14958=EDGE_LOOP('',(#102286,#102287,#102288,#102289)); #14959=EDGE_LOOP('',(#102290,#102291,#102292,#102293)); #14960=EDGE_LOOP('',(#102294,#102295,#102296,#102297)); #14961=EDGE_LOOP('',(#102298,#102299,#102300,#102301)); #14962=EDGE_LOOP('',(#102302,#102303,#102304,#102305)); #14963=EDGE_LOOP('',(#102306,#102307,#102308,#102309)); #14964=EDGE_LOOP('',(#102310,#102311,#102312,#102313)); #14965=EDGE_LOOP('',(#102314,#102315,#102316,#102317)); #14966=EDGE_LOOP('',(#102318,#102319,#102320,#102321)); #14967=EDGE_LOOP('',(#102322,#102323,#102324,#102325)); #14968=EDGE_LOOP('',(#102326,#102327,#102328,#102329)); #14969=EDGE_LOOP('',(#102330,#102331,#102332,#102333)); #14970=EDGE_LOOP('',(#102334,#102335,#102336,#102337)); #14971=EDGE_LOOP('',(#102338,#102339,#102340,#102341)); #14972=EDGE_LOOP('',(#102342,#102343,#102344,#102345)); #14973=EDGE_LOOP('',(#102346,#102347,#102348,#102349,#102350,#102351,#102352, #102353,#102354,#102355,#102356,#102357,#102358,#102359,#102360,#102361, #102362,#102363,#102364,#102365,#102366,#102367,#102368,#102369,#102370, #102371,#102372,#102373,#102374,#102375,#102376,#102377,#102378,#102379, #102380,#102381,#102382,#102383,#102384,#102385,#102386,#102387,#102388, #102389,#102390,#102391,#102392,#102393,#102394,#102395,#102396,#102397, #102398,#102399,#102400,#102401,#102402,#102403,#102404,#102405,#102406, #102407,#102408,#102409,#102410,#102411,#102412,#102413,#102414,#102415, #102416,#102417,#102418,#102419,#102420,#102421,#102422,#102423,#102424, #102425,#102426,#102427,#102428,#102429,#102430,#102431,#102432,#102433, #102434,#102435,#102436,#102437,#102438,#102439)); #14974=EDGE_LOOP('',(#102440,#102441,#102442,#102443)); #14975=EDGE_LOOP('',(#102444,#102445,#102446,#102447)); #14976=EDGE_LOOP('',(#102448,#102449,#102450,#102451)); #14977=EDGE_LOOP('',(#102452,#102453,#102454,#102455)); #14978=EDGE_LOOP('',(#102456,#102457,#102458,#102459)); #14979=EDGE_LOOP('',(#102460,#102461,#102462,#102463)); #14980=EDGE_LOOP('',(#102464,#102465,#102466,#102467)); #14981=EDGE_LOOP('',(#102468,#102469,#102470,#102471)); #14982=EDGE_LOOP('',(#102472,#102473,#102474,#102475)); #14983=EDGE_LOOP('',(#102476,#102477,#102478,#102479)); #14984=EDGE_LOOP('',(#102480,#102481,#102482,#102483)); #14985=EDGE_LOOP('',(#102484,#102485,#102486,#102487)); #14986=EDGE_LOOP('',(#102488,#102489,#102490,#102491)); #14987=EDGE_LOOP('',(#102492,#102493,#102494,#102495)); #14988=EDGE_LOOP('',(#102496,#102497,#102498,#102499)); #14989=EDGE_LOOP('',(#102500,#102501,#102502,#102503)); #14990=EDGE_LOOP('',(#102504,#102505,#102506,#102507)); #14991=EDGE_LOOP('',(#102508,#102509,#102510,#102511)); #14992=EDGE_LOOP('',(#102512,#102513,#102514,#102515)); #14993=EDGE_LOOP('',(#102516,#102517,#102518,#102519)); #14994=EDGE_LOOP('',(#102520,#102521,#102522,#102523)); #14995=EDGE_LOOP('',(#102524,#102525,#102526,#102527)); #14996=EDGE_LOOP('',(#102528,#102529,#102530,#102531)); #14997=EDGE_LOOP('',(#102532,#102533,#102534,#102535)); #14998=EDGE_LOOP('',(#102536,#102537,#102538,#102539)); #14999=EDGE_LOOP('',(#102540,#102541,#102542,#102543)); #15000=EDGE_LOOP('',(#102544,#102545,#102546,#102547)); #15001=EDGE_LOOP('',(#102548,#102549,#102550,#102551)); #15002=EDGE_LOOP('',(#102552,#102553,#102554,#102555)); #15003=EDGE_LOOP('',(#102556,#102557,#102558,#102559)); #15004=EDGE_LOOP('',(#102560,#102561,#102562,#102563)); #15005=EDGE_LOOP('',(#102564,#102565,#102566,#102567)); #15006=EDGE_LOOP('',(#102568,#102569,#102570,#102571)); #15007=EDGE_LOOP('',(#102572,#102573,#102574,#102575)); #15008=EDGE_LOOP('',(#102576,#102577,#102578,#102579)); #15009=EDGE_LOOP('',(#102580,#102581,#102582,#102583)); #15010=EDGE_LOOP('',(#102584,#102585,#102586,#102587)); #15011=EDGE_LOOP('',(#102588,#102589,#102590,#102591)); #15012=EDGE_LOOP('',(#102592,#102593,#102594,#102595)); #15013=EDGE_LOOP('',(#102596,#102597,#102598,#102599)); #15014=EDGE_LOOP('',(#102600,#102601,#102602,#102603)); #15015=EDGE_LOOP('',(#102604,#102605,#102606,#102607)); #15016=EDGE_LOOP('',(#102608,#102609,#102610,#102611)); #15017=EDGE_LOOP('',(#102612,#102613,#102614,#102615)); #15018=EDGE_LOOP('',(#102616,#102617,#102618,#102619)); #15019=EDGE_LOOP('',(#102620,#102621,#102622,#102623)); #15020=EDGE_LOOP('',(#102624,#102625,#102626,#102627)); #15021=EDGE_LOOP('',(#102628,#102629,#102630,#102631)); #15022=EDGE_LOOP('',(#102632,#102633,#102634,#102635)); #15023=EDGE_LOOP('',(#102636,#102637,#102638,#102639)); #15024=EDGE_LOOP('',(#102640,#102641,#102642,#102643)); #15025=EDGE_LOOP('',(#102644,#102645,#102646,#102647)); #15026=EDGE_LOOP('',(#102648,#102649,#102650,#102651)); #15027=EDGE_LOOP('',(#102652,#102653,#102654,#102655)); #15028=EDGE_LOOP('',(#102656,#102657,#102658,#102659)); #15029=EDGE_LOOP('',(#102660,#102661,#102662,#102663)); #15030=EDGE_LOOP('',(#102664,#102665,#102666,#102667)); #15031=EDGE_LOOP('',(#102668,#102669,#102670,#102671)); #15032=EDGE_LOOP('',(#102672,#102673,#102674,#102675)); #15033=EDGE_LOOP('',(#102676,#102677,#102678,#102679)); #15034=EDGE_LOOP('',(#102680,#102681,#102682,#102683)); #15035=EDGE_LOOP('',(#102684,#102685,#102686,#102687)); #15036=EDGE_LOOP('',(#102688,#102689,#102690,#102691)); #15037=EDGE_LOOP('',(#102692,#102693,#102694,#102695)); #15038=EDGE_LOOP('',(#102696,#102697,#102698,#102699)); #15039=EDGE_LOOP('',(#102700,#102701,#102702,#102703)); #15040=EDGE_LOOP('',(#102704,#102705,#102706,#102707)); #15041=EDGE_LOOP('',(#102708,#102709,#102710,#102711)); #15042=EDGE_LOOP('',(#102712,#102713,#102714,#102715)); #15043=EDGE_LOOP('',(#102716,#102717,#102718,#102719)); #15044=EDGE_LOOP('',(#102720,#102721,#102722,#102723)); #15045=EDGE_LOOP('',(#102724,#102725,#102726,#102727)); #15046=EDGE_LOOP('',(#102728,#102729,#102730,#102731)); #15047=EDGE_LOOP('',(#102732,#102733,#102734,#102735)); #15048=EDGE_LOOP('',(#102736,#102737,#102738,#102739)); #15049=EDGE_LOOP('',(#102740,#102741,#102742,#102743)); #15050=EDGE_LOOP('',(#102744,#102745,#102746,#102747)); #15051=EDGE_LOOP('',(#102748,#102749,#102750,#102751)); #15052=EDGE_LOOP('',(#102752,#102753,#102754,#102755)); #15053=EDGE_LOOP('',(#102756,#102757,#102758,#102759)); #15054=EDGE_LOOP('',(#102760,#102761,#102762,#102763)); #15055=EDGE_LOOP('',(#102764,#102765,#102766,#102767)); #15056=EDGE_LOOP('',(#102768,#102769,#102770,#102771)); #15057=EDGE_LOOP('',(#102772,#102773,#102774,#102775)); #15058=EDGE_LOOP('',(#102776,#102777,#102778,#102779)); #15059=EDGE_LOOP('',(#102780,#102781,#102782,#102783)); #15060=EDGE_LOOP('',(#102784,#102785,#102786,#102787)); #15061=EDGE_LOOP('',(#102788,#102789,#102790,#102791)); #15062=EDGE_LOOP('',(#102792,#102793,#102794,#102795)); #15063=EDGE_LOOP('',(#102796,#102797,#102798,#102799)); #15064=EDGE_LOOP('',(#102800,#102801,#102802,#102803)); #15065=EDGE_LOOP('',(#102804,#102805,#102806,#102807)); #15066=EDGE_LOOP('',(#102808,#102809,#102810,#102811)); #15067=EDGE_LOOP('',(#102812,#102813,#102814,#102815)); #15068=EDGE_LOOP('',(#102816,#102817,#102818,#102819)); #15069=EDGE_LOOP('',(#102820,#102821,#102822,#102823)); #15070=EDGE_LOOP('',(#102824,#102825,#102826,#102827)); #15071=EDGE_LOOP('',(#102828,#102829,#102830,#102831)); #15072=EDGE_LOOP('',(#102832,#102833,#102834,#102835)); #15073=EDGE_LOOP('',(#102836,#102837,#102838,#102839)); #15074=EDGE_LOOP('',(#102840,#102841,#102842,#102843)); #15075=EDGE_LOOP('',(#102844,#102845,#102846,#102847)); #15076=EDGE_LOOP('',(#102848,#102849,#102850,#102851)); #15077=EDGE_LOOP('',(#102852,#102853,#102854,#102855)); #15078=EDGE_LOOP('',(#102856,#102857,#102858,#102859)); #15079=EDGE_LOOP('',(#102860,#102861,#102862,#102863)); #15080=EDGE_LOOP('',(#102864,#102865,#102866,#102867)); #15081=EDGE_LOOP('',(#102868,#102869,#102870,#102871)); #15082=EDGE_LOOP('',(#102872,#102873,#102874,#102875)); #15083=EDGE_LOOP('',(#102876,#102877,#102878,#102879,#102880,#102881,#102882, #102883,#102884,#102885,#102886,#102887,#102888,#102889,#102890,#102891, #102892,#102893,#102894,#102895,#102896,#102897,#102898,#102899,#102900, #102901,#102902,#102903,#102904,#102905,#102906,#102907,#102908,#102909, #102910,#102911,#102912,#102913,#102914,#102915,#102916,#102917,#102918, #102919,#102920,#102921,#102922,#102923,#102924,#102925,#102926,#102927, #102928,#102929,#102930,#102931,#102932,#102933,#102934,#102935,#102936, #102937,#102938,#102939,#102940,#102941,#102942,#102943,#102944,#102945, #102946,#102947,#102948,#102949,#102950,#102951,#102952,#102953,#102954, #102955,#102956,#102957,#102958,#102959,#102960,#102961,#102962,#102963, #102964,#102965,#102966,#102967,#102968,#102969,#102970,#102971,#102972, #102973,#102974,#102975,#102976,#102977,#102978,#102979,#102980,#102981, #102982,#102983,#102984)); #15084=EDGE_LOOP('',(#102985,#102986,#102987,#102988)); #15085=EDGE_LOOP('',(#102989,#102990,#102991,#102992)); #15086=EDGE_LOOP('',(#102993,#102994,#102995,#102996)); #15087=EDGE_LOOP('',(#102997,#102998,#102999,#103000)); #15088=EDGE_LOOP('',(#103001,#103002,#103003,#103004)); #15089=EDGE_LOOP('',(#103005,#103006,#103007,#103008)); #15090=EDGE_LOOP('',(#103009,#103010,#103011,#103012)); #15091=EDGE_LOOP('',(#103013,#103014,#103015,#103016)); #15092=EDGE_LOOP('',(#103017,#103018,#103019,#103020)); #15093=EDGE_LOOP('',(#103021,#103022,#103023,#103024)); #15094=EDGE_LOOP('',(#103025,#103026,#103027,#103028)); #15095=EDGE_LOOP('',(#103029,#103030,#103031,#103032)); #15096=EDGE_LOOP('',(#103033,#103034,#103035,#103036)); #15097=EDGE_LOOP('',(#103037,#103038,#103039,#103040)); #15098=EDGE_LOOP('',(#103041,#103042,#103043,#103044)); #15099=EDGE_LOOP('',(#103045,#103046,#103047,#103048)); #15100=EDGE_LOOP('',(#103049,#103050,#103051,#103052)); #15101=EDGE_LOOP('',(#103053,#103054,#103055,#103056)); #15102=EDGE_LOOP('',(#103057,#103058,#103059,#103060)); #15103=EDGE_LOOP('',(#103061,#103062,#103063,#103064)); #15104=EDGE_LOOP('',(#103065,#103066,#103067,#103068)); #15105=EDGE_LOOP('',(#103069,#103070,#103071,#103072)); #15106=EDGE_LOOP('',(#103073,#103074,#103075,#103076)); #15107=EDGE_LOOP('',(#103077,#103078,#103079,#103080)); #15108=EDGE_LOOP('',(#103081,#103082,#103083,#103084)); #15109=EDGE_LOOP('',(#103085,#103086,#103087,#103088)); #15110=EDGE_LOOP('',(#103089,#103090,#103091,#103092)); #15111=EDGE_LOOP('',(#103093,#103094,#103095,#103096)); #15112=EDGE_LOOP('',(#103097,#103098,#103099,#103100)); #15113=EDGE_LOOP('',(#103101,#103102,#103103,#103104)); #15114=EDGE_LOOP('',(#103105,#103106,#103107,#103108)); #15115=EDGE_LOOP('',(#103109,#103110,#103111,#103112)); #15116=EDGE_LOOP('',(#103113,#103114,#103115,#103116)); #15117=EDGE_LOOP('',(#103117,#103118,#103119,#103120)); #15118=EDGE_LOOP('',(#103121,#103122,#103123,#103124)); #15119=EDGE_LOOP('',(#103125,#103126,#103127,#103128)); #15120=EDGE_LOOP('',(#103129,#103130,#103131,#103132)); #15121=EDGE_LOOP('',(#103133,#103134,#103135,#103136)); #15122=EDGE_LOOP('',(#103137,#103138,#103139,#103140)); #15123=EDGE_LOOP('',(#103141,#103142,#103143,#103144)); #15124=EDGE_LOOP('',(#103145,#103146,#103147,#103148)); #15125=EDGE_LOOP('',(#103149,#103150,#103151,#103152)); #15126=EDGE_LOOP('',(#103153,#103154,#103155,#103156)); #15127=EDGE_LOOP('',(#103157,#103158,#103159,#103160)); #15128=EDGE_LOOP('',(#103161,#103162,#103163,#103164)); #15129=EDGE_LOOP('',(#103165,#103166,#103167,#103168)); #15130=EDGE_LOOP('',(#103169,#103170,#103171,#103172)); #15131=EDGE_LOOP('',(#103173,#103174,#103175,#103176)); #15132=EDGE_LOOP('',(#103177,#103178,#103179,#103180)); #15133=EDGE_LOOP('',(#103181,#103182,#103183,#103184)); #15134=EDGE_LOOP('',(#103185,#103186,#103187,#103188)); #15135=EDGE_LOOP('',(#103189,#103190,#103191,#103192)); #15136=EDGE_LOOP('',(#103193,#103194,#103195,#103196)); #15137=EDGE_LOOP('',(#103197,#103198,#103199,#103200)); #15138=EDGE_LOOP('',(#103201,#103202,#103203,#103204)); #15139=EDGE_LOOP('',(#103205,#103206,#103207,#103208)); #15140=EDGE_LOOP('',(#103209,#103210,#103211,#103212)); #15141=EDGE_LOOP('',(#103213,#103214,#103215,#103216)); #15142=EDGE_LOOP('',(#103217,#103218,#103219,#103220)); #15143=EDGE_LOOP('',(#103221,#103222,#103223,#103224)); #15144=EDGE_LOOP('',(#103225,#103226,#103227,#103228)); #15145=EDGE_LOOP('',(#103229,#103230,#103231,#103232)); #15146=EDGE_LOOP('',(#103233,#103234,#103235,#103236)); #15147=EDGE_LOOP('',(#103237,#103238,#103239,#103240)); #15148=EDGE_LOOP('',(#103241,#103242,#103243,#103244)); #15149=EDGE_LOOP('',(#103245,#103246,#103247,#103248)); #15150=EDGE_LOOP('',(#103249,#103250,#103251,#103252)); #15151=EDGE_LOOP('',(#103253,#103254,#103255,#103256)); #15152=EDGE_LOOP('',(#103257,#103258,#103259,#103260)); #15153=EDGE_LOOP('',(#103261,#103262,#103263,#103264)); #15154=EDGE_LOOP('',(#103265,#103266,#103267,#103268)); #15155=EDGE_LOOP('',(#103269,#103270,#103271,#103272)); #15156=EDGE_LOOP('',(#103273,#103274,#103275,#103276)); #15157=EDGE_LOOP('',(#103277,#103278,#103279,#103280)); #15158=EDGE_LOOP('',(#103281,#103282,#103283,#103284)); #15159=EDGE_LOOP('',(#103285,#103286,#103287,#103288)); #15160=EDGE_LOOP('',(#103289,#103290,#103291,#103292)); #15161=EDGE_LOOP('',(#103293,#103294,#103295,#103296)); #15162=EDGE_LOOP('',(#103297,#103298,#103299,#103300)); #15163=EDGE_LOOP('',(#103301,#103302,#103303,#103304)); #15164=EDGE_LOOP('',(#103305,#103306,#103307,#103308)); #15165=EDGE_LOOP('',(#103309,#103310,#103311,#103312)); #15166=EDGE_LOOP('',(#103313,#103314,#103315,#103316)); #15167=EDGE_LOOP('',(#103317,#103318,#103319,#103320)); #15168=EDGE_LOOP('',(#103321,#103322,#103323,#103324)); #15169=EDGE_LOOP('',(#103325,#103326,#103327,#103328)); #15170=EDGE_LOOP('',(#103329,#103330,#103331,#103332)); #15171=EDGE_LOOP('',(#103333,#103334,#103335,#103336)); #15172=EDGE_LOOP('',(#103337,#103338,#103339,#103340)); #15173=EDGE_LOOP('',(#103341,#103342,#103343,#103344)); #15174=EDGE_LOOP('',(#103345,#103346,#103347,#103348)); #15175=EDGE_LOOP('',(#103349,#103350,#103351,#103352)); #15176=EDGE_LOOP('',(#103353,#103354,#103355,#103356)); #15177=EDGE_LOOP('',(#103357,#103358,#103359,#103360)); #15178=EDGE_LOOP('',(#103361,#103362,#103363,#103364)); #15179=EDGE_LOOP('',(#103365,#103366,#103367,#103368)); #15180=EDGE_LOOP('',(#103369,#103370,#103371,#103372)); #15181=EDGE_LOOP('',(#103373,#103374,#103375,#103376)); #15182=EDGE_LOOP('',(#103377,#103378,#103379,#103380)); #15183=EDGE_LOOP('',(#103381,#103382,#103383,#103384)); #15184=EDGE_LOOP('',(#103385,#103386,#103387,#103388)); #15185=EDGE_LOOP('',(#103389,#103390,#103391,#103392)); #15186=EDGE_LOOP('',(#103393,#103394,#103395,#103396)); #15187=EDGE_LOOP('',(#103397,#103398,#103399,#103400)); #15188=EDGE_LOOP('',(#103401,#103402,#103403,#103404)); #15189=EDGE_LOOP('',(#103405,#103406,#103407,#103408)); #15190=EDGE_LOOP('',(#103409,#103410,#103411,#103412)); #15191=EDGE_LOOP('',(#103413,#103414,#103415,#103416)); #15192=EDGE_LOOP('',(#103417,#103418,#103419,#103420)); #15193=EDGE_LOOP('',(#103421,#103422,#103423,#103424)); #15194=EDGE_LOOP('',(#103425,#103426,#103427,#103428)); #15195=EDGE_LOOP('',(#103429,#103430,#103431,#103432)); #15196=EDGE_LOOP('',(#103433,#103434,#103435,#103436)); #15197=EDGE_LOOP('',(#103437,#103438,#103439,#103440)); #15198=EDGE_LOOP('',(#103441,#103442,#103443,#103444)); #15199=EDGE_LOOP('',(#103445,#103446,#103447,#103448)); #15200=EDGE_LOOP('',(#103449,#103450,#103451,#103452)); #15201=EDGE_LOOP('',(#103453,#103454,#103455,#103456)); #15202=EDGE_LOOP('',(#103457,#103458,#103459,#103460)); #15203=EDGE_LOOP('',(#103461,#103462,#103463,#103464)); #15204=EDGE_LOOP('',(#103465,#103466,#103467,#103468)); #15205=EDGE_LOOP('',(#103469,#103470,#103471,#103472)); #15206=EDGE_LOOP('',(#103473,#103474,#103475,#103476)); #15207=EDGE_LOOP('',(#103477,#103478,#103479,#103480)); #15208=EDGE_LOOP('',(#103481,#103482,#103483,#103484,#103485,#103486,#103487, #103488,#103489,#103490,#103491,#103492,#103493,#103494,#103495,#103496, #103497,#103498,#103499,#103500,#103501,#103502,#103503,#103504,#103505, #103506,#103507,#103508,#103509,#103510,#103511,#103512,#103513,#103514, #103515,#103516,#103517,#103518,#103519,#103520,#103521,#103522,#103523, #103524,#103525,#103526,#103527,#103528,#103529,#103530,#103531,#103532, #103533,#103534,#103535,#103536,#103537,#103538,#103539,#103540,#103541, #103542,#103543,#103544,#103545,#103546,#103547,#103548,#103549,#103550, #103551,#103552,#103553,#103554,#103555,#103556,#103557,#103558,#103559, #103560,#103561,#103562,#103563,#103564,#103565,#103566,#103567,#103568, #103569,#103570,#103571,#103572,#103573,#103574,#103575,#103576,#103577, #103578,#103579,#103580,#103581,#103582,#103583,#103584,#103585,#103586, #103587,#103588,#103589,#103590,#103591,#103592,#103593,#103594,#103595, #103596,#103597,#103598,#103599,#103600,#103601,#103602,#103603,#103604)); #15209=EDGE_LOOP('',(#103605,#103606,#103607,#103608)); #15210=EDGE_LOOP('',(#103609,#103610,#103611,#103612)); #15211=EDGE_LOOP('',(#103613,#103614,#103615,#103616)); #15212=EDGE_LOOP('',(#103617,#103618,#103619,#103620)); #15213=EDGE_LOOP('',(#103621,#103622,#103623,#103624)); #15214=EDGE_LOOP('',(#103625,#103626,#103627,#103628)); #15215=EDGE_LOOP('',(#103629,#103630,#103631,#103632)); #15216=EDGE_LOOP('',(#103633,#103634,#103635,#103636)); #15217=EDGE_LOOP('',(#103637,#103638,#103639,#103640)); #15218=EDGE_LOOP('',(#103641,#103642,#103643,#103644)); #15219=EDGE_LOOP('',(#103645,#103646,#103647,#103648)); #15220=EDGE_LOOP('',(#103649,#103650,#103651,#103652)); #15221=EDGE_LOOP('',(#103653,#103654,#103655,#103656)); #15222=EDGE_LOOP('',(#103657,#103658,#103659,#103660)); #15223=EDGE_LOOP('',(#103661,#103662,#103663,#103664)); #15224=EDGE_LOOP('',(#103665,#103666,#103667,#103668)); #15225=EDGE_LOOP('',(#103669,#103670,#103671,#103672)); #15226=EDGE_LOOP('',(#103673,#103674,#103675,#103676)); #15227=EDGE_LOOP('',(#103677,#103678,#103679,#103680)); #15228=EDGE_LOOP('',(#103681,#103682,#103683,#103684)); #15229=EDGE_LOOP('',(#103685,#103686,#103687,#103688)); #15230=EDGE_LOOP('',(#103689,#103690,#103691,#103692)); #15231=EDGE_LOOP('',(#103693,#103694,#103695,#103696)); #15232=EDGE_LOOP('',(#103697,#103698,#103699,#103700)); #15233=EDGE_LOOP('',(#103701,#103702,#103703,#103704)); #15234=EDGE_LOOP('',(#103705,#103706,#103707,#103708)); #15235=EDGE_LOOP('',(#103709,#103710,#103711,#103712)); #15236=EDGE_LOOP('',(#103713,#103714,#103715,#103716)); #15237=EDGE_LOOP('',(#103717,#103718,#103719,#103720)); #15238=EDGE_LOOP('',(#103721,#103722,#103723,#103724)); #15239=EDGE_LOOP('',(#103725,#103726,#103727,#103728)); #15240=EDGE_LOOP('',(#103729,#103730,#103731,#103732)); #15241=EDGE_LOOP('',(#103733,#103734,#103735,#103736)); #15242=EDGE_LOOP('',(#103737,#103738,#103739,#103740)); #15243=EDGE_LOOP('',(#103741,#103742,#103743,#103744)); #15244=EDGE_LOOP('',(#103745,#103746,#103747,#103748)); #15245=EDGE_LOOP('',(#103749,#103750,#103751,#103752)); #15246=EDGE_LOOP('',(#103753,#103754,#103755,#103756)); #15247=EDGE_LOOP('',(#103757,#103758,#103759,#103760)); #15248=EDGE_LOOP('',(#103761,#103762,#103763,#103764)); #15249=EDGE_LOOP('',(#103765,#103766,#103767,#103768)); #15250=EDGE_LOOP('',(#103769,#103770,#103771,#103772)); #15251=EDGE_LOOP('',(#103773,#103774,#103775,#103776)); #15252=EDGE_LOOP('',(#103777,#103778,#103779,#103780)); #15253=EDGE_LOOP('',(#103781,#103782,#103783,#103784)); #15254=EDGE_LOOP('',(#103785,#103786,#103787,#103788)); #15255=EDGE_LOOP('',(#103789,#103790,#103791,#103792)); #15256=EDGE_LOOP('',(#103793,#103794,#103795,#103796)); #15257=EDGE_LOOP('',(#103797,#103798,#103799,#103800)); #15258=EDGE_LOOP('',(#103801,#103802,#103803,#103804)); #15259=EDGE_LOOP('',(#103805,#103806,#103807,#103808)); #15260=EDGE_LOOP('',(#103809,#103810,#103811,#103812)); #15261=EDGE_LOOP('',(#103813,#103814,#103815,#103816)); #15262=EDGE_LOOP('',(#103817,#103818,#103819,#103820)); #15263=EDGE_LOOP('',(#103821,#103822,#103823,#103824)); #15264=EDGE_LOOP('',(#103825,#103826,#103827,#103828)); #15265=EDGE_LOOP('',(#103829,#103830,#103831,#103832)); #15266=EDGE_LOOP('',(#103833,#103834,#103835,#103836)); #15267=EDGE_LOOP('',(#103837,#103838,#103839,#103840)); #15268=EDGE_LOOP('',(#103841,#103842,#103843,#103844)); #15269=EDGE_LOOP('',(#103845,#103846,#103847,#103848)); #15270=EDGE_LOOP('',(#103849,#103850,#103851,#103852)); #15271=EDGE_LOOP('',(#103853,#103854,#103855,#103856)); #15272=EDGE_LOOP('',(#103857,#103858,#103859,#103860,#103861,#103862,#103863, #103864,#103865,#103866,#103867,#103868,#103869,#103870,#103871,#103872, #103873,#103874,#103875,#103876,#103877,#103878,#103879,#103880,#103881, #103882,#103883,#103884,#103885,#103886,#103887,#103888,#103889,#103890, #103891,#103892,#103893,#103894,#103895,#103896,#103897,#103898,#103899, #103900,#103901,#103902,#103903,#103904,#103905,#103906,#103907,#103908, #103909,#103910,#103911,#103912,#103913,#103914,#103915,#103916,#103917, #103918,#103919)); #15273=EDGE_LOOP('',(#103920,#103921,#103922,#103923)); #15274=EDGE_LOOP('',(#103924,#103925,#103926,#103927)); #15275=EDGE_LOOP('',(#103928,#103929,#103930,#103931)); #15276=EDGE_LOOP('',(#103932,#103933,#103934,#103935)); #15277=EDGE_LOOP('',(#103936,#103937,#103938,#103939)); #15278=EDGE_LOOP('',(#103940,#103941,#103942,#103943)); #15279=EDGE_LOOP('',(#103944,#103945,#103946,#103947)); #15280=EDGE_LOOP('',(#103948,#103949,#103950,#103951)); #15281=EDGE_LOOP('',(#103952,#103953,#103954,#103955)); #15282=EDGE_LOOP('',(#103956,#103957,#103958,#103959)); #15283=EDGE_LOOP('',(#103960,#103961,#103962,#103963)); #15284=EDGE_LOOP('',(#103964,#103965,#103966,#103967)); #15285=EDGE_LOOP('',(#103968,#103969,#103970,#103971)); #15286=EDGE_LOOP('',(#103972,#103973,#103974,#103975)); #15287=EDGE_LOOP('',(#103976,#103977,#103978,#103979)); #15288=EDGE_LOOP('',(#103980,#103981,#103982,#103983)); #15289=EDGE_LOOP('',(#103984,#103985,#103986,#103987)); #15290=EDGE_LOOP('',(#103988,#103989,#103990,#103991)); #15291=EDGE_LOOP('',(#103992,#103993,#103994,#103995)); #15292=EDGE_LOOP('',(#103996,#103997,#103998,#103999)); #15293=EDGE_LOOP('',(#104000,#104001,#104002,#104003)); #15294=EDGE_LOOP('',(#104004,#104005,#104006,#104007)); #15295=EDGE_LOOP('',(#104008,#104009,#104010,#104011)); #15296=EDGE_LOOP('',(#104012,#104013,#104014,#104015)); #15297=EDGE_LOOP('',(#104016,#104017,#104018,#104019)); #15298=EDGE_LOOP('',(#104020,#104021,#104022,#104023)); #15299=EDGE_LOOP('',(#104024,#104025,#104026,#104027)); #15300=EDGE_LOOP('',(#104028,#104029,#104030,#104031)); #15301=EDGE_LOOP('',(#104032,#104033,#104034,#104035)); #15302=EDGE_LOOP('',(#104036,#104037,#104038,#104039)); #15303=EDGE_LOOP('',(#104040,#104041,#104042,#104043)); #15304=EDGE_LOOP('',(#104044,#104045,#104046,#104047)); #15305=EDGE_LOOP('',(#104048,#104049,#104050,#104051)); #15306=EDGE_LOOP('',(#104052,#104053,#104054,#104055)); #15307=EDGE_LOOP('',(#104056,#104057,#104058,#104059)); #15308=EDGE_LOOP('',(#104060,#104061,#104062,#104063)); #15309=EDGE_LOOP('',(#104064,#104065,#104066,#104067)); #15310=EDGE_LOOP('',(#104068,#104069,#104070,#104071)); #15311=EDGE_LOOP('',(#104072,#104073,#104074,#104075)); #15312=EDGE_LOOP('',(#104076,#104077,#104078,#104079)); #15313=EDGE_LOOP('',(#104080,#104081,#104082,#104083)); #15314=EDGE_LOOP('',(#104084,#104085,#104086,#104087)); #15315=EDGE_LOOP('',(#104088,#104089,#104090,#104091)); #15316=EDGE_LOOP('',(#104092,#104093,#104094,#104095)); #15317=EDGE_LOOP('',(#104096,#104097,#104098,#104099)); #15318=EDGE_LOOP('',(#104100,#104101,#104102,#104103)); #15319=EDGE_LOOP('',(#104104,#104105,#104106,#104107)); #15320=EDGE_LOOP('',(#104108,#104109,#104110,#104111)); #15321=EDGE_LOOP('',(#104112,#104113,#104114,#104115)); #15322=EDGE_LOOP('',(#104116,#104117,#104118,#104119)); #15323=EDGE_LOOP('',(#104120,#104121,#104122,#104123)); #15324=EDGE_LOOP('',(#104124,#104125,#104126,#104127)); #15325=EDGE_LOOP('',(#104128,#104129,#104130,#104131)); #15326=EDGE_LOOP('',(#104132,#104133,#104134,#104135)); #15327=EDGE_LOOP('',(#104136,#104137,#104138,#104139)); #15328=EDGE_LOOP('',(#104140,#104141,#104142,#104143)); #15329=EDGE_LOOP('',(#104144,#104145,#104146,#104147)); #15330=EDGE_LOOP('',(#104148,#104149,#104150,#104151)); #15331=EDGE_LOOP('',(#104152,#104153,#104154,#104155)); #15332=EDGE_LOOP('',(#104156,#104157,#104158,#104159)); #15333=EDGE_LOOP('',(#104160,#104161,#104162,#104163)); #15334=EDGE_LOOP('',(#104164,#104165,#104166,#104167)); #15335=EDGE_LOOP('',(#104168,#104169,#104170,#104171)); #15336=EDGE_LOOP('',(#104172,#104173,#104174,#104175)); #15337=EDGE_LOOP('',(#104176,#104177,#104178,#104179)); #15338=EDGE_LOOP('',(#104180,#104181,#104182,#104183)); #15339=EDGE_LOOP('',(#104184,#104185,#104186,#104187)); #15340=EDGE_LOOP('',(#104188,#104189,#104190,#104191)); #15341=EDGE_LOOP('',(#104192,#104193,#104194,#104195)); #15342=EDGE_LOOP('',(#104196,#104197,#104198,#104199)); #15343=EDGE_LOOP('',(#104200,#104201,#104202,#104203)); #15344=EDGE_LOOP('',(#104204,#104205,#104206,#104207)); #15345=EDGE_LOOP('',(#104208,#104209,#104210,#104211)); #15346=EDGE_LOOP('',(#104212,#104213,#104214,#104215)); #15347=EDGE_LOOP('',(#104216,#104217,#104218,#104219)); #15348=EDGE_LOOP('',(#104220,#104221,#104222,#104223)); #15349=EDGE_LOOP('',(#104224,#104225,#104226,#104227)); #15350=EDGE_LOOP('',(#104228,#104229,#104230,#104231)); #15351=EDGE_LOOP('',(#104232,#104233,#104234,#104235)); #15352=EDGE_LOOP('',(#104236,#104237,#104238,#104239)); #15353=EDGE_LOOP('',(#104240,#104241,#104242,#104243)); #15354=EDGE_LOOP('',(#104244,#104245,#104246,#104247)); #15355=EDGE_LOOP('',(#104248,#104249,#104250,#104251)); #15356=EDGE_LOOP('',(#104252,#104253,#104254,#104255)); #15357=EDGE_LOOP('',(#104256,#104257,#104258,#104259)); #15358=EDGE_LOOP('',(#104260,#104261,#104262,#104263)); #15359=EDGE_LOOP('',(#104264,#104265,#104266,#104267)); #15360=EDGE_LOOP('',(#104268,#104269,#104270,#104271)); #15361=EDGE_LOOP('',(#104272,#104273,#104274,#104275)); #15362=EDGE_LOOP('',(#104276,#104277,#104278,#104279)); #15363=EDGE_LOOP('',(#104280,#104281,#104282,#104283)); #15364=EDGE_LOOP('',(#104284,#104285,#104286,#104287)); #15365=EDGE_LOOP('',(#104288,#104289,#104290,#104291)); #15366=EDGE_LOOP('',(#104292,#104293,#104294,#104295)); #15367=EDGE_LOOP('',(#104296,#104297,#104298,#104299)); #15368=EDGE_LOOP('',(#104300,#104301,#104302,#104303)); #15369=EDGE_LOOP('',(#104304,#104305,#104306,#104307)); #15370=EDGE_LOOP('',(#104308,#104309,#104310,#104311)); #15371=EDGE_LOOP('',(#104312,#104313,#104314,#104315)); #15372=EDGE_LOOP('',(#104316,#104317,#104318,#104319)); #15373=EDGE_LOOP('',(#104320,#104321,#104322,#104323)); #15374=EDGE_LOOP('',(#104324,#104325,#104326,#104327)); #15375=EDGE_LOOP('',(#104328,#104329,#104330,#104331)); #15376=EDGE_LOOP('',(#104332,#104333,#104334,#104335)); #15377=EDGE_LOOP('',(#104336,#104337,#104338,#104339)); #15378=EDGE_LOOP('',(#104340,#104341,#104342,#104343)); #15379=EDGE_LOOP('',(#104344,#104345,#104346,#104347)); #15380=EDGE_LOOP('',(#104348,#104349,#104350,#104351)); #15381=EDGE_LOOP('',(#104352,#104353,#104354,#104355)); #15382=EDGE_LOOP('',(#104356,#104357,#104358,#104359)); #15383=EDGE_LOOP('',(#104360,#104361,#104362,#104363)); #15384=EDGE_LOOP('',(#104364,#104365,#104366,#104367)); #15385=EDGE_LOOP('',(#104368,#104369,#104370,#104371)); #15386=EDGE_LOOP('',(#104372,#104373,#104374,#104375)); #15387=EDGE_LOOP('',(#104376,#104377,#104378,#104379)); #15388=EDGE_LOOP('',(#104380,#104381,#104382,#104383)); #15389=EDGE_LOOP('',(#104384,#104385,#104386,#104387)); #15390=EDGE_LOOP('',(#104388,#104389,#104390,#104391)); #15391=EDGE_LOOP('',(#104392,#104393,#104394,#104395)); #15392=EDGE_LOOP('',(#104396,#104397,#104398,#104399)); #15393=EDGE_LOOP('',(#104400,#104401,#104402,#104403)); #15394=EDGE_LOOP('',(#104404,#104405,#104406,#104407)); #15395=EDGE_LOOP('',(#104408,#104409,#104410,#104411)); #15396=EDGE_LOOP('',(#104412,#104413,#104414,#104415)); #15397=EDGE_LOOP('',(#104416,#104417,#104418,#104419)); #15398=EDGE_LOOP('',(#104420,#104421,#104422,#104423)); #15399=EDGE_LOOP('',(#104424,#104425,#104426,#104427)); #15400=EDGE_LOOP('',(#104428,#104429,#104430,#104431)); #15401=EDGE_LOOP('',(#104432,#104433,#104434,#104435)); #15402=EDGE_LOOP('',(#104436,#104437,#104438,#104439)); #15403=EDGE_LOOP('',(#104440,#104441,#104442,#104443)); #15404=EDGE_LOOP('',(#104444,#104445,#104446,#104447)); #15405=EDGE_LOOP('',(#104448,#104449,#104450,#104451)); #15406=EDGE_LOOP('',(#104452,#104453,#104454,#104455)); #15407=EDGE_LOOP('',(#104456,#104457,#104458,#104459)); #15408=EDGE_LOOP('',(#104460,#104461,#104462,#104463)); #15409=EDGE_LOOP('',(#104464,#104465,#104466,#104467)); #15410=EDGE_LOOP('',(#104468,#104469,#104470,#104471)); #15411=EDGE_LOOP('',(#104472,#104473,#104474,#104475)); #15412=EDGE_LOOP('',(#104476,#104477,#104478,#104479)); #15413=EDGE_LOOP('',(#104480,#104481,#104482,#104483)); #15414=EDGE_LOOP('',(#104484,#104485,#104486,#104487)); #15415=EDGE_LOOP('',(#104488,#104489,#104490,#104491)); #15416=EDGE_LOOP('',(#104492,#104493,#104494,#104495)); #15417=EDGE_LOOP('',(#104496,#104497,#104498,#104499)); #15418=EDGE_LOOP('',(#104500,#104501,#104502,#104503)); #15419=EDGE_LOOP('',(#104504,#104505,#104506,#104507)); #15420=EDGE_LOOP('',(#104508,#104509,#104510,#104511)); #15421=EDGE_LOOP('',(#104512,#104513,#104514,#104515)); #15422=EDGE_LOOP('',(#104516,#104517,#104518,#104519)); #15423=EDGE_LOOP('',(#104520,#104521,#104522,#104523)); #15424=EDGE_LOOP('',(#104524,#104525,#104526,#104527)); #15425=EDGE_LOOP('',(#104528,#104529,#104530,#104531,#104532,#104533,#104534, #104535,#104536,#104537,#104538,#104539,#104540,#104541,#104542,#104543, #104544,#104545,#104546,#104547,#104548,#104549,#104550,#104551,#104552, #104553,#104554,#104555,#104556,#104557,#104558,#104559,#104560,#104561, #104562,#104563,#104564,#104565,#104566,#104567,#104568,#104569,#104570, #104571,#104572,#104573,#104574,#104575,#104576,#104577,#104578,#104579, #104580,#104581,#104582,#104583,#104584,#104585,#104586,#104587,#104588, #104589,#104590,#104591,#104592,#104593,#104594,#104595,#104596,#104597, #104598,#104599,#104600,#104601,#104602,#104603,#104604,#104605,#104606, #104607,#104608,#104609,#104610,#104611,#104612,#104613,#104614,#104615, #104616,#104617,#104618,#104619,#104620,#104621,#104622,#104623,#104624, #104625,#104626,#104627,#104628,#104629,#104630,#104631,#104632,#104633, #104634,#104635,#104636,#104637,#104638,#104639,#104640,#104641,#104642, #104643,#104644,#104645,#104646,#104647,#104648,#104649,#104650,#104651, #104652,#104653,#104654,#104655,#104656,#104657,#104658,#104659,#104660, #104661,#104662,#104663,#104664,#104665,#104666,#104667,#104668,#104669, #104670,#104671,#104672,#104673,#104674,#104675,#104676,#104677,#104678, #104679)); #15426=EDGE_LOOP('',(#104680,#104681,#104682,#104683)); #15427=EDGE_LOOP('',(#104684,#104685,#104686,#104687)); #15428=EDGE_LOOP('',(#104688,#104689,#104690,#104691)); #15429=EDGE_LOOP('',(#104692,#104693,#104694,#104695)); #15430=EDGE_LOOP('',(#104696,#104697,#104698,#104699)); #15431=EDGE_LOOP('',(#104700,#104701,#104702,#104703)); #15432=EDGE_LOOP('',(#104704,#104705,#104706,#104707)); #15433=EDGE_LOOP('',(#104708,#104709,#104710,#104711)); #15434=EDGE_LOOP('',(#104712,#104713,#104714,#104715)); #15435=EDGE_LOOP('',(#104716,#104717,#104718,#104719)); #15436=EDGE_LOOP('',(#104720,#104721,#104722,#104723)); #15437=EDGE_LOOP('',(#104724,#104725,#104726,#104727)); #15438=EDGE_LOOP('',(#104728,#104729,#104730,#104731)); #15439=EDGE_LOOP('',(#104732,#104733,#104734,#104735)); #15440=EDGE_LOOP('',(#104736,#104737,#104738,#104739)); #15441=EDGE_LOOP('',(#104740,#104741,#104742,#104743)); #15442=EDGE_LOOP('',(#104744,#104745,#104746,#104747)); #15443=EDGE_LOOP('',(#104748,#104749,#104750,#104751)); #15444=EDGE_LOOP('',(#104752,#104753,#104754,#104755)); #15445=EDGE_LOOP('',(#104756,#104757,#104758,#104759)); #15446=EDGE_LOOP('',(#104760,#104761,#104762,#104763)); #15447=EDGE_LOOP('',(#104764,#104765,#104766,#104767)); #15448=EDGE_LOOP('',(#104768,#104769,#104770,#104771)); #15449=EDGE_LOOP('',(#104772,#104773,#104774,#104775)); #15450=EDGE_LOOP('',(#104776,#104777,#104778,#104779)); #15451=EDGE_LOOP('',(#104780,#104781,#104782,#104783)); #15452=EDGE_LOOP('',(#104784,#104785,#104786,#104787)); #15453=EDGE_LOOP('',(#104788,#104789,#104790,#104791)); #15454=EDGE_LOOP('',(#104792,#104793,#104794,#104795)); #15455=EDGE_LOOP('',(#104796,#104797,#104798,#104799)); #15456=EDGE_LOOP('',(#104800,#104801,#104802,#104803)); #15457=EDGE_LOOP('',(#104804,#104805,#104806,#104807)); #15458=EDGE_LOOP('',(#104808,#104809,#104810,#104811)); #15459=EDGE_LOOP('',(#104812,#104813,#104814,#104815)); #15460=EDGE_LOOP('',(#104816,#104817,#104818,#104819)); #15461=EDGE_LOOP('',(#104820,#104821,#104822,#104823)); #15462=EDGE_LOOP('',(#104824,#104825,#104826,#104827)); #15463=EDGE_LOOP('',(#104828,#104829,#104830,#104831)); #15464=EDGE_LOOP('',(#104832,#104833,#104834,#104835)); #15465=EDGE_LOOP('',(#104836,#104837,#104838,#104839)); #15466=EDGE_LOOP('',(#104840,#104841,#104842,#104843)); #15467=EDGE_LOOP('',(#104844,#104845,#104846,#104847)); #15468=EDGE_LOOP('',(#104848,#104849,#104850,#104851)); #15469=EDGE_LOOP('',(#104852,#104853,#104854,#104855)); #15470=EDGE_LOOP('',(#104856,#104857,#104858,#104859)); #15471=EDGE_LOOP('',(#104860,#104861,#104862,#104863)); #15472=EDGE_LOOP('',(#104864,#104865,#104866,#104867)); #15473=EDGE_LOOP('',(#104868,#104869,#104870,#104871)); #15474=EDGE_LOOP('',(#104872,#104873,#104874,#104875)); #15475=EDGE_LOOP('',(#104876,#104877,#104878,#104879)); #15476=EDGE_LOOP('',(#104880,#104881,#104882,#104883)); #15477=EDGE_LOOP('',(#104884,#104885,#104886,#104887)); #15478=EDGE_LOOP('',(#104888,#104889,#104890,#104891)); #15479=EDGE_LOOP('',(#104892,#104893,#104894,#104895)); #15480=EDGE_LOOP('',(#104896,#104897,#104898,#104899)); #15481=EDGE_LOOP('',(#104900,#104901,#104902,#104903)); #15482=EDGE_LOOP('',(#104904,#104905,#104906,#104907)); #15483=EDGE_LOOP('',(#104908,#104909,#104910,#104911)); #15484=EDGE_LOOP('',(#104912,#104913,#104914,#104915)); #15485=EDGE_LOOP('',(#104916,#104917,#104918,#104919)); #15486=EDGE_LOOP('',(#104920,#104921,#104922,#104923)); #15487=EDGE_LOOP('',(#104924,#104925,#104926,#104927)); #15488=EDGE_LOOP('',(#104928,#104929,#104930,#104931)); #15489=EDGE_LOOP('',(#104932,#104933,#104934,#104935)); #15490=EDGE_LOOP('',(#104936,#104937,#104938,#104939)); #15491=EDGE_LOOP('',(#104940,#104941,#104942,#104943)); #15492=EDGE_LOOP('',(#104944,#104945,#104946,#104947)); #15493=EDGE_LOOP('',(#104948,#104949,#104950,#104951)); #15494=EDGE_LOOP('',(#104952,#104953,#104954,#104955)); #15495=EDGE_LOOP('',(#104956,#104957,#104958,#104959)); #15496=EDGE_LOOP('',(#104960,#104961,#104962,#104963)); #15497=EDGE_LOOP('',(#104964,#104965,#104966,#104967)); #15498=EDGE_LOOP('',(#104968,#104969,#104970,#104971)); #15499=EDGE_LOOP('',(#104972,#104973,#104974,#104975)); #15500=EDGE_LOOP('',(#104976,#104977,#104978,#104979)); #15501=EDGE_LOOP('',(#104980,#104981,#104982,#104983)); #15502=EDGE_LOOP('',(#104984,#104985,#104986,#104987)); #15503=EDGE_LOOP('',(#104988,#104989,#104990,#104991)); #15504=EDGE_LOOP('',(#104992,#104993,#104994,#104995,#104996,#104997,#104998, #104999,#105000,#105001,#105002,#105003,#105004,#105005,#105006,#105007, #105008,#105009,#105010,#105011,#105012,#105013,#105014,#105015,#105016, #105017,#105018,#105019,#105020,#105021,#105022,#105023,#105024,#105025, #105026,#105027,#105028,#105029,#105030,#105031,#105032,#105033,#105034, #105035,#105036,#105037,#105038,#105039,#105040,#105041,#105042,#105043, #105044,#105045,#105046,#105047,#105048,#105049,#105050,#105051,#105052, #105053)); #15505=EDGE_LOOP('',(#105054,#105055,#105056,#105057,#105058,#105059,#105060, #105061,#105062)); #15506=EDGE_LOOP('',(#105063,#105064,#105065,#105066,#105067,#105068,#105069)); #15507=EDGE_LOOP('',(#105070,#105071,#105072,#105073)); #15508=EDGE_LOOP('',(#105074,#105075,#105076,#105077)); #15509=EDGE_LOOP('',(#105078,#105079,#105080,#105081)); #15510=EDGE_LOOP('',(#105082,#105083,#105084,#105085)); #15511=EDGE_LOOP('',(#105086,#105087,#105088,#105089)); #15512=EDGE_LOOP('',(#105090,#105091,#105092,#105093)); #15513=EDGE_LOOP('',(#105094,#105095,#105096,#105097)); #15514=EDGE_LOOP('',(#105098,#105099,#105100,#105101)); #15515=EDGE_LOOP('',(#105102,#105103,#105104,#105105)); #15516=EDGE_LOOP('',(#105106,#105107,#105108,#105109)); #15517=EDGE_LOOP('',(#105110,#105111,#105112,#105113)); #15518=EDGE_LOOP('',(#105114,#105115,#105116,#105117)); #15519=EDGE_LOOP('',(#105118,#105119,#105120,#105121,#105122,#105123,#105124, #105125,#105126,#105127,#105128,#105129)); #15520=EDGE_LOOP('',(#105130,#105131,#105132,#105133)); #15521=EDGE_LOOP('',(#105134,#105135,#105136,#105137)); #15522=EDGE_LOOP('',(#105138,#105139,#105140,#105141)); #15523=EDGE_LOOP('',(#105142,#105143,#105144,#105145)); #15524=EDGE_LOOP('',(#105146,#105147,#105148,#105149)); #15525=EDGE_LOOP('',(#105150,#105151,#105152,#105153)); #15526=EDGE_LOOP('',(#105154,#105155,#105156,#105157)); #15527=EDGE_LOOP('',(#105158,#105159,#105160,#105161)); #15528=EDGE_LOOP('',(#105162,#105163,#105164,#105165)); #15529=EDGE_LOOP('',(#105166,#105167,#105168,#105169)); #15530=EDGE_LOOP('',(#105170,#105171,#105172,#105173)); #15531=EDGE_LOOP('',(#105174,#105175,#105176,#105177)); #15532=EDGE_LOOP('',(#105178,#105179,#105180,#105181)); #15533=EDGE_LOOP('',(#105182,#105183,#105184,#105185)); #15534=EDGE_LOOP('',(#105186,#105187,#105188,#105189)); #15535=EDGE_LOOP('',(#105190,#105191,#105192,#105193)); #15536=EDGE_LOOP('',(#105194,#105195,#105196,#105197)); #15537=EDGE_LOOP('',(#105198,#105199,#105200,#105201)); #15538=EDGE_LOOP('',(#105202,#105203,#105204,#105205)); #15539=EDGE_LOOP('',(#105206,#105207,#105208,#105209)); #15540=EDGE_LOOP('',(#105210,#105211,#105212,#105213)); #15541=EDGE_LOOP('',(#105214,#105215,#105216,#105217)); #15542=EDGE_LOOP('',(#105218,#105219,#105220,#105221)); #15543=EDGE_LOOP('',(#105222,#105223,#105224,#105225)); #15544=EDGE_LOOP('',(#105226,#105227,#105228,#105229)); #15545=EDGE_LOOP('',(#105230,#105231,#105232,#105233)); #15546=EDGE_LOOP('',(#105234,#105235,#105236,#105237)); #15547=EDGE_LOOP('',(#105238,#105239,#105240,#105241)); #15548=EDGE_LOOP('',(#105242,#105243,#105244,#105245)); #15549=EDGE_LOOP('',(#105246,#105247,#105248,#105249)); #15550=EDGE_LOOP('',(#105250,#105251,#105252,#105253)); #15551=EDGE_LOOP('',(#105254,#105255,#105256,#105257)); #15552=EDGE_LOOP('',(#105258,#105259,#105260,#105261)); #15553=EDGE_LOOP('',(#105262,#105263,#105264,#105265)); #15554=EDGE_LOOP('',(#105266,#105267,#105268,#105269)); #15555=EDGE_LOOP('',(#105270,#105271,#105272,#105273)); #15556=EDGE_LOOP('',(#105274,#105275,#105276,#105277)); #15557=EDGE_LOOP('',(#105278,#105279,#105280,#105281)); #15558=EDGE_LOOP('',(#105282,#105283,#105284,#105285)); #15559=EDGE_LOOP('',(#105286,#105287,#105288,#105289)); #15560=EDGE_LOOP('',(#105290,#105291,#105292,#105293)); #15561=EDGE_LOOP('',(#105294,#105295,#105296,#105297)); #15562=EDGE_LOOP('',(#105298,#105299,#105300,#105301)); #15563=EDGE_LOOP('',(#105302,#105303,#105304,#105305)); #15564=EDGE_LOOP('',(#105306,#105307,#105308,#105309)); #15565=EDGE_LOOP('',(#105310,#105311,#105312,#105313)); #15566=EDGE_LOOP('',(#105314,#105315,#105316,#105317)); #15567=EDGE_LOOP('',(#105318,#105319,#105320,#105321)); #15568=EDGE_LOOP('',(#105322,#105323,#105324,#105325)); #15569=EDGE_LOOP('',(#105326,#105327,#105328,#105329)); #15570=EDGE_LOOP('',(#105330,#105331,#105332,#105333)); #15571=EDGE_LOOP('',(#105334,#105335,#105336,#105337,#105338,#105339,#105340, #105341,#105342,#105343,#105344,#105345,#105346,#105347,#105348,#105349, #105350,#105351,#105352,#105353,#105354,#105355,#105356,#105357,#105358, #105359,#105360,#105361,#105362,#105363,#105364,#105365,#105366,#105367, #105368)); #15572=EDGE_LOOP('',(#105369,#105370,#105371,#105372,#105373,#105374,#105375, #105376,#105377,#105378,#105379)); #15573=EDGE_LOOP('',(#105380,#105381,#105382,#105383)); #15574=EDGE_LOOP('',(#105384,#105385,#105386,#105387)); #15575=EDGE_LOOP('',(#105388,#105389,#105390,#105391)); #15576=EDGE_LOOP('',(#105392,#105393,#105394,#105395)); #15577=EDGE_LOOP('',(#105396,#105397,#105398,#105399)); #15578=EDGE_LOOP('',(#105400,#105401,#105402,#105403)); #15579=EDGE_LOOP('',(#105404,#105405,#105406,#105407)); #15580=EDGE_LOOP('',(#105408,#105409,#105410,#105411)); #15581=EDGE_LOOP('',(#105412,#105413,#105414,#105415,#105416,#105417,#105418, #105419)); #15582=EDGE_LOOP('',(#105420,#105421,#105422,#105423)); #15583=EDGE_LOOP('',(#105424,#105425,#105426,#105427)); #15584=EDGE_LOOP('',(#105428,#105429,#105430,#105431)); #15585=EDGE_LOOP('',(#105432,#105433,#105434,#105435)); #15586=EDGE_LOOP('',(#105436,#105437,#105438,#105439)); #15587=EDGE_LOOP('',(#105440,#105441,#105442,#105443)); #15588=EDGE_LOOP('',(#105444,#105445,#105446,#105447)); #15589=EDGE_LOOP('',(#105448,#105449,#105450,#105451)); #15590=EDGE_LOOP('',(#105452,#105453,#105454,#105455)); #15591=EDGE_LOOP('',(#105456,#105457,#105458,#105459)); #15592=EDGE_LOOP('',(#105460,#105461,#105462,#105463)); #15593=EDGE_LOOP('',(#105464,#105465,#105466,#105467)); #15594=EDGE_LOOP('',(#105468,#105469,#105470,#105471)); #15595=EDGE_LOOP('',(#105472,#105473,#105474,#105475)); #15596=EDGE_LOOP('',(#105476,#105477,#105478,#105479)); #15597=EDGE_LOOP('',(#105480,#105481,#105482,#105483,#105484,#105485,#105486, #105487,#105488,#105489,#105490,#105491,#105492,#105493,#105494)); #15598=EDGE_LOOP('',(#105495,#105496,#105497,#105498)); #15599=EDGE_LOOP('',(#105499,#105500,#105501,#105502)); #15600=EDGE_LOOP('',(#105503,#105504,#105505,#105506)); #15601=EDGE_LOOP('',(#105507,#105508,#105509,#105510)); #15602=EDGE_LOOP('',(#105511,#105512,#105513,#105514)); #15603=EDGE_LOOP('',(#105515,#105516,#105517,#105518)); #15604=EDGE_LOOP('',(#105519,#105520,#105521,#105522)); #15605=EDGE_LOOP('',(#105523,#105524,#105525,#105526)); #15606=EDGE_LOOP('',(#105527,#105528,#105529,#105530)); #15607=EDGE_LOOP('',(#105531,#105532,#105533,#105534)); #15608=EDGE_LOOP('',(#105535,#105536,#105537,#105538)); #15609=EDGE_LOOP('',(#105539,#105540,#105541,#105542)); #15610=EDGE_LOOP('',(#105543,#105544,#105545,#105546)); #15611=EDGE_LOOP('',(#105547,#105548,#105549,#105550)); #15612=EDGE_LOOP('',(#105551,#105552,#105553,#105554)); #15613=EDGE_LOOP('',(#105555,#105556,#105557,#105558)); #15614=EDGE_LOOP('',(#105559,#105560,#105561,#105562)); #15615=EDGE_LOOP('',(#105563,#105564,#105565,#105566)); #15616=EDGE_LOOP('',(#105567,#105568,#105569,#105570)); #15617=EDGE_LOOP('',(#105571,#105572,#105573,#105574)); #15618=EDGE_LOOP('',(#105575,#105576,#105577,#105578)); #15619=EDGE_LOOP('',(#105579,#105580,#105581,#105582)); #15620=EDGE_LOOP('',(#105583,#105584,#105585,#105586)); #15621=EDGE_LOOP('',(#105587,#105588,#105589,#105590)); #15622=EDGE_LOOP('',(#105591,#105592,#105593,#105594)); #15623=EDGE_LOOP('',(#105595,#105596,#105597,#105598)); #15624=EDGE_LOOP('',(#105599,#105600,#105601,#105602)); #15625=EDGE_LOOP('',(#105603,#105604,#105605,#105606)); #15626=EDGE_LOOP('',(#105607,#105608,#105609,#105610)); #15627=EDGE_LOOP('',(#105611,#105612,#105613,#105614)); #15628=EDGE_LOOP('',(#105615,#105616,#105617,#105618)); #15629=EDGE_LOOP('',(#105619,#105620,#105621,#105622)); #15630=EDGE_LOOP('',(#105623,#105624,#105625,#105626)); #15631=EDGE_LOOP('',(#105627,#105628,#105629,#105630)); #15632=EDGE_LOOP('',(#105631,#105632,#105633,#105634)); #15633=EDGE_LOOP('',(#105635,#105636,#105637,#105638)); #15634=EDGE_LOOP('',(#105639,#105640,#105641,#105642)); #15635=EDGE_LOOP('',(#105643,#105644,#105645,#105646)); #15636=EDGE_LOOP('',(#105647,#105648,#105649,#105650)); #15637=EDGE_LOOP('',(#105651,#105652,#105653,#105654)); #15638=EDGE_LOOP('',(#105655,#105656,#105657,#105658)); #15639=EDGE_LOOP('',(#105659,#105660,#105661,#105662)); #15640=EDGE_LOOP('',(#105663,#105664,#105665,#105666)); #15641=EDGE_LOOP('',(#105667,#105668,#105669,#105670)); #15642=EDGE_LOOP('',(#105671,#105672,#105673,#105674)); #15643=EDGE_LOOP('',(#105675,#105676,#105677,#105678)); #15644=EDGE_LOOP('',(#105679,#105680,#105681,#105682)); #15645=EDGE_LOOP('',(#105683,#105684,#105685,#105686)); #15646=EDGE_LOOP('',(#105687,#105688,#105689,#105690)); #15647=EDGE_LOOP('',(#105691,#105692,#105693,#105694)); #15648=EDGE_LOOP('',(#105695,#105696,#105697,#105698)); #15649=EDGE_LOOP('',(#105699,#105700,#105701,#105702)); #15650=EDGE_LOOP('',(#105703,#105704,#105705,#105706)); #15651=EDGE_LOOP('',(#105707,#105708,#105709,#105710)); #15652=EDGE_LOOP('',(#105711,#105712,#105713,#105714)); #15653=EDGE_LOOP('',(#105715,#105716,#105717,#105718)); #15654=EDGE_LOOP('',(#105719,#105720,#105721,#105722)); #15655=EDGE_LOOP('',(#105723,#105724,#105725,#105726)); #15656=EDGE_LOOP('',(#105727,#105728,#105729,#105730)); #15657=EDGE_LOOP('',(#105731,#105732,#105733,#105734)); #15658=EDGE_LOOP('',(#105735,#105736,#105737,#105738)); #15659=EDGE_LOOP('',(#105739,#105740,#105741,#105742)); #15660=EDGE_LOOP('',(#105743,#105744,#105745,#105746)); #15661=EDGE_LOOP('',(#105747,#105748,#105749,#105750)); #15662=EDGE_LOOP('',(#105751,#105752,#105753,#105754)); #15663=EDGE_LOOP('',(#105755,#105756,#105757,#105758)); #15664=EDGE_LOOP('',(#105759,#105760,#105761,#105762)); #15665=EDGE_LOOP('',(#105763,#105764,#105765,#105766)); #15666=EDGE_LOOP('',(#105767,#105768,#105769,#105770)); #15667=EDGE_LOOP('',(#105771,#105772,#105773,#105774)); #15668=EDGE_LOOP('',(#105775,#105776,#105777,#105778)); #15669=EDGE_LOOP('',(#105779,#105780,#105781,#105782)); #15670=EDGE_LOOP('',(#105783,#105784,#105785,#105786)); #15671=EDGE_LOOP('',(#105787,#105788,#105789,#105790)); #15672=EDGE_LOOP('',(#105791,#105792,#105793,#105794)); #15673=EDGE_LOOP('',(#105795,#105796,#105797,#105798)); #15674=EDGE_LOOP('',(#105799,#105800,#105801,#105802)); #15675=EDGE_LOOP('',(#105803,#105804,#105805,#105806)); #15676=EDGE_LOOP('',(#105807,#105808,#105809,#105810)); #15677=EDGE_LOOP('',(#105811,#105812,#105813,#105814)); #15678=EDGE_LOOP('',(#105815,#105816,#105817,#105818)); #15679=EDGE_LOOP('',(#105819,#105820,#105821,#105822)); #15680=EDGE_LOOP('',(#105823,#105824,#105825,#105826)); #15681=EDGE_LOOP('',(#105827,#105828,#105829,#105830)); #15682=EDGE_LOOP('',(#105831,#105832,#105833,#105834)); #15683=EDGE_LOOP('',(#105835,#105836,#105837,#105838)); #15684=EDGE_LOOP('',(#105839,#105840,#105841,#105842)); #15685=EDGE_LOOP('',(#105843,#105844,#105845,#105846)); #15686=EDGE_LOOP('',(#105847,#105848,#105849,#105850)); #15687=EDGE_LOOP('',(#105851,#105852,#105853,#105854)); #15688=EDGE_LOOP('',(#105855,#105856,#105857,#105858)); #15689=EDGE_LOOP('',(#105859,#105860,#105861,#105862)); #15690=EDGE_LOOP('',(#105863,#105864,#105865,#105866)); #15691=EDGE_LOOP('',(#105867,#105868,#105869,#105870)); #15692=EDGE_LOOP('',(#105871,#105872,#105873,#105874)); #15693=EDGE_LOOP('',(#105875,#105876,#105877,#105878)); #15694=EDGE_LOOP('',(#105879,#105880,#105881,#105882)); #15695=EDGE_LOOP('',(#105883,#105884,#105885,#105886)); #15696=EDGE_LOOP('',(#105887,#105888,#105889,#105890)); #15697=EDGE_LOOP('',(#105891,#105892,#105893,#105894)); #15698=EDGE_LOOP('',(#105895,#105896,#105897,#105898)); #15699=EDGE_LOOP('',(#105899,#105900,#105901,#105902)); #15700=EDGE_LOOP('',(#105903,#105904,#105905,#105906)); #15701=EDGE_LOOP('',(#105907,#105908,#105909,#105910)); #15702=EDGE_LOOP('',(#105911,#105912,#105913,#105914)); #15703=EDGE_LOOP('',(#105915,#105916,#105917,#105918)); #15704=EDGE_LOOP('',(#105919,#105920,#105921,#105922)); #15705=EDGE_LOOP('',(#105923,#105924,#105925,#105926)); #15706=EDGE_LOOP('',(#105927,#105928,#105929,#105930)); #15707=EDGE_LOOP('',(#105931,#105932,#105933,#105934)); #15708=EDGE_LOOP('',(#105935,#105936,#105937,#105938)); #15709=EDGE_LOOP('',(#105939,#105940,#105941,#105942)); #15710=EDGE_LOOP('',(#105943,#105944,#105945,#105946)); #15711=EDGE_LOOP('',(#105947,#105948,#105949,#105950)); #15712=EDGE_LOOP('',(#105951,#105952,#105953,#105954)); #15713=EDGE_LOOP('',(#105955,#105956,#105957,#105958)); #15714=EDGE_LOOP('',(#105959,#105960,#105961,#105962)); #15715=EDGE_LOOP('',(#105963,#105964,#105965,#105966)); #15716=EDGE_LOOP('',(#105967,#105968,#105969,#105970)); #15717=EDGE_LOOP('',(#105971,#105972,#105973,#105974)); #15718=EDGE_LOOP('',(#105975,#105976,#105977,#105978)); #15719=EDGE_LOOP('',(#105979,#105980,#105981,#105982)); #15720=EDGE_LOOP('',(#105983,#105984,#105985,#105986)); #15721=EDGE_LOOP('',(#105987,#105988,#105989,#105990)); #15722=EDGE_LOOP('',(#105991,#105992,#105993,#105994)); #15723=EDGE_LOOP('',(#105995,#105996,#105997,#105998)); #15724=EDGE_LOOP('',(#105999,#106000,#106001,#106002)); #15725=EDGE_LOOP('',(#106003,#106004,#106005,#106006)); #15726=EDGE_LOOP('',(#106007,#106008,#106009,#106010)); #15727=EDGE_LOOP('',(#106011,#106012,#106013,#106014)); #15728=EDGE_LOOP('',(#106015,#106016,#106017,#106018)); #15729=EDGE_LOOP('',(#106019,#106020,#106021,#106022)); #15730=EDGE_LOOP('',(#106023,#106024,#106025,#106026)); #15731=EDGE_LOOP('',(#106027,#106028,#106029,#106030)); #15732=EDGE_LOOP('',(#106031,#106032,#106033,#106034)); #15733=EDGE_LOOP('',(#106035,#106036,#106037,#106038)); #15734=EDGE_LOOP('',(#106039,#106040,#106041,#106042)); #15735=EDGE_LOOP('',(#106043,#106044,#106045,#106046)); #15736=EDGE_LOOP('',(#106047,#106048,#106049,#106050)); #15737=EDGE_LOOP('',(#106051,#106052,#106053,#106054)); #15738=EDGE_LOOP('',(#106055,#106056,#106057,#106058)); #15739=EDGE_LOOP('',(#106059,#106060,#106061,#106062)); #15740=EDGE_LOOP('',(#106063,#106064,#106065,#106066)); #15741=EDGE_LOOP('',(#106067,#106068,#106069,#106070)); #15742=EDGE_LOOP('',(#106071,#106072,#106073,#106074)); #15743=EDGE_LOOP('',(#106075,#106076,#106077,#106078)); #15744=EDGE_LOOP('',(#106079,#106080,#106081,#106082)); #15745=EDGE_LOOP('',(#106083,#106084,#106085,#106086)); #15746=EDGE_LOOP('',(#106087,#106088,#106089,#106090)); #15747=EDGE_LOOP('',(#106091,#106092,#106093,#106094)); #15748=EDGE_LOOP('',(#106095,#106096,#106097,#106098)); #15749=EDGE_LOOP('',(#106099,#106100,#106101,#106102)); #15750=EDGE_LOOP('',(#106103,#106104,#106105,#106106)); #15751=EDGE_LOOP('',(#106107,#106108,#106109,#106110)); #15752=EDGE_LOOP('',(#106111,#106112,#106113,#106114)); #15753=EDGE_LOOP('',(#106115,#106116,#106117,#106118)); #15754=EDGE_LOOP('',(#106119,#106120,#106121,#106122)); #15755=EDGE_LOOP('',(#106123,#106124,#106125,#106126)); #15756=EDGE_LOOP('',(#106127,#106128,#106129,#106130)); #15757=EDGE_LOOP('',(#106131,#106132,#106133,#106134)); #15758=EDGE_LOOP('',(#106135,#106136,#106137,#106138)); #15759=EDGE_LOOP('',(#106139,#106140,#106141,#106142)); #15760=EDGE_LOOP('',(#106143,#106144,#106145,#106146)); #15761=EDGE_LOOP('',(#106147,#106148,#106149,#106150)); #15762=EDGE_LOOP('',(#106151,#106152,#106153,#106154)); #15763=EDGE_LOOP('',(#106155,#106156,#106157,#106158)); #15764=EDGE_LOOP('',(#106159,#106160,#106161,#106162)); #15765=EDGE_LOOP('',(#106163,#106164,#106165,#106166)); #15766=EDGE_LOOP('',(#106167,#106168,#106169,#106170)); #15767=EDGE_LOOP('',(#106171,#106172,#106173,#106174)); #15768=EDGE_LOOP('',(#106175,#106176,#106177,#106178,#106179,#106180,#106181, #106182,#106183,#106184,#106185,#106186,#106187,#106188,#106189,#106190, #106191,#106192,#106193,#106194,#106195,#106196,#106197,#106198,#106199, #106200,#106201,#106202,#106203,#106204,#106205,#106206,#106207,#106208, #106209,#106210,#106211,#106212,#106213,#106214,#106215,#106216,#106217, #106218,#106219,#106220,#106221,#106222,#106223,#106224,#106225,#106226, #106227,#106228,#106229,#106230,#106231,#106232,#106233,#106234,#106235, #106236,#106237,#106238,#106239,#106240,#106241,#106242,#106243,#106244, #106245,#106246,#106247,#106248,#106249,#106250,#106251,#106252,#106253, #106254,#106255,#106256,#106257,#106258,#106259,#106260,#106261,#106262, #106263,#106264,#106265,#106266,#106267,#106268,#106269,#106270,#106271, #106272,#106273,#106274,#106275,#106276,#106277,#106278,#106279,#106280, #106281,#106282,#106283,#106284,#106285,#106286,#106287,#106288,#106289, #106290,#106291,#106292,#106293,#106294,#106295,#106296,#106297,#106298, #106299,#106300,#106301,#106302,#106303,#106304,#106305,#106306,#106307, #106308,#106309,#106310,#106311,#106312,#106313,#106314,#106315,#106316, #106317,#106318,#106319,#106320,#106321,#106322,#106323,#106324,#106325, #106326,#106327,#106328,#106329,#106330,#106331,#106332,#106333,#106334, #106335,#106336,#106337,#106338,#106339,#106340,#106341,#106342,#106343, #106344)); #15769=EDGE_LOOP('',(#106345,#106346,#106347,#106348)); #15770=EDGE_LOOP('',(#106349,#106350,#106351,#106352)); #15771=EDGE_LOOP('',(#106353,#106354,#106355,#106356)); #15772=EDGE_LOOP('',(#106357,#106358,#106359,#106360)); #15773=EDGE_LOOP('',(#106361,#106362,#106363,#106364)); #15774=EDGE_LOOP('',(#106365,#106366,#106367,#106368)); #15775=EDGE_LOOP('',(#106369,#106370,#106371,#106372)); #15776=EDGE_LOOP('',(#106373,#106374,#106375,#106376)); #15777=EDGE_LOOP('',(#106377,#106378,#106379,#106380)); #15778=EDGE_LOOP('',(#106381,#106382,#106383,#106384)); #15779=EDGE_LOOP('',(#106385,#106386,#106387,#106388)); #15780=EDGE_LOOP('',(#106389,#106390,#106391,#106392)); #15781=EDGE_LOOP('',(#106393,#106394,#106395,#106396)); #15782=EDGE_LOOP('',(#106397,#106398,#106399,#106400)); #15783=EDGE_LOOP('',(#106401,#106402,#106403,#106404)); #15784=EDGE_LOOP('',(#106405,#106406,#106407,#106408)); #15785=EDGE_LOOP('',(#106409,#106410,#106411,#106412)); #15786=EDGE_LOOP('',(#106413,#106414,#106415,#106416)); #15787=EDGE_LOOP('',(#106417,#106418,#106419,#106420)); #15788=EDGE_LOOP('',(#106421,#106422,#106423,#106424)); #15789=EDGE_LOOP('',(#106425,#106426,#106427,#106428)); #15790=EDGE_LOOP('',(#106429,#106430,#106431,#106432)); #15791=EDGE_LOOP('',(#106433,#106434,#106435,#106436)); #15792=EDGE_LOOP('',(#106437,#106438,#106439,#106440)); #15793=EDGE_LOOP('',(#106441,#106442,#106443,#106444)); #15794=EDGE_LOOP('',(#106445,#106446,#106447,#106448)); #15795=EDGE_LOOP('',(#106449,#106450,#106451,#106452)); #15796=EDGE_LOOP('',(#106453,#106454,#106455,#106456)); #15797=EDGE_LOOP('',(#106457,#106458,#106459,#106460)); #15798=EDGE_LOOP('',(#106461,#106462,#106463,#106464)); #15799=EDGE_LOOP('',(#106465,#106466,#106467,#106468)); #15800=EDGE_LOOP('',(#106469,#106470,#106471,#106472)); #15801=EDGE_LOOP('',(#106473,#106474,#106475,#106476)); #15802=EDGE_LOOP('',(#106477,#106478,#106479,#106480)); #15803=EDGE_LOOP('',(#106481,#106482,#106483,#106484)); #15804=EDGE_LOOP('',(#106485,#106486,#106487,#106488)); #15805=EDGE_LOOP('',(#106489,#106490,#106491,#106492)); #15806=EDGE_LOOP('',(#106493,#106494,#106495,#106496)); #15807=EDGE_LOOP('',(#106497,#106498,#106499,#106500)); #15808=EDGE_LOOP('',(#106501,#106502,#106503,#106504)); #15809=EDGE_LOOP('',(#106505,#106506,#106507,#106508)); #15810=EDGE_LOOP('',(#106509,#106510,#106511,#106512)); #15811=EDGE_LOOP('',(#106513,#106514,#106515,#106516)); #15812=EDGE_LOOP('',(#106517,#106518,#106519,#106520)); #15813=EDGE_LOOP('',(#106521,#106522,#106523,#106524)); #15814=EDGE_LOOP('',(#106525,#106526,#106527,#106528)); #15815=EDGE_LOOP('',(#106529,#106530,#106531,#106532)); #15816=EDGE_LOOP('',(#106533,#106534,#106535,#106536)); #15817=EDGE_LOOP('',(#106537,#106538,#106539,#106540)); #15818=EDGE_LOOP('',(#106541,#106542,#106543,#106544)); #15819=EDGE_LOOP('',(#106545,#106546,#106547,#106548)); #15820=EDGE_LOOP('',(#106549,#106550,#106551,#106552)); #15821=EDGE_LOOP('',(#106553,#106554,#106555,#106556)); #15822=EDGE_LOOP('',(#106557,#106558,#106559,#106560)); #15823=EDGE_LOOP('',(#106561,#106562,#106563,#106564)); #15824=EDGE_LOOP('',(#106565,#106566,#106567,#106568)); #15825=EDGE_LOOP('',(#106569,#106570,#106571,#106572)); #15826=EDGE_LOOP('',(#106573,#106574,#106575,#106576)); #15827=EDGE_LOOP('',(#106577,#106578,#106579,#106580)); #15828=EDGE_LOOP('',(#106581,#106582,#106583,#106584)); #15829=EDGE_LOOP('',(#106585,#106586,#106587,#106588)); #15830=EDGE_LOOP('',(#106589,#106590,#106591,#106592)); #15831=EDGE_LOOP('',(#106593,#106594,#106595,#106596)); #15832=EDGE_LOOP('',(#106597,#106598,#106599,#106600)); #15833=EDGE_LOOP('',(#106601,#106602,#106603,#106604)); #15834=EDGE_LOOP('',(#106605,#106606,#106607,#106608)); #15835=EDGE_LOOP('',(#106609,#106610,#106611,#106612)); #15836=EDGE_LOOP('',(#106613,#106614,#106615,#106616)); #15837=EDGE_LOOP('',(#106617,#106618,#106619,#106620)); #15838=EDGE_LOOP('',(#106621,#106622,#106623,#106624)); #15839=EDGE_LOOP('',(#106625,#106626,#106627,#106628)); #15840=EDGE_LOOP('',(#106629,#106630,#106631,#106632)); #15841=EDGE_LOOP('',(#106633,#106634,#106635,#106636)); #15842=EDGE_LOOP('',(#106637,#106638,#106639,#106640)); #15843=EDGE_LOOP('',(#106641,#106642,#106643,#106644)); #15844=EDGE_LOOP('',(#106645,#106646,#106647,#106648)); #15845=EDGE_LOOP('',(#106649,#106650,#106651,#106652)); #15846=EDGE_LOOP('',(#106653,#106654,#106655,#106656)); #15847=EDGE_LOOP('',(#106657,#106658,#106659,#106660)); #15848=EDGE_LOOP('',(#106661,#106662,#106663,#106664)); #15849=EDGE_LOOP('',(#106665,#106666,#106667,#106668)); #15850=EDGE_LOOP('',(#106669,#106670,#106671,#106672)); #15851=EDGE_LOOP('',(#106673,#106674,#106675,#106676)); #15852=EDGE_LOOP('',(#106677,#106678,#106679,#106680)); #15853=EDGE_LOOP('',(#106681,#106682,#106683,#106684)); #15854=EDGE_LOOP('',(#106685,#106686,#106687,#106688)); #15855=EDGE_LOOP('',(#106689,#106690,#106691,#106692)); #15856=EDGE_LOOP('',(#106693,#106694,#106695,#106696)); #15857=EDGE_LOOP('',(#106697,#106698,#106699,#106700)); #15858=EDGE_LOOP('',(#106701,#106702,#106703,#106704)); #15859=EDGE_LOOP('',(#106705,#106706,#106707,#106708)); #15860=EDGE_LOOP('',(#106709,#106710,#106711,#106712)); #15861=EDGE_LOOP('',(#106713,#106714,#106715,#106716)); #15862=EDGE_LOOP('',(#106717,#106718,#106719,#106720)); #15863=EDGE_LOOP('',(#106721,#106722,#106723,#106724)); #15864=EDGE_LOOP('',(#106725,#106726,#106727,#106728)); #15865=EDGE_LOOP('',(#106729,#106730,#106731,#106732)); #15866=EDGE_LOOP('',(#106733,#106734,#106735,#106736)); #15867=EDGE_LOOP('',(#106737,#106738,#106739,#106740)); #15868=EDGE_LOOP('',(#106741,#106742,#106743,#106744)); #15869=EDGE_LOOP('',(#106745,#106746,#106747,#106748)); #15870=EDGE_LOOP('',(#106749,#106750,#106751,#106752)); #15871=EDGE_LOOP('',(#106753,#106754,#106755,#106756)); #15872=EDGE_LOOP('',(#106757,#106758,#106759,#106760)); #15873=EDGE_LOOP('',(#106761,#106762,#106763,#106764)); #15874=EDGE_LOOP('',(#106765,#106766,#106767,#106768)); #15875=EDGE_LOOP('',(#106769,#106770,#106771,#106772)); #15876=EDGE_LOOP('',(#106773,#106774,#106775,#106776)); #15877=EDGE_LOOP('',(#106777,#106778,#106779,#106780)); #15878=EDGE_LOOP('',(#106781,#106782,#106783,#106784)); #15879=EDGE_LOOP('',(#106785,#106786,#106787,#106788)); #15880=EDGE_LOOP('',(#106789,#106790,#106791,#106792)); #15881=EDGE_LOOP('',(#106793,#106794,#106795,#106796)); #15882=EDGE_LOOP('',(#106797,#106798,#106799,#106800)); #15883=EDGE_LOOP('',(#106801,#106802,#106803,#106804)); #15884=EDGE_LOOP('',(#106805,#106806,#106807,#106808)); #15885=EDGE_LOOP('',(#106809,#106810,#106811,#106812)); #15886=EDGE_LOOP('',(#106813,#106814,#106815,#106816)); #15887=EDGE_LOOP('',(#106817,#106818,#106819,#106820)); #15888=EDGE_LOOP('',(#106821,#106822,#106823,#106824)); #15889=EDGE_LOOP('',(#106825,#106826,#106827,#106828)); #15890=EDGE_LOOP('',(#106829,#106830,#106831,#106832)); #15891=EDGE_LOOP('',(#106833,#106834,#106835,#106836)); #15892=EDGE_LOOP('',(#106837,#106838,#106839,#106840)); #15893=EDGE_LOOP('',(#106841,#106842,#106843,#106844)); #15894=EDGE_LOOP('',(#106845,#106846,#106847,#106848)); #15895=EDGE_LOOP('',(#106849,#106850,#106851,#106852)); #15896=EDGE_LOOP('',(#106853,#106854,#106855,#106856)); #15897=EDGE_LOOP('',(#106857,#106858,#106859,#106860)); #15898=EDGE_LOOP('',(#106861,#106862,#106863,#106864)); #15899=EDGE_LOOP('',(#106865,#106866,#106867,#106868)); #15900=EDGE_LOOP('',(#106869,#106870,#106871,#106872)); #15901=EDGE_LOOP('',(#106873,#106874,#106875,#106876)); #15902=EDGE_LOOP('',(#106877,#106878,#106879,#106880)); #15903=EDGE_LOOP('',(#106881,#106882,#106883,#106884)); #15904=EDGE_LOOP('',(#106885,#106886,#106887,#106888)); #15905=EDGE_LOOP('',(#106889,#106890,#106891,#106892)); #15906=EDGE_LOOP('',(#106893,#106894,#106895,#106896)); #15907=EDGE_LOOP('',(#106897,#106898,#106899,#106900)); #15908=EDGE_LOOP('',(#106901,#106902,#106903,#106904)); #15909=EDGE_LOOP('',(#106905,#106906,#106907,#106908)); #15910=EDGE_LOOP('',(#106909,#106910,#106911,#106912)); #15911=EDGE_LOOP('',(#106913,#106914,#106915,#106916)); #15912=EDGE_LOOP('',(#106917,#106918,#106919,#106920)); #15913=EDGE_LOOP('',(#106921,#106922,#106923,#106924)); #15914=EDGE_LOOP('',(#106925,#106926,#106927,#106928)); #15915=EDGE_LOOP('',(#106929,#106930,#106931,#106932)); #15916=EDGE_LOOP('',(#106933,#106934,#106935,#106936)); #15917=EDGE_LOOP('',(#106937,#106938,#106939,#106940)); #15918=EDGE_LOOP('',(#106941,#106942,#106943,#106944)); #15919=EDGE_LOOP('',(#106945,#106946,#106947,#106948)); #15920=EDGE_LOOP('',(#106949,#106950,#106951,#106952)); #15921=EDGE_LOOP('',(#106953,#106954,#106955,#106956)); #15922=EDGE_LOOP('',(#106957,#106958,#106959,#106960)); #15923=EDGE_LOOP('',(#106961,#106962,#106963,#106964)); #15924=EDGE_LOOP('',(#106965,#106966,#106967,#106968)); #15925=EDGE_LOOP('',(#106969,#106970,#106971,#106972)); #15926=EDGE_LOOP('',(#106973,#106974,#106975,#106976)); #15927=EDGE_LOOP('',(#106977,#106978,#106979,#106980)); #15928=EDGE_LOOP('',(#106981,#106982,#106983,#106984)); #15929=EDGE_LOOP('',(#106985,#106986,#106987,#106988)); #15930=EDGE_LOOP('',(#106989,#106990,#106991,#106992)); #15931=EDGE_LOOP('',(#106993,#106994,#106995,#106996)); #15932=EDGE_LOOP('',(#106997,#106998,#106999,#107000)); #15933=EDGE_LOOP('',(#107001,#107002,#107003,#107004)); #15934=EDGE_LOOP('',(#107005,#107006,#107007,#107008)); #15935=EDGE_LOOP('',(#107009,#107010,#107011,#107012)); #15936=EDGE_LOOP('',(#107013,#107014,#107015,#107016)); #15937=EDGE_LOOP('',(#107017,#107018,#107019,#107020)); #15938=EDGE_LOOP('',(#107021,#107022,#107023,#107024)); #15939=EDGE_LOOP('',(#107025,#107026,#107027,#107028)); #15940=EDGE_LOOP('',(#107029,#107030,#107031,#107032)); #15941=EDGE_LOOP('',(#107033,#107034,#107035,#107036)); #15942=EDGE_LOOP('',(#107037,#107038,#107039,#107040)); #15943=EDGE_LOOP('',(#107041,#107042,#107043,#107044)); #15944=EDGE_LOOP('',(#107045,#107046,#107047,#107048)); #15945=EDGE_LOOP('',(#107049,#107050,#107051,#107052)); #15946=EDGE_LOOP('',(#107053,#107054,#107055,#107056)); #15947=EDGE_LOOP('',(#107057,#107058,#107059,#107060)); #15948=EDGE_LOOP('',(#107061,#107062,#107063,#107064,#107065,#107066,#107067, #107068,#107069,#107070,#107071,#107072,#107073,#107074,#107075,#107076, #107077,#107078,#107079,#107080,#107081,#107082,#107083,#107084,#107085, #107086,#107087,#107088,#107089,#107090,#107091,#107092,#107093,#107094, #107095,#107096,#107097,#107098,#107099,#107100,#107101,#107102,#107103, #107104,#107105,#107106,#107107,#107108,#107109,#107110,#107111,#107112, #107113,#107114,#107115,#107116,#107117,#107118,#107119,#107120,#107121, #107122,#107123,#107124,#107125,#107126,#107127,#107128,#107129,#107130, #107131,#107132,#107133,#107134,#107135,#107136,#107137,#107138,#107139, #107140,#107141,#107142,#107143,#107144,#107145,#107146,#107147,#107148, #107149,#107150,#107151,#107152,#107153,#107154,#107155,#107156,#107157, #107158,#107159,#107160,#107161,#107162,#107163,#107164,#107165,#107166, #107167,#107168,#107169,#107170,#107171,#107172,#107173,#107174,#107175, #107176,#107177,#107178,#107179,#107180,#107181,#107182,#107183,#107184, #107185,#107186,#107187,#107188,#107189,#107190,#107191,#107192,#107193, #107194,#107195,#107196,#107197,#107198,#107199,#107200,#107201,#107202, #107203,#107204,#107205,#107206,#107207,#107208,#107209,#107210,#107211, #107212,#107213,#107214,#107215,#107216,#107217,#107218,#107219,#107220, #107221,#107222,#107223,#107224,#107225,#107226,#107227,#107228,#107229, #107230,#107231,#107232,#107233,#107234,#107235,#107236,#107237,#107238, #107239)); #15949=EDGE_LOOP('',(#107240,#107241,#107242,#107243)); #15950=EDGE_LOOP('',(#107244,#107245,#107246,#107247)); #15951=EDGE_LOOP('',(#107248,#107249,#107250,#107251)); #15952=EDGE_LOOP('',(#107252,#107253,#107254,#107255)); #15953=EDGE_LOOP('',(#107256,#107257,#107258,#107259)); #15954=EDGE_LOOP('',(#107260,#107261,#107262,#107263)); #15955=EDGE_LOOP('',(#107264,#107265,#107266,#107267)); #15956=EDGE_LOOP('',(#107268,#107269,#107270,#107271)); #15957=EDGE_LOOP('',(#107272,#107273,#107274,#107275)); #15958=EDGE_LOOP('',(#107276,#107277,#107278,#107279)); #15959=EDGE_LOOP('',(#107280,#107281,#107282,#107283)); #15960=EDGE_LOOP('',(#107284,#107285,#107286,#107287)); #15961=EDGE_LOOP('',(#107288,#107289,#107290,#107291)); #15962=EDGE_LOOP('',(#107292,#107293,#107294,#107295)); #15963=EDGE_LOOP('',(#107296,#107297,#107298,#107299)); #15964=EDGE_LOOP('',(#107300,#107301,#107302,#107303)); #15965=EDGE_LOOP('',(#107304,#107305,#107306,#107307)); #15966=EDGE_LOOP('',(#107308,#107309,#107310,#107311)); #15967=EDGE_LOOP('',(#107312,#107313,#107314,#107315)); #15968=EDGE_LOOP('',(#107316,#107317,#107318,#107319)); #15969=EDGE_LOOP('',(#107320,#107321,#107322,#107323)); #15970=EDGE_LOOP('',(#107324,#107325,#107326,#107327)); #15971=EDGE_LOOP('',(#107328,#107329,#107330,#107331)); #15972=EDGE_LOOP('',(#107332,#107333,#107334,#107335)); #15973=EDGE_LOOP('',(#107336,#107337,#107338,#107339)); #15974=EDGE_LOOP('',(#107340,#107341,#107342,#107343)); #15975=EDGE_LOOP('',(#107344,#107345,#107346,#107347)); #15976=EDGE_LOOP('',(#107348,#107349,#107350,#107351)); #15977=EDGE_LOOP('',(#107352,#107353,#107354,#107355)); #15978=EDGE_LOOP('',(#107356,#107357,#107358,#107359)); #15979=EDGE_LOOP('',(#107360,#107361,#107362,#107363)); #15980=EDGE_LOOP('',(#107364,#107365,#107366,#107367)); #15981=EDGE_LOOP('',(#107368,#107369,#107370,#107371)); #15982=EDGE_LOOP('',(#107372,#107373,#107374,#107375)); #15983=EDGE_LOOP('',(#107376,#107377,#107378,#107379)); #15984=EDGE_LOOP('',(#107380,#107381,#107382,#107383)); #15985=EDGE_LOOP('',(#107384,#107385,#107386,#107387)); #15986=EDGE_LOOP('',(#107388,#107389,#107390,#107391)); #15987=EDGE_LOOP('',(#107392,#107393,#107394,#107395)); #15988=EDGE_LOOP('',(#107396,#107397,#107398,#107399)); #15989=EDGE_LOOP('',(#107400,#107401,#107402,#107403)); #15990=EDGE_LOOP('',(#107404,#107405,#107406,#107407)); #15991=EDGE_LOOP('',(#107408,#107409,#107410,#107411)); #15992=EDGE_LOOP('',(#107412,#107413,#107414,#107415)); #15993=EDGE_LOOP('',(#107416,#107417,#107418,#107419)); #15994=EDGE_LOOP('',(#107420,#107421,#107422,#107423)); #15995=EDGE_LOOP('',(#107424,#107425,#107426,#107427)); #15996=EDGE_LOOP('',(#107428,#107429,#107430,#107431)); #15997=EDGE_LOOP('',(#107432,#107433,#107434,#107435)); #15998=EDGE_LOOP('',(#107436,#107437,#107438,#107439)); #15999=EDGE_LOOP('',(#107440,#107441,#107442,#107443)); #16000=EDGE_LOOP('',(#107444,#107445,#107446,#107447)); #16001=EDGE_LOOP('',(#107448,#107449,#107450,#107451)); #16002=EDGE_LOOP('',(#107452,#107453,#107454,#107455)); #16003=EDGE_LOOP('',(#107456,#107457,#107458,#107459)); #16004=EDGE_LOOP('',(#107460,#107461,#107462,#107463)); #16005=EDGE_LOOP('',(#107464,#107465,#107466,#107467)); #16006=EDGE_LOOP('',(#107468,#107469,#107470,#107471)); #16007=EDGE_LOOP('',(#107472,#107473,#107474,#107475)); #16008=EDGE_LOOP('',(#107476,#107477,#107478,#107479)); #16009=EDGE_LOOP('',(#107480,#107481,#107482,#107483)); #16010=EDGE_LOOP('',(#107484,#107485,#107486,#107487)); #16011=EDGE_LOOP('',(#107488,#107489,#107490,#107491)); #16012=EDGE_LOOP('',(#107492,#107493,#107494,#107495)); #16013=EDGE_LOOP('',(#107496,#107497,#107498,#107499)); #16014=EDGE_LOOP('',(#107500,#107501,#107502,#107503)); #16015=EDGE_LOOP('',(#107504,#107505,#107506,#107507)); #16016=EDGE_LOOP('',(#107508,#107509,#107510,#107511)); #16017=EDGE_LOOP('',(#107512,#107513,#107514,#107515)); #16018=EDGE_LOOP('',(#107516,#107517,#107518,#107519)); #16019=EDGE_LOOP('',(#107520,#107521,#107522,#107523)); #16020=EDGE_LOOP('',(#107524,#107525,#107526,#107527)); #16021=EDGE_LOOP('',(#107528,#107529,#107530,#107531)); #16022=EDGE_LOOP('',(#107532,#107533,#107534,#107535)); #16023=EDGE_LOOP('',(#107536,#107537,#107538,#107539)); #16024=EDGE_LOOP('',(#107540,#107541,#107542,#107543)); #16025=EDGE_LOOP('',(#107544,#107545,#107546,#107547)); #16026=EDGE_LOOP('',(#107548,#107549,#107550,#107551)); #16027=EDGE_LOOP('',(#107552,#107553,#107554,#107555)); #16028=EDGE_LOOP('',(#107556,#107557,#107558,#107559)); #16029=EDGE_LOOP('',(#107560,#107561,#107562,#107563)); #16030=EDGE_LOOP('',(#107564,#107565,#107566,#107567)); #16031=EDGE_LOOP('',(#107568,#107569,#107570,#107571)); #16032=EDGE_LOOP('',(#107572,#107573,#107574,#107575)); #16033=EDGE_LOOP('',(#107576,#107577,#107578,#107579)); #16034=EDGE_LOOP('',(#107580,#107581,#107582,#107583)); #16035=EDGE_LOOP('',(#107584,#107585,#107586,#107587)); #16036=EDGE_LOOP('',(#107588,#107589,#107590,#107591)); #16037=EDGE_LOOP('',(#107592,#107593,#107594,#107595)); #16038=EDGE_LOOP('',(#107596,#107597,#107598,#107599)); #16039=EDGE_LOOP('',(#107600,#107601,#107602,#107603)); #16040=EDGE_LOOP('',(#107604,#107605,#107606,#107607)); #16041=EDGE_LOOP('',(#107608,#107609,#107610,#107611)); #16042=EDGE_LOOP('',(#107612,#107613,#107614,#107615)); #16043=EDGE_LOOP('',(#107616,#107617,#107618,#107619)); #16044=EDGE_LOOP('',(#107620,#107621,#107622,#107623)); #16045=EDGE_LOOP('',(#107624,#107625,#107626,#107627)); #16046=EDGE_LOOP('',(#107628,#107629,#107630,#107631)); #16047=EDGE_LOOP('',(#107632,#107633,#107634,#107635)); #16048=EDGE_LOOP('',(#107636,#107637,#107638,#107639)); #16049=EDGE_LOOP('',(#107640,#107641,#107642,#107643)); #16050=EDGE_LOOP('',(#107644,#107645,#107646,#107647)); #16051=EDGE_LOOP('',(#107648,#107649,#107650,#107651)); #16052=EDGE_LOOP('',(#107652,#107653,#107654,#107655)); #16053=EDGE_LOOP('',(#107656,#107657,#107658,#107659)); #16054=EDGE_LOOP('',(#107660,#107661,#107662,#107663)); #16055=EDGE_LOOP('',(#107664,#107665,#107666,#107667)); #16056=EDGE_LOOP('',(#107668,#107669,#107670,#107671)); #16057=EDGE_LOOP('',(#107672,#107673,#107674,#107675)); #16058=EDGE_LOOP('',(#107676,#107677,#107678,#107679)); #16059=EDGE_LOOP('',(#107680,#107681,#107682,#107683)); #16060=EDGE_LOOP('',(#107684,#107685,#107686,#107687)); #16061=EDGE_LOOP('',(#107688,#107689,#107690,#107691)); #16062=EDGE_LOOP('',(#107692,#107693,#107694,#107695)); #16063=EDGE_LOOP('',(#107696,#107697,#107698,#107699)); #16064=EDGE_LOOP('',(#107700,#107701,#107702,#107703)); #16065=EDGE_LOOP('',(#107704,#107705,#107706,#107707)); #16066=EDGE_LOOP('',(#107708,#107709,#107710,#107711)); #16067=EDGE_LOOP('',(#107712,#107713,#107714,#107715)); #16068=EDGE_LOOP('',(#107716,#107717,#107718,#107719)); #16069=EDGE_LOOP('',(#107720,#107721,#107722,#107723)); #16070=EDGE_LOOP('',(#107724,#107725,#107726,#107727)); #16071=EDGE_LOOP('',(#107728,#107729,#107730,#107731)); #16072=EDGE_LOOP('',(#107732,#107733,#107734,#107735)); #16073=EDGE_LOOP('',(#107736,#107737,#107738,#107739)); #16074=EDGE_LOOP('',(#107740,#107741,#107742,#107743,#107744,#107745,#107746, #107747,#107748,#107749,#107750,#107751,#107752,#107753,#107754,#107755, #107756,#107757,#107758,#107759,#107760,#107761,#107762,#107763,#107764, #107765,#107766,#107767,#107768,#107769,#107770,#107771,#107772,#107773, #107774,#107775,#107776,#107777,#107778,#107779,#107780,#107781,#107782, #107783,#107784,#107785,#107786,#107787,#107788,#107789,#107790,#107791, #107792,#107793,#107794,#107795,#107796,#107797,#107798,#107799,#107800, #107801,#107802,#107803,#107804,#107805,#107806,#107807,#107808,#107809, #107810,#107811,#107812,#107813,#107814,#107815,#107816,#107817,#107818, #107819,#107820,#107821,#107822,#107823,#107824,#107825,#107826,#107827, #107828,#107829,#107830,#107831,#107832,#107833,#107834,#107835,#107836, #107837,#107838,#107839,#107840,#107841,#107842,#107843,#107844,#107845, #107846,#107847,#107848,#107849,#107850,#107851,#107852,#107853,#107854, #107855,#107856,#107857,#107858,#107859,#107860,#107861,#107862,#107863, #107864)); #16075=EDGE_LOOP('',(#107865,#107866,#107867,#107868)); #16076=EDGE_LOOP('',(#107869,#107870,#107871,#107872)); #16077=EDGE_LOOP('',(#107873,#107874,#107875,#107876)); #16078=EDGE_LOOP('',(#107877,#107878,#107879,#107880)); #16079=EDGE_LOOP('',(#107881,#107882,#107883,#107884)); #16080=EDGE_LOOP('',(#107885,#107886,#107887,#107888)); #16081=EDGE_LOOP('',(#107889,#107890,#107891,#107892)); #16082=EDGE_LOOP('',(#107893,#107894,#107895,#107896)); #16083=EDGE_LOOP('',(#107897,#107898,#107899,#107900)); #16084=EDGE_LOOP('',(#107901,#107902,#107903,#107904)); #16085=EDGE_LOOP('',(#107905,#107906,#107907,#107908)); #16086=EDGE_LOOP('',(#107909,#107910,#107911,#107912)); #16087=EDGE_LOOP('',(#107913,#107914,#107915,#107916)); #16088=EDGE_LOOP('',(#107917,#107918,#107919,#107920)); #16089=EDGE_LOOP('',(#107921,#107922,#107923,#107924)); #16090=EDGE_LOOP('',(#107925,#107926,#107927,#107928)); #16091=EDGE_LOOP('',(#107929,#107930,#107931,#107932)); #16092=EDGE_LOOP('',(#107933,#107934,#107935,#107936)); #16093=EDGE_LOOP('',(#107937,#107938,#107939,#107940)); #16094=EDGE_LOOP('',(#107941,#107942,#107943,#107944)); #16095=EDGE_LOOP('',(#107945,#107946,#107947,#107948)); #16096=EDGE_LOOP('',(#107949,#107950,#107951,#107952)); #16097=EDGE_LOOP('',(#107953,#107954,#107955,#107956)); #16098=EDGE_LOOP('',(#107957,#107958,#107959,#107960)); #16099=EDGE_LOOP('',(#107961,#107962,#107963,#107964)); #16100=EDGE_LOOP('',(#107965,#107966,#107967,#107968)); #16101=EDGE_LOOP('',(#107969,#107970,#107971,#107972)); #16102=EDGE_LOOP('',(#107973,#107974,#107975,#107976)); #16103=EDGE_LOOP('',(#107977,#107978,#107979,#107980)); #16104=EDGE_LOOP('',(#107981,#107982,#107983,#107984)); #16105=EDGE_LOOP('',(#107985,#107986,#107987,#107988)); #16106=EDGE_LOOP('',(#107989,#107990,#107991,#107992)); #16107=EDGE_LOOP('',(#107993,#107994,#107995,#107996)); #16108=EDGE_LOOP('',(#107997,#107998,#107999,#108000)); #16109=EDGE_LOOP('',(#108001,#108002,#108003,#108004)); #16110=EDGE_LOOP('',(#108005,#108006,#108007,#108008)); #16111=EDGE_LOOP('',(#108009,#108010,#108011,#108012)); #16112=EDGE_LOOP('',(#108013,#108014,#108015,#108016)); #16113=EDGE_LOOP('',(#108017,#108018,#108019,#108020)); #16114=EDGE_LOOP('',(#108021,#108022,#108023,#108024)); #16115=EDGE_LOOP('',(#108025,#108026,#108027,#108028)); #16116=EDGE_LOOP('',(#108029,#108030,#108031,#108032)); #16117=EDGE_LOOP('',(#108033,#108034,#108035,#108036)); #16118=EDGE_LOOP('',(#108037,#108038,#108039,#108040)); #16119=EDGE_LOOP('',(#108041,#108042,#108043,#108044)); #16120=EDGE_LOOP('',(#108045,#108046,#108047,#108048)); #16121=EDGE_LOOP('',(#108049,#108050,#108051,#108052)); #16122=EDGE_LOOP('',(#108053,#108054,#108055,#108056)); #16123=EDGE_LOOP('',(#108057,#108058,#108059,#108060)); #16124=EDGE_LOOP('',(#108061,#108062,#108063,#108064)); #16125=EDGE_LOOP('',(#108065,#108066,#108067,#108068)); #16126=EDGE_LOOP('',(#108069,#108070,#108071,#108072)); #16127=EDGE_LOOP('',(#108073,#108074,#108075,#108076)); #16128=EDGE_LOOP('',(#108077,#108078,#108079,#108080)); #16129=EDGE_LOOP('',(#108081,#108082,#108083,#108084)); #16130=EDGE_LOOP('',(#108085,#108086,#108087,#108088)); #16131=EDGE_LOOP('',(#108089,#108090,#108091,#108092)); #16132=EDGE_LOOP('',(#108093,#108094,#108095,#108096)); #16133=EDGE_LOOP('',(#108097,#108098,#108099,#108100)); #16134=EDGE_LOOP('',(#108101,#108102,#108103,#108104)); #16135=EDGE_LOOP('',(#108105,#108106,#108107,#108108)); #16136=EDGE_LOOP('',(#108109,#108110,#108111,#108112)); #16137=EDGE_LOOP('',(#108113,#108114,#108115,#108116)); #16138=EDGE_LOOP('',(#108117,#108118,#108119,#108120)); #16139=EDGE_LOOP('',(#108121,#108122,#108123,#108124)); #16140=EDGE_LOOP('',(#108125,#108126,#108127,#108128)); #16141=EDGE_LOOP('',(#108129,#108130,#108131,#108132)); #16142=EDGE_LOOP('',(#108133,#108134,#108135,#108136)); #16143=EDGE_LOOP('',(#108137,#108138,#108139,#108140)); #16144=EDGE_LOOP('',(#108141,#108142,#108143,#108144)); #16145=EDGE_LOOP('',(#108145,#108146,#108147,#108148)); #16146=EDGE_LOOP('',(#108149,#108150,#108151,#108152)); #16147=EDGE_LOOP('',(#108153,#108154,#108155,#108156)); #16148=EDGE_LOOP('',(#108157,#108158,#108159,#108160)); #16149=EDGE_LOOP('',(#108161,#108162,#108163,#108164)); #16150=EDGE_LOOP('',(#108165,#108166,#108167,#108168)); #16151=EDGE_LOOP('',(#108169,#108170,#108171,#108172)); #16152=EDGE_LOOP('',(#108173,#108174,#108175,#108176)); #16153=EDGE_LOOP('',(#108177,#108178,#108179,#108180)); #16154=EDGE_LOOP('',(#108181,#108182,#108183,#108184)); #16155=EDGE_LOOP('',(#108185,#108186,#108187,#108188)); #16156=EDGE_LOOP('',(#108189,#108190,#108191,#108192)); #16157=EDGE_LOOP('',(#108193,#108194,#108195,#108196)); #16158=EDGE_LOOP('',(#108197,#108198,#108199,#108200)); #16159=EDGE_LOOP('',(#108201,#108202,#108203,#108204)); #16160=EDGE_LOOP('',(#108205,#108206,#108207,#108208)); #16161=EDGE_LOOP('',(#108209,#108210,#108211,#108212)); #16162=EDGE_LOOP('',(#108213,#108214,#108215,#108216)); #16163=EDGE_LOOP('',(#108217,#108218,#108219,#108220)); #16164=EDGE_LOOP('',(#108221,#108222,#108223,#108224)); #16165=EDGE_LOOP('',(#108225,#108226,#108227,#108228)); #16166=EDGE_LOOP('',(#108229,#108230,#108231,#108232)); #16167=EDGE_LOOP('',(#108233,#108234,#108235,#108236)); #16168=EDGE_LOOP('',(#108237,#108238,#108239,#108240)); #16169=EDGE_LOOP('',(#108241,#108242,#108243,#108244)); #16170=EDGE_LOOP('',(#108245,#108246,#108247,#108248)); #16171=EDGE_LOOP('',(#108249,#108250,#108251,#108252)); #16172=EDGE_LOOP('',(#108253,#108254,#108255,#108256)); #16173=EDGE_LOOP('',(#108257,#108258,#108259,#108260)); #16174=EDGE_LOOP('',(#108261,#108262,#108263,#108264)); #16175=EDGE_LOOP('',(#108265,#108266,#108267,#108268)); #16176=EDGE_LOOP('',(#108269,#108270,#108271,#108272)); #16177=EDGE_LOOP('',(#108273,#108274,#108275,#108276)); #16178=EDGE_LOOP('',(#108277,#108278,#108279,#108280)); #16179=EDGE_LOOP('',(#108281,#108282,#108283,#108284)); #16180=EDGE_LOOP('',(#108285,#108286,#108287,#108288)); #16181=EDGE_LOOP('',(#108289,#108290,#108291,#108292)); #16182=EDGE_LOOP('',(#108293,#108294,#108295,#108296)); #16183=EDGE_LOOP('',(#108297,#108298,#108299,#108300)); #16184=EDGE_LOOP('',(#108301,#108302,#108303,#108304)); #16185=EDGE_LOOP('',(#108305,#108306,#108307,#108308)); #16186=EDGE_LOOP('',(#108309,#108310,#108311,#108312)); #16187=EDGE_LOOP('',(#108313,#108314,#108315,#108316)); #16188=EDGE_LOOP('',(#108317,#108318,#108319,#108320)); #16189=EDGE_LOOP('',(#108321,#108322,#108323,#108324)); #16190=EDGE_LOOP('',(#108325,#108326,#108327,#108328)); #16191=EDGE_LOOP('',(#108329,#108330,#108331,#108332)); #16192=EDGE_LOOP('',(#108333,#108334,#108335,#108336)); #16193=EDGE_LOOP('',(#108337,#108338,#108339,#108340)); #16194=EDGE_LOOP('',(#108341,#108342,#108343,#108344)); #16195=EDGE_LOOP('',(#108345,#108346,#108347,#108348)); #16196=EDGE_LOOP('',(#108349,#108350,#108351,#108352)); #16197=EDGE_LOOP('',(#108353,#108354,#108355,#108356)); #16198=EDGE_LOOP('',(#108357,#108358,#108359,#108360)); #16199=EDGE_LOOP('',(#108361,#108362,#108363,#108364)); #16200=EDGE_LOOP('',(#108365,#108366,#108367,#108368)); #16201=EDGE_LOOP('',(#108369,#108370,#108371,#108372)); #16202=EDGE_LOOP('',(#108373,#108374,#108375,#108376)); #16203=EDGE_LOOP('',(#108377,#108378,#108379,#108380)); #16204=EDGE_LOOP('',(#108381,#108382,#108383,#108384)); #16205=EDGE_LOOP('',(#108385,#108386,#108387,#108388,#108389,#108390,#108391, #108392,#108393,#108394,#108395,#108396,#108397,#108398,#108399,#108400, #108401,#108402,#108403,#108404,#108405,#108406,#108407,#108408,#108409, #108410,#108411,#108412,#108413,#108414,#108415,#108416,#108417,#108418, #108419,#108420,#108421,#108422,#108423,#108424,#108425,#108426,#108427, #108428,#108429,#108430,#108431,#108432,#108433,#108434,#108435,#108436, #108437,#108438,#108439,#108440,#108441,#108442,#108443,#108444,#108445, #108446,#108447,#108448,#108449,#108450,#108451,#108452,#108453,#108454, #108455,#108456,#108457,#108458,#108459,#108460,#108461,#108462,#108463, #108464,#108465,#108466,#108467,#108468,#108469,#108470,#108471,#108472, #108473,#108474,#108475,#108476,#108477,#108478,#108479,#108480,#108481, #108482,#108483,#108484,#108485,#108486,#108487,#108488,#108489,#108490, #108491,#108492,#108493,#108494,#108495,#108496,#108497,#108498,#108499, #108500,#108501,#108502,#108503,#108504,#108505,#108506,#108507,#108508, #108509,#108510,#108511,#108512,#108513,#108514)); #16206=EDGE_LOOP('',(#108515,#108516,#108517,#108518)); #16207=EDGE_LOOP('',(#108519,#108520,#108521,#108522)); #16208=EDGE_LOOP('',(#108523,#108524,#108525,#108526)); #16209=EDGE_LOOP('',(#108527,#108528,#108529,#108530)); #16210=EDGE_LOOP('',(#108531,#108532,#108533,#108534)); #16211=EDGE_LOOP('',(#108535,#108536,#108537,#108538)); #16212=EDGE_LOOP('',(#108539,#108540,#108541,#108542)); #16213=EDGE_LOOP('',(#108543,#108544,#108545,#108546)); #16214=EDGE_LOOP('',(#108547,#108548,#108549,#108550)); #16215=EDGE_LOOP('',(#108551,#108552,#108553,#108554)); #16216=EDGE_LOOP('',(#108555,#108556,#108557,#108558)); #16217=EDGE_LOOP('',(#108559,#108560,#108561,#108562)); #16218=EDGE_LOOP('',(#108563,#108564,#108565,#108566)); #16219=EDGE_LOOP('',(#108567,#108568,#108569,#108570)); #16220=EDGE_LOOP('',(#108571,#108572,#108573,#108574)); #16221=EDGE_LOOP('',(#108575,#108576,#108577,#108578)); #16222=EDGE_LOOP('',(#108579,#108580,#108581,#108582)); #16223=EDGE_LOOP('',(#108583,#108584,#108585,#108586)); #16224=EDGE_LOOP('',(#108587,#108588,#108589,#108590)); #16225=EDGE_LOOP('',(#108591,#108592,#108593,#108594)); #16226=EDGE_LOOP('',(#108595,#108596,#108597,#108598)); #16227=EDGE_LOOP('',(#108599,#108600,#108601,#108602)); #16228=EDGE_LOOP('',(#108603,#108604,#108605,#108606)); #16229=EDGE_LOOP('',(#108607,#108608,#108609,#108610)); #16230=EDGE_LOOP('',(#108611,#108612,#108613,#108614)); #16231=EDGE_LOOP('',(#108615,#108616,#108617,#108618)); #16232=EDGE_LOOP('',(#108619,#108620,#108621,#108622)); #16233=EDGE_LOOP('',(#108623,#108624,#108625,#108626)); #16234=EDGE_LOOP('',(#108627,#108628,#108629,#108630)); #16235=EDGE_LOOP('',(#108631,#108632,#108633,#108634)); #16236=EDGE_LOOP('',(#108635,#108636,#108637,#108638)); #16237=EDGE_LOOP('',(#108639,#108640,#108641,#108642)); #16238=EDGE_LOOP('',(#108643,#108644,#108645,#108646)); #16239=EDGE_LOOP('',(#108647,#108648,#108649,#108650)); #16240=EDGE_LOOP('',(#108651,#108652,#108653,#108654)); #16241=EDGE_LOOP('',(#108655,#108656,#108657,#108658)); #16242=EDGE_LOOP('',(#108659,#108660,#108661,#108662)); #16243=EDGE_LOOP('',(#108663,#108664,#108665,#108666)); #16244=EDGE_LOOP('',(#108667,#108668,#108669,#108670)); #16245=EDGE_LOOP('',(#108671,#108672,#108673,#108674)); #16246=EDGE_LOOP('',(#108675,#108676,#108677,#108678)); #16247=EDGE_LOOP('',(#108679,#108680,#108681,#108682)); #16248=EDGE_LOOP('',(#108683,#108684,#108685,#108686)); #16249=EDGE_LOOP('',(#108687,#108688,#108689,#108690)); #16250=EDGE_LOOP('',(#108691,#108692,#108693,#108694)); #16251=EDGE_LOOP('',(#108695,#108696,#108697,#108698)); #16252=EDGE_LOOP('',(#108699,#108700,#108701,#108702)); #16253=EDGE_LOOP('',(#108703,#108704,#108705,#108706)); #16254=EDGE_LOOP('',(#108707,#108708,#108709,#108710)); #16255=EDGE_LOOP('',(#108711,#108712,#108713,#108714)); #16256=EDGE_LOOP('',(#108715,#108716,#108717,#108718)); #16257=EDGE_LOOP('',(#108719,#108720,#108721,#108722)); #16258=EDGE_LOOP('',(#108723,#108724,#108725,#108726)); #16259=EDGE_LOOP('',(#108727,#108728,#108729,#108730)); #16260=EDGE_LOOP('',(#108731,#108732,#108733,#108734)); #16261=EDGE_LOOP('',(#108735,#108736,#108737,#108738)); #16262=EDGE_LOOP('',(#108739,#108740,#108741,#108742)); #16263=EDGE_LOOP('',(#108743,#108744,#108745,#108746)); #16264=EDGE_LOOP('',(#108747,#108748,#108749,#108750)); #16265=EDGE_LOOP('',(#108751,#108752,#108753,#108754)); #16266=EDGE_LOOP('',(#108755,#108756,#108757,#108758)); #16267=EDGE_LOOP('',(#108759,#108760,#108761,#108762)); #16268=EDGE_LOOP('',(#108763,#108764,#108765,#108766)); #16269=EDGE_LOOP('',(#108767,#108768,#108769,#108770)); #16270=EDGE_LOOP('',(#108771,#108772,#108773,#108774)); #16271=EDGE_LOOP('',(#108775,#108776,#108777,#108778)); #16272=EDGE_LOOP('',(#108779,#108780,#108781,#108782)); #16273=EDGE_LOOP('',(#108783,#108784,#108785,#108786)); #16274=EDGE_LOOP('',(#108787,#108788,#108789,#108790)); #16275=EDGE_LOOP('',(#108791,#108792,#108793,#108794)); #16276=EDGE_LOOP('',(#108795,#108796,#108797,#108798)); #16277=EDGE_LOOP('',(#108799,#108800,#108801,#108802)); #16278=EDGE_LOOP('',(#108803,#108804,#108805,#108806)); #16279=EDGE_LOOP('',(#108807,#108808,#108809,#108810)); #16280=EDGE_LOOP('',(#108811,#108812,#108813,#108814)); #16281=EDGE_LOOP('',(#108815,#108816,#108817,#108818)); #16282=EDGE_LOOP('',(#108819,#108820,#108821,#108822)); #16283=EDGE_LOOP('',(#108823,#108824,#108825,#108826)); #16284=EDGE_LOOP('',(#108827,#108828,#108829,#108830)); #16285=EDGE_LOOP('',(#108831,#108832,#108833,#108834)); #16286=EDGE_LOOP('',(#108835,#108836,#108837,#108838)); #16287=EDGE_LOOP('',(#108839,#108840,#108841,#108842)); #16288=EDGE_LOOP('',(#108843,#108844,#108845,#108846)); #16289=EDGE_LOOP('',(#108847,#108848,#108849,#108850)); #16290=EDGE_LOOP('',(#108851,#108852,#108853,#108854)); #16291=EDGE_LOOP('',(#108855,#108856,#108857,#108858)); #16292=EDGE_LOOP('',(#108859,#108860,#108861,#108862)); #16293=EDGE_LOOP('',(#108863,#108864,#108865,#108866)); #16294=EDGE_LOOP('',(#108867,#108868,#108869,#108870)); #16295=EDGE_LOOP('',(#108871,#108872,#108873,#108874)); #16296=EDGE_LOOP('',(#108875,#108876,#108877,#108878)); #16297=EDGE_LOOP('',(#108879,#108880,#108881,#108882)); #16298=EDGE_LOOP('',(#108883,#108884,#108885,#108886)); #16299=EDGE_LOOP('',(#108887,#108888,#108889,#108890)); #16300=EDGE_LOOP('',(#108891,#108892,#108893,#108894)); #16301=EDGE_LOOP('',(#108895,#108896,#108897,#108898)); #16302=EDGE_LOOP('',(#108899,#108900,#108901,#108902)); #16303=EDGE_LOOP('',(#108903,#108904,#108905,#108906)); #16304=EDGE_LOOP('',(#108907,#108908,#108909,#108910)); #16305=EDGE_LOOP('',(#108911,#108912,#108913,#108914)); #16306=EDGE_LOOP('',(#108915,#108916,#108917,#108918)); #16307=EDGE_LOOP('',(#108919,#108920,#108921,#108922)); #16308=EDGE_LOOP('',(#108923,#108924,#108925,#108926)); #16309=EDGE_LOOP('',(#108927,#108928,#108929,#108930)); #16310=EDGE_LOOP('',(#108931,#108932,#108933,#108934)); #16311=EDGE_LOOP('',(#108935,#108936,#108937,#108938)); #16312=EDGE_LOOP('',(#108939,#108940,#108941,#108942)); #16313=EDGE_LOOP('',(#108943,#108944,#108945,#108946)); #16314=EDGE_LOOP('',(#108947,#108948,#108949,#108950)); #16315=EDGE_LOOP('',(#108951,#108952,#108953,#108954)); #16316=EDGE_LOOP('',(#108955,#108956,#108957,#108958)); #16317=EDGE_LOOP('',(#108959,#108960,#108961,#108962)); #16318=EDGE_LOOP('',(#108963,#108964,#108965,#108966)); #16319=EDGE_LOOP('',(#108967,#108968,#108969,#108970)); #16320=EDGE_LOOP('',(#108971,#108972,#108973,#108974)); #16321=EDGE_LOOP('',(#108975,#108976,#108977,#108978)); #16322=EDGE_LOOP('',(#108979,#108980,#108981,#108982)); #16323=EDGE_LOOP('',(#108983,#108984,#108985,#108986)); #16324=EDGE_LOOP('',(#108987,#108988,#108989,#108990)); #16325=EDGE_LOOP('',(#108991,#108992,#108993,#108994)); #16326=EDGE_LOOP('',(#108995,#108996,#108997,#108998)); #16327=EDGE_LOOP('',(#108999,#109000,#109001,#109002)); #16328=EDGE_LOOP('',(#109003,#109004,#109005,#109006)); #16329=EDGE_LOOP('',(#109007,#109008,#109009,#109010)); #16330=EDGE_LOOP('',(#109011,#109012,#109013,#109014)); #16331=EDGE_LOOP('',(#109015,#109016,#109017,#109018)); #16332=EDGE_LOOP('',(#109019,#109020,#109021,#109022)); #16333=EDGE_LOOP('',(#109023,#109024,#109025,#109026)); #16334=EDGE_LOOP('',(#109027,#109028,#109029,#109030)); #16335=EDGE_LOOP('',(#109031,#109032,#109033,#109034)); #16336=EDGE_LOOP('',(#109035,#109036,#109037,#109038)); #16337=EDGE_LOOP('',(#109039,#109040,#109041,#109042)); #16338=EDGE_LOOP('',(#109043,#109044,#109045,#109046)); #16339=EDGE_LOOP('',(#109047,#109048,#109049,#109050)); #16340=EDGE_LOOP('',(#109051,#109052,#109053,#109054)); #16341=EDGE_LOOP('',(#109055,#109056,#109057,#109058)); #16342=EDGE_LOOP('',(#109059,#109060,#109061,#109062)); #16343=EDGE_LOOP('',(#109063,#109064,#109065,#109066)); #16344=EDGE_LOOP('',(#109067,#109068,#109069,#109070)); #16345=EDGE_LOOP('',(#109071,#109072,#109073,#109074)); #16346=EDGE_LOOP('',(#109075,#109076,#109077,#109078)); #16347=EDGE_LOOP('',(#109079,#109080,#109081,#109082)); #16348=EDGE_LOOP('',(#109083,#109084,#109085,#109086)); #16349=EDGE_LOOP('',(#109087,#109088,#109089,#109090)); #16350=EDGE_LOOP('',(#109091,#109092,#109093,#109094)); #16351=EDGE_LOOP('',(#109095,#109096,#109097,#109098)); #16352=EDGE_LOOP('',(#109099,#109100,#109101,#109102)); #16353=EDGE_LOOP('',(#109103,#109104,#109105,#109106)); #16354=EDGE_LOOP('',(#109107,#109108,#109109,#109110)); #16355=EDGE_LOOP('',(#109111,#109112,#109113,#109114)); #16356=EDGE_LOOP('',(#109115,#109116,#109117,#109118)); #16357=EDGE_LOOP('',(#109119,#109120,#109121,#109122)); #16358=EDGE_LOOP('',(#109123,#109124,#109125,#109126)); #16359=EDGE_LOOP('',(#109127,#109128,#109129,#109130)); #16360=EDGE_LOOP('',(#109131,#109132,#109133,#109134)); #16361=EDGE_LOOP('',(#109135,#109136,#109137,#109138)); #16362=EDGE_LOOP('',(#109139,#109140,#109141,#109142)); #16363=EDGE_LOOP('',(#109143,#109144,#109145,#109146)); #16364=EDGE_LOOP('',(#109147,#109148,#109149,#109150)); #16365=EDGE_LOOP('',(#109151,#109152,#109153,#109154)); #16366=EDGE_LOOP('',(#109155,#109156,#109157,#109158)); #16367=EDGE_LOOP('',(#109159,#109160,#109161,#109162)); #16368=EDGE_LOOP('',(#109163,#109164,#109165,#109166)); #16369=EDGE_LOOP('',(#109167,#109168,#109169,#109170,#109171,#109172,#109173, #109174,#109175,#109176,#109177,#109178,#109179,#109180,#109181,#109182, #109183,#109184,#109185,#109186,#109187,#109188,#109189,#109190,#109191, #109192,#109193,#109194,#109195,#109196,#109197,#109198,#109199,#109200, #109201,#109202,#109203,#109204,#109205,#109206,#109207,#109208,#109209, #109210,#109211,#109212,#109213,#109214,#109215,#109216,#109217,#109218, #109219,#109220,#109221,#109222,#109223,#109224,#109225,#109226,#109227, #109228,#109229,#109230,#109231,#109232,#109233,#109234,#109235,#109236, #109237,#109238,#109239,#109240,#109241,#109242,#109243,#109244,#109245, #109246,#109247,#109248,#109249,#109250,#109251,#109252,#109253,#109254, #109255,#109256,#109257,#109258,#109259,#109260,#109261,#109262,#109263, #109264,#109265,#109266,#109267,#109268,#109269,#109270,#109271,#109272, #109273,#109274,#109275,#109276,#109277,#109278,#109279,#109280,#109281, #109282,#109283,#109284,#109285,#109286,#109287,#109288,#109289,#109290, #109291,#109292,#109293,#109294,#109295,#109296,#109297,#109298,#109299, #109300,#109301,#109302,#109303,#109304,#109305,#109306,#109307,#109308, #109309,#109310,#109311,#109312,#109313,#109314,#109315,#109316,#109317, #109318,#109319,#109320,#109321,#109322,#109323,#109324,#109325,#109326, #109327,#109328,#109329)); #16370=EDGE_LOOP('',(#109330,#109331,#109332,#109333)); #16371=EDGE_LOOP('',(#109334,#109335,#109336,#109337)); #16372=EDGE_LOOP('',(#109338,#109339,#109340,#109341)); #16373=EDGE_LOOP('',(#109342,#109343,#109344,#109345)); #16374=EDGE_LOOP('',(#109346,#109347,#109348,#109349)); #16375=EDGE_LOOP('',(#109350,#109351,#109352,#109353)); #16376=EDGE_LOOP('',(#109354,#109355,#109356,#109357)); #16377=EDGE_LOOP('',(#109358,#109359,#109360,#109361)); #16378=EDGE_LOOP('',(#109362,#109363,#109364,#109365)); #16379=EDGE_LOOP('',(#109366,#109367,#109368,#109369)); #16380=EDGE_LOOP('',(#109370,#109371,#109372,#109373)); #16381=EDGE_LOOP('',(#109374,#109375,#109376,#109377)); #16382=EDGE_LOOP('',(#109378,#109379,#109380,#109381)); #16383=EDGE_LOOP('',(#109382,#109383,#109384,#109385)); #16384=EDGE_LOOP('',(#109386,#109387,#109388,#109389)); #16385=EDGE_LOOP('',(#109390,#109391,#109392,#109393)); #16386=EDGE_LOOP('',(#109394,#109395,#109396,#109397)); #16387=EDGE_LOOP('',(#109398,#109399,#109400,#109401)); #16388=EDGE_LOOP('',(#109402,#109403,#109404,#109405)); #16389=EDGE_LOOP('',(#109406,#109407,#109408,#109409)); #16390=EDGE_LOOP('',(#109410,#109411,#109412,#109413)); #16391=EDGE_LOOP('',(#109414,#109415,#109416,#109417)); #16392=EDGE_LOOP('',(#109418,#109419,#109420,#109421)); #16393=EDGE_LOOP('',(#109422,#109423,#109424,#109425)); #16394=EDGE_LOOP('',(#109426,#109427,#109428,#109429)); #16395=EDGE_LOOP('',(#109430,#109431,#109432,#109433)); #16396=EDGE_LOOP('',(#109434,#109435,#109436,#109437)); #16397=EDGE_LOOP('',(#109438,#109439,#109440,#109441)); #16398=EDGE_LOOP('',(#109442,#109443,#109444,#109445)); #16399=EDGE_LOOP('',(#109446,#109447,#109448,#109449)); #16400=EDGE_LOOP('',(#109450,#109451,#109452,#109453)); #16401=EDGE_LOOP('',(#109454,#109455,#109456,#109457)); #16402=EDGE_LOOP('',(#109458,#109459,#109460,#109461)); #16403=EDGE_LOOP('',(#109462,#109463,#109464,#109465)); #16404=EDGE_LOOP('',(#109466,#109467,#109468,#109469)); #16405=EDGE_LOOP('',(#109470,#109471,#109472,#109473)); #16406=EDGE_LOOP('',(#109474,#109475,#109476,#109477)); #16407=EDGE_LOOP('',(#109478,#109479,#109480,#109481)); #16408=EDGE_LOOP('',(#109482,#109483,#109484,#109485)); #16409=EDGE_LOOP('',(#109486,#109487,#109488,#109489)); #16410=EDGE_LOOP('',(#109490,#109491,#109492,#109493)); #16411=EDGE_LOOP('',(#109494,#109495,#109496,#109497)); #16412=EDGE_LOOP('',(#109498,#109499,#109500,#109501)); #16413=EDGE_LOOP('',(#109502,#109503,#109504,#109505)); #16414=EDGE_LOOP('',(#109506,#109507,#109508,#109509)); #16415=EDGE_LOOP('',(#109510,#109511,#109512,#109513)); #16416=EDGE_LOOP('',(#109514,#109515,#109516,#109517)); #16417=EDGE_LOOP('',(#109518,#109519,#109520,#109521)); #16418=EDGE_LOOP('',(#109522,#109523,#109524,#109525)); #16419=EDGE_LOOP('',(#109526,#109527,#109528,#109529)); #16420=EDGE_LOOP('',(#109530,#109531,#109532,#109533)); #16421=EDGE_LOOP('',(#109534,#109535,#109536,#109537)); #16422=EDGE_LOOP('',(#109538,#109539,#109540,#109541)); #16423=EDGE_LOOP('',(#109542,#109543,#109544,#109545)); #16424=EDGE_LOOP('',(#109546,#109547,#109548,#109549)); #16425=EDGE_LOOP('',(#109550,#109551,#109552,#109553)); #16426=EDGE_LOOP('',(#109554,#109555,#109556,#109557)); #16427=EDGE_LOOP('',(#109558,#109559,#109560,#109561)); #16428=EDGE_LOOP('',(#109562,#109563,#109564,#109565)); #16429=EDGE_LOOP('',(#109566,#109567,#109568,#109569)); #16430=EDGE_LOOP('',(#109570,#109571,#109572,#109573)); #16431=EDGE_LOOP('',(#109574,#109575,#109576,#109577)); #16432=EDGE_LOOP('',(#109578,#109579,#109580,#109581)); #16433=EDGE_LOOP('',(#109582,#109583,#109584,#109585)); #16434=EDGE_LOOP('',(#109586,#109587,#109588,#109589)); #16435=EDGE_LOOP('',(#109590,#109591,#109592,#109593)); #16436=EDGE_LOOP('',(#109594,#109595,#109596,#109597)); #16437=EDGE_LOOP('',(#109598,#109599,#109600,#109601)); #16438=EDGE_LOOP('',(#109602,#109603,#109604,#109605)); #16439=EDGE_LOOP('',(#109606,#109607,#109608,#109609)); #16440=EDGE_LOOP('',(#109610,#109611,#109612,#109613)); #16441=EDGE_LOOP('',(#109614,#109615,#109616,#109617)); #16442=EDGE_LOOP('',(#109618,#109619,#109620,#109621)); #16443=EDGE_LOOP('',(#109622,#109623,#109624,#109625)); #16444=EDGE_LOOP('',(#109626,#109627,#109628,#109629)); #16445=EDGE_LOOP('',(#109630,#109631,#109632,#109633)); #16446=EDGE_LOOP('',(#109634,#109635,#109636,#109637)); #16447=EDGE_LOOP('',(#109638,#109639,#109640,#109641)); #16448=EDGE_LOOP('',(#109642,#109643,#109644,#109645)); #16449=EDGE_LOOP('',(#109646,#109647,#109648,#109649)); #16450=EDGE_LOOP('',(#109650,#109651,#109652,#109653)); #16451=EDGE_LOOP('',(#109654,#109655,#109656,#109657)); #16452=EDGE_LOOP('',(#109658,#109659,#109660,#109661)); #16453=EDGE_LOOP('',(#109662,#109663,#109664,#109665)); #16454=EDGE_LOOP('',(#109666,#109667,#109668,#109669)); #16455=EDGE_LOOP('',(#109670,#109671,#109672,#109673)); #16456=EDGE_LOOP('',(#109674,#109675,#109676,#109677)); #16457=EDGE_LOOP('',(#109678,#109679,#109680,#109681)); #16458=EDGE_LOOP('',(#109682,#109683,#109684,#109685)); #16459=EDGE_LOOP('',(#109686,#109687,#109688,#109689)); #16460=EDGE_LOOP('',(#109690,#109691,#109692,#109693)); #16461=EDGE_LOOP('',(#109694,#109695,#109696,#109697)); #16462=EDGE_LOOP('',(#109698,#109699,#109700,#109701)); #16463=EDGE_LOOP('',(#109702,#109703,#109704,#109705)); #16464=EDGE_LOOP('',(#109706,#109707,#109708,#109709)); #16465=EDGE_LOOP('',(#109710,#109711,#109712,#109713)); #16466=EDGE_LOOP('',(#109714,#109715,#109716,#109717)); #16467=EDGE_LOOP('',(#109718,#109719,#109720,#109721)); #16468=EDGE_LOOP('',(#109722,#109723,#109724,#109725)); #16469=EDGE_LOOP('',(#109726,#109727,#109728,#109729)); #16470=EDGE_LOOP('',(#109730,#109731,#109732,#109733)); #16471=EDGE_LOOP('',(#109734,#109735,#109736,#109737)); #16472=EDGE_LOOP('',(#109738,#109739,#109740,#109741)); #16473=EDGE_LOOP('',(#109742,#109743,#109744,#109745)); #16474=EDGE_LOOP('',(#109746,#109747,#109748,#109749)); #16475=EDGE_LOOP('',(#109750,#109751,#109752,#109753)); #16476=EDGE_LOOP('',(#109754,#109755,#109756,#109757)); #16477=EDGE_LOOP('',(#109758,#109759,#109760,#109761)); #16478=EDGE_LOOP('',(#109762,#109763,#109764,#109765)); #16479=EDGE_LOOP('',(#109766,#109767,#109768,#109769)); #16480=EDGE_LOOP('',(#109770,#109771,#109772,#109773)); #16481=EDGE_LOOP('',(#109774,#109775,#109776,#109777)); #16482=EDGE_LOOP('',(#109778,#109779,#109780,#109781)); #16483=EDGE_LOOP('',(#109782,#109783,#109784,#109785)); #16484=EDGE_LOOP('',(#109786,#109787,#109788,#109789)); #16485=EDGE_LOOP('',(#109790,#109791,#109792,#109793,#109794,#109795,#109796, #109797,#109798,#109799,#109800,#109801,#109802,#109803,#109804,#109805, #109806,#109807,#109808,#109809,#109810,#109811,#109812,#109813,#109814, #109815,#109816,#109817,#109818,#109819,#109820,#109821,#109822,#109823, #109824,#109825,#109826,#109827,#109828,#109829,#109830,#109831,#109832, #109833,#109834,#109835,#109836,#109837,#109838,#109839,#109840,#109841, #109842,#109843,#109844,#109845,#109846,#109847,#109848,#109849,#109850, #109851,#109852,#109853,#109854,#109855,#109856,#109857,#109858,#109859, #109860,#109861,#109862,#109863,#109864,#109865,#109866,#109867,#109868, #109869,#109870,#109871,#109872,#109873,#109874,#109875,#109876,#109877, #109878,#109879,#109880,#109881,#109882,#109883,#109884,#109885,#109886, #109887,#109888,#109889,#109890,#109891,#109892,#109893,#109894,#109895, #109896,#109897,#109898,#109899,#109900,#109901,#109902,#109903,#109904)); #16486=EDGE_LOOP('',(#109905,#109906,#109907,#109908)); #16487=EDGE_LOOP('',(#109909,#109910,#109911,#109912)); #16488=EDGE_LOOP('',(#109913,#109914,#109915,#109916)); #16489=EDGE_LOOP('',(#109917,#109918,#109919,#109920)); #16490=EDGE_LOOP('',(#109921,#109922,#109923,#109924)); #16491=EDGE_LOOP('',(#109925,#109926,#109927,#109928)); #16492=EDGE_LOOP('',(#109929,#109930,#109931,#109932)); #16493=EDGE_LOOP('',(#109933,#109934,#109935,#109936)); #16494=EDGE_LOOP('',(#109937,#109938,#109939,#109940)); #16495=EDGE_LOOP('',(#109941,#109942,#109943,#109944)); #16496=EDGE_LOOP('',(#109945,#109946,#109947,#109948)); #16497=EDGE_LOOP('',(#109949,#109950,#109951,#109952)); #16498=EDGE_LOOP('',(#109953,#109954,#109955,#109956)); #16499=EDGE_LOOP('',(#109957,#109958,#109959,#109960)); #16500=EDGE_LOOP('',(#109961,#109962,#109963,#109964)); #16501=EDGE_LOOP('',(#109965,#109966,#109967,#109968)); #16502=EDGE_LOOP('',(#109969,#109970,#109971,#109972)); #16503=EDGE_LOOP('',(#109973,#109974,#109975,#109976)); #16504=EDGE_LOOP('',(#109977,#109978,#109979,#109980)); #16505=EDGE_LOOP('',(#109981,#109982,#109983,#109984)); #16506=EDGE_LOOP('',(#109985,#109986,#109987,#109988)); #16507=EDGE_LOOP('',(#109989,#109990,#109991,#109992)); #16508=EDGE_LOOP('',(#109993,#109994,#109995,#109996)); #16509=EDGE_LOOP('',(#109997,#109998,#109999,#110000)); #16510=EDGE_LOOP('',(#110001,#110002,#110003,#110004)); #16511=EDGE_LOOP('',(#110005,#110006,#110007,#110008)); #16512=EDGE_LOOP('',(#110009,#110010,#110011,#110012)); #16513=EDGE_LOOP('',(#110013,#110014,#110015,#110016)); #16514=EDGE_LOOP('',(#110017,#110018,#110019,#110020)); #16515=EDGE_LOOP('',(#110021,#110022,#110023,#110024)); #16516=EDGE_LOOP('',(#110025,#110026,#110027,#110028)); #16517=EDGE_LOOP('',(#110029,#110030,#110031,#110032)); #16518=EDGE_LOOP('',(#110033,#110034,#110035,#110036)); #16519=EDGE_LOOP('',(#110037,#110038,#110039,#110040)); #16520=EDGE_LOOP('',(#110041,#110042,#110043,#110044)); #16521=EDGE_LOOP('',(#110045,#110046,#110047,#110048)); #16522=EDGE_LOOP('',(#110049,#110050,#110051,#110052)); #16523=EDGE_LOOP('',(#110053,#110054,#110055,#110056)); #16524=EDGE_LOOP('',(#110057,#110058,#110059,#110060)); #16525=EDGE_LOOP('',(#110061,#110062,#110063,#110064)); #16526=EDGE_LOOP('',(#110065,#110066,#110067,#110068)); #16527=EDGE_LOOP('',(#110069,#110070,#110071,#110072)); #16528=EDGE_LOOP('',(#110073,#110074,#110075,#110076)); #16529=EDGE_LOOP('',(#110077,#110078,#110079,#110080)); #16530=EDGE_LOOP('',(#110081,#110082,#110083,#110084)); #16531=EDGE_LOOP('',(#110085,#110086,#110087,#110088)); #16532=EDGE_LOOP('',(#110089,#110090,#110091,#110092)); #16533=EDGE_LOOP('',(#110093,#110094,#110095,#110096)); #16534=EDGE_LOOP('',(#110097,#110098,#110099,#110100)); #16535=EDGE_LOOP('',(#110101,#110102,#110103,#110104)); #16536=EDGE_LOOP('',(#110105,#110106,#110107,#110108)); #16537=EDGE_LOOP('',(#110109,#110110,#110111,#110112)); #16538=EDGE_LOOP('',(#110113,#110114,#110115,#110116)); #16539=EDGE_LOOP('',(#110117,#110118,#110119,#110120)); #16540=EDGE_LOOP('',(#110121,#110122,#110123,#110124)); #16541=EDGE_LOOP('',(#110125,#110126,#110127,#110128)); #16542=EDGE_LOOP('',(#110129,#110130,#110131,#110132)); #16543=EDGE_LOOP('',(#110133,#110134,#110135,#110136)); #16544=EDGE_LOOP('',(#110137,#110138,#110139,#110140)); #16545=EDGE_LOOP('',(#110141,#110142,#110143,#110144)); #16546=EDGE_LOOP('',(#110145,#110146,#110147,#110148)); #16547=EDGE_LOOP('',(#110149,#110150,#110151,#110152)); #16548=EDGE_LOOP('',(#110153,#110154,#110155,#110156)); #16549=EDGE_LOOP('',(#110157,#110158,#110159,#110160)); #16550=EDGE_LOOP('',(#110161,#110162,#110163,#110164)); #16551=EDGE_LOOP('',(#110165,#110166,#110167,#110168)); #16552=EDGE_LOOP('',(#110169,#110170,#110171,#110172)); #16553=EDGE_LOOP('',(#110173,#110174,#110175,#110176)); #16554=EDGE_LOOP('',(#110177,#110178,#110179,#110180)); #16555=EDGE_LOOP('',(#110181,#110182,#110183,#110184)); #16556=EDGE_LOOP('',(#110185,#110186,#110187,#110188)); #16557=EDGE_LOOP('',(#110189,#110190,#110191,#110192)); #16558=EDGE_LOOP('',(#110193,#110194,#110195,#110196)); #16559=EDGE_LOOP('',(#110197,#110198,#110199,#110200)); #16560=EDGE_LOOP('',(#110201,#110202,#110203,#110204)); #16561=EDGE_LOOP('',(#110205,#110206,#110207,#110208)); #16562=EDGE_LOOP('',(#110209,#110210,#110211,#110212)); #16563=EDGE_LOOP('',(#110213,#110214,#110215,#110216)); #16564=EDGE_LOOP('',(#110217,#110218,#110219,#110220)); #16565=EDGE_LOOP('',(#110221,#110222,#110223,#110224)); #16566=EDGE_LOOP('',(#110225,#110226,#110227,#110228)); #16567=EDGE_LOOP('',(#110229,#110230,#110231,#110232)); #16568=EDGE_LOOP('',(#110233,#110234,#110235,#110236)); #16569=EDGE_LOOP('',(#110237,#110238,#110239,#110240)); #16570=EDGE_LOOP('',(#110241,#110242,#110243,#110244)); #16571=EDGE_LOOP('',(#110245,#110246,#110247,#110248)); #16572=EDGE_LOOP('',(#110249,#110250,#110251,#110252)); #16573=EDGE_LOOP('',(#110253,#110254,#110255,#110256,#110257,#110258,#110259, #110260,#110261,#110262,#110263,#110264,#110265,#110266,#110267,#110268, #110269,#110270,#110271,#110272,#110273,#110274,#110275,#110276,#110277, #110278,#110279,#110280,#110281,#110282,#110283,#110284,#110285,#110286, #110287,#110288,#110289,#110290,#110291,#110292,#110293,#110294,#110295, #110296,#110297,#110298,#110299,#110300,#110301,#110302,#110303,#110304, #110305,#110306,#110307,#110308,#110309,#110310,#110311,#110312,#110313, #110314,#110315,#110316,#110317,#110318,#110319,#110320,#110321,#110322, #110323,#110324,#110325,#110326,#110327,#110328,#110329,#110330,#110331, #110332,#110333)); #16574=EDGE_LOOP('',(#110334,#110335,#110336,#110337,#110338,#110339)); #16575=EDGE_LOOP('',(#110340,#110341,#110342,#110343)); #16576=EDGE_LOOP('',(#110344,#110345,#110346,#110347)); #16577=EDGE_LOOP('',(#110348,#110349,#110350,#110351)); #16578=EDGE_LOOP('',(#110352,#110353,#110354,#110355)); #16579=EDGE_LOOP('',(#110356,#110357,#110358,#110359)); #16580=EDGE_LOOP('',(#110360,#110361,#110362,#110363)); #16581=EDGE_LOOP('',(#110364,#110365,#110366,#110367)); #16582=EDGE_LOOP('',(#110368,#110369,#110370,#110371)); #16583=EDGE_LOOP('',(#110372,#110373,#110374,#110375)); #16584=EDGE_LOOP('',(#110376,#110377,#110378,#110379)); #16585=EDGE_LOOP('',(#110380,#110381,#110382,#110383)); #16586=EDGE_LOOP('',(#110384,#110385,#110386,#110387)); #16587=EDGE_LOOP('',(#110388,#110389,#110390,#110391,#110392,#110393,#110394, #110395,#110396,#110397,#110398,#110399)); #16588=EDGE_LOOP('',(#110400,#110401,#110402,#110403)); #16589=EDGE_LOOP('',(#110404,#110405,#110406,#110407)); #16590=EDGE_LOOP('',(#110408,#110409,#110410,#110411)); #16591=EDGE_LOOP('',(#110412,#110413,#110414,#110415)); #16592=EDGE_LOOP('',(#110416,#110417,#110418,#110419)); #16593=EDGE_LOOP('',(#110420,#110421,#110422,#110423)); #16594=EDGE_LOOP('',(#110424,#110425,#110426,#110427)); #16595=EDGE_LOOP('',(#110428,#110429,#110430,#110431)); #16596=EDGE_LOOP('',(#110432,#110433,#110434,#110435)); #16597=EDGE_LOOP('',(#110436,#110437,#110438,#110439)); #16598=EDGE_LOOP('',(#110440,#110441,#110442,#110443)); #16599=EDGE_LOOP('',(#110444,#110445,#110446,#110447)); #16600=EDGE_LOOP('',(#110448,#110449,#110450,#110451)); #16601=EDGE_LOOP('',(#110452,#110453,#110454,#110455)); #16602=EDGE_LOOP('',(#110456,#110457,#110458,#110459)); #16603=EDGE_LOOP('',(#110460,#110461,#110462,#110463)); #16604=EDGE_LOOP('',(#110464,#110465,#110466,#110467)); #16605=EDGE_LOOP('',(#110468,#110469,#110470,#110471)); #16606=EDGE_LOOP('',(#110472,#110473,#110474,#110475)); #16607=EDGE_LOOP('',(#110476,#110477,#110478,#110479)); #16608=EDGE_LOOP('',(#110480,#110481,#110482,#110483)); #16609=EDGE_LOOP('',(#110484,#110485,#110486,#110487)); #16610=EDGE_LOOP('',(#110488,#110489,#110490,#110491)); #16611=EDGE_LOOP('',(#110492,#110493,#110494,#110495)); #16612=EDGE_LOOP('',(#110496,#110497,#110498,#110499)); #16613=EDGE_LOOP('',(#110500,#110501,#110502,#110503)); #16614=EDGE_LOOP('',(#110504,#110505,#110506,#110507)); #16615=EDGE_LOOP('',(#110508,#110509,#110510,#110511)); #16616=EDGE_LOOP('',(#110512,#110513,#110514,#110515)); #16617=EDGE_LOOP('',(#110516,#110517,#110518,#110519)); #16618=EDGE_LOOP('',(#110520,#110521,#110522,#110523)); #16619=EDGE_LOOP('',(#110524,#110525,#110526,#110527)); #16620=EDGE_LOOP('',(#110528,#110529,#110530,#110531)); #16621=EDGE_LOOP('',(#110532,#110533,#110534,#110535)); #16622=EDGE_LOOP('',(#110536,#110537,#110538,#110539)); #16623=EDGE_LOOP('',(#110540,#110541,#110542,#110543)); #16624=EDGE_LOOP('',(#110544,#110545,#110546,#110547)); #16625=EDGE_LOOP('',(#110548,#110549,#110550,#110551)); #16626=EDGE_LOOP('',(#110552,#110553,#110554,#110555)); #16627=EDGE_LOOP('',(#110556,#110557,#110558,#110559)); #16628=EDGE_LOOP('',(#110560,#110561,#110562,#110563)); #16629=EDGE_LOOP('',(#110564,#110565,#110566,#110567)); #16630=EDGE_LOOP('',(#110568,#110569,#110570,#110571)); #16631=EDGE_LOOP('',(#110572,#110573,#110574,#110575)); #16632=EDGE_LOOP('',(#110576,#110577,#110578,#110579)); #16633=EDGE_LOOP('',(#110580,#110581,#110582,#110583)); #16634=EDGE_LOOP('',(#110584,#110585,#110586,#110587)); #16635=EDGE_LOOP('',(#110588,#110589,#110590,#110591)); #16636=EDGE_LOOP('',(#110592,#110593,#110594,#110595)); #16637=EDGE_LOOP('',(#110596,#110597,#110598,#110599)); #16638=EDGE_LOOP('',(#110600,#110601,#110602,#110603)); #16639=EDGE_LOOP('',(#110604,#110605,#110606,#110607)); #16640=EDGE_LOOP('',(#110608,#110609,#110610,#110611)); #16641=EDGE_LOOP('',(#110612,#110613,#110614,#110615)); #16642=EDGE_LOOP('',(#110616,#110617,#110618,#110619)); #16643=EDGE_LOOP('',(#110620,#110621,#110622,#110623)); #16644=EDGE_LOOP('',(#110624,#110625,#110626,#110627)); #16645=EDGE_LOOP('',(#110628,#110629,#110630,#110631)); #16646=EDGE_LOOP('',(#110632,#110633,#110634,#110635)); #16647=EDGE_LOOP('',(#110636,#110637,#110638,#110639)); #16648=EDGE_LOOP('',(#110640,#110641,#110642,#110643)); #16649=EDGE_LOOP('',(#110644,#110645,#110646,#110647)); #16650=EDGE_LOOP('',(#110648,#110649,#110650,#110651)); #16651=EDGE_LOOP('',(#110652,#110653,#110654,#110655)); #16652=EDGE_LOOP('',(#110656,#110657,#110658,#110659)); #16653=EDGE_LOOP('',(#110660,#110661,#110662,#110663)); #16654=EDGE_LOOP('',(#110664,#110665,#110666,#110667)); #16655=EDGE_LOOP('',(#110668,#110669,#110670,#110671)); #16656=EDGE_LOOP('',(#110672,#110673,#110674,#110675)); #16657=EDGE_LOOP('',(#110676,#110677,#110678,#110679)); #16658=EDGE_LOOP('',(#110680,#110681,#110682,#110683)); #16659=EDGE_LOOP('',(#110684,#110685,#110686,#110687)); #16660=EDGE_LOOP('',(#110688,#110689,#110690,#110691)); #16661=EDGE_LOOP('',(#110692,#110693,#110694,#110695)); #16662=EDGE_LOOP('',(#110696,#110697,#110698,#110699)); #16663=EDGE_LOOP('',(#110700,#110701,#110702,#110703)); #16664=EDGE_LOOP('',(#110704,#110705,#110706,#110707)); #16665=EDGE_LOOP('',(#110708,#110709,#110710,#110711)); #16666=EDGE_LOOP('',(#110712,#110713,#110714,#110715)); #16667=EDGE_LOOP('',(#110716,#110717,#110718,#110719)); #16668=EDGE_LOOP('',(#110720,#110721,#110722,#110723)); #16669=EDGE_LOOP('',(#110724,#110725,#110726,#110727)); #16670=EDGE_LOOP('',(#110728,#110729,#110730,#110731)); #16671=EDGE_LOOP('',(#110732,#110733,#110734,#110735)); #16672=EDGE_LOOP('',(#110736,#110737,#110738,#110739)); #16673=EDGE_LOOP('',(#110740,#110741,#110742,#110743)); #16674=EDGE_LOOP('',(#110744,#110745,#110746,#110747)); #16675=EDGE_LOOP('',(#110748,#110749,#110750,#110751)); #16676=EDGE_LOOP('',(#110752,#110753,#110754,#110755)); #16677=EDGE_LOOP('',(#110756,#110757,#110758,#110759)); #16678=EDGE_LOOP('',(#110760,#110761,#110762,#110763)); #16679=EDGE_LOOP('',(#110764,#110765,#110766,#110767)); #16680=EDGE_LOOP('',(#110768,#110769,#110770,#110771)); #16681=EDGE_LOOP('',(#110772,#110773,#110774,#110775)); #16682=EDGE_LOOP('',(#110776,#110777,#110778,#110779)); #16683=EDGE_LOOP('',(#110780,#110781,#110782,#110783)); #16684=EDGE_LOOP('',(#110784,#110785,#110786,#110787)); #16685=EDGE_LOOP('',(#110788,#110789,#110790,#110791)); #16686=EDGE_LOOP('',(#110792,#110793,#110794,#110795)); #16687=EDGE_LOOP('',(#110796,#110797,#110798,#110799)); #16688=EDGE_LOOP('',(#110800,#110801,#110802,#110803)); #16689=EDGE_LOOP('',(#110804,#110805,#110806,#110807)); #16690=EDGE_LOOP('',(#110808,#110809,#110810,#110811)); #16691=EDGE_LOOP('',(#110812,#110813,#110814,#110815)); #16692=EDGE_LOOP('',(#110816,#110817,#110818,#110819)); #16693=EDGE_LOOP('',(#110820,#110821,#110822,#110823)); #16694=EDGE_LOOP('',(#110824,#110825,#110826,#110827)); #16695=EDGE_LOOP('',(#110828,#110829,#110830,#110831)); #16696=EDGE_LOOP('',(#110832,#110833,#110834,#110835)); #16697=EDGE_LOOP('',(#110836,#110837,#110838,#110839)); #16698=EDGE_LOOP('',(#110840,#110841,#110842,#110843)); #16699=EDGE_LOOP('',(#110844,#110845,#110846,#110847)); #16700=EDGE_LOOP('',(#110848,#110849,#110850,#110851)); #16701=EDGE_LOOP('',(#110852,#110853,#110854,#110855)); #16702=EDGE_LOOP('',(#110856,#110857,#110858,#110859)); #16703=EDGE_LOOP('',(#110860,#110861,#110862,#110863)); #16704=EDGE_LOOP('',(#110864,#110865,#110866,#110867)); #16705=EDGE_LOOP('',(#110868,#110869,#110870,#110871)); #16706=EDGE_LOOP('',(#110872,#110873,#110874,#110875)); #16707=EDGE_LOOP('',(#110876,#110877,#110878,#110879)); #16708=EDGE_LOOP('',(#110880,#110881,#110882,#110883)); #16709=EDGE_LOOP('',(#110884,#110885,#110886,#110887)); #16710=EDGE_LOOP('',(#110888,#110889,#110890,#110891)); #16711=EDGE_LOOP('',(#110892,#110893,#110894,#110895)); #16712=EDGE_LOOP('',(#110896,#110897,#110898,#110899)); #16713=EDGE_LOOP('',(#110900,#110901,#110902,#110903)); #16714=EDGE_LOOP('',(#110904,#110905,#110906,#110907)); #16715=EDGE_LOOP('',(#110908,#110909,#110910,#110911)); #16716=EDGE_LOOP('',(#110912,#110913,#110914,#110915)); #16717=EDGE_LOOP('',(#110916,#110917,#110918,#110919)); #16718=EDGE_LOOP('',(#110920,#110921,#110922,#110923)); #16719=EDGE_LOOP('',(#110924,#110925,#110926,#110927)); #16720=EDGE_LOOP('',(#110928,#110929,#110930,#110931)); #16721=EDGE_LOOP('',(#110932,#110933,#110934,#110935)); #16722=EDGE_LOOP('',(#110936,#110937,#110938,#110939)); #16723=EDGE_LOOP('',(#110940,#110941,#110942,#110943)); #16724=EDGE_LOOP('',(#110944,#110945,#110946,#110947)); #16725=EDGE_LOOP('',(#110948,#110949,#110950,#110951)); #16726=EDGE_LOOP('',(#110952,#110953,#110954,#110955)); #16727=EDGE_LOOP('',(#110956,#110957,#110958,#110959)); #16728=EDGE_LOOP('',(#110960,#110961,#110962,#110963)); #16729=EDGE_LOOP('',(#110964,#110965,#110966,#110967)); #16730=EDGE_LOOP('',(#110968,#110969,#110970,#110971)); #16731=EDGE_LOOP('',(#110972,#110973,#110974,#110975)); #16732=EDGE_LOOP('',(#110976,#110977,#110978,#110979)); #16733=EDGE_LOOP('',(#110980,#110981,#110982,#110983)); #16734=EDGE_LOOP('',(#110984,#110985,#110986,#110987)); #16735=EDGE_LOOP('',(#110988,#110989,#110990,#110991,#110992,#110993,#110994, #110995,#110996,#110997,#110998,#110999,#111000,#111001,#111002,#111003, #111004,#111005,#111006,#111007,#111008,#111009,#111010,#111011,#111012, #111013,#111014,#111015,#111016,#111017,#111018,#111019,#111020,#111021, #111022,#111023,#111024,#111025,#111026,#111027,#111028,#111029,#111030, #111031,#111032,#111033,#111034,#111035,#111036,#111037,#111038,#111039, #111040,#111041,#111042,#111043,#111044,#111045,#111046,#111047,#111048, #111049,#111050,#111051,#111052,#111053,#111054,#111055,#111056,#111057, #111058,#111059,#111060,#111061,#111062,#111063,#111064,#111065,#111066, #111067,#111068,#111069,#111070,#111071,#111072,#111073,#111074,#111075, #111076,#111077,#111078,#111079,#111080,#111081,#111082,#111083,#111084, #111085,#111086,#111087,#111088,#111089,#111090,#111091,#111092,#111093, #111094,#111095,#111096,#111097,#111098,#111099,#111100,#111101,#111102, #111103,#111104,#111105,#111106,#111107,#111108,#111109,#111110,#111111, #111112,#111113,#111114,#111115,#111116,#111117,#111118,#111119,#111120, #111121,#111122,#111123,#111124,#111125,#111126)); #16736=EDGE_LOOP('',(#111127,#111128,#111129,#111130,#111131,#111132,#111133, #111134)); #16737=EDGE_LOOP('',(#111135,#111136,#111137,#111138)); #16738=EDGE_LOOP('',(#111139,#111140,#111141,#111142)); #16739=EDGE_LOOP('',(#111143,#111144,#111145,#111146)); #16740=EDGE_LOOP('',(#111147,#111148,#111149,#111150)); #16741=EDGE_LOOP('',(#111151,#111152,#111153,#111154)); #16742=EDGE_LOOP('',(#111155,#111156,#111157,#111158)); #16743=EDGE_LOOP('',(#111159,#111160,#111161,#111162)); #16744=EDGE_LOOP('',(#111163,#111164,#111165,#111166)); #16745=EDGE_LOOP('',(#111167,#111168,#111169,#111170)); #16746=EDGE_LOOP('',(#111171,#111172,#111173,#111174)); #16747=EDGE_LOOP('',(#111175,#111176,#111177,#111178)); #16748=EDGE_LOOP('',(#111179,#111180,#111181,#111182)); #16749=EDGE_LOOP('',(#111183,#111184,#111185,#111186)); #16750=EDGE_LOOP('',(#111187,#111188,#111189,#111190)); #16751=EDGE_LOOP('',(#111191,#111192,#111193,#111194)); #16752=EDGE_LOOP('',(#111195,#111196,#111197,#111198)); #16753=EDGE_LOOP('',(#111199,#111200,#111201,#111202)); #16754=EDGE_LOOP('',(#111203,#111204,#111205,#111206)); #16755=EDGE_LOOP('',(#111207,#111208,#111209,#111210)); #16756=EDGE_LOOP('',(#111211,#111212,#111213,#111214)); #16757=EDGE_LOOP('',(#111215,#111216,#111217,#111218)); #16758=EDGE_LOOP('',(#111219,#111220,#111221,#111222)); #16759=EDGE_LOOP('',(#111223,#111224,#111225,#111226)); #16760=EDGE_LOOP('',(#111227,#111228,#111229,#111230)); #16761=EDGE_LOOP('',(#111231,#111232,#111233,#111234)); #16762=EDGE_LOOP('',(#111235,#111236,#111237,#111238)); #16763=EDGE_LOOP('',(#111239,#111240,#111241,#111242)); #16764=EDGE_LOOP('',(#111243,#111244,#111245,#111246)); #16765=EDGE_LOOP('',(#111247,#111248,#111249,#111250)); #16766=EDGE_LOOP('',(#111251,#111252,#111253,#111254)); #16767=EDGE_LOOP('',(#111255,#111256,#111257,#111258)); #16768=EDGE_LOOP('',(#111259,#111260,#111261,#111262)); #16769=EDGE_LOOP('',(#111263,#111264,#111265,#111266)); #16770=EDGE_LOOP('',(#111267,#111268,#111269,#111270)); #16771=EDGE_LOOP('',(#111271,#111272,#111273,#111274)); #16772=EDGE_LOOP('',(#111275,#111276,#111277,#111278)); #16773=EDGE_LOOP('',(#111279,#111280,#111281,#111282)); #16774=EDGE_LOOP('',(#111283,#111284,#111285,#111286)); #16775=EDGE_LOOP('',(#111287,#111288,#111289,#111290,#111291,#111292,#111293, #111294,#111295,#111296,#111297,#111298,#111299,#111300,#111301,#111302, #111303,#111304,#111305,#111306,#111307,#111308,#111309,#111310,#111311, #111312,#111313,#111314,#111315)); #16776=EDGE_LOOP('',(#111316,#111317,#111318,#111319,#111320,#111321,#111322, #111323,#111324)); #16777=EDGE_LOOP('',(#111325,#111326,#111327,#111328)); #16778=EDGE_LOOP('',(#111329,#111330,#111331,#111332)); #16779=EDGE_LOOP('',(#111333,#111334,#111335,#111336)); #16780=EDGE_LOOP('',(#111337,#111338,#111339,#111340)); #16781=EDGE_LOOP('',(#111341,#111342,#111343,#111344)); #16782=EDGE_LOOP('',(#111345,#111346,#111347,#111348)); #16783=EDGE_LOOP('',(#111349,#111350,#111351,#111352)); #16784=EDGE_LOOP('',(#111353,#111354,#111355,#111356)); #16785=EDGE_LOOP('',(#111357,#111358,#111359,#111360)); #16786=EDGE_LOOP('',(#111361,#111362,#111363,#111364)); #16787=EDGE_LOOP('',(#111365,#111366,#111367,#111368)); #16788=EDGE_LOOP('',(#111369,#111370,#111371,#111372)); #16789=EDGE_LOOP('',(#111373,#111374,#111375,#111376)); #16790=EDGE_LOOP('',(#111377,#111378,#111379,#111380)); #16791=EDGE_LOOP('',(#111381,#111382,#111383,#111384)); #16792=EDGE_LOOP('',(#111385,#111386,#111387,#111388)); #16793=EDGE_LOOP('',(#111389,#111390,#111391,#111392)); #16794=EDGE_LOOP('',(#111393,#111394,#111395,#111396)); #16795=EDGE_LOOP('',(#111397,#111398,#111399,#111400)); #16796=EDGE_LOOP('',(#111401,#111402,#111403,#111404)); #16797=EDGE_LOOP('',(#111405,#111406,#111407,#111408)); #16798=EDGE_LOOP('',(#111409,#111410,#111411,#111412)); #16799=EDGE_LOOP('',(#111413,#111414,#111415,#111416)); #16800=EDGE_LOOP('',(#111417,#111418,#111419,#111420)); #16801=EDGE_LOOP('',(#111421,#111422,#111423,#111424)); #16802=EDGE_LOOP('',(#111425,#111426,#111427,#111428)); #16803=EDGE_LOOP('',(#111429,#111430,#111431,#111432)); #16804=EDGE_LOOP('',(#111433,#111434,#111435,#111436)); #16805=EDGE_LOOP('',(#111437,#111438,#111439,#111440)); #16806=EDGE_LOOP('',(#111441,#111442,#111443,#111444)); #16807=EDGE_LOOP('',(#111445,#111446,#111447,#111448)); #16808=EDGE_LOOP('',(#111449,#111450,#111451,#111452)); #16809=EDGE_LOOP('',(#111453,#111454,#111455,#111456)); #16810=EDGE_LOOP('',(#111457,#111458,#111459,#111460)); #16811=EDGE_LOOP('',(#111461,#111462,#111463,#111464)); #16812=EDGE_LOOP('',(#111465,#111466,#111467,#111468)); #16813=EDGE_LOOP('',(#111469,#111470,#111471,#111472)); #16814=EDGE_LOOP('',(#111473,#111474,#111475,#111476)); #16815=EDGE_LOOP('',(#111477,#111478,#111479,#111480)); #16816=EDGE_LOOP('',(#111481,#111482,#111483,#111484)); #16817=EDGE_LOOP('',(#111485,#111486,#111487,#111488)); #16818=EDGE_LOOP('',(#111489,#111490,#111491,#111492)); #16819=EDGE_LOOP('',(#111493,#111494,#111495,#111496)); #16820=EDGE_LOOP('',(#111497,#111498,#111499,#111500)); #16821=EDGE_LOOP('',(#111501,#111502,#111503,#111504)); #16822=EDGE_LOOP('',(#111505,#111506,#111507,#111508)); #16823=EDGE_LOOP('',(#111509,#111510,#111511,#111512)); #16824=EDGE_LOOP('',(#111513,#111514,#111515,#111516)); #16825=EDGE_LOOP('',(#111517,#111518,#111519,#111520)); #16826=EDGE_LOOP('',(#111521,#111522,#111523,#111524)); #16827=EDGE_LOOP('',(#111525,#111526,#111527,#111528)); #16828=EDGE_LOOP('',(#111529,#111530,#111531,#111532)); #16829=EDGE_LOOP('',(#111533,#111534,#111535,#111536)); #16830=EDGE_LOOP('',(#111537,#111538,#111539,#111540)); #16831=EDGE_LOOP('',(#111541,#111542,#111543,#111544)); #16832=EDGE_LOOP('',(#111545,#111546,#111547,#111548)); #16833=EDGE_LOOP('',(#111549,#111550,#111551,#111552)); #16834=EDGE_LOOP('',(#111553,#111554,#111555,#111556)); #16835=EDGE_LOOP('',(#111557,#111558,#111559,#111560)); #16836=EDGE_LOOP('',(#111561,#111562,#111563,#111564)); #16837=EDGE_LOOP('',(#111565,#111566,#111567,#111568)); #16838=EDGE_LOOP('',(#111569,#111570,#111571,#111572,#111573,#111574,#111575, #111576,#111577,#111578,#111579,#111580,#111581,#111582,#111583,#111584, #111585,#111586,#111587,#111588,#111589,#111590,#111591,#111592,#111593, #111594,#111595,#111596,#111597,#111598,#111599,#111600,#111601,#111602, #111603,#111604,#111605,#111606,#111607,#111608,#111609,#111610,#111611, #111612,#111613,#111614,#111615,#111616,#111617,#111618,#111619,#111620, #111621,#111622,#111623,#111624)); #16839=EDGE_LOOP('',(#111625,#111626,#111627,#111628,#111629)); #16840=EDGE_LOOP('',(#111630,#111631,#111632,#111633)); #16841=EDGE_LOOP('',(#111634,#111635,#111636,#111637)); #16842=EDGE_LOOP('',(#111638,#111639,#111640,#111641)); #16843=EDGE_LOOP('',(#111642,#111643,#111644,#111645)); #16844=EDGE_LOOP('',(#111646,#111647,#111648,#111649)); #16845=EDGE_LOOP('',(#111650,#111651,#111652,#111653)); #16846=EDGE_LOOP('',(#111654,#111655,#111656,#111657)); #16847=EDGE_LOOP('',(#111658,#111659,#111660,#111661)); #16848=EDGE_LOOP('',(#111662,#111663,#111664,#111665)); #16849=EDGE_LOOP('',(#111666,#111667,#111668,#111669)); #16850=EDGE_LOOP('',(#111670,#111671,#111672,#111673)); #16851=EDGE_LOOP('',(#111674,#111675,#111676,#111677)); #16852=EDGE_LOOP('',(#111678,#111679,#111680,#111681)); #16853=EDGE_LOOP('',(#111682,#111683,#111684,#111685)); #16854=EDGE_LOOP('',(#111686,#111687,#111688,#111689)); #16855=EDGE_LOOP('',(#111690,#111691,#111692,#111693)); #16856=EDGE_LOOP('',(#111694,#111695,#111696,#111697)); #16857=EDGE_LOOP('',(#111698,#111699,#111700,#111701)); #16858=EDGE_LOOP('',(#111702,#111703,#111704,#111705)); #16859=EDGE_LOOP('',(#111706,#111707,#111708,#111709)); #16860=EDGE_LOOP('',(#111710,#111711,#111712,#111713)); #16861=EDGE_LOOP('',(#111714,#111715,#111716,#111717)); #16862=EDGE_LOOP('',(#111718,#111719,#111720,#111721)); #16863=EDGE_LOOP('',(#111722,#111723,#111724,#111725)); #16864=EDGE_LOOP('',(#111726,#111727,#111728,#111729)); #16865=EDGE_LOOP('',(#111730,#111731,#111732,#111733)); #16866=EDGE_LOOP('',(#111734,#111735,#111736,#111737)); #16867=EDGE_LOOP('',(#111738,#111739,#111740,#111741)); #16868=EDGE_LOOP('',(#111742,#111743,#111744,#111745)); #16869=EDGE_LOOP('',(#111746,#111747,#111748,#111749)); #16870=EDGE_LOOP('',(#111750,#111751,#111752,#111753)); #16871=EDGE_LOOP('',(#111754,#111755,#111756,#111757)); #16872=EDGE_LOOP('',(#111758,#111759,#111760,#111761)); #16873=EDGE_LOOP('',(#111762,#111763,#111764,#111765)); #16874=EDGE_LOOP('',(#111766,#111767,#111768,#111769)); #16875=EDGE_LOOP('',(#111770,#111771,#111772,#111773)); #16876=EDGE_LOOP('',(#111774,#111775,#111776,#111777)); #16877=EDGE_LOOP('',(#111778,#111779,#111780,#111781)); #16878=EDGE_LOOP('',(#111782,#111783,#111784,#111785)); #16879=EDGE_LOOP('',(#111786,#111787,#111788,#111789)); #16880=EDGE_LOOP('',(#111790,#111791,#111792,#111793)); #16881=EDGE_LOOP('',(#111794,#111795,#111796,#111797)); #16882=EDGE_LOOP('',(#111798,#111799,#111800,#111801)); #16883=EDGE_LOOP('',(#111802,#111803,#111804,#111805)); #16884=EDGE_LOOP('',(#111806,#111807,#111808,#111809)); #16885=EDGE_LOOP('',(#111810,#111811,#111812,#111813)); #16886=EDGE_LOOP('',(#111814,#111815,#111816,#111817)); #16887=EDGE_LOOP('',(#111818,#111819,#111820,#111821)); #16888=EDGE_LOOP('',(#111822,#111823,#111824,#111825)); #16889=EDGE_LOOP('',(#111826,#111827,#111828,#111829)); #16890=EDGE_LOOP('',(#111830,#111831,#111832,#111833)); #16891=EDGE_LOOP('',(#111834,#111835,#111836,#111837)); #16892=EDGE_LOOP('',(#111838,#111839,#111840,#111841)); #16893=EDGE_LOOP('',(#111842,#111843,#111844,#111845)); #16894=EDGE_LOOP('',(#111846,#111847,#111848,#111849)); #16895=EDGE_LOOP('',(#111850,#111851,#111852,#111853)); #16896=EDGE_LOOP('',(#111854,#111855,#111856,#111857)); #16897=EDGE_LOOP('',(#111858,#111859,#111860,#111861)); #16898=EDGE_LOOP('',(#111862,#111863,#111864,#111865)); #16899=EDGE_LOOP('',(#111866,#111867,#111868,#111869)); #16900=EDGE_LOOP('',(#111870,#111871,#111872,#111873)); #16901=EDGE_LOOP('',(#111874,#111875,#111876,#111877)); #16902=EDGE_LOOP('',(#111878,#111879,#111880,#111881)); #16903=EDGE_LOOP('',(#111882,#111883,#111884,#111885)); #16904=EDGE_LOOP('',(#111886,#111887,#111888,#111889)); #16905=EDGE_LOOP('',(#111890,#111891,#111892,#111893)); #16906=EDGE_LOOP('',(#111894,#111895,#111896,#111897)); #16907=EDGE_LOOP('',(#111898,#111899,#111900,#111901)); #16908=EDGE_LOOP('',(#111902,#111903,#111904,#111905)); #16909=EDGE_LOOP('',(#111906,#111907,#111908,#111909)); #16910=EDGE_LOOP('',(#111910,#111911,#111912,#111913)); #16911=EDGE_LOOP('',(#111914,#111915,#111916,#111917)); #16912=EDGE_LOOP('',(#111918,#111919,#111920,#111921)); #16913=EDGE_LOOP('',(#111922,#111923,#111924,#111925)); #16914=EDGE_LOOP('',(#111926,#111927,#111928,#111929)); #16915=EDGE_LOOP('',(#111930,#111931,#111932,#111933)); #16916=EDGE_LOOP('',(#111934,#111935,#111936,#111937)); #16917=EDGE_LOOP('',(#111938,#111939,#111940,#111941)); #16918=EDGE_LOOP('',(#111942,#111943,#111944,#111945)); #16919=EDGE_LOOP('',(#111946,#111947,#111948,#111949)); #16920=EDGE_LOOP('',(#111950,#111951,#111952,#111953)); #16921=EDGE_LOOP('',(#111954,#111955,#111956,#111957)); #16922=EDGE_LOOP('',(#111958,#111959,#111960,#111961)); #16923=EDGE_LOOP('',(#111962,#111963,#111964,#111965)); #16924=EDGE_LOOP('',(#111966,#111967,#111968,#111969)); #16925=EDGE_LOOP('',(#111970,#111971,#111972,#111973)); #16926=EDGE_LOOP('',(#111974,#111975,#111976,#111977)); #16927=EDGE_LOOP('',(#111978,#111979,#111980,#111981)); #16928=EDGE_LOOP('',(#111982,#111983,#111984,#111985)); #16929=EDGE_LOOP('',(#111986,#111987,#111988,#111989)); #16930=EDGE_LOOP('',(#111990,#111991,#111992,#111993)); #16931=EDGE_LOOP('',(#111994,#111995,#111996,#111997)); #16932=EDGE_LOOP('',(#111998,#111999,#112000,#112001)); #16933=EDGE_LOOP('',(#112002,#112003,#112004,#112005)); #16934=EDGE_LOOP('',(#112006,#112007,#112008,#112009)); #16935=EDGE_LOOP('',(#112010,#112011,#112012,#112013)); #16936=EDGE_LOOP('',(#112014,#112015,#112016,#112017)); #16937=EDGE_LOOP('',(#112018,#112019,#112020,#112021)); #16938=EDGE_LOOP('',(#112022,#112023,#112024,#112025)); #16939=EDGE_LOOP('',(#112026,#112027,#112028,#112029)); #16940=EDGE_LOOP('',(#112030,#112031,#112032,#112033)); #16941=EDGE_LOOP('',(#112034,#112035,#112036,#112037)); #16942=EDGE_LOOP('',(#112038,#112039,#112040,#112041)); #16943=EDGE_LOOP('',(#112042,#112043,#112044,#112045)); #16944=EDGE_LOOP('',(#112046,#112047,#112048,#112049)); #16945=EDGE_LOOP('',(#112050,#112051,#112052,#112053)); #16946=EDGE_LOOP('',(#112054,#112055,#112056,#112057)); #16947=EDGE_LOOP('',(#112058,#112059,#112060,#112061)); #16948=EDGE_LOOP('',(#112062,#112063,#112064,#112065)); #16949=EDGE_LOOP('',(#112066,#112067,#112068,#112069)); #16950=EDGE_LOOP('',(#112070,#112071,#112072,#112073)); #16951=EDGE_LOOP('',(#112074,#112075,#112076,#112077)); #16952=EDGE_LOOP('',(#112078,#112079,#112080,#112081)); #16953=EDGE_LOOP('',(#112082,#112083,#112084,#112085)); #16954=EDGE_LOOP('',(#112086,#112087,#112088,#112089)); #16955=EDGE_LOOP('',(#112090,#112091,#112092,#112093)); #16956=EDGE_LOOP('',(#112094,#112095,#112096,#112097)); #16957=EDGE_LOOP('',(#112098,#112099,#112100,#112101)); #16958=EDGE_LOOP('',(#112102,#112103,#112104,#112105)); #16959=EDGE_LOOP('',(#112106,#112107,#112108,#112109)); #16960=EDGE_LOOP('',(#112110,#112111,#112112,#112113)); #16961=EDGE_LOOP('',(#112114,#112115,#112116,#112117)); #16962=EDGE_LOOP('',(#112118,#112119,#112120,#112121,#112122,#112123,#112124, #112125,#112126,#112127,#112128,#112129,#112130,#112131,#112132,#112133, #112134,#112135,#112136,#112137,#112138,#112139,#112140,#112141,#112142, #112143,#112144,#112145,#112146,#112147,#112148,#112149,#112150,#112151, #112152,#112153,#112154,#112155,#112156,#112157,#112158,#112159,#112160, #112161,#112162,#112163,#112164,#112165,#112166,#112167,#112168,#112169, #112170,#112171,#112172,#112173,#112174,#112175,#112176,#112177,#112178, #112179,#112180,#112181,#112182,#112183,#112184,#112185,#112186,#112187, #112188,#112189,#112190,#112191,#112192,#112193,#112194,#112195,#112196, #112197,#112198,#112199,#112200,#112201,#112202,#112203,#112204,#112205, #112206,#112207,#112208,#112209,#112210,#112211,#112212,#112213,#112214, #112215,#112216,#112217,#112218,#112219,#112220,#112221,#112222,#112223, #112224,#112225,#112226,#112227,#112228,#112229,#112230,#112231,#112232, #112233,#112234,#112235,#112236,#112237,#112238,#112239)); #16963=EDGE_LOOP('',(#112240,#112241,#112242,#112243)); #16964=EDGE_LOOP('',(#112244,#112245,#112246,#112247)); #16965=EDGE_LOOP('',(#112248,#112249,#112250,#112251)); #16966=EDGE_LOOP('',(#112252,#112253,#112254,#112255)); #16967=EDGE_LOOP('',(#112256,#112257,#112258,#112259)); #16968=EDGE_LOOP('',(#112260,#112261,#112262,#112263)); #16969=EDGE_LOOP('',(#112264,#112265,#112266,#112267)); #16970=EDGE_LOOP('',(#112268,#112269,#112270,#112271)); #16971=EDGE_LOOP('',(#112272,#112273,#112274,#112275)); #16972=EDGE_LOOP('',(#112276,#112277,#112278,#112279)); #16973=EDGE_LOOP('',(#112280,#112281,#112282,#112283)); #16974=EDGE_LOOP('',(#112284,#112285,#112286,#112287)); #16975=EDGE_LOOP('',(#112288,#112289,#112290,#112291)); #16976=EDGE_LOOP('',(#112292,#112293,#112294,#112295,#112296,#112297)); #16977=EDGE_LOOP('',(#112298,#112299,#112300,#112301,#112302,#112303,#112304)); #16978=EDGE_LOOP('',(#112305,#112306,#112307,#112308)); #16979=EDGE_LOOP('',(#112309,#112310,#112311,#112312)); #16980=EDGE_LOOP('',(#112313,#112314,#112315,#112316)); #16981=EDGE_LOOP('',(#112317,#112318,#112319,#112320)); #16982=EDGE_LOOP('',(#112321,#112322,#112323,#112324)); #16983=EDGE_LOOP('',(#112325,#112326,#112327,#112328)); #16984=EDGE_LOOP('',(#112329,#112330,#112331,#112332)); #16985=EDGE_LOOP('',(#112333,#112334,#112335,#112336)); #16986=EDGE_LOOP('',(#112337,#112338,#112339,#112340)); #16987=EDGE_LOOP('',(#112341,#112342,#112343,#112344)); #16988=EDGE_LOOP('',(#112345,#112346,#112347,#112348)); #16989=EDGE_LOOP('',(#112349,#112350,#112351,#112352)); #16990=EDGE_LOOP('',(#112353,#112354,#112355,#112356)); #16991=EDGE_LOOP('',(#112357,#112358,#112359,#112360)); #16992=EDGE_LOOP('',(#112361,#112362,#112363,#112364)); #16993=EDGE_LOOP('',(#112365,#112366,#112367,#112368)); #16994=EDGE_LOOP('',(#112369,#112370,#112371,#112372)); #16995=EDGE_LOOP('',(#112373,#112374,#112375,#112376)); #16996=EDGE_LOOP('',(#112377,#112378,#112379,#112380)); #16997=EDGE_LOOP('',(#112381,#112382,#112383,#112384)); #16998=EDGE_LOOP('',(#112385,#112386,#112387,#112388)); #16999=EDGE_LOOP('',(#112389,#112390,#112391,#112392)); #17000=EDGE_LOOP('',(#112393,#112394,#112395,#112396)); #17001=EDGE_LOOP('',(#112397,#112398,#112399,#112400)); #17002=EDGE_LOOP('',(#112401,#112402,#112403,#112404)); #17003=EDGE_LOOP('',(#112405,#112406,#112407,#112408)); #17004=EDGE_LOOP('',(#112409,#112410,#112411,#112412)); #17005=EDGE_LOOP('',(#112413,#112414,#112415,#112416)); #17006=EDGE_LOOP('',(#112417,#112418,#112419,#112420)); #17007=EDGE_LOOP('',(#112421,#112422,#112423,#112424,#112425,#112426,#112427, #112428,#112429,#112430,#112431,#112432,#112433,#112434,#112435,#112436, #112437,#112438,#112439,#112440,#112441,#112442,#112443,#112444,#112445, #112446,#112447,#112448,#112449)); #17008=EDGE_LOOP('',(#112450,#112451,#112452,#112453)); #17009=EDGE_LOOP('',(#112454,#112455,#112456,#112457)); #17010=EDGE_LOOP('',(#112458,#112459,#112460,#112461)); #17011=EDGE_LOOP('',(#112462,#112463,#112464,#112465)); #17012=EDGE_LOOP('',(#112466,#112467,#112468,#112469)); #17013=EDGE_LOOP('',(#112470,#112471,#112472,#112473)); #17014=EDGE_LOOP('',(#112474,#112475,#112476,#112477)); #17015=EDGE_LOOP('',(#112478,#112479,#112480,#112481)); #17016=EDGE_LOOP('',(#112482,#112483,#112484,#112485)); #17017=EDGE_LOOP('',(#112486,#112487,#112488,#112489)); #17018=EDGE_LOOP('',(#112490,#112491,#112492,#112493)); #17019=EDGE_LOOP('',(#112494,#112495,#112496,#112497)); #17020=EDGE_LOOP('',(#112498,#112499,#112500,#112501)); #17021=EDGE_LOOP('',(#112502,#112503,#112504,#112505)); #17022=EDGE_LOOP('',(#112506,#112507,#112508,#112509)); #17023=EDGE_LOOP('',(#112510,#112511,#112512,#112513)); #17024=EDGE_LOOP('',(#112514,#112515,#112516,#112517)); #17025=EDGE_LOOP('',(#112518,#112519,#112520,#112521)); #17026=EDGE_LOOP('',(#112522,#112523,#112524,#112525)); #17027=EDGE_LOOP('',(#112526,#112527,#112528,#112529)); #17028=EDGE_LOOP('',(#112530,#112531,#112532,#112533)); #17029=EDGE_LOOP('',(#112534,#112535,#112536,#112537)); #17030=EDGE_LOOP('',(#112538,#112539,#112540,#112541)); #17031=EDGE_LOOP('',(#112542,#112543,#112544,#112545)); #17032=EDGE_LOOP('',(#112546,#112547,#112548,#112549)); #17033=EDGE_LOOP('',(#112550,#112551,#112552,#112553)); #17034=EDGE_LOOP('',(#112554,#112555,#112556,#112557)); #17035=EDGE_LOOP('',(#112558,#112559,#112560,#112561)); #17036=EDGE_LOOP('',(#112562,#112563,#112564,#112565)); #17037=EDGE_LOOP('',(#112566,#112567,#112568,#112569)); #17038=EDGE_LOOP('',(#112570,#112571,#112572,#112573)); #17039=EDGE_LOOP('',(#112574,#112575,#112576,#112577)); #17040=EDGE_LOOP('',(#112578,#112579,#112580,#112581)); #17041=EDGE_LOOP('',(#112582,#112583,#112584,#112585)); #17042=EDGE_LOOP('',(#112586,#112587,#112588,#112589)); #17043=EDGE_LOOP('',(#112590,#112591,#112592,#112593)); #17044=EDGE_LOOP('',(#112594,#112595,#112596,#112597)); #17045=EDGE_LOOP('',(#112598,#112599,#112600,#112601)); #17046=EDGE_LOOP('',(#112602,#112603,#112604,#112605)); #17047=EDGE_LOOP('',(#112606,#112607,#112608,#112609)); #17048=EDGE_LOOP('',(#112610,#112611,#112612,#112613)); #17049=EDGE_LOOP('',(#112614,#112615,#112616,#112617)); #17050=EDGE_LOOP('',(#112618,#112619,#112620,#112621)); #17051=EDGE_LOOP('',(#112622,#112623,#112624,#112625)); #17052=EDGE_LOOP('',(#112626,#112627,#112628,#112629)); #17053=EDGE_LOOP('',(#112630,#112631,#112632,#112633)); #17054=EDGE_LOOP('',(#112634,#112635,#112636,#112637)); #17055=EDGE_LOOP('',(#112638,#112639,#112640,#112641)); #17056=EDGE_LOOP('',(#112642,#112643,#112644,#112645)); #17057=EDGE_LOOP('',(#112646,#112647,#112648,#112649)); #17058=EDGE_LOOP('',(#112650,#112651,#112652,#112653)); #17059=EDGE_LOOP('',(#112654,#112655,#112656,#112657)); #17060=EDGE_LOOP('',(#112658,#112659,#112660,#112661)); #17061=EDGE_LOOP('',(#112662,#112663,#112664,#112665)); #17062=EDGE_LOOP('',(#112666,#112667,#112668,#112669)); #17063=EDGE_LOOP('',(#112670,#112671,#112672,#112673)); #17064=EDGE_LOOP('',(#112674,#112675,#112676,#112677)); #17065=EDGE_LOOP('',(#112678,#112679,#112680,#112681)); #17066=EDGE_LOOP('',(#112682,#112683,#112684,#112685)); #17067=EDGE_LOOP('',(#112686,#112687,#112688,#112689)); #17068=EDGE_LOOP('',(#112690,#112691,#112692,#112693)); #17069=EDGE_LOOP('',(#112694,#112695,#112696,#112697)); #17070=EDGE_LOOP('',(#112698,#112699,#112700,#112701)); #17071=EDGE_LOOP('',(#112702,#112703,#112704,#112705)); #17072=EDGE_LOOP('',(#112706,#112707,#112708,#112709)); #17073=EDGE_LOOP('',(#112710,#112711,#112712,#112713)); #17074=EDGE_LOOP('',(#112714,#112715,#112716,#112717)); #17075=EDGE_LOOP('',(#112718,#112719,#112720,#112721)); #17076=EDGE_LOOP('',(#112722,#112723,#112724,#112725)); #17077=EDGE_LOOP('',(#112726,#112727,#112728,#112729)); #17078=EDGE_LOOP('',(#112730,#112731,#112732,#112733)); #17079=EDGE_LOOP('',(#112734,#112735,#112736,#112737)); #17080=EDGE_LOOP('',(#112738,#112739,#112740,#112741)); #17081=EDGE_LOOP('',(#112742,#112743,#112744,#112745)); #17082=EDGE_LOOP('',(#112746,#112747,#112748,#112749)); #17083=EDGE_LOOP('',(#112750,#112751,#112752,#112753)); #17084=EDGE_LOOP('',(#112754,#112755,#112756,#112757)); #17085=EDGE_LOOP('',(#112758,#112759,#112760,#112761)); #17086=EDGE_LOOP('',(#112762,#112763,#112764,#112765)); #17087=EDGE_LOOP('',(#112766,#112767,#112768,#112769)); #17088=EDGE_LOOP('',(#112770,#112771,#112772,#112773)); #17089=EDGE_LOOP('',(#112774,#112775,#112776,#112777)); #17090=EDGE_LOOP('',(#112778,#112779,#112780,#112781)); #17091=EDGE_LOOP('',(#112782,#112783,#112784,#112785)); #17092=EDGE_LOOP('',(#112786,#112787,#112788,#112789)); #17093=EDGE_LOOP('',(#112790,#112791,#112792,#112793)); #17094=EDGE_LOOP('',(#112794,#112795,#112796,#112797)); #17095=EDGE_LOOP('',(#112798,#112799,#112800,#112801)); #17096=EDGE_LOOP('',(#112802,#112803,#112804,#112805)); #17097=EDGE_LOOP('',(#112806,#112807,#112808,#112809)); #17098=EDGE_LOOP('',(#112810,#112811,#112812,#112813)); #17099=EDGE_LOOP('',(#112814,#112815,#112816,#112817)); #17100=EDGE_LOOP('',(#112818,#112819,#112820,#112821)); #17101=EDGE_LOOP('',(#112822,#112823,#112824,#112825)); #17102=EDGE_LOOP('',(#112826,#112827,#112828,#112829)); #17103=EDGE_LOOP('',(#112830,#112831,#112832,#112833)); #17104=EDGE_LOOP('',(#112834,#112835,#112836,#112837)); #17105=EDGE_LOOP('',(#112838,#112839,#112840,#112841)); #17106=EDGE_LOOP('',(#112842,#112843,#112844,#112845)); #17107=EDGE_LOOP('',(#112846,#112847,#112848,#112849)); #17108=EDGE_LOOP('',(#112850,#112851,#112852,#112853)); #17109=EDGE_LOOP('',(#112854,#112855,#112856,#112857)); #17110=EDGE_LOOP('',(#112858,#112859,#112860,#112861)); #17111=EDGE_LOOP('',(#112862,#112863,#112864,#112865)); #17112=EDGE_LOOP('',(#112866,#112867,#112868,#112869)); #17113=EDGE_LOOP('',(#112870,#112871,#112872,#112873)); #17114=EDGE_LOOP('',(#112874,#112875,#112876,#112877)); #17115=EDGE_LOOP('',(#112878,#112879,#112880,#112881)); #17116=EDGE_LOOP('',(#112882,#112883,#112884,#112885)); #17117=EDGE_LOOP('',(#112886,#112887,#112888,#112889)); #17118=EDGE_LOOP('',(#112890,#112891,#112892,#112893)); #17119=EDGE_LOOP('',(#112894,#112895,#112896,#112897)); #17120=EDGE_LOOP('',(#112898,#112899,#112900,#112901)); #17121=EDGE_LOOP('',(#112902,#112903,#112904,#112905)); #17122=EDGE_LOOP('',(#112906,#112907,#112908,#112909)); #17123=EDGE_LOOP('',(#112910,#112911,#112912,#112913)); #17124=EDGE_LOOP('',(#112914,#112915,#112916,#112917)); #17125=EDGE_LOOP('',(#112918,#112919,#112920,#112921)); #17126=EDGE_LOOP('',(#112922,#112923,#112924,#112925)); #17127=EDGE_LOOP('',(#112926,#112927,#112928,#112929)); #17128=EDGE_LOOP('',(#112930,#112931,#112932,#112933)); #17129=EDGE_LOOP('',(#112934,#112935,#112936,#112937)); #17130=EDGE_LOOP('',(#112938,#112939,#112940,#112941)); #17131=EDGE_LOOP('',(#112942,#112943,#112944,#112945)); #17132=EDGE_LOOP('',(#112946,#112947,#112948,#112949)); #17133=EDGE_LOOP('',(#112950,#112951,#112952,#112953)); #17134=EDGE_LOOP('',(#112954,#112955,#112956,#112957)); #17135=EDGE_LOOP('',(#112958,#112959,#112960,#112961)); #17136=EDGE_LOOP('',(#112962,#112963,#112964,#112965)); #17137=EDGE_LOOP('',(#112966,#112967,#112968,#112969)); #17138=EDGE_LOOP('',(#112970,#112971,#112972,#112973)); #17139=EDGE_LOOP('',(#112974,#112975,#112976,#112977)); #17140=EDGE_LOOP('',(#112978,#112979,#112980,#112981)); #17141=EDGE_LOOP('',(#112982,#112983,#112984,#112985)); #17142=EDGE_LOOP('',(#112986,#112987,#112988,#112989)); #17143=EDGE_LOOP('',(#112990,#112991,#112992,#112993)); #17144=EDGE_LOOP('',(#112994,#112995,#112996,#112997)); #17145=EDGE_LOOP('',(#112998,#112999,#113000,#113001)); #17146=EDGE_LOOP('',(#113002,#113003,#113004,#113005)); #17147=EDGE_LOOP('',(#113006,#113007,#113008,#113009)); #17148=EDGE_LOOP('',(#113010,#113011,#113012,#113013)); #17149=EDGE_LOOP('',(#113014,#113015,#113016,#113017)); #17150=EDGE_LOOP('',(#113018,#113019,#113020,#113021)); #17151=EDGE_LOOP('',(#113022,#113023,#113024,#113025)); #17152=EDGE_LOOP('',(#113026,#113027,#113028,#113029)); #17153=EDGE_LOOP('',(#113030,#113031,#113032,#113033)); #17154=EDGE_LOOP('',(#113034,#113035,#113036,#113037)); #17155=EDGE_LOOP('',(#113038,#113039,#113040,#113041)); #17156=EDGE_LOOP('',(#113042,#113043,#113044,#113045)); #17157=EDGE_LOOP('',(#113046,#113047,#113048,#113049)); #17158=EDGE_LOOP('',(#113050,#113051,#113052,#113053)); #17159=EDGE_LOOP('',(#113054,#113055,#113056,#113057)); #17160=EDGE_LOOP('',(#113058,#113059,#113060,#113061)); #17161=EDGE_LOOP('',(#113062,#113063,#113064,#113065)); #17162=EDGE_LOOP('',(#113066,#113067,#113068,#113069)); #17163=EDGE_LOOP('',(#113070,#113071,#113072,#113073)); #17164=EDGE_LOOP('',(#113074,#113075,#113076,#113077,#113078,#113079,#113080, #113081,#113082,#113083,#113084,#113085,#113086,#113087,#113088,#113089, #113090,#113091,#113092,#113093,#113094,#113095,#113096,#113097,#113098, #113099,#113100,#113101,#113102,#113103,#113104,#113105,#113106,#113107, #113108,#113109,#113110,#113111,#113112,#113113,#113114,#113115,#113116, #113117,#113118,#113119,#113120,#113121,#113122,#113123,#113124,#113125, #113126,#113127,#113128,#113129,#113130,#113131,#113132,#113133,#113134, #113135,#113136,#113137,#113138,#113139,#113140,#113141,#113142,#113143, #113144,#113145,#113146,#113147,#113148,#113149,#113150,#113151,#113152, #113153,#113154,#113155,#113156,#113157,#113158,#113159,#113160,#113161, #113162,#113163,#113164,#113165,#113166,#113167,#113168,#113169,#113170, #113171,#113172,#113173,#113174,#113175,#113176,#113177,#113178,#113179, #113180,#113181,#113182,#113183,#113184,#113185,#113186,#113187,#113188, #113189,#113190,#113191,#113192,#113193,#113194,#113195,#113196,#113197, #113198,#113199,#113200,#113201,#113202,#113203,#113204,#113205,#113206, #113207,#113208,#113209,#113210,#113211,#113212,#113213,#113214,#113215, #113216)); #17165=EDGE_LOOP('',(#113217,#113218,#113219,#113220,#113221,#113222,#113223, #113224,#113225,#113226,#113227,#113228,#113229)); #17166=EDGE_LOOP('',(#113230,#113231,#113232,#113233)); #17167=EDGE_LOOP('',(#113234,#113235,#113236,#113237)); #17168=EDGE_LOOP('',(#113238,#113239,#113240,#113241)); #17169=EDGE_LOOP('',(#113242,#113243,#113244,#113245)); #17170=EDGE_LOOP('',(#113246,#113247,#113248,#113249)); #17171=EDGE_LOOP('',(#113250,#113251,#113252,#113253)); #17172=EDGE_LOOP('',(#113254,#113255,#113256,#113257)); #17173=EDGE_LOOP('',(#113258,#113259,#113260,#113261)); #17174=EDGE_LOOP('',(#113262,#113263,#113264,#113265)); #17175=EDGE_LOOP('',(#113266,#113267,#113268,#113269)); #17176=EDGE_LOOP('',(#113270,#113271,#113272,#113273)); #17177=EDGE_LOOP('',(#113274,#113275,#113276,#113277)); #17178=EDGE_LOOP('',(#113278,#113279,#113280,#113281)); #17179=EDGE_LOOP('',(#113282,#113283,#113284,#113285)); #17180=EDGE_LOOP('',(#113286,#113287,#113288,#113289)); #17181=EDGE_LOOP('',(#113290,#113291,#113292,#113293)); #17182=EDGE_LOOP('',(#113294,#113295,#113296,#113297)); #17183=EDGE_LOOP('',(#113298,#113299,#113300,#113301)); #17184=EDGE_LOOP('',(#113302,#113303,#113304,#113305)); #17185=EDGE_LOOP('',(#113306,#113307,#113308,#113309)); #17186=EDGE_LOOP('',(#113310,#113311,#113312,#113313)); #17187=EDGE_LOOP('',(#113314,#113315,#113316,#113317)); #17188=EDGE_LOOP('',(#113318,#113319,#113320,#113321)); #17189=EDGE_LOOP('',(#113322,#113323,#113324,#113325)); #17190=EDGE_LOOP('',(#113326,#113327,#113328,#113329)); #17191=EDGE_LOOP('',(#113330,#113331,#113332,#113333)); #17192=EDGE_LOOP('',(#113334,#113335,#113336,#113337)); #17193=EDGE_LOOP('',(#113338,#113339,#113340,#113341)); #17194=EDGE_LOOP('',(#113342,#113343,#113344,#113345)); #17195=EDGE_LOOP('',(#113346,#113347,#113348,#113349)); #17196=EDGE_LOOP('',(#113350,#113351,#113352,#113353)); #17197=EDGE_LOOP('',(#113354,#113355,#113356,#113357)); #17198=EDGE_LOOP('',(#113358,#113359,#113360,#113361)); #17199=EDGE_LOOP('',(#113362,#113363,#113364,#113365)); #17200=EDGE_LOOP('',(#113366,#113367,#113368,#113369)); #17201=EDGE_LOOP('',(#113370,#113371,#113372,#113373)); #17202=EDGE_LOOP('',(#113374,#113375,#113376,#113377)); #17203=EDGE_LOOP('',(#113378,#113379,#113380,#113381)); #17204=EDGE_LOOP('',(#113382,#113383,#113384,#113385)); #17205=EDGE_LOOP('',(#113386,#113387,#113388,#113389)); #17206=EDGE_LOOP('',(#113390,#113391,#113392,#113393)); #17207=EDGE_LOOP('',(#113394,#113395,#113396,#113397)); #17208=EDGE_LOOP('',(#113398,#113399,#113400,#113401)); #17209=EDGE_LOOP('',(#113402,#113403,#113404,#113405)); #17210=EDGE_LOOP('',(#113406,#113407,#113408,#113409)); #17211=EDGE_LOOP('',(#113410,#113411,#113412,#113413)); #17212=EDGE_LOOP('',(#113414,#113415,#113416,#113417)); #17213=EDGE_LOOP('',(#113418,#113419,#113420,#113421)); #17214=EDGE_LOOP('',(#113422,#113423,#113424,#113425)); #17215=EDGE_LOOP('',(#113426,#113427,#113428,#113429)); #17216=EDGE_LOOP('',(#113430,#113431,#113432,#113433)); #17217=EDGE_LOOP('',(#113434,#113435,#113436,#113437)); #17218=EDGE_LOOP('',(#113438,#113439,#113440,#113441)); #17219=EDGE_LOOP('',(#113442,#113443,#113444,#113445)); #17220=EDGE_LOOP('',(#113446,#113447,#113448,#113449)); #17221=EDGE_LOOP('',(#113450,#113451,#113452,#113453)); #17222=EDGE_LOOP('',(#113454,#113455,#113456,#113457)); #17223=EDGE_LOOP('',(#113458,#113459,#113460,#113461)); #17224=EDGE_LOOP('',(#113462,#113463,#113464,#113465)); #17225=EDGE_LOOP('',(#113466,#113467,#113468,#113469)); #17226=EDGE_LOOP('',(#113470,#113471,#113472,#113473)); #17227=EDGE_LOOP('',(#113474,#113475,#113476,#113477)); #17228=EDGE_LOOP('',(#113478,#113479,#113480,#113481)); #17229=EDGE_LOOP('',(#113482,#113483,#113484,#113485)); #17230=EDGE_LOOP('',(#113486,#113487,#113488,#113489)); #17231=EDGE_LOOP('',(#113490,#113491,#113492,#113493)); #17232=EDGE_LOOP('',(#113494,#113495,#113496,#113497)); #17233=EDGE_LOOP('',(#113498,#113499,#113500,#113501)); #17234=EDGE_LOOP('',(#113502,#113503,#113504,#113505)); #17235=EDGE_LOOP('',(#113506,#113507,#113508,#113509)); #17236=EDGE_LOOP('',(#113510,#113511,#113512,#113513)); #17237=EDGE_LOOP('',(#113514,#113515,#113516,#113517)); #17238=EDGE_LOOP('',(#113518,#113519,#113520,#113521)); #17239=EDGE_LOOP('',(#113522,#113523,#113524,#113525)); #17240=EDGE_LOOP('',(#113526,#113527,#113528,#113529)); #17241=EDGE_LOOP('',(#113530,#113531,#113532,#113533)); #17242=EDGE_LOOP('',(#113534,#113535,#113536,#113537)); #17243=EDGE_LOOP('',(#113538,#113539,#113540,#113541)); #17244=EDGE_LOOP('',(#113542,#113543,#113544,#113545)); #17245=EDGE_LOOP('',(#113546,#113547,#113548,#113549)); #17246=EDGE_LOOP('',(#113550,#113551,#113552,#113553)); #17247=EDGE_LOOP('',(#113554,#113555,#113556,#113557)); #17248=EDGE_LOOP('',(#113558,#113559,#113560,#113561)); #17249=EDGE_LOOP('',(#113562,#113563,#113564,#113565)); #17250=EDGE_LOOP('',(#113566,#113567,#113568,#113569)); #17251=EDGE_LOOP('',(#113570,#113571,#113572,#113573)); #17252=EDGE_LOOP('',(#113574,#113575,#113576,#113577)); #17253=EDGE_LOOP('',(#113578,#113579,#113580,#113581)); #17254=EDGE_LOOP('',(#113582,#113583,#113584,#113585)); #17255=EDGE_LOOP('',(#113586,#113587,#113588,#113589)); #17256=EDGE_LOOP('',(#113590,#113591,#113592,#113593)); #17257=EDGE_LOOP('',(#113594,#113595,#113596,#113597)); #17258=EDGE_LOOP('',(#113598,#113599,#113600,#113601)); #17259=EDGE_LOOP('',(#113602,#113603,#113604,#113605)); #17260=EDGE_LOOP('',(#113606,#113607,#113608,#113609)); #17261=EDGE_LOOP('',(#113610,#113611,#113612,#113613)); #17262=EDGE_LOOP('',(#113614,#113615,#113616,#113617)); #17263=EDGE_LOOP('',(#113618,#113619,#113620,#113621)); #17264=EDGE_LOOP('',(#113622,#113623,#113624,#113625)); #17265=EDGE_LOOP('',(#113626,#113627,#113628,#113629)); #17266=EDGE_LOOP('',(#113630,#113631,#113632,#113633)); #17267=EDGE_LOOP('',(#113634,#113635,#113636,#113637)); #17268=EDGE_LOOP('',(#113638,#113639,#113640,#113641)); #17269=EDGE_LOOP('',(#113642,#113643,#113644,#113645)); #17270=EDGE_LOOP('',(#113646,#113647,#113648,#113649)); #17271=EDGE_LOOP('',(#113650,#113651,#113652,#113653)); #17272=EDGE_LOOP('',(#113654,#113655,#113656,#113657)); #17273=EDGE_LOOP('',(#113658,#113659,#113660,#113661)); #17274=EDGE_LOOP('',(#113662,#113663,#113664,#113665)); #17275=EDGE_LOOP('',(#113666,#113667,#113668,#113669)); #17276=EDGE_LOOP('',(#113670,#113671,#113672,#113673)); #17277=EDGE_LOOP('',(#113674,#113675,#113676,#113677)); #17278=EDGE_LOOP('',(#113678,#113679,#113680,#113681)); #17279=EDGE_LOOP('',(#113682,#113683,#113684,#113685)); #17280=EDGE_LOOP('',(#113686,#113687,#113688,#113689)); #17281=EDGE_LOOP('',(#113690,#113691,#113692,#113693)); #17282=EDGE_LOOP('',(#113694,#113695,#113696,#113697)); #17283=EDGE_LOOP('',(#113698,#113699,#113700,#113701)); #17284=EDGE_LOOP('',(#113702,#113703,#113704,#113705)); #17285=EDGE_LOOP('',(#113706,#113707,#113708,#113709)); #17286=EDGE_LOOP('',(#113710,#113711,#113712,#113713)); #17287=EDGE_LOOP('',(#113714,#113715,#113716,#113717)); #17288=EDGE_LOOP('',(#113718,#113719,#113720,#113721)); #17289=EDGE_LOOP('',(#113722,#113723,#113724,#113725)); #17290=EDGE_LOOP('',(#113726,#113727,#113728,#113729)); #17291=EDGE_LOOP('',(#113730,#113731,#113732,#113733)); #17292=EDGE_LOOP('',(#113734,#113735,#113736,#113737)); #17293=EDGE_LOOP('',(#113738,#113739,#113740,#113741)); #17294=EDGE_LOOP('',(#113742,#113743,#113744,#113745)); #17295=EDGE_LOOP('',(#113746,#113747,#113748,#113749)); #17296=EDGE_LOOP('',(#113750,#113751,#113752,#113753)); #17297=EDGE_LOOP('',(#113754,#113755,#113756,#113757)); #17298=EDGE_LOOP('',(#113758,#113759,#113760,#113761,#113762,#113763,#113764, #113765,#113766,#113767,#113768,#113769,#113770,#113771,#113772,#113773, #113774,#113775,#113776,#113777,#113778,#113779,#113780,#113781,#113782, #113783,#113784,#113785,#113786,#113787,#113788,#113789,#113790,#113791, #113792,#113793,#113794,#113795,#113796,#113797,#113798,#113799,#113800, #113801,#113802,#113803,#113804,#113805,#113806,#113807,#113808,#113809, #113810,#113811,#113812,#113813,#113814,#113815,#113816,#113817,#113818, #113819,#113820,#113821,#113822,#113823,#113824,#113825,#113826,#113827, #113828,#113829,#113830,#113831,#113832,#113833,#113834,#113835,#113836, #113837,#113838,#113839,#113840,#113841,#113842,#113843,#113844,#113845, #113846,#113847,#113848,#113849,#113850,#113851,#113852,#113853,#113854, #113855,#113856,#113857,#113858,#113859,#113860,#113861,#113862,#113863, #113864,#113865,#113866,#113867,#113868,#113869,#113870,#113871,#113872, #113873,#113874,#113875,#113876,#113877,#113878,#113879,#113880,#113881, #113882,#113883,#113884,#113885,#113886,#113887,#113888,#113889)); #17299=EDGE_LOOP('',(#113890,#113891,#113892,#113893)); #17300=EDGE_LOOP('',(#113894,#113895,#113896,#113897)); #17301=EDGE_LOOP('',(#113898,#113899,#113900,#113901)); #17302=EDGE_LOOP('',(#113902,#113903,#113904,#113905)); #17303=EDGE_LOOP('',(#113906,#113907,#113908,#113909)); #17304=EDGE_LOOP('',(#113910,#113911,#113912,#113913)); #17305=EDGE_LOOP('',(#113914,#113915,#113916,#113917)); #17306=EDGE_LOOP('',(#113918,#113919,#113920,#113921)); #17307=EDGE_LOOP('',(#113922,#113923,#113924,#113925)); #17308=EDGE_LOOP('',(#113926,#113927,#113928,#113929)); #17309=EDGE_LOOP('',(#113930,#113931,#113932,#113933)); #17310=EDGE_LOOP('',(#113934,#113935,#113936,#113937)); #17311=EDGE_LOOP('',(#113938,#113939,#113940,#113941)); #17312=EDGE_LOOP('',(#113942,#113943,#113944,#113945)); #17313=EDGE_LOOP('',(#113946,#113947,#113948,#113949)); #17314=EDGE_LOOP('',(#113950,#113951,#113952,#113953)); #17315=EDGE_LOOP('',(#113954,#113955,#113956,#113957)); #17316=EDGE_LOOP('',(#113958,#113959,#113960,#113961)); #17317=EDGE_LOOP('',(#113962,#113963,#113964,#113965)); #17318=EDGE_LOOP('',(#113966,#113967,#113968,#113969)); #17319=EDGE_LOOP('',(#113970,#113971,#113972,#113973)); #17320=EDGE_LOOP('',(#113974,#113975,#113976,#113977)); #17321=EDGE_LOOP('',(#113978,#113979,#113980,#113981)); #17322=EDGE_LOOP('',(#113982,#113983,#113984,#113985)); #17323=EDGE_LOOP('',(#113986,#113987,#113988,#113989)); #17324=EDGE_LOOP('',(#113990,#113991,#113992,#113993)); #17325=EDGE_LOOP('',(#113994,#113995,#113996,#113997)); #17326=EDGE_LOOP('',(#113998,#113999,#114000,#114001)); #17327=EDGE_LOOP('',(#114002,#114003,#114004,#114005)); #17328=EDGE_LOOP('',(#114006,#114007,#114008,#114009)); #17329=EDGE_LOOP('',(#114010,#114011,#114012,#114013)); #17330=EDGE_LOOP('',(#114014,#114015,#114016,#114017)); #17331=EDGE_LOOP('',(#114018,#114019,#114020,#114021)); #17332=EDGE_LOOP('',(#114022,#114023,#114024,#114025)); #17333=EDGE_LOOP('',(#114026,#114027,#114028,#114029)); #17334=EDGE_LOOP('',(#114030,#114031,#114032,#114033)); #17335=EDGE_LOOP('',(#114034,#114035,#114036,#114037)); #17336=EDGE_LOOP('',(#114038,#114039,#114040,#114041)); #17337=EDGE_LOOP('',(#114042,#114043,#114044,#114045,#114046,#114047,#114048, #114049,#114050,#114051,#114052,#114053,#114054,#114055,#114056,#114057, #114058,#114059,#114060,#114061,#114062,#114063,#114064,#114065,#114066, #114067,#114068,#114069,#114070,#114071,#114072,#114073,#114074,#114075, #114076,#114077,#114078,#114079)); #17338=EDGE_LOOP('',(#114080,#114081,#114082,#114083)); #17339=EDGE_LOOP('',(#114084,#114085,#114086,#114087)); #17340=EDGE_LOOP('',(#114088,#114089,#114090,#114091)); #17341=EDGE_LOOP('',(#114092,#114093,#114094,#114095)); #17342=EDGE_LOOP('',(#114096,#114097,#114098,#114099)); #17343=EDGE_LOOP('',(#114100,#114101,#114102,#114103)); #17344=EDGE_LOOP('',(#114104,#114105,#114106,#114107)); #17345=EDGE_LOOP('',(#114108,#114109,#114110,#114111)); #17346=EDGE_LOOP('',(#114112,#114113,#114114,#114115)); #17347=EDGE_LOOP('',(#114116,#114117,#114118,#114119)); #17348=EDGE_LOOP('',(#114120,#114121,#114122,#114123)); #17349=EDGE_LOOP('',(#114124,#114125,#114126,#114127)); #17350=EDGE_LOOP('',(#114128,#114129,#114130,#114131)); #17351=EDGE_LOOP('',(#114132,#114133,#114134,#114135)); #17352=EDGE_LOOP('',(#114136,#114137,#114138,#114139)); #17353=EDGE_LOOP('',(#114140,#114141,#114142,#114143)); #17354=EDGE_LOOP('',(#114144,#114145,#114146,#114147)); #17355=EDGE_LOOP('',(#114148,#114149,#114150,#114151)); #17356=EDGE_LOOP('',(#114152,#114153,#114154,#114155)); #17357=EDGE_LOOP('',(#114156,#114157,#114158,#114159)); #17358=EDGE_LOOP('',(#114160,#114161,#114162,#114163)); #17359=EDGE_LOOP('',(#114164,#114165,#114166,#114167)); #17360=EDGE_LOOP('',(#114168,#114169,#114170,#114171)); #17361=EDGE_LOOP('',(#114172,#114173,#114174,#114175)); #17362=EDGE_LOOP('',(#114176,#114177,#114178,#114179)); #17363=EDGE_LOOP('',(#114180,#114181,#114182,#114183)); #17364=EDGE_LOOP('',(#114184,#114185,#114186,#114187)); #17365=EDGE_LOOP('',(#114188,#114189,#114190,#114191)); #17366=EDGE_LOOP('',(#114192,#114193,#114194,#114195)); #17367=EDGE_LOOP('',(#114196,#114197,#114198,#114199)); #17368=EDGE_LOOP('',(#114200,#114201,#114202,#114203)); #17369=EDGE_LOOP('',(#114204,#114205,#114206,#114207)); #17370=EDGE_LOOP('',(#114208,#114209,#114210,#114211)); #17371=EDGE_LOOP('',(#114212,#114213,#114214,#114215)); #17372=EDGE_LOOP('',(#114216,#114217,#114218,#114219)); #17373=EDGE_LOOP('',(#114220,#114221,#114222,#114223)); #17374=EDGE_LOOP('',(#114224,#114225,#114226,#114227)); #17375=EDGE_LOOP('',(#114228,#114229,#114230,#114231)); #17376=EDGE_LOOP('',(#114232,#114233,#114234,#114235)); #17377=EDGE_LOOP('',(#114236,#114237,#114238,#114239)); #17378=EDGE_LOOP('',(#114240,#114241,#114242,#114243)); #17379=EDGE_LOOP('',(#114244,#114245,#114246,#114247)); #17380=EDGE_LOOP('',(#114248,#114249,#114250,#114251)); #17381=EDGE_LOOP('',(#114252,#114253,#114254,#114255)); #17382=EDGE_LOOP('',(#114256,#114257,#114258,#114259)); #17383=EDGE_LOOP('',(#114260,#114261,#114262,#114263)); #17384=EDGE_LOOP('',(#114264,#114265,#114266,#114267)); #17385=EDGE_LOOP('',(#114268,#114269,#114270,#114271)); #17386=EDGE_LOOP('',(#114272,#114273,#114274,#114275)); #17387=EDGE_LOOP('',(#114276,#114277,#114278,#114279)); #17388=EDGE_LOOP('',(#114280,#114281,#114282,#114283)); #17389=EDGE_LOOP('',(#114284,#114285,#114286,#114287)); #17390=EDGE_LOOP('',(#114288,#114289,#114290,#114291)); #17391=EDGE_LOOP('',(#114292,#114293,#114294,#114295)); #17392=EDGE_LOOP('',(#114296,#114297,#114298,#114299)); #17393=EDGE_LOOP('',(#114300,#114301,#114302,#114303)); #17394=EDGE_LOOP('',(#114304,#114305,#114306,#114307)); #17395=EDGE_LOOP('',(#114308,#114309,#114310,#114311)); #17396=EDGE_LOOP('',(#114312,#114313,#114314,#114315)); #17397=EDGE_LOOP('',(#114316,#114317,#114318,#114319)); #17398=EDGE_LOOP('',(#114320,#114321,#114322,#114323)); #17399=EDGE_LOOP('',(#114324,#114325,#114326,#114327)); #17400=EDGE_LOOP('',(#114328,#114329,#114330,#114331)); #17401=EDGE_LOOP('',(#114332,#114333,#114334,#114335)); #17402=EDGE_LOOP('',(#114336,#114337,#114338,#114339)); #17403=EDGE_LOOP('',(#114340,#114341,#114342,#114343)); #17404=EDGE_LOOP('',(#114344,#114345,#114346,#114347)); #17405=EDGE_LOOP('',(#114348,#114349,#114350,#114351)); #17406=EDGE_LOOP('',(#114352,#114353,#114354,#114355)); #17407=EDGE_LOOP('',(#114356,#114357,#114358,#114359)); #17408=EDGE_LOOP('',(#114360,#114361,#114362,#114363)); #17409=EDGE_LOOP('',(#114364,#114365,#114366,#114367)); #17410=EDGE_LOOP('',(#114368,#114369,#114370,#114371)); #17411=EDGE_LOOP('',(#114372,#114373,#114374,#114375)); #17412=EDGE_LOOP('',(#114376,#114377,#114378,#114379)); #17413=EDGE_LOOP('',(#114380,#114381,#114382,#114383)); #17414=EDGE_LOOP('',(#114384,#114385,#114386,#114387)); #17415=EDGE_LOOP('',(#114388,#114389,#114390,#114391)); #17416=EDGE_LOOP('',(#114392,#114393,#114394,#114395)); #17417=EDGE_LOOP('',(#114396,#114397,#114398,#114399)); #17418=EDGE_LOOP('',(#114400,#114401,#114402,#114403)); #17419=EDGE_LOOP('',(#114404,#114405,#114406,#114407)); #17420=EDGE_LOOP('',(#114408,#114409,#114410,#114411)); #17421=EDGE_LOOP('',(#114412,#114413,#114414,#114415)); #17422=EDGE_LOOP('',(#114416,#114417,#114418,#114419)); #17423=EDGE_LOOP('',(#114420,#114421,#114422,#114423)); #17424=EDGE_LOOP('',(#114424,#114425,#114426,#114427)); #17425=EDGE_LOOP('',(#114428,#114429,#114430,#114431)); #17426=EDGE_LOOP('',(#114432,#114433,#114434,#114435)); #17427=EDGE_LOOP('',(#114436,#114437,#114438,#114439)); #17428=EDGE_LOOP('',(#114440,#114441,#114442,#114443)); #17429=EDGE_LOOP('',(#114444,#114445,#114446,#114447)); #17430=EDGE_LOOP('',(#114448,#114449,#114450,#114451)); #17431=EDGE_LOOP('',(#114452,#114453,#114454,#114455)); #17432=EDGE_LOOP('',(#114456,#114457,#114458,#114459)); #17433=EDGE_LOOP('',(#114460,#114461,#114462,#114463)); #17434=EDGE_LOOP('',(#114464,#114465,#114466,#114467)); #17435=EDGE_LOOP('',(#114468,#114469,#114470,#114471)); #17436=EDGE_LOOP('',(#114472,#114473,#114474,#114475)); #17437=EDGE_LOOP('',(#114476,#114477,#114478,#114479)); #17438=EDGE_LOOP('',(#114480,#114481,#114482,#114483)); #17439=EDGE_LOOP('',(#114484,#114485,#114486,#114487)); #17440=EDGE_LOOP('',(#114488,#114489,#114490,#114491)); #17441=EDGE_LOOP('',(#114492,#114493,#114494,#114495)); #17442=EDGE_LOOP('',(#114496,#114497,#114498,#114499)); #17443=EDGE_LOOP('',(#114500,#114501,#114502,#114503)); #17444=EDGE_LOOP('',(#114504,#114505,#114506,#114507)); #17445=EDGE_LOOP('',(#114508,#114509,#114510,#114511)); #17446=EDGE_LOOP('',(#114512,#114513,#114514,#114515)); #17447=EDGE_LOOP('',(#114516,#114517,#114518,#114519)); #17448=EDGE_LOOP('',(#114520,#114521,#114522,#114523)); #17449=EDGE_LOOP('',(#114524,#114525,#114526,#114527)); #17450=EDGE_LOOP('',(#114528,#114529,#114530,#114531)); #17451=EDGE_LOOP('',(#114532,#114533,#114534,#114535)); #17452=EDGE_LOOP('',(#114536,#114537,#114538,#114539)); #17453=EDGE_LOOP('',(#114540,#114541,#114542,#114543)); #17454=EDGE_LOOP('',(#114544,#114545,#114546,#114547)); #17455=EDGE_LOOP('',(#114548,#114549,#114550,#114551)); #17456=EDGE_LOOP('',(#114552,#114553,#114554,#114555)); #17457=EDGE_LOOP('',(#114556,#114557,#114558,#114559)); #17458=EDGE_LOOP('',(#114560,#114561,#114562,#114563)); #17459=EDGE_LOOP('',(#114564,#114565,#114566,#114567)); #17460=EDGE_LOOP('',(#114568,#114569,#114570,#114571)); #17461=EDGE_LOOP('',(#114572,#114573,#114574,#114575)); #17462=EDGE_LOOP('',(#114576,#114577,#114578,#114579)); #17463=EDGE_LOOP('',(#114580,#114581,#114582,#114583)); #17464=EDGE_LOOP('',(#114584,#114585,#114586,#114587)); #17465=EDGE_LOOP('',(#114588,#114589,#114590,#114591)); #17466=EDGE_LOOP('',(#114592,#114593,#114594,#114595)); #17467=EDGE_LOOP('',(#114596,#114597,#114598,#114599)); #17468=EDGE_LOOP('',(#114600,#114601,#114602,#114603)); #17469=EDGE_LOOP('',(#114604,#114605,#114606,#114607)); #17470=EDGE_LOOP('',(#114608,#114609,#114610,#114611)); #17471=EDGE_LOOP('',(#114612,#114613,#114614,#114615)); #17472=EDGE_LOOP('',(#114616,#114617,#114618,#114619)); #17473=EDGE_LOOP('',(#114620,#114621,#114622,#114623)); #17474=EDGE_LOOP('',(#114624,#114625,#114626,#114627)); #17475=EDGE_LOOP('',(#114628,#114629,#114630,#114631)); #17476=EDGE_LOOP('',(#114632,#114633,#114634,#114635)); #17477=EDGE_LOOP('',(#114636,#114637,#114638,#114639)); #17478=EDGE_LOOP('',(#114640,#114641,#114642,#114643)); #17479=EDGE_LOOP('',(#114644,#114645,#114646,#114647)); #17480=EDGE_LOOP('',(#114648,#114649,#114650,#114651)); #17481=EDGE_LOOP('',(#114652,#114653,#114654,#114655)); #17482=EDGE_LOOP('',(#114656,#114657,#114658,#114659)); #17483=EDGE_LOOP('',(#114660,#114661,#114662,#114663,#114664,#114665,#114666, #114667,#114668,#114669,#114670,#114671,#114672,#114673,#114674,#114675, #114676,#114677,#114678,#114679,#114680,#114681,#114682,#114683,#114684, #114685,#114686,#114687,#114688,#114689,#114690,#114691,#114692,#114693, #114694,#114695,#114696,#114697,#114698,#114699,#114700,#114701,#114702, #114703,#114704,#114705,#114706,#114707,#114708,#114709,#114710,#114711, #114712,#114713,#114714,#114715,#114716,#114717,#114718,#114719,#114720, #114721,#114722,#114723,#114724,#114725,#114726,#114727,#114728,#114729, #114730,#114731,#114732,#114733,#114734,#114735,#114736,#114737,#114738, #114739,#114740,#114741,#114742,#114743,#114744,#114745,#114746,#114747, #114748,#114749,#114750,#114751,#114752,#114753,#114754,#114755,#114756, #114757,#114758,#114759,#114760,#114761,#114762,#114763,#114764,#114765, #114766,#114767,#114768,#114769,#114770,#114771,#114772,#114773,#114774, #114775,#114776,#114777,#114778,#114779,#114780,#114781)); #17484=EDGE_LOOP('',(#114782,#114783,#114784,#114785,#114786,#114787,#114788, #114789,#114790,#114791,#114792,#114793,#114794,#114795,#114796,#114797, #114798,#114799,#114800,#114801,#114802,#114803,#114804)); #17485=EDGE_LOOP('',(#114805,#114806,#114807,#114808)); #17486=EDGE_LOOP('',(#114809,#114810,#114811,#114812)); #17487=EDGE_LOOP('',(#114813,#114814,#114815,#114816)); #17488=EDGE_LOOP('',(#114817,#114818,#114819,#114820)); #17489=EDGE_LOOP('',(#114821,#114822,#114823,#114824)); #17490=EDGE_LOOP('',(#114825,#114826,#114827,#114828)); #17491=EDGE_LOOP('',(#114829,#114830,#114831,#114832)); #17492=EDGE_LOOP('',(#114833,#114834,#114835,#114836)); #17493=EDGE_LOOP('',(#114837,#114838,#114839,#114840)); #17494=EDGE_LOOP('',(#114841,#114842,#114843,#114844)); #17495=EDGE_LOOP('',(#114845,#114846,#114847,#114848)); #17496=EDGE_LOOP('',(#114849,#114850,#114851,#114852)); #17497=EDGE_LOOP('',(#114853,#114854,#114855,#114856)); #17498=EDGE_LOOP('',(#114857,#114858,#114859,#114860)); #17499=EDGE_LOOP('',(#114861,#114862,#114863,#114864)); #17500=EDGE_LOOP('',(#114865,#114866,#114867,#114868)); #17501=EDGE_LOOP('',(#114869,#114870,#114871,#114872)); #17502=EDGE_LOOP('',(#114873,#114874,#114875,#114876)); #17503=EDGE_LOOP('',(#114877,#114878,#114879,#114880)); #17504=EDGE_LOOP('',(#114881,#114882,#114883,#114884)); #17505=EDGE_LOOP('',(#114885,#114886,#114887,#114888)); #17506=EDGE_LOOP('',(#114889,#114890,#114891,#114892)); #17507=EDGE_LOOP('',(#114893,#114894,#114895,#114896)); #17508=EDGE_LOOP('',(#114897,#114898,#114899,#114900)); #17509=EDGE_LOOP('',(#114901,#114902,#114903,#114904)); #17510=EDGE_LOOP('',(#114905,#114906,#114907,#114908)); #17511=EDGE_LOOP('',(#114909,#114910,#114911,#114912)); #17512=EDGE_LOOP('',(#114913,#114914,#114915,#114916)); #17513=EDGE_LOOP('',(#114917,#114918,#114919,#114920)); #17514=EDGE_LOOP('',(#114921,#114922,#114923,#114924)); #17515=EDGE_LOOP('',(#114925,#114926,#114927,#114928)); #17516=EDGE_LOOP('',(#114929,#114930,#114931,#114932)); #17517=EDGE_LOOP('',(#114933,#114934,#114935,#114936)); #17518=EDGE_LOOP('',(#114937,#114938,#114939,#114940)); #17519=EDGE_LOOP('',(#114941,#114942,#114943,#114944)); #17520=EDGE_LOOP('',(#114945,#114946,#114947,#114948)); #17521=EDGE_LOOP('',(#114949,#114950,#114951,#114952)); #17522=EDGE_LOOP('',(#114953,#114954,#114955,#114956)); #17523=EDGE_LOOP('',(#114957,#114958,#114959,#114960)); #17524=EDGE_LOOP('',(#114961,#114962,#114963,#114964)); #17525=EDGE_LOOP('',(#114965,#114966,#114967,#114968)); #17526=EDGE_LOOP('',(#114969,#114970,#114971,#114972)); #17527=EDGE_LOOP('',(#114973,#114974,#114975,#114976)); #17528=EDGE_LOOP('',(#114977,#114978,#114979,#114980)); #17529=EDGE_LOOP('',(#114981,#114982,#114983,#114984)); #17530=EDGE_LOOP('',(#114985,#114986,#114987,#114988)); #17531=EDGE_LOOP('',(#114989,#114990,#114991,#114992)); #17532=EDGE_LOOP('',(#114993,#114994,#114995,#114996)); #17533=EDGE_LOOP('',(#114997,#114998,#114999,#115000)); #17534=EDGE_LOOP('',(#115001,#115002,#115003,#115004)); #17535=EDGE_LOOP('',(#115005,#115006,#115007,#115008)); #17536=EDGE_LOOP('',(#115009,#115010,#115011,#115012)); #17537=EDGE_LOOP('',(#115013,#115014,#115015,#115016)); #17538=EDGE_LOOP('',(#115017,#115018,#115019,#115020)); #17539=EDGE_LOOP('',(#115021,#115022,#115023,#115024)); #17540=EDGE_LOOP('',(#115025,#115026,#115027,#115028)); #17541=EDGE_LOOP('',(#115029,#115030,#115031,#115032)); #17542=EDGE_LOOP('',(#115033,#115034,#115035,#115036)); #17543=EDGE_LOOP('',(#115037,#115038,#115039,#115040)); #17544=EDGE_LOOP('',(#115041,#115042,#115043,#115044)); #17545=EDGE_LOOP('',(#115045,#115046,#115047,#115048)); #17546=EDGE_LOOP('',(#115049,#115050,#115051,#115052)); #17547=EDGE_LOOP('',(#115053,#115054,#115055,#115056,#115057,#115058,#115059, #115060,#115061,#115062,#115063,#115064,#115065,#115066,#115067,#115068, #115069,#115070,#115071,#115072,#115073,#115074,#115075,#115076,#115077, #115078,#115079,#115080,#115081,#115082,#115083,#115084,#115085,#115086, #115087,#115088,#115089,#115090,#115091,#115092,#115093,#115094,#115095, #115096,#115097,#115098,#115099,#115100,#115101,#115102,#115103,#115104, #115105,#115106,#115107,#115108,#115109)); #17548=EDGE_LOOP('',(#115110,#115111,#115112,#115113,#115114)); #17549=EDGE_LOOP('',(#115115,#115116,#115117,#115118)); #17550=EDGE_LOOP('',(#115119,#115120,#115121,#115122)); #17551=EDGE_LOOP('',(#115123,#115124,#115125,#115126)); #17552=EDGE_LOOP('',(#115127,#115128,#115129,#115130)); #17553=EDGE_LOOP('',(#115131,#115132,#115133,#115134)); #17554=EDGE_LOOP('',(#115135,#115136,#115137,#115138)); #17555=EDGE_LOOP('',(#115139,#115140,#115141,#115142)); #17556=EDGE_LOOP('',(#115143,#115144,#115145,#115146,#115147,#115148,#115149)); #17557=EDGE_LOOP('',(#115150,#115151,#115152,#115153)); #17558=EDGE_LOOP('',(#115154,#115155,#115156,#115157)); #17559=EDGE_LOOP('',(#115158,#115159,#115160,#115161)); #17560=EDGE_LOOP('',(#115162,#115163,#115164,#115165)); #17561=EDGE_LOOP('',(#115166,#115167,#115168,#115169)); #17562=EDGE_LOOP('',(#115170,#115171,#115172,#115173)); #17563=EDGE_LOOP('',(#115174,#115175,#115176,#115177)); #17564=EDGE_LOOP('',(#115178,#115179,#115180,#115181)); #17565=EDGE_LOOP('',(#115182,#115183,#115184,#115185)); #17566=EDGE_LOOP('',(#115186,#115187,#115188,#115189)); #17567=EDGE_LOOP('',(#115190,#115191,#115192,#115193)); #17568=EDGE_LOOP('',(#115194,#115195,#115196,#115197)); #17569=EDGE_LOOP('',(#115198,#115199,#115200,#115201)); #17570=EDGE_LOOP('',(#115202,#115203,#115204,#115205)); #17571=EDGE_LOOP('',(#115206,#115207,#115208,#115209)); #17572=EDGE_LOOP('',(#115210,#115211,#115212,#115213)); #17573=EDGE_LOOP('',(#115214,#115215,#115216,#115217)); #17574=EDGE_LOOP('',(#115218,#115219,#115220,#115221)); #17575=EDGE_LOOP('',(#115222,#115223,#115224,#115225)); #17576=EDGE_LOOP('',(#115226,#115227,#115228,#115229)); #17577=EDGE_LOOP('',(#115230,#115231,#115232,#115233)); #17578=EDGE_LOOP('',(#115234,#115235,#115236,#115237)); #17579=EDGE_LOOP('',(#115238,#115239,#115240,#115241)); #17580=EDGE_LOOP('',(#115242,#115243,#115244,#115245)); #17581=EDGE_LOOP('',(#115246,#115247,#115248,#115249)); #17582=EDGE_LOOP('',(#115250,#115251,#115252,#115253)); #17583=EDGE_LOOP('',(#115254,#115255,#115256,#115257)); #17584=EDGE_LOOP('',(#115258,#115259,#115260,#115261)); #17585=EDGE_LOOP('',(#115262,#115263,#115264,#115265)); #17586=EDGE_LOOP('',(#115266,#115267,#115268,#115269)); #17587=EDGE_LOOP('',(#115270,#115271,#115272,#115273)); #17588=EDGE_LOOP('',(#115274,#115275,#115276,#115277)); #17589=EDGE_LOOP('',(#115278,#115279,#115280,#115281)); #17590=EDGE_LOOP('',(#115282,#115283,#115284,#115285)); #17591=EDGE_LOOP('',(#115286,#115287,#115288,#115289)); #17592=EDGE_LOOP('',(#115290,#115291,#115292,#115293)); #17593=EDGE_LOOP('',(#115294,#115295,#115296,#115297)); #17594=EDGE_LOOP('',(#115298,#115299,#115300,#115301)); #17595=EDGE_LOOP('',(#115302,#115303,#115304,#115305)); #17596=EDGE_LOOP('',(#115306,#115307,#115308,#115309)); #17597=EDGE_LOOP('',(#115310,#115311,#115312,#115313)); #17598=EDGE_LOOP('',(#115314,#115315,#115316,#115317)); #17599=EDGE_LOOP('',(#115318,#115319,#115320,#115321)); #17600=EDGE_LOOP('',(#115322,#115323,#115324,#115325)); #17601=EDGE_LOOP('',(#115326,#115327,#115328,#115329)); #17602=EDGE_LOOP('',(#115330,#115331,#115332,#115333)); #17603=EDGE_LOOP('',(#115334,#115335,#115336,#115337)); #17604=EDGE_LOOP('',(#115338,#115339,#115340,#115341)); #17605=EDGE_LOOP('',(#115342,#115343,#115344,#115345)); #17606=EDGE_LOOP('',(#115346,#115347,#115348,#115349)); #17607=EDGE_LOOP('',(#115350,#115351,#115352,#115353)); #17608=EDGE_LOOP('',(#115354,#115355,#115356,#115357)); #17609=EDGE_LOOP('',(#115358,#115359,#115360,#115361)); #17610=EDGE_LOOP('',(#115362,#115363,#115364,#115365)); #17611=EDGE_LOOP('',(#115366,#115367,#115368,#115369)); #17612=EDGE_LOOP('',(#115370,#115371,#115372,#115373)); #17613=EDGE_LOOP('',(#115374,#115375,#115376,#115377)); #17614=EDGE_LOOP('',(#115378,#115379,#115380,#115381)); #17615=EDGE_LOOP('',(#115382,#115383,#115384,#115385)); #17616=EDGE_LOOP('',(#115386,#115387,#115388,#115389)); #17617=EDGE_LOOP('',(#115390,#115391,#115392,#115393)); #17618=EDGE_LOOP('',(#115394,#115395,#115396,#115397)); #17619=EDGE_LOOP('',(#115398,#115399,#115400,#115401)); #17620=EDGE_LOOP('',(#115402,#115403,#115404,#115405)); #17621=EDGE_LOOP('',(#115406,#115407,#115408,#115409)); #17622=EDGE_LOOP('',(#115410,#115411,#115412,#115413)); #17623=EDGE_LOOP('',(#115414,#115415,#115416,#115417)); #17624=EDGE_LOOP('',(#115418,#115419,#115420,#115421)); #17625=EDGE_LOOP('',(#115422,#115423,#115424,#115425)); #17626=EDGE_LOOP('',(#115426,#115427,#115428,#115429)); #17627=EDGE_LOOP('',(#115430,#115431,#115432,#115433)); #17628=EDGE_LOOP('',(#115434,#115435,#115436,#115437)); #17629=EDGE_LOOP('',(#115438,#115439,#115440,#115441)); #17630=EDGE_LOOP('',(#115442,#115443,#115444,#115445)); #17631=EDGE_LOOP('',(#115446,#115447,#115448,#115449)); #17632=EDGE_LOOP('',(#115450,#115451,#115452,#115453)); #17633=EDGE_LOOP('',(#115454,#115455,#115456,#115457)); #17634=EDGE_LOOP('',(#115458,#115459,#115460,#115461)); #17635=EDGE_LOOP('',(#115462,#115463,#115464,#115465)); #17636=EDGE_LOOP('',(#115466,#115467,#115468,#115469)); #17637=EDGE_LOOP('',(#115470,#115471,#115472,#115473)); #17638=EDGE_LOOP('',(#115474,#115475,#115476,#115477)); #17639=EDGE_LOOP('',(#115478,#115479,#115480,#115481)); #17640=EDGE_LOOP('',(#115482,#115483,#115484,#115485)); #17641=EDGE_LOOP('',(#115486,#115487,#115488,#115489)); #17642=EDGE_LOOP('',(#115490,#115491,#115492,#115493)); #17643=EDGE_LOOP('',(#115494,#115495,#115496,#115497)); #17644=EDGE_LOOP('',(#115498,#115499,#115500,#115501)); #17645=EDGE_LOOP('',(#115502,#115503,#115504,#115505)); #17646=EDGE_LOOP('',(#115506,#115507,#115508,#115509)); #17647=EDGE_LOOP('',(#115510,#115511,#115512,#115513)); #17648=EDGE_LOOP('',(#115514,#115515,#115516,#115517)); #17649=EDGE_LOOP('',(#115518,#115519,#115520,#115521)); #17650=EDGE_LOOP('',(#115522,#115523,#115524,#115525)); #17651=EDGE_LOOP('',(#115526,#115527,#115528,#115529)); #17652=EDGE_LOOP('',(#115530,#115531,#115532,#115533)); #17653=EDGE_LOOP('',(#115534,#115535,#115536,#115537)); #17654=EDGE_LOOP('',(#115538,#115539,#115540,#115541)); #17655=EDGE_LOOP('',(#115542,#115543,#115544,#115545)); #17656=EDGE_LOOP('',(#115546,#115547,#115548,#115549)); #17657=EDGE_LOOP('',(#115550,#115551,#115552,#115553)); #17658=EDGE_LOOP('',(#115554,#115555,#115556,#115557)); #17659=EDGE_LOOP('',(#115558,#115559,#115560,#115561)); #17660=EDGE_LOOP('',(#115562,#115563,#115564,#115565)); #17661=EDGE_LOOP('',(#115566,#115567,#115568,#115569)); #17662=EDGE_LOOP('',(#115570,#115571,#115572,#115573)); #17663=EDGE_LOOP('',(#115574,#115575,#115576,#115577)); #17664=EDGE_LOOP('',(#115578,#115579,#115580,#115581)); #17665=EDGE_LOOP('',(#115582,#115583,#115584,#115585)); #17666=EDGE_LOOP('',(#115586,#115587,#115588,#115589)); #17667=EDGE_LOOP('',(#115590,#115591,#115592,#115593)); #17668=EDGE_LOOP('',(#115594,#115595,#115596,#115597)); #17669=EDGE_LOOP('',(#115598,#115599,#115600,#115601)); #17670=EDGE_LOOP('',(#115602,#115603,#115604,#115605)); #17671=EDGE_LOOP('',(#115606,#115607,#115608,#115609)); #17672=EDGE_LOOP('',(#115610,#115611,#115612,#115613)); #17673=EDGE_LOOP('',(#115614,#115615,#115616,#115617)); #17674=EDGE_LOOP('',(#115618,#115619,#115620,#115621)); #17675=EDGE_LOOP('',(#115622,#115623,#115624,#115625)); #17676=EDGE_LOOP('',(#115626,#115627,#115628,#115629,#115630,#115631,#115632, #115633,#115634,#115635,#115636,#115637,#115638,#115639,#115640,#115641, #115642,#115643,#115644,#115645,#115646,#115647,#115648,#115649,#115650, #115651,#115652,#115653,#115654,#115655,#115656,#115657,#115658,#115659, #115660,#115661,#115662,#115663,#115664,#115665,#115666,#115667,#115668, #115669,#115670,#115671,#115672,#115673,#115674,#115675,#115676,#115677, #115678,#115679,#115680,#115681,#115682,#115683,#115684,#115685,#115686, #115687,#115688,#115689,#115690,#115691,#115692,#115693,#115694,#115695, #115696,#115697,#115698,#115699,#115700,#115701,#115702,#115703,#115704, #115705,#115706,#115707,#115708,#115709,#115710,#115711,#115712,#115713, #115714,#115715,#115716,#115717,#115718,#115719,#115720,#115721,#115722, #115723,#115724,#115725,#115726,#115727,#115728,#115729,#115730,#115731, #115732,#115733,#115734,#115735,#115736,#115737,#115738,#115739,#115740, #115741,#115742,#115743,#115744)); #17677=EDGE_LOOP('',(#115745,#115746,#115747,#115748)); #17678=EDGE_LOOP('',(#115749,#115750,#115751,#115752)); #17679=EDGE_LOOP('',(#115753,#115754,#115755,#115756)); #17680=EDGE_LOOP('',(#115757,#115758,#115759,#115760)); #17681=EDGE_LOOP('',(#115761,#115762,#115763,#115764)); #17682=EDGE_LOOP('',(#115765,#115766,#115767,#115768)); #17683=EDGE_LOOP('',(#115769,#115770,#115771,#115772)); #17684=EDGE_LOOP('',(#115773,#115774,#115775,#115776)); #17685=EDGE_LOOP('',(#115777,#115778,#115779,#115780)); #17686=EDGE_LOOP('',(#115781,#115782,#115783,#115784)); #17687=EDGE_LOOP('',(#115785,#115786,#115787,#115788)); #17688=EDGE_LOOP('',(#115789,#115790,#115791,#115792)); #17689=EDGE_LOOP('',(#115793,#115794,#115795,#115796)); #17690=EDGE_LOOP('',(#115797,#115798,#115799,#115800)); #17691=EDGE_LOOP('',(#115801,#115802,#115803,#115804)); #17692=EDGE_LOOP('',(#115805,#115806,#115807,#115808)); #17693=EDGE_LOOP('',(#115809,#115810,#115811,#115812)); #17694=EDGE_LOOP('',(#115813,#115814,#115815,#115816)); #17695=EDGE_LOOP('',(#115817,#115818,#115819,#115820)); #17696=EDGE_LOOP('',(#115821,#115822,#115823,#115824)); #17697=EDGE_LOOP('',(#115825,#115826,#115827,#115828)); #17698=EDGE_LOOP('',(#115829,#115830,#115831,#115832)); #17699=EDGE_LOOP('',(#115833,#115834,#115835,#115836)); #17700=EDGE_LOOP('',(#115837,#115838,#115839,#115840)); #17701=EDGE_LOOP('',(#115841,#115842,#115843,#115844)); #17702=EDGE_LOOP('',(#115845,#115846,#115847,#115848)); #17703=EDGE_LOOP('',(#115849,#115850,#115851,#115852)); #17704=EDGE_LOOP('',(#115853,#115854,#115855,#115856)); #17705=EDGE_LOOP('',(#115857,#115858,#115859,#115860)); #17706=EDGE_LOOP('',(#115861,#115862,#115863,#115864)); #17707=EDGE_LOOP('',(#115865,#115866,#115867,#115868)); #17708=EDGE_LOOP('',(#115869,#115870,#115871,#115872)); #17709=EDGE_LOOP('',(#115873,#115874,#115875,#115876)); #17710=EDGE_LOOP('',(#115877,#115878,#115879,#115880)); #17711=EDGE_LOOP('',(#115881,#115882,#115883,#115884)); #17712=EDGE_LOOP('',(#115885,#115886,#115887,#115888)); #17713=EDGE_LOOP('',(#115889,#115890,#115891,#115892)); #17714=EDGE_LOOP('',(#115893,#115894,#115895,#115896)); #17715=EDGE_LOOP('',(#115897,#115898,#115899,#115900)); #17716=EDGE_LOOP('',(#115901,#115902,#115903,#115904)); #17717=EDGE_LOOP('',(#115905,#115906,#115907,#115908)); #17718=EDGE_LOOP('',(#115909,#115910,#115911,#115912)); #17719=EDGE_LOOP('',(#115913,#115914,#115915,#115916)); #17720=EDGE_LOOP('',(#115917,#115918,#115919,#115920)); #17721=EDGE_LOOP('',(#115921,#115922,#115923,#115924)); #17722=EDGE_LOOP('',(#115925,#115926,#115927,#115928)); #17723=EDGE_LOOP('',(#115929,#115930,#115931,#115932)); #17724=EDGE_LOOP('',(#115933,#115934,#115935,#115936)); #17725=EDGE_LOOP('',(#115937,#115938,#115939,#115940)); #17726=EDGE_LOOP('',(#115941,#115942,#115943,#115944)); #17727=EDGE_LOOP('',(#115945,#115946,#115947,#115948)); #17728=EDGE_LOOP('',(#115949,#115950,#115951,#115952)); #17729=EDGE_LOOP('',(#115953,#115954,#115955,#115956)); #17730=EDGE_LOOP('',(#115957,#115958,#115959,#115960)); #17731=EDGE_LOOP('',(#115961,#115962,#115963,#115964)); #17732=EDGE_LOOP('',(#115965,#115966,#115967,#115968)); #17733=EDGE_LOOP('',(#115969,#115970,#115971,#115972)); #17734=EDGE_LOOP('',(#115973,#115974,#115975,#115976)); #17735=EDGE_LOOP('',(#115977,#115978,#115979,#115980)); #17736=EDGE_LOOP('',(#115981,#115982,#115983,#115984)); #17737=EDGE_LOOP('',(#115985,#115986,#115987,#115988)); #17738=EDGE_LOOP('',(#115989,#115990,#115991,#115992)); #17739=EDGE_LOOP('',(#115993,#115994,#115995,#115996)); #17740=EDGE_LOOP('',(#115997,#115998,#115999,#116000)); #17741=EDGE_LOOP('',(#116001,#116002,#116003,#116004)); #17742=EDGE_LOOP('',(#116005,#116006,#116007,#116008)); #17743=EDGE_LOOP('',(#116009,#116010,#116011,#116012)); #17744=EDGE_LOOP('',(#116013,#116014,#116015,#116016)); #17745=EDGE_LOOP('',(#116017,#116018,#116019,#116020)); #17746=EDGE_LOOP('',(#116021,#116022,#116023,#116024)); #17747=EDGE_LOOP('',(#116025,#116026,#116027,#116028)); #17748=EDGE_LOOP('',(#116029,#116030,#116031,#116032)); #17749=EDGE_LOOP('',(#116033,#116034,#116035,#116036)); #17750=EDGE_LOOP('',(#116037,#116038,#116039,#116040)); #17751=EDGE_LOOP('',(#116041,#116042,#116043,#116044)); #17752=EDGE_LOOP('',(#116045,#116046,#116047,#116048)); #17753=EDGE_LOOP('',(#116049,#116050,#116051,#116052)); #17754=EDGE_LOOP('',(#116053,#116054,#116055,#116056)); #17755=EDGE_LOOP('',(#116057,#116058,#116059,#116060)); #17756=EDGE_LOOP('',(#116061,#116062,#116063,#116064)); #17757=EDGE_LOOP('',(#116065,#116066,#116067,#116068)); #17758=EDGE_LOOP('',(#116069,#116070,#116071,#116072)); #17759=EDGE_LOOP('',(#116073,#116074,#116075,#116076)); #17760=EDGE_LOOP('',(#116077,#116078,#116079,#116080)); #17761=EDGE_LOOP('',(#116081,#116082,#116083,#116084)); #17762=EDGE_LOOP('',(#116085,#116086,#116087,#116088)); #17763=EDGE_LOOP('',(#116089,#116090,#116091,#116092)); #17764=EDGE_LOOP('',(#116093,#116094,#116095,#116096)); #17765=EDGE_LOOP('',(#116097,#116098,#116099,#116100)); #17766=EDGE_LOOP('',(#116101,#116102,#116103,#116104)); #17767=EDGE_LOOP('',(#116105,#116106,#116107,#116108)); #17768=EDGE_LOOP('',(#116109,#116110,#116111,#116112)); #17769=EDGE_LOOP('',(#116113,#116114,#116115,#116116)); #17770=EDGE_LOOP('',(#116117,#116118,#116119,#116120)); #17771=EDGE_LOOP('',(#116121,#116122,#116123,#116124)); #17772=EDGE_LOOP('',(#116125,#116126,#116127,#116128)); #17773=EDGE_LOOP('',(#116129,#116130,#116131,#116132)); #17774=EDGE_LOOP('',(#116133,#116134,#116135,#116136)); #17775=EDGE_LOOP('',(#116137,#116138,#116139,#116140)); #17776=EDGE_LOOP('',(#116141,#116142,#116143,#116144)); #17777=EDGE_LOOP('',(#116145,#116146,#116147,#116148)); #17778=EDGE_LOOP('',(#116149,#116150,#116151,#116152)); #17779=EDGE_LOOP('',(#116153,#116154,#116155,#116156)); #17780=EDGE_LOOP('',(#116157,#116158,#116159,#116160)); #17781=EDGE_LOOP('',(#116161,#116162,#116163,#116164)); #17782=EDGE_LOOP('',(#116165,#116166,#116167,#116168)); #17783=EDGE_LOOP('',(#116169,#116170,#116171,#116172)); #17784=EDGE_LOOP('',(#116173,#116174,#116175,#116176)); #17785=EDGE_LOOP('',(#116177,#116178,#116179,#116180)); #17786=EDGE_LOOP('',(#116181,#116182,#116183,#116184)); #17787=EDGE_LOOP('',(#116185,#116186,#116187,#116188)); #17788=EDGE_LOOP('',(#116189,#116190,#116191,#116192)); #17789=EDGE_LOOP('',(#116193,#116194,#116195,#116196)); #17790=EDGE_LOOP('',(#116197,#116198,#116199,#116200)); #17791=EDGE_LOOP('',(#116201,#116202,#116203,#116204)); #17792=EDGE_LOOP('',(#116205,#116206,#116207,#116208)); #17793=EDGE_LOOP('',(#116209,#116210,#116211,#116212)); #17794=EDGE_LOOP('',(#116213,#116214,#116215,#116216)); #17795=EDGE_LOOP('',(#116217,#116218,#116219,#116220)); #17796=EDGE_LOOP('',(#116221,#116222,#116223,#116224)); #17797=EDGE_LOOP('',(#116225,#116226,#116227,#116228)); #17798=EDGE_LOOP('',(#116229,#116230,#116231,#116232)); #17799=EDGE_LOOP('',(#116233,#116234,#116235,#116236)); #17800=EDGE_LOOP('',(#116237,#116238,#116239,#116240)); #17801=EDGE_LOOP('',(#116241,#116242,#116243,#116244)); #17802=EDGE_LOOP('',(#116245,#116246,#116247,#116248)); #17803=EDGE_LOOP('',(#116249,#116250,#116251,#116252)); #17804=EDGE_LOOP('',(#116253,#116254,#116255,#116256)); #17805=EDGE_LOOP('',(#116257,#116258,#116259,#116260)); #17806=EDGE_LOOP('',(#116261,#116262,#116263,#116264)); #17807=EDGE_LOOP('',(#116265,#116266,#116267,#116268)); #17808=EDGE_LOOP('',(#116269,#116270,#116271,#116272)); #17809=EDGE_LOOP('',(#116273,#116274,#116275,#116276)); #17810=EDGE_LOOP('',(#116277,#116278,#116279,#116280)); #17811=EDGE_LOOP('',(#116281,#116282,#116283,#116284)); #17812=EDGE_LOOP('',(#116285,#116286,#116287,#116288)); #17813=EDGE_LOOP('',(#116289,#116290,#116291,#116292)); #17814=EDGE_LOOP('',(#116293,#116294,#116295,#116296)); #17815=EDGE_LOOP('',(#116297,#116298,#116299,#116300)); #17816=EDGE_LOOP('',(#116301,#116302,#116303,#116304)); #17817=EDGE_LOOP('',(#116305,#116306,#116307,#116308)); #17818=EDGE_LOOP('',(#116309,#116310,#116311,#116312)); #17819=EDGE_LOOP('',(#116313,#116314,#116315,#116316)); #17820=EDGE_LOOP('',(#116317,#116318,#116319,#116320)); #17821=EDGE_LOOP('',(#116321,#116322,#116323,#116324)); #17822=EDGE_LOOP('',(#116325,#116326,#116327,#116328)); #17823=EDGE_LOOP('',(#116329,#116330,#116331,#116332)); #17824=EDGE_LOOP('',(#116333,#116334,#116335,#116336)); #17825=EDGE_LOOP('',(#116337,#116338,#116339,#116340)); #17826=EDGE_LOOP('',(#116341,#116342,#116343,#116344,#116345,#116346,#116347, #116348,#116349,#116350,#116351,#116352,#116353,#116354,#116355,#116356, #116357,#116358,#116359,#116360,#116361,#116362,#116363,#116364,#116365, #116366,#116367,#116368,#116369,#116370,#116371,#116372,#116373,#116374, #116375,#116376,#116377,#116378,#116379,#116380,#116381,#116382,#116383, #116384,#116385,#116386,#116387,#116388,#116389,#116390,#116391,#116392, #116393,#116394,#116395,#116396,#116397,#116398,#116399,#116400,#116401, #116402,#116403,#116404,#116405,#116406,#116407,#116408,#116409,#116410, #116411,#116412,#116413,#116414,#116415,#116416,#116417,#116418,#116419, #116420,#116421,#116422,#116423,#116424,#116425,#116426,#116427,#116428, #116429,#116430,#116431,#116432,#116433,#116434,#116435,#116436,#116437, #116438,#116439,#116440,#116441,#116442,#116443,#116444,#116445,#116446, #116447,#116448,#116449,#116450,#116451,#116452,#116453,#116454,#116455, #116456,#116457,#116458,#116459,#116460,#116461,#116462,#116463,#116464, #116465,#116466,#116467,#116468,#116469,#116470,#116471,#116472,#116473, #116474,#116475,#116476,#116477)); #17827=EDGE_LOOP('',(#116478,#116479,#116480,#116481,#116482,#116483,#116484, #116485,#116486,#116487,#116488,#116489)); #17828=EDGE_LOOP('',(#116490,#116491,#116492,#116493)); #17829=EDGE_LOOP('',(#116494,#116495,#116496,#116497)); #17830=EDGE_LOOP('',(#116498,#116499,#116500,#116501)); #17831=EDGE_LOOP('',(#116502,#116503,#116504,#116505)); #17832=EDGE_LOOP('',(#116506,#116507,#116508,#116509)); #17833=EDGE_LOOP('',(#116510,#116511,#116512,#116513)); #17834=EDGE_LOOP('',(#116514,#116515,#116516,#116517)); #17835=EDGE_LOOP('',(#116518,#116519,#116520,#116521)); #17836=EDGE_LOOP('',(#116522,#116523,#116524,#116525)); #17837=EDGE_LOOP('',(#116526,#116527,#116528,#116529)); #17838=EDGE_LOOP('',(#116530,#116531,#116532,#116533)); #17839=EDGE_LOOP('',(#116534,#116535,#116536,#116537)); #17840=EDGE_LOOP('',(#116538,#116539,#116540,#116541)); #17841=EDGE_LOOP('',(#116542,#116543,#116544,#116545)); #17842=EDGE_LOOP('',(#116546,#116547,#116548,#116549)); #17843=EDGE_LOOP('',(#116550,#116551,#116552,#116553)); #17844=EDGE_LOOP('',(#116554,#116555,#116556,#116557)); #17845=EDGE_LOOP('',(#116558,#116559,#116560,#116561)); #17846=EDGE_LOOP('',(#116562,#116563,#116564,#116565)); #17847=EDGE_LOOP('',(#116566,#116567,#116568,#116569)); #17848=EDGE_LOOP('',(#116570,#116571,#116572,#116573)); #17849=EDGE_LOOP('',(#116574,#116575,#116576,#116577)); #17850=EDGE_LOOP('',(#116578,#116579,#116580,#116581)); #17851=EDGE_LOOP('',(#116582,#116583,#116584,#116585)); #17852=EDGE_LOOP('',(#116586,#116587,#116588,#116589)); #17853=EDGE_LOOP('',(#116590,#116591,#116592,#116593)); #17854=EDGE_LOOP('',(#116594,#116595,#116596,#116597)); #17855=EDGE_LOOP('',(#116598,#116599,#116600,#116601)); #17856=EDGE_LOOP('',(#116602,#116603,#116604,#116605)); #17857=EDGE_LOOP('',(#116606,#116607,#116608,#116609)); #17858=EDGE_LOOP('',(#116610,#116611,#116612,#116613)); #17859=EDGE_LOOP('',(#116614,#116615,#116616,#116617)); #17860=EDGE_LOOP('',(#116618,#116619,#116620,#116621)); #17861=EDGE_LOOP('',(#116622,#116623,#116624,#116625)); #17862=EDGE_LOOP('',(#116626,#116627,#116628,#116629)); #17863=EDGE_LOOP('',(#116630,#116631,#116632,#116633)); #17864=EDGE_LOOP('',(#116634,#116635,#116636,#116637)); #17865=EDGE_LOOP('',(#116638,#116639,#116640,#116641)); #17866=EDGE_LOOP('',(#116642,#116643,#116644,#116645)); #17867=EDGE_LOOP('',(#116646,#116647,#116648,#116649)); #17868=EDGE_LOOP('',(#116650,#116651,#116652,#116653)); #17869=EDGE_LOOP('',(#116654,#116655,#116656,#116657)); #17870=EDGE_LOOP('',(#116658,#116659,#116660,#116661)); #17871=EDGE_LOOP('',(#116662,#116663,#116664,#116665)); #17872=EDGE_LOOP('',(#116666,#116667,#116668,#116669)); #17873=EDGE_LOOP('',(#116670,#116671,#116672,#116673)); #17874=EDGE_LOOP('',(#116674,#116675,#116676,#116677)); #17875=EDGE_LOOP('',(#116678,#116679,#116680,#116681)); #17876=EDGE_LOOP('',(#116682,#116683,#116684,#116685)); #17877=EDGE_LOOP('',(#116686,#116687,#116688,#116689)); #17878=EDGE_LOOP('',(#116690,#116691,#116692,#116693)); #17879=EDGE_LOOP('',(#116694,#116695,#116696,#116697)); #17880=EDGE_LOOP('',(#116698,#116699,#116700,#116701)); #17881=EDGE_LOOP('',(#116702,#116703,#116704,#116705)); #17882=EDGE_LOOP('',(#116706,#116707,#116708,#116709)); #17883=EDGE_LOOP('',(#116710,#116711,#116712,#116713)); #17884=EDGE_LOOP('',(#116714,#116715,#116716,#116717)); #17885=EDGE_LOOP('',(#116718,#116719,#116720,#116721)); #17886=EDGE_LOOP('',(#116722,#116723,#116724,#116725)); #17887=EDGE_LOOP('',(#116726,#116727,#116728,#116729)); #17888=EDGE_LOOP('',(#116730,#116731,#116732,#116733)); #17889=EDGE_LOOP('',(#116734,#116735,#116736,#116737)); #17890=EDGE_LOOP('',(#116738,#116739,#116740,#116741)); #17891=EDGE_LOOP('',(#116742,#116743,#116744,#116745)); #17892=EDGE_LOOP('',(#116746,#116747,#116748,#116749)); #17893=EDGE_LOOP('',(#116750,#116751,#116752,#116753)); #17894=EDGE_LOOP('',(#116754,#116755,#116756,#116757)); #17895=EDGE_LOOP('',(#116758,#116759,#116760,#116761)); #17896=EDGE_LOOP('',(#116762,#116763,#116764,#116765)); #17897=EDGE_LOOP('',(#116766,#116767,#116768,#116769)); #17898=EDGE_LOOP('',(#116770,#116771,#116772,#116773)); #17899=EDGE_LOOP('',(#116774,#116775,#116776,#116777)); #17900=EDGE_LOOP('',(#116778,#116779,#116780,#116781)); #17901=EDGE_LOOP('',(#116782,#116783,#116784,#116785)); #17902=EDGE_LOOP('',(#116786,#116787,#116788,#116789)); #17903=EDGE_LOOP('',(#116790,#116791,#116792,#116793,#116794,#116795,#116796, #116797,#116798,#116799,#116800,#116801,#116802,#116803,#116804,#116805, #116806,#116807,#116808,#116809,#116810,#116811,#116812,#116813,#116814, #116815,#116816,#116817,#116818,#116819,#116820,#116821,#116822,#116823, #116824,#116825,#116826,#116827,#116828,#116829,#116830,#116831,#116832, #116833,#116834,#116835,#116836,#116837,#116838,#116839,#116840,#116841, #116842,#116843,#116844,#116845,#116846,#116847,#116848,#116849)); #17904=EDGE_LOOP('',(#116850,#116851,#116852,#116853,#116854,#116855,#116856, #116857)); #17905=EDGE_LOOP('',(#116858,#116859,#116860,#116861,#116862,#116863,#116864)); #17906=EDGE_LOOP('',(#116865,#116866,#116867,#116868)); #17907=EDGE_LOOP('',(#116869,#116870,#116871,#116872)); #17908=EDGE_LOOP('',(#116873,#116874,#116875,#116876)); #17909=EDGE_LOOP('',(#116877,#116878,#116879,#116880)); #17910=EDGE_LOOP('',(#116881,#116882,#116883,#116884)); #17911=EDGE_LOOP('',(#116885,#116886,#116887,#116888)); #17912=EDGE_LOOP('',(#116889,#116890,#116891,#116892)); #17913=EDGE_LOOP('',(#116893,#116894,#116895,#116896)); #17914=EDGE_LOOP('',(#116897,#116898,#116899,#116900)); #17915=EDGE_LOOP('',(#116901,#116902,#116903,#116904)); #17916=EDGE_LOOP('',(#116905,#116906,#116907,#116908)); #17917=EDGE_LOOP('',(#116909,#116910,#116911,#116912)); #17918=EDGE_LOOP('',(#116913,#116914,#116915,#116916)); #17919=EDGE_LOOP('',(#116917,#116918,#116919,#116920)); #17920=EDGE_LOOP('',(#116921,#116922,#116923,#116924)); #17921=EDGE_LOOP('',(#116925,#116926,#116927,#116928)); #17922=EDGE_LOOP('',(#116929,#116930,#116931,#116932)); #17923=EDGE_LOOP('',(#116933,#116934,#116935,#116936)); #17924=EDGE_LOOP('',(#116937,#116938,#116939,#116940)); #17925=EDGE_LOOP('',(#116941,#116942,#116943,#116944)); #17926=EDGE_LOOP('',(#116945,#116946,#116947,#116948)); #17927=EDGE_LOOP('',(#116949,#116950,#116951,#116952)); #17928=EDGE_LOOP('',(#116953,#116954,#116955,#116956)); #17929=EDGE_LOOP('',(#116957,#116958,#116959,#116960)); #17930=EDGE_LOOP('',(#116961,#116962,#116963,#116964)); #17931=EDGE_LOOP('',(#116965,#116966,#116967,#116968)); #17932=EDGE_LOOP('',(#116969,#116970,#116971,#116972)); #17933=EDGE_LOOP('',(#116973,#116974,#116975,#116976)); #17934=EDGE_LOOP('',(#116977,#116978,#116979,#116980)); #17935=EDGE_LOOP('',(#116981,#116982,#116983,#116984)); #17936=EDGE_LOOP('',(#116985,#116986,#116987,#116988)); #17937=EDGE_LOOP('',(#116989,#116990,#116991,#116992)); #17938=EDGE_LOOP('',(#116993,#116994,#116995,#116996)); #17939=EDGE_LOOP('',(#116997,#116998,#116999,#117000)); #17940=EDGE_LOOP('',(#117001,#117002,#117003,#117004)); #17941=EDGE_LOOP('',(#117005,#117006,#117007,#117008)); #17942=EDGE_LOOP('',(#117009,#117010,#117011,#117012)); #17943=EDGE_LOOP('',(#117013,#117014,#117015,#117016)); #17944=EDGE_LOOP('',(#117017,#117018,#117019,#117020)); #17945=EDGE_LOOP('',(#117021,#117022,#117023,#117024)); #17946=EDGE_LOOP('',(#117025,#117026,#117027,#117028)); #17947=EDGE_LOOP('',(#117029,#117030,#117031,#117032)); #17948=EDGE_LOOP('',(#117033,#117034,#117035,#117036)); #17949=EDGE_LOOP('',(#117037,#117038,#117039,#117040)); #17950=EDGE_LOOP('',(#117041,#117042,#117043,#117044)); #17951=EDGE_LOOP('',(#117045,#117046,#117047,#117048)); #17952=EDGE_LOOP('',(#117049,#117050,#117051,#117052)); #17953=EDGE_LOOP('',(#117053,#117054,#117055,#117056)); #17954=EDGE_LOOP('',(#117057,#117058,#117059,#117060)); #17955=EDGE_LOOP('',(#117061,#117062,#117063,#117064)); #17956=EDGE_LOOP('',(#117065,#117066,#117067,#117068)); #17957=EDGE_LOOP('',(#117069,#117070,#117071,#117072)); #17958=EDGE_LOOP('',(#117073,#117074,#117075,#117076)); #17959=EDGE_LOOP('',(#117077,#117078,#117079,#117080)); #17960=EDGE_LOOP('',(#117081,#117082,#117083,#117084)); #17961=EDGE_LOOP('',(#117085,#117086,#117087,#117088)); #17962=EDGE_LOOP('',(#117089,#117090,#117091,#117092)); #17963=EDGE_LOOP('',(#117093,#117094,#117095,#117096)); #17964=EDGE_LOOP('',(#117097,#117098,#117099,#117100)); #17965=EDGE_LOOP('',(#117101,#117102,#117103,#117104)); #17966=EDGE_LOOP('',(#117105,#117106,#117107,#117108)); #17967=EDGE_LOOP('',(#117109,#117110,#117111,#117112)); #17968=EDGE_LOOP('',(#117113,#117114,#117115,#117116)); #17969=EDGE_LOOP('',(#117117,#117118,#117119,#117120)); #17970=EDGE_LOOP('',(#117121,#117122,#117123,#117124)); #17971=EDGE_LOOP('',(#117125,#117126,#117127,#117128)); #17972=EDGE_LOOP('',(#117129,#117130,#117131,#117132)); #17973=EDGE_LOOP('',(#117133,#117134,#117135,#117136)); #17974=EDGE_LOOP('',(#117137,#117138,#117139,#117140)); #17975=EDGE_LOOP('',(#117141,#117142,#117143,#117144)); #17976=EDGE_LOOP('',(#117145,#117146,#117147,#117148)); #17977=EDGE_LOOP('',(#117149,#117150,#117151,#117152)); #17978=EDGE_LOOP('',(#117153,#117154,#117155,#117156)); #17979=EDGE_LOOP('',(#117157,#117158,#117159,#117160)); #17980=EDGE_LOOP('',(#117161,#117162,#117163,#117164)); #17981=EDGE_LOOP('',(#117165,#117166,#117167,#117168)); #17982=EDGE_LOOP('',(#117169,#117170,#117171,#117172)); #17983=EDGE_LOOP('',(#117173,#117174,#117175,#117176)); #17984=EDGE_LOOP('',(#117177,#117178,#117179,#117180)); #17985=EDGE_LOOP('',(#117181,#117182,#117183,#117184)); #17986=EDGE_LOOP('',(#117185,#117186,#117187,#117188)); #17987=EDGE_LOOP('',(#117189,#117190,#117191,#117192)); #17988=EDGE_LOOP('',(#117193,#117194,#117195,#117196)); #17989=EDGE_LOOP('',(#117197,#117198,#117199,#117200)); #17990=EDGE_LOOP('',(#117201,#117202,#117203,#117204)); #17991=EDGE_LOOP('',(#117205,#117206,#117207,#117208)); #17992=EDGE_LOOP('',(#117209,#117210,#117211,#117212)); #17993=EDGE_LOOP('',(#117213,#117214,#117215,#117216)); #17994=EDGE_LOOP('',(#117217,#117218,#117219,#117220)); #17995=EDGE_LOOP('',(#117221,#117222,#117223,#117224)); #17996=EDGE_LOOP('',(#117225,#117226,#117227,#117228)); #17997=EDGE_LOOP('',(#117229,#117230,#117231,#117232)); #17998=EDGE_LOOP('',(#117233,#117234,#117235,#117236)); #17999=EDGE_LOOP('',(#117237,#117238,#117239,#117240)); #18000=EDGE_LOOP('',(#117241,#117242,#117243,#117244)); #18001=EDGE_LOOP('',(#117245,#117246,#117247,#117248)); #18002=EDGE_LOOP('',(#117249,#117250,#117251,#117252)); #18003=EDGE_LOOP('',(#117253,#117254,#117255,#117256)); #18004=EDGE_LOOP('',(#117257,#117258,#117259,#117260)); #18005=EDGE_LOOP('',(#117261,#117262,#117263,#117264)); #18006=EDGE_LOOP('',(#117265,#117266,#117267,#117268)); #18007=EDGE_LOOP('',(#117269,#117270,#117271,#117272)); #18008=EDGE_LOOP('',(#117273,#117274,#117275,#117276)); #18009=EDGE_LOOP('',(#117277,#117278,#117279,#117280)); #18010=EDGE_LOOP('',(#117281,#117282,#117283,#117284,#117285,#117286,#117287, #117288,#117289,#117290,#117291,#117292,#117293,#117294,#117295,#117296, #117297,#117298,#117299,#117300,#117301,#117302,#117303,#117304,#117305, #117306,#117307,#117308,#117309,#117310,#117311,#117312,#117313,#117314, #117315,#117316,#117317,#117318,#117319,#117320,#117321,#117322,#117323, #117324,#117325,#117326,#117327,#117328,#117329,#117330,#117331,#117332, #117333,#117334,#117335,#117336,#117337,#117338,#117339,#117340,#117341, #117342,#117343,#117344,#117345,#117346,#117347,#117348,#117349,#117350, #117351,#117352,#117353,#117354,#117355,#117356,#117357,#117358,#117359, #117360,#117361,#117362,#117363,#117364,#117365,#117366,#117367,#117368, #117369,#117370,#117371,#117372,#117373,#117374,#117375,#117376,#117377, #117378,#117379,#117380,#117381,#117382,#117383,#117384)); #18011=EDGE_LOOP('',(#117385,#117386,#117387,#117388)); #18012=EDGE_LOOP('',(#117389,#117390,#117391,#117392)); #18013=EDGE_LOOP('',(#117393,#117394,#117395,#117396)); #18014=EDGE_LOOP('',(#117397,#117398,#117399,#117400)); #18015=EDGE_LOOP('',(#117401,#117402,#117403,#117404)); #18016=EDGE_LOOP('',(#117405,#117406,#117407,#117408)); #18017=EDGE_LOOP('',(#117409,#117410,#117411,#117412)); #18018=EDGE_LOOP('',(#117413,#117414,#117415,#117416)); #18019=EDGE_LOOP('',(#117417,#117418,#117419,#117420)); #18020=EDGE_LOOP('',(#117421,#117422,#117423,#117424)); #18021=EDGE_LOOP('',(#117425,#117426,#117427,#117428)); #18022=EDGE_LOOP('',(#117429,#117430,#117431,#117432)); #18023=EDGE_LOOP('',(#117433,#117434,#117435,#117436)); #18024=EDGE_LOOP('',(#117437,#117438,#117439,#117440)); #18025=EDGE_LOOP('',(#117441,#117442,#117443,#117444)); #18026=EDGE_LOOP('',(#117445,#117446,#117447,#117448)); #18027=EDGE_LOOP('',(#117449,#117450,#117451,#117452)); #18028=EDGE_LOOP('',(#117453,#117454,#117455,#117456)); #18029=EDGE_LOOP('',(#117457,#117458,#117459,#117460)); #18030=EDGE_LOOP('',(#117461,#117462,#117463,#117464)); #18031=EDGE_LOOP('',(#117465,#117466,#117467,#117468)); #18032=EDGE_LOOP('',(#117469,#117470,#117471,#117472)); #18033=EDGE_LOOP('',(#117473,#117474,#117475,#117476)); #18034=EDGE_LOOP('',(#117477,#117478,#117479,#117480)); #18035=EDGE_LOOP('',(#117481,#117482,#117483,#117484)); #18036=EDGE_LOOP('',(#117485,#117486,#117487,#117488)); #18037=EDGE_LOOP('',(#117489,#117490,#117491,#117492)); #18038=EDGE_LOOP('',(#117493,#117494,#117495,#117496)); #18039=EDGE_LOOP('',(#117497,#117498,#117499,#117500)); #18040=EDGE_LOOP('',(#117501,#117502,#117503,#117504)); #18041=EDGE_LOOP('',(#117505,#117506,#117507,#117508)); #18042=EDGE_LOOP('',(#117509,#117510,#117511,#117512)); #18043=EDGE_LOOP('',(#117513,#117514,#117515,#117516)); #18044=EDGE_LOOP('',(#117517,#117518,#117519,#117520)); #18045=EDGE_LOOP('',(#117521,#117522,#117523,#117524)); #18046=EDGE_LOOP('',(#117525,#117526,#117527,#117528)); #18047=EDGE_LOOP('',(#117529,#117530,#117531,#117532)); #18048=EDGE_LOOP('',(#117533,#117534,#117535,#117536)); #18049=EDGE_LOOP('',(#117537,#117538,#117539,#117540)); #18050=EDGE_LOOP('',(#117541,#117542,#117543,#117544)); #18051=EDGE_LOOP('',(#117545,#117546,#117547,#117548)); #18052=EDGE_LOOP('',(#117549,#117550,#117551,#117552)); #18053=EDGE_LOOP('',(#117553,#117554,#117555,#117556)); #18054=EDGE_LOOP('',(#117557,#117558,#117559,#117560)); #18055=EDGE_LOOP('',(#117561,#117562,#117563,#117564)); #18056=EDGE_LOOP('',(#117565,#117566,#117567,#117568)); #18057=EDGE_LOOP('',(#117569,#117570,#117571,#117572)); #18058=EDGE_LOOP('',(#117573,#117574,#117575,#117576)); #18059=EDGE_LOOP('',(#117577,#117578,#117579,#117580)); #18060=EDGE_LOOP('',(#117581,#117582,#117583,#117584)); #18061=EDGE_LOOP('',(#117585,#117586,#117587,#117588)); #18062=EDGE_LOOP('',(#117589,#117590,#117591,#117592)); #18063=EDGE_LOOP('',(#117593,#117594,#117595,#117596)); #18064=EDGE_LOOP('',(#117597,#117598,#117599,#117600)); #18065=EDGE_LOOP('',(#117601,#117602,#117603,#117604)); #18066=EDGE_LOOP('',(#117605,#117606,#117607,#117608)); #18067=EDGE_LOOP('',(#117609,#117610,#117611,#117612)); #18068=EDGE_LOOP('',(#117613,#117614,#117615,#117616)); #18069=EDGE_LOOP('',(#117617,#117618,#117619,#117620)); #18070=EDGE_LOOP('',(#117621,#117622,#117623,#117624)); #18071=EDGE_LOOP('',(#117625,#117626,#117627,#117628)); #18072=EDGE_LOOP('',(#117629,#117630,#117631,#117632)); #18073=EDGE_LOOP('',(#117633,#117634,#117635,#117636)); #18074=EDGE_LOOP('',(#117637,#117638,#117639,#117640)); #18075=EDGE_LOOP('',(#117641,#117642,#117643,#117644)); #18076=EDGE_LOOP('',(#117645,#117646,#117647,#117648)); #18077=EDGE_LOOP('',(#117649,#117650,#117651,#117652)); #18078=EDGE_LOOP('',(#117653,#117654,#117655,#117656)); #18079=EDGE_LOOP('',(#117657,#117658,#117659,#117660)); #18080=EDGE_LOOP('',(#117661,#117662,#117663,#117664)); #18081=EDGE_LOOP('',(#117665,#117666,#117667,#117668)); #18082=EDGE_LOOP('',(#117669,#117670,#117671,#117672)); #18083=EDGE_LOOP('',(#117673,#117674,#117675,#117676)); #18084=EDGE_LOOP('',(#117677,#117678,#117679,#117680)); #18085=EDGE_LOOP('',(#117681,#117682,#117683,#117684)); #18086=EDGE_LOOP('',(#117685,#117686,#117687,#117688)); #18087=EDGE_LOOP('',(#117689,#117690,#117691,#117692)); #18088=EDGE_LOOP('',(#117693,#117694,#117695,#117696)); #18089=EDGE_LOOP('',(#117697,#117698,#117699,#117700)); #18090=EDGE_LOOP('',(#117701,#117702,#117703,#117704)); #18091=EDGE_LOOP('',(#117705,#117706,#117707,#117708)); #18092=EDGE_LOOP('',(#117709,#117710,#117711,#117712)); #18093=EDGE_LOOP('',(#117713,#117714,#117715,#117716)); #18094=EDGE_LOOP('',(#117717,#117718,#117719,#117720)); #18095=EDGE_LOOP('',(#117721,#117722,#117723,#117724)); #18096=EDGE_LOOP('',(#117725,#117726,#117727,#117728)); #18097=EDGE_LOOP('',(#117729,#117730,#117731,#117732)); #18098=EDGE_LOOP('',(#117733,#117734,#117735,#117736)); #18099=EDGE_LOOP('',(#117737,#117738,#117739,#117740)); #18100=EDGE_LOOP('',(#117741,#117742,#117743,#117744)); #18101=EDGE_LOOP('',(#117745,#117746,#117747,#117748)); #18102=EDGE_LOOP('',(#117749,#117750,#117751,#117752)); #18103=EDGE_LOOP('',(#117753,#117754,#117755,#117756)); #18104=EDGE_LOOP('',(#117757,#117758,#117759,#117760)); #18105=EDGE_LOOP('',(#117761,#117762,#117763,#117764)); #18106=EDGE_LOOP('',(#117765,#117766,#117767,#117768)); #18107=EDGE_LOOP('',(#117769,#117770,#117771,#117772)); #18108=EDGE_LOOP('',(#117773,#117774,#117775,#117776)); #18109=EDGE_LOOP('',(#117777,#117778,#117779,#117780)); #18110=EDGE_LOOP('',(#117781,#117782,#117783,#117784)); #18111=EDGE_LOOP('',(#117785,#117786,#117787,#117788)); #18112=EDGE_LOOP('',(#117789,#117790,#117791,#117792)); #18113=EDGE_LOOP('',(#117793,#117794,#117795,#117796)); #18114=EDGE_LOOP('',(#117797,#117798,#117799,#117800)); #18115=EDGE_LOOP('',(#117801,#117802,#117803,#117804)); #18116=EDGE_LOOP('',(#117805,#117806,#117807,#117808)); #18117=EDGE_LOOP('',(#117809,#117810,#117811,#117812)); #18118=EDGE_LOOP('',(#117813,#117814,#117815,#117816)); #18119=EDGE_LOOP('',(#117817,#117818,#117819,#117820)); #18120=EDGE_LOOP('',(#117821,#117822,#117823,#117824)); #18121=EDGE_LOOP('',(#117825,#117826,#117827,#117828)); #18122=EDGE_LOOP('',(#117829,#117830,#117831,#117832)); #18123=EDGE_LOOP('',(#117833,#117834,#117835,#117836)); #18124=EDGE_LOOP('',(#117837,#117838,#117839,#117840)); #18125=EDGE_LOOP('',(#117841,#117842,#117843,#117844)); #18126=EDGE_LOOP('',(#117845,#117846,#117847,#117848)); #18127=EDGE_LOOP('',(#117849,#117850,#117851,#117852)); #18128=EDGE_LOOP('',(#117853,#117854,#117855,#117856)); #18129=EDGE_LOOP('',(#117857,#117858,#117859,#117860)); #18130=EDGE_LOOP('',(#117861,#117862,#117863,#117864)); #18131=EDGE_LOOP('',(#117865,#117866,#117867,#117868)); #18132=EDGE_LOOP('',(#117869,#117870,#117871,#117872)); #18133=EDGE_LOOP('',(#117873,#117874,#117875,#117876)); #18134=EDGE_LOOP('',(#117877,#117878,#117879,#117880)); #18135=EDGE_LOOP('',(#117881,#117882,#117883,#117884,#117885,#117886,#117887, #117888,#117889,#117890,#117891,#117892,#117893,#117894,#117895,#117896, #117897,#117898,#117899,#117900,#117901,#117902,#117903,#117904,#117905, #117906,#117907,#117908,#117909,#117910,#117911,#117912,#117913,#117914, #117915,#117916,#117917,#117918,#117919,#117920,#117921,#117922,#117923, #117924,#117925,#117926,#117927,#117928,#117929,#117930,#117931,#117932, #117933,#117934,#117935,#117936,#117937,#117938,#117939,#117940,#117941, #117942,#117943,#117944,#117945,#117946,#117947,#117948,#117949,#117950, #117951,#117952,#117953,#117954,#117955,#117956,#117957,#117958,#117959, #117960,#117961,#117962,#117963,#117964,#117965,#117966,#117967,#117968, #117969,#117970,#117971,#117972,#117973,#117974,#117975,#117976,#117977, #117978,#117979,#117980,#117981,#117982,#117983,#117984,#117985,#117986, #117987,#117988,#117989)); #18136=EDGE_LOOP('',(#117990,#117991,#117992,#117993,#117994,#117995,#117996, #117997,#117998,#117999)); #18137=EDGE_LOOP('',(#118000,#118001,#118002,#118003)); #18138=EDGE_LOOP('',(#118004,#118005,#118006,#118007)); #18139=EDGE_LOOP('',(#118008,#118009,#118010,#118011)); #18140=EDGE_LOOP('',(#118012,#118013,#118014,#118015)); #18141=EDGE_LOOP('',(#118016,#118017,#118018,#118019)); #18142=EDGE_LOOP('',(#118020,#118021,#118022,#118023)); #18143=EDGE_LOOP('',(#118024,#118025,#118026,#118027)); #18144=EDGE_LOOP('',(#118028,#118029,#118030,#118031)); #18145=EDGE_LOOP('',(#118032,#118033,#118034,#118035)); #18146=EDGE_LOOP('',(#118036,#118037,#118038,#118039)); #18147=EDGE_LOOP('',(#118040,#118041,#118042,#118043)); #18148=EDGE_LOOP('',(#118044,#118045,#118046,#118047)); #18149=EDGE_LOOP('',(#118048,#118049,#118050,#118051)); #18150=EDGE_LOOP('',(#118052,#118053,#118054,#118055)); #18151=EDGE_LOOP('',(#118056,#118057,#118058,#118059)); #18152=EDGE_LOOP('',(#118060,#118061,#118062,#118063)); #18153=EDGE_LOOP('',(#118064,#118065,#118066,#118067)); #18154=EDGE_LOOP('',(#118068,#118069,#118070,#118071)); #18155=EDGE_LOOP('',(#118072,#118073,#118074,#118075)); #18156=EDGE_LOOP('',(#118076,#118077,#118078,#118079)); #18157=EDGE_LOOP('',(#118080,#118081,#118082,#118083)); #18158=EDGE_LOOP('',(#118084,#118085,#118086,#118087)); #18159=EDGE_LOOP('',(#118088,#118089,#118090,#118091)); #18160=EDGE_LOOP('',(#118092,#118093,#118094,#118095)); #18161=EDGE_LOOP('',(#118096,#118097,#118098,#118099)); #18162=EDGE_LOOP('',(#118100,#118101,#118102,#118103)); #18163=EDGE_LOOP('',(#118104,#118105,#118106,#118107)); #18164=EDGE_LOOP('',(#118108,#118109,#118110,#118111)); #18165=EDGE_LOOP('',(#118112,#118113,#118114,#118115)); #18166=EDGE_LOOP('',(#118116,#118117,#118118,#118119)); #18167=EDGE_LOOP('',(#118120,#118121,#118122,#118123)); #18168=EDGE_LOOP('',(#118124,#118125,#118126,#118127)); #18169=EDGE_LOOP('',(#118128,#118129,#118130,#118131)); #18170=EDGE_LOOP('',(#118132,#118133,#118134,#118135)); #18171=EDGE_LOOP('',(#118136,#118137,#118138,#118139)); #18172=EDGE_LOOP('',(#118140,#118141,#118142,#118143)); #18173=EDGE_LOOP('',(#118144,#118145,#118146,#118147)); #18174=EDGE_LOOP('',(#118148,#118149,#118150,#118151)); #18175=EDGE_LOOP('',(#118152,#118153,#118154,#118155)); #18176=EDGE_LOOP('',(#118156,#118157,#118158,#118159)); #18177=EDGE_LOOP('',(#118160,#118161,#118162,#118163)); #18178=EDGE_LOOP('',(#118164,#118165,#118166,#118167)); #18179=EDGE_LOOP('',(#118168,#118169,#118170,#118171)); #18180=EDGE_LOOP('',(#118172,#118173,#118174,#118175)); #18181=EDGE_LOOP('',(#118176,#118177,#118178,#118179)); #18182=EDGE_LOOP('',(#118180,#118181,#118182,#118183)); #18183=EDGE_LOOP('',(#118184,#118185,#118186,#118187)); #18184=EDGE_LOOP('',(#118188,#118189,#118190,#118191)); #18185=EDGE_LOOP('',(#118192,#118193,#118194,#118195)); #18186=EDGE_LOOP('',(#118196,#118197,#118198,#118199)); #18187=EDGE_LOOP('',(#118200,#118201,#118202,#118203)); #18188=EDGE_LOOP('',(#118204,#118205,#118206,#118207)); #18189=EDGE_LOOP('',(#118208,#118209,#118210,#118211)); #18190=EDGE_LOOP('',(#118212,#118213,#118214,#118215)); #18191=EDGE_LOOP('',(#118216,#118217,#118218,#118219)); #18192=EDGE_LOOP('',(#118220,#118221,#118222,#118223)); #18193=EDGE_LOOP('',(#118224,#118225,#118226,#118227)); #18194=EDGE_LOOP('',(#118228,#118229,#118230,#118231)); #18195=EDGE_LOOP('',(#118232,#118233,#118234,#118235)); #18196=EDGE_LOOP('',(#118236,#118237,#118238,#118239)); #18197=EDGE_LOOP('',(#118240,#118241,#118242,#118243)); #18198=EDGE_LOOP('',(#118244,#118245,#118246,#118247)); #18199=EDGE_LOOP('',(#118248,#118249,#118250,#118251)); #18200=EDGE_LOOP('',(#118252,#118253,#118254,#118255)); #18201=EDGE_LOOP('',(#118256,#118257,#118258,#118259)); #18202=EDGE_LOOP('',(#118260,#118261,#118262,#118263)); #18203=EDGE_LOOP('',(#118264,#118265,#118266,#118267)); #18204=EDGE_LOOP('',(#118268,#118269,#118270,#118271)); #18205=EDGE_LOOP('',(#118272,#118273,#118274,#118275)); #18206=EDGE_LOOP('',(#118276,#118277,#118278,#118279)); #18207=EDGE_LOOP('',(#118280,#118281,#118282,#118283)); #18208=EDGE_LOOP('',(#118284,#118285,#118286,#118287)); #18209=EDGE_LOOP('',(#118288,#118289,#118290,#118291)); #18210=EDGE_LOOP('',(#118292,#118293,#118294,#118295)); #18211=EDGE_LOOP('',(#118296,#118297,#118298,#118299)); #18212=EDGE_LOOP('',(#118300,#118301,#118302,#118303)); #18213=EDGE_LOOP('',(#118304,#118305,#118306,#118307)); #18214=EDGE_LOOP('',(#118308,#118309,#118310,#118311)); #18215=EDGE_LOOP('',(#118312,#118313,#118314,#118315)); #18216=EDGE_LOOP('',(#118316,#118317,#118318,#118319)); #18217=EDGE_LOOP('',(#118320,#118321,#118322,#118323)); #18218=EDGE_LOOP('',(#118324,#118325,#118326,#118327)); #18219=EDGE_LOOP('',(#118328,#118329,#118330,#118331)); #18220=EDGE_LOOP('',(#118332,#118333,#118334,#118335)); #18221=EDGE_LOOP('',(#118336,#118337,#118338,#118339)); #18222=EDGE_LOOP('',(#118340,#118341,#118342,#118343)); #18223=EDGE_LOOP('',(#118344,#118345,#118346,#118347)); #18224=EDGE_LOOP('',(#118348,#118349,#118350,#118351)); #18225=EDGE_LOOP('',(#118352,#118353,#118354,#118355)); #18226=EDGE_LOOP('',(#118356,#118357,#118358,#118359)); #18227=EDGE_LOOP('',(#118360,#118361,#118362,#118363)); #18228=EDGE_LOOP('',(#118364,#118365,#118366,#118367)); #18229=EDGE_LOOP('',(#118368,#118369,#118370,#118371)); #18230=EDGE_LOOP('',(#118372,#118373,#118374,#118375)); #18231=EDGE_LOOP('',(#118376,#118377,#118378,#118379)); #18232=EDGE_LOOP('',(#118380,#118381,#118382,#118383)); #18233=EDGE_LOOP('',(#118384,#118385,#118386,#118387)); #18234=EDGE_LOOP('',(#118388,#118389,#118390,#118391)); #18235=EDGE_LOOP('',(#118392,#118393,#118394,#118395)); #18236=EDGE_LOOP('',(#118396,#118397,#118398,#118399)); #18237=EDGE_LOOP('',(#118400,#118401,#118402,#118403)); #18238=EDGE_LOOP('',(#118404,#118405,#118406,#118407)); #18239=EDGE_LOOP('',(#118408,#118409,#118410,#118411)); #18240=EDGE_LOOP('',(#118412,#118413,#118414,#118415)); #18241=EDGE_LOOP('',(#118416,#118417,#118418,#118419)); #18242=EDGE_LOOP('',(#118420,#118421,#118422,#118423)); #18243=EDGE_LOOP('',(#118424,#118425,#118426,#118427)); #18244=EDGE_LOOP('',(#118428,#118429,#118430,#118431)); #18245=EDGE_LOOP('',(#118432,#118433,#118434,#118435)); #18246=EDGE_LOOP('',(#118436,#118437,#118438,#118439,#118440,#118441,#118442, #118443,#118444,#118445,#118446,#118447,#118448,#118449,#118450,#118451, #118452,#118453,#118454,#118455,#118456,#118457,#118458,#118459,#118460, #118461,#118462,#118463,#118464,#118465,#118466,#118467,#118468,#118469, #118470,#118471,#118472,#118473,#118474,#118475,#118476,#118477,#118478, #118479,#118480,#118481,#118482,#118483,#118484,#118485,#118486,#118487, #118488,#118489,#118490,#118491,#118492,#118493,#118494,#118495,#118496, #118497,#118498,#118499,#118500,#118501,#118502,#118503,#118504,#118505, #118506,#118507,#118508,#118509,#118510,#118511,#118512,#118513,#118514, #118515,#118516,#118517,#118518,#118519,#118520,#118521,#118522,#118523)); #18247=EDGE_LOOP('',(#118524,#118525,#118526,#118527,#118528,#118529,#118530, #118531,#118532,#118533,#118534,#118535,#118536,#118537,#118538,#118539)); #18248=EDGE_LOOP('',(#118540,#118541,#118542,#118543)); #18249=EDGE_LOOP('',(#118544,#118545,#118546,#118547)); #18250=EDGE_LOOP('',(#118548,#118549,#118550,#118551)); #18251=EDGE_LOOP('',(#118552,#118553,#118554,#118555)); #18252=EDGE_LOOP('',(#118556,#118557,#118558,#118559)); #18253=EDGE_LOOP('',(#118560,#118561,#118562,#118563)); #18254=EDGE_LOOP('',(#118564,#118565,#118566,#118567)); #18255=EDGE_LOOP('',(#118568,#118569,#118570,#118571)); #18256=EDGE_LOOP('',(#118572,#118573,#118574,#118575)); #18257=EDGE_LOOP('',(#118576,#118577,#118578,#118579)); #18258=EDGE_LOOP('',(#118580,#118581,#118582,#118583)); #18259=EDGE_LOOP('',(#118584,#118585,#118586,#118587)); #18260=EDGE_LOOP('',(#118588,#118589,#118590,#118591)); #18261=EDGE_LOOP('',(#118592,#118593,#118594,#118595)); #18262=EDGE_LOOP('',(#118596,#118597,#118598,#118599)); #18263=EDGE_LOOP('',(#118600,#118601,#118602,#118603)); #18264=EDGE_LOOP('',(#118604,#118605,#118606,#118607)); #18265=EDGE_LOOP('',(#118608,#118609,#118610,#118611)); #18266=EDGE_LOOP('',(#118612,#118613,#118614,#118615)); #18267=EDGE_LOOP('',(#118616,#118617,#118618,#118619)); #18268=EDGE_LOOP('',(#118620,#118621,#118622,#118623)); #18269=EDGE_LOOP('',(#118624,#118625,#118626,#118627)); #18270=EDGE_LOOP('',(#118628,#118629,#118630,#118631)); #18271=EDGE_LOOP('',(#118632,#118633,#118634,#118635)); #18272=EDGE_LOOP('',(#118636,#118637,#118638,#118639)); #18273=EDGE_LOOP('',(#118640,#118641,#118642,#118643)); #18274=EDGE_LOOP('',(#118644,#118645,#118646,#118647)); #18275=EDGE_LOOP('',(#118648,#118649,#118650,#118651)); #18276=EDGE_LOOP('',(#118652,#118653,#118654,#118655)); #18277=EDGE_LOOP('',(#118656,#118657,#118658,#118659)); #18278=EDGE_LOOP('',(#118660,#118661,#118662,#118663)); #18279=EDGE_LOOP('',(#118664,#118665,#118666,#118667)); #18280=EDGE_LOOP('',(#118668,#118669,#118670,#118671)); #18281=EDGE_LOOP('',(#118672,#118673,#118674,#118675)); #18282=EDGE_LOOP('',(#118676,#118677,#118678,#118679)); #18283=EDGE_LOOP('',(#118680,#118681,#118682,#118683)); #18284=EDGE_LOOP('',(#118684,#118685,#118686,#118687)); #18285=EDGE_LOOP('',(#118688,#118689,#118690,#118691)); #18286=EDGE_LOOP('',(#118692,#118693,#118694,#118695)); #18287=EDGE_LOOP('',(#118696,#118697,#118698,#118699)); #18288=EDGE_LOOP('',(#118700,#118701,#118702,#118703)); #18289=EDGE_LOOP('',(#118704,#118705,#118706,#118707)); #18290=EDGE_LOOP('',(#118708,#118709,#118710,#118711)); #18291=EDGE_LOOP('',(#118712,#118713,#118714,#118715)); #18292=EDGE_LOOP('',(#118716,#118717,#118718,#118719)); #18293=EDGE_LOOP('',(#118720,#118721,#118722,#118723)); #18294=EDGE_LOOP('',(#118724,#118725,#118726,#118727)); #18295=EDGE_LOOP('',(#118728,#118729,#118730,#118731)); #18296=EDGE_LOOP('',(#118732,#118733,#118734,#118735)); #18297=EDGE_LOOP('',(#118736,#118737,#118738,#118739)); #18298=EDGE_LOOP('',(#118740,#118741,#118742,#118743)); #18299=EDGE_LOOP('',(#118744,#118745,#118746,#118747)); #18300=EDGE_LOOP('',(#118748,#118749,#118750,#118751)); #18301=EDGE_LOOP('',(#118752,#118753,#118754,#118755)); #18302=EDGE_LOOP('',(#118756,#118757,#118758,#118759)); #18303=EDGE_LOOP('',(#118760,#118761,#118762,#118763)); #18304=EDGE_LOOP('',(#118764,#118765,#118766,#118767)); #18305=EDGE_LOOP('',(#118768,#118769,#118770,#118771)); #18306=EDGE_LOOP('',(#118772,#118773,#118774,#118775)); #18307=EDGE_LOOP('',(#118776,#118777,#118778,#118779)); #18308=EDGE_LOOP('',(#118780,#118781,#118782,#118783)); #18309=EDGE_LOOP('',(#118784,#118785,#118786,#118787)); #18310=EDGE_LOOP('',(#118788,#118789,#118790,#118791)); #18311=EDGE_LOOP('',(#118792,#118793,#118794,#118795)); #18312=EDGE_LOOP('',(#118796,#118797,#118798,#118799)); #18313=EDGE_LOOP('',(#118800,#118801,#118802,#118803)); #18314=EDGE_LOOP('',(#118804,#118805,#118806,#118807)); #18315=EDGE_LOOP('',(#118808,#118809,#118810,#118811)); #18316=EDGE_LOOP('',(#118812,#118813,#118814,#118815)); #18317=EDGE_LOOP('',(#118816,#118817,#118818,#118819)); #18318=EDGE_LOOP('',(#118820,#118821,#118822,#118823)); #18319=EDGE_LOOP('',(#118824,#118825,#118826,#118827)); #18320=EDGE_LOOP('',(#118828,#118829,#118830,#118831)); #18321=EDGE_LOOP('',(#118832,#118833,#118834,#118835)); #18322=EDGE_LOOP('',(#118836,#118837,#118838,#118839)); #18323=EDGE_LOOP('',(#118840,#118841,#118842,#118843)); #18324=EDGE_LOOP('',(#118844,#118845,#118846,#118847)); #18325=EDGE_LOOP('',(#118848,#118849,#118850,#118851)); #18326=EDGE_LOOP('',(#118852,#118853,#118854,#118855)); #18327=EDGE_LOOP('',(#118856,#118857,#118858,#118859)); #18328=EDGE_LOOP('',(#118860,#118861,#118862,#118863)); #18329=EDGE_LOOP('',(#118864,#118865,#118866,#118867)); #18330=EDGE_LOOP('',(#118868,#118869,#118870,#118871)); #18331=EDGE_LOOP('',(#118872,#118873,#118874,#118875)); #18332=EDGE_LOOP('',(#118876,#118877,#118878,#118879)); #18333=EDGE_LOOP('',(#118880,#118881,#118882,#118883)); #18334=EDGE_LOOP('',(#118884,#118885,#118886,#118887)); #18335=EDGE_LOOP('',(#118888,#118889,#118890,#118891)); #18336=EDGE_LOOP('',(#118892,#118893,#118894,#118895)); #18337=EDGE_LOOP('',(#118896,#118897,#118898,#118899)); #18338=EDGE_LOOP('',(#118900,#118901,#118902,#118903)); #18339=EDGE_LOOP('',(#118904,#118905,#118906,#118907)); #18340=EDGE_LOOP('',(#118908,#118909,#118910,#118911)); #18341=EDGE_LOOP('',(#118912,#118913,#118914,#118915)); #18342=EDGE_LOOP('',(#118916,#118917,#118918,#118919)); #18343=EDGE_LOOP('',(#118920,#118921,#118922,#118923)); #18344=EDGE_LOOP('',(#118924,#118925,#118926,#118927)); #18345=EDGE_LOOP('',(#118928,#118929,#118930,#118931)); #18346=EDGE_LOOP('',(#118932,#118933,#118934,#118935)); #18347=EDGE_LOOP('',(#118936,#118937,#118938,#118939)); #18348=EDGE_LOOP('',(#118940,#118941,#118942,#118943)); #18349=EDGE_LOOP('',(#118944,#118945,#118946,#118947)); #18350=EDGE_LOOP('',(#118948,#118949,#118950,#118951)); #18351=EDGE_LOOP('',(#118952,#118953,#118954,#118955)); #18352=EDGE_LOOP('',(#118956,#118957,#118958,#118959)); #18353=EDGE_LOOP('',(#118960,#118961,#118962,#118963)); #18354=EDGE_LOOP('',(#118964,#118965,#118966,#118967)); #18355=EDGE_LOOP('',(#118968,#118969,#118970,#118971)); #18356=EDGE_LOOP('',(#118972,#118973,#118974,#118975)); #18357=EDGE_LOOP('',(#118976,#118977,#118978,#118979)); #18358=EDGE_LOOP('',(#118980,#118981,#118982,#118983)); #18359=EDGE_LOOP('',(#118984,#118985,#118986,#118987)); #18360=EDGE_LOOP('',(#118988,#118989,#118990,#118991)); #18361=EDGE_LOOP('',(#118992,#118993,#118994,#118995)); #18362=EDGE_LOOP('',(#118996,#118997,#118998,#118999)); #18363=EDGE_LOOP('',(#119000,#119001,#119002,#119003)); #18364=EDGE_LOOP('',(#119004,#119005,#119006,#119007,#119008,#119009,#119010, #119011,#119012,#119013,#119014,#119015,#119016,#119017,#119018,#119019, #119020,#119021,#119022,#119023,#119024,#119025,#119026,#119027,#119028, #119029,#119030,#119031,#119032,#119033,#119034,#119035,#119036,#119037, #119038,#119039,#119040,#119041,#119042,#119043,#119044,#119045,#119046, #119047,#119048,#119049,#119050,#119051,#119052,#119053,#119054,#119055, #119056,#119057,#119058,#119059,#119060,#119061,#119062,#119063,#119064, #119065,#119066,#119067,#119068,#119069,#119070,#119071,#119072,#119073, #119074,#119075,#119076,#119077,#119078,#119079,#119080,#119081,#119082, #119083,#119084,#119085,#119086,#119087,#119088,#119089,#119090,#119091, #119092,#119093,#119094,#119095,#119096,#119097,#119098,#119099,#119100, #119101,#119102,#119103,#119104,#119105,#119106,#119107,#119108,#119109, #119110,#119111,#119112,#119113,#119114)); #18365=EDGE_LOOP('',(#119115,#119116,#119117,#119118,#119119)); #18366=EDGE_LOOP('',(#119120,#119121,#119122,#119123)); #18367=EDGE_LOOP('',(#119124,#119125,#119126,#119127)); #18368=EDGE_LOOP('',(#119128,#119129,#119130,#119131)); #18369=EDGE_LOOP('',(#119132,#119133,#119134,#119135)); #18370=EDGE_LOOP('',(#119136,#119137,#119138,#119139)); #18371=EDGE_LOOP('',(#119140,#119141,#119142,#119143)); #18372=EDGE_LOOP('',(#119144,#119145,#119146,#119147)); #18373=EDGE_LOOP('',(#119148,#119149,#119150,#119151)); #18374=EDGE_LOOP('',(#119152,#119153,#119154,#119155)); #18375=EDGE_LOOP('',(#119156,#119157,#119158,#119159)); #18376=EDGE_LOOP('',(#119160,#119161,#119162,#119163)); #18377=EDGE_LOOP('',(#119164,#119165,#119166,#119167)); #18378=EDGE_LOOP('',(#119168,#119169,#119170,#119171)); #18379=EDGE_LOOP('',(#119172,#119173,#119174,#119175)); #18380=EDGE_LOOP('',(#119176,#119177,#119178,#119179)); #18381=EDGE_LOOP('',(#119180,#119181,#119182,#119183)); #18382=EDGE_LOOP('',(#119184,#119185,#119186,#119187)); #18383=EDGE_LOOP('',(#119188,#119189,#119190,#119191)); #18384=EDGE_LOOP('',(#119192,#119193,#119194,#119195)); #18385=EDGE_LOOP('',(#119196,#119197,#119198,#119199)); #18386=EDGE_LOOP('',(#119200,#119201,#119202,#119203)); #18387=EDGE_LOOP('',(#119204,#119205,#119206,#119207)); #18388=EDGE_LOOP('',(#119208,#119209,#119210,#119211)); #18389=EDGE_LOOP('',(#119212,#119213,#119214,#119215)); #18390=EDGE_LOOP('',(#119216,#119217,#119218,#119219)); #18391=EDGE_LOOP('',(#119220,#119221,#119222,#119223)); #18392=EDGE_LOOP('',(#119224,#119225,#119226,#119227)); #18393=EDGE_LOOP('',(#119228,#119229,#119230,#119231)); #18394=EDGE_LOOP('',(#119232,#119233,#119234,#119235)); #18395=EDGE_LOOP('',(#119236,#119237,#119238,#119239)); #18396=EDGE_LOOP('',(#119240,#119241,#119242,#119243)); #18397=EDGE_LOOP('',(#119244,#119245,#119246,#119247)); #18398=EDGE_LOOP('',(#119248,#119249,#119250,#119251)); #18399=EDGE_LOOP('',(#119252,#119253,#119254,#119255)); #18400=EDGE_LOOP('',(#119256,#119257,#119258,#119259)); #18401=EDGE_LOOP('',(#119260,#119261,#119262,#119263)); #18402=EDGE_LOOP('',(#119264,#119265,#119266,#119267)); #18403=EDGE_LOOP('',(#119268,#119269,#119270,#119271)); #18404=EDGE_LOOP('',(#119272,#119273,#119274,#119275)); #18405=EDGE_LOOP('',(#119276,#119277,#119278,#119279)); #18406=EDGE_LOOP('',(#119280,#119281,#119282,#119283)); #18407=EDGE_LOOP('',(#119284,#119285,#119286,#119287)); #18408=EDGE_LOOP('',(#119288,#119289,#119290,#119291)); #18409=EDGE_LOOP('',(#119292,#119293,#119294,#119295)); #18410=EDGE_LOOP('',(#119296,#119297,#119298,#119299)); #18411=EDGE_LOOP('',(#119300,#119301,#119302,#119303,#119304,#119305,#119306, #119307,#119308,#119309,#119310,#119311,#119312,#119313,#119314,#119315, #119316,#119317,#119318,#119319,#119320,#119321,#119322,#119323,#119324, #119325,#119326,#119327,#119328,#119329,#119330,#119331,#119332,#119333, #119334,#119335,#119336,#119337,#119338,#119339,#119340,#119341,#119342, #119343,#119344)); #18412=EDGE_LOOP('',(#119345,#119346,#119347,#119348)); #18413=EDGE_LOOP('',(#119349,#119350,#119351,#119352)); #18414=EDGE_LOOP('',(#119353,#119354,#119355,#119356)); #18415=EDGE_LOOP('',(#119357,#119358,#119359,#119360)); #18416=EDGE_LOOP('',(#119361,#119362,#119363,#119364)); #18417=EDGE_LOOP('',(#119365,#119366,#119367,#119368)); #18418=EDGE_LOOP('',(#119369,#119370,#119371,#119372)); #18419=EDGE_LOOP('',(#119373,#119374,#119375,#119376)); #18420=EDGE_LOOP('',(#119377,#119378,#119379,#119380)); #18421=EDGE_LOOP('',(#119381,#119382,#119383,#119384)); #18422=EDGE_LOOP('',(#119385,#119386,#119387,#119388)); #18423=EDGE_LOOP('',(#119389,#119390,#119391,#119392)); #18424=EDGE_LOOP('',(#119393,#119394,#119395,#119396)); #18425=EDGE_LOOP('',(#119397,#119398,#119399,#119400)); #18426=EDGE_LOOP('',(#119401,#119402,#119403,#119404)); #18427=EDGE_LOOP('',(#119405,#119406,#119407,#119408)); #18428=EDGE_LOOP('',(#119409,#119410,#119411,#119412)); #18429=EDGE_LOOP('',(#119413,#119414,#119415,#119416)); #18430=EDGE_LOOP('',(#119417,#119418,#119419,#119420)); #18431=EDGE_LOOP('',(#119421,#119422,#119423,#119424)); #18432=EDGE_LOOP('',(#119425,#119426,#119427,#119428)); #18433=EDGE_LOOP('',(#119429,#119430,#119431,#119432)); #18434=EDGE_LOOP('',(#119433,#119434,#119435,#119436,#119437,#119438,#119439, #119440,#119441,#119442,#119443,#119444,#119445,#119446,#119447,#119448, #119449,#119450,#119451,#119452,#119453,#119454)); #18435=EDGE_LOOP('',(#119455,#119456,#119457,#119458)); #18436=EDGE_LOOP('',(#119459,#119460,#119461,#119462)); #18437=EDGE_LOOP('',(#119463,#119464,#119465,#119466)); #18438=EDGE_LOOP('',(#119467,#119468,#119469,#119470)); #18439=EDGE_LOOP('',(#119471,#119472,#119473,#119474)); #18440=EDGE_LOOP('',(#119475,#119476,#119477,#119478)); #18441=EDGE_LOOP('',(#119479,#119480,#119481,#119482)); #18442=EDGE_LOOP('',(#119483,#119484,#119485,#119486)); #18443=EDGE_LOOP('',(#119487,#119488,#119489,#119490)); #18444=EDGE_LOOP('',(#119491,#119492,#119493,#119494)); #18445=EDGE_LOOP('',(#119495,#119496,#119497,#119498)); #18446=EDGE_LOOP('',(#119499,#119500,#119501,#119502)); #18447=EDGE_LOOP('',(#119503,#119504,#119505,#119506)); #18448=EDGE_LOOP('',(#119507,#119508,#119509,#119510)); #18449=EDGE_LOOP('',(#119511,#119512,#119513,#119514)); #18450=EDGE_LOOP('',(#119515,#119516,#119517,#119518)); #18451=EDGE_LOOP('',(#119519,#119520,#119521,#119522)); #18452=EDGE_LOOP('',(#119523,#119524,#119525,#119526)); #18453=EDGE_LOOP('',(#119527,#119528,#119529,#119530)); #18454=EDGE_LOOP('',(#119531,#119532,#119533,#119534)); #18455=EDGE_LOOP('',(#119535,#119536,#119537,#119538)); #18456=EDGE_LOOP('',(#119539,#119540,#119541,#119542)); #18457=EDGE_LOOP('',(#119543,#119544,#119545,#119546)); #18458=EDGE_LOOP('',(#119547,#119548,#119549,#119550)); #18459=EDGE_LOOP('',(#119551,#119552,#119553,#119554)); #18460=EDGE_LOOP('',(#119555,#119556,#119557,#119558)); #18461=EDGE_LOOP('',(#119559,#119560,#119561,#119562)); #18462=EDGE_LOOP('',(#119563,#119564,#119565,#119566)); #18463=EDGE_LOOP('',(#119567,#119568,#119569,#119570)); #18464=EDGE_LOOP('',(#119571,#119572,#119573,#119574)); #18465=EDGE_LOOP('',(#119575,#119576,#119577,#119578)); #18466=EDGE_LOOP('',(#119579,#119580,#119581,#119582)); #18467=EDGE_LOOP('',(#119583,#119584,#119585,#119586)); #18468=EDGE_LOOP('',(#119587,#119588,#119589,#119590)); #18469=EDGE_LOOP('',(#119591,#119592,#119593,#119594)); #18470=EDGE_LOOP('',(#119595,#119596,#119597,#119598)); #18471=EDGE_LOOP('',(#119599,#119600,#119601,#119602)); #18472=EDGE_LOOP('',(#119603,#119604,#119605,#119606)); #18473=EDGE_LOOP('',(#119607,#119608,#119609,#119610)); #18474=EDGE_LOOP('',(#119611,#119612,#119613,#119614)); #18475=EDGE_LOOP('',(#119615,#119616,#119617,#119618)); #18476=EDGE_LOOP('',(#119619,#119620,#119621,#119622)); #18477=EDGE_LOOP('',(#119623,#119624,#119625,#119626)); #18478=EDGE_LOOP('',(#119627,#119628,#119629,#119630)); #18479=EDGE_LOOP('',(#119631,#119632,#119633,#119634)); #18480=EDGE_LOOP('',(#119635,#119636,#119637,#119638)); #18481=EDGE_LOOP('',(#119639,#119640,#119641,#119642)); #18482=EDGE_LOOP('',(#119643,#119644,#119645,#119646)); #18483=EDGE_LOOP('',(#119647,#119648,#119649,#119650)); #18484=EDGE_LOOP('',(#119651,#119652,#119653,#119654)); #18485=EDGE_LOOP('',(#119655,#119656,#119657,#119658)); #18486=EDGE_LOOP('',(#119659,#119660,#119661,#119662)); #18487=EDGE_LOOP('',(#119663,#119664,#119665,#119666)); #18488=EDGE_LOOP('',(#119667,#119668,#119669,#119670)); #18489=EDGE_LOOP('',(#119671,#119672,#119673,#119674)); #18490=EDGE_LOOP('',(#119675,#119676,#119677,#119678)); #18491=EDGE_LOOP('',(#119679,#119680,#119681,#119682)); #18492=EDGE_LOOP('',(#119683,#119684,#119685,#119686)); #18493=EDGE_LOOP('',(#119687,#119688,#119689,#119690)); #18494=EDGE_LOOP('',(#119691,#119692,#119693,#119694)); #18495=EDGE_LOOP('',(#119695,#119696,#119697,#119698)); #18496=EDGE_LOOP('',(#119699,#119700,#119701,#119702)); #18497=EDGE_LOOP('',(#119703,#119704,#119705,#119706)); #18498=EDGE_LOOP('',(#119707,#119708,#119709,#119710)); #18499=EDGE_LOOP('',(#119711,#119712,#119713,#119714)); #18500=EDGE_LOOP('',(#119715,#119716,#119717,#119718)); #18501=EDGE_LOOP('',(#119719,#119720,#119721,#119722)); #18502=EDGE_LOOP('',(#119723,#119724,#119725,#119726)); #18503=EDGE_LOOP('',(#119727,#119728,#119729,#119730)); #18504=EDGE_LOOP('',(#119731,#119732,#119733,#119734)); #18505=EDGE_LOOP('',(#119735,#119736,#119737,#119738)); #18506=EDGE_LOOP('',(#119739,#119740,#119741,#119742)); #18507=EDGE_LOOP('',(#119743,#119744,#119745,#119746)); #18508=EDGE_LOOP('',(#119747,#119748,#119749,#119750)); #18509=EDGE_LOOP('',(#119751,#119752,#119753,#119754)); #18510=EDGE_LOOP('',(#119755,#119756,#119757,#119758)); #18511=EDGE_LOOP('',(#119759,#119760,#119761,#119762)); #18512=EDGE_LOOP('',(#119763,#119764,#119765,#119766)); #18513=EDGE_LOOP('',(#119767,#119768,#119769,#119770)); #18514=EDGE_LOOP('',(#119771,#119772,#119773,#119774)); #18515=EDGE_LOOP('',(#119775,#119776,#119777,#119778)); #18516=EDGE_LOOP('',(#119779,#119780,#119781,#119782)); #18517=EDGE_LOOP('',(#119783,#119784,#119785,#119786)); #18518=EDGE_LOOP('',(#119787,#119788,#119789,#119790)); #18519=EDGE_LOOP('',(#119791,#119792,#119793,#119794)); #18520=EDGE_LOOP('',(#119795,#119796,#119797,#119798)); #18521=EDGE_LOOP('',(#119799,#119800,#119801,#119802)); #18522=EDGE_LOOP('',(#119803,#119804,#119805,#119806)); #18523=EDGE_LOOP('',(#119807,#119808,#119809,#119810)); #18524=EDGE_LOOP('',(#119811,#119812,#119813,#119814)); #18525=EDGE_LOOP('',(#119815,#119816,#119817,#119818)); #18526=EDGE_LOOP('',(#119819,#119820,#119821,#119822)); #18527=EDGE_LOOP('',(#119823,#119824,#119825,#119826)); #18528=EDGE_LOOP('',(#119827,#119828,#119829,#119830)); #18529=EDGE_LOOP('',(#119831,#119832,#119833,#119834)); #18530=EDGE_LOOP('',(#119835,#119836,#119837,#119838)); #18531=EDGE_LOOP('',(#119839,#119840,#119841,#119842)); #18532=EDGE_LOOP('',(#119843,#119844,#119845,#119846)); #18533=EDGE_LOOP('',(#119847,#119848,#119849,#119850)); #18534=EDGE_LOOP('',(#119851,#119852,#119853,#119854)); #18535=EDGE_LOOP('',(#119855,#119856,#119857,#119858)); #18536=EDGE_LOOP('',(#119859,#119860,#119861,#119862)); #18537=EDGE_LOOP('',(#119863,#119864,#119865,#119866)); #18538=EDGE_LOOP('',(#119867,#119868,#119869,#119870)); #18539=EDGE_LOOP('',(#119871,#119872,#119873,#119874)); #18540=EDGE_LOOP('',(#119875,#119876,#119877,#119878)); #18541=EDGE_LOOP('',(#119879,#119880,#119881,#119882)); #18542=EDGE_LOOP('',(#119883,#119884,#119885,#119886)); #18543=EDGE_LOOP('',(#119887,#119888,#119889,#119890)); #18544=EDGE_LOOP('',(#119891,#119892,#119893,#119894)); #18545=EDGE_LOOP('',(#119895,#119896,#119897,#119898)); #18546=EDGE_LOOP('',(#119899,#119900,#119901,#119902)); #18547=EDGE_LOOP('',(#119903,#119904,#119905,#119906)); #18548=EDGE_LOOP('',(#119907,#119908,#119909,#119910,#119911,#119912,#119913, #119914,#119915,#119916,#119917,#119918,#119919,#119920,#119921,#119922, #119923,#119924,#119925,#119926,#119927,#119928,#119929,#119930,#119931, #119932,#119933,#119934,#119935,#119936,#119937,#119938,#119939,#119940, #119941,#119942,#119943,#119944,#119945,#119946,#119947,#119948,#119949, #119950,#119951,#119952,#119953,#119954,#119955,#119956,#119957,#119958, #119959,#119960,#119961,#119962,#119963,#119964,#119965,#119966,#119967, #119968,#119969,#119970,#119971,#119972,#119973,#119974,#119975,#119976, #119977,#119978,#119979,#119980,#119981,#119982,#119983,#119984,#119985, #119986,#119987,#119988,#119989,#119990,#119991,#119992,#119993,#119994, #119995,#119996,#119997,#119998,#119999,#120000,#120001,#120002,#120003, #120004,#120005,#120006,#120007,#120008,#120009,#120010,#120011,#120012, #120013,#120014,#120015,#120016,#120017,#120018,#120019)); #18549=EDGE_LOOP('',(#120020,#120021,#120022,#120023)); #18550=EDGE_LOOP('',(#120024,#120025,#120026,#120027)); #18551=EDGE_LOOP('',(#120028,#120029,#120030,#120031)); #18552=EDGE_LOOP('',(#120032,#120033,#120034,#120035)); #18553=EDGE_LOOP('',(#120036,#120037,#120038,#120039)); #18554=EDGE_LOOP('',(#120040,#120041,#120042,#120043)); #18555=EDGE_LOOP('',(#120044,#120045,#120046,#120047)); #18556=EDGE_LOOP('',(#120048,#120049,#120050,#120051)); #18557=EDGE_LOOP('',(#120052,#120053,#120054,#120055)); #18558=EDGE_LOOP('',(#120056,#120057,#120058,#120059)); #18559=EDGE_LOOP('',(#120060,#120061,#120062,#120063)); #18560=EDGE_LOOP('',(#120064,#120065,#120066,#120067)); #18561=EDGE_LOOP('',(#120068,#120069,#120070,#120071)); #18562=EDGE_LOOP('',(#120072,#120073,#120074,#120075)); #18563=EDGE_LOOP('',(#120076,#120077,#120078,#120079)); #18564=EDGE_LOOP('',(#120080,#120081,#120082,#120083)); #18565=EDGE_LOOP('',(#120084,#120085,#120086,#120087)); #18566=EDGE_LOOP('',(#120088,#120089,#120090,#120091)); #18567=EDGE_LOOP('',(#120092,#120093,#120094,#120095)); #18568=EDGE_LOOP('',(#120096,#120097,#120098,#120099)); #18569=EDGE_LOOP('',(#120100,#120101,#120102,#120103)); #18570=EDGE_LOOP('',(#120104,#120105,#120106,#120107)); #18571=EDGE_LOOP('',(#120108,#120109,#120110,#120111)); #18572=EDGE_LOOP('',(#120112,#120113,#120114,#120115)); #18573=EDGE_LOOP('',(#120116,#120117,#120118,#120119)); #18574=EDGE_LOOP('',(#120120,#120121,#120122,#120123)); #18575=EDGE_LOOP('',(#120124,#120125,#120126,#120127)); #18576=EDGE_LOOP('',(#120128,#120129,#120130,#120131)); #18577=EDGE_LOOP('',(#120132,#120133,#120134,#120135)); #18578=EDGE_LOOP('',(#120136,#120137,#120138,#120139)); #18579=EDGE_LOOP('',(#120140,#120141,#120142,#120143)); #18580=EDGE_LOOP('',(#120144,#120145,#120146,#120147)); #18581=EDGE_LOOP('',(#120148,#120149,#120150,#120151)); #18582=EDGE_LOOP('',(#120152,#120153,#120154,#120155)); #18583=EDGE_LOOP('',(#120156,#120157,#120158,#120159)); #18584=EDGE_LOOP('',(#120160,#120161,#120162,#120163)); #18585=EDGE_LOOP('',(#120164,#120165,#120166,#120167)); #18586=EDGE_LOOP('',(#120168,#120169,#120170,#120171)); #18587=EDGE_LOOP('',(#120172,#120173,#120174,#120175)); #18588=EDGE_LOOP('',(#120176,#120177,#120178,#120179)); #18589=EDGE_LOOP('',(#120180,#120181,#120182,#120183)); #18590=EDGE_LOOP('',(#120184,#120185,#120186,#120187)); #18591=EDGE_LOOP('',(#120188,#120189,#120190,#120191)); #18592=EDGE_LOOP('',(#120192,#120193,#120194,#120195)); #18593=EDGE_LOOP('',(#120196,#120197,#120198,#120199)); #18594=EDGE_LOOP('',(#120200,#120201,#120202,#120203)); #18595=EDGE_LOOP('',(#120204,#120205,#120206,#120207)); #18596=EDGE_LOOP('',(#120208,#120209,#120210,#120211)); #18597=EDGE_LOOP('',(#120212,#120213,#120214,#120215)); #18598=EDGE_LOOP('',(#120216,#120217,#120218,#120219)); #18599=EDGE_LOOP('',(#120220,#120221,#120222,#120223)); #18600=EDGE_LOOP('',(#120224,#120225,#120226,#120227)); #18601=EDGE_LOOP('',(#120228,#120229,#120230,#120231)); #18602=EDGE_LOOP('',(#120232,#120233,#120234,#120235)); #18603=EDGE_LOOP('',(#120236,#120237,#120238,#120239)); #18604=EDGE_LOOP('',(#120240,#120241,#120242,#120243)); #18605=EDGE_LOOP('',(#120244,#120245,#120246,#120247)); #18606=EDGE_LOOP('',(#120248,#120249,#120250,#120251)); #18607=EDGE_LOOP('',(#120252,#120253,#120254,#120255)); #18608=EDGE_LOOP('',(#120256,#120257,#120258,#120259)); #18609=EDGE_LOOP('',(#120260,#120261,#120262,#120263)); #18610=EDGE_LOOP('',(#120264,#120265,#120266,#120267)); #18611=EDGE_LOOP('',(#120268,#120269,#120270,#120271)); #18612=EDGE_LOOP('',(#120272,#120273,#120274,#120275)); #18613=EDGE_LOOP('',(#120276,#120277,#120278,#120279)); #18614=EDGE_LOOP('',(#120280,#120281,#120282,#120283)); #18615=EDGE_LOOP('',(#120284,#120285,#120286,#120287)); #18616=EDGE_LOOP('',(#120288,#120289,#120290,#120291)); #18617=EDGE_LOOP('',(#120292,#120293,#120294,#120295)); #18618=EDGE_LOOP('',(#120296,#120297,#120298,#120299)); #18619=EDGE_LOOP('',(#120300,#120301,#120302,#120303)); #18620=EDGE_LOOP('',(#120304,#120305,#120306,#120307)); #18621=EDGE_LOOP('',(#120308,#120309,#120310,#120311)); #18622=EDGE_LOOP('',(#120312,#120313,#120314,#120315)); #18623=EDGE_LOOP('',(#120316,#120317,#120318,#120319)); #18624=EDGE_LOOP('',(#120320,#120321,#120322,#120323)); #18625=EDGE_LOOP('',(#120324,#120325,#120326,#120327)); #18626=EDGE_LOOP('',(#120328,#120329,#120330,#120331)); #18627=EDGE_LOOP('',(#120332,#120333,#120334,#120335)); #18628=EDGE_LOOP('',(#120336,#120337,#120338,#120339)); #18629=EDGE_LOOP('',(#120340,#120341,#120342,#120343)); #18630=EDGE_LOOP('',(#120344,#120345,#120346,#120347,#120348,#120349,#120350, #120351,#120352,#120353,#120354,#120355,#120356,#120357,#120358,#120359, #120360,#120361,#120362,#120363,#120364,#120365,#120366,#120367,#120368, #120369,#120370,#120371,#120372,#120373,#120374,#120375,#120376,#120377, #120378,#120379,#120380,#120381,#120382,#120383,#120384,#120385,#120386, #120387,#120388,#120389,#120390,#120391,#120392,#120393,#120394,#120395, #120396,#120397,#120398,#120399,#120400,#120401,#120402,#120403,#120404, #120405,#120406,#120407,#120408,#120409)); #18631=EDGE_LOOP('',(#120410,#120411,#120412,#120413,#120414,#120415,#120416)); #18632=EDGE_LOOP('',(#120417,#120418,#120419,#120420,#120421,#120422,#120423, #120424)); #18633=EDGE_LOOP('',(#120425,#120426,#120427,#120428)); #18634=EDGE_LOOP('',(#120429,#120430,#120431,#120432)); #18635=EDGE_LOOP('',(#120433,#120434,#120435,#120436)); #18636=EDGE_LOOP('',(#120437,#120438,#120439,#120440)); #18637=EDGE_LOOP('',(#120441,#120442,#120443,#120444)); #18638=EDGE_LOOP('',(#120445,#120446,#120447,#120448)); #18639=EDGE_LOOP('',(#120449,#120450,#120451,#120452)); #18640=EDGE_LOOP('',(#120453,#120454,#120455,#120456)); #18641=EDGE_LOOP('',(#120457,#120458,#120459,#120460)); #18642=EDGE_LOOP('',(#120461,#120462,#120463,#120464)); #18643=EDGE_LOOP('',(#120465,#120466,#120467,#120468)); #18644=EDGE_LOOP('',(#120469,#120470,#120471,#120472)); #18645=EDGE_LOOP('',(#120473,#120474,#120475,#120476)); #18646=EDGE_LOOP('',(#120477,#120478,#120479,#120480)); #18647=EDGE_LOOP('',(#120481,#120482,#120483,#120484)); #18648=EDGE_LOOP('',(#120485,#120486,#120487,#120488)); #18649=EDGE_LOOP('',(#120489,#120490,#120491,#120492)); #18650=EDGE_LOOP('',(#120493,#120494,#120495,#120496)); #18651=EDGE_LOOP('',(#120497,#120498,#120499,#120500)); #18652=EDGE_LOOP('',(#120501,#120502,#120503,#120504)); #18653=EDGE_LOOP('',(#120505,#120506,#120507,#120508)); #18654=EDGE_LOOP('',(#120509,#120510,#120511,#120512)); #18655=EDGE_LOOP('',(#120513,#120514,#120515,#120516)); #18656=EDGE_LOOP('',(#120517,#120518,#120519,#120520)); #18657=EDGE_LOOP('',(#120521,#120522,#120523,#120524)); #18658=EDGE_LOOP('',(#120525,#120526,#120527,#120528)); #18659=EDGE_LOOP('',(#120529,#120530,#120531,#120532)); #18660=EDGE_LOOP('',(#120533,#120534,#120535,#120536)); #18661=EDGE_LOOP('',(#120537,#120538,#120539,#120540)); #18662=EDGE_LOOP('',(#120541,#120542,#120543,#120544)); #18663=EDGE_LOOP('',(#120545,#120546,#120547,#120548)); #18664=EDGE_LOOP('',(#120549,#120550,#120551,#120552)); #18665=EDGE_LOOP('',(#120553,#120554,#120555,#120556)); #18666=EDGE_LOOP('',(#120557,#120558,#120559,#120560)); #18667=EDGE_LOOP('',(#120561,#120562,#120563,#120564)); #18668=EDGE_LOOP('',(#120565,#120566,#120567,#120568)); #18669=EDGE_LOOP('',(#120569,#120570,#120571,#120572)); #18670=EDGE_LOOP('',(#120573,#120574,#120575,#120576)); #18671=EDGE_LOOP('',(#120577,#120578,#120579,#120580)); #18672=EDGE_LOOP('',(#120581,#120582,#120583,#120584)); #18673=EDGE_LOOP('',(#120585,#120586,#120587,#120588)); #18674=EDGE_LOOP('',(#120589,#120590,#120591,#120592)); #18675=EDGE_LOOP('',(#120593,#120594,#120595,#120596)); #18676=EDGE_LOOP('',(#120597,#120598,#120599,#120600)); #18677=EDGE_LOOP('',(#120601,#120602,#120603,#120604)); #18678=EDGE_LOOP('',(#120605,#120606,#120607,#120608)); #18679=EDGE_LOOP('',(#120609,#120610,#120611,#120612)); #18680=EDGE_LOOP('',(#120613,#120614,#120615,#120616)); #18681=EDGE_LOOP('',(#120617,#120618,#120619,#120620)); #18682=EDGE_LOOP('',(#120621,#120622,#120623,#120624)); #18683=EDGE_LOOP('',(#120625,#120626,#120627,#120628)); #18684=EDGE_LOOP('',(#120629,#120630,#120631,#120632)); #18685=EDGE_LOOP('',(#120633,#120634,#120635,#120636)); #18686=EDGE_LOOP('',(#120637,#120638,#120639,#120640)); #18687=EDGE_LOOP('',(#120641,#120642,#120643,#120644)); #18688=EDGE_LOOP('',(#120645,#120646,#120647,#120648)); #18689=EDGE_LOOP('',(#120649,#120650,#120651,#120652)); #18690=EDGE_LOOP('',(#120653,#120654,#120655,#120656)); #18691=EDGE_LOOP('',(#120657,#120658,#120659,#120660)); #18692=EDGE_LOOP('',(#120661,#120662,#120663,#120664)); #18693=EDGE_LOOP('',(#120665,#120666,#120667,#120668)); #18694=EDGE_LOOP('',(#120669,#120670,#120671,#120672)); #18695=EDGE_LOOP('',(#120673,#120674,#120675,#120676)); #18696=EDGE_LOOP('',(#120677,#120678,#120679,#120680)); #18697=EDGE_LOOP('',(#120681,#120682,#120683,#120684)); #18698=EDGE_LOOP('',(#120685,#120686,#120687,#120688)); #18699=EDGE_LOOP('',(#120689,#120690,#120691,#120692)); #18700=EDGE_LOOP('',(#120693,#120694,#120695,#120696)); #18701=EDGE_LOOP('',(#120697,#120698,#120699,#120700)); #18702=EDGE_LOOP('',(#120701,#120702,#120703,#120704)); #18703=EDGE_LOOP('',(#120705,#120706,#120707,#120708)); #18704=EDGE_LOOP('',(#120709,#120710,#120711,#120712)); #18705=EDGE_LOOP('',(#120713,#120714,#120715,#120716)); #18706=EDGE_LOOP('',(#120717,#120718,#120719,#120720)); #18707=EDGE_LOOP('',(#120721,#120722,#120723,#120724)); #18708=EDGE_LOOP('',(#120725,#120726,#120727,#120728)); #18709=EDGE_LOOP('',(#120729,#120730,#120731,#120732)); #18710=EDGE_LOOP('',(#120733,#120734,#120735,#120736)); #18711=EDGE_LOOP('',(#120737,#120738,#120739,#120740)); #18712=EDGE_LOOP('',(#120741,#120742,#120743,#120744)); #18713=EDGE_LOOP('',(#120745,#120746,#120747,#120748)); #18714=EDGE_LOOP('',(#120749,#120750,#120751,#120752)); #18715=EDGE_LOOP('',(#120753,#120754,#120755,#120756)); #18716=EDGE_LOOP('',(#120757,#120758,#120759,#120760)); #18717=EDGE_LOOP('',(#120761,#120762,#120763,#120764)); #18718=EDGE_LOOP('',(#120765,#120766,#120767,#120768)); #18719=EDGE_LOOP('',(#120769,#120770,#120771,#120772)); #18720=EDGE_LOOP('',(#120773,#120774,#120775,#120776)); #18721=EDGE_LOOP('',(#120777,#120778,#120779,#120780)); #18722=EDGE_LOOP('',(#120781,#120782,#120783,#120784)); #18723=EDGE_LOOP('',(#120785,#120786,#120787,#120788)); #18724=EDGE_LOOP('',(#120789,#120790,#120791,#120792)); #18725=EDGE_LOOP('',(#120793,#120794,#120795,#120796)); #18726=EDGE_LOOP('',(#120797,#120798,#120799,#120800)); #18727=EDGE_LOOP('',(#120801,#120802,#120803,#120804)); #18728=EDGE_LOOP('',(#120805,#120806,#120807,#120808)); #18729=EDGE_LOOP('',(#120809,#120810,#120811,#120812)); #18730=EDGE_LOOP('',(#120813,#120814,#120815,#120816)); #18731=EDGE_LOOP('',(#120817,#120818,#120819,#120820)); #18732=EDGE_LOOP('',(#120821,#120822,#120823,#120824)); #18733=EDGE_LOOP('',(#120825,#120826,#120827,#120828)); #18734=EDGE_LOOP('',(#120829,#120830,#120831,#120832)); #18735=EDGE_LOOP('',(#120833,#120834,#120835,#120836)); #18736=EDGE_LOOP('',(#120837,#120838,#120839,#120840)); #18737=EDGE_LOOP('',(#120841,#120842,#120843,#120844)); #18738=EDGE_LOOP('',(#120845,#120846,#120847,#120848)); #18739=EDGE_LOOP('',(#120849,#120850,#120851,#120852)); #18740=EDGE_LOOP('',(#120853,#120854,#120855,#120856)); #18741=EDGE_LOOP('',(#120857,#120858,#120859,#120860)); #18742=EDGE_LOOP('',(#120861,#120862,#120863,#120864)); #18743=EDGE_LOOP('',(#120865,#120866,#120867,#120868)); #18744=EDGE_LOOP('',(#120869,#120870,#120871,#120872)); #18745=EDGE_LOOP('',(#120873,#120874,#120875,#120876)); #18746=EDGE_LOOP('',(#120877,#120878,#120879,#120880)); #18747=EDGE_LOOP('',(#120881,#120882,#120883,#120884)); #18748=EDGE_LOOP('',(#120885,#120886,#120887,#120888)); #18749=EDGE_LOOP('',(#120889,#120890,#120891,#120892)); #18750=EDGE_LOOP('',(#120893,#120894,#120895,#120896)); #18751=EDGE_LOOP('',(#120897,#120898,#120899,#120900)); #18752=EDGE_LOOP('',(#120901,#120902,#120903,#120904)); #18753=EDGE_LOOP('',(#120905,#120906,#120907,#120908)); #18754=EDGE_LOOP('',(#120909,#120910,#120911,#120912)); #18755=EDGE_LOOP('',(#120913,#120914,#120915,#120916)); #18756=EDGE_LOOP('',(#120917,#120918,#120919,#120920)); #18757=EDGE_LOOP('',(#120921,#120922,#120923,#120924)); #18758=EDGE_LOOP('',(#120925,#120926,#120927,#120928)); #18759=EDGE_LOOP('',(#120929,#120930,#120931,#120932)); #18760=EDGE_LOOP('',(#120933,#120934,#120935,#120936)); #18761=EDGE_LOOP('',(#120937,#120938,#120939,#120940)); #18762=EDGE_LOOP('',(#120941,#120942,#120943,#120944)); #18763=EDGE_LOOP('',(#120945,#120946,#120947,#120948)); #18764=EDGE_LOOP('',(#120949,#120950,#120951,#120952)); #18765=EDGE_LOOP('',(#120953,#120954,#120955,#120956)); #18766=EDGE_LOOP('',(#120957,#120958,#120959,#120960)); #18767=EDGE_LOOP('',(#120961,#120962,#120963,#120964)); #18768=EDGE_LOOP('',(#120965,#120966,#120967,#120968)); #18769=EDGE_LOOP('',(#120969,#120970,#120971,#120972)); #18770=EDGE_LOOP('',(#120973,#120974,#120975,#120976)); #18771=EDGE_LOOP('',(#120977,#120978,#120979,#120980)); #18772=EDGE_LOOP('',(#120981,#120982,#120983,#120984)); #18773=EDGE_LOOP('',(#120985,#120986,#120987,#120988)); #18774=EDGE_LOOP('',(#120989,#120990,#120991,#120992)); #18775=EDGE_LOOP('',(#120993,#120994,#120995,#120996)); #18776=EDGE_LOOP('',(#120997,#120998,#120999,#121000)); #18777=EDGE_LOOP('',(#121001,#121002,#121003,#121004)); #18778=EDGE_LOOP('',(#121005,#121006,#121007,#121008)); #18779=EDGE_LOOP('',(#121009,#121010,#121011,#121012)); #18780=EDGE_LOOP('',(#121013,#121014,#121015,#121016)); #18781=EDGE_LOOP('',(#121017,#121018,#121019,#121020)); #18782=EDGE_LOOP('',(#121021,#121022,#121023,#121024)); #18783=EDGE_LOOP('',(#121025,#121026,#121027,#121028)); #18784=EDGE_LOOP('',(#121029,#121030,#121031,#121032)); #18785=EDGE_LOOP('',(#121033,#121034,#121035,#121036)); #18786=EDGE_LOOP('',(#121037,#121038,#121039,#121040)); #18787=EDGE_LOOP('',(#121041,#121042,#121043,#121044)); #18788=EDGE_LOOP('',(#121045,#121046,#121047,#121048)); #18789=EDGE_LOOP('',(#121049,#121050,#121051,#121052)); #18790=EDGE_LOOP('',(#121053,#121054,#121055,#121056)); #18791=EDGE_LOOP('',(#121057,#121058,#121059,#121060)); #18792=EDGE_LOOP('',(#121061,#121062,#121063,#121064)); #18793=EDGE_LOOP('',(#121065,#121066,#121067,#121068)); #18794=EDGE_LOOP('',(#121069,#121070,#121071,#121072)); #18795=EDGE_LOOP('',(#121073,#121074,#121075,#121076)); #18796=EDGE_LOOP('',(#121077,#121078,#121079,#121080)); #18797=EDGE_LOOP('',(#121081,#121082,#121083,#121084)); #18798=EDGE_LOOP('',(#121085,#121086,#121087,#121088)); #18799=EDGE_LOOP('',(#121089,#121090,#121091,#121092)); #18800=EDGE_LOOP('',(#121093,#121094,#121095,#121096)); #18801=EDGE_LOOP('',(#121097,#121098,#121099,#121100)); #18802=EDGE_LOOP('',(#121101,#121102,#121103,#121104)); #18803=EDGE_LOOP('',(#121105,#121106,#121107,#121108)); #18804=EDGE_LOOP('',(#121109,#121110,#121111,#121112)); #18805=EDGE_LOOP('',(#121113,#121114,#121115,#121116)); #18806=EDGE_LOOP('',(#121117,#121118,#121119,#121120)); #18807=EDGE_LOOP('',(#121121,#121122,#121123,#121124)); #18808=EDGE_LOOP('',(#121125,#121126,#121127,#121128)); #18809=EDGE_LOOP('',(#121129,#121130,#121131,#121132)); #18810=EDGE_LOOP('',(#121133,#121134,#121135,#121136)); #18811=EDGE_LOOP('',(#121137,#121138,#121139,#121140)); #18812=EDGE_LOOP('',(#121141,#121142,#121143,#121144,#121145,#121146,#121147, #121148,#121149,#121150,#121151,#121152,#121153,#121154,#121155,#121156, #121157,#121158,#121159,#121160,#121161,#121162,#121163,#121164,#121165, #121166,#121167,#121168,#121169,#121170,#121171,#121172,#121173,#121174, #121175,#121176,#121177,#121178,#121179,#121180,#121181,#121182,#121183, #121184,#121185,#121186,#121187,#121188,#121189,#121190,#121191,#121192, #121193,#121194,#121195,#121196,#121197,#121198,#121199,#121200,#121201, #121202,#121203,#121204,#121205,#121206,#121207,#121208,#121209,#121210, #121211,#121212,#121213,#121214,#121215,#121216,#121217,#121218,#121219, #121220,#121221,#121222,#121223,#121224,#121225,#121226,#121227,#121228, #121229,#121230,#121231,#121232,#121233,#121234,#121235,#121236,#121237, #121238,#121239,#121240,#121241,#121242,#121243,#121244,#121245,#121246, #121247,#121248,#121249,#121250,#121251,#121252,#121253,#121254,#121255, #121256,#121257,#121258,#121259,#121260,#121261,#121262,#121263,#121264, #121265,#121266,#121267,#121268,#121269,#121270,#121271,#121272,#121273, #121274,#121275,#121276,#121277,#121278,#121279,#121280,#121281,#121282, #121283,#121284,#121285,#121286,#121287,#121288,#121289,#121290,#121291, #121292,#121293,#121294,#121295,#121296,#121297,#121298,#121299,#121300, #121301,#121302,#121303,#121304,#121305,#121306,#121307,#121308,#121309, #121310,#121311,#121312,#121313,#121314,#121315,#121316,#121317,#121318, #121319)); #18813=EDGE_LOOP('',(#121320,#121321,#121322,#121323)); #18814=EDGE_LOOP('',(#121324,#121325,#121326,#121327)); #18815=EDGE_LOOP('',(#121328,#121329,#121330,#121331)); #18816=EDGE_LOOP('',(#121332,#121333,#121334,#121335)); #18817=EDGE_LOOP('',(#121336,#121337,#121338,#121339)); #18818=EDGE_LOOP('',(#121340,#121341,#121342,#121343)); #18819=EDGE_LOOP('',(#121344,#121345,#121346,#121347)); #18820=EDGE_LOOP('',(#121348,#121349,#121350,#121351)); #18821=EDGE_LOOP('',(#121352,#121353,#121354,#121355)); #18822=EDGE_LOOP('',(#121356,#121357,#121358,#121359)); #18823=EDGE_LOOP('',(#121360,#121361,#121362,#121363)); #18824=EDGE_LOOP('',(#121364,#121365,#121366,#121367)); #18825=EDGE_LOOP('',(#121368,#121369,#121370,#121371)); #18826=EDGE_LOOP('',(#121372,#121373,#121374,#121375)); #18827=EDGE_LOOP('',(#121376,#121377,#121378,#121379)); #18828=EDGE_LOOP('',(#121380,#121381,#121382,#121383)); #18829=EDGE_LOOP('',(#121384,#121385,#121386,#121387)); #18830=EDGE_LOOP('',(#121388,#121389,#121390,#121391)); #18831=EDGE_LOOP('',(#121392,#121393,#121394,#121395)); #18832=EDGE_LOOP('',(#121396,#121397,#121398,#121399)); #18833=EDGE_LOOP('',(#121400,#121401,#121402,#121403)); #18834=EDGE_LOOP('',(#121404,#121405,#121406,#121407,#121408,#121409,#121410, #121411,#121412,#121413)); #18835=EDGE_LOOP('',(#121414,#121415,#121416,#121417,#121418,#121419,#121420, #121421,#121422,#121423,#121424)); #18836=EDGE_LOOP('',(#121425,#121426,#121427,#121428)); #18837=EDGE_LOOP('',(#121429,#121430,#121431,#121432)); #18838=EDGE_LOOP('',(#121433,#121434,#121435,#121436)); #18839=EDGE_LOOP('',(#121437,#121438,#121439,#121440)); #18840=EDGE_LOOP('',(#121441,#121442,#121443,#121444)); #18841=EDGE_LOOP('',(#121445,#121446,#121447,#121448)); #18842=EDGE_LOOP('',(#121449,#121450,#121451,#121452)); #18843=EDGE_LOOP('',(#121453,#121454,#121455,#121456)); #18844=EDGE_LOOP('',(#121457,#121458,#121459,#121460)); #18845=EDGE_LOOP('',(#121461,#121462,#121463,#121464)); #18846=EDGE_LOOP('',(#121465,#121466,#121467,#121468)); #18847=EDGE_LOOP('',(#121469,#121470,#121471,#121472)); #18848=EDGE_LOOP('',(#121473,#121474,#121475,#121476)); #18849=EDGE_LOOP('',(#121477,#121478,#121479,#121480)); #18850=EDGE_LOOP('',(#121481,#121482,#121483,#121484)); #18851=EDGE_LOOP('',(#121485,#121486,#121487,#121488)); #18852=EDGE_LOOP('',(#121489,#121490,#121491,#121492)); #18853=EDGE_LOOP('',(#121493,#121494,#121495,#121496)); #18854=EDGE_LOOP('',(#121497,#121498,#121499,#121500)); #18855=EDGE_LOOP('',(#121501,#121502,#121503,#121504)); #18856=EDGE_LOOP('',(#121505,#121506,#121507,#121508)); #18857=EDGE_LOOP('',(#121509,#121510,#121511,#121512)); #18858=EDGE_LOOP('',(#121513,#121514,#121515,#121516)); #18859=EDGE_LOOP('',(#121517,#121518,#121519,#121520)); #18860=EDGE_LOOP('',(#121521,#121522,#121523,#121524)); #18861=EDGE_LOOP('',(#121525,#121526,#121527,#121528)); #18862=EDGE_LOOP('',(#121529,#121530,#121531,#121532)); #18863=EDGE_LOOP('',(#121533,#121534,#121535,#121536)); #18864=EDGE_LOOP('',(#121537,#121538,#121539,#121540)); #18865=EDGE_LOOP('',(#121541,#121542,#121543,#121544)); #18866=EDGE_LOOP('',(#121545,#121546,#121547,#121548)); #18867=EDGE_LOOP('',(#121549,#121550,#121551,#121552)); #18868=EDGE_LOOP('',(#121553,#121554,#121555,#121556)); #18869=EDGE_LOOP('',(#121557,#121558,#121559,#121560)); #18870=EDGE_LOOP('',(#121561,#121562,#121563,#121564)); #18871=EDGE_LOOP('',(#121565,#121566,#121567,#121568)); #18872=EDGE_LOOP('',(#121569,#121570,#121571,#121572)); #18873=EDGE_LOOP('',(#121573,#121574,#121575,#121576)); #18874=EDGE_LOOP('',(#121577,#121578,#121579,#121580)); #18875=EDGE_LOOP('',(#121581,#121582,#121583,#121584)); #18876=EDGE_LOOP('',(#121585,#121586,#121587,#121588)); #18877=EDGE_LOOP('',(#121589,#121590,#121591,#121592)); #18878=EDGE_LOOP('',(#121593,#121594,#121595,#121596)); #18879=EDGE_LOOP('',(#121597,#121598,#121599,#121600)); #18880=EDGE_LOOP('',(#121601,#121602,#121603,#121604,#121605,#121606,#121607, #121608,#121609,#121610,#121611,#121612,#121613,#121614,#121615,#121616, #121617,#121618,#121619,#121620,#121621,#121622,#121623,#121624,#121625, #121626,#121627,#121628,#121629,#121630,#121631,#121632,#121633,#121634, #121635,#121636,#121637,#121638,#121639,#121640,#121641,#121642,#121643, #121644)); #18881=EDGE_LOOP('',(#121645,#121646,#121647,#121648)); #18882=EDGE_LOOP('',(#121649,#121650,#121651,#121652)); #18883=EDGE_LOOP('',(#121653,#121654,#121655,#121656)); #18884=EDGE_LOOP('',(#121657,#121658,#121659,#121660)); #18885=EDGE_LOOP('',(#121661,#121662,#121663,#121664)); #18886=EDGE_LOOP('',(#121665,#121666,#121667,#121668)); #18887=EDGE_LOOP('',(#121669,#121670,#121671,#121672)); #18888=EDGE_LOOP('',(#121673,#121674,#121675,#121676)); #18889=EDGE_LOOP('',(#121677,#121678,#121679,#121680)); #18890=EDGE_LOOP('',(#121681,#121682,#121683,#121684)); #18891=EDGE_LOOP('',(#121685,#121686,#121687,#121688)); #18892=EDGE_LOOP('',(#121689,#121690,#121691,#121692)); #18893=EDGE_LOOP('',(#121693,#121694,#121695,#121696)); #18894=EDGE_LOOP('',(#121697,#121698,#121699,#121700)); #18895=EDGE_LOOP('',(#121701,#121702,#121703,#121704)); #18896=EDGE_LOOP('',(#121705,#121706,#121707,#121708)); #18897=EDGE_LOOP('',(#121709,#121710,#121711,#121712)); #18898=EDGE_LOOP('',(#121713,#121714,#121715,#121716)); #18899=EDGE_LOOP('',(#121717,#121718,#121719,#121720)); #18900=EDGE_LOOP('',(#121721,#121722,#121723,#121724)); #18901=EDGE_LOOP('',(#121725,#121726,#121727,#121728)); #18902=EDGE_LOOP('',(#121729,#121730,#121731,#121732)); #18903=EDGE_LOOP('',(#121733,#121734,#121735,#121736)); #18904=EDGE_LOOP('',(#121737,#121738,#121739,#121740)); #18905=EDGE_LOOP('',(#121741,#121742,#121743,#121744)); #18906=EDGE_LOOP('',(#121745,#121746,#121747,#121748)); #18907=EDGE_LOOP('',(#121749,#121750,#121751,#121752)); #18908=EDGE_LOOP('',(#121753,#121754,#121755,#121756)); #18909=EDGE_LOOP('',(#121757,#121758,#121759,#121760)); #18910=EDGE_LOOP('',(#121761,#121762,#121763,#121764)); #18911=EDGE_LOOP('',(#121765,#121766,#121767,#121768)); #18912=EDGE_LOOP('',(#121769,#121770,#121771,#121772)); #18913=EDGE_LOOP('',(#121773,#121774,#121775,#121776)); #18914=EDGE_LOOP('',(#121777,#121778,#121779,#121780)); #18915=EDGE_LOOP('',(#121781,#121782,#121783,#121784)); #18916=EDGE_LOOP('',(#121785,#121786,#121787,#121788)); #18917=EDGE_LOOP('',(#121789,#121790,#121791,#121792)); #18918=EDGE_LOOP('',(#121793,#121794,#121795,#121796)); #18919=EDGE_LOOP('',(#121797,#121798,#121799,#121800)); #18920=EDGE_LOOP('',(#121801,#121802,#121803,#121804)); #18921=EDGE_LOOP('',(#121805,#121806,#121807,#121808)); #18922=EDGE_LOOP('',(#121809,#121810,#121811,#121812)); #18923=EDGE_LOOP('',(#121813,#121814,#121815,#121816)); #18924=EDGE_LOOP('',(#121817,#121818,#121819,#121820)); #18925=EDGE_LOOP('',(#121821,#121822,#121823,#121824)); #18926=EDGE_LOOP('',(#121825,#121826,#121827,#121828)); #18927=EDGE_LOOP('',(#121829,#121830,#121831,#121832)); #18928=EDGE_LOOP('',(#121833,#121834,#121835,#121836)); #18929=EDGE_LOOP('',(#121837,#121838,#121839,#121840)); #18930=EDGE_LOOP('',(#121841,#121842,#121843,#121844)); #18931=EDGE_LOOP('',(#121845,#121846,#121847,#121848)); #18932=EDGE_LOOP('',(#121849,#121850,#121851,#121852)); #18933=EDGE_LOOP('',(#121853,#121854,#121855,#121856)); #18934=EDGE_LOOP('',(#121857,#121858,#121859,#121860)); #18935=EDGE_LOOP('',(#121861,#121862,#121863,#121864)); #18936=EDGE_LOOP('',(#121865,#121866,#121867,#121868)); #18937=EDGE_LOOP('',(#121869,#121870,#121871,#121872)); #18938=EDGE_LOOP('',(#121873,#121874,#121875,#121876)); #18939=EDGE_LOOP('',(#121877,#121878,#121879,#121880)); #18940=EDGE_LOOP('',(#121881,#121882,#121883,#121884)); #18941=EDGE_LOOP('',(#121885,#121886,#121887,#121888)); #18942=EDGE_LOOP('',(#121889,#121890,#121891,#121892)); #18943=EDGE_LOOP('',(#121893,#121894,#121895,#121896)); #18944=EDGE_LOOP('',(#121897,#121898,#121899,#121900)); #18945=EDGE_LOOP('',(#121901,#121902,#121903,#121904)); #18946=EDGE_LOOP('',(#121905,#121906,#121907,#121908)); #18947=EDGE_LOOP('',(#121909,#121910,#121911,#121912)); #18948=EDGE_LOOP('',(#121913,#121914,#121915,#121916)); #18949=EDGE_LOOP('',(#121917,#121918,#121919,#121920)); #18950=EDGE_LOOP('',(#121921,#121922,#121923,#121924)); #18951=EDGE_LOOP('',(#121925,#121926,#121927,#121928)); #18952=EDGE_LOOP('',(#121929,#121930,#121931,#121932)); #18953=EDGE_LOOP('',(#121933,#121934,#121935,#121936)); #18954=EDGE_LOOP('',(#121937,#121938,#121939,#121940)); #18955=EDGE_LOOP('',(#121941,#121942,#121943,#121944)); #18956=EDGE_LOOP('',(#121945,#121946,#121947,#121948)); #18957=EDGE_LOOP('',(#121949,#121950,#121951,#121952)); #18958=EDGE_LOOP('',(#121953,#121954,#121955,#121956)); #18959=EDGE_LOOP('',(#121957,#121958,#121959,#121960)); #18960=EDGE_LOOP('',(#121961,#121962,#121963,#121964)); #18961=EDGE_LOOP('',(#121965,#121966,#121967,#121968)); #18962=EDGE_LOOP('',(#121969,#121970,#121971,#121972)); #18963=EDGE_LOOP('',(#121973,#121974,#121975,#121976)); #18964=EDGE_LOOP('',(#121977,#121978,#121979,#121980)); #18965=EDGE_LOOP('',(#121981,#121982,#121983,#121984)); #18966=EDGE_LOOP('',(#121985,#121986,#121987,#121988)); #18967=EDGE_LOOP('',(#121989,#121990,#121991,#121992)); #18968=EDGE_LOOP('',(#121993,#121994,#121995,#121996)); #18969=EDGE_LOOP('',(#121997,#121998,#121999,#122000)); #18970=EDGE_LOOP('',(#122001,#122002,#122003,#122004)); #18971=EDGE_LOOP('',(#122005,#122006,#122007,#122008)); #18972=EDGE_LOOP('',(#122009,#122010,#122011,#122012)); #18973=EDGE_LOOP('',(#122013,#122014,#122015,#122016)); #18974=EDGE_LOOP('',(#122017,#122018,#122019,#122020)); #18975=EDGE_LOOP('',(#122021,#122022,#122023,#122024)); #18976=EDGE_LOOP('',(#122025,#122026,#122027,#122028)); #18977=EDGE_LOOP('',(#122029,#122030,#122031,#122032)); #18978=EDGE_LOOP('',(#122033,#122034,#122035,#122036)); #18979=EDGE_LOOP('',(#122037,#122038,#122039,#122040)); #18980=EDGE_LOOP('',(#122041,#122042,#122043,#122044)); #18981=EDGE_LOOP('',(#122045,#122046,#122047,#122048)); #18982=EDGE_LOOP('',(#122049,#122050,#122051,#122052)); #18983=EDGE_LOOP('',(#122053,#122054,#122055,#122056)); #18984=EDGE_LOOP('',(#122057,#122058,#122059,#122060)); #18985=EDGE_LOOP('',(#122061,#122062,#122063,#122064)); #18986=EDGE_LOOP('',(#122065,#122066,#122067,#122068)); #18987=EDGE_LOOP('',(#122069,#122070,#122071,#122072)); #18988=EDGE_LOOP('',(#122073,#122074,#122075,#122076)); #18989=EDGE_LOOP('',(#122077,#122078,#122079,#122080)); #18990=EDGE_LOOP('',(#122081,#122082,#122083,#122084)); #18991=EDGE_LOOP('',(#122085,#122086,#122087,#122088)); #18992=EDGE_LOOP('',(#122089,#122090,#122091,#122092)); #18993=EDGE_LOOP('',(#122093,#122094,#122095,#122096)); #18994=EDGE_LOOP('',(#122097,#122098,#122099,#122100)); #18995=EDGE_LOOP('',(#122101,#122102,#122103,#122104)); #18996=EDGE_LOOP('',(#122105,#122106,#122107,#122108)); #18997=EDGE_LOOP('',(#122109,#122110,#122111,#122112,#122113,#122114,#122115, #122116,#122117,#122118,#122119,#122120,#122121,#122122,#122123,#122124, #122125,#122126,#122127,#122128,#122129,#122130,#122131,#122132,#122133, #122134,#122135,#122136,#122137,#122138,#122139,#122140,#122141,#122142, #122143,#122144,#122145,#122146,#122147,#122148,#122149,#122150,#122151, #122152,#122153,#122154,#122155,#122156,#122157,#122158,#122159,#122160, #122161,#122162,#122163,#122164,#122165,#122166,#122167,#122168,#122169, #122170,#122171,#122172,#122173,#122174,#122175,#122176,#122177,#122178, #122179,#122180,#122181,#122182,#122183,#122184,#122185,#122186,#122187, #122188,#122189,#122190,#122191,#122192,#122193,#122194,#122195,#122196, #122197,#122198,#122199,#122200,#122201,#122202,#122203,#122204,#122205, #122206,#122207,#122208,#122209,#122210,#122211,#122212,#122213,#122214, #122215,#122216,#122217,#122218,#122219,#122220,#122221,#122222,#122223, #122224)); #18998=EDGE_LOOP('',(#122225,#122226,#122227,#122228,#122229,#122230,#122231, #122232,#122233,#122234,#122235,#122236,#122237,#122238,#122239,#122240, #122241,#122242,#122243,#122244,#122245,#122246,#122247,#122248,#122249, #122250,#122251,#122252,#122253,#122254,#122255,#122256,#122257,#122258, #122259,#122260,#122261,#122262,#122263,#122264,#122265,#122266,#122267, #122268,#122269,#122270,#122271,#122272)); #18999=EDGE_LOOP('',(#122273,#122274,#122275,#122276,#122277,#122278,#122279, #122280,#122281,#122282,#122283,#122284,#122285,#122286,#122287,#122288, #122289,#122290,#122291,#122292,#122293,#122294,#122295,#122296,#122297, #122298,#122299,#122300,#122301,#122302,#122303,#122304,#122305,#122306, #122307,#122308,#122309,#122310,#122311,#122312,#122313,#122314,#122315, #122316,#122317,#122318,#122319,#122320,#122321,#122322,#122323,#122324, #122325,#122326,#122327,#122328,#122329,#122330,#122331,#122332,#122333, #122334,#122335,#122336,#122337,#122338,#122339,#122340,#122341,#122342, #122343,#122344,#122345,#122346,#122347,#122348,#122349,#122350,#122351, #122352,#122353,#122354,#122355,#122356,#122357,#122358,#122359,#122360, #122361,#122362,#122363,#122364,#122365,#122366,#122367,#122368,#122369, #122370,#122371,#122372,#122373,#122374,#122375,#122376,#122377,#122378, #122379,#122380,#122381,#122382,#122383,#122384)); #19000=EDGE_LOOP('',(#122385,#122386,#122387,#122388,#122389,#122390,#122391, #122392,#122393,#122394,#122395,#122396,#122397,#122398,#122399,#122400, #122401,#122402,#122403,#122404,#122405,#122406,#122407,#122408,#122409, #122410,#122411,#122412,#122413,#122414,#122415,#122416,#122417,#122418, #122419,#122420,#122421,#122422,#122423,#122424,#122425,#122426,#122427, #122428,#122429,#122430,#122431,#122432,#122433,#122434,#122435,#122436, #122437,#122438,#122439,#122440,#122441,#122442,#122443,#122444,#122445, #122446,#122447,#122448,#122449,#122450,#122451,#122452,#122453,#122454, #122455,#122456,#122457,#122458,#122459,#122460,#122461,#122462,#122463, #122464,#122465,#122466,#122467,#122468,#122469,#122470,#122471,#122472, #122473,#122474,#122475)); #19001=EDGE_LOOP('',(#122476,#122477,#122478,#122479,#122480)); #19002=EDGE_LOOP('',(#122481,#122482,#122483,#122484,#122485,#122486,#122487, #122488,#122489,#122490,#122491,#122492,#122493,#122494,#122495,#122496, #122497,#122498,#122499,#122500,#122501,#122502,#122503,#122504,#122505, #122506,#122507,#122508,#122509,#122510,#122511,#122512,#122513,#122514, #122515,#122516,#122517,#122518,#122519,#122520,#122521,#122522,#122523, #122524,#122525,#122526,#122527,#122528,#122529,#122530,#122531,#122532, #122533,#122534,#122535,#122536,#122537,#122538,#122539,#122540,#122541, #122542,#122543,#122544,#122545,#122546,#122547,#122548,#122549,#122550, #122551,#122552,#122553,#122554,#122555,#122556,#122557,#122558,#122559, #122560,#122561,#122562,#122563,#122564,#122565,#122566,#122567,#122568, #122569,#122570,#122571,#122572,#122573,#122574)); #19003=EDGE_LOOP('',(#122575,#122576,#122577,#122578,#122579,#122580,#122581, #122582,#122583,#122584,#122585,#122586,#122587,#122588,#122589,#122590, #122591,#122592,#122593,#122594,#122595,#122596,#122597,#122598,#122599, #122600,#122601,#122602,#122603,#122604,#122605,#122606,#122607,#122608, #122609,#122610,#122611,#122612,#122613,#122614,#122615,#122616,#122617, #122618,#122619,#122620,#122621,#122622,#122623,#122624,#122625,#122626, #122627,#122628,#122629,#122630,#122631,#122632,#122633,#122634,#122635, #122636,#122637,#122638,#122639,#122640,#122641,#122642,#122643,#122644, #122645,#122646,#122647,#122648,#122649,#122650,#122651,#122652,#122653, #122654,#122655,#122656,#122657,#122658,#122659,#122660,#122661,#122662, #122663,#122664,#122665,#122666,#122667,#122668,#122669,#122670,#122671, #122672,#122673,#122674,#122675,#122676,#122677,#122678,#122679,#122680, #122681,#122682,#122683)); #19004=EDGE_LOOP('',(#122684,#122685,#122686,#122687,#122688,#122689,#122690, #122691,#122692,#122693,#122694,#122695,#122696,#122697,#122698,#122699, #122700,#122701,#122702,#122703,#122704,#122705,#122706,#122707,#122708, #122709,#122710,#122711,#122712,#122713,#122714,#122715,#122716,#122717, #122718,#122719,#122720,#122721,#122722,#122723,#122724,#122725,#122726, #122727,#122728,#122729,#122730,#122731,#122732,#122733,#122734,#122735, #122736,#122737,#122738,#122739,#122740,#122741,#122742,#122743,#122744, #122745,#122746,#122747,#122748,#122749,#122750,#122751,#122752,#122753, #122754,#122755,#122756,#122757,#122758,#122759,#122760,#122761,#122762, #122763,#122764,#122765,#122766,#122767,#122768,#122769,#122770,#122771, #122772,#122773,#122774,#122775,#122776,#122777,#122778,#122779,#122780, #122781,#122782,#122783,#122784,#122785,#122786,#122787,#122788,#122789, #122790,#122791,#122792,#122793,#122794,#122795,#122796,#122797,#122798, #122799,#122800,#122801,#122802,#122803,#122804,#122805,#122806,#122807)); #19005=EDGE_LOOP('',(#122808,#122809,#122810,#122811,#122812,#122813,#122814, #122815,#122816,#122817,#122818,#122819,#122820,#122821,#122822,#122823, #122824,#122825,#122826,#122827,#122828,#122829,#122830,#122831,#122832, #122833,#122834,#122835,#122836,#122837,#122838,#122839,#122840,#122841, #122842,#122843,#122844,#122845,#122846,#122847,#122848,#122849,#122850, #122851,#122852,#122853,#122854,#122855,#122856,#122857,#122858,#122859, #122860,#122861,#122862,#122863,#122864,#122865,#122866,#122867,#122868, #122869,#122870)); #19006=EDGE_LOOP('',(#122871,#122872,#122873,#122874,#122875,#122876,#122877, #122878,#122879,#122880,#122881,#122882,#122883,#122884,#122885,#122886, #122887,#122888,#122889,#122890,#122891,#122892,#122893,#122894,#122895, #122896,#122897,#122898,#122899,#122900,#122901,#122902,#122903,#122904, #122905,#122906,#122907,#122908,#122909,#122910,#122911,#122912,#122913, #122914,#122915,#122916,#122917,#122918,#122919,#122920,#122921,#122922, #122923,#122924,#122925,#122926,#122927,#122928,#122929,#122930,#122931, #122932,#122933,#122934,#122935,#122936,#122937,#122938,#122939,#122940, #122941,#122942,#122943,#122944,#122945,#122946,#122947,#122948,#122949, #122950,#122951,#122952,#122953,#122954,#122955,#122956,#122957,#122958, #122959,#122960,#122961,#122962,#122963,#122964,#122965,#122966,#122967, #122968,#122969,#122970,#122971,#122972,#122973,#122974,#122975,#122976, #122977,#122978,#122979,#122980,#122981,#122982,#122983,#122984,#122985, #122986,#122987,#122988,#122989,#122990,#122991,#122992,#122993,#122994, #122995,#122996,#122997,#122998,#122999,#123000,#123001,#123002,#123003, #123004,#123005,#123006,#123007,#123008,#123009,#123010,#123011,#123012, #123013,#123014,#123015,#123016,#123017,#123018,#123019,#123020,#123021, #123022)); #19007=EDGE_LOOP('',(#123023,#123024,#123025,#123026,#123027,#123028,#123029, #123030,#123031,#123032,#123033,#123034,#123035,#123036,#123037,#123038, #123039,#123040,#123041,#123042,#123043,#123044,#123045,#123046,#123047, #123048,#123049,#123050,#123051,#123052,#123053,#123054,#123055,#123056, #123057,#123058,#123059,#123060,#123061,#123062,#123063,#123064,#123065, #123066,#123067,#123068,#123069,#123070,#123071,#123072,#123073,#123074, #123075,#123076,#123077,#123078,#123079,#123080,#123081,#123082,#123083, #123084)); #19008=EDGE_LOOP('',(#123085,#123086,#123087,#123088,#123089,#123090,#123091, #123092,#123093,#123094,#123095,#123096)); #19009=EDGE_LOOP('',(#123097,#123098,#123099,#123100)); #19010=EDGE_LOOP('',(#123101,#123102,#123103,#123104,#123105,#123106,#123107, #123108,#123109,#123110,#123111,#123112,#123113,#123114,#123115,#123116, #123117,#123118,#123119,#123120,#123121,#123122,#123123,#123124,#123125, #123126,#123127,#123128,#123129,#123130,#123131,#123132,#123133,#123134, #123135)); #19011=EDGE_LOOP('',(#123136,#123137,#123138,#123139,#123140,#123141,#123142, #123143)); #19012=EDGE_LOOP('',(#123144,#123145,#123146,#123147,#123148,#123149,#123150, #123151,#123152,#123153,#123154,#123155,#123156,#123157,#123158)); #19013=EDGE_LOOP('',(#123159,#123160,#123161,#123162,#123163,#123164,#123165, #123166,#123167,#123168,#123169,#123170,#123171,#123172,#123173,#123174, #123175,#123176,#123177,#123178,#123179,#123180,#123181,#123182,#123183, #123184,#123185,#123186,#123187,#123188,#123189,#123190,#123191,#123192, #123193,#123194,#123195,#123196,#123197,#123198,#123199,#123200,#123201, #123202,#123203,#123204,#123205,#123206,#123207,#123208,#123209,#123210, #123211,#123212,#123213,#123214,#123215,#123216,#123217,#123218,#123219, #123220,#123221,#123222,#123223,#123224,#123225,#123226,#123227,#123228, #123229,#123230,#123231,#123232,#123233,#123234,#123235,#123236,#123237, #123238,#123239,#123240,#123241,#123242,#123243,#123244,#123245,#123246, #123247,#123248,#123249,#123250,#123251,#123252,#123253,#123254,#123255, #123256,#123257,#123258,#123259,#123260,#123261,#123262,#123263,#123264, #123265,#123266,#123267,#123268,#123269,#123270,#123271,#123272,#123273, #123274,#123275,#123276,#123277,#123278,#123279,#123280,#123281,#123282, #123283,#123284,#123285,#123286,#123287,#123288,#123289,#123290,#123291, #123292,#123293,#123294,#123295,#123296,#123297,#123298,#123299,#123300, #123301,#123302,#123303,#123304,#123305,#123306,#123307,#123308,#123309, #123310,#123311,#123312,#123313,#123314,#123315,#123316,#123317,#123318, #123319,#123320,#123321,#123322,#123323,#123324,#123325,#123326,#123327, #123328)); #19014=EDGE_LOOP('',(#123329,#123330,#123331,#123332,#123333,#123334,#123335, #123336,#123337,#123338,#123339,#123340,#123341,#123342,#123343,#123344, #123345,#123346,#123347,#123348,#123349,#123350,#123351,#123352,#123353, #123354,#123355,#123356,#123357,#123358,#123359,#123360,#123361,#123362, #123363,#123364,#123365,#123366,#123367,#123368,#123369,#123370,#123371, #123372,#123373,#123374,#123375,#123376,#123377,#123378,#123379,#123380, #123381,#123382,#123383,#123384,#123385,#123386,#123387,#123388,#123389, #123390,#123391,#123392,#123393,#123394,#123395,#123396,#123397,#123398, #123399,#123400,#123401,#123402,#123403,#123404,#123405,#123406,#123407, #123408,#123409,#123410,#123411,#123412,#123413,#123414,#123415,#123416, #123417,#123418,#123419,#123420,#123421,#123422,#123423,#123424,#123425, #123426,#123427,#123428,#123429,#123430,#123431,#123432,#123433,#123434, #123435,#123436,#123437,#123438,#123439,#123440,#123441,#123442,#123443, #123444,#123445,#123446,#123447,#123448,#123449,#123450,#123451,#123452, #123453,#123454,#123455,#123456,#123457,#123458,#123459,#123460,#123461, #123462,#123463,#123464,#123465,#123466,#123467,#123468,#123469,#123470, #123471,#123472,#123473,#123474,#123475,#123476,#123477,#123478,#123479, #123480,#123481,#123482,#123483,#123484,#123485,#123486,#123487,#123488, #123489,#123490,#123491,#123492,#123493,#123494,#123495,#123496,#123497, #123498,#123499,#123500,#123501,#123502,#123503,#123504,#123505,#123506, #123507)); #19015=EDGE_LOOP('',(#123508,#123509,#123510,#123511,#123512,#123513,#123514, #123515,#123516,#123517,#123518,#123519,#123520,#123521,#123522,#123523, #123524,#123525,#123526,#123527,#123528,#123529,#123530,#123531,#123532, #123533,#123534,#123535,#123536,#123537,#123538,#123539,#123540,#123541, #123542,#123543,#123544,#123545,#123546,#123547,#123548,#123549,#123550, #123551,#123552,#123553,#123554,#123555,#123556,#123557,#123558,#123559, #123560,#123561,#123562,#123563,#123564,#123565,#123566,#123567,#123568, #123569,#123570,#123571,#123572,#123573,#123574,#123575,#123576,#123577, #123578,#123579,#123580,#123581,#123582,#123583,#123584,#123585,#123586, #123587,#123588,#123589,#123590,#123591,#123592,#123593,#123594,#123595, #123596,#123597,#123598,#123599,#123600,#123601,#123602,#123603,#123604, #123605,#123606,#123607,#123608,#123609,#123610,#123611,#123612,#123613, #123614,#123615,#123616,#123617,#123618,#123619,#123620,#123621,#123622, #123623,#123624,#123625,#123626,#123627,#123628,#123629,#123630,#123631, #123632)); #19016=EDGE_LOOP('',(#123633,#123634,#123635,#123636,#123637,#123638,#123639, #123640,#123641,#123642,#123643,#123644,#123645,#123646,#123647,#123648, #123649,#123650,#123651,#123652,#123653,#123654,#123655,#123656,#123657, #123658,#123659,#123660,#123661,#123662,#123663,#123664,#123665,#123666, #123667,#123668,#123669,#123670,#123671,#123672,#123673,#123674,#123675, #123676,#123677,#123678,#123679,#123680,#123681,#123682,#123683,#123684, #123685,#123686,#123687,#123688,#123689,#123690,#123691,#123692,#123693, #123694,#123695,#123696,#123697,#123698,#123699,#123700,#123701,#123702, #123703,#123704,#123705,#123706,#123707,#123708,#123709,#123710,#123711, #123712,#123713,#123714,#123715,#123716,#123717,#123718,#123719,#123720, #123721,#123722,#123723,#123724,#123725,#123726,#123727,#123728,#123729, #123730,#123731,#123732,#123733,#123734,#123735,#123736,#123737,#123738, #123739,#123740,#123741,#123742,#123743,#123744,#123745,#123746,#123747, #123748,#123749,#123750,#123751,#123752,#123753,#123754,#123755,#123756, #123757,#123758,#123759,#123760,#123761,#123762)); #19017=EDGE_LOOP('',(#123763,#123764,#123765,#123766,#123767,#123768,#123769, #123770,#123771,#123772,#123773,#123774,#123775,#123776,#123777,#123778, #123779,#123780,#123781,#123782,#123783,#123784,#123785,#123786,#123787, #123788,#123789,#123790,#123791,#123792,#123793,#123794,#123795,#123796, #123797,#123798,#123799,#123800,#123801,#123802,#123803,#123804,#123805, #123806,#123807,#123808,#123809,#123810,#123811,#123812,#123813,#123814, #123815,#123816,#123817,#123818,#123819,#123820,#123821,#123822,#123823, #123824,#123825,#123826,#123827,#123828,#123829,#123830,#123831,#123832, #123833,#123834,#123835,#123836,#123837,#123838,#123839,#123840,#123841, #123842,#123843,#123844,#123845,#123846,#123847,#123848,#123849,#123850, #123851,#123852,#123853,#123854,#123855,#123856,#123857,#123858,#123859, #123860,#123861,#123862,#123863,#123864,#123865,#123866,#123867,#123868, #123869,#123870,#123871,#123872,#123873,#123874,#123875,#123876,#123877, #123878,#123879,#123880,#123881,#123882,#123883,#123884,#123885,#123886, #123887,#123888,#123889,#123890,#123891,#123892,#123893,#123894,#123895, #123896,#123897,#123898,#123899,#123900,#123901,#123902,#123903,#123904, #123905,#123906,#123907,#123908,#123909,#123910,#123911,#123912,#123913, #123914,#123915,#123916,#123917,#123918,#123919,#123920,#123921,#123922, #123923,#123924,#123925)); #19018=EDGE_LOOP('',(#123926,#123927,#123928,#123929,#123930,#123931,#123932, #123933,#123934,#123935,#123936,#123937,#123938,#123939,#123940,#123941, #123942,#123943,#123944,#123945,#123946,#123947,#123948,#123949,#123950, #123951,#123952,#123953,#123954,#123955,#123956,#123957,#123958,#123959, #123960,#123961,#123962,#123963,#123964,#123965,#123966,#123967,#123968, #123969,#123970,#123971,#123972,#123973,#123974,#123975,#123976,#123977, #123978,#123979,#123980,#123981,#123982,#123983,#123984,#123985,#123986, #123987,#123988,#123989,#123990,#123991,#123992,#123993,#123994,#123995, #123996,#123997,#123998,#123999,#124000,#124001,#124002,#124003,#124004, #124005,#124006,#124007,#124008,#124009,#124010,#124011,#124012,#124013, #124014,#124015,#124016,#124017,#124018,#124019,#124020,#124021,#124022, #124023,#124024,#124025,#124026,#124027,#124028,#124029,#124030,#124031, #124032,#124033,#124034,#124035,#124036,#124037,#124038,#124039,#124040)); #19019=EDGE_LOOP('',(#124041,#124042,#124043,#124044,#124045,#124046,#124047, #124048,#124049,#124050,#124051,#124052,#124053,#124054,#124055,#124056, #124057,#124058,#124059,#124060,#124061,#124062,#124063,#124064,#124065, #124066,#124067,#124068,#124069,#124070,#124071,#124072,#124073,#124074, #124075,#124076,#124077,#124078,#124079,#124080,#124081,#124082,#124083, #124084,#124085,#124086,#124087,#124088,#124089,#124090,#124091,#124092, #124093,#124094,#124095,#124096,#124097,#124098,#124099,#124100,#124101, #124102,#124103,#124104,#124105,#124106,#124107,#124108,#124109,#124110, #124111,#124112,#124113,#124114,#124115,#124116,#124117,#124118,#124119, #124120,#124121)); #19020=EDGE_LOOP('',(#124122,#124123,#124124,#124125,#124126,#124127,#124128, #124129,#124130,#124131,#124132,#124133)); #19021=EDGE_LOOP('',(#124134,#124135,#124136,#124137,#124138,#124139,#124140, #124141,#124142,#124143,#124144,#124145,#124146,#124147,#124148,#124149, #124150,#124151,#124152,#124153,#124154,#124155,#124156,#124157,#124158, #124159,#124160,#124161,#124162,#124163,#124164,#124165,#124166,#124167, #124168,#124169,#124170,#124171,#124172,#124173,#124174,#124175,#124176, #124177,#124178,#124179,#124180,#124181,#124182,#124183,#124184,#124185, #124186,#124187,#124188,#124189,#124190,#124191,#124192,#124193,#124194, #124195,#124196,#124197,#124198,#124199,#124200,#124201,#124202,#124203, #124204,#124205,#124206,#124207,#124208,#124209,#124210,#124211,#124212, #124213,#124214,#124215,#124216,#124217,#124218,#124219,#124220,#124221, #124222,#124223,#124224,#124225,#124226,#124227,#124228,#124229,#124230, #124231,#124232,#124233,#124234,#124235,#124236,#124237,#124238,#124239, #124240,#124241,#124242,#124243,#124244,#124245,#124246,#124247,#124248, #124249,#124250,#124251,#124252,#124253,#124254,#124255,#124256,#124257, #124258,#124259,#124260,#124261,#124262,#124263,#124264,#124265,#124266, #124267,#124268,#124269,#124270,#124271,#124272)); #19022=EDGE_LOOP('',(#124273,#124274,#124275,#124276,#124277,#124278,#124279, #124280,#124281,#124282,#124283,#124284,#124285,#124286,#124287,#124288, #124289,#124290,#124291,#124292,#124293,#124294,#124295,#124296,#124297, #124298,#124299,#124300,#124301)); #19023=EDGE_LOOP('',(#124302,#124303,#124304,#124305,#124306,#124307,#124308, #124309,#124310,#124311,#124312,#124313,#124314,#124315,#124316,#124317, #124318,#124319,#124320,#124321,#124322,#124323,#124324,#124325,#124326, #124327,#124328,#124329,#124330,#124331,#124332,#124333,#124334,#124335, #124336,#124337,#124338,#124339,#124340,#124341,#124342,#124343,#124344, #124345,#124346,#124347,#124348,#124349,#124350,#124351,#124352,#124353, #124354,#124355,#124356,#124357)); #19024=EDGE_LOOP('',(#124358,#124359,#124360,#124361,#124362,#124363,#124364, #124365,#124366,#124367,#124368,#124369,#124370,#124371,#124372,#124373, #124374,#124375,#124376,#124377,#124378,#124379,#124380,#124381,#124382, #124383,#124384,#124385,#124386,#124387,#124388,#124389,#124390,#124391, #124392,#124393,#124394,#124395,#124396,#124397,#124398,#124399,#124400, #124401,#124402,#124403,#124404,#124405,#124406,#124407,#124408,#124409, #124410,#124411,#124412,#124413,#124414,#124415,#124416,#124417,#124418, #124419,#124420,#124421,#124422,#124423,#124424,#124425,#124426,#124427, #124428,#124429,#124430,#124431,#124432,#124433,#124434,#124435,#124436, #124437,#124438,#124439,#124440,#124441,#124442,#124443,#124444,#124445, #124446,#124447,#124448,#124449,#124450,#124451,#124452,#124453,#124454, #124455,#124456,#124457,#124458,#124459,#124460,#124461,#124462,#124463, #124464,#124465,#124466,#124467,#124468,#124469,#124470,#124471,#124472, #124473,#124474,#124475,#124476,#124477,#124478,#124479)); #19025=EDGE_LOOP('',(#124480,#124481,#124482,#124483,#124484,#124485)); #19026=EDGE_LOOP('',(#124486,#124487,#124488,#124489,#124490,#124491,#124492, #124493,#124494,#124495,#124496,#124497,#124498,#124499,#124500,#124501, #124502,#124503,#124504,#124505,#124506,#124507,#124508,#124509,#124510, #124511,#124512,#124513,#124514)); #19027=EDGE_LOOP('',(#124515,#124516,#124517,#124518,#124519,#124520,#124521, #124522,#124523,#124524,#124525,#124526,#124527,#124528,#124529,#124530, #124531,#124532,#124533,#124534,#124535,#124536,#124537,#124538,#124539, #124540,#124541,#124542,#124543,#124544,#124545,#124546,#124547,#124548, #124549,#124550,#124551,#124552,#124553,#124554,#124555,#124556,#124557, #124558,#124559,#124560,#124561,#124562,#124563,#124564,#124565,#124566, #124567,#124568,#124569,#124570,#124571,#124572,#124573,#124574,#124575, #124576,#124577,#124578,#124579,#124580,#124581,#124582,#124583,#124584, #124585,#124586,#124587,#124588,#124589,#124590,#124591,#124592,#124593, #124594,#124595,#124596,#124597,#124598,#124599,#124600,#124601,#124602, #124603,#124604,#124605,#124606,#124607,#124608,#124609,#124610,#124611, #124612,#124613,#124614,#124615,#124616,#124617,#124618,#124619,#124620, #124621,#124622,#124623,#124624,#124625,#124626,#124627,#124628,#124629, #124630,#124631,#124632,#124633,#124634,#124635,#124636,#124637,#124638, #124639,#124640,#124641,#124642,#124643,#124644,#124645,#124646,#124647, #124648,#124649,#124650,#124651,#124652,#124653,#124654,#124655,#124656, #124657)); #19028=EDGE_LOOP('',(#124658,#124659,#124660,#124661,#124662,#124663,#124664, #124665,#124666,#124667,#124668,#124669,#124670,#124671,#124672,#124673, #124674,#124675,#124676,#124677,#124678,#124679,#124680,#124681,#124682, #124683,#124684,#124685,#124686,#124687,#124688,#124689,#124690,#124691, #124692,#124693,#124694,#124695,#124696,#124697,#124698,#124699,#124700, #124701,#124702,#124703,#124704,#124705,#124706,#124707,#124708,#124709, #124710,#124711,#124712,#124713,#124714,#124715,#124716,#124717,#124718, #124719,#124720,#124721,#124722,#124723,#124724,#124725,#124726,#124727, #124728,#124729,#124730,#124731,#124732,#124733,#124734,#124735,#124736, #124737,#124738,#124739,#124740,#124741,#124742,#124743,#124744,#124745, #124746,#124747,#124748,#124749,#124750,#124751,#124752,#124753,#124754, #124755,#124756,#124757,#124758,#124759,#124760,#124761,#124762,#124763, #124764,#124765,#124766,#124767,#124768,#124769,#124770,#124771,#124772, #124773,#124774,#124775,#124776,#124777,#124778,#124779,#124780,#124781, #124782,#124783,#124784,#124785,#124786,#124787,#124788,#124789)); #19029=EDGE_LOOP('',(#124790,#124791,#124792,#124793,#124794,#124795,#124796, #124797,#124798,#124799,#124800,#124801,#124802,#124803,#124804,#124805, #124806,#124807,#124808,#124809,#124810,#124811,#124812,#124813,#124814, #124815,#124816,#124817,#124818,#124819,#124820,#124821,#124822,#124823, #124824,#124825,#124826,#124827)); #19030=EDGE_LOOP('',(#124828,#124829,#124830,#124831,#124832,#124833,#124834, #124835,#124836,#124837,#124838,#124839,#124840,#124841,#124842,#124843, #124844,#124845,#124846,#124847,#124848,#124849,#124850,#124851,#124852, #124853,#124854,#124855,#124856,#124857,#124858,#124859,#124860,#124861, #124862,#124863,#124864,#124865,#124866,#124867,#124868,#124869,#124870, #124871,#124872,#124873,#124874,#124875,#124876,#124877,#124878,#124879, #124880,#124881,#124882,#124883,#124884,#124885,#124886,#124887,#124888, #124889,#124890,#124891,#124892,#124893,#124894,#124895,#124896,#124897, #124898,#124899,#124900,#124901,#124902,#124903,#124904,#124905,#124906, #124907,#124908,#124909,#124910,#124911,#124912,#124913,#124914,#124915, #124916,#124917,#124918,#124919,#124920,#124921,#124922,#124923,#124924, #124925,#124926,#124927,#124928,#124929,#124930,#124931,#124932,#124933, #124934,#124935,#124936,#124937,#124938,#124939,#124940,#124941,#124942, #124943,#124944,#124945,#124946,#124947,#124948,#124949)); #19031=EDGE_LOOP('',(#124950,#124951,#124952,#124953,#124954,#124955,#124956, #124957,#124958,#124959,#124960,#124961,#124962,#124963,#124964,#124965, #124966,#124967,#124968,#124969,#124970,#124971,#124972,#124973,#124974, #124975,#124976,#124977,#124978,#124979,#124980,#124981,#124982,#124983, #124984,#124985,#124986,#124987,#124988,#124989,#124990,#124991,#124992, #124993,#124994,#124995,#124996,#124997,#124998,#124999,#125000,#125001, #125002,#125003,#125004,#125005,#125006)); #19032=EDGE_LOOP('',(#125007,#125008,#125009,#125010,#125011,#125012,#125013)); #19033=EDGE_LOOP('',(#125014,#125015,#125016,#125017,#125018,#125019,#125020, #125021,#125022,#125023,#125024,#125025,#125026,#125027,#125028,#125029, #125030,#125031,#125032,#125033,#125034,#125035,#125036,#125037,#125038, #125039,#125040,#125041,#125042,#125043,#125044,#125045,#125046,#125047, #125048,#125049,#125050,#125051,#125052,#125053,#125054,#125055,#125056, #125057,#125058,#125059,#125060,#125061,#125062,#125063,#125064,#125065, #125066,#125067,#125068,#125069,#125070,#125071,#125072,#125073,#125074, #125075,#125076,#125077,#125078,#125079,#125080,#125081,#125082,#125083, #125084,#125085,#125086,#125087,#125088,#125089,#125090,#125091,#125092, #125093,#125094,#125095,#125096,#125097,#125098,#125099,#125100,#125101, #125102,#125103,#125104,#125105,#125106,#125107,#125108,#125109,#125110, #125111,#125112,#125113,#125114,#125115,#125116,#125117,#125118,#125119, #125120,#125121,#125122,#125123,#125124,#125125,#125126,#125127,#125128, #125129,#125130,#125131,#125132)); #19034=EDGE_LOOP('',(#125133,#125134,#125135,#125136,#125137,#125138,#125139, #125140,#125141,#125142,#125143,#125144,#125145,#125146,#125147,#125148, #125149,#125150,#125151,#125152,#125153,#125154,#125155,#125156,#125157, #125158,#125159,#125160,#125161,#125162,#125163,#125164,#125165,#125166, #125167,#125168,#125169,#125170,#125171,#125172,#125173,#125174,#125175, #125176,#125177,#125178,#125179,#125180,#125181,#125182,#125183,#125184, #125185,#125186,#125187,#125188,#125189,#125190,#125191,#125192,#125193, #125194,#125195,#125196,#125197,#125198,#125199,#125200,#125201,#125202, #125203,#125204,#125205,#125206,#125207,#125208,#125209,#125210,#125211, #125212,#125213,#125214,#125215,#125216,#125217,#125218,#125219,#125220, #125221,#125222,#125223,#125224,#125225,#125226,#125227,#125228,#125229, #125230,#125231,#125232,#125233,#125234,#125235,#125236,#125237,#125238, #125239,#125240,#125241,#125242,#125243,#125244,#125245,#125246,#125247, #125248,#125249,#125250,#125251,#125252,#125253,#125254,#125255,#125256, #125257,#125258,#125259,#125260,#125261,#125262,#125263,#125264,#125265, #125266,#125267,#125268,#125269)); #19035=EDGE_LOOP('',(#125270,#125271,#125272,#125273,#125274,#125275,#125276, #125277,#125278,#125279,#125280,#125281,#125282,#125283,#125284,#125285, #125286,#125287,#125288,#125289,#125290,#125291,#125292,#125293,#125294, #125295,#125296,#125297,#125298,#125299,#125300,#125301,#125302,#125303, #125304,#125305,#125306,#125307,#125308,#125309,#125310,#125311,#125312, #125313,#125314,#125315,#125316,#125317,#125318,#125319,#125320,#125321, #125322,#125323,#125324,#125325,#125326,#125327,#125328,#125329)); #19036=EDGE_LOOP('',(#125330,#125331,#125332,#125333,#125334,#125335,#125336, #125337,#125338,#125339,#125340,#125341,#125342,#125343,#125344,#125345, #125346,#125347,#125348,#125349,#125350,#125351,#125352,#125353,#125354, #125355,#125356,#125357,#125358,#125359,#125360,#125361,#125362,#125363, #125364,#125365,#125366,#125367,#125368,#125369,#125370,#125371,#125372, #125373,#125374,#125375,#125376,#125377,#125378,#125379,#125380,#125381, #125382,#125383,#125384,#125385,#125386,#125387,#125388,#125389,#125390, #125391,#125392,#125393,#125394,#125395,#125396,#125397,#125398,#125399, #125400,#125401,#125402,#125403,#125404,#125405,#125406,#125407,#125408, #125409,#125410,#125411,#125412,#125413,#125414,#125415,#125416,#125417, #125418,#125419,#125420,#125421,#125422,#125423,#125424,#125425,#125426, #125427,#125428,#125429,#125430,#125431,#125432,#125433)); #19037=EDGE_LOOP('',(#125434,#125435,#125436,#125437)); #19038=EDGE_LOOP('',(#125438,#125439,#125440,#125441,#125442,#125443,#125444, #125445,#125446,#125447,#125448,#125449,#125450,#125451,#125452,#125453, #125454,#125455,#125456,#125457,#125458,#125459,#125460,#125461,#125462, #125463,#125464,#125465,#125466,#125467,#125468,#125469,#125470,#125471, #125472,#125473,#125474,#125475,#125476,#125477,#125478,#125479,#125480, #125481,#125482,#125483,#125484,#125485,#125486,#125487,#125488,#125489, #125490,#125491,#125492,#125493,#125494,#125495,#125496,#125497,#125498, #125499,#125500,#125501,#125502,#125503,#125504,#125505,#125506,#125507, #125508,#125509,#125510,#125511,#125512,#125513,#125514,#125515,#125516, #125517,#125518,#125519,#125520,#125521,#125522,#125523,#125524,#125525, #125526,#125527,#125528,#125529,#125530,#125531,#125532,#125533,#125534, #125535,#125536,#125537,#125538,#125539,#125540,#125541,#125542,#125543, #125544,#125545,#125546)); #19039=EDGE_LOOP('',(#125547,#125548,#125549,#125550)); #19040=EDGE_LOOP('',(#125551,#125552,#125553,#125554,#125555,#125556,#125557, #125558,#125559,#125560,#125561,#125562,#125563,#125564,#125565,#125566, #125567,#125568,#125569,#125570,#125571,#125572,#125573,#125574,#125575, #125576,#125577,#125578,#125579,#125580,#125581,#125582,#125583,#125584, #125585,#125586,#125587,#125588,#125589,#125590,#125591,#125592,#125593, #125594,#125595,#125596,#125597,#125598,#125599,#125600,#125601,#125602, #125603,#125604,#125605,#125606,#125607,#125608,#125609,#125610,#125611, #125612,#125613,#125614,#125615,#125616,#125617,#125618,#125619,#125620, #125621,#125622,#125623,#125624,#125625,#125626,#125627,#125628,#125629, #125630,#125631,#125632,#125633,#125634,#125635,#125636,#125637,#125638)); #19041=EDGE_LOOP('',(#125639,#125640,#125641,#125642,#125643,#125644,#125645, #125646,#125647,#125648,#125649,#125650,#125651,#125652,#125653,#125654, #125655,#125656,#125657,#125658,#125659,#125660,#125661,#125662,#125663, #125664,#125665,#125666,#125667,#125668,#125669,#125670,#125671,#125672, #125673,#125674,#125675,#125676,#125677,#125678,#125679,#125680,#125681, #125682,#125683,#125684,#125685,#125686,#125687,#125688,#125689,#125690, #125691,#125692,#125693,#125694,#125695,#125696,#125697,#125698,#125699, #125700,#125701,#125702,#125703,#125704,#125705,#125706,#125707,#125708, #125709,#125710,#125711,#125712,#125713,#125714,#125715,#125716,#125717, #125718,#125719,#125720,#125721,#125722,#125723,#125724,#125725,#125726, #125727,#125728,#125729,#125730,#125731,#125732,#125733,#125734,#125735, #125736,#125737,#125738,#125739,#125740,#125741,#125742,#125743,#125744, #125745,#125746,#125747,#125748,#125749)); #19042=EDGE_LOOP('',(#125750,#125751,#125752,#125753,#125754,#125755,#125756, #125757,#125758,#125759,#125760,#125761,#125762,#125763,#125764,#125765, #125766,#125767,#125768,#125769,#125770,#125771,#125772,#125773,#125774, #125775,#125776,#125777,#125778,#125779,#125780,#125781,#125782,#125783, #125784,#125785,#125786,#125787,#125788,#125789,#125790,#125791,#125792, #125793,#125794)); #19043=EDGE_LOOP('',(#125795,#125796,#125797,#125798,#125799,#125800,#125801, #125802,#125803,#125804,#125805,#125806,#125807,#125808,#125809,#125810, #125811,#125812,#125813,#125814,#125815,#125816)); #19044=EDGE_LOOP('',(#125817,#125818,#125819,#125820,#125821,#125822,#125823, #125824,#125825,#125826,#125827,#125828,#125829,#125830,#125831,#125832, #125833,#125834,#125835,#125836,#125837,#125838,#125839,#125840,#125841, #125842,#125843,#125844,#125845,#125846,#125847,#125848,#125849,#125850, #125851,#125852,#125853,#125854,#125855,#125856,#125857,#125858,#125859, #125860,#125861,#125862,#125863,#125864,#125865,#125866,#125867,#125868, #125869,#125870,#125871,#125872,#125873,#125874,#125875,#125876,#125877, #125878,#125879,#125880,#125881,#125882,#125883,#125884,#125885,#125886, #125887,#125888,#125889,#125890,#125891,#125892,#125893,#125894,#125895, #125896,#125897,#125898,#125899,#125900,#125901,#125902,#125903,#125904, #125905,#125906,#125907,#125908,#125909,#125910,#125911,#125912,#125913, #125914,#125915,#125916,#125917,#125918,#125919,#125920,#125921,#125922, #125923,#125924,#125925,#125926,#125927,#125928,#125929)); #19045=EDGE_LOOP('',(#125930,#125931,#125932,#125933,#125934,#125935,#125936, #125937,#125938,#125939,#125940,#125941,#125942,#125943,#125944,#125945, #125946,#125947,#125948,#125949,#125950,#125951,#125952,#125953,#125954, #125955,#125956,#125957,#125958,#125959,#125960,#125961,#125962,#125963, #125964,#125965,#125966,#125967,#125968,#125969,#125970,#125971,#125972, #125973,#125974,#125975,#125976,#125977,#125978,#125979,#125980,#125981, #125982,#125983,#125984,#125985,#125986,#125987,#125988,#125989,#125990, #125991,#125992,#125993,#125994,#125995)); #19046=EDGE_LOOP('',(#125996,#125997,#125998,#125999,#126000,#126001,#126002, #126003,#126004,#126005,#126006,#126007,#126008,#126009,#126010,#126011, #126012,#126013,#126014,#126015,#126016,#126017,#126018,#126019,#126020, #126021,#126022,#126023,#126024,#126025,#126026,#126027,#126028,#126029, #126030,#126031,#126032,#126033,#126034,#126035,#126036,#126037,#126038, #126039,#126040,#126041,#126042,#126043,#126044,#126045,#126046,#126047, #126048,#126049,#126050,#126051,#126052,#126053,#126054,#126055,#126056, #126057,#126058,#126059,#126060,#126061,#126062,#126063,#126064,#126065, #126066,#126067,#126068,#126069,#126070,#126071,#126072,#126073,#126074, #126075,#126076,#126077,#126078,#126079,#126080,#126081,#126082,#126083, #126084,#126085,#126086,#126087,#126088,#126089,#126090,#126091,#126092, #126093,#126094,#126095,#126096,#126097,#126098,#126099,#126100,#126101, #126102,#126103,#126104,#126105,#126106,#126107,#126108,#126109,#126110, #126111,#126112,#126113,#126114,#126115,#126116,#126117,#126118,#126119, #126120,#126121,#126122,#126123,#126124,#126125,#126126,#126127,#126128, #126129,#126130,#126131,#126132,#126133,#126134,#126135,#126136,#126137, #126138,#126139,#126140,#126141,#126142,#126143,#126144,#126145,#126146, #126147,#126148,#126149,#126150,#126151,#126152,#126153,#126154,#126155, #126156,#126157,#126158,#126159,#126160,#126161,#126162,#126163,#126164, #126165,#126166,#126167,#126168,#126169,#126170,#126171,#126172,#126173, #126174)); #19047=EDGE_LOOP('',(#126175,#126176,#126177,#126178,#126179,#126180,#126181, #126182,#126183,#126184)); #19048=EDGE_LOOP('',(#126185,#126186,#126187,#126188,#126189,#126190,#126191, #126192,#126193,#126194,#126195,#126196,#126197,#126198,#126199,#126200, #126201,#126202,#126203,#126204,#126205,#126206,#126207,#126208,#126209, #126210,#126211,#126212,#126213,#126214,#126215,#126216,#126217,#126218, #126219,#126220,#126221,#126222,#126223,#126224,#126225,#126226,#126227, #126228)); #19049=EDGE_LOOP('',(#126229,#126230,#126231,#126232,#126233,#126234,#126235, #126236,#126237,#126238,#126239,#126240,#126241,#126242,#126243,#126244, #126245,#126246,#126247,#126248,#126249,#126250,#126251,#126252,#126253, #126254,#126255,#126256,#126257,#126258,#126259,#126260,#126261,#126262, #126263,#126264,#126265,#126266,#126267,#126268,#126269,#126270,#126271, #126272,#126273,#126274,#126275,#126276,#126277,#126278,#126279,#126280, #126281,#126282,#126283,#126284,#126285,#126286,#126287,#126288,#126289, #126290,#126291,#126292,#126293,#126294,#126295,#126296,#126297,#126298, #126299,#126300,#126301,#126302,#126303,#126304,#126305,#126306,#126307, #126308,#126309,#126310,#126311,#126312,#126313,#126314,#126315,#126316, #126317,#126318,#126319,#126320,#126321,#126322,#126323,#126324,#126325, #126326,#126327,#126328,#126329,#126330,#126331,#126332,#126333,#126334, #126335,#126336,#126337,#126338,#126339,#126340,#126341,#126342,#126343, #126344)); #19050=EDGE_LOOP('',(#126345)); #19051=EDGE_LOOP('',(#126346)); #19052=EDGE_LOOP('',(#126347)); #19053=EDGE_LOOP('',(#126348)); #19054=EDGE_LOOP('',(#126349,#126350,#126351,#126352,#126353,#126354,#126355, #126356,#126357,#126358,#126359)); #19055=EDGE_LOOP('',(#126360,#126361,#126362,#126363,#126364,#126365,#126366)); #19056=EDGE_LOOP('',(#126367,#126368,#126369,#126370,#126371,#126372,#126373, #126374)); #19057=EDGE_LOOP('',(#126375,#126376,#126377,#126378,#126379)); #19058=EDGE_LOOP('',(#126380,#126381,#126382,#126383,#126384,#126385,#126386, #126387,#126388,#126389,#126390,#126391,#126392,#126393,#126394,#126395)); #19059=EDGE_LOOP('',(#126396,#126397,#126398,#126399,#126400,#126401,#126402, #126403,#126404,#126405)); #19060=EDGE_LOOP('',(#126406,#126407,#126408,#126409,#126410,#126411,#126412, #126413)); #19061=EDGE_LOOP('',(#126414,#126415,#126416,#126417,#126418,#126419,#126420)); #19062=EDGE_LOOP('',(#126421,#126422,#126423,#126424,#126425,#126426,#126427, #126428,#126429,#126430,#126431,#126432)); #19063=EDGE_LOOP('',(#126433,#126434,#126435,#126436,#126437)); #19064=EDGE_LOOP('',(#126438,#126439,#126440,#126441,#126442,#126443,#126444, #126445,#126446,#126447,#126448,#126449,#126450,#126451,#126452,#126453, #126454,#126455,#126456,#126457,#126458,#126459,#126460)); #19065=EDGE_LOOP('',(#126461,#126462,#126463,#126464,#126465,#126466,#126467, #126468,#126469,#126470,#126471,#126472,#126473)); #19066=EDGE_LOOP('',(#126474,#126475,#126476,#126477,#126478,#126479,#126480)); #19067=EDGE_LOOP('',(#126481,#126482,#126483,#126484,#126485)); #19068=EDGE_LOOP('',(#126486,#126487,#126488,#126489,#126490,#126491,#126492, #126493,#126494)); #19069=EDGE_LOOP('',(#126495,#126496,#126497,#126498,#126499,#126500,#126501, #126502)); #19070=EDGE_LOOP('',(#126503,#126504,#126505,#126506,#126507,#126508)); #19071=EDGE_LOOP('',(#126509,#126510,#126511,#126512,#126513,#126514,#126515, #126516,#126517,#126518,#126519)); #19072=EDGE_LOOP('',(#126520,#126521,#126522,#126523,#126524,#126525,#126526, #126527,#126528)); #19073=EDGE_LOOP('',(#126529,#126530,#126531,#126532,#126533,#126534,#126535)); #19074=EDGE_LOOP('',(#126536,#126537,#126538,#126539,#126540,#126541,#126542, #126543,#126544,#126545,#126546)); #19075=EDGE_LOOP('',(#126547,#126548,#126549,#126550)); #19076=EDGE_LOOP('',(#126551,#126552,#126553,#126554)); #19077=EDGE_LOOP('',(#126555,#126556,#126557,#126558)); #19078=EDGE_LOOP('',(#126559,#126560,#126561,#126562,#126563,#126564,#126565, #126566,#126567,#126568,#126569,#126570)); #19079=EDGE_LOOP('',(#126571)); #19080=EDGE_LOOP('',(#126572)); #19081=EDGE_LOOP('',(#126573)); #19082=EDGE_LOOP('',(#126574,#126575,#126576,#126577)); #19083=EDGE_LOOP('',(#126578,#126579,#126580,#126581)); #19084=EDGE_LOOP('',(#126582,#126583,#126584,#126585,#126586,#126587)); #19085=EDGE_LOOP('',(#126588,#126589,#126590,#126591)); #19086=EDGE_LOOP('',(#126592,#126593,#126594,#126595,#126596,#126597,#126598, #126599)); #19087=EDGE_LOOP('',(#126600,#126601,#126602,#126603)); #19088=EDGE_LOOP('',(#126604,#126605,#126606,#126607,#126608,#126609)); #19089=EDGE_LOOP('',(#126610,#126611,#126612,#126613)); #19090=EDGE_LOOP('',(#126614,#126615,#126616,#126617)); #19091=EDGE_LOOP('',(#126618,#126619,#126620,#126621,#126622,#126623)); #19092=EDGE_LOOP('',(#126624,#126625,#126626,#126627,#126628,#126629,#126630, #126631)); #19093=EDGE_LOOP('',(#126632,#126633,#126634,#126635,#126636,#126637)); #19094=EDGE_LOOP('',(#126638,#126639,#126640,#126641,#126642,#126643,#126644, #126645,#126646,#126647,#126648)); #19095=EDGE_LOOP('',(#126649,#126650,#126651,#126652,#126653,#126654,#126655, #126656,#126657,#126658,#126659,#126660,#126661,#126662,#126663,#126664, #126665,#126666,#126667,#126668,#126669)); #19096=EDGE_LOOP('',(#126670,#126671,#126672,#126673)); #19097=EDGE_LOOP('',(#126674,#126675,#126676,#126677,#126678,#126679,#126680, #126681,#126682,#126683,#126684,#126685,#126686,#126687,#126688,#126689, #126690,#126691,#126692,#126693)); #19098=EDGE_LOOP('',(#126694)); #19099=EDGE_LOOP('',(#126695,#126696,#126697,#126698)); #19100=EDGE_LOOP('',(#126699,#126700,#126701,#126702)); #19101=EDGE_LOOP('',(#126703,#126704,#126705,#126706)); #19102=EDGE_LOOP('',(#126707,#126708,#126709,#126710)); #19103=EDGE_LOOP('',(#126711,#126712,#126713,#126714,#126715,#126716,#126717, #126718,#126719,#126720)); #19104=EDGE_LOOP('',(#126721,#126722,#126723,#126724)); #19105=EDGE_LOOP('',(#126725,#126726,#126727,#126728,#126729,#126730)); #19106=EDGE_LOOP('',(#126731)); #19107=EDGE_LOOP('',(#126732)); #19108=EDGE_LOOP('',(#126733)); #19109=EDGE_LOOP('',(#126734,#126735,#126736,#126737)); #19110=EDGE_LOOP('',(#126738,#126739,#126740,#126741)); #19111=EDGE_LOOP('',(#126742,#126743,#126744,#126745)); #19112=EDGE_LOOP('',(#126746,#126747,#126748,#126749)); #19113=EDGE_LOOP('',(#126750,#126751,#126752,#126753)); #19114=EDGE_LOOP('',(#126754,#126755,#126756,#126757)); #19115=EDGE_LOOP('',(#126758,#126759,#126760,#126761)); #19116=EDGE_LOOP('',(#126762,#126763,#126764,#126765)); #19117=EDGE_LOOP('',(#126766,#126767,#126768,#126769)); #19118=EDGE_LOOP('',(#126770,#126771,#126772,#126773,#126774,#126775)); #19119=EDGE_LOOP('',(#126776,#126777,#126778,#126779)); #19120=EDGE_LOOP('',(#126780,#126781,#126782,#126783)); #19121=EDGE_LOOP('',(#126784,#126785,#126786,#126787)); #19122=EDGE_LOOP('',(#126788,#126789,#126790,#126791)); #19123=EDGE_LOOP('',(#126792,#126793,#126794,#126795)); #19124=EDGE_LOOP('',(#126796,#126797,#126798,#126799)); #19125=EDGE_LOOP('',(#126800,#126801,#126802,#126803)); #19126=EDGE_LOOP('',(#126804,#126805,#126806,#126807,#126808,#126809,#126810, #126811)); #19127=EDGE_LOOP('',(#126812,#126813,#126814,#126815)); #19128=EDGE_LOOP('',(#126816,#126817,#126818,#126819)); #19129=EDGE_LOOP('',(#126820,#126821,#126822,#126823)); #19130=EDGE_LOOP('',(#126824,#126825,#126826,#126827)); #19131=EDGE_LOOP('',(#126828,#126829,#126830,#126831)); #19132=EDGE_LOOP('',(#126832,#126833,#126834,#126835)); #19133=EDGE_LOOP('',(#126836,#126837,#126838,#126839)); #19134=EDGE_LOOP('',(#126840)); #19135=EDGE_LOOP('',(#126841,#126842,#126843,#126844)); #19136=EDGE_LOOP('',(#126845)); #19137=EDGE_LOOP('',(#126846,#126847,#126848,#126849)); #19138=EDGE_LOOP('',(#126850,#126851,#126852,#126853,#126854,#126855,#126856)); #19139=EDGE_LOOP('',(#126857,#126858,#126859,#126860)); #19140=EDGE_LOOP('',(#126861,#126862,#126863,#126864)); #19141=EDGE_LOOP('',(#126865,#126866,#126867,#126868)); #19142=EDGE_LOOP('',(#126869,#126870,#126871,#126872)); #19143=EDGE_LOOP('',(#126873,#126874,#126875,#126876)); #19144=EDGE_LOOP('',(#126877,#126878,#126879,#126880)); #19145=EDGE_LOOP('',(#126881,#126882,#126883,#126884)); #19146=EDGE_LOOP('',(#126885,#126886,#126887,#126888)); #19147=EDGE_LOOP('',(#126889,#126890,#126891,#126892)); #19148=EDGE_LOOP('',(#126893)); #19149=EDGE_LOOP('',(#126894)); #19150=EDGE_LOOP('',(#126895)); #19151=EDGE_LOOP('',(#126896,#126897,#126898,#126899)); #19152=EDGE_LOOP('',(#126900,#126901,#126902,#126903)); #19153=EDGE_LOOP('',(#126904,#126905,#126906,#126907)); #19154=EDGE_LOOP('',(#126908,#126909,#126910,#126911)); #19155=EDGE_LOOP('',(#126912,#126913,#126914,#126915)); #19156=EDGE_LOOP('',(#126916,#126917,#126918,#126919)); #19157=EDGE_LOOP('',(#126920,#126921,#126922,#126923)); #19158=EDGE_LOOP('',(#126924,#126925,#126926,#126927)); #19159=EDGE_LOOP('',(#126928,#126929,#126930,#126931,#126932,#126933,#126934, #126935)); #19160=EDGE_LOOP('',(#126936,#126937,#126938,#126939,#126940,#126941,#126942, #126943,#126944,#126945,#126946,#126947,#126948,#126949,#126950,#126951, #126952,#126953,#126954,#126955,#126956,#126957,#126958,#126959,#126960, #126961,#126962,#126963,#126964,#126965,#126966,#126967,#126968,#126969, #126970,#126971,#126972,#126973,#126974,#126975,#126976,#126977,#126978, #126979,#126980,#126981,#126982,#126983,#126984,#126985,#126986,#126987, #126988,#126989,#126990,#126991,#126992,#126993,#126994,#126995,#126996, #126997,#126998,#126999,#127000,#127001,#127002,#127003,#127004,#127005, #127006,#127007)); #19161=EDGE_LOOP('',(#127008)); #19162=EDGE_LOOP('',(#127009)); #19163=EDGE_LOOP('',(#127010,#127011,#127012,#127013)); #19164=EDGE_LOOP('',(#127014,#127015,#127016,#127017,#127018,#127019,#127020, #127021)); #19165=EDGE_LOOP('',(#127022,#127023,#127024,#127025)); #19166=EDGE_LOOP('',(#127026,#127027,#127028,#127029)); #19167=EDGE_LOOP('',(#127030,#127031,#127032,#127033)); #19168=EDGE_LOOP('',(#127034,#127035,#127036,#127037)); #19169=EDGE_LOOP('',(#127038,#127039,#127040,#127041)); #19170=EDGE_LOOP('',(#127042,#127043,#127044,#127045)); #19171=EDGE_LOOP('',(#127046,#127047,#127048,#127049)); #19172=EDGE_LOOP('',(#127050,#127051,#127052,#127053)); #19173=EDGE_LOOP('',(#127054,#127055,#127056,#127057)); #19174=EDGE_LOOP('',(#127058,#127059,#127060,#127061)); #19175=EDGE_LOOP('',(#127062,#127063,#127064,#127065)); #19176=EDGE_LOOP('',(#127066,#127067,#127068,#127069)); #19177=EDGE_LOOP('',(#127070,#127071,#127072,#127073)); #19178=EDGE_LOOP('',(#127074,#127075,#127076,#127077)); #19179=EDGE_LOOP('',(#127078,#127079,#127080,#127081)); #19180=EDGE_LOOP('',(#127082,#127083,#127084,#127085)); #19181=EDGE_LOOP('',(#127086,#127087,#127088,#127089)); #19182=EDGE_LOOP('',(#127090,#127091,#127092,#127093)); #19183=EDGE_LOOP('',(#127094,#127095,#127096,#127097)); #19184=EDGE_LOOP('',(#127098,#127099,#127100,#127101)); #19185=EDGE_LOOP('',(#127102,#127103,#127104,#127105)); #19186=EDGE_LOOP('',(#127106,#127107,#127108,#127109)); #19187=EDGE_LOOP('',(#127110,#127111,#127112,#127113)); #19188=EDGE_LOOP('',(#127114,#127115,#127116,#127117)); #19189=EDGE_LOOP('',(#127118,#127119,#127120,#127121)); #19190=EDGE_LOOP('',(#127122,#127123,#127124,#127125)); #19191=EDGE_LOOP('',(#127126,#127127,#127128,#127129)); #19192=EDGE_LOOP('',(#127130,#127131,#127132,#127133)); #19193=EDGE_LOOP('',(#127134,#127135,#127136,#127137)); #19194=EDGE_LOOP('',(#127138,#127139,#127140,#127141)); #19195=EDGE_LOOP('',(#127142,#127143,#127144,#127145)); #19196=EDGE_LOOP('',(#127146,#127147,#127148,#127149)); #19197=EDGE_LOOP('',(#127150,#127151,#127152,#127153)); #19198=EDGE_LOOP('',(#127154,#127155,#127156,#127157)); #19199=EDGE_LOOP('',(#127158,#127159,#127160,#127161)); #19200=EDGE_LOOP('',(#127162,#127163,#127164,#127165)); #19201=EDGE_LOOP('',(#127166,#127167,#127168,#127169)); #19202=EDGE_LOOP('',(#127170,#127171,#127172,#127173)); #19203=EDGE_LOOP('',(#127174,#127175,#127176,#127177)); #19204=EDGE_LOOP('',(#127178,#127179,#127180,#127181)); #19205=EDGE_LOOP('',(#127182,#127183,#127184,#127185)); #19206=EDGE_LOOP('',(#127186,#127187,#127188,#127189)); #19207=EDGE_LOOP('',(#127190,#127191,#127192,#127193)); #19208=EDGE_LOOP('',(#127194,#127195,#127196,#127197)); #19209=EDGE_LOOP('',(#127198,#127199,#127200,#127201,#127202,#127203)); #19210=EDGE_LOOP('',(#127204,#127205,#127206,#127207)); #19211=EDGE_LOOP('',(#127208)); #19212=EDGE_LOOP('',(#127209,#127210,#127211,#127212)); #19213=EDGE_LOOP('',(#127213,#127214,#127215,#127216)); #19214=EDGE_LOOP('',(#127217)); #19215=EDGE_LOOP('',(#127218,#127219,#127220,#127221)); #19216=EDGE_LOOP('',(#127222,#127223,#127224,#127225)); #19217=EDGE_LOOP('',(#127226,#127227,#127228,#127229)); #19218=EDGE_LOOP('',(#127230,#127231,#127232,#127233)); #19219=EDGE_LOOP('',(#127234,#127235,#127236,#127237)); #19220=EDGE_LOOP('',(#127238,#127239,#127240,#127241)); #19221=EDGE_LOOP('',(#127242,#127243,#127244,#127245)); #19222=EDGE_LOOP('',(#127246,#127247,#127248,#127249)); #19223=EDGE_LOOP('',(#127250,#127251,#127252,#127253)); #19224=EDGE_LOOP('',(#127254,#127255,#127256,#127257)); #19225=EDGE_LOOP('',(#127258,#127259,#127260,#127261)); #19226=EDGE_LOOP('',(#127262,#127263,#127264,#127265)); #19227=EDGE_LOOP('',(#127266,#127267,#127268,#127269)); #19228=EDGE_LOOP('',(#127270,#127271,#127272,#127273)); #19229=EDGE_LOOP('',(#127274,#127275,#127276,#127277)); #19230=EDGE_LOOP('',(#127278,#127279,#127280,#127281)); #19231=EDGE_LOOP('',(#127282,#127283,#127284,#127285,#127286)); #19232=EDGE_LOOP('',(#127287,#127288,#127289)); #19233=EDGE_LOOP('',(#127290,#127291,#127292,#127293)); #19234=EDGE_LOOP('',(#127294,#127295,#127296,#127297)); #19235=EDGE_LOOP('',(#127298,#127299,#127300,#127301)); #19236=EDGE_LOOP('',(#127302,#127303,#127304,#127305)); #19237=EDGE_LOOP('',(#127306,#127307,#127308,#127309)); #19238=EDGE_LOOP('',(#127310,#127311,#127312,#127313)); #19239=EDGE_LOOP('',(#127314,#127315,#127316,#127317)); #19240=EDGE_LOOP('',(#127318,#127319,#127320,#127321)); #19241=EDGE_LOOP('',(#127322,#127323,#127324,#127325)); #19242=EDGE_LOOP('',(#127326,#127327,#127328,#127329)); #19243=EDGE_LOOP('',(#127330,#127331,#127332,#127333)); #19244=EDGE_LOOP('',(#127334,#127335,#127336,#127337)); #19245=EDGE_LOOP('',(#127338,#127339,#127340,#127341)); #19246=EDGE_LOOP('',(#127342,#127343,#127344,#127345)); #19247=EDGE_LOOP('',(#127346,#127347,#127348,#127349)); #19248=EDGE_LOOP('',(#127350,#127351,#127352,#127353,#127354,#127355,#127356, #127357,#127358)); #19249=EDGE_LOOP('',(#127359,#127360,#127361,#127362)); #19250=EDGE_LOOP('',(#127363,#127364,#127365,#127366,#127367)); #19251=EDGE_LOOP('',(#127368,#127369,#127370)); #19252=EDGE_LOOP('',(#127371,#127372,#127373,#127374,#127375)); #19253=EDGE_LOOP('',(#127376,#127377,#127378,#127379,#127380,#127381,#127382, #127383)); #19254=EDGE_LOOP('',(#127384,#127385,#127386,#127387,#127388,#127389,#127390, #127391,#127392,#127393,#127394,#127395,#127396,#127397,#127398,#127399)); #19255=EDGE_LOOP('',(#127400,#127401,#127402,#127403)); #19256=EDGE_LOOP('',(#127404,#127405,#127406,#127407)); #19257=EDGE_LOOP('',(#127408,#127409,#127410,#127411)); #19258=EDGE_LOOP('',(#127412,#127413,#127414,#127415)); #19259=EDGE_LOOP('',(#127416,#127417,#127418,#127419,#127420)); #19260=EDGE_LOOP('',(#127421,#127422,#127423,#127424,#127425,#127426)); #19261=EDGE_LOOP('',(#127427,#127428,#127429,#127430,#127431)); #19262=EDGE_LOOP('',(#127432)); #19263=EDGE_LOOP('',(#127433)); #19264=EDGE_LOOP('',(#127434,#127435,#127436,#127437)); #19265=EDGE_LOOP('',(#127438,#127439,#127440,#127441)); #19266=EDGE_LOOP('',(#127442,#127443,#127444,#127445)); #19267=EDGE_LOOP('',(#127446,#127447,#127448,#127449)); #19268=EDGE_LOOP('',(#127450,#127451,#127452,#127453)); #19269=EDGE_LOOP('',(#127454)); #19270=EDGE_LOOP('',(#127455)); #19271=EDGE_LOOP('',(#127456,#127457,#127458,#127459,#127460)); #19272=EDGE_LOOP('',(#127461,#127462,#127463,#127464,#127465)); #19273=EDGE_LOOP('',(#127466,#127467,#127468,#127469,#127470)); #19274=EDGE_LOOP('',(#127471,#127472,#127473,#127474,#127475)); #19275=EDGE_LOOP('',(#127476,#127477,#127478,#127479,#127480,#127481)); #19276=EDGE_LOOP('',(#127482,#127483,#127484,#127485,#127486)); #19277=EDGE_LOOP('',(#127487,#127488,#127489,#127490)); #19278=EDGE_LOOP('',(#127491,#127492,#127493,#127494)); #19279=EDGE_LOOP('',(#127495,#127496,#127497,#127498)); #19280=EDGE_LOOP('',(#127499,#127500,#127501,#127502)); #19281=EDGE_LOOP('',(#127503,#127504,#127505,#127506)); #19282=EDGE_LOOP('',(#127507,#127508,#127509,#127510)); #19283=EDGE_LOOP('',(#127511,#127512,#127513,#127514)); #19284=EDGE_LOOP('',(#127515,#127516,#127517)); #19285=EDGE_LOOP('',(#127518,#127519,#127520,#127521,#127522,#127523,#127524, #127525,#127526,#127527,#127528,#127529,#127530,#127531,#127532,#127533, #127534,#127535,#127536,#127537,#127538,#127539,#127540,#127541,#127542, #127543,#127544,#127545,#127546,#127547,#127548,#127549,#127550,#127551, #127552,#127553)); #19286=EDGE_LOOP('',(#127554,#127555,#127556)); #19287=EDGE_LOOP('',(#127557,#127558,#127559,#127560,#127561,#127562,#127563, #127564,#127565,#127566,#127567,#127568,#127569,#127570,#127571,#127572, #127573,#127574,#127575,#127576,#127577,#127578,#127579,#127580,#127581, #127582,#127583,#127584,#127585,#127586,#127587,#127588,#127589,#127590, #127591,#127592,#127593)); #19288=EDGE_LOOP('',(#127594,#127595,#127596,#127597)); #19289=EDGE_LOOP('',(#127598,#127599,#127600,#127601)); #19290=EDGE_LOOP('',(#127602,#127603,#127604,#127605)); #19291=EDGE_LOOP('',(#127606,#127607,#127608,#127609)); #19292=EDGE_LOOP('',(#127610,#127611,#127612,#127613)); #19293=EDGE_LOOP('',(#127614,#127615,#127616,#127617)); #19294=EDGE_LOOP('',(#127618,#127619,#127620,#127621)); #19295=EDGE_LOOP('',(#127622,#127623,#127624,#127625)); #19296=EDGE_LOOP('',(#127626,#127627,#127628,#127629)); #19297=EDGE_LOOP('',(#127630,#127631,#127632,#127633)); #19298=EDGE_LOOP('',(#127634,#127635,#127636,#127637)); #19299=EDGE_LOOP('',(#127638,#127639,#127640,#127641)); #19300=EDGE_LOOP('',(#127642,#127643,#127644,#127645)); #19301=EDGE_LOOP('',(#127646,#127647,#127648,#127649)); #19302=EDGE_LOOP('',(#127650,#127651,#127652,#127653)); #19303=EDGE_LOOP('',(#127654,#127655,#127656,#127657)); #19304=EDGE_LOOP('',(#127658,#127659,#127660,#127661)); #19305=EDGE_LOOP('',(#127662,#127663,#127664,#127665)); #19306=EDGE_LOOP('',(#127666,#127667,#127668,#127669)); #19307=EDGE_LOOP('',(#127670,#127671,#127672,#127673)); #19308=EDGE_LOOP('',(#127674,#127675,#127676,#127677)); #19309=EDGE_LOOP('',(#127678,#127679,#127680,#127681)); #19310=EDGE_LOOP('',(#127682,#127683,#127684,#127685)); #19311=EDGE_LOOP('',(#127686,#127687,#127688,#127689)); #19312=EDGE_LOOP('',(#127690,#127691,#127692,#127693)); #19313=EDGE_LOOP('',(#127694,#127695,#127696,#127697)); #19314=EDGE_LOOP('',(#127698,#127699,#127700,#127701)); #19315=EDGE_LOOP('',(#127702,#127703,#127704,#127705)); #19316=EDGE_LOOP('',(#127706,#127707,#127708,#127709)); #19317=EDGE_LOOP('',(#127710,#127711,#127712,#127713)); #19318=EDGE_LOOP('',(#127714,#127715,#127716,#127717,#127718,#127719,#127720, #127721,#127722,#127723,#127724,#127725,#127726,#127727,#127728,#127729)); #19319=EDGE_LOOP('',(#127730,#127731,#127732,#127733,#127734,#127735,#127736, #127737,#127738,#127739,#127740,#127741,#127742,#127743)); #19320=EDGE_LOOP('',(#127744,#127745,#127746,#127747)); #19321=EDGE_LOOP('',(#127748,#127749,#127750,#127751)); #19322=EDGE_LOOP('',(#127752,#127753,#127754,#127755)); #19323=EDGE_LOOP('',(#127756,#127757,#127758,#127759)); #19324=EDGE_LOOP('',(#127760,#127761,#127762,#127763)); #19325=EDGE_LOOP('',(#127764,#127765,#127766,#127767)); #19326=EDGE_LOOP('',(#127768,#127769,#127770,#127771)); #19327=EDGE_LOOP('',(#127772,#127773,#127774,#127775)); #19328=EDGE_LOOP('',(#127776,#127777,#127778,#127779)); #19329=EDGE_LOOP('',(#127780,#127781,#127782,#127783)); #19330=EDGE_LOOP('',(#127784,#127785,#127786,#127787)); #19331=EDGE_LOOP('',(#127788,#127789,#127790,#127791)); #19332=EDGE_LOOP('',(#127792,#127793,#127794,#127795)); #19333=EDGE_LOOP('',(#127796,#127797,#127798,#127799)); #19334=EDGE_LOOP('',(#127800,#127801,#127802,#127803)); #19335=EDGE_LOOP('',(#127804,#127805,#127806,#127807)); #19336=EDGE_LOOP('',(#127808,#127809,#127810,#127811)); #19337=EDGE_LOOP('',(#127812,#127813,#127814,#127815)); #19338=EDGE_LOOP('',(#127816,#127817,#127818,#127819)); #19339=EDGE_LOOP('',(#127820,#127821,#127822,#127823)); #19340=EDGE_LOOP('',(#127824,#127825,#127826,#127827)); #19341=EDGE_LOOP('',(#127828,#127829,#127830,#127831)); #19342=EDGE_LOOP('',(#127832,#127833,#127834,#127835)); #19343=EDGE_LOOP('',(#127836,#127837,#127838,#127839)); #19344=EDGE_LOOP('',(#127840,#127841,#127842,#127843)); #19345=EDGE_LOOP('',(#127844,#127845,#127846,#127847)); #19346=EDGE_LOOP('',(#127848,#127849,#127850,#127851)); #19347=EDGE_LOOP('',(#127852,#127853,#127854,#127855)); #19348=EDGE_LOOP('',(#127856,#127857,#127858,#127859)); #19349=EDGE_LOOP('',(#127860,#127861,#127862,#127863)); #19350=EDGE_LOOP('',(#127864,#127865,#127866,#127867)); #19351=EDGE_LOOP('',(#127868,#127869,#127870,#127871)); #19352=EDGE_LOOP('',(#127872,#127873,#127874,#127875)); #19353=EDGE_LOOP('',(#127876,#127877,#127878,#127879)); #19354=EDGE_LOOP('',(#127880,#127881,#127882,#127883)); #19355=EDGE_LOOP('',(#127884,#127885,#127886,#127887)); #19356=EDGE_LOOP('',(#127888,#127889,#127890,#127891)); #19357=EDGE_LOOP('',(#127892,#127893,#127894,#127895)); #19358=EDGE_LOOP('',(#127896,#127897,#127898,#127899)); #19359=EDGE_LOOP('',(#127900,#127901,#127902,#127903)); #19360=EDGE_LOOP('',(#127904,#127905,#127906,#127907)); #19361=EDGE_LOOP('',(#127908,#127909,#127910,#127911)); #19362=EDGE_LOOP('',(#127912,#127913,#127914,#127915,#127916,#127917,#127918, #127919,#127920,#127921,#127922,#127923,#127924,#127925,#127926,#127927, #127928,#127929,#127930,#127931,#127932,#127933,#127934,#127935,#127936, #127937,#127938,#127939,#127940,#127941,#127942,#127943,#127944)); #19363=EDGE_LOOP('',(#127945,#127946,#127947,#127948)); #19364=EDGE_LOOP('',(#127949,#127950,#127951,#127952)); #19365=EDGE_LOOP('',(#127953,#127954,#127955,#127956)); #19366=EDGE_LOOP('',(#127957,#127958,#127959,#127960)); #19367=EDGE_LOOP('',(#127961,#127962,#127963,#127964)); #19368=EDGE_LOOP('',(#127965,#127966,#127967,#127968)); #19369=EDGE_LOOP('',(#127969,#127970,#127971,#127972)); #19370=EDGE_LOOP('',(#127973,#127974,#127975,#127976)); #19371=EDGE_LOOP('',(#127977,#127978,#127979,#127980)); #19372=EDGE_LOOP('',(#127981,#127982,#127983,#127984)); #19373=EDGE_LOOP('',(#127985,#127986,#127987,#127988)); #19374=EDGE_LOOP('',(#127989,#127990,#127991,#127992)); #19375=EDGE_LOOP('',(#127993,#127994,#127995,#127996)); #19376=EDGE_LOOP('',(#127997,#127998,#127999,#128000)); #19377=EDGE_LOOP('',(#128001,#128002,#128003,#128004)); #19378=EDGE_LOOP('',(#128005,#128006,#128007,#128008)); #19379=EDGE_LOOP('',(#128009,#128010,#128011,#128012)); #19380=EDGE_LOOP('',(#128013,#128014,#128015,#128016)); #19381=EDGE_LOOP('',(#128017,#128018,#128019,#128020)); #19382=EDGE_LOOP('',(#128021,#128022,#128023,#128024)); #19383=EDGE_LOOP('',(#128025,#128026,#128027,#128028)); #19384=EDGE_LOOP('',(#128029,#128030,#128031,#128032,#128033,#128034,#128035, #128036,#128037,#128038,#128039,#128040,#128041,#128042,#128043,#128044, #128045,#128046,#128047,#128048)); #19385=EDGE_LOOP('',(#128049,#128050,#128051,#128052)); #19386=EDGE_LOOP('',(#128053,#128054,#128055,#128056)); #19387=EDGE_LOOP('',(#128057,#128058,#128059,#128060)); #19388=EDGE_LOOP('',(#128061,#128062,#128063,#128064)); #19389=EDGE_LOOP('',(#128065,#128066,#128067,#128068)); #19390=EDGE_LOOP('',(#128069,#128070,#128071,#128072)); #19391=EDGE_LOOP('',(#128073,#128074,#128075,#128076)); #19392=EDGE_LOOP('',(#128077,#128078,#128079,#128080)); #19393=EDGE_LOOP('',(#128081,#128082,#128083,#128084)); #19394=EDGE_LOOP('',(#128085,#128086,#128087,#128088)); #19395=EDGE_LOOP('',(#128089,#128090,#128091,#128092)); #19396=EDGE_LOOP('',(#128093,#128094,#128095,#128096)); #19397=EDGE_LOOP('',(#128097,#128098,#128099,#128100)); #19398=EDGE_LOOP('',(#128101,#128102,#128103,#128104)); #19399=EDGE_LOOP('',(#128105,#128106,#128107,#128108)); #19400=EDGE_LOOP('',(#128109,#128110,#128111,#128112)); #19401=EDGE_LOOP('',(#128113,#128114,#128115,#128116)); #19402=EDGE_LOOP('',(#128117,#128118,#128119,#128120)); #19403=EDGE_LOOP('',(#128121,#128122,#128123,#128124)); #19404=EDGE_LOOP('',(#128125,#128126,#128127,#128128)); #19405=EDGE_LOOP('',(#128129,#128130,#128131,#128132)); #19406=EDGE_LOOP('',(#128133,#128134,#128135,#128136)); #19407=EDGE_LOOP('',(#128137,#128138,#128139,#128140)); #19408=EDGE_LOOP('',(#128141,#128142,#128143,#128144)); #19409=EDGE_LOOP('',(#128145,#128146,#128147,#128148)); #19410=EDGE_LOOP('',(#128149,#128150,#128151,#128152)); #19411=EDGE_LOOP('',(#128153,#128154,#128155,#128156)); #19412=EDGE_LOOP('',(#128157,#128158,#128159,#128160)); #19413=EDGE_LOOP('',(#128161,#128162,#128163,#128164)); #19414=EDGE_LOOP('',(#128165,#128166,#128167,#128168)); #19415=EDGE_LOOP('',(#128169,#128170,#128171,#128172)); #19416=EDGE_LOOP('',(#128173,#128174,#128175,#128176)); #19417=EDGE_LOOP('',(#128177,#128178,#128179,#128180,#128181,#128182,#128183, #128184,#128185,#128186,#128187,#128188,#128189,#128190,#128191,#128192, #128193,#128194,#128195,#128196,#128197)); #19418=EDGE_LOOP('',(#128198,#128199,#128200,#128201,#128202,#128203,#128204, #128205,#128206,#128207,#128208)); #19419=EDGE_LOOP('',(#128209,#128210,#128211,#128212)); #19420=EDGE_LOOP('',(#128213,#128214,#128215,#128216)); #19421=EDGE_LOOP('',(#128217,#128218,#128219,#128220)); #19422=EDGE_LOOP('',(#128221,#128222,#128223,#128224)); #19423=EDGE_LOOP('',(#128225,#128226,#128227,#128228)); #19424=EDGE_LOOP('',(#128229,#128230,#128231,#128232)); #19425=EDGE_LOOP('',(#128233,#128234,#128235,#128236)); #19426=EDGE_LOOP('',(#128237,#128238,#128239,#128240)); #19427=EDGE_LOOP('',(#128241,#128242,#128243,#128244)); #19428=EDGE_LOOP('',(#128245,#128246,#128247,#128248)); #19429=EDGE_LOOP('',(#128249,#128250,#128251,#128252)); #19430=EDGE_LOOP('',(#128253,#128254,#128255,#128256)); #19431=EDGE_LOOP('',(#128257,#128258,#128259,#128260)); #19432=EDGE_LOOP('',(#128261,#128262,#128263,#128264)); #19433=EDGE_LOOP('',(#128265,#128266,#128267,#128268)); #19434=EDGE_LOOP('',(#128269,#128270,#128271,#128272)); #19435=EDGE_LOOP('',(#128273,#128274,#128275,#128276)); #19436=EDGE_LOOP('',(#128277,#128278,#128279,#128280)); #19437=EDGE_LOOP('',(#128281,#128282,#128283,#128284)); #19438=EDGE_LOOP('',(#128285,#128286,#128287,#128288)); #19439=EDGE_LOOP('',(#128289,#128290,#128291,#128292)); #19440=EDGE_LOOP('',(#128293,#128294,#128295,#128296)); #19441=EDGE_LOOP('',(#128297,#128298,#128299,#128300)); #19442=EDGE_LOOP('',(#128301,#128302,#128303,#128304)); #19443=EDGE_LOOP('',(#128305,#128306,#128307,#128308)); #19444=EDGE_LOOP('',(#128309,#128310,#128311,#128312)); #19445=EDGE_LOOP('',(#128313,#128314,#128315,#128316)); #19446=EDGE_LOOP('',(#128317,#128318,#128319,#128320)); #19447=EDGE_LOOP('',(#128321,#128322,#128323,#128324)); #19448=EDGE_LOOP('',(#128325,#128326,#128327,#128328)); #19449=EDGE_LOOP('',(#128329,#128330,#128331,#128332)); #19450=EDGE_LOOP('',(#128333,#128334,#128335,#128336)); #19451=EDGE_LOOP('',(#128337,#128338,#128339,#128340)); #19452=EDGE_LOOP('',(#128341,#128342,#128343,#128344)); #19453=EDGE_LOOP('',(#128345,#128346,#128347,#128348)); #19454=EDGE_LOOP('',(#128349,#128350,#128351,#128352,#128353,#128354,#128355, #128356,#128357,#128358,#128359,#128360,#128361,#128362,#128363,#128364, #128365,#128366,#128367,#128368,#128369,#128370,#128371,#128372,#128373, #128374,#128375,#128376,#128377,#128378,#128379,#128380,#128381,#128382, #128383)); #19455=EDGE_LOOP('',(#128384,#128385,#128386,#128387)); #19456=EDGE_LOOP('',(#128388,#128389,#128390,#128391)); #19457=EDGE_LOOP('',(#128392,#128393,#128394,#128395)); #19458=EDGE_LOOP('',(#128396,#128397,#128398,#128399)); #19459=EDGE_LOOP('',(#128400,#128401,#128402,#128403)); #19460=EDGE_LOOP('',(#128404,#128405,#128406,#128407)); #19461=EDGE_LOOP('',(#128408,#128409,#128410,#128411)); #19462=EDGE_LOOP('',(#128412,#128413,#128414,#128415)); #19463=EDGE_LOOP('',(#128416,#128417,#128418,#128419)); #19464=EDGE_LOOP('',(#128420,#128421,#128422,#128423)); #19465=EDGE_LOOP('',(#128424,#128425,#128426,#128427)); #19466=EDGE_LOOP('',(#128428,#128429,#128430,#128431,#128432,#128433)); #19467=EDGE_LOOP('',(#128434,#128435,#128436,#128437)); #19468=EDGE_LOOP('',(#128438,#128439,#128440,#128441)); #19469=EDGE_LOOP('',(#128442,#128443,#128444,#128445)); #19470=EDGE_LOOP('',(#128446,#128447,#128448,#128449)); #19471=EDGE_LOOP('',(#128450,#128451,#128452,#128453)); #19472=EDGE_LOOP('',(#128454,#128455,#128456,#128457)); #19473=EDGE_LOOP('',(#128458,#128459,#128460,#128461)); #19474=EDGE_LOOP('',(#128462,#128463,#128464,#128465)); #19475=EDGE_LOOP('',(#128466,#128467,#128468,#128469)); #19476=EDGE_LOOP('',(#128470,#128471,#128472,#128473)); #19477=EDGE_LOOP('',(#128474,#128475,#128476,#128477)); #19478=EDGE_LOOP('',(#128478,#128479,#128480,#128481)); #19479=EDGE_LOOP('',(#128482,#128483,#128484,#128485)); #19480=EDGE_LOOP('',(#128486,#128487,#128488,#128489)); #19481=EDGE_LOOP('',(#128490,#128491,#128492,#128493)); #19482=EDGE_LOOP('',(#128494,#128495,#128496,#128497)); #19483=EDGE_LOOP('',(#128498,#128499,#128500,#128501)); #19484=EDGE_LOOP('',(#128502,#128503,#128504,#128505)); #19485=EDGE_LOOP('',(#128506,#128507,#128508,#128509)); #19486=EDGE_LOOP('',(#128510,#128511,#128512,#128513)); #19487=EDGE_LOOP('',(#128514,#128515,#128516,#128517)); #19488=EDGE_LOOP('',(#128518,#128519,#128520,#128521)); #19489=EDGE_LOOP('',(#128522,#128523,#128524,#128525)); #19490=EDGE_LOOP('',(#128526,#128527,#128528,#128529)); #19491=EDGE_LOOP('',(#128530,#128531,#128532,#128533,#128534,#128535,#128536, #128537,#128538,#128539,#128540,#128541,#128542,#128543)); #19492=EDGE_LOOP('',(#128544,#128545,#128546,#128547)); #19493=EDGE_LOOP('',(#128548,#128549,#128550,#128551)); #19494=EDGE_LOOP('',(#128552,#128553,#128554,#128555)); #19495=EDGE_LOOP('',(#128556,#128557,#128558,#128559)); #19496=EDGE_LOOP('',(#128560,#128561,#128562,#128563)); #19497=EDGE_LOOP('',(#128564,#128565,#128566,#128567)); #19498=EDGE_LOOP('',(#128568,#128569,#128570,#128571)); #19499=EDGE_LOOP('',(#128572,#128573,#128574,#128575)); #19500=EDGE_LOOP('',(#128576,#128577,#128578,#128579)); #19501=EDGE_LOOP('',(#128580,#128581,#128582,#128583)); #19502=EDGE_LOOP('',(#128584,#128585,#128586,#128587)); #19503=EDGE_LOOP('',(#128588,#128589,#128590,#128591)); #19504=EDGE_LOOP('',(#128592,#128593,#128594,#128595)); #19505=EDGE_LOOP('',(#128596,#128597,#128598,#128599)); #19506=EDGE_LOOP('',(#128600,#128601,#128602,#128603)); #19507=EDGE_LOOP('',(#128604,#128605,#128606,#128607)); #19508=EDGE_LOOP('',(#128608,#128609,#128610,#128611)); #19509=EDGE_LOOP('',(#128612,#128613,#128614,#128615)); #19510=EDGE_LOOP('',(#128616,#128617,#128618,#128619)); #19511=EDGE_LOOP('',(#128620,#128621,#128622,#128623)); #19512=EDGE_LOOP('',(#128624,#128625,#128626,#128627)); #19513=EDGE_LOOP('',(#128628,#128629,#128630,#128631)); #19514=EDGE_LOOP('',(#128632,#128633,#128634,#128635,#128636,#128637,#128638, #128639,#128640,#128641,#128642,#128643)); #19515=EDGE_LOOP('',(#128644,#128645,#128646,#128647)); #19516=EDGE_LOOP('',(#128648,#128649,#128650,#128651)); #19517=EDGE_LOOP('',(#128652,#128653,#128654,#128655)); #19518=EDGE_LOOP('',(#128656,#128657,#128658,#128659)); #19519=EDGE_LOOP('',(#128660,#128661,#128662,#128663)); #19520=EDGE_LOOP('',(#128664,#128665,#128666,#128667)); #19521=EDGE_LOOP('',(#128668,#128669,#128670,#128671)); #19522=EDGE_LOOP('',(#128672,#128673,#128674,#128675)); #19523=EDGE_LOOP('',(#128676,#128677,#128678,#128679)); #19524=EDGE_LOOP('',(#128680,#128681,#128682,#128683)); #19525=EDGE_LOOP('',(#128684,#128685,#128686,#128687)); #19526=EDGE_LOOP('',(#128688,#128689,#128690,#128691)); #19527=EDGE_LOOP('',(#128692,#128693,#128694,#128695)); #19528=EDGE_LOOP('',(#128696,#128697,#128698,#128699,#128700,#128701,#128702, #128703)); #19529=EDGE_LOOP('',(#128704,#128705,#128706,#128707)); #19530=EDGE_LOOP('',(#128708,#128709,#128710,#128711)); #19531=EDGE_LOOP('',(#128712,#128713,#128714,#128715)); #19532=EDGE_LOOP('',(#128716,#128717,#128718,#128719)); #19533=EDGE_LOOP('',(#128720,#128721,#128722,#128723)); #19534=EDGE_LOOP('',(#128724,#128725,#128726,#128727)); #19535=EDGE_LOOP('',(#128728,#128729,#128730,#128731)); #19536=EDGE_LOOP('',(#128732,#128733,#128734,#128735)); #19537=EDGE_LOOP('',(#128736,#128737,#128738,#128739)); #19538=EDGE_LOOP('',(#128740,#128741,#128742,#128743)); #19539=EDGE_LOOP('',(#128744,#128745,#128746,#128747)); #19540=EDGE_LOOP('',(#128748,#128749,#128750,#128751)); #19541=EDGE_LOOP('',(#128752,#128753,#128754,#128755)); #19542=EDGE_LOOP('',(#128756,#128757,#128758,#128759)); #19543=EDGE_LOOP('',(#128760,#128761,#128762,#128763)); #19544=EDGE_LOOP('',(#128764,#128765,#128766,#128767)); #19545=EDGE_LOOP('',(#128768,#128769,#128770,#128771)); #19546=EDGE_LOOP('',(#128772,#128773,#128774,#128775)); #19547=EDGE_LOOP('',(#128776,#128777,#128778,#128779)); #19548=EDGE_LOOP('',(#128780,#128781,#128782,#128783)); #19549=EDGE_LOOP('',(#128784,#128785,#128786,#128787)); #19550=EDGE_LOOP('',(#128788,#128789,#128790,#128791)); #19551=EDGE_LOOP('',(#128792,#128793,#128794,#128795,#128796,#128797,#128798, #128799,#128800,#128801,#128802,#128803)); #19552=EDGE_LOOP('',(#128804,#128805,#128806,#128807)); #19553=EDGE_LOOP('',(#128808,#128809,#128810,#128811)); #19554=EDGE_LOOP('',(#128812,#128813,#128814,#128815)); #19555=EDGE_LOOP('',(#128816,#128817,#128818,#128819)); #19556=EDGE_LOOP('',(#128820,#128821,#128822,#128823)); #19557=EDGE_LOOP('',(#128824,#128825,#128826,#128827)); #19558=EDGE_LOOP('',(#128828,#128829,#128830,#128831)); #19559=EDGE_LOOP('',(#128832,#128833,#128834,#128835)); #19560=EDGE_LOOP('',(#128836,#128837,#128838,#128839)); #19561=EDGE_LOOP('',(#128840,#128841,#128842,#128843)); #19562=EDGE_LOOP('',(#128844,#128845,#128846,#128847)); #19563=EDGE_LOOP('',(#128848,#128849,#128850,#128851)); #19564=EDGE_LOOP('',(#128852,#128853,#128854,#128855)); #19565=EDGE_LOOP('',(#128856,#128857,#128858,#128859)); #19566=EDGE_LOOP('',(#128860,#128861,#128862,#128863)); #19567=EDGE_LOOP('',(#128864,#128865,#128866,#128867)); #19568=EDGE_LOOP('',(#128868,#128869,#128870,#128871)); #19569=EDGE_LOOP('',(#128872,#128873,#128874,#128875,#128876,#128877,#128878, #128879,#128880,#128881,#128882,#128883)); #19570=EDGE_LOOP('',(#128884,#128885,#128886,#128887)); #19571=EDGE_LOOP('',(#128888,#128889,#128890,#128891)); #19572=EDGE_LOOP('',(#128892,#128893,#128894,#128895)); #19573=EDGE_LOOP('',(#128896,#128897,#128898,#128899)); #19574=EDGE_LOOP('',(#128900,#128901,#128902,#128903)); #19575=EDGE_LOOP('',(#128904,#128905,#128906,#128907)); #19576=EDGE_LOOP('',(#128908,#128909,#128910,#128911)); #19577=EDGE_LOOP('',(#128912,#128913,#128914,#128915)); #19578=EDGE_LOOP('',(#128916,#128917,#128918,#128919)); #19579=EDGE_LOOP('',(#128920,#128921,#128922,#128923)); #19580=EDGE_LOOP('',(#128924,#128925,#128926,#128927)); #19581=EDGE_LOOP('',(#128928,#128929,#128930,#128931)); #19582=EDGE_LOOP('',(#128932,#128933,#128934,#128935)); #19583=EDGE_LOOP('',(#128936,#128937,#128938,#128939)); #19584=EDGE_LOOP('',(#128940,#128941,#128942,#128943)); #19585=EDGE_LOOP('',(#128944,#128945,#128946,#128947)); #19586=EDGE_LOOP('',(#128948,#128949,#128950,#128951)); #19587=EDGE_LOOP('',(#128952,#128953,#128954,#128955)); #19588=EDGE_LOOP('',(#128956,#128957,#128958,#128959)); #19589=EDGE_LOOP('',(#128960,#128961,#128962,#128963)); #19590=EDGE_LOOP('',(#128964,#128965,#128966,#128967)); #19591=EDGE_LOOP('',(#128968,#128969,#128970,#128971)); #19592=EDGE_LOOP('',(#128972,#128973,#128974,#128975)); #19593=EDGE_LOOP('',(#128976,#128977,#128978,#128979)); #19594=EDGE_LOOP('',(#128980,#128981,#128982,#128983)); #19595=EDGE_LOOP('',(#128984,#128985,#128986,#128987)); #19596=EDGE_LOOP('',(#128988,#128989,#128990,#128991)); #19597=EDGE_LOOP('',(#128992,#128993,#128994,#128995)); #19598=EDGE_LOOP('',(#128996,#128997,#128998,#128999)); #19599=EDGE_LOOP('',(#129000,#129001,#129002,#129003)); #19600=EDGE_LOOP('',(#129004,#129005,#129006,#129007)); #19601=EDGE_LOOP('',(#129008,#129009,#129010,#129011)); #19602=EDGE_LOOP('',(#129012,#129013,#129014,#129015)); #19603=EDGE_LOOP('',(#129016,#129017,#129018,#129019)); #19604=EDGE_LOOP('',(#129020,#129021,#129022,#129023)); #19605=EDGE_LOOP('',(#129024,#129025,#129026,#129027,#129028,#129029,#129030, #129031,#129032,#129033,#129034,#129035,#129036,#129037,#129038,#129039, #129040,#129041,#129042,#129043,#129044,#129045,#129046,#129047,#129048, #129049,#129050,#129051,#129052,#129053)); #19606=EDGE_LOOP('',(#129054,#129055,#129056,#129057)); #19607=EDGE_LOOP('',(#129058,#129059,#129060,#129061)); #19608=EDGE_LOOP('',(#129062,#129063,#129064,#129065)); #19609=EDGE_LOOP('',(#129066,#129067,#129068,#129069)); #19610=EDGE_LOOP('',(#129070,#129071,#129072,#129073)); #19611=EDGE_LOOP('',(#129074,#129075,#129076,#129077)); #19612=EDGE_LOOP('',(#129078,#129079,#129080,#129081)); #19613=EDGE_LOOP('',(#129082,#129083,#129084,#129085)); #19614=EDGE_LOOP('',(#129086,#129087,#129088,#129089)); #19615=EDGE_LOOP('',(#129090,#129091,#129092,#129093)); #19616=EDGE_LOOP('',(#129094,#129095,#129096,#129097)); #19617=EDGE_LOOP('',(#129098,#129099,#129100,#129101)); #19618=EDGE_LOOP('',(#129102,#129103,#129104,#129105)); #19619=EDGE_LOOP('',(#129106,#129107,#129108,#129109)); #19620=EDGE_LOOP('',(#129110,#129111,#129112,#129113)); #19621=EDGE_LOOP('',(#129114,#129115,#129116,#129117)); #19622=EDGE_LOOP('',(#129118,#129119,#129120,#129121)); #19623=EDGE_LOOP('',(#129122,#129123,#129124,#129125)); #19624=EDGE_LOOP('',(#129126,#129127,#129128,#129129)); #19625=EDGE_LOOP('',(#129130,#129131,#129132,#129133)); #19626=EDGE_LOOP('',(#129134,#129135,#129136,#129137)); #19627=EDGE_LOOP('',(#129138,#129139,#129140,#129141)); #19628=EDGE_LOOP('',(#129142,#129143,#129144,#129145)); #19629=EDGE_LOOP('',(#129146,#129147,#129148,#129149)); #19630=EDGE_LOOP('',(#129150,#129151,#129152,#129153,#129154,#129155,#129156, #129157,#129158,#129159,#129160,#129161,#129162,#129163)); #19631=EDGE_LOOP('',(#129164,#129165,#129166,#129167)); #19632=EDGE_LOOP('',(#129168,#129169,#129170,#129171)); #19633=EDGE_LOOP('',(#129172,#129173,#129174,#129175)); #19634=EDGE_LOOP('',(#129176,#129177,#129178,#129179)); #19635=EDGE_LOOP('',(#129180,#129181,#129182,#129183)); #19636=EDGE_LOOP('',(#129184,#129185,#129186,#129187)); #19637=EDGE_LOOP('',(#129188,#129189,#129190,#129191,#129192,#129193)); #19638=EDGE_LOOP('',(#129194,#129195,#129196,#129197)); #19639=EDGE_LOOP('',(#129198,#129199,#129200,#129201)); #19640=EDGE_LOOP('',(#129202,#129203,#129204,#129205)); #19641=EDGE_LOOP('',(#129206,#129207,#129208,#129209)); #19642=EDGE_LOOP('',(#129210,#129211,#129212,#129213)); #19643=EDGE_LOOP('',(#129214,#129215,#129216,#129217)); #19644=EDGE_LOOP('',(#129218,#129219,#129220,#129221)); #19645=EDGE_LOOP('',(#129222,#129223,#129224,#129225)); #19646=EDGE_LOOP('',(#129226,#129227,#129228,#129229)); #19647=EDGE_LOOP('',(#129230,#129231,#129232,#129233)); #19648=EDGE_LOOP('',(#129234,#129235,#129236,#129237)); #19649=EDGE_LOOP('',(#129238,#129239,#129240,#129241)); #19650=EDGE_LOOP('',(#129242,#129243,#129244,#129245)); #19651=EDGE_LOOP('',(#129246,#129247,#129248,#129249)); #19652=EDGE_LOOP('',(#129250,#129251,#129252,#129253)); #19653=EDGE_LOOP('',(#129254,#129255,#129256,#129257)); #19654=EDGE_LOOP('',(#129258,#129259,#129260,#129261)); #19655=EDGE_LOOP('',(#129262,#129263,#129264,#129265)); #19656=EDGE_LOOP('',(#129266,#129267,#129268,#129269)); #19657=EDGE_LOOP('',(#129270,#129271,#129272,#129273)); #19658=EDGE_LOOP('',(#129274,#129275,#129276,#129277,#129278,#129279,#129280, #129281,#129282,#129283,#129284,#129285,#129286,#129287,#129288,#129289, #129290,#129291,#129292,#129293)); #19659=EDGE_LOOP('',(#129294,#129295,#129296,#129297)); #19660=EDGE_LOOP('',(#129298,#129299,#129300,#129301)); #19661=EDGE_LOOP('',(#129302,#129303,#129304,#129305)); #19662=EDGE_LOOP('',(#129306,#129307,#129308,#129309)); #19663=EDGE_LOOP('',(#129310,#129311,#129312,#129313)); #19664=EDGE_LOOP('',(#129314,#129315,#129316,#129317)); #19665=EDGE_LOOP('',(#129318,#129319,#129320,#129321,#129322,#129323)); #19666=EDGE_LOOP('',(#129324,#129325,#129326,#129327)); #19667=EDGE_LOOP('',(#129328,#129329,#129330,#129331)); #19668=EDGE_LOOP('',(#129332,#129333,#129334,#129335)); #19669=EDGE_LOOP('',(#129336,#129337,#129338,#129339)); #19670=EDGE_LOOP('',(#129340,#129341,#129342,#129343)); #19671=EDGE_LOOP('',(#129344,#129345,#129346,#129347)); #19672=EDGE_LOOP('',(#129348,#129349,#129350,#129351)); #19673=EDGE_LOOP('',(#129352,#129353,#129354,#129355)); #19674=EDGE_LOOP('',(#129356,#129357,#129358,#129359)); #19675=EDGE_LOOP('',(#129360,#129361,#129362,#129363)); #19676=EDGE_LOOP('',(#129364,#129365,#129366,#129367)); #19677=EDGE_LOOP('',(#129368,#129369,#129370,#129371)); #19678=EDGE_LOOP('',(#129372,#129373,#129374,#129375)); #19679=EDGE_LOOP('',(#129376,#129377,#129378,#129379)); #19680=EDGE_LOOP('',(#129380,#129381,#129382,#129383)); #19681=EDGE_LOOP('',(#129384,#129385,#129386,#129387)); #19682=EDGE_LOOP('',(#129388,#129389,#129390,#129391)); #19683=EDGE_LOOP('',(#129392,#129393,#129394,#129395)); #19684=EDGE_LOOP('',(#129396,#129397,#129398,#129399)); #19685=EDGE_LOOP('',(#129400,#129401,#129402,#129403)); #19686=EDGE_LOOP('',(#129404,#129405,#129406,#129407)); #19687=EDGE_LOOP('',(#129408,#129409,#129410,#129411)); #19688=EDGE_LOOP('',(#129412,#129413,#129414,#129415)); #19689=EDGE_LOOP('',(#129416,#129417,#129418,#129419)); #19690=EDGE_LOOP('',(#129420,#129421,#129422,#129423)); #19691=EDGE_LOOP('',(#129424,#129425,#129426,#129427)); #19692=EDGE_LOOP('',(#129428,#129429,#129430,#129431)); #19693=EDGE_LOOP('',(#129432,#129433,#129434,#129435)); #19694=EDGE_LOOP('',(#129436,#129437,#129438,#129439)); #19695=EDGE_LOOP('',(#129440,#129441,#129442,#129443)); #19696=EDGE_LOOP('',(#129444,#129445,#129446,#129447)); #19697=EDGE_LOOP('',(#129448,#129449,#129450,#129451)); #19698=EDGE_LOOP('',(#129452,#129453,#129454,#129455)); #19699=EDGE_LOOP('',(#129456,#129457,#129458,#129459)); #19700=EDGE_LOOP('',(#129460,#129461,#129462,#129463)); #19701=EDGE_LOOP('',(#129464,#129465,#129466,#129467)); #19702=EDGE_LOOP('',(#129468,#129469,#129470,#129471)); #19703=EDGE_LOOP('',(#129472,#129473,#129474,#129475)); #19704=EDGE_LOOP('',(#129476,#129477,#129478,#129479)); #19705=EDGE_LOOP('',(#129480,#129481,#129482,#129483)); #19706=EDGE_LOOP('',(#129484,#129485,#129486,#129487)); #19707=EDGE_LOOP('',(#129488,#129489,#129490,#129491)); #19708=EDGE_LOOP('',(#129492,#129493,#129494,#129495)); #19709=EDGE_LOOP('',(#129496,#129497,#129498,#129499)); #19710=EDGE_LOOP('',(#129500,#129501,#129502,#129503,#129504,#129505,#129506, #129507,#129508,#129509,#129510,#129511,#129512,#129513,#129514,#129515, #129516,#129517,#129518,#129519,#129520,#129521,#129522,#129523)); #19711=EDGE_LOOP('',(#129524,#129525,#129526,#129527)); #19712=EDGE_LOOP('',(#129528,#129529,#129530,#129531)); #19713=EDGE_LOOP('',(#129532,#129533,#129534,#129535)); #19714=EDGE_LOOP('',(#129536,#129537,#129538,#129539)); #19715=EDGE_LOOP('',(#129540,#129541,#129542,#129543)); #19716=EDGE_LOOP('',(#129544,#129545,#129546,#129547)); #19717=EDGE_LOOP('',(#129548,#129549,#129550,#129551)); #19718=EDGE_LOOP('',(#129552,#129553,#129554,#129555)); #19719=EDGE_LOOP('',(#129556,#129557,#129558,#129559)); #19720=EDGE_LOOP('',(#129560,#129561,#129562,#129563)); #19721=EDGE_LOOP('',(#129564,#129565,#129566,#129567)); #19722=EDGE_LOOP('',(#129568,#129569,#129570,#129571)); #19723=EDGE_LOOP('',(#129572,#129573,#129574,#129575)); #19724=EDGE_LOOP('',(#129576,#129577,#129578,#129579)); #19725=EDGE_LOOP('',(#129580,#129581,#129582,#129583)); #19726=EDGE_LOOP('',(#129584,#129585,#129586,#129587)); #19727=EDGE_LOOP('',(#129588,#129589,#129590,#129591)); #19728=EDGE_LOOP('',(#129592,#129593,#129594,#129595)); #19729=EDGE_LOOP('',(#129596,#129597,#129598,#129599)); #19730=EDGE_LOOP('',(#129600,#129601,#129602,#129603)); #19731=EDGE_LOOP('',(#129604,#129605,#129606,#129607)); #19732=EDGE_LOOP('',(#129608,#129609,#129610,#129611)); #19733=EDGE_LOOP('',(#129612,#129613,#129614,#129615)); #19734=EDGE_LOOP('',(#129616,#129617,#129618,#129619)); #19735=EDGE_LOOP('',(#129620,#129621,#129622,#129623)); #19736=EDGE_LOOP('',(#129624,#129625,#129626,#129627)); #19737=EDGE_LOOP('',(#129628,#129629,#129630,#129631)); #19738=EDGE_LOOP('',(#129632,#129633,#129634,#129635)); #19739=EDGE_LOOP('',(#129636,#129637,#129638,#129639)); #19740=EDGE_LOOP('',(#129640,#129641,#129642,#129643)); #19741=EDGE_LOOP('',(#129644,#129645,#129646,#129647)); #19742=EDGE_LOOP('',(#129648,#129649,#129650,#129651)); #19743=EDGE_LOOP('',(#129652,#129653,#129654,#129655)); #19744=EDGE_LOOP('',(#129656,#129657,#129658,#129659)); #19745=EDGE_LOOP('',(#129660,#129661,#129662,#129663)); #19746=EDGE_LOOP('',(#129664,#129665,#129666,#129667)); #19747=EDGE_LOOP('',(#129668,#129669,#129670,#129671)); #19748=EDGE_LOOP('',(#129672,#129673,#129674,#129675)); #19749=EDGE_LOOP('',(#129676,#129677,#129678,#129679)); #19750=EDGE_LOOP('',(#129680,#129681,#129682,#129683)); #19751=EDGE_LOOP('',(#129684,#129685,#129686,#129687,#129688,#129689,#129690, #129691,#129692,#129693,#129694,#129695,#129696,#129697,#129698,#129699, #129700,#129701,#129702,#129703,#129704,#129705,#129706,#129707,#129708, #129709,#129710,#129711,#129712,#129713,#129714)); #19752=EDGE_LOOP('',(#129715,#129716,#129717,#129718,#129719,#129720,#129721, #129722,#129723)); #19753=EDGE_LOOP('',(#129724,#129725,#129726,#129727)); #19754=EDGE_LOOP('',(#129728,#129729,#129730,#129731)); #19755=EDGE_LOOP('',(#129732,#129733,#129734,#129735)); #19756=EDGE_LOOP('',(#129736,#129737,#129738,#129739)); #19757=EDGE_LOOP('',(#129740,#129741,#129742,#129743)); #19758=EDGE_LOOP('',(#129744,#129745,#129746,#129747)); #19759=EDGE_LOOP('',(#129748,#129749,#129750,#129751)); #19760=EDGE_LOOP('',(#129752,#129753,#129754,#129755)); #19761=EDGE_LOOP('',(#129756,#129757,#129758,#129759)); #19762=EDGE_LOOP('',(#129760,#129761,#129762,#129763)); #19763=EDGE_LOOP('',(#129764,#129765,#129766,#129767)); #19764=EDGE_LOOP('',(#129768,#129769,#129770,#129771,#129772,#129773,#129774, #129775,#129776,#129777,#129778)); #19765=EDGE_LOOP('',(#129779,#129780,#129781,#129782)); #19766=EDGE_LOOP('',(#129783,#129784,#129785,#129786)); #19767=EDGE_LOOP('',(#129787,#129788,#129789,#129790)); #19768=EDGE_LOOP('',(#129791,#129792,#129793,#129794)); #19769=EDGE_LOOP('',(#129795,#129796,#129797,#129798)); #19770=EDGE_LOOP('',(#129799,#129800,#129801,#129802)); #19771=EDGE_LOOP('',(#129803,#129804,#129805,#129806)); #19772=EDGE_LOOP('',(#129807,#129808,#129809,#129810)); #19773=EDGE_LOOP('',(#129811,#129812,#129813,#129814)); #19774=EDGE_LOOP('',(#129815,#129816,#129817,#129818)); #19775=EDGE_LOOP('',(#129819,#129820,#129821,#129822)); #19776=EDGE_LOOP('',(#129823,#129824,#129825,#129826)); #19777=EDGE_LOOP('',(#129827,#129828,#129829,#129830)); #19778=EDGE_LOOP('',(#129831,#129832,#129833,#129834)); #19779=EDGE_LOOP('',(#129835,#129836,#129837,#129838)); #19780=EDGE_LOOP('',(#129839,#129840,#129841,#129842)); #19781=EDGE_LOOP('',(#129843,#129844,#129845,#129846)); #19782=EDGE_LOOP('',(#129847,#129848,#129849,#129850)); #19783=EDGE_LOOP('',(#129851,#129852,#129853,#129854)); #19784=EDGE_LOOP('',(#129855,#129856,#129857,#129858)); #19785=EDGE_LOOP('',(#129859,#129860,#129861,#129862)); #19786=EDGE_LOOP('',(#129863,#129864,#129865,#129866)); #19787=EDGE_LOOP('',(#129867,#129868,#129869,#129870)); #19788=EDGE_LOOP('',(#129871,#129872,#129873,#129874)); #19789=EDGE_LOOP('',(#129875,#129876,#129877,#129878)); #19790=EDGE_LOOP('',(#129879,#129880,#129881,#129882)); #19791=EDGE_LOOP('',(#129883,#129884,#129885,#129886)); #19792=EDGE_LOOP('',(#129887,#129888,#129889,#129890)); #19793=EDGE_LOOP('',(#129891,#129892,#129893,#129894)); #19794=EDGE_LOOP('',(#129895,#129896,#129897,#129898)); #19795=EDGE_LOOP('',(#129899,#129900,#129901,#129902)); #19796=EDGE_LOOP('',(#129903,#129904,#129905,#129906)); #19797=EDGE_LOOP('',(#129907,#129908,#129909,#129910)); #19798=EDGE_LOOP('',(#129911,#129912,#129913,#129914)); #19799=EDGE_LOOP('',(#129915,#129916,#129917,#129918)); #19800=EDGE_LOOP('',(#129919,#129920,#129921,#129922)); #19801=EDGE_LOOP('',(#129923,#129924,#129925,#129926)); #19802=EDGE_LOOP('',(#129927,#129928,#129929,#129930)); #19803=EDGE_LOOP('',(#129931,#129932,#129933,#129934)); #19804=EDGE_LOOP('',(#129935,#129936,#129937,#129938)); #19805=EDGE_LOOP('',(#129939,#129940,#129941,#129942)); #19806=EDGE_LOOP('',(#129943,#129944,#129945,#129946)); #19807=EDGE_LOOP('',(#129947,#129948,#129949,#129950)); #19808=EDGE_LOOP('',(#129951,#129952,#129953,#129954)); #19809=EDGE_LOOP('',(#129955,#129956,#129957,#129958)); #19810=EDGE_LOOP('',(#129959,#129960,#129961,#129962)); #19811=EDGE_LOOP('',(#129963,#129964,#129965,#129966)); #19812=EDGE_LOOP('',(#129967,#129968,#129969,#129970)); #19813=EDGE_LOOP('',(#129971,#129972,#129973,#129974,#129975,#129976,#129977, #129978,#129979,#129980,#129981,#129982,#129983,#129984,#129985,#129986, #129987,#129988,#129989,#129990,#129991,#129992,#129993,#129994,#129995, #129996,#129997,#129998,#129999,#130000,#130001,#130002,#130003,#130004, #130005,#130006,#130007,#130008)); #19814=EDGE_LOOP('',(#130009,#130010,#130011,#130012,#130013,#130014,#130015, #130016,#130017,#130018)); #19815=EDGE_LOOP('',(#130019,#130020,#130021,#130022)); #19816=EDGE_LOOP('',(#130023,#130024,#130025,#130026)); #19817=EDGE_LOOP('',(#130027,#130028,#130029,#130030)); #19818=EDGE_LOOP('',(#130031,#130032,#130033,#130034)); #19819=EDGE_LOOP('',(#130035,#130036,#130037,#130038)); #19820=EDGE_LOOP('',(#130039,#130040,#130041,#130042)); #19821=EDGE_LOOP('',(#130043,#130044,#130045,#130046)); #19822=EDGE_LOOP('',(#130047,#130048,#130049,#130050)); #19823=EDGE_LOOP('',(#130051,#130052,#130053,#130054)); #19824=EDGE_LOOP('',(#130055,#130056,#130057,#130058)); #19825=EDGE_LOOP('',(#130059,#130060,#130061,#130062)); #19826=EDGE_LOOP('',(#130063,#130064,#130065,#130066)); #19827=EDGE_LOOP('',(#130067,#130068,#130069,#130070)); #19828=EDGE_LOOP('',(#130071,#130072,#130073,#130074)); #19829=EDGE_LOOP('',(#130075,#130076,#130077,#130078)); #19830=EDGE_LOOP('',(#130079,#130080,#130081,#130082)); #19831=EDGE_LOOP('',(#130083,#130084,#130085,#130086)); #19832=EDGE_LOOP('',(#130087,#130088,#130089,#130090)); #19833=EDGE_LOOP('',(#130091,#130092,#130093,#130094)); #19834=EDGE_LOOP('',(#130095,#130096,#130097,#130098)); #19835=EDGE_LOOP('',(#130099,#130100,#130101,#130102)); #19836=EDGE_LOOP('',(#130103,#130104,#130105,#130106)); #19837=EDGE_LOOP('',(#130107,#130108,#130109,#130110)); #19838=EDGE_LOOP('',(#130111,#130112,#130113,#130114)); #19839=EDGE_LOOP('',(#130115,#130116,#130117,#130118)); #19840=EDGE_LOOP('',(#130119,#130120,#130121,#130122)); #19841=EDGE_LOOP('',(#130123,#130124,#130125,#130126)); #19842=EDGE_LOOP('',(#130127,#130128,#130129,#130130)); #19843=EDGE_LOOP('',(#130131,#130132,#130133,#130134)); #19844=EDGE_LOOP('',(#130135,#130136,#130137,#130138)); #19845=EDGE_LOOP('',(#130139,#130140,#130141,#130142)); #19846=EDGE_LOOP('',(#130143,#130144,#130145,#130146)); #19847=EDGE_LOOP('',(#130147,#130148,#130149,#130150)); #19848=EDGE_LOOP('',(#130151,#130152,#130153,#130154)); #19849=EDGE_LOOP('',(#130155,#130156,#130157,#130158)); #19850=EDGE_LOOP('',(#130159,#130160,#130161,#130162)); #19851=EDGE_LOOP('',(#130163,#130164,#130165,#130166)); #19852=EDGE_LOOP('',(#130167,#130168,#130169,#130170)); #19853=EDGE_LOOP('',(#130171,#130172,#130173,#130174)); #19854=EDGE_LOOP('',(#130175,#130176,#130177,#130178)); #19855=EDGE_LOOP('',(#130179,#130180,#130181,#130182)); #19856=EDGE_LOOP('',(#130183,#130184,#130185,#130186,#130187,#130188,#130189, #130190,#130191,#130192,#130193,#130194,#130195,#130196,#130197,#130198, #130199,#130200,#130201,#130202,#130203,#130204,#130205,#130206,#130207, #130208,#130209,#130210,#130211,#130212,#130213,#130214,#130215,#130216, #130217,#130218,#130219,#130220,#130221,#130222,#130223)); #19857=EDGE_LOOP('',(#130224,#130225,#130226,#130227)); #19858=EDGE_LOOP('',(#130228,#130229,#130230,#130231)); #19859=EDGE_LOOP('',(#130232,#130233,#130234,#130235)); #19860=EDGE_LOOP('',(#130236,#130237,#130238,#130239)); #19861=EDGE_LOOP('',(#130240,#130241,#130242,#130243)); #19862=EDGE_LOOP('',(#130244,#130245,#130246,#130247)); #19863=EDGE_LOOP('',(#130248,#130249,#130250,#130251)); #19864=EDGE_LOOP('',(#130252,#130253,#130254,#130255)); #19865=EDGE_LOOP('',(#130256,#130257,#130258,#130259)); #19866=EDGE_LOOP('',(#130260,#130261,#130262,#130263)); #19867=EDGE_LOOP('',(#130264,#130265,#130266,#130267)); #19868=EDGE_LOOP('',(#130268,#130269,#130270,#130271)); #19869=EDGE_LOOP('',(#130272,#130273,#130274,#130275)); #19870=EDGE_LOOP('',(#130276,#130277,#130278,#130279)); #19871=EDGE_LOOP('',(#130280,#130281,#130282,#130283)); #19872=EDGE_LOOP('',(#130284,#130285,#130286,#130287)); #19873=EDGE_LOOP('',(#130288,#130289,#130290,#130291)); #19874=EDGE_LOOP('',(#130292,#130293,#130294,#130295)); #19875=EDGE_LOOP('',(#130296,#130297,#130298,#130299)); #19876=EDGE_LOOP('',(#130300,#130301,#130302,#130303)); #19877=EDGE_LOOP('',(#130304,#130305,#130306,#130307)); #19878=EDGE_LOOP('',(#130308,#130309,#130310,#130311)); #19879=EDGE_LOOP('',(#130312,#130313,#130314,#130315)); #19880=EDGE_LOOP('',(#130316,#130317,#130318,#130319)); #19881=EDGE_LOOP('',(#130320,#130321,#130322,#130323)); #19882=EDGE_LOOP('',(#130324,#130325,#130326,#130327)); #19883=EDGE_LOOP('',(#130328,#130329,#130330,#130331)); #19884=EDGE_LOOP('',(#130332,#130333,#130334,#130335)); #19885=EDGE_LOOP('',(#130336,#130337,#130338,#130339)); #19886=EDGE_LOOP('',(#130340,#130341,#130342,#130343)); #19887=EDGE_LOOP('',(#130344,#130345,#130346,#130347)); #19888=EDGE_LOOP('',(#130348,#130349,#130350,#130351)); #19889=EDGE_LOOP('',(#130352,#130353,#130354,#130355)); #19890=EDGE_LOOP('',(#130356,#130357,#130358,#130359)); #19891=EDGE_LOOP('',(#130360,#130361,#130362,#130363)); #19892=EDGE_LOOP('',(#130364,#130365,#130366,#130367)); #19893=EDGE_LOOP('',(#130368,#130369,#130370,#130371)); #19894=EDGE_LOOP('',(#130372,#130373,#130374,#130375)); #19895=EDGE_LOOP('',(#130376,#130377,#130378,#130379)); #19896=EDGE_LOOP('',(#130380,#130381,#130382,#130383)); #19897=EDGE_LOOP('',(#130384,#130385,#130386,#130387)); #19898=EDGE_LOOP('',(#130388,#130389,#130390,#130391)); #19899=EDGE_LOOP('',(#130392,#130393,#130394,#130395)); #19900=EDGE_LOOP('',(#130396,#130397,#130398,#130399)); #19901=EDGE_LOOP('',(#130400,#130401,#130402,#130403)); #19902=EDGE_LOOP('',(#130404,#130405,#130406,#130407)); #19903=EDGE_LOOP('',(#130408,#130409,#130410,#130411)); #19904=EDGE_LOOP('',(#130412,#130413,#130414,#130415)); #19905=EDGE_LOOP('',(#130416,#130417,#130418,#130419)); #19906=EDGE_LOOP('',(#130420,#130421,#130422,#130423)); #19907=EDGE_LOOP('',(#130424,#130425,#130426,#130427)); #19908=EDGE_LOOP('',(#130428,#130429,#130430,#130431)); #19909=EDGE_LOOP('',(#130432,#130433,#130434,#130435)); #19910=EDGE_LOOP('',(#130436,#130437,#130438,#130439)); #19911=EDGE_LOOP('',(#130440,#130441,#130442,#130443)); #19912=EDGE_LOOP('',(#130444,#130445,#130446,#130447)); #19913=EDGE_LOOP('',(#130448,#130449,#130450,#130451)); #19914=EDGE_LOOP('',(#130452,#130453,#130454,#130455)); #19915=EDGE_LOOP('',(#130456,#130457,#130458,#130459,#130460,#130461,#130462, #130463,#130464,#130465,#130466,#130467,#130468,#130469,#130470,#130471, #130472,#130473,#130474,#130475,#130476,#130477,#130478,#130479,#130480, #130481,#130482,#130483,#130484,#130485,#130486,#130487,#130488,#130489, #130490)); #19916=EDGE_LOOP('',(#130491,#130492,#130493,#130494,#130495,#130496,#130497, #130498)); #19917=EDGE_LOOP('',(#130499,#130500,#130501,#130502)); #19918=EDGE_LOOP('',(#130503,#130504,#130505,#130506)); #19919=EDGE_LOOP('',(#130507,#130508,#130509,#130510)); #19920=EDGE_LOOP('',(#130511,#130512,#130513,#130514)); #19921=EDGE_LOOP('',(#130515,#130516,#130517,#130518)); #19922=EDGE_LOOP('',(#130519,#130520,#130521,#130522)); #19923=EDGE_LOOP('',(#130523,#130524,#130525,#130526)); #19924=EDGE_LOOP('',(#130527,#130528,#130529,#130530)); #19925=EDGE_LOOP('',(#130531,#130532,#130533,#130534)); #19926=EDGE_LOOP('',(#130535,#130536,#130537,#130538)); #19927=EDGE_LOOP('',(#130539,#130540,#130541,#130542)); #19928=EDGE_LOOP('',(#130543,#130544,#130545,#130546)); #19929=EDGE_LOOP('',(#130547,#130548,#130549,#130550)); #19930=EDGE_LOOP('',(#130551,#130552,#130553,#130554)); #19931=EDGE_LOOP('',(#130555,#130556,#130557,#130558)); #19932=EDGE_LOOP('',(#130559,#130560,#130561,#130562)); #19933=EDGE_LOOP('',(#130563,#130564,#130565,#130566)); #19934=EDGE_LOOP('',(#130567,#130568,#130569,#130570)); #19935=EDGE_LOOP('',(#130571,#130572,#130573,#130574)); #19936=EDGE_LOOP('',(#130575,#130576,#130577,#130578)); #19937=EDGE_LOOP('',(#130579,#130580,#130581,#130582)); #19938=EDGE_LOOP('',(#130583,#130584,#130585,#130586)); #19939=EDGE_LOOP('',(#130587,#130588,#130589,#130590)); #19940=EDGE_LOOP('',(#130591,#130592,#130593,#130594)); #19941=EDGE_LOOP('',(#130595,#130596,#130597,#130598)); #19942=EDGE_LOOP('',(#130599,#130600,#130601,#130602)); #19943=EDGE_LOOP('',(#130603,#130604,#130605,#130606)); #19944=EDGE_LOOP('',(#130607,#130608,#130609,#130610)); #19945=EDGE_LOOP('',(#130611,#130612,#130613,#130614)); #19946=EDGE_LOOP('',(#130615,#130616,#130617,#130618)); #19947=EDGE_LOOP('',(#130619,#130620,#130621,#130622)); #19948=EDGE_LOOP('',(#130623,#130624,#130625,#130626)); #19949=EDGE_LOOP('',(#130627,#130628,#130629,#130630)); #19950=EDGE_LOOP('',(#130631,#130632,#130633,#130634)); #19951=EDGE_LOOP('',(#130635,#130636,#130637,#130638)); #19952=EDGE_LOOP('',(#130639,#130640,#130641,#130642)); #19953=EDGE_LOOP('',(#130643,#130644,#130645,#130646)); #19954=EDGE_LOOP('',(#130647,#130648,#130649,#130650)); #19955=EDGE_LOOP('',(#130651,#130652,#130653,#130654)); #19956=EDGE_LOOP('',(#130655,#130656,#130657,#130658)); #19957=EDGE_LOOP('',(#130659,#130660,#130661,#130662)); #19958=EDGE_LOOP('',(#130663,#130664,#130665,#130666)); #19959=EDGE_LOOP('',(#130667,#130668,#130669,#130670)); #19960=EDGE_LOOP('',(#130671,#130672,#130673,#130674)); #19961=EDGE_LOOP('',(#130675,#130676,#130677,#130678)); #19962=EDGE_LOOP('',(#130679,#130680,#130681,#130682)); #19963=EDGE_LOOP('',(#130683,#130684,#130685,#130686,#130687,#130688,#130689, #130690,#130691,#130692,#130693,#130694,#130695,#130696,#130697,#130698, #130699,#130700,#130701,#130702,#130703,#130704,#130705,#130706,#130707, #130708,#130709,#130710,#130711,#130712,#130713,#130714,#130715,#130716, #130717,#130718,#130719,#130720,#130721,#130722,#130723,#130724,#130725, #130726,#130727,#130728)); #19964=EDGE_LOOP('',(#130729,#130730,#130731,#130732)); #19965=EDGE_LOOP('',(#130733,#130734,#130735,#130736)); #19966=EDGE_LOOP('',(#130737,#130738,#130739,#130740)); #19967=EDGE_LOOP('',(#130741,#130742,#130743,#130744)); #19968=EDGE_LOOP('',(#130745,#130746,#130747,#130748)); #19969=EDGE_LOOP('',(#130749,#130750,#130751,#130752)); #19970=EDGE_LOOP('',(#130753,#130754,#130755,#130756)); #19971=EDGE_LOOP('',(#130757,#130758,#130759,#130760)); #19972=EDGE_LOOP('',(#130761,#130762,#130763,#130764)); #19973=EDGE_LOOP('',(#130765,#130766,#130767,#130768)); #19974=EDGE_LOOP('',(#130769,#130770,#130771,#130772)); #19975=EDGE_LOOP('',(#130773,#130774,#130775,#130776)); #19976=EDGE_LOOP('',(#130777,#130778,#130779,#130780)); #19977=EDGE_LOOP('',(#130781,#130782,#130783,#130784)); #19978=EDGE_LOOP('',(#130785,#130786,#130787,#130788)); #19979=EDGE_LOOP('',(#130789,#130790,#130791,#130792)); #19980=EDGE_LOOP('',(#130793,#130794,#130795,#130796)); #19981=EDGE_LOOP('',(#130797,#130798,#130799,#130800)); #19982=EDGE_LOOP('',(#130801,#130802,#130803,#130804)); #19983=EDGE_LOOP('',(#130805,#130806,#130807,#130808)); #19984=EDGE_LOOP('',(#130809,#130810,#130811,#130812)); #19985=EDGE_LOOP('',(#130813,#130814,#130815,#130816)); #19986=EDGE_LOOP('',(#130817,#130818,#130819,#130820)); #19987=EDGE_LOOP('',(#130821,#130822,#130823,#130824)); #19988=EDGE_LOOP('',(#130825,#130826,#130827,#130828)); #19989=EDGE_LOOP('',(#130829,#130830,#130831,#130832)); #19990=EDGE_LOOP('',(#130833,#130834,#130835,#130836)); #19991=EDGE_LOOP('',(#130837,#130838,#130839,#130840)); #19992=EDGE_LOOP('',(#130841,#130842,#130843,#130844)); #19993=EDGE_LOOP('',(#130845,#130846,#130847,#130848)); #19994=EDGE_LOOP('',(#130849,#130850,#130851,#130852)); #19995=EDGE_LOOP('',(#130853,#130854,#130855,#130856)); #19996=EDGE_LOOP('',(#130857,#130858,#130859,#130860)); #19997=EDGE_LOOP('',(#130861,#130862,#130863,#130864)); #19998=EDGE_LOOP('',(#130865,#130866,#130867,#130868)); #19999=EDGE_LOOP('',(#130869,#130870,#130871,#130872)); #20000=EDGE_LOOP('',(#130873,#130874,#130875,#130876)); #20001=EDGE_LOOP('',(#130877,#130878,#130879,#130880,#130881,#130882,#130883, #130884,#130885,#130886,#130887,#130888,#130889,#130890,#130891,#130892, #130893,#130894,#130895,#130896,#130897,#130898,#130899,#130900,#130901, #130902,#130903,#130904,#130905,#130906,#130907,#130908,#130909,#130910, #130911,#130912,#130913)); #20002=EDGE_LOOP('',(#130914,#130915,#130916,#130917)); #20003=EDGE_LOOP('',(#130918,#130919,#130920,#130921)); #20004=EDGE_LOOP('',(#130922,#130923,#130924,#130925)); #20005=EDGE_LOOP('',(#130926,#130927,#130928,#130929)); #20006=EDGE_LOOP('',(#130930,#130931,#130932,#130933)); #20007=EDGE_LOOP('',(#130934,#130935,#130936,#130937)); #20008=EDGE_LOOP('',(#130938,#130939,#130940,#130941)); #20009=EDGE_LOOP('',(#130942,#130943,#130944,#130945)); #20010=EDGE_LOOP('',(#130946,#130947,#130948,#130949)); #20011=EDGE_LOOP('',(#130950,#130951,#130952,#130953)); #20012=EDGE_LOOP('',(#130954,#130955,#130956,#130957)); #20013=EDGE_LOOP('',(#130958,#130959,#130960,#130961,#130962,#130963,#130964, #130965,#130966,#130967,#130968)); #20014=EDGE_LOOP('',(#130969,#130970,#130971,#130972)); #20015=EDGE_LOOP('',(#130973,#130974,#130975,#130976)); #20016=EDGE_LOOP('',(#130977,#130978,#130979,#130980)); #20017=EDGE_LOOP('',(#130981,#130982,#130983,#130984)); #20018=EDGE_LOOP('',(#130985,#130986,#130987,#130988)); #20019=EDGE_LOOP('',(#130989,#130990,#130991,#130992)); #20020=EDGE_LOOP('',(#130993,#130994,#130995,#130996)); #20021=EDGE_LOOP('',(#130997,#130998,#130999,#131000)); #20022=EDGE_LOOP('',(#131001,#131002,#131003,#131004)); #20023=EDGE_LOOP('',(#131005,#131006,#131007,#131008)); #20024=EDGE_LOOP('',(#131009,#131010,#131011,#131012)); #20025=EDGE_LOOP('',(#131013,#131014,#131015,#131016)); #20026=EDGE_LOOP('',(#131017,#131018,#131019,#131020)); #20027=EDGE_LOOP('',(#131021,#131022,#131023,#131024)); #20028=EDGE_LOOP('',(#131025,#131026,#131027,#131028)); #20029=EDGE_LOOP('',(#131029,#131030,#131031,#131032)); #20030=EDGE_LOOP('',(#131033,#131034,#131035,#131036)); #20031=EDGE_LOOP('',(#131037,#131038,#131039,#131040)); #20032=EDGE_LOOP('',(#131041,#131042,#131043,#131044)); #20033=EDGE_LOOP('',(#131045,#131046,#131047,#131048)); #20034=EDGE_LOOP('',(#131049,#131050,#131051,#131052)); #20035=EDGE_LOOP('',(#131053,#131054,#131055,#131056)); #20036=EDGE_LOOP('',(#131057,#131058,#131059,#131060)); #20037=EDGE_LOOP('',(#131061,#131062,#131063,#131064)); #20038=EDGE_LOOP('',(#131065,#131066,#131067,#131068)); #20039=EDGE_LOOP('',(#131069,#131070,#131071,#131072)); #20040=EDGE_LOOP('',(#131073,#131074,#131075,#131076)); #20041=EDGE_LOOP('',(#131077,#131078,#131079,#131080)); #20042=EDGE_LOOP('',(#131081,#131082,#131083,#131084)); #20043=EDGE_LOOP('',(#131085,#131086,#131087,#131088)); #20044=EDGE_LOOP('',(#131089,#131090,#131091,#131092)); #20045=EDGE_LOOP('',(#131093,#131094,#131095,#131096)); #20046=EDGE_LOOP('',(#131097,#131098,#131099,#131100)); #20047=EDGE_LOOP('',(#131101,#131102,#131103,#131104)); #20048=EDGE_LOOP('',(#131105,#131106,#131107,#131108)); #20049=EDGE_LOOP('',(#131109,#131110,#131111,#131112)); #20050=EDGE_LOOP('',(#131113,#131114,#131115,#131116)); #20051=EDGE_LOOP('',(#131117,#131118,#131119,#131120)); #20052=EDGE_LOOP('',(#131121,#131122,#131123,#131124)); #20053=EDGE_LOOP('',(#131125,#131126,#131127,#131128)); #20054=EDGE_LOOP('',(#131129,#131130,#131131,#131132)); #20055=EDGE_LOOP('',(#131133,#131134,#131135,#131136)); #20056=EDGE_LOOP('',(#131137,#131138,#131139,#131140)); #20057=EDGE_LOOP('',(#131141,#131142,#131143,#131144)); #20058=EDGE_LOOP('',(#131145,#131146,#131147,#131148)); #20059=EDGE_LOOP('',(#131149,#131150,#131151,#131152)); #20060=EDGE_LOOP('',(#131153,#131154,#131155,#131156)); #20061=EDGE_LOOP('',(#131157,#131158,#131159,#131160)); #20062=EDGE_LOOP('',(#131161,#131162,#131163,#131164)); #20063=EDGE_LOOP('',(#131165,#131166,#131167,#131168)); #20064=EDGE_LOOP('',(#131169,#131170,#131171,#131172)); #20065=EDGE_LOOP('',(#131173,#131174,#131175,#131176,#131177,#131178,#131179, #131180,#131181,#131182,#131183,#131184,#131185,#131186,#131187,#131188, #131189,#131190,#131191,#131192,#131193,#131194,#131195,#131196,#131197, #131198,#131199,#131200,#131201,#131202,#131203,#131204,#131205,#131206, #131207,#131208,#131209,#131210,#131211,#131212,#131213,#131214,#131215, #131216,#131217,#131218,#131219,#131220,#131221,#131222,#131223)); #20066=EDGE_LOOP('',(#131224,#131225,#131226,#131227)); #20067=EDGE_LOOP('',(#131228,#131229,#131230,#131231)); #20068=EDGE_LOOP('',(#131232,#131233,#131234,#131235)); #20069=EDGE_LOOP('',(#131236,#131237,#131238,#131239)); #20070=EDGE_LOOP('',(#131240,#131241,#131242,#131243)); #20071=EDGE_LOOP('',(#131244,#131245,#131246,#131247)); #20072=EDGE_LOOP('',(#131248,#131249,#131250,#131251)); #20073=EDGE_LOOP('',(#131252,#131253,#131254,#131255)); #20074=EDGE_LOOP('',(#131256,#131257,#131258,#131259)); #20075=EDGE_LOOP('',(#131260,#131261,#131262,#131263)); #20076=EDGE_LOOP('',(#131264,#131265,#131266,#131267)); #20077=EDGE_LOOP('',(#131268,#131269,#131270,#131271)); #20078=EDGE_LOOP('',(#131272,#131273,#131274,#131275)); #20079=EDGE_LOOP('',(#131276,#131277,#131278,#131279)); #20080=EDGE_LOOP('',(#131280,#131281,#131282,#131283)); #20081=EDGE_LOOP('',(#131284,#131285,#131286,#131287)); #20082=EDGE_LOOP('',(#131288,#131289,#131290,#131291)); #20083=EDGE_LOOP('',(#131292,#131293,#131294,#131295)); #20084=EDGE_LOOP('',(#131296,#131297,#131298,#131299)); #20085=EDGE_LOOP('',(#131300,#131301,#131302,#131303)); #20086=EDGE_LOOP('',(#131304,#131305,#131306,#131307)); #20087=EDGE_LOOP('',(#131308,#131309,#131310,#131311)); #20088=EDGE_LOOP('',(#131312,#131313,#131314,#131315)); #20089=EDGE_LOOP('',(#131316,#131317,#131318,#131319)); #20090=EDGE_LOOP('',(#131320,#131321,#131322,#131323)); #20091=EDGE_LOOP('',(#131324,#131325,#131326,#131327)); #20092=EDGE_LOOP('',(#131328,#131329,#131330,#131331)); #20093=EDGE_LOOP('',(#131332,#131333,#131334,#131335)); #20094=EDGE_LOOP('',(#131336,#131337,#131338,#131339)); #20095=EDGE_LOOP('',(#131340,#131341,#131342,#131343)); #20096=EDGE_LOOP('',(#131344,#131345,#131346,#131347,#131348,#131349,#131350, #131351,#131352,#131353,#131354,#131355,#131356,#131357,#131358,#131359)); #20097=EDGE_LOOP('',(#131360,#131361,#131362,#131363,#131364,#131365,#131366, #131367,#131368,#131369,#131370,#131371,#131372,#131373)); #20098=EDGE_LOOP('',(#131374,#131375,#131376,#131377)); #20099=EDGE_LOOP('',(#131378,#131379,#131380,#131381)); #20100=EDGE_LOOP('',(#131382,#131383,#131384,#131385)); #20101=EDGE_LOOP('',(#131386,#131387,#131388,#131389)); #20102=EDGE_LOOP('',(#131390,#131391,#131392,#131393)); #20103=EDGE_LOOP('',(#131394,#131395,#131396,#131397)); #20104=EDGE_LOOP('',(#131398,#131399,#131400,#131401)); #20105=EDGE_LOOP('',(#131402,#131403,#131404,#131405)); #20106=EDGE_LOOP('',(#131406,#131407,#131408,#131409)); #20107=EDGE_LOOP('',(#131410,#131411,#131412,#131413)); #20108=EDGE_LOOP('',(#131414,#131415,#131416,#131417)); #20109=EDGE_LOOP('',(#131418,#131419,#131420,#131421)); #20110=EDGE_LOOP('',(#131422,#131423,#131424,#131425)); #20111=EDGE_LOOP('',(#131426,#131427,#131428,#131429)); #20112=EDGE_LOOP('',(#131430,#131431,#131432,#131433)); #20113=EDGE_LOOP('',(#131434,#131435,#131436,#131437)); #20114=EDGE_LOOP('',(#131438,#131439,#131440,#131441)); #20115=EDGE_LOOP('',(#131442,#131443,#131444,#131445)); #20116=EDGE_LOOP('',(#131446,#131447,#131448,#131449)); #20117=EDGE_LOOP('',(#131450,#131451,#131452,#131453)); #20118=EDGE_LOOP('',(#131454,#131455,#131456,#131457)); #20119=EDGE_LOOP('',(#131458,#131459,#131460,#131461)); #20120=EDGE_LOOP('',(#131462,#131463,#131464,#131465)); #20121=EDGE_LOOP('',(#131466,#131467,#131468,#131469)); #20122=EDGE_LOOP('',(#131470,#131471,#131472,#131473)); #20123=EDGE_LOOP('',(#131474,#131475,#131476,#131477)); #20124=EDGE_LOOP('',(#131478,#131479,#131480,#131481)); #20125=EDGE_LOOP('',(#131482,#131483,#131484,#131485)); #20126=EDGE_LOOP('',(#131486,#131487,#131488,#131489)); #20127=EDGE_LOOP('',(#131490,#131491,#131492,#131493)); #20128=EDGE_LOOP('',(#131494,#131495,#131496,#131497,#131498,#131499,#131500, #131501,#131502,#131503,#131504,#131505,#131506,#131507,#131508,#131509)); #20129=EDGE_LOOP('',(#131510,#131511,#131512,#131513,#131514,#131515,#131516, #131517,#131518,#131519,#131520,#131521,#131522,#131523)); #20130=EDGE_LOOP('',(#131524,#131525,#131526,#131527)); #20131=EDGE_LOOP('',(#131528,#131529,#131530,#131531)); #20132=EDGE_LOOP('',(#131532,#131533,#131534,#131535)); #20133=EDGE_LOOP('',(#131536,#131537,#131538,#131539)); #20134=EDGE_LOOP('',(#131540,#131541,#131542,#131543)); #20135=EDGE_LOOP('',(#131544,#131545,#131546,#131547)); #20136=EDGE_LOOP('',(#131548,#131549,#131550,#131551)); #20137=EDGE_LOOP('',(#131552,#131553,#131554,#131555)); #20138=EDGE_LOOP('',(#131556,#131557,#131558,#131559)); #20139=EDGE_LOOP('',(#131560,#131561,#131562,#131563)); #20140=EDGE_LOOP('',(#131564,#131565,#131566,#131567)); #20141=EDGE_LOOP('',(#131568,#131569,#131570,#131571)); #20142=EDGE_LOOP('',(#131572,#131573,#131574,#131575)); #20143=EDGE_LOOP('',(#131576,#131577,#131578,#131579)); #20144=EDGE_LOOP('',(#131580,#131581,#131582,#131583)); #20145=EDGE_LOOP('',(#131584,#131585,#131586,#131587)); #20146=EDGE_LOOP('',(#131588,#131589,#131590,#131591)); #20147=EDGE_LOOP('',(#131592,#131593,#131594,#131595)); #20148=EDGE_LOOP('',(#131596,#131597,#131598,#131599)); #20149=EDGE_LOOP('',(#131600,#131601,#131602,#131603)); #20150=EDGE_LOOP('',(#131604,#131605,#131606,#131607)); #20151=EDGE_LOOP('',(#131608,#131609,#131610,#131611)); #20152=EDGE_LOOP('',(#131612,#131613,#131614,#131615)); #20153=EDGE_LOOP('',(#131616,#131617,#131618,#131619)); #20154=EDGE_LOOP('',(#131620,#131621,#131622,#131623)); #20155=EDGE_LOOP('',(#131624,#131625,#131626,#131627)); #20156=EDGE_LOOP('',(#131628,#131629,#131630,#131631)); #20157=EDGE_LOOP('',(#131632,#131633,#131634,#131635)); #20158=EDGE_LOOP('',(#131636,#131637,#131638,#131639)); #20159=EDGE_LOOP('',(#131640,#131641,#131642,#131643)); #20160=EDGE_LOOP('',(#131644,#131645,#131646,#131647,#131648,#131649,#131650, #131651,#131652,#131653,#131654,#131655,#131656,#131657,#131658,#131659)); #20161=EDGE_LOOP('',(#131660,#131661,#131662,#131663,#131664,#131665,#131666, #131667,#131668,#131669,#131670,#131671,#131672,#131673)); #20162=EDGE_LOOP('',(#131674,#131675,#131676,#131677)); #20163=EDGE_LOOP('',(#131678,#131679,#131680,#131681)); #20164=EDGE_LOOP('',(#131682,#131683,#131684,#131685)); #20165=EDGE_LOOP('',(#131686,#131687,#131688,#131689)); #20166=EDGE_LOOP('',(#131690,#131691,#131692,#131693)); #20167=EDGE_LOOP('',(#131694,#131695,#131696,#131697)); #20168=EDGE_LOOP('',(#131698,#131699,#131700,#131701)); #20169=EDGE_LOOP('',(#131702,#131703,#131704,#131705)); #20170=EDGE_LOOP('',(#131706,#131707,#131708,#131709)); #20171=EDGE_LOOP('',(#131710,#131711,#131712,#131713)); #20172=EDGE_LOOP('',(#131714,#131715,#131716,#131717)); #20173=EDGE_LOOP('',(#131718,#131719,#131720,#131721)); #20174=EDGE_LOOP('',(#131722,#131723,#131724,#131725)); #20175=EDGE_LOOP('',(#131726,#131727,#131728,#131729)); #20176=EDGE_LOOP('',(#131730,#131731,#131732,#131733)); #20177=EDGE_LOOP('',(#131734,#131735,#131736,#131737)); #20178=EDGE_LOOP('',(#131738,#131739,#131740,#131741)); #20179=EDGE_LOOP('',(#131742,#131743,#131744,#131745)); #20180=EDGE_LOOP('',(#131746,#131747,#131748,#131749)); #20181=EDGE_LOOP('',(#131750,#131751,#131752,#131753)); #20182=EDGE_LOOP('',(#131754,#131755,#131756,#131757)); #20183=EDGE_LOOP('',(#131758,#131759,#131760,#131761)); #20184=EDGE_LOOP('',(#131762,#131763,#131764,#131765)); #20185=EDGE_LOOP('',(#131766,#131767,#131768,#131769)); #20186=EDGE_LOOP('',(#131770,#131771,#131772,#131773)); #20187=EDGE_LOOP('',(#131774,#131775,#131776,#131777)); #20188=EDGE_LOOP('',(#131778,#131779,#131780,#131781)); #20189=EDGE_LOOP('',(#131782,#131783,#131784,#131785)); #20190=EDGE_LOOP('',(#131786,#131787,#131788,#131789)); #20191=EDGE_LOOP('',(#131790,#131791,#131792,#131793)); #20192=EDGE_LOOP('',(#131794,#131795,#131796,#131797,#131798,#131799,#131800, #131801,#131802,#131803,#131804,#131805,#131806,#131807,#131808,#131809)); #20193=EDGE_LOOP('',(#131810,#131811,#131812,#131813,#131814,#131815,#131816, #131817,#131818,#131819,#131820,#131821,#131822,#131823)); #20194=EDGE_LOOP('',(#131824,#131825,#131826,#131827)); #20195=EDGE_LOOP('',(#131828,#131829,#131830,#131831)); #20196=EDGE_LOOP('',(#131832,#131833,#131834,#131835)); #20197=EDGE_LOOP('',(#131836,#131837,#131838,#131839)); #20198=EDGE_LOOP('',(#131840,#131841,#131842,#131843)); #20199=EDGE_LOOP('',(#131844,#131845,#131846,#131847)); #20200=EDGE_LOOP('',(#131848,#131849,#131850,#131851)); #20201=EDGE_LOOP('',(#131852,#131853,#131854,#131855)); #20202=EDGE_LOOP('',(#131856,#131857,#131858,#131859)); #20203=EDGE_LOOP('',(#131860,#131861,#131862,#131863)); #20204=EDGE_LOOP('',(#131864,#131865,#131866,#131867)); #20205=EDGE_LOOP('',(#131868,#131869,#131870,#131871)); #20206=EDGE_LOOP('',(#131872,#131873,#131874,#131875)); #20207=EDGE_LOOP('',(#131876,#131877,#131878,#131879)); #20208=EDGE_LOOP('',(#131880,#131881,#131882,#131883)); #20209=EDGE_LOOP('',(#131884,#131885,#131886,#131887)); #20210=EDGE_LOOP('',(#131888,#131889,#131890,#131891)); #20211=EDGE_LOOP('',(#131892,#131893,#131894,#131895)); #20212=EDGE_LOOP('',(#131896,#131897,#131898,#131899)); #20213=EDGE_LOOP('',(#131900,#131901,#131902,#131903)); #20214=EDGE_LOOP('',(#131904,#131905,#131906,#131907)); #20215=EDGE_LOOP('',(#131908,#131909,#131910,#131911)); #20216=EDGE_LOOP('',(#131912,#131913,#131914,#131915)); #20217=EDGE_LOOP('',(#131916,#131917,#131918,#131919)); #20218=EDGE_LOOP('',(#131920,#131921,#131922,#131923)); #20219=EDGE_LOOP('',(#131924,#131925,#131926,#131927)); #20220=EDGE_LOOP('',(#131928,#131929,#131930,#131931)); #20221=EDGE_LOOP('',(#131932,#131933,#131934,#131935)); #20222=EDGE_LOOP('',(#131936,#131937,#131938,#131939)); #20223=EDGE_LOOP('',(#131940,#131941,#131942,#131943)); #20224=EDGE_LOOP('',(#131944,#131945,#131946,#131947)); #20225=EDGE_LOOP('',(#131948,#131949,#131950,#131951,#131952,#131953,#131954, #131955,#131956,#131957,#131958,#131959,#131960,#131961,#131962,#131963, #131964,#131965,#131966,#131967,#131968,#131969,#131970,#131971,#131972, #131973,#131974,#131975,#131976,#131977,#131978)); #20226=EDGE_LOOP('',(#131979,#131980,#131981,#131982)); #20227=EDGE_LOOP('',(#131983,#131984,#131985,#131986)); #20228=EDGE_LOOP('',(#131987,#131988,#131989,#131990)); #20229=EDGE_LOOP('',(#131991,#131992,#131993,#131994)); #20230=EDGE_LOOP('',(#131995,#131996,#131997,#131998)); #20231=EDGE_LOOP('',(#131999,#132000,#132001,#132002)); #20232=EDGE_LOOP('',(#132003,#132004,#132005,#132006)); #20233=EDGE_LOOP('',(#132007,#132008,#132009,#132010)); #20234=EDGE_LOOP('',(#132011,#132012,#132013,#132014)); #20235=EDGE_LOOP('',(#132015,#132016,#132017,#132018)); #20236=EDGE_LOOP('',(#132019,#132020,#132021,#132022)); #20237=EDGE_LOOP('',(#132023,#132024,#132025,#132026)); #20238=EDGE_LOOP('',(#132027,#132028,#132029,#132030)); #20239=EDGE_LOOP('',(#132031,#132032,#132033,#132034)); #20240=EDGE_LOOP('',(#132035,#132036,#132037,#132038)); #20241=EDGE_LOOP('',(#132039,#132040,#132041,#132042)); #20242=EDGE_LOOP('',(#132043,#132044,#132045,#132046)); #20243=EDGE_LOOP('',(#132047,#132048,#132049,#132050)); #20244=EDGE_LOOP('',(#132051,#132052,#132053,#132054)); #20245=EDGE_LOOP('',(#132055,#132056,#132057,#132058)); #20246=EDGE_LOOP('',(#132059,#132060,#132061,#132062)); #20247=EDGE_LOOP('',(#132063,#132064,#132065,#132066)); #20248=EDGE_LOOP('',(#132067,#132068,#132069,#132070)); #20249=EDGE_LOOP('',(#132071,#132072,#132073,#132074)); #20250=EDGE_LOOP('',(#132075,#132076,#132077,#132078)); #20251=EDGE_LOOP('',(#132079,#132080,#132081,#132082)); #20252=EDGE_LOOP('',(#132083,#132084,#132085,#132086)); #20253=EDGE_LOOP('',(#132087,#132088,#132089,#132090)); #20254=EDGE_LOOP('',(#132091,#132092,#132093,#132094)); #20255=EDGE_LOOP('',(#132095,#132096,#132097,#132098)); #20256=EDGE_LOOP('',(#132099,#132100,#132101,#132102)); #20257=EDGE_LOOP('',(#132103,#132104,#132105,#132106)); #20258=EDGE_LOOP('',(#132107,#132108,#132109,#132110)); #20259=EDGE_LOOP('',(#132111,#132112,#132113,#132114)); #20260=EDGE_LOOP('',(#132115,#132116,#132117,#132118)); #20261=EDGE_LOOP('',(#132119,#132120,#132121,#132122)); #20262=EDGE_LOOP('',(#132123,#132124,#132125,#132126)); #20263=EDGE_LOOP('',(#132127,#132128,#132129,#132130,#132131,#132132,#132133, #132134,#132135,#132136,#132137,#132138,#132139,#132140,#132141,#132142, #132143,#132144,#132145,#132146,#132147,#132148,#132149,#132150,#132151, #132152,#132153,#132154,#132155,#132156,#132157,#132158,#132159,#132160, #132161,#132162,#132163)); #20264=EDGE_LOOP('',(#132164,#132165,#132166,#132167)); #20265=EDGE_LOOP('',(#132168,#132169,#132170,#132171)); #20266=EDGE_LOOP('',(#132172,#132173,#132174,#132175)); #20267=EDGE_LOOP('',(#132176,#132177,#132178,#132179)); #20268=EDGE_LOOP('',(#132180,#132181,#132182,#132183)); #20269=EDGE_LOOP('',(#132184,#132185,#132186,#132187)); #20270=EDGE_LOOP('',(#132188,#132189,#132190,#132191)); #20271=EDGE_LOOP('',(#132192,#132193,#132194,#132195)); #20272=EDGE_LOOP('',(#132196,#132197,#132198,#132199)); #20273=EDGE_LOOP('',(#132200,#132201,#132202,#132203)); #20274=EDGE_LOOP('',(#132204,#132205,#132206,#132207)); #20275=EDGE_LOOP('',(#132208,#132209,#132210,#132211)); #20276=EDGE_LOOP('',(#132212,#132213,#132214,#132215)); #20277=EDGE_LOOP('',(#132216,#132217,#132218,#132219)); #20278=EDGE_LOOP('',(#132220,#132221,#132222,#132223)); #20279=EDGE_LOOP('',(#132224,#132225,#132226,#132227)); #20280=EDGE_LOOP('',(#132228,#132229,#132230,#132231)); #20281=EDGE_LOOP('',(#132232,#132233,#132234,#132235)); #20282=EDGE_LOOP('',(#132236,#132237,#132238,#132239)); #20283=EDGE_LOOP('',(#132240,#132241,#132242,#132243)); #20284=EDGE_LOOP('',(#132244,#132245,#132246,#132247)); #20285=EDGE_LOOP('',(#132248,#132249,#132250,#132251)); #20286=EDGE_LOOP('',(#132252,#132253,#132254,#132255)); #20287=EDGE_LOOP('',(#132256,#132257,#132258,#132259)); #20288=EDGE_LOOP('',(#132260,#132261,#132262,#132263)); #20289=EDGE_LOOP('',(#132264,#132265,#132266,#132267)); #20290=EDGE_LOOP('',(#132268,#132269,#132270,#132271)); #20291=EDGE_LOOP('',(#132272,#132273,#132274,#132275)); #20292=EDGE_LOOP('',(#132276,#132277,#132278,#132279)); #20293=EDGE_LOOP('',(#132280,#132281,#132282,#132283,#132284,#132285,#132286, #132287,#132288,#132289,#132290,#132291,#132292,#132293,#132294,#132295, #132296,#132297,#132298,#132299,#132300,#132301,#132302,#132303,#132304, #132305,#132306,#132307,#132308)); #20294=EDGE_LOOP('',(#132309,#132310,#132311,#132312)); #20295=EDGE_LOOP('',(#132313,#132314,#132315,#132316)); #20296=EDGE_LOOP('',(#132317,#132318,#132319,#132320)); #20297=EDGE_LOOP('',(#132321,#132322,#132323,#132324)); #20298=EDGE_LOOP('',(#132325,#132326,#132327,#132328)); #20299=EDGE_LOOP('',(#132329,#132330,#132331,#132332)); #20300=EDGE_LOOP('',(#132333,#132334,#132335,#132336)); #20301=EDGE_LOOP('',(#132337,#132338,#132339,#132340)); #20302=EDGE_LOOP('',(#132341,#132342,#132343,#132344)); #20303=EDGE_LOOP('',(#132345,#132346,#132347,#132348)); #20304=EDGE_LOOP('',(#132349,#132350,#132351,#132352,#132353,#132354,#132355, #132356,#132357,#132358)); #20305=EDGE_LOOP('',(#132359,#132360,#132361,#132362)); #20306=EDGE_LOOP('',(#132363,#132364,#132365,#132366)); #20307=EDGE_LOOP('',(#132367,#132368,#132369,#132370)); #20308=EDGE_LOOP('',(#132371,#132372,#132373,#132374)); #20309=EDGE_LOOP('',(#132375,#132376,#132377,#132378)); #20310=EDGE_LOOP('',(#132379,#132380,#132381,#132382)); #20311=EDGE_LOOP('',(#132383,#132384,#132385,#132386)); #20312=EDGE_LOOP('',(#132387,#132388,#132389,#132390)); #20313=EDGE_LOOP('',(#132391,#132392,#132393,#132394)); #20314=EDGE_LOOP('',(#132395,#132396,#132397,#132398)); #20315=EDGE_LOOP('',(#132399,#132400,#132401,#132402)); #20316=EDGE_LOOP('',(#132403,#132404,#132405,#132406)); #20317=EDGE_LOOP('',(#132407,#132408,#132409,#132410)); #20318=EDGE_LOOP('',(#132411,#132412,#132413,#132414)); #20319=EDGE_LOOP('',(#132415,#132416,#132417,#132418)); #20320=EDGE_LOOP('',(#132419,#132420,#132421,#132422)); #20321=EDGE_LOOP('',(#132423,#132424,#132425,#132426)); #20322=EDGE_LOOP('',(#132427,#132428,#132429,#132430)); #20323=EDGE_LOOP('',(#132431,#132432,#132433,#132434)); #20324=EDGE_LOOP('',(#132435,#132436,#132437,#132438)); #20325=EDGE_LOOP('',(#132439,#132440,#132441,#132442,#132443,#132444,#132445, #132446,#132447,#132448,#132449,#132450,#132451,#132452,#132453,#132454, #132455,#132456,#132457,#132458)); #20326=EDGE_LOOP('',(#132459,#132460,#132461,#132462)); #20327=EDGE_LOOP('',(#132463,#132464,#132465,#132466)); #20328=EDGE_LOOP('',(#132467,#132468,#132469,#132470)); #20329=EDGE_LOOP('',(#132471,#132472,#132473,#132474)); #20330=EDGE_LOOP('',(#132475,#132476,#132477,#132478)); #20331=EDGE_LOOP('',(#132479,#132480,#132481,#132482)); #20332=EDGE_LOOP('',(#132483,#132484,#132485,#132486)); #20333=EDGE_LOOP('',(#132487,#132488,#132489,#132490)); #20334=EDGE_LOOP('',(#132491,#132492,#132493,#132494,#132495,#132496,#132497, #132498)); #20335=EDGE_LOOP('',(#132499,#132500,#132501,#132502)); #20336=EDGE_LOOP('',(#132503,#132504,#132505,#132506)); #20337=EDGE_LOOP('',(#132507,#132508,#132509,#132510)); #20338=EDGE_LOOP('',(#132511,#132512,#132513,#132514)); #20339=EDGE_LOOP('',(#132515,#132516,#132517,#132518)); #20340=EDGE_LOOP('',(#132519,#132520,#132521,#132522)); #20341=EDGE_LOOP('',(#132523,#132524,#132525,#132526)); #20342=EDGE_LOOP('',(#132527,#132528,#132529,#132530)); #20343=EDGE_LOOP('',(#132531,#132532,#132533,#132534,#132535,#132536,#132537, #132538)); #20344=EDGE_LOOP('',(#132539,#132540,#132541,#132542)); #20345=EDGE_LOOP('',(#132543,#132544,#132545,#132546)); #20346=EDGE_LOOP('',(#132547,#132548,#132549,#132550)); #20347=EDGE_LOOP('',(#132551,#132552,#132553,#132554)); #20348=EDGE_LOOP('',(#132555,#132556,#132557,#132558)); #20349=EDGE_LOOP('',(#132559,#132560,#132561,#132562)); #20350=EDGE_LOOP('',(#132563,#132564,#132565,#132566)); #20351=EDGE_LOOP('',(#132567,#132568,#132569,#132570)); #20352=EDGE_LOOP('',(#132571,#132572,#132573,#132574)); #20353=EDGE_LOOP('',(#132575,#132576,#132577,#132578)); #20354=EDGE_LOOP('',(#132579,#132580,#132581,#132582)); #20355=EDGE_LOOP('',(#132583,#132584,#132585,#132586)); #20356=EDGE_LOOP('',(#132587,#132588,#132589,#132590)); #20357=EDGE_LOOP('',(#132591,#132592,#132593,#132594)); #20358=EDGE_LOOP('',(#132595,#132596,#132597,#132598)); #20359=EDGE_LOOP('',(#132599,#132600,#132601,#132602)); #20360=EDGE_LOOP('',(#132603,#132604,#132605,#132606)); #20361=EDGE_LOOP('',(#132607,#132608,#132609,#132610)); #20362=EDGE_LOOP('',(#132611,#132612,#132613,#132614)); #20363=EDGE_LOOP('',(#132615,#132616,#132617,#132618)); #20364=EDGE_LOOP('',(#132619,#132620,#132621,#132622)); #20365=EDGE_LOOP('',(#132623,#132624,#132625,#132626)); #20366=EDGE_LOOP('',(#132627,#132628,#132629,#132630)); #20367=EDGE_LOOP('',(#132631,#132632,#132633,#132634)); #20368=EDGE_LOOP('',(#132635,#132636,#132637,#132638)); #20369=EDGE_LOOP('',(#132639,#132640,#132641,#132642)); #20370=EDGE_LOOP('',(#132643,#132644,#132645,#132646)); #20371=EDGE_LOOP('',(#132647,#132648,#132649,#132650)); #20372=EDGE_LOOP('',(#132651,#132652,#132653,#132654)); #20373=EDGE_LOOP('',(#132655,#132656,#132657,#132658)); #20374=EDGE_LOOP('',(#132659,#132660,#132661,#132662)); #20375=EDGE_LOOP('',(#132663,#132664,#132665,#132666)); #20376=EDGE_LOOP('',(#132667,#132668,#132669,#132670)); #20377=EDGE_LOOP('',(#132671,#132672,#132673,#132674)); #20378=EDGE_LOOP('',(#132675,#132676,#132677,#132678)); #20379=EDGE_LOOP('',(#132679,#132680,#132681,#132682)); #20380=EDGE_LOOP('',(#132683,#132684,#132685,#132686)); #20381=EDGE_LOOP('',(#132687,#132688,#132689,#132690)); #20382=EDGE_LOOP('',(#132691,#132692,#132693,#132694)); #20383=EDGE_LOOP('',(#132695,#132696,#132697,#132698)); #20384=EDGE_LOOP('',(#132699,#132700,#132701,#132702)); #20385=EDGE_LOOP('',(#132703,#132704,#132705,#132706)); #20386=EDGE_LOOP('',(#132707,#132708,#132709,#132710)); #20387=EDGE_LOOP('',(#132711,#132712,#132713,#132714)); #20388=EDGE_LOOP('',(#132715,#132716,#132717,#132718)); #20389=EDGE_LOOP('',(#132719,#132720,#132721,#132722)); #20390=EDGE_LOOP('',(#132723,#132724,#132725,#132726)); #20391=EDGE_LOOP('',(#132727,#132728,#132729,#132730)); #20392=EDGE_LOOP('',(#132731,#132732,#132733,#132734)); #20393=EDGE_LOOP('',(#132735,#132736,#132737,#132738,#132739,#132740,#132741, #132742,#132743,#132744,#132745,#132746,#132747,#132748,#132749,#132750, #132751,#132752,#132753,#132754,#132755,#132756,#132757,#132758,#132759, #132760,#132761,#132762,#132763)); #20394=EDGE_LOOP('',(#132764,#132765,#132766,#132767)); #20395=EDGE_LOOP('',(#132768,#132769,#132770,#132771)); #20396=EDGE_LOOP('',(#132772,#132773,#132774,#132775)); #20397=EDGE_LOOP('',(#132776,#132777,#132778,#132779)); #20398=EDGE_LOOP('',(#132780,#132781,#132782,#132783)); #20399=EDGE_LOOP('',(#132784,#132785,#132786,#132787)); #20400=EDGE_LOOP('',(#132788,#132789,#132790,#132791)); #20401=EDGE_LOOP('',(#132792,#132793,#132794,#132795)); #20402=EDGE_LOOP('',(#132796,#132797,#132798,#132799)); #20403=EDGE_LOOP('',(#132800,#132801,#132802,#132803)); #20404=EDGE_LOOP('',(#132804,#132805,#132806,#132807)); #20405=EDGE_LOOP('',(#132808,#132809,#132810,#132811)); #20406=EDGE_LOOP('',(#132812,#132813,#132814,#132815)); #20407=EDGE_LOOP('',(#132816,#132817,#132818,#132819)); #20408=EDGE_LOOP('',(#132820,#132821,#132822,#132823)); #20409=EDGE_LOOP('',(#132824,#132825,#132826,#132827)); #20410=EDGE_LOOP('',(#132828,#132829,#132830,#132831)); #20411=EDGE_LOOP('',(#132832,#132833,#132834,#132835)); #20412=EDGE_LOOP('',(#132836,#132837,#132838,#132839)); #20413=EDGE_LOOP('',(#132840,#132841,#132842,#132843)); #20414=EDGE_LOOP('',(#132844,#132845,#132846,#132847)); #20415=EDGE_LOOP('',(#132848,#132849,#132850,#132851)); #20416=EDGE_LOOP('',(#132852,#132853,#132854,#132855)); #20417=EDGE_LOOP('',(#132856,#132857,#132858,#132859)); #20418=EDGE_LOOP('',(#132860,#132861,#132862,#132863)); #20419=EDGE_LOOP('',(#132864,#132865,#132866,#132867)); #20420=EDGE_LOOP('',(#132868,#132869,#132870,#132871)); #20421=EDGE_LOOP('',(#132872,#132873,#132874,#132875)); #20422=EDGE_LOOP('',(#132876,#132877,#132878,#132879)); #20423=EDGE_LOOP('',(#132880,#132881,#132882,#132883)); #20424=EDGE_LOOP('',(#132884,#132885,#132886,#132887)); #20425=EDGE_LOOP('',(#132888,#132889,#132890,#132891)); #20426=EDGE_LOOP('',(#132892,#132893,#132894,#132895)); #20427=EDGE_LOOP('',(#132896,#132897,#132898,#132899)); #20428=EDGE_LOOP('',(#132900,#132901,#132902,#132903,#132904,#132905,#132906, #132907,#132908,#132909,#132910,#132911,#132912,#132913,#132914,#132915, #132916,#132917,#132918,#132919,#132920,#132921,#132922,#132923,#132924, #132925,#132926,#132927,#132928,#132929,#132930,#132931,#132932,#132933)); #20429=EDGE_LOOP('',(#132934,#132935,#132936,#132937)); #20430=EDGE_LOOP('',(#132938,#132939,#132940,#132941)); #20431=EDGE_LOOP('',(#132942,#132943,#132944,#132945)); #20432=EDGE_LOOP('',(#132946,#132947,#132948,#132949)); #20433=EDGE_LOOP('',(#132950,#132951,#132952,#132953)); #20434=EDGE_LOOP('',(#132954,#132955,#132956,#132957)); #20435=EDGE_LOOP('',(#132958,#132959,#132960,#132961)); #20436=EDGE_LOOP('',(#132962,#132963,#132964,#132965)); #20437=EDGE_LOOP('',(#132966,#132967,#132968,#132969)); #20438=EDGE_LOOP('',(#132970,#132971,#132972,#132973)); #20439=EDGE_LOOP('',(#132974,#132975,#132976,#132977)); #20440=EDGE_LOOP('',(#132978,#132979,#132980,#132981)); #20441=EDGE_LOOP('',(#132982,#132983,#132984,#132985)); #20442=EDGE_LOOP('',(#132986,#132987,#132988,#132989)); #20443=EDGE_LOOP('',(#132990,#132991,#132992,#132993)); #20444=EDGE_LOOP('',(#132994,#132995,#132996,#132997)); #20445=EDGE_LOOP('',(#132998,#132999,#133000,#133001)); #20446=EDGE_LOOP('',(#133002,#133003,#133004,#133005)); #20447=EDGE_LOOP('',(#133006,#133007,#133008,#133009)); #20448=EDGE_LOOP('',(#133010,#133011,#133012,#133013)); #20449=EDGE_LOOP('',(#133014,#133015,#133016,#133017)); #20450=EDGE_LOOP('',(#133018,#133019,#133020,#133021)); #20451=EDGE_LOOP('',(#133022,#133023,#133024,#133025)); #20452=EDGE_LOOP('',(#133026,#133027,#133028,#133029)); #20453=EDGE_LOOP('',(#133030,#133031,#133032,#133033)); #20454=EDGE_LOOP('',(#133034,#133035,#133036,#133037)); #20455=EDGE_LOOP('',(#133038,#133039,#133040,#133041)); #20456=EDGE_LOOP('',(#133042,#133043,#133044,#133045)); #20457=EDGE_LOOP('',(#133046,#133047,#133048,#133049)); #20458=EDGE_LOOP('',(#133050,#133051,#133052,#133053)); #20459=EDGE_LOOP('',(#133054,#133055,#133056,#133057)); #20460=EDGE_LOOP('',(#133058,#133059,#133060,#133061)); #20461=EDGE_LOOP('',(#133062,#133063,#133064,#133065)); #20462=EDGE_LOOP('',(#133066,#133067,#133068,#133069)); #20463=EDGE_LOOP('',(#133070,#133071,#133072,#133073)); #20464=EDGE_LOOP('',(#133074,#133075,#133076,#133077)); #20465=EDGE_LOOP('',(#133078,#133079,#133080,#133081)); #20466=EDGE_LOOP('',(#133082,#133083,#133084,#133085)); #20467=EDGE_LOOP('',(#133086,#133087,#133088,#133089)); #20468=EDGE_LOOP('',(#133090,#133091,#133092,#133093,#133094,#133095,#133096, #133097,#133098,#133099,#133100,#133101,#133102,#133103,#133104,#133105, #133106,#133107,#133108,#133109,#133110,#133111,#133112,#133113,#133114, #133115,#133116,#133117,#133118,#133119,#133120,#133121,#133122,#133123, #133124,#133125,#133126,#133127,#133128)); #20469=EDGE_LOOP('',(#133129,#133130,#133131,#133132)); #20470=EDGE_LOOP('',(#133133,#133134,#133135,#133136)); #20471=EDGE_LOOP('',(#133137,#133138,#133139,#133140)); #20472=EDGE_LOOP('',(#133141,#133142,#133143,#133144)); #20473=EDGE_LOOP('',(#133145,#133146,#133147,#133148)); #20474=EDGE_LOOP('',(#133149,#133150,#133151,#133152)); #20475=EDGE_LOOP('',(#133153,#133154,#133155,#133156)); #20476=EDGE_LOOP('',(#133157,#133158,#133159,#133160)); #20477=EDGE_LOOP('',(#133161,#133162,#133163,#133164)); #20478=EDGE_LOOP('',(#133165,#133166,#133167,#133168)); #20479=EDGE_LOOP('',(#133169,#133170,#133171,#133172)); #20480=EDGE_LOOP('',(#133173,#133174,#133175,#133176)); #20481=EDGE_LOOP('',(#133177,#133178,#133179,#133180)); #20482=EDGE_LOOP('',(#133181,#133182,#133183,#133184)); #20483=EDGE_LOOP('',(#133185,#133186,#133187,#133188)); #20484=EDGE_LOOP('',(#133189,#133190,#133191,#133192)); #20485=EDGE_LOOP('',(#133193,#133194,#133195,#133196)); #20486=EDGE_LOOP('',(#133197,#133198,#133199,#133200)); #20487=EDGE_LOOP('',(#133201,#133202,#133203,#133204)); #20488=EDGE_LOOP('',(#133205,#133206,#133207,#133208)); #20489=EDGE_LOOP('',(#133209,#133210,#133211,#133212)); #20490=EDGE_LOOP('',(#133213,#133214,#133215,#133216,#133217,#133218,#133219, #133220,#133221,#133222,#133223)); #20491=EDGE_LOOP('',(#133224,#133225,#133226,#133227,#133228,#133229,#133230, #133231,#133232,#133233)); #20492=EDGE_LOOP('',(#133234,#133235,#133236,#133237)); #20493=EDGE_LOOP('',(#133238,#133239,#133240,#133241)); #20494=EDGE_LOOP('',(#133242,#133243,#133244,#133245)); #20495=EDGE_LOOP('',(#133246,#133247,#133248,#133249)); #20496=EDGE_LOOP('',(#133250,#133251,#133252,#133253)); #20497=EDGE_LOOP('',(#133254,#133255,#133256,#133257)); #20498=EDGE_LOOP('',(#133258,#133259,#133260,#133261)); #20499=EDGE_LOOP('',(#133262,#133263,#133264,#133265)); #20500=EDGE_LOOP('',(#133266,#133267,#133268,#133269)); #20501=EDGE_LOOP('',(#133270,#133271,#133272,#133273)); #20502=EDGE_LOOP('',(#133274,#133275,#133276,#133277)); #20503=EDGE_LOOP('',(#133278,#133279,#133280,#133281)); #20504=EDGE_LOOP('',(#133282,#133283,#133284,#133285)); #20505=EDGE_LOOP('',(#133286,#133287,#133288,#133289)); #20506=EDGE_LOOP('',(#133290,#133291,#133292,#133293)); #20507=EDGE_LOOP('',(#133294,#133295,#133296,#133297)); #20508=EDGE_LOOP('',(#133298,#133299,#133300,#133301)); #20509=EDGE_LOOP('',(#133302,#133303,#133304,#133305)); #20510=EDGE_LOOP('',(#133306,#133307,#133308,#133309)); #20511=EDGE_LOOP('',(#133310,#133311,#133312,#133313)); #20512=EDGE_LOOP('',(#133314,#133315,#133316,#133317)); #20513=EDGE_LOOP('',(#133318,#133319,#133320,#133321)); #20514=EDGE_LOOP('',(#133322,#133323,#133324,#133325)); #20515=EDGE_LOOP('',(#133326,#133327,#133328,#133329)); #20516=EDGE_LOOP('',(#133330,#133331,#133332,#133333)); #20517=EDGE_LOOP('',(#133334,#133335,#133336,#133337)); #20518=EDGE_LOOP('',(#133338,#133339,#133340,#133341)); #20519=EDGE_LOOP('',(#133342,#133343,#133344,#133345)); #20520=EDGE_LOOP('',(#133346,#133347,#133348,#133349)); #20521=EDGE_LOOP('',(#133350,#133351,#133352,#133353)); #20522=EDGE_LOOP('',(#133354,#133355,#133356,#133357)); #20523=EDGE_LOOP('',(#133358,#133359,#133360,#133361)); #20524=EDGE_LOOP('',(#133362,#133363,#133364,#133365)); #20525=EDGE_LOOP('',(#133366,#133367,#133368,#133369)); #20526=EDGE_LOOP('',(#133370,#133371,#133372,#133373)); #20527=EDGE_LOOP('',(#133374,#133375,#133376,#133377)); #20528=EDGE_LOOP('',(#133378,#133379,#133380,#133381)); #20529=EDGE_LOOP('',(#133382,#133383,#133384,#133385)); #20530=EDGE_LOOP('',(#133386,#133387,#133388,#133389)); #20531=EDGE_LOOP('',(#133390,#133391,#133392,#133393)); #20532=EDGE_LOOP('',(#133394,#133395,#133396,#133397)); #20533=EDGE_LOOP('',(#133398,#133399,#133400,#133401)); #20534=EDGE_LOOP('',(#133402,#133403,#133404,#133405)); #20535=EDGE_LOOP('',(#133406,#133407,#133408,#133409)); #20536=EDGE_LOOP('',(#133410,#133411,#133412,#133413)); #20537=EDGE_LOOP('',(#133414,#133415,#133416,#133417)); #20538=EDGE_LOOP('',(#133418,#133419,#133420,#133421)); #20539=EDGE_LOOP('',(#133422,#133423,#133424,#133425,#133426,#133427,#133428, #133429,#133430,#133431,#133432,#133433,#133434,#133435,#133436,#133437, #133438,#133439,#133440,#133441,#133442,#133443,#133444,#133445,#133446, #133447,#133448,#133449,#133450,#133451,#133452,#133453,#133454,#133455, #133456,#133457,#133458,#133459,#133460,#133461,#133462,#133463,#133464, #133465,#133466,#133467,#133468)); #20540=EDGE_LOOP('',(#133469,#133470,#133471,#133472)); #20541=EDGE_LOOP('',(#133473,#133474,#133475,#133476)); #20542=EDGE_LOOP('',(#133477,#133478,#133479,#133480)); #20543=EDGE_LOOP('',(#133481,#133482,#133483,#133484)); #20544=EDGE_LOOP('',(#133485,#133486,#133487,#133488)); #20545=EDGE_LOOP('',(#133489,#133490,#133491,#133492)); #20546=EDGE_LOOP('',(#133493,#133494,#133495,#133496)); #20547=EDGE_LOOP('',(#133497,#133498,#133499,#133500)); #20548=EDGE_LOOP('',(#133501,#133502,#133503,#133504)); #20549=EDGE_LOOP('',(#133505,#133506,#133507,#133508)); #20550=EDGE_LOOP('',(#133509,#133510,#133511,#133512)); #20551=EDGE_LOOP('',(#133513,#133514,#133515,#133516)); #20552=EDGE_LOOP('',(#133517,#133518,#133519,#133520)); #20553=EDGE_LOOP('',(#133521,#133522,#133523,#133524)); #20554=EDGE_LOOP('',(#133525,#133526,#133527,#133528)); #20555=EDGE_LOOP('',(#133529,#133530,#133531,#133532)); #20556=EDGE_LOOP('',(#133533,#133534,#133535,#133536)); #20557=EDGE_LOOP('',(#133537,#133538,#133539,#133540)); #20558=EDGE_LOOP('',(#133541,#133542,#133543,#133544)); #20559=EDGE_LOOP('',(#133545,#133546,#133547,#133548)); #20560=EDGE_LOOP('',(#133549,#133550,#133551,#133552)); #20561=EDGE_LOOP('',(#133553,#133554,#133555,#133556)); #20562=EDGE_LOOP('',(#133557,#133558,#133559,#133560)); #20563=EDGE_LOOP('',(#133561,#133562,#133563,#133564)); #20564=EDGE_LOOP('',(#133565,#133566,#133567,#133568)); #20565=EDGE_LOOP('',(#133569,#133570,#133571,#133572)); #20566=EDGE_LOOP('',(#133573,#133574,#133575,#133576)); #20567=EDGE_LOOP('',(#133577,#133578,#133579,#133580)); #20568=EDGE_LOOP('',(#133581,#133582,#133583,#133584,#133585,#133586,#133587, #133588,#133589,#133590,#133591,#133592,#133593,#133594,#133595,#133596, #133597,#133598,#133599,#133600,#133601,#133602,#133603,#133604,#133605, #133606,#133607,#133608)); #20569=EDGE_LOOP('',(#133609,#133610,#133611,#133612)); #20570=EDGE_LOOP('',(#133613,#133614,#133615,#133616)); #20571=EDGE_LOOP('',(#133617,#133618,#133619,#133620)); #20572=EDGE_LOOP('',(#133621,#133622,#133623,#133624)); #20573=EDGE_LOOP('',(#133625,#133626,#133627,#133628)); #20574=EDGE_LOOP('',(#133629,#133630,#133631,#133632)); #20575=EDGE_LOOP('',(#133633,#133634,#133635,#133636)); #20576=EDGE_LOOP('',(#133637,#133638,#133639,#133640)); #20577=EDGE_LOOP('',(#133641,#133642,#133643,#133644)); #20578=EDGE_LOOP('',(#133645,#133646,#133647,#133648)); #20579=EDGE_LOOP('',(#133649,#133650,#133651,#133652)); #20580=EDGE_LOOP('',(#133653,#133654,#133655,#133656)); #20581=EDGE_LOOP('',(#133657,#133658,#133659,#133660)); #20582=EDGE_LOOP('',(#133661,#133662,#133663,#133664)); #20583=EDGE_LOOP('',(#133665,#133666,#133667,#133668)); #20584=EDGE_LOOP('',(#133669,#133670,#133671,#133672)); #20585=EDGE_LOOP('',(#133673,#133674,#133675,#133676)); #20586=EDGE_LOOP('',(#133677,#133678,#133679,#133680)); #20587=EDGE_LOOP('',(#133681,#133682,#133683,#133684)); #20588=EDGE_LOOP('',(#133685,#133686,#133687,#133688)); #20589=EDGE_LOOP('',(#133689,#133690,#133691,#133692)); #20590=EDGE_LOOP('',(#133693,#133694,#133695,#133696)); #20591=EDGE_LOOP('',(#133697,#133698,#133699,#133700)); #20592=EDGE_LOOP('',(#133701,#133702,#133703,#133704)); #20593=EDGE_LOOP('',(#133705,#133706,#133707,#133708)); #20594=EDGE_LOOP('',(#133709,#133710,#133711,#133712)); #20595=EDGE_LOOP('',(#133713,#133714,#133715,#133716)); #20596=EDGE_LOOP('',(#133717,#133718,#133719,#133720)); #20597=EDGE_LOOP('',(#133721,#133722,#133723,#133724)); #20598=EDGE_LOOP('',(#133725,#133726,#133727,#133728)); #20599=EDGE_LOOP('',(#133729,#133730,#133731,#133732)); #20600=EDGE_LOOP('',(#133733,#133734,#133735,#133736)); #20601=EDGE_LOOP('',(#133737,#133738,#133739,#133740)); #20602=EDGE_LOOP('',(#133741,#133742,#133743,#133744)); #20603=EDGE_LOOP('',(#133745,#133746,#133747,#133748)); #20604=EDGE_LOOP('',(#133749,#133750,#133751,#133752)); #20605=EDGE_LOOP('',(#133753,#133754,#133755,#133756)); #20606=EDGE_LOOP('',(#133757,#133758,#133759,#133760)); #20607=EDGE_LOOP('',(#133761,#133762,#133763,#133764,#133765,#133766,#133767, #133768,#133769,#133770,#133771,#133772,#133773,#133774,#133775,#133776, #133777,#133778,#133779,#133780,#133781,#133782,#133783,#133784,#133785, #133786,#133787,#133788,#133789,#133790,#133791,#133792,#133793,#133794, #133795,#133796,#133797,#133798)); #20608=EDGE_LOOP('',(#133799,#133800,#133801,#133802)); #20609=EDGE_LOOP('',(#133803,#133804,#133805,#133806)); #20610=EDGE_LOOP('',(#133807,#133808,#133809,#133810)); #20611=EDGE_LOOP('',(#133811,#133812,#133813,#133814)); #20612=EDGE_LOOP('',(#133815,#133816,#133817,#133818)); #20613=EDGE_LOOP('',(#133819,#133820,#133821,#133822)); #20614=EDGE_LOOP('',(#133823,#133824,#133825,#133826)); #20615=EDGE_LOOP('',(#133827,#133828,#133829,#133830)); #20616=EDGE_LOOP('',(#133831,#133832,#133833,#133834)); #20617=EDGE_LOOP('',(#133835,#133836,#133837,#133838)); #20618=EDGE_LOOP('',(#133839,#133840,#133841,#133842,#133843,#133844,#133845, #133846,#133847,#133848)); #20619=EDGE_LOOP('',(#133849,#133850,#133851,#133852)); #20620=EDGE_LOOP('',(#133853,#133854,#133855,#133856)); #20621=EDGE_LOOP('',(#133857,#133858,#133859,#133860)); #20622=EDGE_LOOP('',(#133861,#133862,#133863,#133864)); #20623=EDGE_LOOP('',(#133865,#133866,#133867,#133868)); #20624=EDGE_LOOP('',(#133869,#133870,#133871,#133872)); #20625=EDGE_LOOP('',(#133873,#133874,#133875,#133876)); #20626=EDGE_LOOP('',(#133877,#133878,#133879,#133880)); #20627=EDGE_LOOP('',(#133881,#133882,#133883,#133884)); #20628=EDGE_LOOP('',(#133885,#133886,#133887,#133888)); #20629=EDGE_LOOP('',(#133889,#133890,#133891,#133892)); #20630=EDGE_LOOP('',(#133893,#133894,#133895,#133896)); #20631=EDGE_LOOP('',(#133897,#133898,#133899,#133900)); #20632=EDGE_LOOP('',(#133901,#133902,#133903,#133904)); #20633=EDGE_LOOP('',(#133905,#133906,#133907,#133908)); #20634=EDGE_LOOP('',(#133909,#133910,#133911,#133912)); #20635=EDGE_LOOP('',(#133913,#133914,#133915,#133916)); #20636=EDGE_LOOP('',(#133917,#133918,#133919,#133920)); #20637=EDGE_LOOP('',(#133921,#133922,#133923,#133924)); #20638=EDGE_LOOP('',(#133925,#133926,#133927,#133928)); #20639=EDGE_LOOP('',(#133929,#133930,#133931,#133932)); #20640=EDGE_LOOP('',(#133933,#133934,#133935,#133936)); #20641=EDGE_LOOP('',(#133937,#133938,#133939,#133940)); #20642=EDGE_LOOP('',(#133941,#133942,#133943,#133944)); #20643=EDGE_LOOP('',(#133945,#133946,#133947,#133948)); #20644=EDGE_LOOP('',(#133949,#133950,#133951,#133952)); #20645=EDGE_LOOP('',(#133953,#133954,#133955,#133956)); #20646=EDGE_LOOP('',(#133957,#133958,#133959,#133960)); #20647=EDGE_LOOP('',(#133961,#133962,#133963,#133964)); #20648=EDGE_LOOP('',(#133965,#133966,#133967,#133968)); #20649=EDGE_LOOP('',(#133969,#133970,#133971,#133972)); #20650=EDGE_LOOP('',(#133973,#133974,#133975,#133976)); #20651=EDGE_LOOP('',(#133977,#133978,#133979,#133980)); #20652=EDGE_LOOP('',(#133981,#133982,#133983,#133984)); #20653=EDGE_LOOP('',(#133985,#133986,#133987,#133988)); #20654=EDGE_LOOP('',(#133989,#133990,#133991,#133992)); #20655=EDGE_LOOP('',(#133993,#133994,#133995,#133996,#133997,#133998,#133999, #134000,#134001,#134002,#134003,#134004,#134005,#134006,#134007,#134008, #134009,#134010,#134011,#134012,#134013,#134014,#134015,#134016,#134017, #134018,#134019,#134020,#134021,#134022,#134023,#134024,#134025,#134026, #134027,#134028)); #20656=EDGE_LOOP('',(#134029,#134030,#134031,#134032)); #20657=EDGE_LOOP('',(#134033,#134034,#134035,#134036)); #20658=EDGE_LOOP('',(#134037,#134038,#134039,#134040)); #20659=EDGE_LOOP('',(#134041,#134042,#134043,#134044)); #20660=EDGE_LOOP('',(#134045,#134046,#134047,#134048)); #20661=EDGE_LOOP('',(#134049,#134050,#134051,#134052)); #20662=EDGE_LOOP('',(#134053,#134054,#134055,#134056)); #20663=EDGE_LOOP('',(#134057,#134058,#134059,#134060)); #20664=EDGE_LOOP('',(#134061,#134062,#134063,#134064)); #20665=EDGE_LOOP('',(#134065,#134066,#134067,#134068)); #20666=EDGE_LOOP('',(#134069,#134070,#134071,#134072)); #20667=EDGE_LOOP('',(#134073,#134074,#134075,#134076)); #20668=EDGE_LOOP('',(#134077,#134078,#134079,#134080)); #20669=EDGE_LOOP('',(#134081,#134082,#134083,#134084)); #20670=EDGE_LOOP('',(#134085,#134086,#134087,#134088)); #20671=EDGE_LOOP('',(#134089,#134090,#134091,#134092)); #20672=EDGE_LOOP('',(#134093,#134094,#134095,#134096)); #20673=EDGE_LOOP('',(#134097,#134098,#134099,#134100)); #20674=EDGE_LOOP('',(#134101,#134102,#134103,#134104)); #20675=EDGE_LOOP('',(#134105,#134106,#134107,#134108)); #20676=EDGE_LOOP('',(#134109,#134110,#134111,#134112)); #20677=EDGE_LOOP('',(#134113,#134114,#134115,#134116)); #20678=EDGE_LOOP('',(#134117,#134118,#134119,#134120)); #20679=EDGE_LOOP('',(#134121,#134122,#134123,#134124)); #20680=EDGE_LOOP('',(#134125,#134126,#134127,#134128)); #20681=EDGE_LOOP('',(#134129,#134130,#134131,#134132)); #20682=EDGE_LOOP('',(#134133,#134134,#134135,#134136)); #20683=EDGE_LOOP('',(#134137,#134138,#134139,#134140)); #20684=EDGE_LOOP('',(#134141,#134142,#134143,#134144)); #20685=EDGE_LOOP('',(#134145,#134146,#134147,#134148)); #20686=EDGE_LOOP('',(#134149,#134150,#134151,#134152,#134153,#134154,#134155, #134156,#134157,#134158,#134159,#134160,#134161,#134162,#134163,#134164)); #20687=EDGE_LOOP('',(#134165,#134166,#134167,#134168,#134169,#134170,#134171, #134172,#134173,#134174,#134175,#134176,#134177,#134178)); #20688=EDGE_LOOP('',(#134179,#134180,#134181,#134182)); #20689=EDGE_LOOP('',(#134183,#134184,#134185,#134186)); #20690=EDGE_LOOP('',(#134187,#134188,#134189,#134190)); #20691=EDGE_LOOP('',(#134191,#134192,#134193,#134194)); #20692=EDGE_LOOP('',(#134195,#134196,#134197,#134198)); #20693=EDGE_LOOP('',(#134199,#134200,#134201,#134202)); #20694=EDGE_LOOP('',(#134203,#134204,#134205,#134206)); #20695=EDGE_LOOP('',(#134207,#134208,#134209,#134210)); #20696=EDGE_LOOP('',(#134211,#134212,#134213,#134214)); #20697=EDGE_LOOP('',(#134215,#134216,#134217,#134218)); #20698=EDGE_LOOP('',(#134219,#134220,#134221,#134222)); #20699=EDGE_LOOP('',(#134223,#134224,#134225,#134226)); #20700=EDGE_LOOP('',(#134227,#134228,#134229,#134230)); #20701=EDGE_LOOP('',(#134231,#134232,#134233,#134234)); #20702=EDGE_LOOP('',(#134235,#134236,#134237,#134238)); #20703=EDGE_LOOP('',(#134239,#134240,#134241,#134242)); #20704=EDGE_LOOP('',(#134243,#134244,#134245,#134246)); #20705=EDGE_LOOP('',(#134247,#134248,#134249,#134250)); #20706=EDGE_LOOP('',(#134251,#134252,#134253,#134254)); #20707=EDGE_LOOP('',(#134255,#134256,#134257,#134258)); #20708=EDGE_LOOP('',(#134259,#134260,#134261,#134262)); #20709=EDGE_LOOP('',(#134263,#134264,#134265,#134266)); #20710=EDGE_LOOP('',(#134267,#134268,#134269,#134270)); #20711=EDGE_LOOP('',(#134271,#134272,#134273,#134274)); #20712=EDGE_LOOP('',(#134275,#134276,#134277,#134278)); #20713=EDGE_LOOP('',(#134279,#134280,#134281,#134282)); #20714=EDGE_LOOP('',(#134283,#134284,#134285,#134286)); #20715=EDGE_LOOP('',(#134287,#134288,#134289,#134290)); #20716=EDGE_LOOP('',(#134291,#134292,#134293,#134294)); #20717=EDGE_LOOP('',(#134295,#134296,#134297,#134298)); #20718=EDGE_LOOP('',(#134299,#134300,#134301,#134302)); #20719=EDGE_LOOP('',(#134303,#134304,#134305,#134306,#134307,#134308,#134309, #134310,#134311,#134312,#134313,#134314,#134315,#134316,#134317,#134318, #134319,#134320,#134321,#134322,#134323,#134324,#134325,#134326,#134327, #134328,#134329,#134330,#134331,#134332,#134333)); #20720=EDGE_LOOP('',(#134334,#134335,#134336,#134337)); #20721=EDGE_LOOP('',(#134338,#134339,#134340,#134341)); #20722=EDGE_LOOP('',(#134342,#134343,#134344,#134345)); #20723=EDGE_LOOP('',(#134346,#134347,#134348,#134349)); #20724=EDGE_LOOP('',(#134350,#134351,#134352,#134353)); #20725=EDGE_LOOP('',(#134354,#134355,#134356,#134357)); #20726=EDGE_LOOP('',(#134358,#134359,#134360,#134361)); #20727=EDGE_LOOP('',(#134362,#134363,#134364,#134365)); #20728=EDGE_LOOP('',(#134366,#134367,#134368,#134369)); #20729=EDGE_LOOP('',(#134370,#134371,#134372,#134373)); #20730=EDGE_LOOP('',(#134374,#134375,#134376,#134377)); #20731=EDGE_LOOP('',(#134378,#134379,#134380,#134381)); #20732=EDGE_LOOP('',(#134382,#134383,#134384,#134385)); #20733=EDGE_LOOP('',(#134386,#134387,#134388,#134389)); #20734=EDGE_LOOP('',(#134390,#134391,#134392,#134393)); #20735=EDGE_LOOP('',(#134394,#134395,#134396,#134397)); #20736=EDGE_LOOP('',(#134398,#134399,#134400,#134401)); #20737=EDGE_LOOP('',(#134402,#134403,#134404,#134405)); #20738=EDGE_LOOP('',(#134406,#134407,#134408,#134409)); #20739=EDGE_LOOP('',(#134410,#134411,#134412,#134413)); #20740=EDGE_LOOP('',(#134414,#134415,#134416,#134417)); #20741=EDGE_LOOP('',(#134418,#134419,#134420,#134421)); #20742=EDGE_LOOP('',(#134422,#134423,#134424,#134425)); #20743=EDGE_LOOP('',(#134426,#134427,#134428,#134429)); #20744=EDGE_LOOP('',(#134430,#134431,#134432,#134433)); #20745=EDGE_LOOP('',(#134434,#134435,#134436,#134437)); #20746=EDGE_LOOP('',(#134438,#134439,#134440,#134441)); #20747=EDGE_LOOP('',(#134442,#134443,#134444,#134445)); #20748=EDGE_LOOP('',(#134446,#134447,#134448,#134449)); #20749=EDGE_LOOP('',(#134450,#134451,#134452,#134453)); #20750=EDGE_LOOP('',(#134454,#134455,#134456,#134457,#134458,#134459,#134460, #134461,#134462,#134463,#134464,#134465,#134466,#134467,#134468,#134469)); #20751=EDGE_LOOP('',(#134470,#134471,#134472,#134473,#134474,#134475,#134476, #134477,#134478,#134479,#134480,#134481,#134482,#134483)); #20752=EDGE_LOOP('',(#134484,#134485,#134486,#134487)); #20753=EDGE_LOOP('',(#134488,#134489,#134490,#134491)); #20754=EDGE_LOOP('',(#134492,#134493,#134494,#134495)); #20755=EDGE_LOOP('',(#134496,#134497,#134498,#134499)); #20756=EDGE_LOOP('',(#134500,#134501,#134502,#134503)); #20757=EDGE_LOOP('',(#134504,#134505,#134506,#134507)); #20758=EDGE_LOOP('',(#134508,#134509,#134510,#134511)); #20759=EDGE_LOOP('',(#134512,#134513,#134514,#134515)); #20760=EDGE_LOOP('',(#134516,#134517,#134518,#134519)); #20761=EDGE_LOOP('',(#134520,#134521,#134522,#134523)); #20762=EDGE_LOOP('',(#134524,#134525,#134526,#134527)); #20763=EDGE_LOOP('',(#134528,#134529,#134530,#134531)); #20764=EDGE_LOOP('',(#134532,#134533,#134534,#134535)); #20765=EDGE_LOOP('',(#134536,#134537,#134538,#134539)); #20766=EDGE_LOOP('',(#134540,#134541,#134542,#134543)); #20767=EDGE_LOOP('',(#134544,#134545,#134546,#134547)); #20768=EDGE_LOOP('',(#134548,#134549,#134550,#134551)); #20769=EDGE_LOOP('',(#134552,#134553,#134554,#134555)); #20770=EDGE_LOOP('',(#134556,#134557,#134558,#134559)); #20771=EDGE_LOOP('',(#134560,#134561,#134562,#134563)); #20772=EDGE_LOOP('',(#134564,#134565,#134566,#134567,#134568,#134569,#134570, #134571,#134572,#134573,#134574,#134575,#134576,#134577,#134578,#134579, #134580,#134581,#134582,#134583)); #20773=EDGE_LOOP('',(#134584,#134585,#134586,#134587,#134588,#134589,#134590, #134591)); #20774=EDGE_LOOP('',(#134592,#134593,#134594,#134595,#134596,#134597,#134598, #134599,#134600,#134601,#134602,#134603,#134604,#134605,#134606,#134607)); #20775=EDGE_LOOP('',(#134608,#134609,#134610,#134611)); #20776=EDGE_LOOP('',(#134612,#134613,#134614,#134615,#134616,#134617,#134618, #134619,#134620,#134621,#134622,#134623,#134624,#134625,#134626,#134627, #134628,#134629,#134630,#134631,#134632,#134633,#134634,#134635,#134636, #134637,#134638,#134639,#134640,#134641,#134642,#134643,#134644)); #20777=EDGE_LOOP('',(#134645,#134646,#134647,#134648,#134649,#134650,#134651, #134652,#134653,#134654,#134655,#134656,#134657,#134658,#134659,#134660, #134661,#134662,#134663,#134664)); #20778=EDGE_LOOP('',(#134665,#134666,#134667,#134668,#134669,#134670,#134671, #134672,#134673,#134674,#134675,#134676,#134677,#134678,#134679,#134680, #134681,#134682,#134683,#134684,#134685)); #20779=EDGE_LOOP('',(#134686,#134687,#134688,#134689,#134690,#134691,#134692, #134693,#134694,#134695,#134696,#134697,#134698,#134699,#134700,#134701, #134702,#134703,#134704,#134705,#134706,#134707,#134708,#134709,#134710, #134711,#134712,#134713,#134714,#134715,#134716,#134717,#134718,#134719, #134720)); #20780=EDGE_LOOP('',(#134721,#134722,#134723,#134724)); #20781=EDGE_LOOP('',(#134725,#134726,#134727,#134728,#134729,#134730,#134731, #134732,#134733,#134734,#134735,#134736,#134737,#134738,#134739,#134740, #134741,#134742,#134743,#134744,#134745,#134746,#134747,#134748,#134749, #134750,#134751,#134752,#134753,#134754,#134755,#134756,#134757,#134758, #134759,#134760,#134761,#134762,#134763,#134764,#134765,#134766,#134767, #134768,#134769,#134770,#134771,#134772,#134773,#134774,#134775,#134776, #134777,#134778,#134779,#134780,#134781,#134782,#134783,#134784,#134785, #134786,#134787,#134788,#134789,#134790,#134791,#134792,#134793,#134794, #134795,#134796,#134797,#134798,#134799,#134800,#134801,#134802,#134803, #134804,#134805,#134806,#134807,#134808,#134809,#134810,#134811,#134812, #134813,#134814,#134815,#134816,#134817,#134818,#134819,#134820,#134821, #134822,#134823,#134824,#134825,#134826,#134827,#134828,#134829,#134830, #134831,#134832,#134833,#134834,#134835,#134836,#134837,#134838,#134839, #134840,#134841,#134842,#134843,#134844,#134845,#134846,#134847,#134848, #134849,#134850,#134851,#134852,#134853,#134854)); #20782=EDGE_LOOP('',(#134855,#134856,#134857,#134858,#134859,#134860,#134861, #134862,#134863,#134864,#134865,#134866,#134867,#134868,#134869,#134870, #134871,#134872,#134873,#134874,#134875,#134876,#134877,#134878,#134879, #134880,#134881,#134882,#134883,#134884,#134885,#134886,#134887,#134888)); #20783=EDGE_LOOP('',(#134889,#134890,#134891,#134892)); #20784=EDGE_LOOP('',(#134893,#134894,#134895,#134896,#134897,#134898,#134899, #134900,#134901,#134902,#134903,#134904,#134905,#134906,#134907,#134908, #134909,#134910,#134911,#134912,#134913,#134914,#134915,#134916)); #20785=EDGE_LOOP('',(#134917,#134918,#134919,#134920,#134921,#134922,#134923, #134924,#134925,#134926,#134927,#134928,#134929,#134930,#134931,#134932, #134933,#134934,#134935,#134936,#134937,#134938,#134939,#134940,#134941, #134942,#134943,#134944,#134945,#134946,#134947)); #20786=EDGE_LOOP('',(#134948,#134949,#134950,#134951,#134952,#134953,#134954, #134955,#134956,#134957,#134958)); #20787=EDGE_LOOP('',(#134959,#134960,#134961,#134962,#134963,#134964,#134965, #134966,#134967,#134968,#134969,#134970,#134971,#134972,#134973,#134974, #134975,#134976,#134977,#134978,#134979,#134980,#134981,#134982,#134983, #134984,#134985,#134986,#134987,#134988,#134989,#134990,#134991,#134992, #134993,#134994,#134995,#134996)); #20788=EDGE_LOOP('',(#134997,#134998,#134999,#135000,#135001,#135002,#135003, #135004,#135005,#135006,#135007,#135008,#135009,#135010,#135011,#135012, #135013,#135014,#135015,#135016,#135017,#135018,#135019,#135020,#135021, #135022,#135023,#135024,#135025,#135026,#135027,#135028,#135029,#135030, #135031,#135032,#135033,#135034,#135035,#135036,#135037)); #20789=EDGE_LOOP('',(#135038,#135039,#135040,#135041)); #20790=EDGE_LOOP('',(#135042,#135043,#135044,#135045,#135046,#135047,#135048, #135049,#135050,#135051,#135052,#135053,#135054,#135055,#135056,#135057, #135058,#135059,#135060,#135061,#135062,#135063,#135064,#135065,#135066, #135067,#135068,#135069,#135070,#135071,#135072,#135073,#135074,#135075, #135076)); #20791=EDGE_LOOP('',(#135077,#135078,#135079,#135080,#135081,#135082,#135083, #135084,#135085,#135086,#135087,#135088,#135089,#135090,#135091,#135092, #135093,#135094,#135095,#135096,#135097,#135098,#135099,#135100,#135101, #135102,#135103,#135104,#135105,#135106,#135107,#135108,#135109,#135110, #135111,#135112,#135113,#135114,#135115,#135116,#135117,#135118,#135119, #135120,#135121,#135122)); #20792=EDGE_LOOP('',(#135123,#135124,#135125,#135126,#135127,#135128,#135129, #135130,#135131,#135132,#135133,#135134,#135135,#135136,#135137,#135138, #135139,#135140,#135141,#135142,#135143,#135144,#135145,#135146,#135147, #135148,#135149,#135150,#135151,#135152,#135153,#135154,#135155,#135156, #135157,#135158,#135159)); #20793=EDGE_LOOP('',(#135160,#135161,#135162,#135163,#135164,#135165,#135166, #135167,#135168,#135169,#135170)); #20794=EDGE_LOOP('',(#135171,#135172,#135173,#135174,#135175,#135176,#135177, #135178,#135179,#135180,#135181,#135182,#135183,#135184,#135185,#135186, #135187,#135188,#135189,#135190,#135191,#135192,#135193,#135194,#135195, #135196,#135197,#135198,#135199,#135200,#135201,#135202,#135203,#135204, #135205,#135206,#135207,#135208,#135209,#135210,#135211,#135212,#135213, #135214,#135215,#135216,#135217,#135218,#135219,#135220,#135221)); #20795=EDGE_LOOP('',(#135222,#135223,#135224,#135225,#135226,#135227,#135228, #135229,#135230,#135231,#135232,#135233,#135234,#135235,#135236,#135237)); #20796=EDGE_LOOP('',(#135238,#135239,#135240,#135241,#135242,#135243,#135244, #135245,#135246,#135247,#135248,#135249,#135250,#135251,#135252,#135253)); #20797=EDGE_LOOP('',(#135254,#135255,#135256,#135257,#135258,#135259,#135260, #135261,#135262,#135263,#135264,#135265,#135266,#135267,#135268,#135269)); #20798=EDGE_LOOP('',(#135270,#135271,#135272,#135273,#135274,#135275,#135276, #135277,#135278,#135279,#135280,#135281,#135282,#135283,#135284,#135285)); #20799=EDGE_LOOP('',(#135286,#135287,#135288,#135289,#135290,#135291,#135292, #135293,#135294,#135295,#135296,#135297,#135298,#135299,#135300,#135301, #135302,#135303,#135304,#135305,#135306,#135307,#135308,#135309,#135310, #135311,#135312,#135313,#135314,#135315,#135316)); #20800=EDGE_LOOP('',(#135317,#135318,#135319,#135320,#135321,#135322,#135323, #135324,#135325,#135326,#135327,#135328,#135329,#135330,#135331,#135332, #135333,#135334,#135335,#135336,#135337,#135338,#135339,#135340,#135341, #135342,#135343,#135344,#135345,#135346,#135347,#135348,#135349,#135350, #135351,#135352,#135353)); #20801=EDGE_LOOP('',(#135354,#135355,#135356,#135357,#135358,#135359,#135360, #135361,#135362,#135363,#135364,#135365,#135366,#135367,#135368,#135369, #135370,#135371,#135372,#135373,#135374,#135375,#135376,#135377,#135378, #135379,#135380,#135381,#135382)); #20802=EDGE_LOOP('',(#135383,#135384,#135385,#135386,#135387,#135388,#135389, #135390,#135391,#135392)); #20803=EDGE_LOOP('',(#135393,#135394,#135395,#135396,#135397,#135398,#135399, #135400,#135401,#135402,#135403,#135404,#135405,#135406,#135407,#135408, #135409,#135410,#135411,#135412)); #20804=EDGE_LOOP('',(#135413,#135414,#135415,#135416,#135417,#135418,#135419, #135420,#135421,#135422,#135423,#135424,#135425,#135426,#135427,#135428)); #20805=EDGE_LOOP('',(#135429,#135430,#135431,#135432)); #20806=EDGE_LOOP('',(#135433,#135434,#135435,#135436,#135437,#135438,#135439, #135440,#135441,#135442,#135443,#135444,#135445,#135446,#135447,#135448, #135449,#135450,#135451,#135452,#135453,#135454,#135455,#135456,#135457, #135458,#135459,#135460,#135461)); #20807=EDGE_LOOP('',(#135462,#135463,#135464,#135465,#135466,#135467,#135468, #135469,#135470,#135471,#135472,#135473,#135474,#135475,#135476,#135477, #135478,#135479,#135480,#135481,#135482,#135483,#135484,#135485,#135486, #135487,#135488,#135489,#135490,#135491,#135492,#135493,#135494,#135495)); #20808=EDGE_LOOP('',(#135496,#135497,#135498,#135499,#135500,#135501,#135502, #135503,#135504,#135505,#135506,#135507,#135508,#135509,#135510,#135511, #135512,#135513,#135514,#135515,#135516,#135517,#135518,#135519,#135520, #135521,#135522,#135523,#135524,#135525,#135526,#135527,#135528,#135529, #135530,#135531,#135532,#135533,#135534)); #20809=EDGE_LOOP('',(#135535,#135536,#135537,#135538,#135539,#135540,#135541, #135542,#135543,#135544,#135545)); #20810=EDGE_LOOP('',(#135546,#135547,#135548,#135549,#135550,#135551,#135552, #135553,#135554,#135555,#135556,#135557,#135558,#135559,#135560,#135561, #135562,#135563,#135564,#135565,#135566,#135567,#135568,#135569,#135570, #135571,#135572,#135573,#135574,#135575,#135576,#135577,#135578,#135579, #135580,#135581,#135582,#135583,#135584,#135585,#135586,#135587,#135588, #135589,#135590,#135591,#135592)); #20811=EDGE_LOOP('',(#135593,#135594,#135595,#135596,#135597,#135598,#135599, #135600,#135601,#135602,#135603,#135604,#135605,#135606,#135607,#135608, #135609,#135610,#135611,#135612,#135613,#135614,#135615,#135616,#135617, #135618,#135619,#135620)); #20812=EDGE_LOOP('',(#135621,#135622,#135623,#135624,#135625,#135626,#135627, #135628,#135629,#135630,#135631,#135632,#135633,#135634,#135635,#135636, #135637,#135638,#135639,#135640,#135641,#135642,#135643,#135644,#135645, #135646,#135647,#135648,#135649,#135650,#135651,#135652,#135653,#135654, #135655,#135656,#135657,#135658)); #20813=EDGE_LOOP('',(#135659,#135660,#135661,#135662,#135663,#135664,#135665, #135666,#135667,#135668)); #20814=EDGE_LOOP('',(#135669,#135670,#135671,#135672,#135673,#135674,#135675, #135676,#135677,#135678,#135679,#135680,#135681,#135682,#135683,#135684, #135685,#135686,#135687,#135688,#135689,#135690,#135691,#135692,#135693, #135694,#135695,#135696,#135697,#135698,#135699,#135700,#135701,#135702, #135703,#135704)); #20815=EDGE_LOOP('',(#135705,#135706,#135707,#135708,#135709,#135710,#135711, #135712,#135713,#135714,#135715,#135716,#135717,#135718,#135719,#135720)); #20816=EDGE_LOOP('',(#135721,#135722,#135723,#135724,#135725,#135726,#135727, #135728,#135729,#135730,#135731,#135732,#135733,#135734,#135735,#135736, #135737,#135738,#135739,#135740,#135741,#135742,#135743,#135744,#135745, #135746,#135747,#135748,#135749,#135750,#135751)); #20817=EDGE_LOOP('',(#135752,#135753,#135754,#135755,#135756,#135757,#135758, #135759,#135760,#135761,#135762,#135763,#135764,#135765,#135766,#135767)); #20818=EDGE_LOOP('',(#135768,#135769,#135770,#135771,#135772,#135773,#135774, #135775,#135776,#135777,#135778,#135779,#135780,#135781,#135782,#135783, #135784,#135785,#135786,#135787)); #20819=EDGE_LOOP('',(#135788,#135789,#135790,#135791,#135792,#135793,#135794, #135795,#135796,#135797,#135798,#135799,#135800,#135801)); #20820=EDGE_LOOP('',(#135802,#135803,#135804,#135805,#135806,#135807,#135808, #135809,#135810,#135811,#135812,#135813,#135814,#135815)); #20821=EDGE_LOOP('',(#135816,#135817,#135818,#135819,#135820,#135821,#135822, #135823,#135824,#135825)); #20822=EDGE_LOOP('',(#135826,#135827,#135828,#135829)); #20823=EDGE_LOOP('',(#135830,#135831,#135832,#135833)); #20824=EDGE_LOOP('',(#135834,#135835,#135836,#135837,#135838,#135839,#135840, #135841,#135842,#135843,#135844,#135845,#135846,#135847)); #20825=EDGE_LOOP('',(#135848,#135849,#135850,#135851,#135852,#135853,#135854, #135855,#135856,#135857,#135858,#135859,#135860,#135861)); #20826=EDGE_LOOP('',(#135862,#135863,#135864,#135865,#135866,#135867,#135868, #135869,#135870,#135871,#135872,#135873,#135874,#135875)); #20827=EDGE_LOOP('',(#135876,#135877,#135878,#135879,#135880,#135881,#135882, #135883,#135884,#135885,#135886,#135887,#135888,#135889)); #20828=EDGE_LOOP('',(#135890,#135891,#135892,#135893,#135894,#135895,#135896, #135897)); #20829=EDGE_LOOP('',(#135898,#135899,#135900,#135901)); #20830=EDGE_LOOP('',(#135902,#135903,#135904,#135905)); #20831=EDGE_LOOP('',(#135906,#135907,#135908,#135909,#135910,#135911,#135912, #135913,#135914,#135915)); #20832=EDGE_LOOP('',(#135916,#135917,#135918,#135919,#135920,#135921,#135922, #135923,#135924)); #20833=EDGE_LOOP('',(#135925,#135926,#135927,#135928,#135929,#135930,#135931, #135932,#135933,#135934,#135935,#135936,#135937,#135938,#135939,#135940)); #20834=EDGE_LOOP('',(#135941,#135942,#135943,#135944)); #20835=EDGE_LOOP('',(#135945,#135946,#135947,#135948)); #20836=EDGE_LOOP('',(#135949,#135950,#135951,#135952)); #20837=EDGE_LOOP('',(#135953,#135954,#135955,#135956)); #20838=EDGE_LOOP('',(#135957,#135958,#135959,#135960)); #20839=EDGE_LOOP('',(#135961,#135962,#135963,#135964,#135965,#135966,#135967, #135968,#135969,#135970,#135971)); #20840=EDGE_LOOP('',(#135972,#135973,#135974,#135975)); #20841=EDGE_LOOP('',(#135976,#135977,#135978,#135979)); #20842=EDGE_LOOP('',(#135980,#135981,#135982,#135983)); #20843=EDGE_LOOP('',(#135984,#135985,#135986,#135987)); #20844=EDGE_LOOP('',(#135988,#135989,#135990,#135991)); #20845=EDGE_LOOP('',(#135992,#135993,#135994,#135995)); #20846=EDGE_LOOP('',(#135996,#135997,#135998,#135999)); #20847=EDGE_LOOP('',(#136000,#136001,#136002,#136003)); #20848=EDGE_LOOP('',(#136004,#136005,#136006,#136007)); #20849=EDGE_LOOP('',(#136008,#136009,#136010,#136011,#136012,#136013,#136014, #136015,#136016,#136017,#136018,#136019,#136020,#136021)); #20850=EDGE_LOOP('',(#136022,#136023,#136024,#136025,#136026,#136027,#136028, #136029)); #20851=EDGE_LOOP('',(#136030,#136031,#136032,#136033,#136034,#136035,#136036, #136037,#136038,#136039,#136040,#136041,#136042,#136043,#136044,#136045, #136046,#136047,#136048,#136049,#136050,#136051,#136052,#136053,#136054, #136055,#136056,#136057,#136058,#136059,#136060,#136061,#136062,#136063, #136064,#136065,#136066,#136067,#136068,#136069,#136070,#136071,#136072, #136073,#136074,#136075,#136076,#136077,#136078,#136079,#136080,#136081, #136082,#136083,#136084,#136085,#136086,#136087,#136088,#136089,#136090, #136091,#136092,#136093,#136094,#136095,#136096,#136097,#136098,#136099, #136100,#136101,#136102,#136103,#136104,#136105,#136106,#136107,#136108, #136109,#136110,#136111,#136112,#136113,#136114,#136115,#136116,#136117, #136118,#136119,#136120,#136121,#136122,#136123,#136124,#136125,#136126, #136127,#136128,#136129,#136130,#136131,#136132,#136133,#136134,#136135, #136136,#136137,#136138,#136139,#136140,#136141,#136142,#136143,#136144, #136145,#136146,#136147,#136148,#136149,#136150,#136151,#136152,#136153, #136154,#136155,#136156,#136157,#136158,#136159,#136160,#136161)); #20852=EDGE_LOOP('',(#136162,#136163,#136164,#136165)); #20853=EDGE_LOOP('',(#136166,#136167,#136168,#136169,#136170,#136171,#136172, #136173,#136174,#136175,#136176,#136177)); #20854=EDGE_LOOP('',(#136178,#136179,#136180,#136181,#136182,#136183,#136184, #136185,#136186,#136187,#136188,#136189)); #20855=EDGE_LOOP('',(#136190,#136191,#136192,#136193,#136194,#136195,#136196, #136197,#136198,#136199,#136200,#136201)); #20856=EDGE_LOOP('',(#136202,#136203,#136204,#136205,#136206,#136207,#136208, #136209,#136210,#136211,#136212,#136213)); #20857=EDGE_LOOP('',(#136214,#136215,#136216,#136217,#136218,#136219,#136220, #136221,#136222,#136223,#136224,#136225)); #20858=EDGE_LOOP('',(#136226,#136227,#136228,#136229,#136230,#136231,#136232, #136233,#136234,#136235,#136236,#136237)); #20859=EDGE_LOOP('',(#136238,#136239,#136240,#136241,#136242,#136243,#136244, #136245,#136246,#136247,#136248,#136249)); #20860=EDGE_LOOP('',(#136250,#136251,#136252,#136253,#136254,#136255,#136256, #136257,#136258,#136259,#136260,#136261)); #20861=EDGE_LOOP('',(#136262,#136263,#136264,#136265,#136266,#136267,#136268, #136269,#136270,#136271,#136272,#136273)); #20862=EDGE_LOOP('',(#136274,#136275,#136276,#136277,#136278,#136279,#136280, #136281,#136282,#136283,#136284,#136285)); #20863=EDGE_LOOP('',(#136286,#136287,#136288,#136289,#136290,#136291,#136292, #136293,#136294,#136295,#136296,#136297)); #20864=EDGE_LOOP('',(#136298,#136299,#136300,#136301,#136302,#136303,#136304, #136305,#136306,#136307,#136308,#136309)); #20865=EDGE_LOOP('',(#136310,#136311,#136312,#136313,#136314,#136315,#136316, #136317,#136318,#136319,#136320,#136321)); #20866=EDGE_LOOP('',(#136322,#136323,#136324,#136325,#136326,#136327,#136328, #136329,#136330,#136331,#136332,#136333)); #20867=EDGE_LOOP('',(#136334,#136335,#136336,#136337,#136338,#136339,#136340, #136341,#136342,#136343,#136344,#136345)); #20868=EDGE_LOOP('',(#136346,#136347,#136348,#136349,#136350,#136351,#136352, #136353)); #20869=EDGE_LOOP('',(#136354,#136355,#136356,#136357,#136358,#136359,#136360, #136361,#136362,#136363,#136364,#136365)); #20870=EDGE_LOOP('',(#136366,#136367,#136368,#136369,#136370,#136371,#136372, #136373,#136374,#136375,#136376,#136377)); #20871=EDGE_LOOP('',(#136378,#136379,#136380,#136381,#136382,#136383,#136384, #136385,#136386,#136387,#136388,#136389)); #20872=EDGE_LOOP('',(#136390,#136391,#136392,#136393,#136394,#136395,#136396, #136397,#136398,#136399,#136400,#136401)); #20873=EDGE_LOOP('',(#136402,#136403,#136404,#136405,#136406,#136407,#136408, #136409,#136410,#136411,#136412,#136413)); #20874=EDGE_LOOP('',(#136414,#136415,#136416,#136417,#136418,#136419,#136420, #136421,#136422,#136423,#136424,#136425)); #20875=EDGE_LOOP('',(#136426,#136427,#136428,#136429,#136430,#136431,#136432, #136433,#136434,#136435,#136436,#136437)); #20876=EDGE_LOOP('',(#136438,#136439,#136440,#136441,#136442,#136443,#136444, #136445,#136446,#136447,#136448,#136449)); #20877=EDGE_LOOP('',(#136450,#136451,#136452,#136453,#136454,#136455,#136456, #136457,#136458,#136459,#136460,#136461)); #20878=EDGE_LOOP('',(#136462,#136463,#136464,#136465,#136466,#136467,#136468, #136469,#136470,#136471,#136472,#136473)); #20879=EDGE_LOOP('',(#136474,#136475,#136476,#136477,#136478,#136479,#136480, #136481)); #20880=EDGE_LOOP('',(#136482,#136483,#136484,#136485,#136486,#136487,#136488, #136489,#136490,#136491,#136492,#136493)); #20881=EDGE_LOOP('',(#136494,#136495,#136496,#136497,#136498,#136499,#136500, #136501,#136502,#136503,#136504,#136505)); #20882=EDGE_LOOP('',(#136506,#136507,#136508,#136509,#136510,#136511,#136512, #136513,#136514,#136515,#136516,#136517)); #20883=EDGE_LOOP('',(#136518,#136519,#136520,#136521,#136522,#136523,#136524, #136525,#136526,#136527,#136528,#136529)); #20884=EDGE_LOOP('',(#136530,#136531,#136532,#136533,#136534,#136535,#136536, #136537,#136538,#136539,#136540,#136541)); #20885=EDGE_LOOP('',(#136542,#136543,#136544,#136545,#136546,#136547,#136548, #136549,#136550,#136551,#136552,#136553,#136554,#136555,#136556,#136557, #136558,#136559,#136560,#136561,#136562,#136563,#136564,#136565,#136566, #136567,#136568,#136569,#136570,#136571,#136572,#136573,#136574,#136575, #136576,#136577,#136578,#136579,#136580,#136581,#136582,#136583,#136584, #136585,#136586,#136587,#136588,#136589,#136590,#136591,#136592,#136593, #136594,#136595,#136596,#136597,#136598,#136599,#136600,#136601,#136602, #136603,#136604,#136605,#136606,#136607,#136608,#136609,#136610,#136611, #136612,#136613,#136614,#136615,#136616,#136617,#136618,#136619,#136620, #136621,#136622,#136623,#136624,#136625,#136626,#136627,#136628,#136629, #136630,#136631,#136632,#136633,#136634,#136635,#136636,#136637,#136638, #136639,#136640,#136641,#136642,#136643,#136644,#136645,#136646,#136647, #136648,#136649,#136650,#136651,#136652,#136653,#136654,#136655,#136656, #136657,#136658,#136659,#136660,#136661,#136662,#136663,#136664,#136665, #136666,#136667,#136668,#136669,#136670,#136671,#136672,#136673)); #20886=EDGE_LOOP('',(#136674,#136675,#136676,#136677)); #20887=EDGE_LOOP('',(#136678,#136679,#136680,#136681,#136682,#136683,#136684, #136685)); #20888=EDGE_LOOP('',(#136686,#136687,#136688,#136689)); #20889=EDGE_LOOP('',(#136690,#136691,#136692,#136693,#136694,#136695,#136696, #136697)); #20890=EDGE_LOOP('',(#136698,#136699,#136700,#136701)); #20891=EDGE_LOOP('',(#136702,#136703,#136704,#136705)); #20892=EDGE_LOOP('',(#136706,#136707,#136708,#136709)); #20893=EDGE_LOOP('',(#136710,#136711,#136712,#136713,#136714,#136715)); #20894=EDGE_LOOP('',(#136716,#136717,#136718,#136719)); #20895=EDGE_LOOP('',(#136720,#136721,#136722,#136723)); #20896=EDGE_LOOP('',(#136724,#136725,#136726,#136727)); #20897=EDGE_LOOP('',(#136728,#136729,#136730,#136731,#136732,#136733)); #20898=EDGE_LOOP('',(#136734,#136735,#136736,#136737)); #20899=EDGE_LOOP('',(#136738,#136739,#136740,#136741)); #20900=EDGE_LOOP('',(#136742,#136743,#136744,#136745)); #20901=EDGE_LOOP('',(#136746,#136747,#136748,#136749,#136750,#136751)); #20902=EDGE_LOOP('',(#136752,#136753,#136754,#136755)); #20903=EDGE_LOOP('',(#136756,#136757,#136758,#136759)); #20904=EDGE_LOOP('',(#136760,#136761,#136762,#136763)); #20905=EDGE_LOOP('',(#136764,#136765,#136766,#136767,#136768,#136769)); #20906=EDGE_LOOP('',(#136770,#136771,#136772,#136773)); #20907=EDGE_LOOP('',(#136774,#136775,#136776,#136777)); #20908=EDGE_LOOP('',(#136778,#136779,#136780,#136781)); #20909=EDGE_LOOP('',(#136782,#136783,#136784,#136785,#136786,#136787)); #20910=EDGE_LOOP('',(#136788,#136789,#136790,#136791)); #20911=EDGE_LOOP('',(#136792,#136793,#136794,#136795)); #20912=EDGE_LOOP('',(#136796,#136797,#136798,#136799)); #20913=EDGE_LOOP('',(#136800,#136801,#136802,#136803,#136804,#136805)); #20914=EDGE_LOOP('',(#136806,#136807,#136808,#136809)); #20915=EDGE_LOOP('',(#136810,#136811,#136812,#136813)); #20916=EDGE_LOOP('',(#136814,#136815,#136816,#136817)); #20917=EDGE_LOOP('',(#136818,#136819,#136820,#136821,#136822,#136823)); #20918=EDGE_LOOP('',(#136824,#136825,#136826,#136827)); #20919=EDGE_LOOP('',(#136828,#136829,#136830,#136831)); #20920=EDGE_LOOP('',(#136832,#136833,#136834,#136835)); #20921=EDGE_LOOP('',(#136836,#136837,#136838,#136839,#136840,#136841)); #20922=EDGE_LOOP('',(#136842,#136843,#136844,#136845)); #20923=EDGE_LOOP('',(#136846,#136847,#136848,#136849)); #20924=EDGE_LOOP('',(#136850,#136851,#136852,#136853)); #20925=EDGE_LOOP('',(#136854,#136855,#136856,#136857,#136858,#136859)); #20926=EDGE_LOOP('',(#136860,#136861,#136862,#136863)); #20927=EDGE_LOOP('',(#136864,#136865,#136866,#136867)); #20928=EDGE_LOOP('',(#136868,#136869,#136870,#136871)); #20929=EDGE_LOOP('',(#136872,#136873,#136874,#136875,#136876,#136877)); #20930=EDGE_LOOP('',(#136878,#136879,#136880,#136881)); #20931=EDGE_LOOP('',(#136882,#136883,#136884,#136885)); #20932=EDGE_LOOP('',(#136886,#136887,#136888,#136889)); #20933=EDGE_LOOP('',(#136890,#136891,#136892,#136893,#136894,#136895)); #20934=EDGE_LOOP('',(#136896,#136897,#136898,#136899)); #20935=EDGE_LOOP('',(#136900,#136901,#136902,#136903)); #20936=EDGE_LOOP('',(#136904,#136905,#136906,#136907)); #20937=EDGE_LOOP('',(#136908,#136909,#136910,#136911,#136912,#136913)); #20938=EDGE_LOOP('',(#136914,#136915,#136916,#136917)); #20939=EDGE_LOOP('',(#136918,#136919,#136920,#136921)); #20940=EDGE_LOOP('',(#136922,#136923,#136924,#136925)); #20941=EDGE_LOOP('',(#136926,#136927,#136928,#136929,#136930,#136931)); #20942=EDGE_LOOP('',(#136932,#136933,#136934,#136935)); #20943=EDGE_LOOP('',(#136936,#136937,#136938,#136939)); #20944=EDGE_LOOP('',(#136940,#136941,#136942,#136943)); #20945=EDGE_LOOP('',(#136944,#136945,#136946,#136947,#136948,#136949)); #20946=EDGE_LOOP('',(#136950,#136951,#136952,#136953)); #20947=EDGE_LOOP('',(#136954,#136955,#136956,#136957)); #20948=EDGE_LOOP('',(#136958,#136959,#136960,#136961)); #20949=EDGE_LOOP('',(#136962,#136963,#136964,#136965,#136966,#136967)); #20950=EDGE_LOOP('',(#136968,#136969,#136970,#136971)); #20951=EDGE_LOOP('',(#136972,#136973,#136974,#136975)); #20952=EDGE_LOOP('',(#136976,#136977,#136978,#136979)); #20953=EDGE_LOOP('',(#136980,#136981,#136982,#136983,#136984,#136985)); #20954=EDGE_LOOP('',(#136986,#136987,#136988,#136989)); #20955=EDGE_LOOP('',(#136990,#136991,#136992,#136993)); #20956=EDGE_LOOP('',(#136994,#136995,#136996,#136997)); #20957=EDGE_LOOP('',(#136998,#136999,#137000,#137001,#137002,#137003)); #20958=EDGE_LOOP('',(#137004,#137005,#137006,#137007)); #20959=EDGE_LOOP('',(#137008,#137009,#137010,#137011)); #20960=EDGE_LOOP('',(#137012,#137013,#137014,#137015)); #20961=EDGE_LOOP('',(#137016,#137017,#137018,#137019,#137020,#137021)); #20962=EDGE_LOOP('',(#137022,#137023,#137024,#137025)); #20963=EDGE_LOOP('',(#137026,#137027,#137028,#137029)); #20964=EDGE_LOOP('',(#137030,#137031,#137032,#137033)); #20965=EDGE_LOOP('',(#137034,#137035,#137036,#137037,#137038,#137039)); #20966=EDGE_LOOP('',(#137040,#137041,#137042,#137043)); #20967=EDGE_LOOP('',(#137044,#137045,#137046,#137047)); #20968=EDGE_LOOP('',(#137048,#137049,#137050,#137051)); #20969=EDGE_LOOP('',(#137052,#137053,#137054,#137055,#137056,#137057)); #20970=EDGE_LOOP('',(#137058,#137059,#137060,#137061)); #20971=EDGE_LOOP('',(#137062,#137063,#137064,#137065)); #20972=EDGE_LOOP('',(#137066,#137067,#137068,#137069)); #20973=EDGE_LOOP('',(#137070,#137071,#137072,#137073,#137074,#137075)); #20974=EDGE_LOOP('',(#137076,#137077,#137078,#137079)); #20975=EDGE_LOOP('',(#137080,#137081,#137082,#137083)); #20976=EDGE_LOOP('',(#137084,#137085,#137086,#137087)); #20977=EDGE_LOOP('',(#137088,#137089,#137090,#137091,#137092,#137093)); #20978=EDGE_LOOP('',(#137094,#137095,#137096,#137097)); #20979=EDGE_LOOP('',(#137098,#137099,#137100,#137101)); #20980=EDGE_LOOP('',(#137102,#137103,#137104,#137105)); #20981=EDGE_LOOP('',(#137106,#137107,#137108,#137109,#137110,#137111)); #20982=EDGE_LOOP('',(#137112,#137113,#137114,#137115)); #20983=EDGE_LOOP('',(#137116,#137117,#137118,#137119)); #20984=EDGE_LOOP('',(#137120,#137121,#137122,#137123)); #20985=EDGE_LOOP('',(#137124,#137125,#137126,#137127,#137128,#137129)); #20986=EDGE_LOOP('',(#137130,#137131,#137132,#137133)); #20987=EDGE_LOOP('',(#137134,#137135,#137136,#137137)); #20988=EDGE_LOOP('',(#137138,#137139,#137140,#137141)); #20989=EDGE_LOOP('',(#137142,#137143,#137144,#137145,#137146,#137147)); #20990=EDGE_LOOP('',(#137148,#137149,#137150,#137151)); #20991=EDGE_LOOP('',(#137152,#137153,#137154,#137155)); #20992=EDGE_LOOP('',(#137156,#137157,#137158,#137159)); #20993=EDGE_LOOP('',(#137160,#137161,#137162,#137163,#137164,#137165)); #20994=EDGE_LOOP('',(#137166,#137167,#137168,#137169)); #20995=EDGE_LOOP('',(#137170,#137171,#137172,#137173)); #20996=EDGE_LOOP('',(#137174,#137175,#137176,#137177)); #20997=EDGE_LOOP('',(#137178,#137179,#137180,#137181,#137182,#137183)); #20998=EDGE_LOOP('',(#137184,#137185,#137186,#137187)); #20999=EDGE_LOOP('',(#137188,#137189,#137190,#137191)); #21000=EDGE_LOOP('',(#137192,#137193,#137194,#137195)); #21001=EDGE_LOOP('',(#137196,#137197,#137198,#137199,#137200,#137201)); #21002=EDGE_LOOP('',(#137202,#137203,#137204,#137205)); #21003=EDGE_LOOP('',(#137206,#137207,#137208,#137209)); #21004=EDGE_LOOP('',(#137210,#137211,#137212,#137213)); #21005=EDGE_LOOP('',(#137214,#137215,#137216,#137217,#137218,#137219)); #21006=EDGE_LOOP('',(#137220,#137221,#137222,#137223)); #21007=EDGE_LOOP('',(#137224,#137225,#137226,#137227)); #21008=EDGE_LOOP('',(#137228,#137229,#137230,#137231)); #21009=EDGE_LOOP('',(#137232,#137233,#137234,#137235,#137236,#137237)); #21010=EDGE_LOOP('',(#137238,#137239,#137240,#137241)); #21011=EDGE_LOOP('',(#137242,#137243,#137244,#137245)); #21012=EDGE_LOOP('',(#137246,#137247,#137248,#137249)); #21013=EDGE_LOOP('',(#137250,#137251,#137252,#137253,#137254,#137255)); #21014=EDGE_LOOP('',(#137256,#137257,#137258,#137259)); #21015=EDGE_LOOP('',(#137260,#137261,#137262,#137263)); #21016=EDGE_LOOP('',(#137264,#137265,#137266,#137267)); #21017=EDGE_LOOP('',(#137268,#137269,#137270,#137271,#137272,#137273)); #21018=EDGE_LOOP('',(#137274,#137275,#137276,#137277)); #21019=EDGE_LOOP('',(#137278,#137279,#137280,#137281)); #21020=EDGE_LOOP('',(#137282,#137283,#137284,#137285)); #21021=EDGE_LOOP('',(#137286,#137287,#137288,#137289,#137290,#137291)); #21022=EDGE_LOOP('',(#137292,#137293,#137294,#137295)); #21023=EDGE_LOOP('',(#137296,#137297,#137298,#137299)); #21024=EDGE_LOOP('',(#137300,#137301,#137302,#137303)); #21025=EDGE_LOOP('',(#137304,#137305,#137306,#137307,#137308,#137309)); #21026=EDGE_LOOP('',(#137310,#137311,#137312,#137313)); #21027=EDGE_LOOP('',(#137314,#137315,#137316,#137317)); #21028=EDGE_LOOP('',(#137318,#137319,#137320,#137321)); #21029=EDGE_LOOP('',(#137322,#137323,#137324,#137325,#137326,#137327)); #21030=EDGE_LOOP('',(#137328,#137329,#137330,#137331)); #21031=EDGE_LOOP('',(#137332,#137333,#137334,#137335)); #21032=EDGE_LOOP('',(#137336,#137337,#137338,#137339)); #21033=EDGE_LOOP('',(#137340,#137341,#137342,#137343,#137344,#137345)); #21034=EDGE_LOOP('',(#137346,#137347,#137348,#137349)); #21035=EDGE_LOOP('',(#137350,#137351,#137352,#137353)); #21036=EDGE_LOOP('',(#137354,#137355,#137356,#137357)); #21037=EDGE_LOOP('',(#137358,#137359,#137360,#137361,#137362,#137363)); #21038=EDGE_LOOP('',(#137364,#137365,#137366,#137367)); #21039=EDGE_LOOP('',(#137368,#137369,#137370,#137371)); #21040=EDGE_LOOP('',(#137372,#137373,#137374,#137375)); #21041=EDGE_LOOP('',(#137376,#137377,#137378,#137379,#137380,#137381)); #21042=EDGE_LOOP('',(#137382,#137383,#137384,#137385)); #21043=EDGE_LOOP('',(#137386,#137387,#137388,#137389)); #21044=EDGE_LOOP('',(#137390,#137391,#137392,#137393)); #21045=EDGE_LOOP('',(#137394,#137395,#137396,#137397,#137398,#137399)); #21046=EDGE_LOOP('',(#137400,#137401,#137402,#137403)); #21047=EDGE_LOOP('',(#137404,#137405,#137406,#137407)); #21048=EDGE_LOOP('',(#137408,#137409,#137410,#137411)); #21049=EDGE_LOOP('',(#137412,#137413,#137414,#137415,#137416,#137417)); #21050=EDGE_LOOP('',(#137418,#137419,#137420,#137421)); #21051=EDGE_LOOP('',(#137422,#137423,#137424,#137425)); #21052=EDGE_LOOP('',(#137426,#137427,#137428,#137429)); #21053=EDGE_LOOP('',(#137430,#137431,#137432,#137433,#137434,#137435)); #21054=EDGE_LOOP('',(#137436,#137437,#137438,#137439)); #21055=EDGE_LOOP('',(#137440,#137441,#137442,#137443)); #21056=EDGE_LOOP('',(#137444,#137445,#137446,#137447)); #21057=EDGE_LOOP('',(#137448,#137449,#137450,#137451,#137452,#137453)); #21058=EDGE_LOOP('',(#137454,#137455,#137456,#137457)); #21059=EDGE_LOOP('',(#137458,#137459,#137460,#137461)); #21060=EDGE_LOOP('',(#137462,#137463,#137464,#137465)); #21061=EDGE_LOOP('',(#137466,#137467,#137468,#137469,#137470,#137471)); #21062=EDGE_LOOP('',(#137472,#137473,#137474,#137475)); #21063=EDGE_LOOP('',(#137476,#137477,#137478,#137479)); #21064=EDGE_LOOP('',(#137480,#137481,#137482,#137483)); #21065=EDGE_LOOP('',(#137484,#137485,#137486,#137487,#137488,#137489)); #21066=EDGE_LOOP('',(#137490,#137491,#137492,#137493)); #21067=EDGE_LOOP('',(#137494,#137495,#137496,#137497)); #21068=EDGE_LOOP('',(#137498,#137499,#137500,#137501)); #21069=EDGE_LOOP('',(#137502,#137503,#137504,#137505,#137506,#137507)); #21070=EDGE_LOOP('',(#137508,#137509,#137510,#137511)); #21071=EDGE_LOOP('',(#137512,#137513,#137514,#137515)); #21072=EDGE_LOOP('',(#137516,#137517,#137518,#137519)); #21073=EDGE_LOOP('',(#137520,#137521,#137522,#137523,#137524,#137525)); #21074=EDGE_LOOP('',(#137526,#137527,#137528,#137529)); #21075=EDGE_LOOP('',(#137530,#137531,#137532,#137533)); #21076=EDGE_LOOP('',(#137534,#137535,#137536,#137537)); #21077=EDGE_LOOP('',(#137538,#137539,#137540,#137541,#137542,#137543)); #21078=EDGE_LOOP('',(#137544,#137545,#137546,#137547)); #21079=EDGE_LOOP('',(#137548,#137549,#137550,#137551)); #21080=EDGE_LOOP('',(#137552,#137553,#137554,#137555)); #21081=EDGE_LOOP('',(#137556,#137557,#137558,#137559,#137560,#137561)); #21082=EDGE_LOOP('',(#137562,#137563,#137564,#137565)); #21083=EDGE_LOOP('',(#137566,#137567,#137568,#137569)); #21084=EDGE_LOOP('',(#137570,#137571,#137572,#137573)); #21085=EDGE_LOOP('',(#137574,#137575,#137576,#137577,#137578,#137579)); #21086=EDGE_LOOP('',(#137580,#137581,#137582,#137583)); #21087=EDGE_LOOP('',(#137584,#137585,#137586,#137587)); #21088=EDGE_LOOP('',(#137588,#137589,#137590,#137591)); #21089=EDGE_LOOP('',(#137592,#137593,#137594,#137595,#137596,#137597)); #21090=EDGE_LOOP('',(#137598,#137599,#137600,#137601)); #21091=EDGE_LOOP('',(#137602,#137603,#137604,#137605)); #21092=EDGE_LOOP('',(#137606,#137607,#137608,#137609)); #21093=EDGE_LOOP('',(#137610,#137611,#137612,#137613,#137614,#137615)); #21094=EDGE_LOOP('',(#137616,#137617,#137618,#137619)); #21095=EDGE_LOOP('',(#137620,#137621,#137622,#137623)); #21096=EDGE_LOOP('',(#137624,#137625,#137626,#137627)); #21097=EDGE_LOOP('',(#137628,#137629,#137630,#137631,#137632,#137633)); #21098=EDGE_LOOP('',(#137634,#137635,#137636,#137637)); #21099=EDGE_LOOP('',(#137638,#137639,#137640,#137641)); #21100=EDGE_LOOP('',(#137642,#137643,#137644,#137645)); #21101=EDGE_LOOP('',(#137646,#137647,#137648,#137649,#137650,#137651)); #21102=EDGE_LOOP('',(#137652,#137653,#137654,#137655)); #21103=EDGE_LOOP('',(#137656,#137657,#137658,#137659)); #21104=EDGE_LOOP('',(#137660,#137661,#137662,#137663)); #21105=EDGE_LOOP('',(#137664,#137665,#137666,#137667,#137668,#137669)); #21106=EDGE_LOOP('',(#137670,#137671,#137672,#137673)); #21107=EDGE_LOOP('',(#137674,#137675,#137676,#137677)); #21108=EDGE_LOOP('',(#137678,#137679,#137680,#137681)); #21109=EDGE_LOOP('',(#137682,#137683,#137684,#137685,#137686,#137687)); #21110=EDGE_LOOP('',(#137688,#137689,#137690,#137691)); #21111=EDGE_LOOP('',(#137692,#137693,#137694,#137695)); #21112=EDGE_LOOP('',(#137696,#137697,#137698,#137699)); #21113=EDGE_LOOP('',(#137700,#137701,#137702,#137703,#137704,#137705)); #21114=EDGE_LOOP('',(#137706,#137707,#137708,#137709)); #21115=EDGE_LOOP('',(#137710,#137711,#137712,#137713)); #21116=EDGE_LOOP('',(#137714,#137715,#137716,#137717)); #21117=EDGE_LOOP('',(#137718,#137719,#137720,#137721,#137722,#137723)); #21118=EDGE_LOOP('',(#137724,#137725,#137726,#137727)); #21119=EDGE_LOOP('',(#137728,#137729,#137730,#137731)); #21120=EDGE_LOOP('',(#137732,#137733,#137734,#137735)); #21121=EDGE_LOOP('',(#137736,#137737,#137738,#137739,#137740,#137741)); #21122=EDGE_LOOP('',(#137742,#137743,#137744,#137745)); #21123=EDGE_LOOP('',(#137746,#137747,#137748,#137749)); #21124=EDGE_LOOP('',(#137750,#137751,#137752,#137753)); #21125=EDGE_LOOP('',(#137754,#137755,#137756,#137757,#137758,#137759)); #21126=EDGE_LOOP('',(#137760,#137761,#137762,#137763)); #21127=EDGE_LOOP('',(#137764,#137765,#137766,#137767)); #21128=EDGE_LOOP('',(#137768,#137769,#137770,#137771)); #21129=EDGE_LOOP('',(#137772,#137773,#137774,#137775,#137776,#137777)); #21130=EDGE_LOOP('',(#137778,#137779,#137780,#137781)); #21131=EDGE_LOOP('',(#137782,#137783,#137784,#137785)); #21132=EDGE_LOOP('',(#137786,#137787,#137788,#137789)); #21133=EDGE_LOOP('',(#137790,#137791,#137792,#137793,#137794,#137795)); #21134=EDGE_LOOP('',(#137796,#137797,#137798,#137799)); #21135=EDGE_LOOP('',(#137800,#137801,#137802,#137803)); #21136=EDGE_LOOP('',(#137804,#137805,#137806,#137807)); #21137=EDGE_LOOP('',(#137808,#137809,#137810,#137811,#137812,#137813)); #21138=EDGE_LOOP('',(#137814,#137815,#137816,#137817)); #21139=EDGE_LOOP('',(#137818,#137819,#137820,#137821)); #21140=EDGE_LOOP('',(#137822,#137823,#137824,#137825)); #21141=EDGE_LOOP('',(#137826,#137827,#137828,#137829,#137830,#137831)); #21142=EDGE_LOOP('',(#137832,#137833,#137834,#137835)); #21143=EDGE_LOOP('',(#137836,#137837,#137838,#137839)); #21144=EDGE_LOOP('',(#137840,#137841,#137842,#137843)); #21145=EDGE_LOOP('',(#137844,#137845,#137846,#137847,#137848,#137849)); #21146=EDGE_LOOP('',(#137850,#137851,#137852,#137853)); #21147=EDGE_LOOP('',(#137854,#137855,#137856,#137857)); #21148=EDGE_LOOP('',(#137858,#137859,#137860,#137861)); #21149=EDGE_LOOP('',(#137862,#137863,#137864,#137865)); #21150=EDGE_LOOP('',(#137866,#137867,#137868,#137869)); #21151=EDGE_LOOP('',(#137870,#137871,#137872,#137873)); #21152=EDGE_LOOP('',(#137874,#137875,#137876,#137877)); #21153=EDGE_LOOP('',(#137878,#137879,#137880,#137881)); #21154=EDGE_LOOP('',(#137882,#137883,#137884,#137885)); #21155=EDGE_LOOP('',(#137886,#137887,#137888,#137889)); #21156=EDGE_LOOP('',(#137890,#137891,#137892,#137893)); #21157=EDGE_LOOP('',(#137894,#137895,#137896,#137897)); #21158=EDGE_LOOP('',(#137898,#137899,#137900,#137901)); #21159=EDGE_LOOP('',(#137902,#137903,#137904,#137905)); #21160=EDGE_LOOP('',(#137906,#137907,#137908,#137909)); #21161=EDGE_LOOP('',(#137910,#137911,#137912,#137913)); #21162=EDGE_LOOP('',(#137914,#137915,#137916,#137917)); #21163=EDGE_LOOP('',(#137918,#137919,#137920,#137921)); #21164=EDGE_LOOP('',(#137922,#137923,#137924,#137925)); #21165=EDGE_LOOP('',(#137926,#137927,#137928,#137929)); #21166=EDGE_LOOP('',(#137930,#137931,#137932,#137933)); #21167=EDGE_LOOP('',(#137934,#137935,#137936,#137937)); #21168=EDGE_LOOP('',(#137938,#137939,#137940,#137941)); #21169=EDGE_LOOP('',(#137942,#137943,#137944,#137945)); #21170=EDGE_LOOP('',(#137946,#137947,#137948,#137949)); #21171=EDGE_LOOP('',(#137950,#137951,#137952,#137953)); #21172=EDGE_LOOP('',(#137954,#137955,#137956,#137957)); #21173=EDGE_LOOP('',(#137958,#137959,#137960,#137961)); #21174=EDGE_LOOP('',(#137962,#137963,#137964,#137965)); #21175=EDGE_LOOP('',(#137966,#137967,#137968,#137969)); #21176=EDGE_LOOP('',(#137970,#137971,#137972,#137973)); #21177=EDGE_LOOP('',(#137974,#137975,#137976,#137977)); #21178=EDGE_LOOP('',(#137978,#137979,#137980,#137981)); #21179=EDGE_LOOP('',(#137982,#137983,#137984,#137985)); #21180=EDGE_LOOP('',(#137986,#137987,#137988,#137989)); #21181=EDGE_LOOP('',(#137990,#137991,#137992,#137993)); #21182=EDGE_LOOP('',(#137994,#137995,#137996,#137997)); #21183=EDGE_LOOP('',(#137998,#137999,#138000,#138001)); #21184=EDGE_LOOP('',(#138002,#138003,#138004,#138005)); #21185=EDGE_LOOP('',(#138006,#138007,#138008,#138009)); #21186=EDGE_LOOP('',(#138010,#138011,#138012,#138013)); #21187=EDGE_LOOP('',(#138014,#138015,#138016,#138017)); #21188=EDGE_LOOP('',(#138018,#138019,#138020,#138021)); #21189=EDGE_LOOP('',(#138022,#138023,#138024,#138025)); #21190=EDGE_LOOP('',(#138026,#138027,#138028,#138029)); #21191=EDGE_LOOP('',(#138030,#138031,#138032,#138033)); #21192=EDGE_LOOP('',(#138034,#138035,#138036,#138037)); #21193=EDGE_LOOP('',(#138038,#138039,#138040,#138041)); #21194=EDGE_LOOP('',(#138042,#138043,#138044,#138045)); #21195=EDGE_LOOP('',(#138046,#138047,#138048,#138049)); #21196=EDGE_LOOP('',(#138050,#138051,#138052,#138053)); #21197=EDGE_LOOP('',(#138054,#138055,#138056,#138057)); #21198=EDGE_LOOP('',(#138058,#138059,#138060,#138061)); #21199=EDGE_LOOP('',(#138062,#138063,#138064,#138065)); #21200=EDGE_LOOP('',(#138066,#138067,#138068,#138069)); #21201=EDGE_LOOP('',(#138070,#138071,#138072,#138073)); #21202=EDGE_LOOP('',(#138074,#138075,#138076,#138077)); #21203=EDGE_LOOP('',(#138078,#138079,#138080,#138081)); #21204=EDGE_LOOP('',(#138082,#138083,#138084,#138085)); #21205=EDGE_LOOP('',(#138086,#138087,#138088,#138089)); #21206=EDGE_LOOP('',(#138090,#138091,#138092,#138093)); #21207=EDGE_LOOP('',(#138094,#138095,#138096,#138097)); #21208=EDGE_LOOP('',(#138098,#138099,#138100,#138101)); #21209=EDGE_LOOP('',(#138102,#138103,#138104,#138105)); #21210=EDGE_LOOP('',(#138106,#138107,#138108,#138109)); #21211=EDGE_LOOP('',(#138110,#138111,#138112,#138113,#138114,#138115,#138116, #138117,#138118,#138119,#138120)); #21212=EDGE_LOOP('',(#138121,#138122,#138123,#138124,#138125,#138126,#138127, #138128,#138129,#138130,#138131)); #21213=EDGE_LOOP('',(#138132,#138133,#138134,#138135,#138136,#138137,#138138, #138139,#138140,#138141,#138142,#138143)); #21214=EDGE_LOOP('',(#138144)); #21215=EDGE_LOOP('',(#138145)); #21216=EDGE_LOOP('',(#138146)); #21217=EDGE_LOOP('',(#138147)); #21218=EDGE_LOOP('',(#138148)); #21219=EDGE_LOOP('',(#138149)); #21220=EDGE_LOOP('',(#138150)); #21221=EDGE_LOOP('',(#138151)); #21222=EDGE_LOOP('',(#138152)); #21223=EDGE_LOOP('',(#138153)); #21224=EDGE_LOOP('',(#138154)); #21225=EDGE_LOOP('',(#138155)); #21226=EDGE_LOOP('',(#138156)); #21227=EDGE_LOOP('',(#138157)); #21228=EDGE_LOOP('',(#138158,#138159,#138160,#138161,#138162,#138163,#138164, #138165)); #21229=EDGE_LOOP('',(#138166,#138167,#138168,#138169,#138170,#138171,#138172, #138173,#138174,#138175,#138176,#138177,#138178,#138179,#138180,#138181, #138182,#138183,#138184,#138185,#138186,#138187,#138188,#138189,#138190, #138191,#138192,#138193,#138194,#138195,#138196,#138197,#138198,#138199, #138200,#138201,#138202,#138203,#138204,#138205,#138206,#138207,#138208, #138209,#138210,#138211,#138212,#138213,#138214,#138215,#138216,#138217, #138218,#138219,#138220,#138221,#138222,#138223,#138224,#138225,#138226, #138227,#138228,#138229)); #21230=EDGE_LOOP('',(#138230,#138231,#138232,#138233,#138234,#138235,#138236, #138237,#138238,#138239,#138240,#138241,#138242,#138243,#138244,#138245, #138246,#138247,#138248,#138249,#138250,#138251,#138252,#138253,#138254, #138255,#138256,#138257,#138258,#138259,#138260,#138261,#138262,#138263, #138264,#138265,#138266,#138267,#138268,#138269,#138270,#138271,#138272)); #21231=EDGE_LOOP('',(#138273,#138274,#138275,#138276,#138277,#138278,#138279, #138280,#138281,#138282,#138283,#138284,#138285,#138286,#138287,#138288, #138289,#138290,#138291,#138292,#138293,#138294,#138295,#138296,#138297, #138298,#138299,#138300,#138301,#138302,#138303,#138304,#138305,#138306, #138307,#138308,#138309)); #21232=EDGE_LOOP('',(#138310,#138311,#138312,#138313,#138314,#138315,#138316, #138317,#138318,#138319,#138320)); #21233=EDGE_LOOP('',(#138321,#138322,#138323,#138324,#138325,#138326,#138327, #138328,#138329,#138330,#138331,#138332,#138333,#138334,#138335,#138336, #138337,#138338,#138339,#138340,#138341,#138342,#138343,#138344,#138345, #138346,#138347,#138348,#138349,#138350,#138351)); #21234=EDGE_LOOP('',(#138352,#138353,#138354,#138355,#138356,#138357,#138358, #138359,#138360,#138361,#138362,#138363,#138364,#138365,#138366,#138367, #138368,#138369,#138370,#138371,#138372,#138373,#138374,#138375)); #21235=EDGE_LOOP('',(#138376,#138377,#138378,#138379,#138380,#138381,#138382, #138383,#138384,#138385,#138386,#138387,#138388,#138389,#138390,#138391, #138392,#138393,#138394,#138395,#138396,#138397,#138398,#138399,#138400, #138401,#138402,#138403,#138404,#138405,#138406,#138407,#138408,#138409, #138410,#138411,#138412,#138413,#138414,#138415,#138416,#138417,#138418, #138419,#138420,#138421,#138422,#138423,#138424,#138425,#138426,#138427, #138428,#138429,#138430,#138431,#138432,#138433,#138434,#138435,#138436, #138437,#138438,#138439,#138440,#138441,#138442,#138443,#138444,#138445, #138446,#138447,#138448,#138449,#138450,#138451,#138452,#138453,#138454, #138455,#138456,#138457)); #21236=EDGE_LOOP('',(#138458,#138459,#138460,#138461,#138462,#138463,#138464, #138465,#138466,#138467,#138468,#138469,#138470,#138471,#138472,#138473, #138474,#138475,#138476,#138477,#138478,#138479,#138480)); #21237=EDGE_LOOP('',(#138481,#138482,#138483,#138484,#138485,#138486,#138487, #138488,#138489,#138490,#138491,#138492,#138493,#138494)); #21238=EDGE_LOOP('',(#138495,#138496,#138497,#138498,#138499,#138500,#138501, #138502,#138503,#138504,#138505,#138506,#138507,#138508,#138509,#138510, #138511,#138512)); #21239=EDGE_LOOP('',(#138513,#138514,#138515,#138516,#138517,#138518,#138519, #138520,#138521,#138522,#138523,#138524,#138525,#138526,#138527,#138528, #138529,#138530,#138531,#138532,#138533,#138534,#138535,#138536,#138537, #138538,#138539,#138540,#138541,#138542,#138543,#138544,#138545,#138546, #138547,#138548,#138549,#138550,#138551,#138552,#138553,#138554,#138555, #138556,#138557,#138558)); #21240=EDGE_LOOP('',(#138559,#138560,#138561,#138562,#138563,#138564,#138565, #138566,#138567,#138568,#138569)); #21241=EDGE_LOOP('',(#138570,#138571,#138572,#138573,#138574,#138575,#138576, #138577,#138578,#138579,#138580,#138581,#138582,#138583,#138584,#138585, #138586,#138587,#138588,#138589,#138590,#138591,#138592,#138593,#138594, #138595,#138596,#138597,#138598,#138599,#138600,#138601,#138602,#138603, #138604,#138605,#138606,#138607,#138608,#138609,#138610,#138611)); #21242=EDGE_LOOP('',(#138612,#138613,#138614,#138615,#138616,#138617,#138618, #138619,#138620,#138621,#138622,#138623,#138624,#138625,#138626,#138627, #138628,#138629,#138630,#138631,#138632,#138633,#138634,#138635,#138636, #138637,#138638,#138639,#138640,#138641,#138642,#138643,#138644)); #21243=EDGE_LOOP('',(#138645,#138646,#138647,#138648,#138649,#138650,#138651, #138652,#138653,#138654,#138655,#138656,#138657,#138658,#138659,#138660, #138661,#138662,#138663,#138664,#138665,#138666,#138667,#138668,#138669, #138670,#138671,#138672,#138673,#138674,#138675)); #21244=EDGE_LOOP('',(#138676,#138677,#138678,#138679,#138680,#138681,#138682, #138683,#138684,#138685,#138686,#138687,#138688,#138689,#138690,#138691, #138692,#138693,#138694,#138695,#138696,#138697,#138698,#138699,#138700, #138701,#138702,#138703,#138704,#138705,#138706)); #21245=EDGE_LOOP('',(#138707,#138708,#138709,#138710,#138711,#138712,#138713, #138714,#138715,#138716,#138717,#138718,#138719,#138720)); #21246=EDGE_LOOP('',(#138721,#138722,#138723,#138724,#138725,#138726,#138727, #138728,#138729,#138730,#138731)); #21247=EDGE_LOOP('',(#138732,#138733,#138734,#138735)); #21248=EDGE_LOOP('',(#138736,#138737,#138738,#138739)); #21249=EDGE_LOOP('',(#138740,#138741,#138742,#138743)); #21250=EDGE_LOOP('',(#138744,#138745,#138746,#138747)); #21251=EDGE_LOOP('',(#138748,#138749,#138750,#138751)); #21252=EDGE_LOOP('',(#138752,#138753,#138754,#138755)); #21253=EDGE_LOOP('',(#138756,#138757,#138758,#138759)); #21254=EDGE_LOOP('',(#138760,#138761,#138762,#138763)); #21255=EDGE_LOOP('',(#138764,#138765,#138766,#138767)); #21256=EDGE_LOOP('',(#138768,#138769,#138770,#138771)); #21257=EDGE_LOOP('',(#138772,#138773,#138774,#138775)); #21258=EDGE_LOOP('',(#138776,#138777,#138778,#138779)); #21259=EDGE_LOOP('',(#138780,#138781,#138782,#138783)); #21260=EDGE_LOOP('',(#138784,#138785,#138786,#138787)); #21261=EDGE_LOOP('',(#138788,#138789,#138790,#138791,#138792,#138793,#138794, #138795,#138796,#138797,#138798,#138799,#138800,#138801)); #21262=EDGE_LOOP('',(#138802,#138803,#138804,#138805)); #21263=EDGE_LOOP('',(#138806,#138807,#138808,#138809)); #21264=EDGE_LOOP('',(#138810,#138811,#138812,#138813)); #21265=EDGE_LOOP('',(#138814,#138815,#138816,#138817)); #21266=EDGE_LOOP('',(#138818,#138819,#138820,#138821)); #21267=EDGE_LOOP('',(#138822,#138823,#138824,#138825)); #21268=EDGE_LOOP('',(#138826,#138827,#138828,#138829)); #21269=EDGE_LOOP('',(#138830,#138831,#138832,#138833)); #21270=EDGE_LOOP('',(#138834,#138835,#138836,#138837)); #21271=EDGE_LOOP('',(#138838,#138839,#138840,#138841)); #21272=EDGE_LOOP('',(#138842,#138843,#138844,#138845)); #21273=EDGE_LOOP('',(#138846,#138847,#138848,#138849)); #21274=EDGE_LOOP('',(#138850,#138851,#138852,#138853)); #21275=EDGE_LOOP('',(#138854,#138855,#138856,#138857)); #21276=EDGE_LOOP('',(#138858,#138859,#138860,#138861)); #21277=EDGE_LOOP('',(#138862,#138863,#138864,#138865)); #21278=EDGE_LOOP('',(#138866,#138867,#138868,#138869)); #21279=EDGE_LOOP('',(#138870,#138871,#138872,#138873)); #21280=EDGE_LOOP('',(#138874,#138875,#138876,#138877)); #21281=EDGE_LOOP('',(#138878,#138879,#138880,#138881)); #21282=EDGE_LOOP('',(#138882,#138883,#138884,#138885)); #21283=EDGE_LOOP('',(#138886,#138887,#138888,#138889)); #21284=EDGE_LOOP('',(#138890,#138891,#138892,#138893)); #21285=EDGE_LOOP('',(#138894,#138895,#138896,#138897)); #21286=EDGE_LOOP('',(#138898,#138899,#138900,#138901)); #21287=EDGE_LOOP('',(#138902,#138903,#138904,#138905)); #21288=EDGE_LOOP('',(#138906,#138907,#138908,#138909)); #21289=EDGE_LOOP('',(#138910,#138911,#138912,#138913)); #21290=EDGE_LOOP('',(#138914,#138915,#138916,#138917)); #21291=EDGE_LOOP('',(#138918,#138919,#138920,#138921)); #21292=EDGE_LOOP('',(#138922,#138923,#138924,#138925)); #21293=EDGE_LOOP('',(#138926,#138927,#138928,#138929)); #21294=EDGE_LOOP('',(#138930,#138931,#138932,#138933)); #21295=EDGE_LOOP('',(#138934,#138935,#138936,#138937)); #21296=EDGE_LOOP('',(#138938,#138939,#138940,#138941)); #21297=EDGE_LOOP('',(#138942,#138943,#138944,#138945)); #21298=EDGE_LOOP('',(#138946,#138947,#138948,#138949)); #21299=EDGE_LOOP('',(#138950,#138951,#138952,#138953)); #21300=EDGE_LOOP('',(#138954,#138955,#138956,#138957)); #21301=EDGE_LOOP('',(#138958,#138959,#138960,#138961)); #21302=EDGE_LOOP('',(#138962,#138963,#138964,#138965)); #21303=EDGE_LOOP('',(#138966,#138967,#138968,#138969)); #21304=EDGE_LOOP('',(#138970,#138971,#138972,#138973)); #21305=EDGE_LOOP('',(#138974,#138975,#138976,#138977)); #21306=EDGE_LOOP('',(#138978,#138979,#138980,#138981)); #21307=EDGE_LOOP('',(#138982,#138983,#138984,#138985,#138986,#138987,#138988, #138989,#138990,#138991,#138992,#138993,#138994,#138995,#138996,#138997, #138998,#138999,#139000,#139001,#139002,#139003,#139004,#139005,#139006, #139007,#139008,#139009,#139010,#139011,#139012)); #21308=EDGE_LOOP('',(#139013,#139014,#139015,#139016,#139017,#139018,#139019, #139020,#139021,#139022,#139023,#139024,#139025,#139026)); #21309=EDGE_LOOP('',(#139027,#139028,#139029,#139030)); #21310=EDGE_LOOP('',(#139031,#139032,#139033,#139034)); #21311=EDGE_LOOP('',(#139035,#139036,#139037,#139038)); #21312=EDGE_LOOP('',(#139039,#139040,#139041,#139042)); #21313=EDGE_LOOP('',(#139043,#139044,#139045,#139046)); #21314=EDGE_LOOP('',(#139047,#139048,#139049,#139050)); #21315=EDGE_LOOP('',(#139051,#139052,#139053,#139054)); #21316=EDGE_LOOP('',(#139055,#139056,#139057,#139058)); #21317=EDGE_LOOP('',(#139059,#139060,#139061,#139062)); #21318=EDGE_LOOP('',(#139063,#139064,#139065,#139066)); #21319=EDGE_LOOP('',(#139067,#139068,#139069,#139070)); #21320=EDGE_LOOP('',(#139071,#139072,#139073,#139074)); #21321=EDGE_LOOP('',(#139075,#139076,#139077,#139078)); #21322=EDGE_LOOP('',(#139079,#139080,#139081,#139082)); #21323=EDGE_LOOP('',(#139083,#139084,#139085,#139086)); #21324=EDGE_LOOP('',(#139087,#139088,#139089,#139090)); #21325=EDGE_LOOP('',(#139091,#139092,#139093,#139094)); #21326=EDGE_LOOP('',(#139095,#139096,#139097,#139098)); #21327=EDGE_LOOP('',(#139099,#139100,#139101,#139102)); #21328=EDGE_LOOP('',(#139103,#139104,#139105,#139106)); #21329=EDGE_LOOP('',(#139107,#139108,#139109,#139110)); #21330=EDGE_LOOP('',(#139111,#139112,#139113,#139114)); #21331=EDGE_LOOP('',(#139115,#139116,#139117,#139118)); #21332=EDGE_LOOP('',(#139119,#139120,#139121,#139122)); #21333=EDGE_LOOP('',(#139123,#139124,#139125,#139126)); #21334=EDGE_LOOP('',(#139127,#139128,#139129,#139130)); #21335=EDGE_LOOP('',(#139131,#139132,#139133,#139134)); #21336=EDGE_LOOP('',(#139135,#139136,#139137,#139138)); #21337=EDGE_LOOP('',(#139139,#139140,#139141,#139142)); #21338=EDGE_LOOP('',(#139143,#139144,#139145,#139146)); #21339=EDGE_LOOP('',(#139147,#139148,#139149,#139150)); #21340=EDGE_LOOP('',(#139151,#139152,#139153,#139154,#139155,#139156,#139157, #139158,#139159,#139160,#139161,#139162,#139163,#139164,#139165,#139166, #139167,#139168,#139169,#139170,#139171,#139172,#139173,#139174,#139175, #139176,#139177,#139178,#139179,#139180,#139181)); #21341=EDGE_LOOP('',(#139182,#139183,#139184,#139185)); #21342=EDGE_LOOP('',(#139186,#139187,#139188,#139189)); #21343=EDGE_LOOP('',(#139190,#139191,#139192,#139193)); #21344=EDGE_LOOP('',(#139194,#139195,#139196,#139197)); #21345=EDGE_LOOP('',(#139198,#139199,#139200,#139201)); #21346=EDGE_LOOP('',(#139202,#139203,#139204,#139205)); #21347=EDGE_LOOP('',(#139206,#139207,#139208,#139209)); #21348=EDGE_LOOP('',(#139210,#139211,#139212,#139213)); #21349=EDGE_LOOP('',(#139214,#139215,#139216,#139217)); #21350=EDGE_LOOP('',(#139218,#139219,#139220,#139221)); #21351=EDGE_LOOP('',(#139222,#139223,#139224,#139225)); #21352=EDGE_LOOP('',(#139226,#139227,#139228,#139229)); #21353=EDGE_LOOP('',(#139230,#139231,#139232,#139233)); #21354=EDGE_LOOP('',(#139234,#139235,#139236,#139237)); #21355=EDGE_LOOP('',(#139238,#139239,#139240,#139241)); #21356=EDGE_LOOP('',(#139242,#139243,#139244,#139245)); #21357=EDGE_LOOP('',(#139246,#139247,#139248,#139249)); #21358=EDGE_LOOP('',(#139250,#139251,#139252,#139253)); #21359=EDGE_LOOP('',(#139254,#139255,#139256,#139257)); #21360=EDGE_LOOP('',(#139258,#139259,#139260,#139261)); #21361=EDGE_LOOP('',(#139262,#139263,#139264,#139265)); #21362=EDGE_LOOP('',(#139266,#139267,#139268,#139269)); #21363=EDGE_LOOP('',(#139270,#139271,#139272,#139273)); #21364=EDGE_LOOP('',(#139274,#139275,#139276,#139277)); #21365=EDGE_LOOP('',(#139278,#139279,#139280,#139281)); #21366=EDGE_LOOP('',(#139282,#139283,#139284,#139285)); #21367=EDGE_LOOP('',(#139286,#139287,#139288,#139289)); #21368=EDGE_LOOP('',(#139290,#139291,#139292,#139293)); #21369=EDGE_LOOP('',(#139294,#139295,#139296,#139297)); #21370=EDGE_LOOP('',(#139298,#139299,#139300,#139301)); #21371=EDGE_LOOP('',(#139302,#139303,#139304,#139305)); #21372=EDGE_LOOP('',(#139306,#139307,#139308,#139309)); #21373=EDGE_LOOP('',(#139310,#139311,#139312,#139313)); #21374=EDGE_LOOP('',(#139314,#139315,#139316,#139317,#139318,#139319,#139320, #139321,#139322,#139323,#139324,#139325,#139326,#139327,#139328,#139329, #139330,#139331,#139332,#139333,#139334,#139335,#139336,#139337,#139338, #139339,#139340,#139341,#139342,#139343,#139344,#139345,#139346)); #21375=EDGE_LOOP('',(#139347,#139348,#139349,#139350)); #21376=EDGE_LOOP('',(#139351,#139352,#139353,#139354)); #21377=EDGE_LOOP('',(#139355,#139356,#139357,#139358)); #21378=EDGE_LOOP('',(#139359,#139360,#139361,#139362)); #21379=EDGE_LOOP('',(#139363,#139364,#139365,#139366)); #21380=EDGE_LOOP('',(#139367,#139368,#139369,#139370)); #21381=EDGE_LOOP('',(#139371,#139372,#139373,#139374)); #21382=EDGE_LOOP('',(#139375,#139376,#139377,#139378)); #21383=EDGE_LOOP('',(#139379,#139380,#139381,#139382)); #21384=EDGE_LOOP('',(#139383,#139384,#139385,#139386)); #21385=EDGE_LOOP('',(#139387,#139388,#139389,#139390)); #21386=EDGE_LOOP('',(#139391,#139392,#139393,#139394)); #21387=EDGE_LOOP('',(#139395,#139396,#139397,#139398)); #21388=EDGE_LOOP('',(#139399,#139400,#139401,#139402)); #21389=EDGE_LOOP('',(#139403,#139404,#139405,#139406)); #21390=EDGE_LOOP('',(#139407,#139408,#139409,#139410)); #21391=EDGE_LOOP('',(#139411,#139412,#139413,#139414)); #21392=EDGE_LOOP('',(#139415,#139416,#139417,#139418)); #21393=EDGE_LOOP('',(#139419,#139420,#139421,#139422)); #21394=EDGE_LOOP('',(#139423,#139424,#139425,#139426)); #21395=EDGE_LOOP('',(#139427,#139428,#139429,#139430)); #21396=EDGE_LOOP('',(#139431,#139432,#139433,#139434)); #21397=EDGE_LOOP('',(#139435,#139436,#139437,#139438)); #21398=EDGE_LOOP('',(#139439,#139440,#139441,#139442)); #21399=EDGE_LOOP('',(#139443,#139444,#139445,#139446)); #21400=EDGE_LOOP('',(#139447,#139448,#139449,#139450)); #21401=EDGE_LOOP('',(#139451,#139452,#139453,#139454)); #21402=EDGE_LOOP('',(#139455,#139456,#139457,#139458)); #21403=EDGE_LOOP('',(#139459,#139460,#139461,#139462)); #21404=EDGE_LOOP('',(#139463,#139464,#139465,#139466)); #21405=EDGE_LOOP('',(#139467,#139468,#139469,#139470)); #21406=EDGE_LOOP('',(#139471,#139472,#139473,#139474)); #21407=EDGE_LOOP('',(#139475,#139476,#139477,#139478)); #21408=EDGE_LOOP('',(#139479,#139480,#139481,#139482)); #21409=EDGE_LOOP('',(#139483,#139484,#139485,#139486)); #21410=EDGE_LOOP('',(#139487,#139488,#139489,#139490)); #21411=EDGE_LOOP('',(#139491,#139492,#139493,#139494)); #21412=EDGE_LOOP('',(#139495,#139496,#139497,#139498)); #21413=EDGE_LOOP('',(#139499,#139500,#139501,#139502)); #21414=EDGE_LOOP('',(#139503,#139504,#139505,#139506)); #21415=EDGE_LOOP('',(#139507,#139508,#139509,#139510)); #21416=EDGE_LOOP('',(#139511,#139512,#139513,#139514)); #21417=EDGE_LOOP('',(#139515,#139516,#139517,#139518,#139519,#139520,#139521, #139522,#139523,#139524,#139525,#139526,#139527,#139528,#139529,#139530, #139531,#139532,#139533,#139534,#139535,#139536,#139537,#139538,#139539, #139540,#139541,#139542,#139543,#139544,#139545,#139546,#139547,#139548, #139549,#139550,#139551,#139552,#139553,#139554,#139555,#139556)); #21418=EDGE_LOOP('',(#139557,#139558,#139559,#139560)); #21419=EDGE_LOOP('',(#139561,#139562,#139563,#139564)); #21420=EDGE_LOOP('',(#139565,#139566,#139567,#139568)); #21421=EDGE_LOOP('',(#139569,#139570,#139571,#139572)); #21422=EDGE_LOOP('',(#139573,#139574,#139575,#139576)); #21423=EDGE_LOOP('',(#139577,#139578,#139579,#139580)); #21424=EDGE_LOOP('',(#139581,#139582,#139583,#139584)); #21425=EDGE_LOOP('',(#139585,#139586,#139587,#139588)); #21426=EDGE_LOOP('',(#139589,#139590,#139591,#139592)); #21427=EDGE_LOOP('',(#139593,#139594,#139595,#139596)); #21428=EDGE_LOOP('',(#139597,#139598,#139599,#139600)); #21429=EDGE_LOOP('',(#139601,#139602,#139603,#139604)); #21430=EDGE_LOOP('',(#139605,#139606,#139607,#139608)); #21431=EDGE_LOOP('',(#139609,#139610,#139611,#139612)); #21432=EDGE_LOOP('',(#139613,#139614,#139615,#139616)); #21433=EDGE_LOOP('',(#139617,#139618,#139619,#139620)); #21434=EDGE_LOOP('',(#139621,#139622,#139623,#139624)); #21435=EDGE_LOOP('',(#139625,#139626,#139627,#139628)); #21436=EDGE_LOOP('',(#139629,#139630,#139631,#139632)); #21437=EDGE_LOOP('',(#139633,#139634,#139635,#139636)); #21438=EDGE_LOOP('',(#139637,#139638,#139639,#139640,#139641,#139642,#139643, #139644,#139645,#139646,#139647)); #21439=EDGE_LOOP('',(#139648,#139649,#139650,#139651,#139652,#139653,#139654, #139655,#139656)); #21440=EDGE_LOOP('',(#139657,#139658,#139659,#139660)); #21441=EDGE_LOOP('',(#139661,#139662,#139663,#139664)); #21442=EDGE_LOOP('',(#139665,#139666,#139667,#139668)); #21443=EDGE_LOOP('',(#139669,#139670,#139671,#139672)); #21444=EDGE_LOOP('',(#139673,#139674,#139675,#139676)); #21445=EDGE_LOOP('',(#139677,#139678,#139679,#139680)); #21446=EDGE_LOOP('',(#139681,#139682,#139683,#139684)); #21447=EDGE_LOOP('',(#139685,#139686,#139687,#139688)); #21448=EDGE_LOOP('',(#139689,#139690,#139691,#139692)); #21449=EDGE_LOOP('',(#139693,#139694,#139695,#139696)); #21450=EDGE_LOOP('',(#139697,#139698,#139699,#139700)); #21451=EDGE_LOOP('',(#139701,#139702,#139703,#139704)); #21452=EDGE_LOOP('',(#139705,#139706,#139707,#139708)); #21453=EDGE_LOOP('',(#139709,#139710,#139711,#139712)); #21454=EDGE_LOOP('',(#139713,#139714,#139715,#139716)); #21455=EDGE_LOOP('',(#139717,#139718,#139719,#139720)); #21456=EDGE_LOOP('',(#139721,#139722,#139723,#139724)); #21457=EDGE_LOOP('',(#139725,#139726,#139727,#139728)); #21458=EDGE_LOOP('',(#139729,#139730,#139731,#139732)); #21459=EDGE_LOOP('',(#139733,#139734,#139735,#139736)); #21460=EDGE_LOOP('',(#139737,#139738,#139739,#139740)); #21461=EDGE_LOOP('',(#139741,#139742,#139743,#139744)); #21462=EDGE_LOOP('',(#139745,#139746,#139747,#139748)); #21463=EDGE_LOOP('',(#139749,#139750,#139751,#139752)); #21464=EDGE_LOOP('',(#139753,#139754,#139755,#139756)); #21465=EDGE_LOOP('',(#139757,#139758,#139759,#139760)); #21466=EDGE_LOOP('',(#139761,#139762,#139763,#139764)); #21467=EDGE_LOOP('',(#139765,#139766,#139767,#139768)); #21468=EDGE_LOOP('',(#139769,#139770,#139771,#139772)); #21469=EDGE_LOOP('',(#139773,#139774,#139775,#139776)); #21470=EDGE_LOOP('',(#139777,#139778,#139779,#139780)); #21471=EDGE_LOOP('',(#139781,#139782,#139783,#139784)); #21472=EDGE_LOOP('',(#139785,#139786,#139787,#139788)); #21473=EDGE_LOOP('',(#139789,#139790,#139791,#139792)); #21474=EDGE_LOOP('',(#139793,#139794,#139795,#139796)); #21475=EDGE_LOOP('',(#139797,#139798,#139799,#139800)); #21476=EDGE_LOOP('',(#139801,#139802,#139803,#139804)); #21477=EDGE_LOOP('',(#139805,#139806,#139807,#139808)); #21478=EDGE_LOOP('',(#139809,#139810,#139811,#139812)); #21479=EDGE_LOOP('',(#139813,#139814,#139815,#139816)); #21480=EDGE_LOOP('',(#139817,#139818,#139819,#139820)); #21481=EDGE_LOOP('',(#139821,#139822,#139823,#139824)); #21482=EDGE_LOOP('',(#139825,#139826,#139827,#139828)); #21483=EDGE_LOOP('',(#139829,#139830,#139831,#139832)); #21484=EDGE_LOOP('',(#139833,#139834,#139835,#139836)); #21485=EDGE_LOOP('',(#139837,#139838,#139839,#139840)); #21486=EDGE_LOOP('',(#139841,#139842,#139843,#139844,#139845,#139846,#139847, #139848,#139849,#139850,#139851,#139852,#139853,#139854,#139855,#139856, #139857,#139858,#139859,#139860,#139861,#139862,#139863,#139864,#139865, #139866,#139867,#139868,#139869,#139870,#139871,#139872,#139873,#139874, #139875,#139876,#139877,#139878,#139879,#139880,#139881,#139882,#139883, #139884,#139885,#139886)); #21487=EDGE_LOOP('',(#139887,#139888,#139889,#139890)); #21488=EDGE_LOOP('',(#139891,#139892,#139893,#139894)); #21489=EDGE_LOOP('',(#139895,#139896,#139897,#139898)); #21490=EDGE_LOOP('',(#139899,#139900,#139901,#139902)); #21491=EDGE_LOOP('',(#139903,#139904,#139905,#139906)); #21492=EDGE_LOOP('',(#139907,#139908,#139909,#139910)); #21493=EDGE_LOOP('',(#139911,#139912,#139913,#139914)); #21494=EDGE_LOOP('',(#139915,#139916,#139917,#139918)); #21495=EDGE_LOOP('',(#139919,#139920,#139921,#139922)); #21496=EDGE_LOOP('',(#139923,#139924,#139925,#139926)); #21497=EDGE_LOOP('',(#139927,#139928,#139929,#139930)); #21498=EDGE_LOOP('',(#139931,#139932,#139933,#139934)); #21499=EDGE_LOOP('',(#139935,#139936,#139937,#139938)); #21500=EDGE_LOOP('',(#139939,#139940,#139941,#139942)); #21501=EDGE_LOOP('',(#139943,#139944,#139945,#139946)); #21502=EDGE_LOOP('',(#139947,#139948,#139949,#139950)); #21503=EDGE_LOOP('',(#139951,#139952,#139953,#139954)); #21504=EDGE_LOOP('',(#139955,#139956,#139957,#139958)); #21505=EDGE_LOOP('',(#139959,#139960,#139961,#139962,#139963,#139964,#139965, #139966,#139967,#139968,#139969,#139970,#139971,#139972,#139973,#139974, #139975,#139976)); #21506=EDGE_LOOP('',(#139977,#139978,#139979,#139980)); #21507=EDGE_LOOP('',(#139981,#139982,#139983,#139984)); #21508=EDGE_LOOP('',(#139985,#139986,#139987,#139988)); #21509=EDGE_LOOP('',(#139989,#139990,#139991,#139992)); #21510=EDGE_LOOP('',(#139993,#139994,#139995,#139996)); #21511=EDGE_LOOP('',(#139997,#139998,#139999,#140000)); #21512=EDGE_LOOP('',(#140001,#140002,#140003,#140004)); #21513=EDGE_LOOP('',(#140005,#140006,#140007,#140008)); #21514=EDGE_LOOP('',(#140009,#140010,#140011,#140012)); #21515=EDGE_LOOP('',(#140013,#140014,#140015,#140016)); #21516=EDGE_LOOP('',(#140017,#140018,#140019,#140020)); #21517=EDGE_LOOP('',(#140021,#140022,#140023,#140024)); #21518=EDGE_LOOP('',(#140025,#140026,#140027,#140028)); #21519=EDGE_LOOP('',(#140029,#140030,#140031,#140032)); #21520=EDGE_LOOP('',(#140033,#140034,#140035,#140036,#140037,#140038,#140039, #140040,#140041,#140042,#140043,#140044,#140045,#140046)); #21521=EDGE_LOOP('',(#140047,#140048,#140049,#140050)); #21522=EDGE_LOOP('',(#140051,#140052,#140053,#140054)); #21523=EDGE_LOOP('',(#140055,#140056,#140057,#140058)); #21524=EDGE_LOOP('',(#140059,#140060,#140061,#140062)); #21525=EDGE_LOOP('',(#140063,#140064,#140065,#140066)); #21526=EDGE_LOOP('',(#140067,#140068,#140069,#140070)); #21527=EDGE_LOOP('',(#140071,#140072,#140073,#140074)); #21528=EDGE_LOOP('',(#140075,#140076,#140077,#140078)); #21529=EDGE_LOOP('',(#140079,#140080,#140081,#140082)); #21530=EDGE_LOOP('',(#140083,#140084,#140085,#140086)); #21531=EDGE_LOOP('',(#140087,#140088,#140089,#140090)); #21532=EDGE_LOOP('',(#140091,#140092,#140093,#140094)); #21533=EDGE_LOOP('',(#140095,#140096,#140097,#140098)); #21534=EDGE_LOOP('',(#140099,#140100,#140101,#140102)); #21535=EDGE_LOOP('',(#140103,#140104,#140105,#140106)); #21536=EDGE_LOOP('',(#140107,#140108,#140109,#140110)); #21537=EDGE_LOOP('',(#140111,#140112,#140113,#140114)); #21538=EDGE_LOOP('',(#140115,#140116,#140117,#140118)); #21539=EDGE_LOOP('',(#140119,#140120,#140121,#140122)); #21540=EDGE_LOOP('',(#140123,#140124,#140125,#140126)); #21541=EDGE_LOOP('',(#140127,#140128,#140129,#140130)); #21542=EDGE_LOOP('',(#140131,#140132,#140133,#140134)); #21543=EDGE_LOOP('',(#140135,#140136,#140137,#140138)); #21544=EDGE_LOOP('',(#140139,#140140,#140141,#140142,#140143,#140144,#140145, #140146,#140147,#140148,#140149,#140150,#140151,#140152,#140153,#140154, #140155,#140156,#140157,#140158,#140159,#140160,#140161)); #21545=EDGE_LOOP('',(#140162,#140163,#140164,#140165)); #21546=EDGE_LOOP('',(#140166,#140167,#140168,#140169)); #21547=EDGE_LOOP('',(#140170,#140171,#140172,#140173)); #21548=EDGE_LOOP('',(#140174,#140175,#140176,#140177)); #21549=EDGE_LOOP('',(#140178,#140179,#140180,#140181)); #21550=EDGE_LOOP('',(#140182,#140183,#140184,#140185)); #21551=EDGE_LOOP('',(#140186,#140187,#140188,#140189)); #21552=EDGE_LOOP('',(#140190,#140191,#140192,#140193)); #21553=EDGE_LOOP('',(#140194,#140195,#140196,#140197)); #21554=EDGE_LOOP('',(#140198,#140199,#140200,#140201)); #21555=EDGE_LOOP('',(#140202,#140203,#140204,#140205)); #21556=EDGE_LOOP('',(#140206,#140207,#140208,#140209)); #21557=EDGE_LOOP('',(#140210,#140211,#140212,#140213)); #21558=EDGE_LOOP('',(#140214,#140215,#140216,#140217)); #21559=EDGE_LOOP('',(#140218,#140219,#140220,#140221)); #21560=EDGE_LOOP('',(#140222,#140223,#140224,#140225)); #21561=EDGE_LOOP('',(#140226,#140227,#140228,#140229)); #21562=EDGE_LOOP('',(#140230,#140231,#140232,#140233)); #21563=EDGE_LOOP('',(#140234,#140235,#140236,#140237)); #21564=EDGE_LOOP('',(#140238,#140239,#140240,#140241)); #21565=EDGE_LOOP('',(#140242,#140243,#140244,#140245)); #21566=EDGE_LOOP('',(#140246,#140247,#140248,#140249)); #21567=EDGE_LOOP('',(#140250,#140251,#140252,#140253)); #21568=EDGE_LOOP('',(#140254,#140255,#140256,#140257)); #21569=EDGE_LOOP('',(#140258,#140259,#140260,#140261)); #21570=EDGE_LOOP('',(#140262,#140263,#140264,#140265)); #21571=EDGE_LOOP('',(#140266,#140267,#140268,#140269)); #21572=EDGE_LOOP('',(#140270,#140271,#140272,#140273)); #21573=EDGE_LOOP('',(#140274,#140275,#140276,#140277)); #21574=EDGE_LOOP('',(#140278,#140279,#140280,#140281)); #21575=EDGE_LOOP('',(#140282,#140283,#140284,#140285)); #21576=EDGE_LOOP('',(#140286,#140287,#140288,#140289)); #21577=EDGE_LOOP('',(#140290,#140291,#140292,#140293)); #21578=EDGE_LOOP('',(#140294,#140295,#140296,#140297)); #21579=EDGE_LOOP('',(#140298,#140299,#140300,#140301)); #21580=EDGE_LOOP('',(#140302,#140303,#140304,#140305)); #21581=EDGE_LOOP('',(#140306,#140307,#140308,#140309)); #21582=EDGE_LOOP('',(#140310,#140311,#140312,#140313)); #21583=EDGE_LOOP('',(#140314,#140315,#140316,#140317)); #21584=EDGE_LOOP('',(#140318,#140319,#140320,#140321)); #21585=EDGE_LOOP('',(#140322,#140323,#140324,#140325)); #21586=EDGE_LOOP('',(#140326,#140327,#140328,#140329)); #21587=EDGE_LOOP('',(#140330,#140331,#140332,#140333)); #21588=EDGE_LOOP('',(#140334,#140335,#140336,#140337)); #21589=EDGE_LOOP('',(#140338,#140339,#140340,#140341)); #21590=EDGE_LOOP('',(#140342,#140343,#140344,#140345)); #21591=EDGE_LOOP('',(#140346,#140347,#140348,#140349)); #21592=EDGE_LOOP('',(#140350,#140351,#140352,#140353)); #21593=EDGE_LOOP('',(#140354,#140355,#140356,#140357)); #21594=EDGE_LOOP('',(#140358,#140359,#140360,#140361)); #21595=EDGE_LOOP('',(#140362,#140363,#140364,#140365)); #21596=EDGE_LOOP('',(#140366,#140367,#140368,#140369)); #21597=EDGE_LOOP('',(#140370,#140371,#140372,#140373)); #21598=EDGE_LOOP('',(#140374,#140375,#140376,#140377)); #21599=EDGE_LOOP('',(#140378,#140379,#140380,#140381)); #21600=EDGE_LOOP('',(#140382,#140383,#140384,#140385)); #21601=EDGE_LOOP('',(#140386,#140387,#140388,#140389)); #21602=EDGE_LOOP('',(#140390,#140391,#140392,#140393)); #21603=EDGE_LOOP('',(#140394,#140395,#140396,#140397)); #21604=EDGE_LOOP('',(#140398,#140399,#140400,#140401)); #21605=EDGE_LOOP('',(#140402,#140403,#140404,#140405)); #21606=EDGE_LOOP('',(#140406,#140407,#140408,#140409)); #21607=EDGE_LOOP('',(#140410,#140411,#140412,#140413)); #21608=EDGE_LOOP('',(#140414,#140415,#140416,#140417)); #21609=EDGE_LOOP('',(#140418,#140419,#140420,#140421)); #21610=EDGE_LOOP('',(#140422,#140423,#140424,#140425)); #21611=EDGE_LOOP('',(#140426,#140427,#140428,#140429)); #21612=EDGE_LOOP('',(#140430,#140431,#140432,#140433)); #21613=EDGE_LOOP('',(#140434,#140435,#140436,#140437)); #21614=EDGE_LOOP('',(#140438,#140439,#140440,#140441)); #21615=EDGE_LOOP('',(#140442,#140443,#140444,#140445)); #21616=EDGE_LOOP('',(#140446,#140447,#140448,#140449)); #21617=EDGE_LOOP('',(#140450,#140451,#140452,#140453)); #21618=EDGE_LOOP('',(#140454,#140455,#140456,#140457)); #21619=EDGE_LOOP('',(#140458,#140459,#140460,#140461)); #21620=EDGE_LOOP('',(#140462,#140463,#140464,#140465)); #21621=EDGE_LOOP('',(#140466,#140467,#140468,#140469)); #21622=EDGE_LOOP('',(#140470,#140471,#140472,#140473)); #21623=EDGE_LOOP('',(#140474,#140475,#140476,#140477)); #21624=EDGE_LOOP('',(#140478,#140479,#140480,#140481)); #21625=EDGE_LOOP('',(#140482,#140483,#140484,#140485)); #21626=EDGE_LOOP('',(#140486,#140487,#140488,#140489)); #21627=EDGE_LOOP('',(#140490,#140491,#140492,#140493,#140494,#140495,#140496, #140497,#140498,#140499,#140500,#140501,#140502,#140503,#140504,#140505, #140506,#140507,#140508,#140509,#140510,#140511,#140512,#140513,#140514, #140515,#140516,#140517,#140518,#140519,#140520,#140521,#140522,#140523, #140524,#140525,#140526,#140527,#140528,#140529,#140530,#140531,#140532, #140533,#140534,#140535,#140536,#140537,#140538,#140539,#140540,#140541, #140542,#140543,#140544,#140545,#140546,#140547,#140548,#140549,#140550, #140551,#140552,#140553,#140554,#140555,#140556,#140557,#140558,#140559, #140560,#140561,#140562,#140563,#140564,#140565,#140566,#140567,#140568, #140569,#140570,#140571)); #21628=EDGE_LOOP('',(#140572,#140573,#140574,#140575)); #21629=EDGE_LOOP('',(#140576,#140577,#140578,#140579)); #21630=EDGE_LOOP('',(#140580,#140581,#140582,#140583)); #21631=EDGE_LOOP('',(#140584,#140585,#140586,#140587)); #21632=EDGE_LOOP('',(#140588,#140589,#140590,#140591)); #21633=EDGE_LOOP('',(#140592,#140593,#140594,#140595)); #21634=EDGE_LOOP('',(#140596,#140597,#140598,#140599)); #21635=EDGE_LOOP('',(#140600,#140601,#140602,#140603)); #21636=EDGE_LOOP('',(#140604,#140605,#140606,#140607)); #21637=EDGE_LOOP('',(#140608,#140609,#140610,#140611)); #21638=EDGE_LOOP('',(#140612,#140613,#140614,#140615)); #21639=EDGE_LOOP('',(#140616,#140617,#140618,#140619)); #21640=EDGE_LOOP('',(#140620,#140621,#140622,#140623)); #21641=EDGE_LOOP('',(#140624,#140625,#140626,#140627)); #21642=EDGE_LOOP('',(#140628,#140629,#140630,#140631)); #21643=EDGE_LOOP('',(#140632,#140633,#140634,#140635)); #21644=EDGE_LOOP('',(#140636,#140637,#140638,#140639)); #21645=EDGE_LOOP('',(#140640,#140641,#140642,#140643)); #21646=EDGE_LOOP('',(#140644,#140645,#140646,#140647)); #21647=EDGE_LOOP('',(#140648,#140649,#140650,#140651)); #21648=EDGE_LOOP('',(#140652,#140653,#140654,#140655)); #21649=EDGE_LOOP('',(#140656,#140657,#140658,#140659)); #21650=EDGE_LOOP('',(#140660,#140661,#140662,#140663)); #21651=EDGE_LOOP('',(#140664,#140665,#140666,#140667)); #21652=EDGE_LOOP('',(#140668,#140669,#140670,#140671,#140672,#140673,#140674, #140675,#140676,#140677,#140678,#140679,#140680,#140681,#140682,#140683, #140684,#140685,#140686,#140687,#140688,#140689,#140690,#140691)); #21653=EDGE_LOOP('',(#140692,#140693,#140694,#140695)); #21654=EDGE_LOOP('',(#140696,#140697,#140698,#140699)); #21655=EDGE_LOOP('',(#140700,#140701,#140702,#140703)); #21656=EDGE_LOOP('',(#140704,#140705,#140706,#140707)); #21657=EDGE_LOOP('',(#140708,#140709,#140710,#140711)); #21658=EDGE_LOOP('',(#140712,#140713,#140714,#140715)); #21659=EDGE_LOOP('',(#140716,#140717,#140718,#140719)); #21660=EDGE_LOOP('',(#140720,#140721,#140722,#140723)); #21661=EDGE_LOOP('',(#140724,#140725,#140726,#140727)); #21662=EDGE_LOOP('',(#140728,#140729,#140730,#140731)); #21663=EDGE_LOOP('',(#140732,#140733,#140734,#140735)); #21664=EDGE_LOOP('',(#140736,#140737,#140738,#140739)); #21665=EDGE_LOOP('',(#140740,#140741,#140742,#140743)); #21666=EDGE_LOOP('',(#140744,#140745,#140746,#140747)); #21667=EDGE_LOOP('',(#140748,#140749,#140750,#140751)); #21668=EDGE_LOOP('',(#140752,#140753,#140754,#140755)); #21669=EDGE_LOOP('',(#140756,#140757,#140758,#140759)); #21670=EDGE_LOOP('',(#140760,#140761,#140762,#140763)); #21671=EDGE_LOOP('',(#140764,#140765,#140766,#140767)); #21672=EDGE_LOOP('',(#140768,#140769,#140770,#140771)); #21673=EDGE_LOOP('',(#140772,#140773,#140774,#140775)); #21674=EDGE_LOOP('',(#140776,#140777,#140778,#140779)); #21675=EDGE_LOOP('',(#140780,#140781,#140782,#140783)); #21676=EDGE_LOOP('',(#140784,#140785,#140786,#140787)); #21677=EDGE_LOOP('',(#140788,#140789,#140790,#140791)); #21678=EDGE_LOOP('',(#140792,#140793,#140794,#140795)); #21679=EDGE_LOOP('',(#140796,#140797,#140798,#140799)); #21680=EDGE_LOOP('',(#140800,#140801,#140802,#140803)); #21681=EDGE_LOOP('',(#140804,#140805,#140806,#140807)); #21682=EDGE_LOOP('',(#140808,#140809,#140810,#140811)); #21683=EDGE_LOOP('',(#140812,#140813,#140814,#140815)); #21684=EDGE_LOOP('',(#140816,#140817,#140818,#140819)); #21685=EDGE_LOOP('',(#140820,#140821,#140822,#140823)); #21686=EDGE_LOOP('',(#140824,#140825,#140826,#140827)); #21687=EDGE_LOOP('',(#140828,#140829,#140830,#140831)); #21688=EDGE_LOOP('',(#140832,#140833,#140834,#140835)); #21689=EDGE_LOOP('',(#140836,#140837,#140838,#140839)); #21690=EDGE_LOOP('',(#140840,#140841,#140842,#140843)); #21691=EDGE_LOOP('',(#140844,#140845,#140846,#140847)); #21692=EDGE_LOOP('',(#140848,#140849,#140850,#140851)); #21693=EDGE_LOOP('',(#140852,#140853,#140854,#140855,#140856,#140857,#140858, #140859,#140860,#140861,#140862,#140863,#140864,#140865,#140866,#140867, #140868,#140869,#140870,#140871,#140872,#140873,#140874,#140875,#140876, #140877,#140878,#140879,#140880,#140881,#140882)); #21694=EDGE_LOOP('',(#140883,#140884,#140885,#140886,#140887,#140888,#140889, #140890,#140891)); #21695=EDGE_LOOP('',(#140892,#140893,#140894,#140895)); #21696=EDGE_LOOP('',(#140896,#140897,#140898,#140899)); #21697=EDGE_LOOP('',(#140900,#140901,#140902,#140903)); #21698=EDGE_LOOP('',(#140904,#140905,#140906,#140907)); #21699=EDGE_LOOP('',(#140908,#140909,#140910,#140911)); #21700=EDGE_LOOP('',(#140912,#140913,#140914,#140915)); #21701=EDGE_LOOP('',(#140916,#140917,#140918,#140919)); #21702=EDGE_LOOP('',(#140920,#140921,#140922,#140923)); #21703=EDGE_LOOP('',(#140924,#140925,#140926,#140927)); #21704=EDGE_LOOP('',(#140928,#140929,#140930,#140931)); #21705=EDGE_LOOP('',(#140932,#140933,#140934,#140935)); #21706=EDGE_LOOP('',(#140936,#140937,#140938,#140939,#140940,#140941,#140942, #140943,#140944,#140945,#140946)); #21707=EDGE_LOOP('',(#140947,#140948,#140949,#140950)); #21708=EDGE_LOOP('',(#140951,#140952,#140953,#140954)); #21709=EDGE_LOOP('',(#140955,#140956,#140957,#140958)); #21710=EDGE_LOOP('',(#140959,#140960,#140961,#140962)); #21711=EDGE_LOOP('',(#140963,#140964,#140965,#140966)); #21712=EDGE_LOOP('',(#140967,#140968,#140969,#140970)); #21713=EDGE_LOOP('',(#140971,#140972,#140973,#140974)); #21714=EDGE_LOOP('',(#140975,#140976,#140977,#140978)); #21715=EDGE_LOOP('',(#140979,#140980,#140981,#140982)); #21716=EDGE_LOOP('',(#140983,#140984,#140985,#140986)); #21717=EDGE_LOOP('',(#140987,#140988,#140989,#140990)); #21718=EDGE_LOOP('',(#140991,#140992,#140993,#140994)); #21719=EDGE_LOOP('',(#140995,#140996,#140997,#140998)); #21720=EDGE_LOOP('',(#140999,#141000,#141001,#141002)); #21721=EDGE_LOOP('',(#141003,#141004,#141005,#141006)); #21722=EDGE_LOOP('',(#141007,#141008,#141009,#141010)); #21723=EDGE_LOOP('',(#141011,#141012,#141013,#141014)); #21724=EDGE_LOOP('',(#141015,#141016,#141017,#141018)); #21725=EDGE_LOOP('',(#141019,#141020,#141021,#141022)); #21726=EDGE_LOOP('',(#141023,#141024,#141025,#141026)); #21727=EDGE_LOOP('',(#141027,#141028,#141029,#141030)); #21728=EDGE_LOOP('',(#141031,#141032,#141033,#141034)); #21729=EDGE_LOOP('',(#141035,#141036,#141037,#141038)); #21730=EDGE_LOOP('',(#141039,#141040,#141041,#141042)); #21731=EDGE_LOOP('',(#141043,#141044,#141045,#141046)); #21732=EDGE_LOOP('',(#141047,#141048,#141049,#141050)); #21733=EDGE_LOOP('',(#141051,#141052,#141053,#141054)); #21734=EDGE_LOOP('',(#141055,#141056,#141057,#141058)); #21735=EDGE_LOOP('',(#141059,#141060,#141061,#141062)); #21736=EDGE_LOOP('',(#141063,#141064,#141065,#141066)); #21737=EDGE_LOOP('',(#141067,#141068,#141069,#141070)); #21738=EDGE_LOOP('',(#141071,#141072,#141073,#141074)); #21739=EDGE_LOOP('',(#141075,#141076,#141077,#141078)); #21740=EDGE_LOOP('',(#141079,#141080,#141081,#141082)); #21741=EDGE_LOOP('',(#141083,#141084,#141085,#141086)); #21742=EDGE_LOOP('',(#141087,#141088,#141089,#141090)); #21743=EDGE_LOOP('',(#141091,#141092,#141093,#141094)); #21744=EDGE_LOOP('',(#141095,#141096,#141097,#141098)); #21745=EDGE_LOOP('',(#141099,#141100,#141101,#141102)); #21746=EDGE_LOOP('',(#141103,#141104,#141105,#141106)); #21747=EDGE_LOOP('',(#141107,#141108,#141109,#141110)); #21748=EDGE_LOOP('',(#141111,#141112,#141113,#141114)); #21749=EDGE_LOOP('',(#141115,#141116,#141117,#141118)); #21750=EDGE_LOOP('',(#141119,#141120,#141121,#141122)); #21751=EDGE_LOOP('',(#141123,#141124,#141125,#141126)); #21752=EDGE_LOOP('',(#141127,#141128,#141129,#141130)); #21753=EDGE_LOOP('',(#141131,#141132,#141133,#141134)); #21754=EDGE_LOOP('',(#141135,#141136,#141137,#141138,#141139,#141140,#141141, #141142,#141143,#141144,#141145,#141146,#141147,#141148,#141149,#141150, #141151,#141152,#141153,#141154,#141155,#141156,#141157,#141158,#141159, #141160,#141161,#141162,#141163,#141164,#141165,#141166,#141167,#141168, #141169,#141170,#141171)); #21755=EDGE_LOOP('',(#141172,#141173,#141174,#141175,#141176,#141177,#141178, #141179,#141180,#141181)); #21756=EDGE_LOOP('',(#141182,#141183,#141184,#141185)); #21757=EDGE_LOOP('',(#141186,#141187,#141188,#141189)); #21758=EDGE_LOOP('',(#141190,#141191,#141192,#141193)); #21759=EDGE_LOOP('',(#141194,#141195,#141196,#141197)); #21760=EDGE_LOOP('',(#141198,#141199,#141200,#141201)); #21761=EDGE_LOOP('',(#141202,#141203,#141204,#141205)); #21762=EDGE_LOOP('',(#141206,#141207,#141208,#141209)); #21763=EDGE_LOOP('',(#141210,#141211,#141212,#141213)); #21764=EDGE_LOOP('',(#141214,#141215,#141216,#141217)); #21765=EDGE_LOOP('',(#141218,#141219,#141220,#141221)); #21766=EDGE_LOOP('',(#141222,#141223,#141224,#141225)); #21767=EDGE_LOOP('',(#141226,#141227,#141228,#141229)); #21768=EDGE_LOOP('',(#141230,#141231,#141232,#141233)); #21769=EDGE_LOOP('',(#141234,#141235,#141236,#141237)); #21770=EDGE_LOOP('',(#141238,#141239,#141240,#141241)); #21771=EDGE_LOOP('',(#141242,#141243,#141244,#141245)); #21772=EDGE_LOOP('',(#141246,#141247,#141248,#141249)); #21773=EDGE_LOOP('',(#141250,#141251,#141252,#141253)); #21774=EDGE_LOOP('',(#141254,#141255,#141256,#141257)); #21775=EDGE_LOOP('',(#141258,#141259,#141260,#141261)); #21776=EDGE_LOOP('',(#141262,#141263,#141264,#141265)); #21777=EDGE_LOOP('',(#141266,#141267,#141268,#141269)); #21778=EDGE_LOOP('',(#141270,#141271,#141272,#141273)); #21779=EDGE_LOOP('',(#141274,#141275,#141276,#141277)); #21780=EDGE_LOOP('',(#141278,#141279,#141280,#141281)); #21781=EDGE_LOOP('',(#141282,#141283,#141284,#141285)); #21782=EDGE_LOOP('',(#141286,#141287,#141288,#141289)); #21783=EDGE_LOOP('',(#141290,#141291,#141292,#141293)); #21784=EDGE_LOOP('',(#141294,#141295,#141296,#141297)); #21785=EDGE_LOOP('',(#141298,#141299,#141300,#141301)); #21786=EDGE_LOOP('',(#141302,#141303,#141304,#141305)); #21787=EDGE_LOOP('',(#141306,#141307,#141308,#141309)); #21788=EDGE_LOOP('',(#141310,#141311,#141312,#141313)); #21789=EDGE_LOOP('',(#141314,#141315,#141316,#141317)); #21790=EDGE_LOOP('',(#141318,#141319,#141320,#141321)); #21791=EDGE_LOOP('',(#141322,#141323,#141324,#141325)); #21792=EDGE_LOOP('',(#141326,#141327,#141328,#141329)); #21793=EDGE_LOOP('',(#141330,#141331,#141332,#141333)); #21794=EDGE_LOOP('',(#141334,#141335,#141336,#141337)); #21795=EDGE_LOOP('',(#141338,#141339,#141340,#141341)); #21796=EDGE_LOOP('',(#141342,#141343,#141344,#141345)); #21797=EDGE_LOOP('',(#141346,#141347,#141348,#141349)); #21798=EDGE_LOOP('',(#141350,#141351,#141352,#141353)); #21799=EDGE_LOOP('',(#141354,#141355,#141356,#141357,#141358,#141359,#141360, #141361,#141362,#141363,#141364,#141365,#141366,#141367,#141368,#141369, #141370,#141371,#141372,#141373,#141374,#141375,#141376,#141377,#141378, #141379,#141380,#141381,#141382,#141383,#141384,#141385,#141386,#141387, #141388,#141389,#141390,#141391,#141392,#141393,#141394,#141395,#141396)); #21800=EDGE_LOOP('',(#141397,#141398,#141399,#141400)); #21801=EDGE_LOOP('',(#141401,#141402,#141403,#141404)); #21802=EDGE_LOOP('',(#141405,#141406,#141407,#141408)); #21803=EDGE_LOOP('',(#141409,#141410,#141411,#141412)); #21804=EDGE_LOOP('',(#141413,#141414,#141415,#141416)); #21805=EDGE_LOOP('',(#141417,#141418,#141419,#141420)); #21806=EDGE_LOOP('',(#141421,#141422,#141423,#141424)); #21807=EDGE_LOOP('',(#141425,#141426,#141427,#141428)); #21808=EDGE_LOOP('',(#141429,#141430,#141431,#141432)); #21809=EDGE_LOOP('',(#141433,#141434,#141435,#141436)); #21810=EDGE_LOOP('',(#141437,#141438,#141439,#141440)); #21811=EDGE_LOOP('',(#141441,#141442,#141443,#141444)); #21812=EDGE_LOOP('',(#141445,#141446,#141447,#141448)); #21813=EDGE_LOOP('',(#141449,#141450,#141451,#141452)); #21814=EDGE_LOOP('',(#141453,#141454,#141455,#141456)); #21815=EDGE_LOOP('',(#141457,#141458,#141459,#141460)); #21816=EDGE_LOOP('',(#141461,#141462,#141463,#141464)); #21817=EDGE_LOOP('',(#141465,#141466,#141467,#141468)); #21818=EDGE_LOOP('',(#141469,#141470,#141471,#141472)); #21819=EDGE_LOOP('',(#141473,#141474,#141475,#141476)); #21820=EDGE_LOOP('',(#141477,#141478,#141479,#141480)); #21821=EDGE_LOOP('',(#141481,#141482,#141483,#141484)); #21822=EDGE_LOOP('',(#141485,#141486,#141487,#141488)); #21823=EDGE_LOOP('',(#141489,#141490,#141491,#141492)); #21824=EDGE_LOOP('',(#141493,#141494,#141495,#141496)); #21825=EDGE_LOOP('',(#141497,#141498,#141499,#141500)); #21826=EDGE_LOOP('',(#141501,#141502,#141503,#141504)); #21827=EDGE_LOOP('',(#141505,#141506,#141507,#141508)); #21828=EDGE_LOOP('',(#141509,#141510,#141511,#141512)); #21829=EDGE_LOOP('',(#141513,#141514,#141515,#141516)); #21830=EDGE_LOOP('',(#141517,#141518,#141519,#141520)); #21831=EDGE_LOOP('',(#141521,#141522,#141523,#141524)); #21832=EDGE_LOOP('',(#141525,#141526,#141527,#141528)); #21833=EDGE_LOOP('',(#141529,#141530,#141531,#141532)); #21834=EDGE_LOOP('',(#141533,#141534,#141535,#141536)); #21835=EDGE_LOOP('',(#141537,#141538,#141539,#141540)); #21836=EDGE_LOOP('',(#141541,#141542,#141543,#141544)); #21837=EDGE_LOOP('',(#141545,#141546,#141547,#141548)); #21838=EDGE_LOOP('',(#141549,#141550,#141551,#141552)); #21839=EDGE_LOOP('',(#141553,#141554,#141555,#141556)); #21840=EDGE_LOOP('',(#141557,#141558,#141559,#141560)); #21841=EDGE_LOOP('',(#141561,#141562,#141563,#141564)); #21842=EDGE_LOOP('',(#141565,#141566,#141567,#141568)); #21843=EDGE_LOOP('',(#141569,#141570,#141571,#141572)); #21844=EDGE_LOOP('',(#141573,#141574,#141575,#141576)); #21845=EDGE_LOOP('',(#141577,#141578,#141579,#141580)); #21846=EDGE_LOOP('',(#141581,#141582,#141583,#141584)); #21847=EDGE_LOOP('',(#141585,#141586,#141587,#141588)); #21848=EDGE_LOOP('',(#141589,#141590,#141591,#141592)); #21849=EDGE_LOOP('',(#141593,#141594,#141595,#141596)); #21850=EDGE_LOOP('',(#141597,#141598,#141599,#141600)); #21851=EDGE_LOOP('',(#141601,#141602,#141603,#141604)); #21852=EDGE_LOOP('',(#141605,#141606,#141607,#141608)); #21853=EDGE_LOOP('',(#141609,#141610,#141611,#141612)); #21854=EDGE_LOOP('',(#141613,#141614,#141615,#141616)); #21855=EDGE_LOOP('',(#141617,#141618,#141619,#141620)); #21856=EDGE_LOOP('',(#141621,#141622,#141623,#141624)); #21857=EDGE_LOOP('',(#141625,#141626,#141627,#141628)); #21858=EDGE_LOOP('',(#141629,#141630,#141631,#141632)); #21859=EDGE_LOOP('',(#141633,#141634,#141635,#141636)); #21860=EDGE_LOOP('',(#141637,#141638,#141639,#141640)); #21861=EDGE_LOOP('',(#141641,#141642,#141643,#141644)); #21862=EDGE_LOOP('',(#141645,#141646,#141647,#141648)); #21863=EDGE_LOOP('',(#141649,#141650,#141651,#141652)); #21864=EDGE_LOOP('',(#141653,#141654,#141655,#141656,#141657,#141658,#141659, #141660,#141661,#141662,#141663,#141664,#141665,#141666,#141667,#141668, #141669,#141670,#141671,#141672,#141673,#141674,#141675,#141676,#141677, #141678,#141679,#141680,#141681,#141682,#141683,#141684,#141685,#141686, #141687,#141688,#141689,#141690,#141691,#141692,#141693,#141694,#141695, #141696,#141697,#141698,#141699,#141700,#141701,#141702,#141703,#141704, #141705,#141706,#141707,#141708,#141709,#141710,#141711,#141712,#141713, #141714,#141715,#141716)); #21865=EDGE_LOOP('',(#141717,#141718,#141719,#141720)); #21866=EDGE_LOOP('',(#141721,#141722,#141723,#141724)); #21867=EDGE_LOOP('',(#141725,#141726,#141727,#141728)); #21868=EDGE_LOOP('',(#141729,#141730,#141731,#141732)); #21869=EDGE_LOOP('',(#141733,#141734,#141735,#141736)); #21870=EDGE_LOOP('',(#141737,#141738,#141739,#141740)); #21871=EDGE_LOOP('',(#141741,#141742,#141743,#141744)); #21872=EDGE_LOOP('',(#141745,#141746,#141747,#141748)); #21873=EDGE_LOOP('',(#141749,#141750,#141751,#141752,#141753,#141754,#141755, #141756)); #21874=EDGE_LOOP('',(#141757,#141758,#141759,#141760,#141761,#141762,#141763, #141764,#141765,#141766,#141767)); #21875=EDGE_LOOP('',(#141768,#141769,#141770,#141771,#141772,#141773,#141774, #141775,#141776,#141777)); #21876=EDGE_LOOP('',(#141778,#141779,#141780,#141781,#141782,#141783,#141784, #141785,#141786)); #21877=EDGE_LOOP('',(#141787,#141788,#141789,#141790,#141791,#141792,#141793, #141794,#141795)); #21878=EDGE_LOOP('',(#141796,#141797,#141798,#141799)); #21879=EDGE_LOOP('',(#141800,#141801,#141802,#141803)); #21880=EDGE_LOOP('',(#141804,#141805,#141806,#141807)); #21881=EDGE_LOOP('',(#141808,#141809,#141810,#141811)); #21882=EDGE_LOOP('',(#141812,#141813,#141814,#141815)); #21883=EDGE_LOOP('',(#141816,#141817,#141818,#141819)); #21884=EDGE_LOOP('',(#141820,#141821,#141822,#141823)); #21885=EDGE_LOOP('',(#141824,#141825,#141826,#141827)); #21886=EDGE_LOOP('',(#141828,#141829,#141830,#141831)); #21887=EDGE_LOOP('',(#141832,#141833,#141834,#141835)); #21888=EDGE_LOOP('',(#141836,#141837,#141838,#141839)); #21889=EDGE_LOOP('',(#141840,#141841,#141842,#141843)); #21890=EDGE_LOOP('',(#141844,#141845,#141846,#141847)); #21891=EDGE_LOOP('',(#141848,#141849,#141850,#141851)); #21892=EDGE_LOOP('',(#141852,#141853,#141854,#141855)); #21893=EDGE_LOOP('',(#141856,#141857,#141858,#141859)); #21894=EDGE_LOOP('',(#141860,#141861,#141862,#141863)); #21895=EDGE_LOOP('',(#141864,#141865,#141866,#141867)); #21896=EDGE_LOOP('',(#141868,#141869,#141870,#141871)); #21897=EDGE_LOOP('',(#141872,#141873,#141874,#141875)); #21898=EDGE_LOOP('',(#141876,#141877,#141878,#141879)); #21899=EDGE_LOOP('',(#141880,#141881,#141882,#141883)); #21900=EDGE_LOOP('',(#141884,#141885,#141886,#141887)); #21901=EDGE_LOOP('',(#141888,#141889,#141890,#141891,#141892,#141893,#141894, #141895)); #21902=EDGE_LOOP('',(#141896,#141897,#141898,#141899)); #21903=EDGE_LOOP('',(#141900,#141901,#141902,#141903,#141904,#141905,#141906, #141907)); #21904=EDGE_LOOP('',(#141908,#141909,#141910,#141911)); #21905=EDGE_LOOP('',(#141912,#141913,#141914,#141915)); #21906=EDGE_LOOP('',(#141916,#141917,#141918,#141919)); #21907=EDGE_LOOP('',(#141920,#141921,#141922,#141923)); #21908=EDGE_LOOP('',(#141924,#141925,#141926,#141927)); #21909=EDGE_LOOP('',(#141928,#141929,#141930,#141931)); #21910=EDGE_LOOP('',(#141932,#141933,#141934,#141935,#141936,#141937,#141938, #141939)); #21911=EDGE_LOOP('',(#141940,#141941,#141942,#141943)); #21912=EDGE_LOOP('',(#141944,#141945,#141946,#141947,#141948,#141949,#141950, #141951)); #21913=EDGE_LOOP('',(#141952,#141953,#141954,#141955)); #21914=EDGE_LOOP('',(#141956,#141957,#141958,#141959)); #21915=EDGE_LOOP('',(#141960,#141961,#141962,#141963)); #21916=EDGE_LOOP('',(#141964,#141965,#141966,#141967)); #21917=EDGE_LOOP('',(#141968,#141969,#141970,#141971)); #21918=EDGE_LOOP('',(#141972,#141973,#141974,#141975)); #21919=EDGE_LOOP('',(#141976,#141977,#141978,#141979,#141980,#141981,#141982, #141983,#141984,#141985,#141986,#141987,#141988,#141989,#141990,#141991, #141992,#141993,#141994,#141995,#141996,#141997,#141998,#141999,#142000, #142001)); #21920=EDGE_LOOP('',(#142002,#142003,#142004,#142005)); #21921=EDGE_LOOP('',(#142006,#142007,#142008,#142009,#142010,#142011,#142012, #142013,#142014,#142015,#142016,#142017,#142018,#142019,#142020,#142021, #142022,#142023,#142024,#142025,#142026,#142027,#142028,#142029,#142030, #142031)); #21922=EDGE_LOOP('',(#142032,#142033,#142034,#142035)); #21923=EDGE_LOOP('',(#142036,#142037,#142038,#142039)); #21924=EDGE_LOOP('',(#142040,#142041,#142042,#142043)); #21925=EDGE_LOOP('',(#142044,#142045,#142046,#142047)); #21926=EDGE_LOOP('',(#142048,#142049,#142050,#142051)); #21927=EDGE_LOOP('',(#142052,#142053,#142054,#142055)); #21928=EDGE_LOOP('',(#142056,#142057,#142058,#142059,#142060,#142061,#142062, #142063)); #21929=EDGE_LOOP('',(#142064,#142065,#142066,#142067)); #21930=EDGE_LOOP('',(#142068,#142069,#142070,#142071,#142072,#142073,#142074, #142075)); #21931=EDGE_LOOP('',(#142076,#142077,#142078,#142079)); #21932=EDGE_LOOP('',(#142080,#142081,#142082,#142083)); #21933=EDGE_LOOP('',(#142084,#142085,#142086,#142087)); #21934=EDGE_LOOP('',(#142088,#142089,#142090,#142091)); #21935=EDGE_LOOP('',(#142092,#142093,#142094,#142095)); #21936=EDGE_LOOP('',(#142096,#142097,#142098,#142099)); #21937=EDGE_LOOP('',(#142100,#142101,#142102,#142103)); #21938=EDGE_LOOP('',(#142104,#142105,#142106,#142107)); #21939=EDGE_LOOP('',(#142108,#142109,#142110,#142111,#142112,#142113,#142114, #142115,#142116,#142117,#142118,#142119)); #21940=EDGE_LOOP('',(#142120)); #21941=EDGE_LOOP('',(#142121)); #21942=EDGE_LOOP('',(#142122)); #21943=EDGE_LOOP('',(#142123)); #21944=EDGE_LOOP('',(#142124)); #21945=EDGE_LOOP('',(#142125)); #21946=EDGE_LOOP('',(#142126)); #21947=EDGE_LOOP('',(#142127)); #21948=EDGE_LOOP('',(#142128)); #21949=EDGE_LOOP('',(#142129)); #21950=EDGE_LOOP('',(#142130)); #21951=EDGE_LOOP('',(#142131)); #21952=EDGE_LOOP('',(#142132)); #21953=EDGE_LOOP('',(#142133)); #21954=EDGE_LOOP('',(#142134,#142135,#142136,#142137,#142138,#142139,#142140, #142141,#142142,#142143,#142144,#142145,#142146,#142147)); #21955=EDGE_LOOP('',(#142148,#142149,#142150,#142151)); #21956=EDGE_LOOP('',(#142152,#142153,#142154,#142155)); #21957=EDGE_LOOP('',(#142156,#142157,#142158,#142159)); #21958=EDGE_LOOP('',(#142160,#142161,#142162,#142163)); #21959=EDGE_LOOP('',(#142164,#142165,#142166,#142167)); #21960=EDGE_LOOP('',(#142168,#142169,#142170,#142171)); #21961=EDGE_LOOP('',(#142172,#142173,#142174,#142175)); #21962=EDGE_LOOP('',(#142176,#142177,#142178,#142179)); #21963=EDGE_LOOP('',(#142180,#142181,#142182,#142183)); #21964=EDGE_LOOP('',(#142184,#142185,#142186,#142187)); #21965=EDGE_LOOP('',(#142188,#142189,#142190,#142191)); #21966=EDGE_LOOP('',(#142192,#142193,#142194,#142195)); #21967=EDGE_LOOP('',(#142196,#142197,#142198,#142199)); #21968=EDGE_LOOP('',(#142200,#142201,#142202,#142203)); #21969=EDGE_LOOP('',(#142204,#142205,#142206,#142207)); #21970=EDGE_LOOP('',(#142208,#142209,#142210,#142211)); #21971=EDGE_LOOP('',(#142212,#142213,#142214,#142215)); #21972=EDGE_LOOP('',(#142216,#142217,#142218,#142219)); #21973=EDGE_LOOP('',(#142220,#142221,#142222,#142223)); #21974=EDGE_LOOP('',(#142224,#142225,#142226,#142227)); #21975=EDGE_LOOP('',(#142228,#142229,#142230,#142231)); #21976=EDGE_LOOP('',(#142232,#142233,#142234,#142235)); #21977=EDGE_LOOP('',(#142236,#142237,#142238,#142239)); #21978=EDGE_LOOP('',(#142240,#142241,#142242,#142243)); #21979=EDGE_LOOP('',(#142244,#142245,#142246,#142247)); #21980=EDGE_LOOP('',(#142248,#142249,#142250,#142251)); #21981=EDGE_LOOP('',(#142252,#142253,#142254,#142255)); #21982=EDGE_LOOP('',(#142256,#142257,#142258,#142259)); #21983=EDGE_LOOP('',(#142260,#142261,#142262,#142263)); #21984=EDGE_LOOP('',(#142264,#142265,#142266,#142267)); #21985=EDGE_LOOP('',(#142268,#142269,#142270,#142271)); #21986=EDGE_LOOP('',(#142272,#142273,#142274,#142275,#142276)); #21987=EDGE_LOOP('',(#142277,#142278,#142279,#142280,#142281)); #21988=EDGE_LOOP('',(#142282,#142283,#142284,#142285)); #21989=EDGE_LOOP('',(#142286)); #21990=EDGE_LOOP('',(#142287,#142288,#142289,#142290)); #21991=EDGE_LOOP('',(#142291,#142292,#142293,#142294)); #21992=EDGE_LOOP('',(#142295,#142296,#142297,#142298)); #21993=EDGE_LOOP('',(#142299,#142300,#142301,#142302)); #21994=EDGE_LOOP('',(#142303,#142304,#142305,#142306)); #21995=EDGE_LOOP('',(#142307,#142308,#142309,#142310)); #21996=EDGE_LOOP('',(#142311,#142312,#142313,#142314)); #21997=EDGE_LOOP('',(#142315,#142316,#142317,#142318)); #21998=EDGE_LOOP('',(#142319,#142320,#142321,#142322)); #21999=EDGE_LOOP('',(#142323,#142324,#142325,#142326)); #22000=EDGE_LOOP('',(#142327,#142328,#142329,#142330)); #22001=EDGE_LOOP('',(#142331,#142332,#142333,#142334)); #22002=EDGE_LOOP('',(#142335,#142336,#142337,#142338)); #22003=EDGE_LOOP('',(#142339,#142340,#142341,#142342)); #22004=EDGE_LOOP('',(#142343,#142344,#142345,#142346)); #22005=EDGE_LOOP('',(#142347,#142348,#142349,#142350)); #22006=EDGE_LOOP('',(#142351,#142352,#142353,#142354)); #22007=EDGE_LOOP('',(#142355,#142356,#142357,#142358)); #22008=EDGE_LOOP('',(#142359,#142360,#142361,#142362)); #22009=EDGE_LOOP('',(#142363,#142364,#142365,#142366)); #22010=EDGE_LOOP('',(#142367,#142368,#142369,#142370)); #22011=EDGE_LOOP('',(#142371,#142372,#142373,#142374)); #22012=EDGE_LOOP('',(#142375,#142376,#142377,#142378)); #22013=EDGE_LOOP('',(#142379,#142380,#142381,#142382)); #22014=EDGE_LOOP('',(#142383,#142384,#142385,#142386)); #22015=EDGE_LOOP('',(#142387,#142388,#142389,#142390)); #22016=EDGE_LOOP('',(#142391,#142392,#142393,#142394)); #22017=EDGE_LOOP('',(#142395,#142396,#142397,#142398,#142399,#142400)); #22018=EDGE_LOOP('',(#142401,#142402,#142403,#142404)); #22019=EDGE_LOOP('',(#142405,#142406,#142407,#142408,#142409,#142410,#142411, #142412)); #22020=EDGE_LOOP('',(#142413,#142414,#142415,#142416)); #22021=EDGE_LOOP('',(#142417,#142418,#142419,#142420,#142421,#142422,#142423, #142424)); #22022=EDGE_LOOP('',(#142425,#142426,#142427,#142428)); #22023=EDGE_LOOP('',(#142429,#142430,#142431,#142432)); #22024=EDGE_LOOP('',(#142433,#142434,#142435,#142436)); #22025=EDGE_LOOP('',(#142437,#142438,#142439,#142440)); #22026=EDGE_LOOP('',(#142441,#142442,#142443,#142444)); #22027=EDGE_LOOP('',(#142445,#142446,#142447,#142448)); #22028=EDGE_LOOP('',(#142449,#142450,#142451,#142452)); #22029=EDGE_LOOP('',(#142453,#142454,#142455,#142456)); #22030=EDGE_LOOP('',(#142457,#142458,#142459,#142460)); #22031=EDGE_LOOP('',(#142461,#142462,#142463,#142464)); #22032=EDGE_LOOP('',(#142465,#142466,#142467,#142468,#142469,#142470,#142471, #142472)); #22033=EDGE_LOOP('',(#142473,#142474,#142475,#142476)); #22034=EDGE_LOOP('',(#142477,#142478,#142479,#142480,#142481,#142482)); #22035=EDGE_LOOP('',(#142483,#142484,#142485,#142486)); #22036=EDGE_LOOP('',(#142487,#142488,#142489,#142490,#142491,#142492)); #22037=EDGE_LOOP('',(#142493,#142494,#142495,#142496,#142497,#142498)); #22038=EDGE_LOOP('',(#142499,#142500,#142501,#142502)); #22039=EDGE_LOOP('',(#142503,#142504,#142505,#142506)); #22040=EDGE_LOOP('',(#142507,#142508,#142509,#142510)); #22041=EDGE_LOOP('',(#142511,#142512,#142513,#142514)); #22042=EDGE_LOOP('',(#142515,#142516,#142517,#142518)); #22043=EDGE_LOOP('',(#142519)); #22044=EDGE_LOOP('',(#142520,#142521,#142522,#142523)); #22045=EDGE_LOOP('',(#142524,#142525,#142526,#142527)); #22046=EDGE_LOOP('',(#142528,#142529,#142530)); #22047=EDGE_LOOP('',(#142531,#142532,#142533,#142534)); #22048=EDGE_LOOP('',(#142535,#142536,#142537)); #22049=EDGE_LOOP('',(#142538,#142539,#142540,#142541)); #22050=EDGE_LOOP('',(#142542,#142543,#142544)); #22051=EDGE_LOOP('',(#142545,#142546,#142547)); #22052=EDGE_LOOP('',(#142548,#142549,#142550,#142551)); #22053=EDGE_LOOP('',(#142552,#142553,#142554,#142555)); #22054=EDGE_LOOP('',(#142556,#142557,#142558,#142559)); #22055=EDGE_LOOP('',(#142560,#142561,#142562,#142563)); #22056=EDGE_LOOP('',(#142564,#142565,#142566,#142567)); #22057=EDGE_LOOP('',(#142568,#142569,#142570,#142571)); #22058=EDGE_LOOP('',(#142572,#142573,#142574,#142575,#142576,#142577,#142578, #142579)); #22059=EDGE_LOOP('',(#142580,#142581,#142582,#142583)); #22060=EDGE_LOOP('',(#142584,#142585,#142586,#142587,#142588,#142589,#142590, #142591)); #22061=EDGE_LOOP('',(#142592,#142593,#142594,#142595)); #22062=EDGE_LOOP('',(#142596,#142597,#142598,#142599,#142600,#142601)); #22063=EDGE_LOOP('',(#142602,#142603,#142604,#142605,#142606,#142607)); #22064=EDGE_LOOP('',(#142608,#142609,#142610,#142611)); #22065=EDGE_LOOP('',(#142612,#142613,#142614,#142615,#142616,#142617)); #22066=EDGE_LOOP('',(#142618,#142619,#142620,#142621)); #22067=EDGE_LOOP('',(#142622,#142623,#142624,#142625)); #22068=EDGE_LOOP('',(#142626,#142627,#142628,#142629,#142630,#142631)); #22069=EDGE_LOOP('',(#142632,#142633,#142634,#142635)); #22070=EDGE_LOOP('',(#142636,#142637,#142638,#142639,#142640,#142641)); #22071=EDGE_LOOP('',(#142642,#142643,#142644,#142645)); #22072=EDGE_LOOP('',(#142646,#142647,#142648,#142649)); #22073=EDGE_LOOP('',(#142650,#142651,#142652,#142653)); #22074=EDGE_LOOP('',(#142654,#142655,#142656,#142657)); #22075=EDGE_LOOP('',(#142658,#142659,#142660,#142661)); #22076=EDGE_LOOP('',(#142662,#142663,#142664,#142665)); #22077=EDGE_LOOP('',(#142666,#142667,#142668,#142669,#142670,#142671,#142672, #142673,#142674,#142675,#142676,#142677,#142678,#142679,#142680,#142681, #142682,#142683,#142684,#142685,#142686,#142687,#142688,#142689,#142690, #142691,#142692,#142693,#142694,#142695,#142696,#142697,#142698,#142699, #142700,#142701,#142702,#142703,#142704,#142705,#142706,#142707,#142708, #142709)); #22078=EDGE_LOOP('',(#142710,#142711,#142712,#142713,#142714,#142715)); #22079=EDGE_LOOP('',(#142716,#142717,#142718,#142719)); #22080=EDGE_LOOP('',(#142720,#142721,#142722,#142723,#142724,#142725,#142726, #142727,#142728,#142729,#142730,#142731,#142732,#142733,#142734,#142735, #142736,#142737,#142738,#142739,#142740,#142741)); #22081=EDGE_LOOP('',(#142742,#142743,#142744,#142745,#142746,#142747)); #22082=EDGE_LOOP('',(#142748,#142749,#142750,#142751,#142752,#142753)); #22083=EDGE_LOOP('',(#142754,#142755,#142756,#142757,#142758,#142759)); #22084=EDGE_LOOP('',(#142760,#142761,#142762,#142763,#142764,#142765)); #22085=EDGE_LOOP('',(#142766,#142767,#142768,#142769)); #22086=EDGE_LOOP('',(#142770,#142771,#142772,#142773)); #22087=EDGE_LOOP('',(#142774,#142775,#142776,#142777)); #22088=EDGE_LOOP('',(#142778,#142779,#142780,#142781)); #22089=EDGE_LOOP('',(#142782,#142783,#142784,#142785)); #22090=EDGE_LOOP('',(#142786,#142787,#142788,#142789)); #22091=EDGE_LOOP('',(#142790,#142791,#142792,#142793)); #22092=EDGE_LOOP('',(#142794,#142795,#142796,#142797)); #22093=EDGE_LOOP('',(#142798,#142799,#142800,#142801)); #22094=EDGE_LOOP('',(#142802,#142803,#142804,#142805)); #22095=EDGE_LOOP('',(#142806,#142807,#142808,#142809)); #22096=EDGE_LOOP('',(#142810,#142811,#142812,#142813)); #22097=EDGE_LOOP('',(#142814,#142815,#142816,#142817)); #22098=EDGE_LOOP('',(#142818,#142819,#142820,#142821)); #22099=EDGE_LOOP('',(#142822,#142823,#142824,#142825)); #22100=EDGE_LOOP('',(#142826,#142827,#142828,#142829)); #22101=EDGE_LOOP('',(#142830,#142831,#142832,#142833)); #22102=EDGE_LOOP('',(#142834,#142835,#142836,#142837)); #22103=EDGE_LOOP('',(#142838,#142839,#142840,#142841)); #22104=EDGE_LOOP('',(#142842,#142843,#142844,#142845)); #22105=EDGE_LOOP('',(#142846,#142847,#142848,#142849,#142850,#142851)); #22106=EDGE_LOOP('',(#142852,#142853,#142854,#142855)); #22107=EDGE_LOOP('',(#142856,#142857,#142858,#142859)); #22108=EDGE_LOOP('',(#142860,#142861,#142862,#142863)); #22109=EDGE_LOOP('',(#142864,#142865,#142866,#142867)); #22110=EDGE_LOOP('',(#142868,#142869,#142870,#142871)); #22111=EDGE_LOOP('',(#142872,#142873,#142874,#142875)); #22112=EDGE_LOOP('',(#142876,#142877,#142878)); #22113=EDGE_LOOP('',(#142879,#142880,#142881,#142882)); #22114=EDGE_LOOP('',(#142883,#142884,#142885)); #22115=EDGE_LOOP('',(#142886,#142887,#142888,#142889)); #22116=EDGE_LOOP('',(#142890,#142891,#142892,#142893)); #22117=EDGE_LOOP('',(#142894,#142895,#142896,#142897)); #22118=EDGE_LOOP('',(#142898,#142899,#142900,#142901)); #22119=EDGE_LOOP('',(#142902,#142903,#142904)); #22120=EDGE_LOOP('',(#142905,#142906,#142907)); #22121=EDGE_LOOP('',(#142908,#142909,#142910,#142911)); #22122=EDGE_LOOP('',(#142912,#142913,#142914)); #22123=EDGE_LOOP('',(#142915,#142916,#142917)); #22124=EDGE_LOOP('',(#142918,#142919,#142920,#142921)); #22125=EDGE_LOOP('',(#142922,#142923,#142924,#142925)); #22126=EDGE_LOOP('',(#142926,#142927,#142928,#142929,#142930,#142931)); #22127=EDGE_LOOP('',(#142932,#142933,#142934,#142935)); #22128=EDGE_LOOP('',(#142936,#142937,#142938,#142939)); #22129=EDGE_LOOP('',(#142940,#142941,#142942,#142943)); #22130=EDGE_LOOP('',(#142944,#142945,#142946,#142947)); #22131=EDGE_LOOP('',(#142948,#142949,#142950,#142951)); #22132=EDGE_LOOP('',(#142952,#142953,#142954,#142955)); #22133=EDGE_LOOP('',(#142956,#142957,#142958)); #22134=EDGE_LOOP('',(#142959,#142960,#142961,#142962)); #22135=EDGE_LOOP('',(#142963,#142964,#142965)); #22136=EDGE_LOOP('',(#142966,#142967,#142968,#142969)); #22137=EDGE_LOOP('',(#142970,#142971,#142972,#142973)); #22138=EDGE_LOOP('',(#142974,#142975,#142976,#142977)); #22139=EDGE_LOOP('',(#142978,#142979,#142980,#142981)); #22140=EDGE_LOOP('',(#142982,#142983,#142984)); #22141=EDGE_LOOP('',(#142985,#142986,#142987)); #22142=EDGE_LOOP('',(#142988,#142989,#142990,#142991)); #22143=EDGE_LOOP('',(#142992,#142993,#142994)); #22144=EDGE_LOOP('',(#142995,#142996,#142997)); #22145=EDGE_LOOP('',(#142998,#142999,#143000,#143001)); #22146=EDGE_LOOP('',(#143002,#143003,#143004,#143005)); #22147=EDGE_LOOP('',(#143006,#143007,#143008,#143009,#143010,#143011)); #22148=EDGE_LOOP('',(#143012,#143013,#143014,#143015)); #22149=EDGE_LOOP('',(#143016,#143017,#143018,#143019)); #22150=EDGE_LOOP('',(#143020,#143021,#143022,#143023)); #22151=EDGE_LOOP('',(#143024,#143025,#143026,#143027)); #22152=EDGE_LOOP('',(#143028,#143029,#143030,#143031)); #22153=EDGE_LOOP('',(#143032,#143033,#143034,#143035)); #22154=EDGE_LOOP('',(#143036,#143037,#143038)); #22155=EDGE_LOOP('',(#143039,#143040,#143041,#143042)); #22156=EDGE_LOOP('',(#143043,#143044,#143045)); #22157=EDGE_LOOP('',(#143046,#143047,#143048,#143049)); #22158=EDGE_LOOP('',(#143050,#143051,#143052,#143053)); #22159=EDGE_LOOP('',(#143054,#143055,#143056,#143057)); #22160=EDGE_LOOP('',(#143058,#143059,#143060,#143061)); #22161=EDGE_LOOP('',(#143062,#143063,#143064)); #22162=EDGE_LOOP('',(#143065,#143066,#143067)); #22163=EDGE_LOOP('',(#143068,#143069,#143070)); #22164=EDGE_LOOP('',(#143071,#143072,#143073,#143074)); #22165=EDGE_LOOP('',(#143075,#143076,#143077)); #22166=EDGE_LOOP('',(#143078,#143079,#143080,#143081)); #22167=EDGE_LOOP('',(#143082,#143083,#143084,#143085)); #22168=EDGE_LOOP('',(#143086,#143087,#143088,#143089)); #22169=EDGE_LOOP('',(#143090,#143091,#143092,#143093)); #22170=EDGE_LOOP('',(#143094,#143095,#143096,#143097)); #22171=EDGE_LOOP('',(#143098,#143099,#143100)); #22172=EDGE_LOOP('',(#143101,#143102,#143103)); #22173=EDGE_LOOP('',(#143104,#143105,#143106,#143107)); #22174=EDGE_LOOP('',(#143108,#143109,#143110)); #22175=EDGE_LOOP('',(#143111,#143112,#143113)); #22176=EDGE_LOOP('',(#143114,#143115,#143116,#143117)); #22177=EDGE_LOOP('',(#143118,#143119,#143120,#143121)); #22178=EDGE_LOOP('',(#143122,#143123,#143124)); #22179=EDGE_LOOP('',(#143125,#143126,#143127)); #22180=EDGE_LOOP('',(#143128,#143129,#143130,#143131)); #22181=EDGE_LOOP('',(#143132,#143133,#143134)); #22182=EDGE_LOOP('',(#143135,#143136,#143137)); #22183=EDGE_LOOP('',(#143138,#143139,#143140,#143141,#143142,#143143,#143144, #143145,#143146,#143147,#143148,#143149,#143150,#143151,#143152,#143153)); #22184=EDGE_LOOP('',(#143154,#143155,#143156,#143157)); #22185=EDGE_LOOP('',(#143158,#143159,#143160,#143161,#143162,#143163)); #22186=EDGE_LOOP('',(#143164,#143165,#143166,#143167)); #22187=EDGE_LOOP('',(#143168,#143169,#143170,#143171)); #22188=EDGE_LOOP('',(#143172,#143173,#143174,#143175)); #22189=EDGE_LOOP('',(#143176,#143177,#143178,#143179)); #22190=EDGE_LOOP('',(#143180,#143181,#143182)); #22191=EDGE_LOOP('',(#143183,#143184,#143185,#143186)); #22192=EDGE_LOOP('',(#143187,#143188,#143189,#143190)); #22193=EDGE_LOOP('',(#143191,#143192,#143193,#143194)); #22194=EDGE_LOOP('',(#143195,#143196,#143197)); #22195=EDGE_LOOP('',(#143198,#143199,#143200,#143201)); #22196=EDGE_LOOP('',(#143202,#143203,#143204)); #22197=EDGE_LOOP('',(#143205,#143206,#143207,#143208)); #22198=EDGE_LOOP('',(#143209,#143210,#143211)); #22199=EDGE_LOOP('',(#143212,#143213,#143214,#143215)); #22200=EDGE_LOOP('',(#143216,#143217,#143218)); #22201=EDGE_LOOP('',(#143219,#143220,#143221)); #22202=EDGE_LOOP('',(#143222,#143223,#143224,#143225)); #22203=EDGE_LOOP('',(#143226,#143227,#143228,#143229)); #22204=EDGE_LOOP('',(#143230,#143231,#143232,#143233,#143234,#143235)); #22205=EDGE_LOOP('',(#143236,#143237,#143238,#143239)); #22206=EDGE_LOOP('',(#143240,#143241,#143242,#143243)); #22207=EDGE_LOOP('',(#143244,#143245,#143246,#143247)); #22208=EDGE_LOOP('',(#143248,#143249,#143250,#143251)); #22209=EDGE_LOOP('',(#143252,#143253,#143254,#143255)); #22210=EDGE_LOOP('',(#143256,#143257,#143258,#143259)); #22211=EDGE_LOOP('',(#143260,#143261,#143262)); #22212=EDGE_LOOP('',(#143263,#143264,#143265,#143266)); #22213=EDGE_LOOP('',(#143267,#143268,#143269)); #22214=EDGE_LOOP('',(#143270,#143271,#143272,#143273)); #22215=EDGE_LOOP('',(#143274,#143275,#143276,#143277)); #22216=EDGE_LOOP('',(#143278,#143279,#143280,#143281)); #22217=EDGE_LOOP('',(#143282,#143283,#143284,#143285)); #22218=EDGE_LOOP('',(#143286,#143287,#143288)); #22219=EDGE_LOOP('',(#143289,#143290,#143291)); #22220=EDGE_LOOP('',(#143292,#143293,#143294,#143295)); #22221=EDGE_LOOP('',(#143296,#143297,#143298)); #22222=EDGE_LOOP('',(#143299,#143300,#143301)); #22223=EDGE_LOOP('',(#143302,#143303,#143304,#143305)); #22224=EDGE_LOOP('',(#143306,#143307,#143308,#143309,#143310,#143311,#143312, #143313,#143314,#143315,#143316,#143317,#143318,#143319,#143320,#143321)); #22225=EDGE_LOOP('',(#143322,#143323,#143324,#143325)); #22226=EDGE_LOOP('',(#143326,#143327,#143328,#143329,#143330,#143331)); #22227=EDGE_LOOP('',(#143332,#143333,#143334,#143335)); #22228=EDGE_LOOP('',(#143336,#143337,#143338,#143339)); #22229=EDGE_LOOP('',(#143340,#143341,#143342,#143343)); #22230=EDGE_LOOP('',(#143344,#143345,#143346,#143347)); #22231=EDGE_LOOP('',(#143348,#143349,#143350)); #22232=EDGE_LOOP('',(#143351,#143352,#143353,#143354)); #22233=EDGE_LOOP('',(#143355,#143356,#143357,#143358)); #22234=EDGE_LOOP('',(#143359,#143360,#143361,#143362)); #22235=EDGE_LOOP('',(#143363,#143364,#143365)); #22236=EDGE_LOOP('',(#143366,#143367,#143368,#143369)); #22237=EDGE_LOOP('',(#143370,#143371,#143372,#143373)); #22238=EDGE_LOOP('',(#143374,#143375,#143376)); #22239=EDGE_LOOP('',(#143377,#143378,#143379)); #22240=EDGE_LOOP('',(#143380,#143381,#143382,#143383)); #22241=EDGE_LOOP('',(#143384,#143385,#143386)); #22242=EDGE_LOOP('',(#143387,#143388,#143389)); #22243=EDGE_LOOP('',(#143390,#143391,#143392,#143393)); #22244=EDGE_LOOP('',(#143394,#143395,#143396,#143397)); #22245=EDGE_LOOP('',(#143398,#143399,#143400,#143401,#143402,#143403)); #22246=EDGE_LOOP('',(#143404,#143405,#143406,#143407)); #22247=EDGE_LOOP('',(#143408,#143409,#143410,#143411)); #22248=EDGE_LOOP('',(#143412,#143413,#143414,#143415)); #22249=EDGE_LOOP('',(#143416,#143417,#143418,#143419)); #22250=EDGE_LOOP('',(#143420,#143421,#143422,#143423)); #22251=EDGE_LOOP('',(#143424,#143425,#143426,#143427)); #22252=EDGE_LOOP('',(#143428,#143429,#143430)); #22253=EDGE_LOOP('',(#143431,#143432,#143433,#143434)); #22254=EDGE_LOOP('',(#143435,#143436,#143437)); #22255=EDGE_LOOP('',(#143438,#143439,#143440,#143441)); #22256=EDGE_LOOP('',(#143442,#143443,#143444,#143445)); #22257=EDGE_LOOP('',(#143446,#143447,#143448,#143449)); #22258=EDGE_LOOP('',(#143450,#143451,#143452,#143453)); #22259=EDGE_LOOP('',(#143454,#143455,#143456)); #22260=EDGE_LOOP('',(#143457,#143458,#143459)); #22261=EDGE_LOOP('',(#143460,#143461,#143462,#143463)); #22262=EDGE_LOOP('',(#143464,#143465,#143466)); #22263=EDGE_LOOP('',(#143467,#143468,#143469)); #22264=EDGE_LOOP('',(#143470,#143471,#143472,#143473)); #22265=EDGE_LOOP('',(#143474,#143475,#143476,#143477,#143478,#143479,#143480, #143481,#143482,#143483,#143484,#143485,#143486,#143487,#143488,#143489, #143490,#143491,#143492,#143493,#143494,#143495)); #22266=EDGE_LOOP('',(#143496,#143497,#143498,#143499)); #22267=EDGE_LOOP('',(#143500,#143501,#143502,#143503,#143504,#143505)); #22268=EDGE_LOOP('',(#143506,#143507,#143508,#143509)); #22269=EDGE_LOOP('',(#143510,#143511,#143512,#143513)); #22270=EDGE_LOOP('',(#143514,#143515,#143516,#143517)); #22271=EDGE_LOOP('',(#143518,#143519,#143520,#143521)); #22272=EDGE_LOOP('',(#143522,#143523,#143524)); #22273=EDGE_LOOP('',(#143525,#143526,#143527,#143528)); #22274=EDGE_LOOP('',(#143529,#143530,#143531,#143532)); #22275=EDGE_LOOP('',(#143533,#143534,#143535,#143536)); #22276=EDGE_LOOP('',(#143537,#143538,#143539)); #22277=EDGE_LOOP('',(#143540,#143541,#143542,#143543)); #22278=EDGE_LOOP('',(#143544,#143545,#143546,#143547)); #22279=EDGE_LOOP('',(#143548,#143549,#143550)); #22280=EDGE_LOOP('',(#143551,#143552,#143553)); #22281=EDGE_LOOP('',(#143554,#143555,#143556,#143557)); #22282=EDGE_LOOP('',(#143558,#143559,#143560)); #22283=EDGE_LOOP('',(#143561,#143562,#143563)); #22284=EDGE_LOOP('',(#143564,#143565,#143566,#143567)); #22285=EDGE_LOOP('',(#143568,#143569,#143570,#143571)); #22286=EDGE_LOOP('',(#143572,#143573,#143574,#143575,#143576,#143577)); #22287=EDGE_LOOP('',(#143578,#143579,#143580,#143581)); #22288=EDGE_LOOP('',(#143582,#143583,#143584,#143585)); #22289=EDGE_LOOP('',(#143586,#143587,#143588,#143589)); #22290=EDGE_LOOP('',(#143590,#143591,#143592,#143593)); #22291=EDGE_LOOP('',(#143594,#143595,#143596,#143597)); #22292=EDGE_LOOP('',(#143598,#143599,#143600,#143601)); #22293=EDGE_LOOP('',(#143602,#143603,#143604)); #22294=EDGE_LOOP('',(#143605,#143606,#143607,#143608)); #22295=EDGE_LOOP('',(#143609,#143610,#143611)); #22296=EDGE_LOOP('',(#143612,#143613,#143614,#143615)); #22297=EDGE_LOOP('',(#143616,#143617,#143618,#143619)); #22298=EDGE_LOOP('',(#143620,#143621,#143622,#143623)); #22299=EDGE_LOOP('',(#143624,#143625,#143626,#143627)); #22300=EDGE_LOOP('',(#143628,#143629,#143630)); #22301=EDGE_LOOP('',(#143631,#143632,#143633)); #22302=EDGE_LOOP('',(#143634,#143635,#143636,#143637)); #22303=EDGE_LOOP('',(#143638,#143639,#143640)); #22304=EDGE_LOOP('',(#143641,#143642,#143643)); #22305=EDGE_LOOP('',(#143644,#143645,#143646,#143647)); #22306=EDGE_LOOP('',(#143648,#143649,#143650,#143651)); #22307=EDGE_LOOP('',(#143652,#143653,#143654,#143655,#143656,#143657)); #22308=EDGE_LOOP('',(#143658,#143659,#143660,#143661)); #22309=EDGE_LOOP('',(#143662,#143663,#143664,#143665)); #22310=EDGE_LOOP('',(#143666,#143667,#143668,#143669)); #22311=EDGE_LOOP('',(#143670,#143671,#143672,#143673)); #22312=EDGE_LOOP('',(#143674,#143675,#143676,#143677)); #22313=EDGE_LOOP('',(#143678,#143679,#143680,#143681)); #22314=EDGE_LOOP('',(#143682,#143683,#143684)); #22315=EDGE_LOOP('',(#143685,#143686,#143687,#143688)); #22316=EDGE_LOOP('',(#143689,#143690,#143691)); #22317=EDGE_LOOP('',(#143692,#143693,#143694,#143695)); #22318=EDGE_LOOP('',(#143696,#143697,#143698,#143699)); #22319=EDGE_LOOP('',(#143700,#143701,#143702,#143703)); #22320=EDGE_LOOP('',(#143704,#143705,#143706,#143707)); #22321=EDGE_LOOP('',(#143708,#143709,#143710)); #22322=EDGE_LOOP('',(#143711,#143712,#143713)); #22323=EDGE_LOOP('',(#143714,#143715,#143716,#143717)); #22324=EDGE_LOOP('',(#143718,#143719,#143720)); #22325=EDGE_LOOP('',(#143721,#143722,#143723)); #22326=EDGE_LOOP('',(#143724,#143725,#143726,#143727)); #22327=EDGE_LOOP('',(#143728,#143729,#143730,#143731)); #22328=EDGE_LOOP('',(#143732,#143733,#143734,#143735)); #22329=EDGE_LOOP('',(#143736,#143737,#143738)); #22330=EDGE_LOOP('',(#143739,#143740,#143741,#143742)); #22331=EDGE_LOOP('',(#143743,#143744,#143745)); #22332=EDGE_LOOP('',(#143746,#143747,#143748,#143749)); #22333=EDGE_LOOP('',(#143750,#143751,#143752,#143753)); #22334=EDGE_LOOP('',(#143754,#143755,#143756,#143757)); #22335=EDGE_LOOP('',(#143758,#143759,#143760)); #22336=EDGE_LOOP('',(#143761,#143762,#143763,#143764)); #22337=EDGE_LOOP('',(#143765,#143766,#143767)); #22338=EDGE_LOOP('',(#143768,#143769,#143770,#143771)); #22339=EDGE_LOOP('',(#143772,#143773,#143774,#143775)); #22340=EDGE_LOOP('',(#143776,#143777,#143778,#143779)); #22341=EDGE_LOOP('',(#143780,#143781,#143782)); #22342=EDGE_LOOP('',(#143783,#143784,#143785,#143786)); #22343=EDGE_LOOP('',(#143787,#143788,#143789)); #22344=EDGE_LOOP('',(#143790,#143791,#143792,#143793)); #22345=EDGE_LOOP('',(#143794,#143795,#143796,#143797)); #22346=EDGE_LOOP('',(#143798,#143799,#143800,#143801)); #22347=EDGE_LOOP('',(#143802,#143803,#143804)); #22348=EDGE_LOOP('',(#143805,#143806,#143807,#143808)); #22349=EDGE_LOOP('',(#143809,#143810,#143811)); #22350=EDGE_LOOP('',(#143812,#143813,#143814,#143815)); #22351=EDGE_LOOP('',(#143816,#143817,#143818,#143819)); #22352=EDGE_LOOP('',(#143820,#143821,#143822,#143823)); #22353=EDGE_LOOP('',(#143824,#143825,#143826)); #22354=EDGE_LOOP('',(#143827,#143828,#143829,#143830)); #22355=EDGE_LOOP('',(#143831,#143832,#143833)); #22356=EDGE_LOOP('',(#143834,#143835,#143836,#143837)); #22357=EDGE_LOOP('',(#143838,#143839,#143840,#143841)); #22358=EDGE_LOOP('',(#143842,#143843,#143844,#143845)); #22359=EDGE_LOOP('',(#143846,#143847,#143848)); #22360=EDGE_LOOP('',(#143849,#143850,#143851,#143852)); #22361=EDGE_LOOP('',(#143853,#143854,#143855)); #22362=EDGE_LOOP('',(#143856,#143857,#143858,#143859)); #22363=EDGE_LOOP('',(#143860,#143861,#143862,#143863)); #22364=EDGE_LOOP('',(#143864,#143865,#143866,#143867)); #22365=EDGE_LOOP('',(#143868,#143869,#143870)); #22366=EDGE_LOOP('',(#143871,#143872,#143873,#143874)); #22367=EDGE_LOOP('',(#143875,#143876,#143877)); #22368=EDGE_LOOP('',(#143878,#143879,#143880,#143881)); #22369=EDGE_LOOP('',(#143882,#143883,#143884,#143885)); #22370=EDGE_LOOP('',(#143886,#143887,#143888,#143889)); #22371=EDGE_LOOP('',(#143890,#143891,#143892)); #22372=EDGE_LOOP('',(#143893,#143894,#143895,#143896)); #22373=EDGE_LOOP('',(#143897,#143898,#143899)); #22374=EDGE_LOOP('',(#143900,#143901,#143902,#143903)); #22375=EDGE_LOOP('',(#143904,#143905,#143906,#143907)); #22376=EDGE_LOOP('',(#143908,#143909,#143910,#143911)); #22377=EDGE_LOOP('',(#143912,#143913,#143914)); #22378=EDGE_LOOP('',(#143915,#143916,#143917,#143918)); #22379=EDGE_LOOP('',(#143919,#143920,#143921)); #22380=EDGE_LOOP('',(#143922,#143923,#143924,#143925)); #22381=EDGE_LOOP('',(#143926,#143927,#143928,#143929)); #22382=EDGE_LOOP('',(#143930,#143931,#143932,#143933)); #22383=EDGE_LOOP('',(#143934,#143935,#143936)); #22384=EDGE_LOOP('',(#143937,#143938,#143939,#143940)); #22385=EDGE_LOOP('',(#143941,#143942,#143943)); #22386=EDGE_LOOP('',(#143944,#143945,#143946,#143947)); #22387=EDGE_LOOP('',(#143948,#143949,#143950,#143951)); #22388=EDGE_LOOP('',(#143952,#143953,#143954,#143955)); #22389=EDGE_LOOP('',(#143956,#143957,#143958)); #22390=EDGE_LOOP('',(#143959,#143960,#143961,#143962)); #22391=EDGE_LOOP('',(#143963,#143964,#143965)); #22392=EDGE_LOOP('',(#143966,#143967,#143968,#143969)); #22393=EDGE_LOOP('',(#143970,#143971,#143972,#143973)); #22394=EDGE_LOOP('',(#143974,#143975,#143976,#143977)); #22395=EDGE_LOOP('',(#143978,#143979,#143980)); #22396=EDGE_LOOP('',(#143981,#143982,#143983,#143984)); #22397=EDGE_LOOP('',(#143985,#143986,#143987)); #22398=EDGE_LOOP('',(#143988,#143989,#143990,#143991)); #22399=EDGE_LOOP('',(#143992,#143993,#143994,#143995)); #22400=EDGE_LOOP('',(#143996,#143997,#143998,#143999)); #22401=EDGE_LOOP('',(#144000,#144001,#144002)); #22402=EDGE_LOOP('',(#144003,#144004,#144005,#144006)); #22403=EDGE_LOOP('',(#144007,#144008,#144009)); #22404=EDGE_LOOP('',(#144010,#144011,#144012,#144013)); #22405=EDGE_LOOP('',(#144014,#144015,#144016,#144017)); #22406=EDGE_LOOP('',(#144018,#144019,#144020,#144021)); #22407=EDGE_LOOP('',(#144022,#144023,#144024)); #22408=EDGE_LOOP('',(#144025,#144026,#144027,#144028)); #22409=EDGE_LOOP('',(#144029,#144030,#144031)); #22410=EDGE_LOOP('',(#144032,#144033,#144034,#144035)); #22411=EDGE_LOOP('',(#144036,#144037,#144038,#144039)); #22412=EDGE_LOOP('',(#144040,#144041,#144042,#144043)); #22413=EDGE_LOOP('',(#144044,#144045,#144046)); #22414=EDGE_LOOP('',(#144047,#144048,#144049,#144050)); #22415=EDGE_LOOP('',(#144051,#144052,#144053)); #22416=EDGE_LOOP('',(#144054,#144055,#144056,#144057)); #22417=EDGE_LOOP('',(#144058,#144059,#144060,#144061)); #22418=EDGE_LOOP('',(#144062,#144063,#144064,#144065)); #22419=EDGE_LOOP('',(#144066,#144067,#144068)); #22420=EDGE_LOOP('',(#144069,#144070,#144071,#144072)); #22421=EDGE_LOOP('',(#144073,#144074,#144075)); #22422=EDGE_LOOP('',(#144076,#144077,#144078,#144079)); #22423=EDGE_LOOP('',(#144080,#144081,#144082,#144083)); #22424=EDGE_LOOP('',(#144084,#144085,#144086,#144087)); #22425=EDGE_LOOP('',(#144088,#144089,#144090)); #22426=EDGE_LOOP('',(#144091,#144092,#144093,#144094)); #22427=EDGE_LOOP('',(#144095,#144096,#144097)); #22428=EDGE_LOOP('',(#144098,#144099,#144100,#144101)); #22429=EDGE_LOOP('',(#144102,#144103,#144104,#144105)); #22430=EDGE_LOOP('',(#144106,#144107,#144108,#144109)); #22431=EDGE_LOOP('',(#144110,#144111,#144112)); #22432=EDGE_LOOP('',(#144113,#144114,#144115,#144116)); #22433=EDGE_LOOP('',(#144117,#144118,#144119)); #22434=EDGE_LOOP('',(#144120,#144121,#144122,#144123)); #22435=EDGE_LOOP('',(#144124,#144125,#144126,#144127)); #22436=EDGE_LOOP('',(#144128,#144129,#144130,#144131)); #22437=EDGE_LOOP('',(#144132,#144133,#144134)); #22438=EDGE_LOOP('',(#144135,#144136,#144137,#144138)); #22439=EDGE_LOOP('',(#144139,#144140,#144141)); #22440=EDGE_LOOP('',(#144142,#144143,#144144,#144145)); #22441=EDGE_LOOP('',(#144146,#144147,#144148,#144149)); #22442=EDGE_LOOP('',(#144150,#144151,#144152,#144153)); #22443=EDGE_LOOP('',(#144154,#144155,#144156)); #22444=EDGE_LOOP('',(#144157,#144158,#144159,#144160)); #22445=EDGE_LOOP('',(#144161,#144162,#144163)); #22446=EDGE_LOOP('',(#144164,#144165,#144166,#144167)); #22447=EDGE_LOOP('',(#144168,#144169,#144170,#144171)); #22448=EDGE_LOOP('',(#144172,#144173,#144174,#144175)); #22449=EDGE_LOOP('',(#144176,#144177,#144178,#144179)); #22450=EDGE_LOOP('',(#144180,#144181,#144182,#144183)); #22451=EDGE_LOOP('',(#144184,#144185,#144186,#144187)); #22452=EDGE_LOOP('',(#144188,#144189,#144190,#144191)); #22453=EDGE_LOOP('',(#144192,#144193,#144194,#144195)); #22454=EDGE_LOOP('',(#144196,#144197,#144198,#144199)); #22455=EDGE_LOOP('',(#144200,#144201,#144202,#144203)); #22456=EDGE_LOOP('',(#144204,#144205,#144206,#144207)); #22457=EDGE_LOOP('',(#144208,#144209,#144210,#144211)); #22458=EDGE_LOOP('',(#144212,#144213,#144214,#144215)); #22459=EDGE_LOOP('',(#144216,#144217,#144218,#144219)); #22460=EDGE_LOOP('',(#144220,#144221,#144222,#144223)); #22461=EDGE_LOOP('',(#144224,#144225,#144226,#144227)); #22462=EDGE_LOOP('',(#144228,#144229,#144230,#144231)); #22463=EDGE_LOOP('',(#144232,#144233,#144234,#144235)); #22464=EDGE_LOOP('',(#144236,#144237,#144238,#144239)); #22465=EDGE_LOOP('',(#144240,#144241,#144242,#144243)); #22466=EDGE_LOOP('',(#144244,#144245,#144246,#144247)); #22467=EDGE_LOOP('',(#144248,#144249,#144250,#144251)); #22468=EDGE_LOOP('',(#144252,#144253,#144254,#144255)); #22469=EDGE_LOOP('',(#144256,#144257,#144258,#144259)); #22470=EDGE_LOOP('',(#144260,#144261,#144262,#144263)); #22471=EDGE_LOOP('',(#144264,#144265,#144266,#144267)); #22472=EDGE_LOOP('',(#144268,#144269,#144270,#144271)); #22473=EDGE_LOOP('',(#144272,#144273,#144274,#144275)); #22474=EDGE_LOOP('',(#144276,#144277,#144278,#144279)); #22475=EDGE_LOOP('',(#144280,#144281,#144282,#144283)); #22476=EDGE_LOOP('',(#144284,#144285,#144286,#144287)); #22477=EDGE_LOOP('',(#144288,#144289,#144290,#144291)); #22478=EDGE_LOOP('',(#144292,#144293,#144294,#144295)); #22479=EDGE_LOOP('',(#144296,#144297,#144298,#144299)); #22480=EDGE_LOOP('',(#144300,#144301,#144302,#144303)); #22481=EDGE_LOOP('',(#144304)); #22482=EDGE_LOOP('',(#144305,#144306,#144307)); #22483=EDGE_LOOP('',(#144308,#144309,#144310,#144311)); #22484=EDGE_LOOP('',(#144312,#144313,#144314,#144315)); #22485=EDGE_LOOP('',(#144316,#144317,#144318,#144319)); #22486=EDGE_LOOP('',(#144320,#144321,#144322,#144323,#144324,#144325,#144326, #144327,#144328,#144329,#144330,#144331,#144332,#144333,#144334,#144335, #144336,#144337,#144338,#144339,#144340,#144341,#144342,#144343,#144344, #144345,#144346,#144347,#144348,#144349,#144350,#144351,#144352,#144353, #144354,#144355,#144356,#144357,#144358)); #22487=EDGE_LOOP('',(#144359,#144360,#144361,#144362,#144363)); #22488=EDGE_LOOP('',(#144364,#144365,#144366,#144367)); #22489=EDGE_LOOP('',(#144368,#144369,#144370,#144371,#144372,#144373,#144374, #144375,#144376,#144377,#144378,#144379,#144380,#144381,#144382,#144383, #144384,#144385,#144386,#144387,#144388,#144389,#144390,#144391,#144392, #144393,#144394,#144395,#144396,#144397,#144398,#144399,#144400,#144401, #144402,#144403,#144404,#144405,#144406)); #22490=EDGE_LOOP('',(#144407,#144408,#144409)); #22491=EDGE_LOOP('',(#144410,#144411,#144412,#144413)); #22492=EDGE_LOOP('',(#144414,#144415,#144416,#144417)); #22493=EDGE_LOOP('',(#144418,#144419,#144420,#144421)); #22494=EDGE_LOOP('',(#144422,#144423,#144424,#144425)); #22495=EDGE_LOOP('',(#144426,#144427,#144428,#144429)); #22496=EDGE_LOOP('',(#144430,#144431,#144432,#144433)); #22497=EDGE_LOOP('',(#144434,#144435,#144436,#144437,#144438,#144439)); #22498=EDGE_LOOP('',(#144440,#144441,#144442,#144443)); #22499=EDGE_LOOP('',(#144444,#144445,#144446,#144447,#144448,#144449)); #22500=EDGE_LOOP('',(#144450)); #22501=EDGE_LOOP('',(#144451,#144452,#144453,#144454)); #22502=EDGE_LOOP('',(#144455)); #22503=EDGE_LOOP('',(#144456,#144457,#144458,#144459)); #22504=EDGE_LOOP('',(#144460,#144461,#144462,#144463,#144464,#144465)); #22505=EDGE_LOOP('',(#144466,#144467,#144468,#144469)); #22506=EDGE_LOOP('',(#144470,#144471,#144472,#144473)); #22507=EDGE_LOOP('',(#144474,#144475,#144476)); #22508=EDGE_LOOP('',(#144477,#144478,#144479)); #22509=EDGE_LOOP('',(#144480,#144481,#144482,#144483)); #22510=EDGE_LOOP('',(#144484,#144485,#144486,#144487)); #22511=EDGE_LOOP('',(#144488,#144489,#144490,#144491)); #22512=EDGE_LOOP('',(#144492,#144493,#144494,#144495)); #22513=EDGE_LOOP('',(#144496,#144497,#144498,#144499)); #22514=EDGE_LOOP('',(#144500,#144501,#144502,#144503)); #22515=EDGE_LOOP('',(#144504,#144505,#144506)); #22516=EDGE_LOOP('',(#144507,#144508,#144509)); #22517=EDGE_LOOP('',(#144510,#144511,#144512)); #22518=EDGE_LOOP('',(#144513,#144514,#144515)); #22519=EDGE_LOOP('',(#144516,#144517,#144518,#144519)); #22520=EDGE_LOOP('',(#144520,#144521,#144522,#144523)); #22521=EDGE_LOOP('',(#144524,#144525,#144526,#144527)); #22522=EDGE_LOOP('',(#144528,#144529,#144530,#144531)); #22523=EDGE_LOOP('',(#144532,#144533,#144534,#144535)); #22524=EDGE_LOOP('',(#144536,#144537,#144538,#144539)); #22525=EDGE_LOOP('',(#144540,#144541,#144542)); #22526=EDGE_LOOP('',(#144543,#144544,#144545)); #22527=EDGE_LOOP('',(#144546,#144547,#144548)); #22528=EDGE_LOOP('',(#144549,#144550,#144551)); #22529=EDGE_LOOP('',(#144552,#144553,#144554,#144555)); #22530=EDGE_LOOP('',(#144556,#144557,#144558,#144559)); #22531=EDGE_LOOP('',(#144560,#144561,#144562,#144563)); #22532=EDGE_LOOP('',(#144564,#144565,#144566,#144567)); #22533=EDGE_LOOP('',(#144568,#144569,#144570)); #22534=EDGE_LOOP('',(#144571,#144572,#144573)); #22535=EDGE_LOOP('',(#144574,#144575,#144576,#144577)); #22536=EDGE_LOOP('',(#144578,#144579,#144580)); #22537=EDGE_LOOP('',(#144581,#144582,#144583,#144584)); #22538=EDGE_LOOP('',(#144585,#144586,#144587)); #22539=EDGE_LOOP('',(#144588,#144589,#144590,#144591)); #22540=EDGE_LOOP('',(#144592,#144593,#144594,#144595,#144596,#144597)); #22541=EDGE_LOOP('',(#144598,#144599,#144600,#144601)); #22542=EDGE_LOOP('',(#144602,#144603,#144604,#144605)); #22543=EDGE_LOOP('',(#144606,#144607,#144608,#144609,#144610,#144611)); #22544=EDGE_LOOP('',(#144612,#144613,#144614,#144615)); #22545=EDGE_LOOP('',(#144616,#144617,#144618,#144619)); #22546=EDGE_LOOP('',(#144620,#144621,#144622,#144623,#144624,#144625)); #22547=EDGE_LOOP('',(#144626,#144627,#144628,#144629)); #22548=EDGE_LOOP('',(#144630,#144631,#144632,#144633)); #22549=EDGE_LOOP('',(#144634,#144635,#144636,#144637)); #22550=EDGE_LOOP('',(#144638,#144639,#144640,#144641)); #22551=EDGE_LOOP('',(#144642,#144643,#144644,#144645)); #22552=EDGE_LOOP('',(#144646,#144647,#144648,#144649)); #22553=EDGE_LOOP('',(#144650,#144651,#144652,#144653)); #22554=EDGE_LOOP('',(#144654,#144655,#144656,#144657)); #22555=EDGE_LOOP('',(#144658,#144659,#144660,#144661)); #22556=EDGE_LOOP('',(#144662,#144663,#144664,#144665,#144666,#144667)); #22557=EDGE_LOOP('',(#144668)); #22558=EDGE_LOOP('',(#144669,#144670,#144671,#144672,#144673,#144674)); #22559=EDGE_LOOP('',(#144675)); #22560=EDGE_LOOP('',(#144676,#144677,#144678,#144679)); #22561=EDGE_LOOP('',(#144680,#144681,#144682)); #22562=EDGE_LOOP('',(#144683,#144684,#144685)); #22563=EDGE_LOOP('',(#144686,#144687,#144688,#144689)); #22564=EDGE_LOOP('',(#144690,#144691,#144692,#144693)); #22565=EDGE_LOOP('',(#144694,#144695,#144696,#144697)); #22566=EDGE_LOOP('',(#144698,#144699,#144700,#144701)); #22567=EDGE_LOOP('',(#144702,#144703,#144704,#144705)); #22568=EDGE_LOOP('',(#144706,#144707,#144708)); #22569=EDGE_LOOP('',(#144709,#144710,#144711)); #22570=EDGE_LOOP('',(#144712,#144713,#144714)); #22571=EDGE_LOOP('',(#144715,#144716,#144717)); #22572=EDGE_LOOP('',(#144718,#144719,#144720,#144721)); #22573=EDGE_LOOP('',(#144722,#144723,#144724,#144725)); #22574=EDGE_LOOP('',(#144726,#144727,#144728,#144729)); #22575=EDGE_LOOP('',(#144730,#144731,#144732,#144733)); #22576=EDGE_LOOP('',(#144734,#144735,#144736,#144737)); #22577=EDGE_LOOP('',(#144738,#144739,#144740,#144741)); #22578=EDGE_LOOP('',(#144742,#144743,#144744,#144745)); #22579=EDGE_LOOP('',(#144746,#144747,#144748)); #22580=EDGE_LOOP('',(#144749,#144750,#144751)); #22581=EDGE_LOOP('',(#144752,#144753,#144754)); #22582=EDGE_LOOP('',(#144755,#144756,#144757)); #22583=EDGE_LOOP('',(#144758,#144759,#144760,#144761)); #22584=EDGE_LOOP('',(#144762,#144763,#144764,#144765)); #22585=EDGE_LOOP('',(#144766,#144767,#144768,#144769)); #22586=EDGE_LOOP('',(#144770,#144771,#144772,#144773)); #22587=EDGE_LOOP('',(#144774,#144775,#144776)); #22588=EDGE_LOOP('',(#144777,#144778,#144779)); #22589=EDGE_LOOP('',(#144780,#144781,#144782,#144783)); #22590=EDGE_LOOP('',(#144784,#144785,#144786,#144787)); #22591=EDGE_LOOP('',(#144788,#144789,#144790,#144791,#144792,#144793,#144794, #144795,#144796,#144797,#144798,#144799,#144800,#144801,#144802,#144803, #144804,#144805,#144806)); #22592=EDGE_LOOP('',(#144807)); #22593=EDGE_LOOP('',(#144808)); #22594=EDGE_LOOP('',(#144809)); #22595=EDGE_LOOP('',(#144810,#144811,#144812,#144813,#144814,#144815,#144816, #144817,#144818,#144819,#144820,#144821,#144822,#144823,#144824,#144825, #144826,#144827,#144828,#144829,#144830)); #22596=EDGE_LOOP('',(#144831,#144832,#144833,#144834)); #22597=EDGE_LOOP('',(#144835,#144836,#144837,#144838,#144839,#144840,#144841, #144842,#144843,#144844,#144845,#144846,#144847,#144848,#144849,#144850, #144851)); #22598=EDGE_LOOP('',(#144852,#144853,#144854,#144855,#144856,#144857)); #22599=EDGE_LOOP('',(#144858,#144859,#144860,#144861)); #22600=EDGE_LOOP('',(#144862,#144863,#144864,#144865)); #22601=EDGE_LOOP('',(#144866,#144867,#144868,#144869)); #22602=EDGE_LOOP('',(#144870,#144871,#144872,#144873)); #22603=EDGE_LOOP('',(#144874,#144875,#144876,#144877)); #22604=EDGE_LOOP('',(#144878,#144879,#144880,#144881)); #22605=EDGE_LOOP('',(#144882,#144883,#144884,#144885)); #22606=EDGE_LOOP('',(#144886,#144887,#144888,#144889)); #22607=EDGE_LOOP('',(#144890,#144891,#144892,#144893)); #22608=EDGE_LOOP('',(#144894,#144895,#144896,#144897)); #22609=EDGE_LOOP('',(#144898,#144899,#144900,#144901)); #22610=EDGE_LOOP('',(#144902,#144903,#144904,#144905)); #22611=EDGE_LOOP('',(#144906,#144907,#144908,#144909)); #22612=EDGE_LOOP('',(#144910,#144911,#144912,#144913,#144914,#144915,#144916, #144917)); #22613=EDGE_LOOP('',(#144918,#144919,#144920,#144921)); #22614=EDGE_LOOP('',(#144922,#144923,#144924,#144925)); #22615=EDGE_LOOP('',(#144926,#144927,#144928,#144929)); #22616=EDGE_LOOP('',(#144930,#144931,#144932,#144933)); #22617=EDGE_LOOP('',(#144934,#144935,#144936,#144937)); #22618=EDGE_LOOP('',(#144938,#144939,#144940,#144941)); #22619=EDGE_LOOP('',(#144942,#144943,#144944,#144945)); #22620=EDGE_LOOP('',(#144946,#144947,#144948,#144949)); #22621=EDGE_LOOP('',(#144950,#144951,#144952,#144953)); #22622=EDGE_LOOP('',(#144954,#144955,#144956,#144957,#144958,#144959,#144960, #144961)); #22623=EDGE_LOOP('',(#144962,#144963,#144964,#144965)); #22624=EDGE_LOOP('',(#144966,#144967,#144968,#144969)); #22625=EDGE_LOOP('',(#144970,#144971,#144972,#144973)); #22626=EDGE_LOOP('',(#144974,#144975,#144976,#144977)); #22627=EDGE_LOOP('',(#144978,#144979,#144980,#144981)); #22628=EDGE_LOOP('',(#144982,#144983,#144984,#144985)); #22629=EDGE_LOOP('',(#144986,#144987,#144988,#144989)); #22630=EDGE_LOOP('',(#144990,#144991,#144992,#144993)); #22631=EDGE_LOOP('',(#144994,#144995,#144996,#144997)); #22632=EDGE_LOOP('',(#144998,#144999,#145000,#145001)); #22633=EDGE_LOOP('',(#145002,#145003,#145004,#145005)); #22634=EDGE_LOOP('',(#145006,#145007,#145008,#145009)); #22635=EDGE_LOOP('',(#145010,#145011,#145012,#145013)); #22636=EDGE_LOOP('',(#145014,#145015,#145016,#145017)); #22637=EDGE_LOOP('',(#145018,#145019,#145020,#145021)); #22638=EDGE_LOOP('',(#145022,#145023,#145024,#145025,#145026,#145027,#145028, #145029,#145030,#145031,#145032,#145033,#145034,#145035,#145036,#145037, #145038,#145039,#145040)); #22639=EDGE_LOOP('',(#145041,#145042,#145043,#145044,#145045,#145046)); #22640=EDGE_LOOP('',(#145047,#145048,#145049,#145050)); #22641=EDGE_LOOP('',(#145051,#145052,#145053,#145054)); #22642=EDGE_LOOP('',(#145055,#145056,#145057,#145058)); #22643=EDGE_LOOP('',(#145059)); #22644=EDGE_LOOP('',(#145060,#145061,#145062,#145063)); #22645=EDGE_LOOP('',(#145064)); #22646=EDGE_LOOP('',(#145065,#145066,#145067,#145068)); #22647=EDGE_LOOP('',(#145069)); #22648=EDGE_LOOP('',(#145070,#145071,#145072,#145073)); #22649=EDGE_LOOP('',(#145074,#145075,#145076,#145077)); #22650=EDGE_LOOP('',(#145078,#145079,#145080,#145081)); #22651=EDGE_LOOP('',(#145082,#145083,#145084,#145085)); #22652=EDGE_LOOP('',(#145086,#145087,#145088,#145089)); #22653=EDGE_LOOP('',(#145090,#145091,#145092,#145093)); #22654=EDGE_LOOP('',(#145094,#145095,#145096,#145097)); #22655=EDGE_LOOP('',(#145098,#145099,#145100,#145101,#145102,#145103,#145104)); #22656=EDGE_LOOP('',(#145105,#145106,#145107,#145108,#145109,#145110,#145111, #145112,#145113,#145114,#145115,#145116)); #22657=EDGE_LOOP('',(#145117)); #22658=EDGE_LOOP('',(#145118)); #22659=EDGE_LOOP('',(#145119)); #22660=EDGE_LOOP('',(#145120,#145121,#145122)); #22661=EDGE_LOOP('',(#145123,#145124,#145125)); #22662=EDGE_LOOP('',(#145126,#145127,#145128)); #22663=EDGE_LOOP('',(#145129,#145130,#145131,#145132)); #22664=EDGE_LOOP('',(#145133,#145134,#145135,#145136)); #22665=EDGE_LOOP('',(#145137,#145138,#145139,#145140)); #22666=EDGE_LOOP('',(#145141,#145142,#145143,#145144)); #22667=EDGE_LOOP('',(#145145,#145146,#145147,#145148,#145149,#145150,#145151, #145152,#145153)); #22668=EDGE_LOOP('',(#145154,#145155,#145156,#145157)); #22669=EDGE_LOOP('',(#145158,#145159,#145160,#145161)); #22670=EDGE_LOOP('',(#145162,#145163,#145164,#145165,#145166,#145167,#145168, #145169,#145170)); #22671=EDGE_LOOP('',(#145171,#145172,#145173,#145174,#145175,#145176,#145177, #145178,#145179)); #22672=EDGE_LOOP('',(#145180,#145181,#145182,#145183)); #22673=EDGE_LOOP('',(#145184,#145185,#145186,#145187,#145188,#145189,#145190, #145191,#145192)); #22674=EDGE_LOOP('',(#145193,#145194,#145195)); #22675=EDGE_LOOP('',(#145196,#145197,#145198,#145199)); #22676=EDGE_LOOP('',(#145200,#145201,#145202,#145203)); #22677=EDGE_LOOP('',(#145204,#145205,#145206,#145207)); #22678=EDGE_LOOP('',(#145208,#145209,#145210,#145211)); #22679=EDGE_LOOP('',(#145212,#145213,#145214,#145215)); #22680=EDGE_LOOP('',(#145216)); #22681=EDGE_LOOP('',(#145217)); #22682=EDGE_LOOP('',(#145218)); #22683=EDGE_LOOP('',(#145219)); #22684=EDGE_LOOP('',(#145220)); #22685=EDGE_LOOP('',(#145221)); #22686=EDGE_LOOP('',(#145222,#145223,#145224,#145225)); #22687=EDGE_LOOP('',(#145226,#145227,#145228,#145229)); #22688=EDGE_LOOP('',(#145230)); #22689=EDGE_LOOP('',(#145231)); #22690=EDGE_LOOP('',(#145232)); #22691=EDGE_LOOP('',(#145233)); #22692=EDGE_LOOP('',(#145234,#145235,#145236,#145237)); #22693=EDGE_LOOP('',(#145238,#145239,#145240,#145241,#145242,#145243,#145244, #145245,#145246,#145247,#145248,#145249)); #22694=EDGE_LOOP('',(#145250,#145251,#145252,#145253,#145254,#145255,#145256, #145257,#145258,#145259,#145260,#145261)); #22695=EDGE_LOOP('',(#145262,#145263,#145264,#145265)); #22696=EDGE_LOOP('',(#145266,#145267,#145268,#145269,#145270,#145271)); #22697=EDGE_LOOP('',(#145272)); #22698=EDGE_LOOP('',(#145273)); #22699=EDGE_LOOP('',(#145274,#145275,#145276,#145277)); #22700=EDGE_LOOP('',(#145278,#145279,#145280,#145281)); #22701=EDGE_LOOP('',(#145282,#145283,#145284,#145285)); #22702=EDGE_LOOP('',(#145286,#145287,#145288,#145289)); #22703=EDGE_LOOP('',(#145290,#145291,#145292,#145293)); #22704=EDGE_LOOP('',(#145294,#145295,#145296,#145297)); #22705=LINE('',#211631,#40333); #22706=LINE('',#211634,#40334); #22707=LINE('',#211637,#40335); #22708=LINE('',#211639,#40336); #22709=LINE('',#211641,#40337); #22710=LINE('',#211643,#40338); #22711=LINE('',#211645,#40339); #22712=LINE('',#211647,#40340); #22713=LINE('',#211648,#40341); #22714=LINE('',#211651,#40342); #22715=LINE('',#211653,#40343); #22716=LINE('',#211655,#40344); #22717=LINE('',#211657,#40345); #22718=LINE('',#211659,#40346); #22719=LINE('',#211661,#40347); #22720=LINE('',#211663,#40348); #22721=LINE('',#211665,#40349); #22722=LINE('',#211667,#40350); #22723=LINE('',#211669,#40351); #22724=LINE('',#211671,#40352); #22725=LINE('',#211673,#40353); #22726=LINE('',#211674,#40354); #22727=LINE('',#211681,#40355); #22728=LINE('',#211684,#40356); #22729=LINE('',#211690,#40357); #22730=LINE('',#211693,#40358); #22731=LINE('',#211695,#40359); #22732=LINE('',#211697,#40360); #22733=LINE('',#211701,#40361); #22734=LINE('',#211705,#40362); #22735=LINE('',#211707,#40363); #22736=LINE('',#211709,#40364); #22737=LINE('',#211710,#40365); #22738=LINE('',#211715,#40366); #22739=LINE('',#211718,#40367); #22740=LINE('',#211721,#40368); #22741=LINE('',#211724,#40369); #22742=LINE('',#211726,#40370); #22743=LINE('',#211729,#40371); #22744=LINE('',#211731,#40372); #22745=LINE('',#211732,#40373); #22746=LINE('',#211735,#40374); #22747=LINE('',#211737,#40375); #22748=LINE('',#211738,#40376); #22749=LINE('',#211747,#40377); #22750=LINE('',#211751,#40378); #22751=LINE('',#211755,#40379); #22752=LINE('',#211763,#40380); #22753=LINE('',#211767,#40381); #22754=LINE('',#211771,#40382); #22755=LINE('',#211775,#40383); #22756=LINE('',#211779,#40384); #22757=LINE('',#211785,#40385); #22758=LINE('',#211793,#40386); #22759=LINE('',#211797,#40387); #22760=LINE('',#211801,#40388); #22761=LINE('',#211814,#40389); #22762=LINE('',#211818,#40390); #22763=LINE('',#211822,#40391); #22764=LINE('',#211830,#40392); #22765=LINE('',#211836,#40393); #22766=LINE('',#211837,#40394); #22767=LINE('',#211840,#40395); #22768=LINE('',#211841,#40396); #22769=LINE('',#211846,#40397); #22770=LINE('',#211847,#40398); #22771=LINE('',#211849,#40399); #22772=LINE('',#211851,#40400); #22773=LINE('',#211855,#40401); #22774=LINE('',#211858,#40402); #22775=LINE('',#211860,#40403); #22776=LINE('',#211862,#40404); #22777=LINE('',#211866,#40405); #22778=LINE('',#211867,#40406); #22779=LINE('',#211870,#40407); #22780=LINE('',#211872,#40408); #22781=LINE('',#211874,#40409); #22782=LINE('',#211876,#40410); #22783=LINE('',#211878,#40411); #22784=LINE('',#211880,#40412); #22785=LINE('',#211882,#40413); #22786=LINE('',#211884,#40414); #22787=LINE('',#211886,#40415); #22788=LINE('',#211888,#40416); #22789=LINE('',#211892,#40417); #22790=LINE('',#211895,#40418); #22791=LINE('',#211898,#40419); #22792=LINE('',#211899,#40420); #22793=LINE('',#211902,#40421); #22794=LINE('',#211906,#40422); #22795=LINE('',#211909,#40423); #22796=LINE('',#211911,#40424); #22797=LINE('',#211914,#40425); #22798=LINE('',#211915,#40426); #22799=LINE('',#211919,#40427); #22800=LINE('',#211923,#40428); #22801=LINE('',#211927,#40429); #22802=LINE('',#211929,#40430); #22803=LINE('',#211933,#40431); #22804=LINE('',#211937,#40432); #22805=LINE('',#211943,#40433); #22806=LINE('',#211945,#40434); #22807=LINE('',#211947,#40435); #22808=LINE('',#211948,#40436); #22809=LINE('',#211953,#40437); #22810=LINE('',#211960,#40438); #22811=LINE('',#211963,#40439); #22812=LINE('',#211966,#40440); #22813=LINE('',#211968,#40441); #22814=LINE('',#211969,#40442); #22815=LINE('',#211975,#40443); #22816=LINE('',#211978,#40444); #22817=LINE('',#211981,#40445); #22818=LINE('',#211983,#40446); #22819=LINE('',#211984,#40447); #22820=LINE('',#211990,#40448); #22821=LINE('',#211993,#40449); #22822=LINE('',#211995,#40450); #22823=LINE('',#211997,#40451); #22824=LINE('',#212001,#40452); #22825=LINE('',#212005,#40453); #22826=LINE('',#212006,#40454); #22827=LINE('',#212010,#40455); #22828=LINE('',#212013,#40456); #22829=LINE('',#212014,#40457); #22830=LINE('',#212019,#40458); #22831=LINE('',#212021,#40459); #22832=LINE('',#212022,#40460); #22833=LINE('',#212024,#40461); #22834=LINE('',#212027,#40462); #22835=LINE('',#212029,#40463); #22836=LINE('',#212030,#40464); #22837=LINE('',#212033,#40465); #22838=LINE('',#212035,#40466); #22839=LINE('',#212036,#40467); #22840=LINE('',#212038,#40468); #22841=LINE('',#212041,#40469); #22842=LINE('',#212043,#40470); #22843=LINE('',#212044,#40471); #22844=LINE('',#212046,#40472); #22845=LINE('',#212049,#40473); #22846=LINE('',#212051,#40474); #22847=LINE('',#212052,#40475); #22848=LINE('',#212055,#40476); #22849=LINE('',#212057,#40477); #22850=LINE('',#212058,#40478); #22851=LINE('',#212060,#40479); #22852=LINE('',#212063,#40480); #22853=LINE('',#212065,#40481); #22854=LINE('',#212067,#40482); #22855=LINE('',#212071,#40483); #22856=LINE('',#212073,#40484); #22857=LINE('',#212075,#40485); #22858=LINE('',#212079,#40486); #22859=LINE('',#212083,#40487); #22860=LINE('',#212085,#40488); #22861=LINE('',#212087,#40489); #22862=LINE('',#212091,#40490); #22863=LINE('',#212095,#40491); #22864=LINE('',#212097,#40492); #22865=LINE('',#212099,#40493); #22866=LINE('',#212103,#40494); #22867=LINE('',#212107,#40495); #22868=LINE('',#212109,#40496); #22869=LINE('',#212110,#40497); #22870=LINE('',#212112,#40498); #22871=LINE('',#212114,#40499); #22872=LINE('',#212115,#40500); #22873=LINE('',#212117,#40501); #22874=LINE('',#212119,#40502); #22875=LINE('',#212121,#40503); #22876=LINE('',#212125,#40504); #22877=LINE('',#212129,#40505); #22878=LINE('',#212131,#40506); #22879=LINE('',#212133,#40507); #22880=LINE('',#212137,#40508); #22881=LINE('',#212141,#40509); #22882=LINE('',#212143,#40510); #22883=LINE('',#212145,#40511); #22884=LINE('',#212149,#40512); #22885=LINE('',#212153,#40513); #22886=LINE('',#212155,#40514); #22887=LINE('',#212157,#40515); #22888=LINE('',#212161,#40516); #22889=LINE('',#212163,#40517); #22890=LINE('',#212164,#40518); #22891=LINE('',#212169,#40519); #22892=LINE('',#212173,#40520); #22893=LINE('',#212180,#40521); #22894=LINE('',#212188,#40522); #22895=LINE('',#212192,#40523); #22896=LINE('',#212196,#40524); #22897=LINE('',#212197,#40525); #22898=LINE('',#212198,#40526); #22899=LINE('',#212201,#40527); #22900=LINE('',#212202,#40528); #22901=LINE('',#212205,#40529); #22902=LINE('',#212206,#40530); #22903=LINE('',#212209,#40531); #22904=LINE('',#212210,#40532); #22905=LINE('',#212213,#40533); #22906=LINE('',#212214,#40534); #22907=LINE('',#212217,#40535); #22908=LINE('',#212219,#40536); #22909=LINE('',#212220,#40537); #22910=LINE('',#212224,#40538); #22911=LINE('',#212227,#40539); #22912=LINE('',#212228,#40540); #22913=LINE('',#212232,#40541); #22914=LINE('',#212235,#40542); #22915=LINE('',#212236,#40543); #22916=LINE('',#212239,#40544); #22917=LINE('',#212240,#40545); #22918=LINE('',#212244,#40546); #22919=LINE('',#212247,#40547); #22920=LINE('',#212248,#40548); #22921=LINE('',#212251,#40549); #22922=LINE('',#212254,#40550); #22923=LINE('',#212257,#40551); #22924=LINE('',#212258,#40552); #22925=LINE('',#212261,#40553); #22926=LINE('',#212263,#40554); #22927=LINE('',#212264,#40555); #22928=LINE('',#212268,#40556); #22929=LINE('',#212270,#40557); #22930=LINE('',#212273,#40558); #22931=LINE('',#212275,#40559); #22932=LINE('',#212276,#40560); #22933=LINE('',#212279,#40561); #22934=LINE('',#212281,#40562); #22935=LINE('',#212282,#40563); #22936=LINE('',#212286,#40564); #22937=LINE('',#212289,#40565); #22938=LINE('',#212290,#40566); #22939=LINE('',#212295,#40567); #22940=LINE('',#212298,#40568); #22941=LINE('',#212301,#40569); #22942=LINE('',#212302,#40570); #22943=LINE('',#212305,#40571); #22944=LINE('',#212307,#40572); #22945=LINE('',#212308,#40573); #22946=LINE('',#212312,#40574); #22947=LINE('',#212314,#40575); #22948=LINE('',#212317,#40576); #22949=LINE('',#212319,#40577); #22950=LINE('',#212320,#40578); #22951=LINE('',#212323,#40579); #22952=LINE('',#212325,#40580); #22953=LINE('',#212326,#40581); #22954=LINE('',#212330,#40582); #22955=LINE('',#212333,#40583); #22956=LINE('',#212334,#40584); #22957=LINE('',#212339,#40585); #22958=LINE('',#212341,#40586); #22959=LINE('',#212342,#40587); #22960=LINE('',#212346,#40588); #22961=LINE('',#212348,#40589); #22962=LINE('',#212351,#40590); #22963=LINE('',#212353,#40591); #22964=LINE('',#212354,#40592); #22965=LINE('',#212357,#40593); #22966=LINE('',#212359,#40594); #22967=LINE('',#212360,#40595); #22968=LINE('',#212364,#40596); #22969=LINE('',#212367,#40597); #22970=LINE('',#212368,#40598); #22971=LINE('',#212372,#40599); #22972=LINE('',#212374,#40600); #22973=LINE('',#212376,#40601); #22974=LINE('',#212378,#40602); #22975=LINE('',#212380,#40603); #22976=LINE('',#212382,#40604); #22977=LINE('',#212384,#40605); #22978=LINE('',#212386,#40606); #22979=LINE('',#212394,#40607); #22980=LINE('',#212397,#40608); #22981=LINE('',#212402,#40609); #22982=LINE('',#212406,#40610); #22983=LINE('',#212408,#40611); #22984=LINE('',#212409,#40612); #22985=LINE('',#212412,#40613); #22986=LINE('',#212414,#40614); #22987=LINE('',#212415,#40615); #22988=LINE('',#212418,#40616); #22989=LINE('',#212420,#40617); #22990=LINE('',#212421,#40618); #22991=LINE('',#212424,#40619); #22992=LINE('',#212426,#40620); #22993=LINE('',#212427,#40621); #22994=LINE('',#212434,#40622); #22995=LINE('',#212436,#40623); #22996=LINE('',#212438,#40624); #22997=LINE('',#212439,#40625); #22998=LINE('',#212444,#40626); #22999=LINE('',#212450,#40627); #23000=LINE('',#212456,#40628); #23001=LINE('',#212462,#40629); #23002=LINE('',#212466,#40630); #23003=LINE('',#212468,#40631); #23004=LINE('',#212469,#40632); #23005=LINE('',#212472,#40633); #23006=LINE('',#212474,#40634); #23007=LINE('',#212475,#40635); #23008=LINE('',#212478,#40636); #23009=LINE('',#212480,#40637); #23010=LINE('',#212481,#40638); #23011=LINE('',#212484,#40639); #23012=LINE('',#212486,#40640); #23013=LINE('',#212487,#40641); #23014=LINE('',#212490,#40642); #23015=LINE('',#212492,#40643); #23016=LINE('',#212493,#40644); #23017=LINE('',#212496,#40645); #23018=LINE('',#212498,#40646); #23019=LINE('',#212499,#40647); #23020=LINE('',#212502,#40648); #23021=LINE('',#212504,#40649); #23022=LINE('',#212505,#40650); #23023=LINE('',#212508,#40651); #23024=LINE('',#212510,#40652); #23025=LINE('',#212511,#40653); #23026=LINE('',#212514,#40654); #23027=LINE('',#212516,#40655); #23028=LINE('',#212517,#40656); #23029=LINE('',#212520,#40657); #23030=LINE('',#212522,#40658); #23031=LINE('',#212523,#40659); #23032=LINE('',#212526,#40660); #23033=LINE('',#212528,#40661); #23034=LINE('',#212529,#40662); #23035=LINE('',#212532,#40663); #23036=LINE('',#212534,#40664); #23037=LINE('',#212535,#40665); #23038=LINE('',#212538,#40666); #23039=LINE('',#212540,#40667); #23040=LINE('',#212541,#40668); #23041=LINE('',#212546,#40669); #23042=LINE('',#212550,#40670); #23043=LINE('',#212552,#40671); #23044=LINE('',#212553,#40672); #23045=LINE('',#212556,#40673); #23046=LINE('',#212558,#40674); #23047=LINE('',#212559,#40675); #23048=LINE('',#212562,#40676); #23049=LINE('',#212564,#40677); #23050=LINE('',#212565,#40678); #23051=LINE('',#212568,#40679); #23052=LINE('',#212570,#40680); #23053=LINE('',#212571,#40681); #23054=LINE('',#212574,#40682); #23055=LINE('',#212576,#40683); #23056=LINE('',#212577,#40684); #23057=LINE('',#212580,#40685); #23058=LINE('',#212582,#40686); #23059=LINE('',#212583,#40687); #23060=LINE('',#212586,#40688); #23061=LINE('',#212588,#40689); #23062=LINE('',#212589,#40690); #23063=LINE('',#212594,#40691); #23064=LINE('',#212598,#40692); #23065=LINE('',#212600,#40693); #23066=LINE('',#212601,#40694); #23067=LINE('',#212606,#40695); #23068=LINE('',#212610,#40696); #23069=LINE('',#212612,#40697); #23070=LINE('',#212613,#40698); #23071=LINE('',#212616,#40699); #23072=LINE('',#212618,#40700); #23073=LINE('',#212619,#40701); #23074=LINE('',#212622,#40702); #23075=LINE('',#212624,#40703); #23076=LINE('',#212625,#40704); #23077=LINE('',#212628,#40705); #23078=LINE('',#212630,#40706); #23079=LINE('',#212631,#40707); #23080=LINE('',#212636,#40708); #23081=LINE('',#212640,#40709); #23082=LINE('',#212642,#40710); #23083=LINE('',#212643,#40711); #23084=LINE('',#212646,#40712); #23085=LINE('',#212648,#40713); #23086=LINE('',#212649,#40714); #23087=LINE('',#212652,#40715); #23088=LINE('',#212654,#40716); #23089=LINE('',#212655,#40717); #23090=LINE('',#212658,#40718); #23091=LINE('',#212660,#40719); #23092=LINE('',#212661,#40720); #23093=LINE('',#212664,#40721); #23094=LINE('',#212666,#40722); #23095=LINE('',#212667,#40723); #23096=LINE('',#212670,#40724); #23097=LINE('',#212672,#40725); #23098=LINE('',#212673,#40726); #23099=LINE('',#212676,#40727); #23100=LINE('',#212678,#40728); #23101=LINE('',#212679,#40729); #23102=LINE('',#212682,#40730); #23103=LINE('',#212684,#40731); #23104=LINE('',#212685,#40732); #23105=LINE('',#212688,#40733); #23106=LINE('',#212690,#40734); #23107=LINE('',#212691,#40735); #23108=LINE('',#212694,#40736); #23109=LINE('',#212696,#40737); #23110=LINE('',#212697,#40738); #23111=LINE('',#212700,#40739); #23112=LINE('',#212702,#40740); #23113=LINE('',#212703,#40741); #23114=LINE('',#212706,#40742); #23115=LINE('',#212708,#40743); #23116=LINE('',#212709,#40744); #23117=LINE('',#212712,#40745); #23118=LINE('',#212714,#40746); #23119=LINE('',#212715,#40747); #23120=LINE('',#212718,#40748); #23121=LINE('',#212720,#40749); #23122=LINE('',#212721,#40750); #23123=LINE('',#212724,#40751); #23124=LINE('',#212726,#40752); #23125=LINE('',#212727,#40753); #23126=LINE('',#212730,#40754); #23127=LINE('',#212732,#40755); #23128=LINE('',#212733,#40756); #23129=LINE('',#212736,#40757); #23130=LINE('',#212738,#40758); #23131=LINE('',#212739,#40759); #23132=LINE('',#212742,#40760); #23133=LINE('',#212744,#40761); #23134=LINE('',#212745,#40762); #23135=LINE('',#212748,#40763); #23136=LINE('',#212750,#40764); #23137=LINE('',#212751,#40765); #23138=LINE('',#212754,#40766); #23139=LINE('',#212756,#40767); #23140=LINE('',#212757,#40768); #23141=LINE('',#212760,#40769); #23142=LINE('',#212762,#40770); #23143=LINE('',#212763,#40771); #23144=LINE('',#212766,#40772); #23145=LINE('',#212768,#40773); #23146=LINE('',#212769,#40774); #23147=LINE('',#212774,#40775); #23148=LINE('',#212778,#40776); #23149=LINE('',#212780,#40777); #23150=LINE('',#212781,#40778); #23151=LINE('',#212784,#40779); #23152=LINE('',#212786,#40780); #23153=LINE('',#212787,#40781); #23154=LINE('',#212792,#40782); #23155=LINE('',#212798,#40783); #23156=LINE('',#212802,#40784); #23157=LINE('',#212804,#40785); #23158=LINE('',#212805,#40786); #23159=LINE('',#212808,#40787); #23160=LINE('',#212810,#40788); #23161=LINE('',#212811,#40789); #23162=LINE('',#212814,#40790); #23163=LINE('',#212816,#40791); #23164=LINE('',#212817,#40792); #23165=LINE('',#212820,#40793); #23166=LINE('',#212822,#40794); #23167=LINE('',#212823,#40795); #23168=LINE('',#212826,#40796); #23169=LINE('',#212828,#40797); #23170=LINE('',#212829,#40798); #23171=LINE('',#212832,#40799); #23172=LINE('',#212834,#40800); #23173=LINE('',#212835,#40801); #23174=LINE('',#212838,#40802); #23175=LINE('',#212840,#40803); #23176=LINE('',#212841,#40804); #23177=LINE('',#212844,#40805); #23178=LINE('',#212846,#40806); #23179=LINE('',#212847,#40807); #23180=LINE('',#212850,#40808); #23181=LINE('',#212852,#40809); #23182=LINE('',#212853,#40810); #23183=LINE('',#212856,#40811); #23184=LINE('',#212858,#40812); #23185=LINE('',#212859,#40813); #23186=LINE('',#212862,#40814); #23187=LINE('',#212864,#40815); #23188=LINE('',#212865,#40816); #23189=LINE('',#212868,#40817); #23190=LINE('',#212870,#40818); #23191=LINE('',#212871,#40819); #23192=LINE('',#212874,#40820); #23193=LINE('',#212876,#40821); #23194=LINE('',#212877,#40822); #23195=LINE('',#212880,#40823); #23196=LINE('',#212882,#40824); #23197=LINE('',#212883,#40825); #23198=LINE('',#212886,#40826); #23199=LINE('',#212888,#40827); #23200=LINE('',#212889,#40828); #23201=LINE('',#212892,#40829); #23202=LINE('',#212894,#40830); #23203=LINE('',#212895,#40831); #23204=LINE('',#212898,#40832); #23205=LINE('',#212900,#40833); #23206=LINE('',#212901,#40834); #23207=LINE('',#212904,#40835); #23208=LINE('',#212906,#40836); #23209=LINE('',#212907,#40837); #23210=LINE('',#212910,#40838); #23211=LINE('',#212912,#40839); #23212=LINE('',#212913,#40840); #23213=LINE('',#212916,#40841); #23214=LINE('',#212918,#40842); #23215=LINE('',#212919,#40843); #23216=LINE('',#212922,#40844); #23217=LINE('',#212924,#40845); #23218=LINE('',#212925,#40846); #23219=LINE('',#212928,#40847); #23220=LINE('',#212930,#40848); #23221=LINE('',#212931,#40849); #23222=LINE('',#212934,#40850); #23223=LINE('',#212936,#40851); #23224=LINE('',#212937,#40852); #23225=LINE('',#212940,#40853); #23226=LINE('',#212942,#40854); #23227=LINE('',#212943,#40855); #23228=LINE('',#212948,#40856); #23229=LINE('',#212952,#40857); #23230=LINE('',#212954,#40858); #23231=LINE('',#212955,#40859); #23232=LINE('',#212958,#40860); #23233=LINE('',#212960,#40861); #23234=LINE('',#212961,#40862); #23235=LINE('',#212964,#40863); #23236=LINE('',#212966,#40864); #23237=LINE('',#212967,#40865); #23238=LINE('',#212972,#40866); #23239=LINE('',#212976,#40867); #23240=LINE('',#212978,#40868); #23241=LINE('',#212979,#40869); #23242=LINE('',#212984,#40870); #23243=LINE('',#212988,#40871); #23244=LINE('',#212990,#40872); #23245=LINE('',#212991,#40873); #23246=LINE('',#212994,#40874); #23247=LINE('',#212996,#40875); #23248=LINE('',#212997,#40876); #23249=LINE('',#213000,#40877); #23250=LINE('',#213002,#40878); #23251=LINE('',#213003,#40879); #23252=LINE('',#213006,#40880); #23253=LINE('',#213008,#40881); #23254=LINE('',#213009,#40882); #23255=LINE('',#213012,#40883); #23256=LINE('',#213014,#40884); #23257=LINE('',#213015,#40885); #23258=LINE('',#213018,#40886); #23259=LINE('',#213020,#40887); #23260=LINE('',#213021,#40888); #23261=LINE('',#213024,#40889); #23262=LINE('',#213026,#40890); #23263=LINE('',#213027,#40891); #23264=LINE('',#213030,#40892); #23265=LINE('',#213032,#40893); #23266=LINE('',#213033,#40894); #23267=LINE('',#213036,#40895); #23268=LINE('',#213038,#40896); #23269=LINE('',#213039,#40897); #23270=LINE('',#213042,#40898); #23271=LINE('',#213044,#40899); #23272=LINE('',#213045,#40900); #23273=LINE('',#213048,#40901); #23274=LINE('',#213050,#40902); #23275=LINE('',#213051,#40903); #23276=LINE('',#213054,#40904); #23277=LINE('',#213056,#40905); #23278=LINE('',#213057,#40906); #23279=LINE('',#213060,#40907); #23280=LINE('',#213062,#40908); #23281=LINE('',#213063,#40909); #23282=LINE('',#213068,#40910); #23283=LINE('',#213072,#40911); #23284=LINE('',#213074,#40912); #23285=LINE('',#213075,#40913); #23286=LINE('',#213078,#40914); #23287=LINE('',#213080,#40915); #23288=LINE('',#213081,#40916); #23289=LINE('',#213084,#40917); #23290=LINE('',#213086,#40918); #23291=LINE('',#213087,#40919); #23292=LINE('',#213092,#40920); #23293=LINE('',#213098,#40921); #23294=LINE('',#213109,#40922); #23295=LINE('',#213112,#40923); #23296=LINE('',#213115,#40924); #23297=LINE('',#213117,#40925); #23298=LINE('',#213118,#40926); #23299=LINE('',#213121,#40927); #23300=LINE('',#213123,#40928); #23301=LINE('',#213124,#40929); #23302=LINE('',#213127,#40930); #23303=LINE('',#213129,#40931); #23304=LINE('',#213130,#40932); #23305=LINE('',#213133,#40933); #23306=LINE('',#213135,#40934); #23307=LINE('',#213136,#40935); #23308=LINE('',#213139,#40936); #23309=LINE('',#213141,#40937); #23310=LINE('',#213142,#40938); #23311=LINE('',#213145,#40939); #23312=LINE('',#213147,#40940); #23313=LINE('',#213148,#40941); #23314=LINE('',#213151,#40942); #23315=LINE('',#213153,#40943); #23316=LINE('',#213154,#40944); #23317=LINE('',#213159,#40945); #23318=LINE('',#213165,#40946); #23319=LINE('',#213173,#40947); #23320=LINE('',#213175,#40948); #23321=LINE('',#213177,#40949); #23322=LINE('',#213178,#40950); #23323=LINE('',#213181,#40951); #23324=LINE('',#213183,#40952); #23325=LINE('',#213184,#40953); #23326=LINE('',#213187,#40954); #23327=LINE('',#213189,#40955); #23328=LINE('',#213190,#40956); #23329=LINE('',#213193,#40957); #23330=LINE('',#213195,#40958); #23331=LINE('',#213196,#40959); #23332=LINE('',#213199,#40960); #23333=LINE('',#213201,#40961); #23334=LINE('',#213202,#40962); #23335=LINE('',#213205,#40963); #23336=LINE('',#213207,#40964); #23337=LINE('',#213208,#40965); #23338=LINE('',#213211,#40966); #23339=LINE('',#213213,#40967); #23340=LINE('',#213214,#40968); #23341=LINE('',#213217,#40969); #23342=LINE('',#213219,#40970); #23343=LINE('',#213220,#40971); #23344=LINE('',#213223,#40972); #23345=LINE('',#213225,#40973); #23346=LINE('',#213226,#40974); #23347=LINE('',#213229,#40975); #23348=LINE('',#213231,#40976); #23349=LINE('',#213232,#40977); #23350=LINE('',#213235,#40978); #23351=LINE('',#213237,#40979); #23352=LINE('',#213238,#40980); #23353=LINE('',#213241,#40981); #23354=LINE('',#213243,#40982); #23355=LINE('',#213244,#40983); #23356=LINE('',#213247,#40984); #23357=LINE('',#213249,#40985); #23358=LINE('',#213250,#40986); #23359=LINE('',#213253,#40987); #23360=LINE('',#213255,#40988); #23361=LINE('',#213256,#40989); #23362=LINE('',#213259,#40990); #23363=LINE('',#213261,#40991); #23364=LINE('',#213262,#40992); #23365=LINE('',#213265,#40993); #23366=LINE('',#213267,#40994); #23367=LINE('',#213268,#40995); #23368=LINE('',#213271,#40996); #23369=LINE('',#213273,#40997); #23370=LINE('',#213274,#40998); #23371=LINE('',#213277,#40999); #23372=LINE('',#213279,#41000); #23373=LINE('',#213280,#41001); #23374=LINE('',#213283,#41002); #23375=LINE('',#213285,#41003); #23376=LINE('',#213286,#41004); #23377=LINE('',#213291,#41005); #23378=LINE('',#213295,#41006); #23379=LINE('',#213297,#41007); #23380=LINE('',#213298,#41008); #23381=LINE('',#213301,#41009); #23382=LINE('',#213303,#41010); #23383=LINE('',#213304,#41011); #23384=LINE('',#213307,#41012); #23385=LINE('',#213309,#41013); #23386=LINE('',#213310,#41014); #23387=LINE('',#213315,#41015); #23388=LINE('',#213321,#41016); #23389=LINE('',#213325,#41017); #23390=LINE('',#213327,#41018); #23391=LINE('',#213328,#41019); #23392=LINE('',#213331,#41020); #23393=LINE('',#213333,#41021); #23394=LINE('',#213334,#41022); #23395=LINE('',#213337,#41023); #23396=LINE('',#213339,#41024); #23397=LINE('',#213340,#41025); #23398=LINE('',#213343,#41026); #23399=LINE('',#213345,#41027); #23400=LINE('',#213346,#41028); #23401=LINE('',#213349,#41029); #23402=LINE('',#213351,#41030); #23403=LINE('',#213352,#41031); #23404=LINE('',#213355,#41032); #23405=LINE('',#213357,#41033); #23406=LINE('',#213358,#41034); #23407=LINE('',#213361,#41035); #23408=LINE('',#213363,#41036); #23409=LINE('',#213364,#41037); #23410=LINE('',#213367,#41038); #23411=LINE('',#213369,#41039); #23412=LINE('',#213370,#41040); #23413=LINE('',#213373,#41041); #23414=LINE('',#213375,#41042); #23415=LINE('',#213376,#41043); #23416=LINE('',#213379,#41044); #23417=LINE('',#213381,#41045); #23418=LINE('',#213382,#41046); #23419=LINE('',#213385,#41047); #23420=LINE('',#213387,#41048); #23421=LINE('',#213388,#41049); #23422=LINE('',#213391,#41050); #23423=LINE('',#213393,#41051); #23424=LINE('',#213394,#41052); #23425=LINE('',#213397,#41053); #23426=LINE('',#213399,#41054); #23427=LINE('',#213400,#41055); #23428=LINE('',#213403,#41056); #23429=LINE('',#213405,#41057); #23430=LINE('',#213406,#41058); #23431=LINE('',#213409,#41059); #23432=LINE('',#213411,#41060); #23433=LINE('',#213412,#41061); #23434=LINE('',#213415,#41062); #23435=LINE('',#213417,#41063); #23436=LINE('',#213418,#41064); #23437=LINE('',#213421,#41065); #23438=LINE('',#213423,#41066); #23439=LINE('',#213424,#41067); #23440=LINE('',#213427,#41068); #23441=LINE('',#213429,#41069); #23442=LINE('',#213430,#41070); #23443=LINE('',#213433,#41071); #23444=LINE('',#213435,#41072); #23445=LINE('',#213436,#41073); #23446=LINE('',#213439,#41074); #23447=LINE('',#213441,#41075); #23448=LINE('',#213442,#41076); #23449=LINE('',#213445,#41077); #23450=LINE('',#213447,#41078); #23451=LINE('',#213448,#41079); #23452=LINE('',#213451,#41080); #23453=LINE('',#213453,#41081); #23454=LINE('',#213454,#41082); #23455=LINE('',#213457,#41083); #23456=LINE('',#213459,#41084); #23457=LINE('',#213460,#41085); #23458=LINE('',#213463,#41086); #23459=LINE('',#213465,#41087); #23460=LINE('',#213466,#41088); #23461=LINE('',#213469,#41089); #23462=LINE('',#213471,#41090); #23463=LINE('',#213472,#41091); #23464=LINE('',#213477,#41092); #23465=LINE('',#213481,#41093); #23466=LINE('',#213483,#41094); #23467=LINE('',#213484,#41095); #23468=LINE('',#213487,#41096); #23469=LINE('',#213489,#41097); #23470=LINE('',#213490,#41098); #23471=LINE('',#213493,#41099); #23472=LINE('',#213495,#41100); #23473=LINE('',#213496,#41101); #23474=LINE('',#213499,#41102); #23475=LINE('',#213501,#41103); #23476=LINE('',#213502,#41104); #23477=LINE('',#213505,#41105); #23478=LINE('',#213507,#41106); #23479=LINE('',#213508,#41107); #23480=LINE('',#213511,#41108); #23481=LINE('',#213513,#41109); #23482=LINE('',#213514,#41110); #23483=LINE('',#213517,#41111); #23484=LINE('',#213519,#41112); #23485=LINE('',#213520,#41113); #23486=LINE('',#213523,#41114); #23487=LINE('',#213525,#41115); #23488=LINE('',#213526,#41116); #23489=LINE('',#213529,#41117); #23490=LINE('',#213531,#41118); #23491=LINE('',#213532,#41119); #23492=LINE('',#213535,#41120); #23493=LINE('',#213537,#41121); #23494=LINE('',#213538,#41122); #23495=LINE('',#213541,#41123); #23496=LINE('',#213543,#41124); #23497=LINE('',#213544,#41125); #23498=LINE('',#213547,#41126); #23499=LINE('',#213549,#41127); #23500=LINE('',#213550,#41128); #23501=LINE('',#213553,#41129); #23502=LINE('',#213555,#41130); #23503=LINE('',#213556,#41131); #23504=LINE('',#213559,#41132); #23505=LINE('',#213561,#41133); #23506=LINE('',#213562,#41134); #23507=LINE('',#213565,#41135); #23508=LINE('',#213567,#41136); #23509=LINE('',#213568,#41137); #23510=LINE('',#213571,#41138); #23511=LINE('',#213573,#41139); #23512=LINE('',#213574,#41140); #23513=LINE('',#213577,#41141); #23514=LINE('',#213579,#41142); #23515=LINE('',#213580,#41143); #23516=LINE('',#213583,#41144); #23517=LINE('',#213585,#41145); #23518=LINE('',#213586,#41146); #23519=LINE('',#213589,#41147); #23520=LINE('',#213591,#41148); #23521=LINE('',#213592,#41149); #23522=LINE('',#213595,#41150); #23523=LINE('',#213597,#41151); #23524=LINE('',#213598,#41152); #23525=LINE('',#213601,#41153); #23526=LINE('',#213603,#41154); #23527=LINE('',#213604,#41155); #23528=LINE('',#213607,#41156); #23529=LINE('',#213609,#41157); #23530=LINE('',#213610,#41158); #23531=LINE('',#213613,#41159); #23532=LINE('',#213615,#41160); #23533=LINE('',#213616,#41161); #23534=LINE('',#213619,#41162); #23535=LINE('',#213621,#41163); #23536=LINE('',#213622,#41164); #23537=LINE('',#213625,#41165); #23538=LINE('',#213627,#41166); #23539=LINE('',#213628,#41167); #23540=LINE('',#213633,#41168); #23541=LINE('',#213639,#41169); #23542=LINE('',#213643,#41170); #23543=LINE('',#213645,#41171); #23544=LINE('',#213646,#41172); #23545=LINE('',#213649,#41173); #23546=LINE('',#213651,#41174); #23547=LINE('',#213652,#41175); #23548=LINE('',#213657,#41176); #23549=LINE('',#213663,#41177); #23550=LINE('',#213669,#41178); #23551=LINE('',#213675,#41179); #23552=LINE('',#213679,#41180); #23553=LINE('',#213681,#41181); #23554=LINE('',#213682,#41182); #23555=LINE('',#213685,#41183); #23556=LINE('',#213687,#41184); #23557=LINE('',#213688,#41185); #23558=LINE('',#213691,#41186); #23559=LINE('',#213693,#41187); #23560=LINE('',#213694,#41188); #23561=LINE('',#213697,#41189); #23562=LINE('',#213699,#41190); #23563=LINE('',#213700,#41191); #23564=LINE('',#213703,#41192); #23565=LINE('',#213705,#41193); #23566=LINE('',#213706,#41194); #23567=LINE('',#213709,#41195); #23568=LINE('',#213711,#41196); #23569=LINE('',#213712,#41197); #23570=LINE('',#213714,#41198); #23571=LINE('',#213715,#41199); #23572=LINE('',#213720,#41200); #23573=LINE('',#213722,#41201); #23574=LINE('',#213724,#41202); #23575=LINE('',#213725,#41203); #23576=LINE('',#213730,#41204); #23577=LINE('',#213734,#41205); #23578=LINE('',#213736,#41206); #23579=LINE('',#213737,#41207); #23580=LINE('',#213740,#41208); #23581=LINE('',#213742,#41209); #23582=LINE('',#213743,#41210); #23583=LINE('',#213745,#41211); #23584=LINE('',#213746,#41212); #23585=LINE('',#213751,#41213); #23586=LINE('',#213753,#41214); #23587=LINE('',#213755,#41215); #23588=LINE('',#213756,#41216); #23589=LINE('',#213759,#41217); #23590=LINE('',#213761,#41218); #23591=LINE('',#213762,#41219); #23592=LINE('',#213765,#41220); #23593=LINE('',#213767,#41221); #23594=LINE('',#213768,#41222); #23595=LINE('',#213771,#41223); #23596=LINE('',#213773,#41224); #23597=LINE('',#213774,#41225); #23598=LINE('',#213779,#41226); #23599=LINE('',#213783,#41227); #23600=LINE('',#213785,#41228); #23601=LINE('',#213786,#41229); #23602=LINE('',#213791,#41230); #23603=LINE('',#213795,#41231); #23604=LINE('',#213797,#41232); #23605=LINE('',#213798,#41233); #23606=LINE('',#213801,#41234); #23607=LINE('',#213803,#41235); #23608=LINE('',#213804,#41236); #23609=LINE('',#213807,#41237); #23610=LINE('',#213809,#41238); #23611=LINE('',#213810,#41239); #23612=LINE('',#213813,#41240); #23613=LINE('',#213815,#41241); #23614=LINE('',#213816,#41242); #23615=LINE('',#213819,#41243); #23616=LINE('',#213821,#41244); #23617=LINE('',#213822,#41245); #23618=LINE('',#213827,#41246); #23619=LINE('',#213831,#41247); #23620=LINE('',#213833,#41248); #23621=LINE('',#213834,#41249); #23622=LINE('',#213837,#41250); #23623=LINE('',#213839,#41251); #23624=LINE('',#213840,#41252); #23625=LINE('',#213845,#41253); #23626=LINE('',#213849,#41254); #23627=LINE('',#213851,#41255); #23628=LINE('',#213852,#41256); #23629=LINE('',#213857,#41257); #23630=LINE('',#213861,#41258); #23631=LINE('',#213863,#41259); #23632=LINE('',#213864,#41260); #23633=LINE('',#213867,#41261); #23634=LINE('',#213869,#41262); #23635=LINE('',#213870,#41263); #23636=LINE('',#213873,#41264); #23637=LINE('',#213875,#41265); #23638=LINE('',#213876,#41266); #23639=LINE('',#213879,#41267); #23640=LINE('',#213881,#41268); #23641=LINE('',#213882,#41269); #23642=LINE('',#213885,#41270); #23643=LINE('',#213887,#41271); #23644=LINE('',#213888,#41272); #23645=LINE('',#213891,#41273); #23646=LINE('',#213893,#41274); #23647=LINE('',#213894,#41275); #23648=LINE('',#213897,#41276); #23649=LINE('',#213899,#41277); #23650=LINE('',#213900,#41278); #23651=LINE('',#213903,#41279); #23652=LINE('',#213905,#41280); #23653=LINE('',#213906,#41281); #23654=LINE('',#213909,#41282); #23655=LINE('',#213911,#41283); #23656=LINE('',#213912,#41284); #23657=LINE('',#213917,#41285); #23658=LINE('',#213921,#41286); #23659=LINE('',#213923,#41287); #23660=LINE('',#213924,#41288); #23661=LINE('',#213927,#41289); #23662=LINE('',#213929,#41290); #23663=LINE('',#213930,#41291); #23664=LINE('',#213933,#41292); #23665=LINE('',#213935,#41293); #23666=LINE('',#213936,#41294); #23667=LINE('',#213939,#41295); #23668=LINE('',#213941,#41296); #23669=LINE('',#213942,#41297); #23670=LINE('',#213945,#41298); #23671=LINE('',#213947,#41299); #23672=LINE('',#213948,#41300); #23673=LINE('',#213951,#41301); #23674=LINE('',#213953,#41302); #23675=LINE('',#213954,#41303); #23676=LINE('',#213957,#41304); #23677=LINE('',#213959,#41305); #23678=LINE('',#213960,#41306); #23679=LINE('',#213963,#41307); #23680=LINE('',#213965,#41308); #23681=LINE('',#213966,#41309); #23682=LINE('',#213971,#41310); #23683=LINE('',#213977,#41311); #23684=LINE('',#213981,#41312); #23685=LINE('',#213983,#41313); #23686=LINE('',#213984,#41314); #23687=LINE('',#213987,#41315); #23688=LINE('',#213989,#41316); #23689=LINE('',#213990,#41317); #23690=LINE('',#213993,#41318); #23691=LINE('',#213995,#41319); #23692=LINE('',#213996,#41320); #23693=LINE('',#213999,#41321); #23694=LINE('',#214001,#41322); #23695=LINE('',#214002,#41323); #23696=LINE('',#214007,#41324); #23697=LINE('',#214013,#41325); #23698=LINE('',#214017,#41326); #23699=LINE('',#214019,#41327); #23700=LINE('',#214020,#41328); #23701=LINE('',#214023,#41329); #23702=LINE('',#214025,#41330); #23703=LINE('',#214026,#41331); #23704=LINE('',#214029,#41332); #23705=LINE('',#214031,#41333); #23706=LINE('',#214032,#41334); #23707=LINE('',#214035,#41335); #23708=LINE('',#214037,#41336); #23709=LINE('',#214038,#41337); #23710=LINE('',#214043,#41338); #23711=LINE('',#214047,#41339); #23712=LINE('',#214049,#41340); #23713=LINE('',#214050,#41341); #23714=LINE('',#214053,#41342); #23715=LINE('',#214055,#41343); #23716=LINE('',#214056,#41344); #23717=LINE('',#214059,#41345); #23718=LINE('',#214061,#41346); #23719=LINE('',#214062,#41347); #23720=LINE('',#214065,#41348); #23721=LINE('',#214067,#41349); #23722=LINE('',#214068,#41350); #23723=LINE('',#214071,#41351); #23724=LINE('',#214073,#41352); #23725=LINE('',#214074,#41353); #23726=LINE('',#214077,#41354); #23727=LINE('',#214079,#41355); #23728=LINE('',#214080,#41356); #23729=LINE('',#214083,#41357); #23730=LINE('',#214085,#41358); #23731=LINE('',#214086,#41359); #23732=LINE('',#214089,#41360); #23733=LINE('',#214091,#41361); #23734=LINE('',#214092,#41362); #23735=LINE('',#214095,#41363); #23736=LINE('',#214097,#41364); #23737=LINE('',#214098,#41365); #23738=LINE('',#214101,#41366); #23739=LINE('',#214103,#41367); #23740=LINE('',#214104,#41368); #23741=LINE('',#214109,#41369); #23742=LINE('',#214113,#41370); #23743=LINE('',#214115,#41371); #23744=LINE('',#214116,#41372); #23745=LINE('',#214119,#41373); #23746=LINE('',#214121,#41374); #23747=LINE('',#214122,#41375); #23748=LINE('',#214125,#41376); #23749=LINE('',#214127,#41377); #23750=LINE('',#214128,#41378); #23751=LINE('',#214133,#41379); #23752=LINE('',#214137,#41380); #23753=LINE('',#214139,#41381); #23754=LINE('',#214140,#41382); #23755=LINE('',#214145,#41383); #23756=LINE('',#214149,#41384); #23757=LINE('',#214151,#41385); #23758=LINE('',#214152,#41386); #23759=LINE('',#214155,#41387); #23760=LINE('',#214157,#41388); #23761=LINE('',#214158,#41389); #23762=LINE('',#214161,#41390); #23763=LINE('',#214163,#41391); #23764=LINE('',#214164,#41392); #23765=LINE('',#214167,#41393); #23766=LINE('',#214169,#41394); #23767=LINE('',#214170,#41395); #23768=LINE('',#214173,#41396); #23769=LINE('',#214175,#41397); #23770=LINE('',#214176,#41398); #23771=LINE('',#214179,#41399); #23772=LINE('',#214181,#41400); #23773=LINE('',#214182,#41401); #23774=LINE('',#214185,#41402); #23775=LINE('',#214187,#41403); #23776=LINE('',#214188,#41404); #23777=LINE('',#214191,#41405); #23778=LINE('',#214193,#41406); #23779=LINE('',#214194,#41407); #23780=LINE('',#214197,#41408); #23781=LINE('',#214199,#41409); #23782=LINE('',#214200,#41410); #23783=LINE('',#214205,#41411); #23784=LINE('',#214209,#41412); #23785=LINE('',#214211,#41413); #23786=LINE('',#214212,#41414); #23787=LINE('',#214215,#41415); #23788=LINE('',#214217,#41416); #23789=LINE('',#214218,#41417); #23790=LINE('',#214221,#41418); #23791=LINE('',#214223,#41419); #23792=LINE('',#214224,#41420); #23793=LINE('',#214227,#41421); #23794=LINE('',#214229,#41422); #23795=LINE('',#214230,#41423); #23796=LINE('',#214233,#41424); #23797=LINE('',#214235,#41425); #23798=LINE('',#214236,#41426); #23799=LINE('',#214239,#41427); #23800=LINE('',#214241,#41428); #23801=LINE('',#214242,#41429); #23802=LINE('',#214245,#41430); #23803=LINE('',#214247,#41431); #23804=LINE('',#214248,#41432); #23805=LINE('',#214251,#41433); #23806=LINE('',#214253,#41434); #23807=LINE('',#214254,#41435); #23808=LINE('',#214257,#41436); #23809=LINE('',#214259,#41437); #23810=LINE('',#214260,#41438); #23811=LINE('',#214263,#41439); #23812=LINE('',#214265,#41440); #23813=LINE('',#214266,#41441); #23814=LINE('',#214271,#41442); #23815=LINE('',#214277,#41443); #23816=LINE('',#214283,#41444); #23817=LINE('',#214287,#41445); #23818=LINE('',#214289,#41446); #23819=LINE('',#214290,#41447); #23820=LINE('',#214293,#41448); #23821=LINE('',#214295,#41449); #23822=LINE('',#214296,#41450); #23823=LINE('',#214299,#41451); #23824=LINE('',#214301,#41452); #23825=LINE('',#214302,#41453); #23826=LINE('',#214305,#41454); #23827=LINE('',#214307,#41455); #23828=LINE('',#214308,#41456); #23829=LINE('',#214310,#41457); #23830=LINE('',#214311,#41458); #23831=LINE('',#214318,#41459); #23832=LINE('',#214321,#41460); #23833=LINE('',#214324,#41461); #23834=LINE('',#214326,#41462); #23835=LINE('',#214327,#41463); #23836=LINE('',#214330,#41464); #23837=LINE('',#214332,#41465); #23838=LINE('',#214333,#41466); #23839=LINE('',#214336,#41467); #23840=LINE('',#214338,#41468); #23841=LINE('',#214339,#41469); #23842=LINE('',#214342,#41470); #23843=LINE('',#214344,#41471); #23844=LINE('',#214345,#41472); #23845=LINE('',#214348,#41473); #23846=LINE('',#214350,#41474); #23847=LINE('',#214351,#41475); #23848=LINE('',#214354,#41476); #23849=LINE('',#214356,#41477); #23850=LINE('',#214357,#41478); #23851=LINE('',#214362,#41479); #23852=LINE('',#214366,#41480); #23853=LINE('',#214368,#41481); #23854=LINE('',#214369,#41482); #23855=LINE('',#214372,#41483); #23856=LINE('',#214374,#41484); #23857=LINE('',#214375,#41485); #23858=LINE('',#214378,#41486); #23859=LINE('',#214380,#41487); #23860=LINE('',#214381,#41488); #23861=LINE('',#214386,#41489); #23862=LINE('',#214392,#41490); #23863=LINE('',#214396,#41491); #23864=LINE('',#214398,#41492); #23865=LINE('',#214399,#41493); #23866=LINE('',#214402,#41494); #23867=LINE('',#214404,#41495); #23868=LINE('',#214405,#41496); #23869=LINE('',#214408,#41497); #23870=LINE('',#214410,#41498); #23871=LINE('',#214411,#41499); #23872=LINE('',#214414,#41500); #23873=LINE('',#214416,#41501); #23874=LINE('',#214417,#41502); #23875=LINE('',#214420,#41503); #23876=LINE('',#214422,#41504); #23877=LINE('',#214423,#41505); #23878=LINE('',#214426,#41506); #23879=LINE('',#214428,#41507); #23880=LINE('',#214429,#41508); #23881=LINE('',#214432,#41509); #23882=LINE('',#214434,#41510); #23883=LINE('',#214435,#41511); #23884=LINE('',#214440,#41512); #23885=LINE('',#214444,#41513); #23886=LINE('',#214446,#41514); #23887=LINE('',#214447,#41515); #23888=LINE('',#214450,#41516); #23889=LINE('',#214452,#41517); #23890=LINE('',#214453,#41518); #23891=LINE('',#214456,#41519); #23892=LINE('',#214458,#41520); #23893=LINE('',#214459,#41521); #23894=LINE('',#214462,#41522); #23895=LINE('',#214464,#41523); #23896=LINE('',#214465,#41524); #23897=LINE('',#214468,#41525); #23898=LINE('',#214470,#41526); #23899=LINE('',#214471,#41527); #23900=LINE('',#214474,#41528); #23901=LINE('',#214476,#41529); #23902=LINE('',#214477,#41530); #23903=LINE('',#214480,#41531); #23904=LINE('',#214482,#41532); #23905=LINE('',#214483,#41533); #23906=LINE('',#214486,#41534); #23907=LINE('',#214488,#41535); #23908=LINE('',#214489,#41536); #23909=LINE('',#214492,#41537); #23910=LINE('',#214494,#41538); #23911=LINE('',#214495,#41539); #23912=LINE('',#214498,#41540); #23913=LINE('',#214500,#41541); #23914=LINE('',#214501,#41542); #23915=LINE('',#214504,#41543); #23916=LINE('',#214506,#41544); #23917=LINE('',#214507,#41545); #23918=LINE('',#214510,#41546); #23919=LINE('',#214512,#41547); #23920=LINE('',#214513,#41548); #23921=LINE('',#214516,#41549); #23922=LINE('',#214518,#41550); #23923=LINE('',#214519,#41551); #23924=LINE('',#214524,#41552); #23925=LINE('',#214528,#41553); #23926=LINE('',#214530,#41554); #23927=LINE('',#214531,#41555); #23928=LINE('',#214536,#41556); #23929=LINE('',#214542,#41557); #23930=LINE('',#214548,#41558); #23931=LINE('',#214552,#41559); #23932=LINE('',#214554,#41560); #23933=LINE('',#214555,#41561); #23934=LINE('',#214560,#41562); #23935=LINE('',#214566,#41563); #23936=LINE('',#214572,#41564); #23937=LINE('',#214578,#41565); #23938=LINE('',#214582,#41566); #23939=LINE('',#214584,#41567); #23940=LINE('',#214585,#41568); #23941=LINE('',#214590,#41569); #23942=LINE('',#214596,#41570); #23943=LINE('',#214600,#41571); #23944=LINE('',#214602,#41572); #23945=LINE('',#214603,#41573); #23946=LINE('',#214606,#41574); #23947=LINE('',#214608,#41575); #23948=LINE('',#214609,#41576); #23949=LINE('',#214612,#41577); #23950=LINE('',#214614,#41578); #23951=LINE('',#214615,#41579); #23952=LINE('',#214620,#41580); #23953=LINE('',#214624,#41581); #23954=LINE('',#214626,#41582); #23955=LINE('',#214627,#41583); #23956=LINE('',#214630,#41584); #23957=LINE('',#214632,#41585); #23958=LINE('',#214633,#41586); #23959=LINE('',#214636,#41587); #23960=LINE('',#214638,#41588); #23961=LINE('',#214639,#41589); #23962=LINE('',#214642,#41590); #23963=LINE('',#214644,#41591); #23964=LINE('',#214645,#41592); #23965=LINE('',#214648,#41593); #23966=LINE('',#214650,#41594); #23967=LINE('',#214651,#41595); #23968=LINE('',#214654,#41596); #23969=LINE('',#214656,#41597); #23970=LINE('',#214657,#41598); #23971=LINE('',#214662,#41599); #23972=LINE('',#214666,#41600); #23973=LINE('',#214668,#41601); #23974=LINE('',#214669,#41602); #23975=LINE('',#214672,#41603); #23976=LINE('',#214674,#41604); #23977=LINE('',#214675,#41605); #23978=LINE('',#214678,#41606); #23979=LINE('',#214680,#41607); #23980=LINE('',#214681,#41608); #23981=LINE('',#214684,#41609); #23982=LINE('',#214686,#41610); #23983=LINE('',#214687,#41611); #23984=LINE('',#214690,#41612); #23985=LINE('',#214692,#41613); #23986=LINE('',#214693,#41614); #23987=LINE('',#214696,#41615); #23988=LINE('',#214698,#41616); #23989=LINE('',#214699,#41617); #23990=LINE('',#214702,#41618); #23991=LINE('',#214704,#41619); #23992=LINE('',#214705,#41620); #23993=LINE('',#214708,#41621); #23994=LINE('',#214710,#41622); #23995=LINE('',#214711,#41623); #23996=LINE('',#214714,#41624); #23997=LINE('',#214716,#41625); #23998=LINE('',#214717,#41626); #23999=LINE('',#214722,#41627); #24000=LINE('',#214728,#41628); #24001=LINE('',#214732,#41629); #24002=LINE('',#214734,#41630); #24003=LINE('',#214735,#41631); #24004=LINE('',#214738,#41632); #24005=LINE('',#214740,#41633); #24006=LINE('',#214741,#41634); #24007=LINE('',#214746,#41635); #24008=LINE('',#214750,#41636); #24009=LINE('',#214752,#41637); #24010=LINE('',#214753,#41638); #24011=LINE('',#214756,#41639); #24012=LINE('',#214758,#41640); #24013=LINE('',#214759,#41641); #24014=LINE('',#214762,#41642); #24015=LINE('',#214764,#41643); #24016=LINE('',#214765,#41644); #24017=LINE('',#214768,#41645); #24018=LINE('',#214770,#41646); #24019=LINE('',#214771,#41647); #24020=LINE('',#214776,#41648); #24021=LINE('',#214782,#41649); #24022=LINE('',#214788,#41650); #24023=LINE('',#214792,#41651); #24024=LINE('',#214794,#41652); #24025=LINE('',#214795,#41653); #24026=LINE('',#214798,#41654); #24027=LINE('',#214800,#41655); #24028=LINE('',#214801,#41656); #24029=LINE('',#214804,#41657); #24030=LINE('',#214806,#41658); #24031=LINE('',#214807,#41659); #24032=LINE('',#214810,#41660); #24033=LINE('',#214812,#41661); #24034=LINE('',#214813,#41662); #24035=LINE('',#214816,#41663); #24036=LINE('',#214818,#41664); #24037=LINE('',#214819,#41665); #24038=LINE('',#214822,#41666); #24039=LINE('',#214824,#41667); #24040=LINE('',#214825,#41668); #24041=LINE('',#214828,#41669); #24042=LINE('',#214830,#41670); #24043=LINE('',#214831,#41671); #24044=LINE('',#214834,#41672); #24045=LINE('',#214836,#41673); #24046=LINE('',#214837,#41674); #24047=LINE('',#214840,#41675); #24048=LINE('',#214842,#41676); #24049=LINE('',#214843,#41677); #24050=LINE('',#214846,#41678); #24051=LINE('',#214848,#41679); #24052=LINE('',#214849,#41680); #24053=LINE('',#214854,#41681); #24054=LINE('',#214858,#41682); #24055=LINE('',#214860,#41683); #24056=LINE('',#214861,#41684); #24057=LINE('',#214866,#41685); #24058=LINE('',#214872,#41686); #24059=LINE('',#214878,#41687); #24060=LINE('',#214882,#41688); #24061=LINE('',#214884,#41689); #24062=LINE('',#214885,#41690); #24063=LINE('',#214890,#41691); #24064=LINE('',#214896,#41692); #24065=LINE('',#214902,#41693); #24066=LINE('',#214908,#41694); #24067=LINE('',#214912,#41695); #24068=LINE('',#214914,#41696); #24069=LINE('',#214915,#41697); #24070=LINE('',#214920,#41698); #24071=LINE('',#214924,#41699); #24072=LINE('',#214926,#41700); #24073=LINE('',#214927,#41701); #24074=LINE('',#214930,#41702); #24075=LINE('',#214932,#41703); #24076=LINE('',#214933,#41704); #24077=LINE('',#214936,#41705); #24078=LINE('',#214938,#41706); #24079=LINE('',#214939,#41707); #24080=LINE('',#214942,#41708); #24081=LINE('',#214944,#41709); #24082=LINE('',#214945,#41710); #24083=LINE('',#214948,#41711); #24084=LINE('',#214950,#41712); #24085=LINE('',#214951,#41713); #24086=LINE('',#214954,#41714); #24087=LINE('',#214956,#41715); #24088=LINE('',#214957,#41716); #24089=LINE('',#214960,#41717); #24090=LINE('',#214962,#41718); #24091=LINE('',#214963,#41719); #24092=LINE('',#214971,#41720); #24093=LINE('',#214973,#41721); #24094=LINE('',#214975,#41722); #24095=LINE('',#214976,#41723); #24096=LINE('',#214979,#41724); #24097=LINE('',#214981,#41725); #24098=LINE('',#214982,#41726); #24099=LINE('',#214987,#41727); #24100=LINE('',#214993,#41728); #24101=LINE('',#214999,#41729); #24102=LINE('',#215003,#41730); #24103=LINE('',#215005,#41731); #24104=LINE('',#215006,#41732); #24105=LINE('',#215011,#41733); #24106=LINE('',#215017,#41734); #24107=LINE('',#215023,#41735); #24108=LINE('',#215029,#41736); #24109=LINE('',#215033,#41737); #24110=LINE('',#215035,#41738); #24111=LINE('',#215036,#41739); #24112=LINE('',#215041,#41740); #24113=LINE('',#215045,#41741); #24114=LINE('',#215047,#41742); #24115=LINE('',#215048,#41743); #24116=LINE('',#215051,#41744); #24117=LINE('',#215053,#41745); #24118=LINE('',#215054,#41746); #24119=LINE('',#215057,#41747); #24120=LINE('',#215059,#41748); #24121=LINE('',#215060,#41749); #24122=LINE('',#215063,#41750); #24123=LINE('',#215065,#41751); #24124=LINE('',#215066,#41752); #24125=LINE('',#215069,#41753); #24126=LINE('',#215071,#41754); #24127=LINE('',#215072,#41755); #24128=LINE('',#215075,#41756); #24129=LINE('',#215077,#41757); #24130=LINE('',#215078,#41758); #24131=LINE('',#215081,#41759); #24132=LINE('',#215083,#41760); #24133=LINE('',#215084,#41761); #24134=LINE('',#215087,#41762); #24135=LINE('',#215089,#41763); #24136=LINE('',#215090,#41764); #24137=LINE('',#215093,#41765); #24138=LINE('',#215095,#41766); #24139=LINE('',#215096,#41767); #24140=LINE('',#215099,#41768); #24141=LINE('',#215101,#41769); #24142=LINE('',#215102,#41770); #24143=LINE('',#215105,#41771); #24144=LINE('',#215107,#41772); #24145=LINE('',#215108,#41773); #24146=LINE('',#215111,#41774); #24147=LINE('',#215113,#41775); #24148=LINE('',#215114,#41776); #24149=LINE('',#215117,#41777); #24150=LINE('',#215119,#41778); #24151=LINE('',#215120,#41779); #24152=LINE('',#215123,#41780); #24153=LINE('',#215125,#41781); #24154=LINE('',#215126,#41782); #24155=LINE('',#215129,#41783); #24156=LINE('',#215131,#41784); #24157=LINE('',#215132,#41785); #24158=LINE('',#215135,#41786); #24159=LINE('',#215137,#41787); #24160=LINE('',#215138,#41788); #24161=LINE('',#215141,#41789); #24162=LINE('',#215143,#41790); #24163=LINE('',#215144,#41791); #24164=LINE('',#215147,#41792); #24165=LINE('',#215149,#41793); #24166=LINE('',#215150,#41794); #24167=LINE('',#215153,#41795); #24168=LINE('',#215155,#41796); #24169=LINE('',#215156,#41797); #24170=LINE('',#215159,#41798); #24171=LINE('',#215161,#41799); #24172=LINE('',#215162,#41800); #24173=LINE('',#215165,#41801); #24174=LINE('',#215167,#41802); #24175=LINE('',#215168,#41803); #24176=LINE('',#215171,#41804); #24177=LINE('',#215173,#41805); #24178=LINE('',#215174,#41806); #24179=LINE('',#215179,#41807); #24180=LINE('',#215183,#41808); #24181=LINE('',#215185,#41809); #24182=LINE('',#215186,#41810); #24183=LINE('',#215189,#41811); #24184=LINE('',#215191,#41812); #24185=LINE('',#215192,#41813); #24186=LINE('',#215195,#41814); #24187=LINE('',#215197,#41815); #24188=LINE('',#215198,#41816); #24189=LINE('',#215201,#41817); #24190=LINE('',#215203,#41818); #24191=LINE('',#215204,#41819); #24192=LINE('',#215207,#41820); #24193=LINE('',#215209,#41821); #24194=LINE('',#215210,#41822); #24195=LINE('',#215213,#41823); #24196=LINE('',#215215,#41824); #24197=LINE('',#215216,#41825); #24198=LINE('',#215219,#41826); #24199=LINE('',#215221,#41827); #24200=LINE('',#215222,#41828); #24201=LINE('',#215225,#41829); #24202=LINE('',#215227,#41830); #24203=LINE('',#215228,#41831); #24204=LINE('',#215231,#41832); #24205=LINE('',#215233,#41833); #24206=LINE('',#215234,#41834); #24207=LINE('',#215239,#41835); #24208=LINE('',#215243,#41836); #24209=LINE('',#215245,#41837); #24210=LINE('',#215246,#41838); #24211=LINE('',#215249,#41839); #24212=LINE('',#215251,#41840); #24213=LINE('',#215252,#41841); #24214=LINE('',#215255,#41842); #24215=LINE('',#215257,#41843); #24216=LINE('',#215258,#41844); #24217=LINE('',#215261,#41845); #24218=LINE('',#215263,#41846); #24219=LINE('',#215264,#41847); #24220=LINE('',#215267,#41848); #24221=LINE('',#215269,#41849); #24222=LINE('',#215270,#41850); #24223=LINE('',#215273,#41851); #24224=LINE('',#215275,#41852); #24225=LINE('',#215276,#41853); #24226=LINE('',#215279,#41854); #24227=LINE('',#215281,#41855); #24228=LINE('',#215282,#41856); #24229=LINE('',#215285,#41857); #24230=LINE('',#215287,#41858); #24231=LINE('',#215288,#41859); #24232=LINE('',#215291,#41860); #24233=LINE('',#215293,#41861); #24234=LINE('',#215294,#41862); #24235=LINE('',#215297,#41863); #24236=LINE('',#215299,#41864); #24237=LINE('',#215300,#41865); #24238=LINE('',#215303,#41866); #24239=LINE('',#215305,#41867); #24240=LINE('',#215306,#41868); #24241=LINE('',#215309,#41869); #24242=LINE('',#215311,#41870); #24243=LINE('',#215312,#41871); #24244=LINE('',#215315,#41872); #24245=LINE('',#215317,#41873); #24246=LINE('',#215318,#41874); #24247=LINE('',#215321,#41875); #24248=LINE('',#215323,#41876); #24249=LINE('',#215324,#41877); #24250=LINE('',#215327,#41878); #24251=LINE('',#215329,#41879); #24252=LINE('',#215330,#41880); #24253=LINE('',#215333,#41881); #24254=LINE('',#215335,#41882); #24255=LINE('',#215336,#41883); #24256=LINE('',#215339,#41884); #24257=LINE('',#215341,#41885); #24258=LINE('',#215342,#41886); #24259=LINE('',#215347,#41887); #24260=LINE('',#215353,#41888); #24261=LINE('',#215359,#41889); #24262=LINE('',#215365,#41890); #24263=LINE('',#215369,#41891); #24264=LINE('',#215371,#41892); #24265=LINE('',#215372,#41893); #24266=LINE('',#215377,#41894); #24267=LINE('',#215383,#41895); #24268=LINE('',#215389,#41896); #24269=LINE('',#215395,#41897); #24270=LINE('',#215401,#41898); #24271=LINE('',#215405,#41899); #24272=LINE('',#215407,#41900); #24273=LINE('',#215408,#41901); #24274=LINE('',#215413,#41902); #24275=LINE('',#215417,#41903); #24276=LINE('',#215419,#41904); #24277=LINE('',#215420,#41905); #24278=LINE('',#215423,#41906); #24279=LINE('',#215425,#41907); #24280=LINE('',#215426,#41908); #24281=LINE('',#215429,#41909); #24282=LINE('',#215431,#41910); #24283=LINE('',#215432,#41911); #24284=LINE('',#215435,#41912); #24285=LINE('',#215437,#41913); #24286=LINE('',#215438,#41914); #24287=LINE('',#215441,#41915); #24288=LINE('',#215443,#41916); #24289=LINE('',#215444,#41917); #24290=LINE('',#215447,#41918); #24291=LINE('',#215449,#41919); #24292=LINE('',#215450,#41920); #24293=LINE('',#215453,#41921); #24294=LINE('',#215455,#41922); #24295=LINE('',#215456,#41923); #24296=LINE('',#215459,#41924); #24297=LINE('',#215461,#41925); #24298=LINE('',#215462,#41926); #24299=LINE('',#215465,#41927); #24300=LINE('',#215467,#41928); #24301=LINE('',#215468,#41929); #24302=LINE('',#215471,#41930); #24303=LINE('',#215473,#41931); #24304=LINE('',#215474,#41932); #24305=LINE('',#215477,#41933); #24306=LINE('',#215479,#41934); #24307=LINE('',#215480,#41935); #24308=LINE('',#215483,#41936); #24309=LINE('',#215485,#41937); #24310=LINE('',#215486,#41938); #24311=LINE('',#215489,#41939); #24312=LINE('',#215491,#41940); #24313=LINE('',#215492,#41941); #24314=LINE('',#215495,#41942); #24315=LINE('',#215497,#41943); #24316=LINE('',#215498,#41944); #24317=LINE('',#215501,#41945); #24318=LINE('',#215503,#41946); #24319=LINE('',#215504,#41947); #24320=LINE('',#215507,#41948); #24321=LINE('',#215509,#41949); #24322=LINE('',#215510,#41950); #24323=LINE('',#215513,#41951); #24324=LINE('',#215515,#41952); #24325=LINE('',#215516,#41953); #24326=LINE('',#215519,#41954); #24327=LINE('',#215521,#41955); #24328=LINE('',#215522,#41956); #24329=LINE('',#215525,#41957); #24330=LINE('',#215527,#41958); #24331=LINE('',#215528,#41959); #24332=LINE('',#215531,#41960); #24333=LINE('',#215533,#41961); #24334=LINE('',#215534,#41962); #24335=LINE('',#215537,#41963); #24336=LINE('',#215539,#41964); #24337=LINE('',#215540,#41965); #24338=LINE('',#215543,#41966); #24339=LINE('',#215545,#41967); #24340=LINE('',#215546,#41968); #24341=LINE('',#215549,#41969); #24342=LINE('',#215551,#41970); #24343=LINE('',#215552,#41971); #24344=LINE('',#215555,#41972); #24345=LINE('',#215557,#41973); #24346=LINE('',#215558,#41974); #24347=LINE('',#215563,#41975); #24348=LINE('',#215567,#41976); #24349=LINE('',#215569,#41977); #24350=LINE('',#215570,#41978); #24351=LINE('',#215573,#41979); #24352=LINE('',#215575,#41980); #24353=LINE('',#215576,#41981); #24354=LINE('',#215579,#41982); #24355=LINE('',#215581,#41983); #24356=LINE('',#215582,#41984); #24357=LINE('',#215585,#41985); #24358=LINE('',#215587,#41986); #24359=LINE('',#215588,#41987); #24360=LINE('',#215591,#41988); #24361=LINE('',#215593,#41989); #24362=LINE('',#215594,#41990); #24363=LINE('',#215597,#41991); #24364=LINE('',#215599,#41992); #24365=LINE('',#215600,#41993); #24366=LINE('',#215603,#41994); #24367=LINE('',#215605,#41995); #24368=LINE('',#215606,#41996); #24369=LINE('',#215609,#41997); #24370=LINE('',#215611,#41998); #24371=LINE('',#215612,#41999); #24372=LINE('',#215615,#42000); #24373=LINE('',#215617,#42001); #24374=LINE('',#215618,#42002); #24375=LINE('',#215621,#42003); #24376=LINE('',#215623,#42004); #24377=LINE('',#215624,#42005); #24378=LINE('',#215627,#42006); #24379=LINE('',#215629,#42007); #24380=LINE('',#215630,#42008); #24381=LINE('',#215633,#42009); #24382=LINE('',#215635,#42010); #24383=LINE('',#215636,#42011); #24384=LINE('',#215641,#42012); #24385=LINE('',#215647,#42013); #24386=LINE('',#215651,#42014); #24387=LINE('',#215653,#42015); #24388=LINE('',#215654,#42016); #24389=LINE('',#215657,#42017); #24390=LINE('',#215659,#42018); #24391=LINE('',#215660,#42019); #24392=LINE('',#215663,#42020); #24393=LINE('',#215665,#42021); #24394=LINE('',#215666,#42022); #24395=LINE('',#215669,#42023); #24396=LINE('',#215671,#42024); #24397=LINE('',#215672,#42025); #24398=LINE('',#215677,#42026); #24399=LINE('',#215681,#42027); #24400=LINE('',#215683,#42028); #24401=LINE('',#215684,#42029); #24402=LINE('',#215689,#42030); #24403=LINE('',#215693,#42031); #24404=LINE('',#215695,#42032); #24405=LINE('',#215696,#42033); #24406=LINE('',#215699,#42034); #24407=LINE('',#215701,#42035); #24408=LINE('',#215702,#42036); #24409=LINE('',#215705,#42037); #24410=LINE('',#215707,#42038); #24411=LINE('',#215708,#42039); #24412=LINE('',#215710,#42040); #24413=LINE('',#215711,#42041); #24414=LINE('',#215718,#42042); #24415=LINE('',#215721,#42043); #24416=LINE('',#215726,#42044); #24417=LINE('',#215730,#42045); #24418=LINE('',#215732,#42046); #24419=LINE('',#215733,#42047); #24420=LINE('',#215736,#42048); #24421=LINE('',#215738,#42049); #24422=LINE('',#215739,#42050); #24423=LINE('',#215742,#42051); #24424=LINE('',#215744,#42052); #24425=LINE('',#215745,#42053); #24426=LINE('',#215748,#42054); #24427=LINE('',#215750,#42055); #24428=LINE('',#215751,#42056); #24429=LINE('',#215756,#42057); #24430=LINE('',#215760,#42058); #24431=LINE('',#215762,#42059); #24432=LINE('',#215763,#42060); #24433=LINE('',#215766,#42061); #24434=LINE('',#215768,#42062); #24435=LINE('',#215769,#42063); #24436=LINE('',#215774,#42064); #24437=LINE('',#215778,#42065); #24438=LINE('',#215780,#42066); #24439=LINE('',#215781,#42067); #24440=LINE('',#215784,#42068); #24441=LINE('',#215786,#42069); #24442=LINE('',#215787,#42070); #24443=LINE('',#215790,#42071); #24444=LINE('',#215792,#42072); #24445=LINE('',#215793,#42073); #24446=LINE('',#215798,#42074); #24447=LINE('',#215802,#42075); #24448=LINE('',#215804,#42076); #24449=LINE('',#215805,#42077); #24450=LINE('',#215808,#42078); #24451=LINE('',#215810,#42079); #24452=LINE('',#215811,#42080); #24453=LINE('',#215814,#42081); #24454=LINE('',#215816,#42082); #24455=LINE('',#215817,#42083); #24456=LINE('',#215822,#42084); #24457=LINE('',#215826,#42085); #24458=LINE('',#215828,#42086); #24459=LINE('',#215829,#42087); #24460=LINE('',#215832,#42088); #24461=LINE('',#215834,#42089); #24462=LINE('',#215835,#42090); #24463=LINE('',#215838,#42091); #24464=LINE('',#215840,#42092); #24465=LINE('',#215841,#42093); #24466=LINE('',#215844,#42094); #24467=LINE('',#215846,#42095); #24468=LINE('',#215847,#42096); #24469=LINE('',#215850,#42097); #24470=LINE('',#215852,#42098); #24471=LINE('',#215853,#42099); #24472=LINE('',#215858,#42100); #24473=LINE('',#215862,#42101); #24474=LINE('',#215864,#42102); #24475=LINE('',#215865,#42103); #24476=LINE('',#215868,#42104); #24477=LINE('',#215870,#42105); #24478=LINE('',#215871,#42106); #24479=LINE('',#215876,#42107); #24480=LINE('',#215882,#42108); #24481=LINE('',#215886,#42109); #24482=LINE('',#215888,#42110); #24483=LINE('',#215889,#42111); #24484=LINE('',#215894,#42112); #24485=LINE('',#215900,#42113); #24486=LINE('',#215906,#42114); #24487=LINE('',#215910,#42115); #24488=LINE('',#215912,#42116); #24489=LINE('',#215913,#42117); #24490=LINE('',#215918,#42118); #24491=LINE('',#215922,#42119); #24492=LINE('',#215924,#42120); #24493=LINE('',#215925,#42121); #24494=LINE('',#215928,#42122); #24495=LINE('',#215930,#42123); #24496=LINE('',#215931,#42124); #24497=LINE('',#215934,#42125); #24498=LINE('',#215936,#42126); #24499=LINE('',#215937,#42127); #24500=LINE('',#215940,#42128); #24501=LINE('',#215942,#42129); #24502=LINE('',#215943,#42130); #24503=LINE('',#215946,#42131); #24504=LINE('',#215948,#42132); #24505=LINE('',#215949,#42133); #24506=LINE('',#215952,#42134); #24507=LINE('',#215954,#42135); #24508=LINE('',#215955,#42136); #24509=LINE('',#215960,#42137); #24510=LINE('',#215964,#42138); #24511=LINE('',#215966,#42139); #24512=LINE('',#215967,#42140); #24513=LINE('',#215972,#42141); #24514=LINE('',#215976,#42142); #24515=LINE('',#215978,#42143); #24516=LINE('',#215979,#42144); #24517=LINE('',#215982,#42145); #24518=LINE('',#215984,#42146); #24519=LINE('',#215985,#42147); #24520=LINE('',#215990,#42148); #24521=LINE('',#215994,#42149); #24522=LINE('',#215996,#42150); #24523=LINE('',#215997,#42151); #24524=LINE('',#216002,#42152); #24525=LINE('',#216006,#42153); #24526=LINE('',#216008,#42154); #24527=LINE('',#216009,#42155); #24528=LINE('',#216012,#42156); #24529=LINE('',#216014,#42157); #24530=LINE('',#216015,#42158); #24531=LINE('',#216018,#42159); #24532=LINE('',#216020,#42160); #24533=LINE('',#216021,#42161); #24534=LINE('',#216024,#42162); #24535=LINE('',#216026,#42163); #24536=LINE('',#216027,#42164); #24537=LINE('',#216030,#42165); #24538=LINE('',#216032,#42166); #24539=LINE('',#216033,#42167); #24540=LINE('',#216038,#42168); #24541=LINE('',#216044,#42169); #24542=LINE('',#216050,#42170); #24543=LINE('',#216054,#42171); #24544=LINE('',#216056,#42172); #24545=LINE('',#216057,#42173); #24546=LINE('',#216060,#42174); #24547=LINE('',#216062,#42175); #24548=LINE('',#216063,#42176); #24549=LINE('',#216068,#42177); #24550=LINE('',#216074,#42178); #24551=LINE('',#216078,#42179); #24552=LINE('',#216080,#42180); #24553=LINE('',#216081,#42181); #24554=LINE('',#216084,#42182); #24555=LINE('',#216086,#42183); #24556=LINE('',#216087,#42184); #24557=LINE('',#216089,#42185); #24558=LINE('',#216090,#42186); #24559=LINE('',#216095,#42187); #24560=LINE('',#216097,#42188); #24561=LINE('',#216099,#42189); #24562=LINE('',#216100,#42190); #24563=LINE('',#216103,#42191); #24564=LINE('',#216105,#42192); #24565=LINE('',#216106,#42193); #24566=LINE('',#216109,#42194); #24567=LINE('',#216111,#42195); #24568=LINE('',#216112,#42196); #24569=LINE('',#216117,#42197); #24570=LINE('',#216121,#42198); #24571=LINE('',#216123,#42199); #24572=LINE('',#216124,#42200); #24573=LINE('',#216127,#42201); #24574=LINE('',#216129,#42202); #24575=LINE('',#216130,#42203); #24576=LINE('',#216133,#42204); #24577=LINE('',#216135,#42205); #24578=LINE('',#216136,#42206); #24579=LINE('',#216139,#42207); #24580=LINE('',#216141,#42208); #24581=LINE('',#216142,#42209); #24582=LINE('',#216145,#42210); #24583=LINE('',#216147,#42211); #24584=LINE('',#216148,#42212); #24585=LINE('',#216151,#42213); #24586=LINE('',#216153,#42214); #24587=LINE('',#216154,#42215); #24588=LINE('',#216157,#42216); #24589=LINE('',#216159,#42217); #24590=LINE('',#216160,#42218); #24591=LINE('',#216163,#42219); #24592=LINE('',#216165,#42220); #24593=LINE('',#216166,#42221); #24594=LINE('',#216169,#42222); #24595=LINE('',#216171,#42223); #24596=LINE('',#216172,#42224); #24597=LINE('',#216177,#42225); #24598=LINE('',#216181,#42226); #24599=LINE('',#216183,#42227); #24600=LINE('',#216184,#42228); #24601=LINE('',#216187,#42229); #24602=LINE('',#216189,#42230); #24603=LINE('',#216190,#42231); #24604=LINE('',#216193,#42232); #24605=LINE('',#216195,#42233); #24606=LINE('',#216196,#42234); #24607=LINE('',#216201,#42235); #24608=LINE('',#216207,#42236); #24609=LINE('',#216211,#42237); #24610=LINE('',#216213,#42238); #24611=LINE('',#216214,#42239); #24612=LINE('',#216217,#42240); #24613=LINE('',#216219,#42241); #24614=LINE('',#216220,#42242); #24615=LINE('',#216223,#42243); #24616=LINE('',#216225,#42244); #24617=LINE('',#216226,#42245); #24618=LINE('',#216231,#42246); #24619=LINE('',#216235,#42247); #24620=LINE('',#216237,#42248); #24621=LINE('',#216238,#42249); #24622=LINE('',#216241,#42250); #24623=LINE('',#216243,#42251); #24624=LINE('',#216244,#42252); #24625=LINE('',#216249,#42253); #24626=LINE('',#216253,#42254); #24627=LINE('',#216255,#42255); #24628=LINE('',#216256,#42256); #24629=LINE('',#216259,#42257); #24630=LINE('',#216261,#42258); #24631=LINE('',#216262,#42259); #24632=LINE('',#216267,#42260); #24633=LINE('',#216271,#42261); #24634=LINE('',#216273,#42262); #24635=LINE('',#216274,#42263); #24636=LINE('',#216277,#42264); #24637=LINE('',#216279,#42265); #24638=LINE('',#216280,#42266); #24639=LINE('',#216283,#42267); #24640=LINE('',#216285,#42268); #24641=LINE('',#216286,#42269); #24642=LINE('',#216289,#42270); #24643=LINE('',#216291,#42271); #24644=LINE('',#216292,#42272); #24645=LINE('',#216295,#42273); #24646=LINE('',#216297,#42274); #24647=LINE('',#216298,#42275); #24648=LINE('',#216301,#42276); #24649=LINE('',#216303,#42277); #24650=LINE('',#216304,#42278); #24651=LINE('',#216307,#42279); #24652=LINE('',#216309,#42280); #24653=LINE('',#216310,#42281); #24654=LINE('',#216313,#42282); #24655=LINE('',#216315,#42283); #24656=LINE('',#216316,#42284); #24657=LINE('',#216321,#42285); #24658=LINE('',#216327,#42286); #24659=LINE('',#216331,#42287); #24660=LINE('',#216333,#42288); #24661=LINE('',#216334,#42289); #24662=LINE('',#216339,#42290); #24663=LINE('',#216345,#42291); #24664=LINE('',#216351,#42292); #24665=LINE('',#216357,#42293); #24666=LINE('',#216361,#42294); #24667=LINE('',#216363,#42295); #24668=LINE('',#216364,#42296); #24669=LINE('',#216367,#42297); #24670=LINE('',#216369,#42298); #24671=LINE('',#216370,#42299); #24672=LINE('',#216373,#42300); #24673=LINE('',#216375,#42301); #24674=LINE('',#216376,#42302); #24675=LINE('',#216379,#42303); #24676=LINE('',#216381,#42304); #24677=LINE('',#216382,#42305); #24678=LINE('',#216385,#42306); #24679=LINE('',#216387,#42307); #24680=LINE('',#216388,#42308); #24681=LINE('',#216391,#42309); #24682=LINE('',#216393,#42310); #24683=LINE('',#216394,#42311); #24684=LINE('',#216397,#42312); #24685=LINE('',#216399,#42313); #24686=LINE('',#216400,#42314); #24687=LINE('',#216403,#42315); #24688=LINE('',#216405,#42316); #24689=LINE('',#216406,#42317); #24690=LINE('',#216411,#42318); #24691=LINE('',#216415,#42319); #24692=LINE('',#216417,#42320); #24693=LINE('',#216418,#42321); #24694=LINE('',#216421,#42322); #24695=LINE('',#216423,#42323); #24696=LINE('',#216424,#42324); #24697=LINE('',#216429,#42325); #24698=LINE('',#216435,#42326); #24699=LINE('',#216439,#42327); #24700=LINE('',#216441,#42328); #24701=LINE('',#216442,#42329); #24702=LINE('',#216445,#42330); #24703=LINE('',#216447,#42331); #24704=LINE('',#216448,#42332); #24705=LINE('',#216451,#42333); #24706=LINE('',#216453,#42334); #24707=LINE('',#216454,#42335); #24708=LINE('',#216457,#42336); #24709=LINE('',#216459,#42337); #24710=LINE('',#216460,#42338); #24711=LINE('',#216463,#42339); #24712=LINE('',#216465,#42340); #24713=LINE('',#216466,#42341); #24714=LINE('',#216469,#42342); #24715=LINE('',#216471,#42343); #24716=LINE('',#216472,#42344); #24717=LINE('',#216475,#42345); #24718=LINE('',#216477,#42346); #24719=LINE('',#216478,#42347); #24720=LINE('',#216481,#42348); #24721=LINE('',#216483,#42349); #24722=LINE('',#216484,#42350); #24723=LINE('',#216487,#42351); #24724=LINE('',#216489,#42352); #24725=LINE('',#216490,#42353); #24726=LINE('',#216493,#42354); #24727=LINE('',#216495,#42355); #24728=LINE('',#216496,#42356); #24729=LINE('',#216499,#42357); #24730=LINE('',#216501,#42358); #24731=LINE('',#216502,#42359); #24732=LINE('',#216507,#42360); #24733=LINE('',#216513,#42361); #24734=LINE('',#216517,#42362); #24735=LINE('',#216519,#42363); #24736=LINE('',#216520,#42364); #24737=LINE('',#216523,#42365); #24738=LINE('',#216525,#42366); #24739=LINE('',#216526,#42367); #24740=LINE('',#216529,#42368); #24741=LINE('',#216531,#42369); #24742=LINE('',#216532,#42370); #24743=LINE('',#216535,#42371); #24744=LINE('',#216537,#42372); #24745=LINE('',#216538,#42373); #24746=LINE('',#216541,#42374); #24747=LINE('',#216543,#42375); #24748=LINE('',#216544,#42376); #24749=LINE('',#216547,#42377); #24750=LINE('',#216549,#42378); #24751=LINE('',#216550,#42379); #24752=LINE('',#216553,#42380); #24753=LINE('',#216555,#42381); #24754=LINE('',#216556,#42382); #24755=LINE('',#216561,#42383); #24756=LINE('',#216567,#42384); #24757=LINE('',#216571,#42385); #24758=LINE('',#216573,#42386); #24759=LINE('',#216574,#42387); #24760=LINE('',#216577,#42388); #24761=LINE('',#216579,#42389); #24762=LINE('',#216580,#42390); #24763=LINE('',#216583,#42391); #24764=LINE('',#216585,#42392); #24765=LINE('',#216586,#42393); #24766=LINE('',#216589,#42394); #24767=LINE('',#216591,#42395); #24768=LINE('',#216592,#42396); #24769=LINE('',#216595,#42397); #24770=LINE('',#216597,#42398); #24771=LINE('',#216598,#42399); #24772=LINE('',#216601,#42400); #24773=LINE('',#216603,#42401); #24774=LINE('',#216604,#42402); #24775=LINE('',#216607,#42403); #24776=LINE('',#216609,#42404); #24777=LINE('',#216610,#42405); #24778=LINE('',#216615,#42406); #24779=LINE('',#216619,#42407); #24780=LINE('',#216621,#42408); #24781=LINE('',#216622,#42409); #24782=LINE('',#216625,#42410); #24783=LINE('',#216627,#42411); #24784=LINE('',#216628,#42412); #24785=LINE('',#216631,#42413); #24786=LINE('',#216633,#42414); #24787=LINE('',#216634,#42415); #24788=LINE('',#216637,#42416); #24789=LINE('',#216639,#42417); #24790=LINE('',#216640,#42418); #24791=LINE('',#216645,#42419); #24792=LINE('',#216651,#42420); #24793=LINE('',#216655,#42421); #24794=LINE('',#216657,#42422); #24795=LINE('',#216658,#42423); #24796=LINE('',#216663,#42424); #24797=LINE('',#216669,#42425); #24798=LINE('',#216673,#42426); #24799=LINE('',#216675,#42427); #24800=LINE('',#216676,#42428); #24801=LINE('',#216679,#42429); #24802=LINE('',#216681,#42430); #24803=LINE('',#216682,#42431); #24804=LINE('',#216685,#42432); #24805=LINE('',#216687,#42433); #24806=LINE('',#216688,#42434); #24807=LINE('',#216691,#42435); #24808=LINE('',#216693,#42436); #24809=LINE('',#216694,#42437); #24810=LINE('',#216699,#42438); #24811=LINE('',#216705,#42439); #24812=LINE('',#216709,#42440); #24813=LINE('',#216711,#42441); #24814=LINE('',#216712,#42442); #24815=LINE('',#216715,#42443); #24816=LINE('',#216717,#42444); #24817=LINE('',#216718,#42445); #24818=LINE('',#216721,#42446); #24819=LINE('',#216723,#42447); #24820=LINE('',#216724,#42448); #24821=LINE('',#216727,#42449); #24822=LINE('',#216729,#42450); #24823=LINE('',#216730,#42451); #24824=LINE('',#216733,#42452); #24825=LINE('',#216735,#42453); #24826=LINE('',#216736,#42454); #24827=LINE('',#216741,#42455); #24828=LINE('',#216745,#42456); #24829=LINE('',#216747,#42457); #24830=LINE('',#216748,#42458); #24831=LINE('',#216753,#42459); #24832=LINE('',#216759,#42460); #24833=LINE('',#216763,#42461); #24834=LINE('',#216765,#42462); #24835=LINE('',#216766,#42463); #24836=LINE('',#216769,#42464); #24837=LINE('',#216771,#42465); #24838=LINE('',#216772,#42466); #24839=LINE('',#216775,#42467); #24840=LINE('',#216777,#42468); #24841=LINE('',#216778,#42469); #24842=LINE('',#216781,#42470); #24843=LINE('',#216783,#42471); #24844=LINE('',#216784,#42472); #24845=LINE('',#216787,#42473); #24846=LINE('',#216789,#42474); #24847=LINE('',#216790,#42475); #24848=LINE('',#216793,#42476); #24849=LINE('',#216795,#42477); #24850=LINE('',#216796,#42478); #24851=LINE('',#216801,#42479); #24852=LINE('',#216805,#42480); #24853=LINE('',#216807,#42481); #24854=LINE('',#216808,#42482); #24855=LINE('',#216811,#42483); #24856=LINE('',#216813,#42484); #24857=LINE('',#216814,#42485); #24858=LINE('',#216817,#42486); #24859=LINE('',#216819,#42487); #24860=LINE('',#216820,#42488); #24861=LINE('',#216823,#42489); #24862=LINE('',#216825,#42490); #24863=LINE('',#216826,#42491); #24864=LINE('',#216831,#42492); #24865=LINE('',#216835,#42493); #24866=LINE('',#216837,#42494); #24867=LINE('',#216838,#42495); #24868=LINE('',#216841,#42496); #24869=LINE('',#216843,#42497); #24870=LINE('',#216844,#42498); #24871=LINE('',#216847,#42499); #24872=LINE('',#216849,#42500); #24873=LINE('',#216850,#42501); #24874=LINE('',#216853,#42502); #24875=LINE('',#216855,#42503); #24876=LINE('',#216856,#42504); #24877=LINE('',#216861,#42505); #24878=LINE('',#216865,#42506); #24879=LINE('',#216867,#42507); #24880=LINE('',#216868,#42508); #24881=LINE('',#216871,#42509); #24882=LINE('',#216873,#42510); #24883=LINE('',#216874,#42511); #24884=LINE('',#216877,#42512); #24885=LINE('',#216879,#42513); #24886=LINE('',#216880,#42514); #24887=LINE('',#216883,#42515); #24888=LINE('',#216885,#42516); #24889=LINE('',#216886,#42517); #24890=LINE('',#216889,#42518); #24891=LINE('',#216891,#42519); #24892=LINE('',#216892,#42520); #24893=LINE('',#216895,#42521); #24894=LINE('',#216897,#42522); #24895=LINE('',#216898,#42523); #24896=LINE('',#216903,#42524); #24897=LINE('',#216907,#42525); #24898=LINE('',#216909,#42526); #24899=LINE('',#216910,#42527); #24900=LINE('',#216913,#42528); #24901=LINE('',#216915,#42529); #24902=LINE('',#216916,#42530); #24903=LINE('',#216921,#42531); #24904=LINE('',#216927,#42532); #24905=LINE('',#216931,#42533); #24906=LINE('',#216933,#42534); #24907=LINE('',#216934,#42535); #24908=LINE('',#216937,#42536); #24909=LINE('',#216939,#42537); #24910=LINE('',#216940,#42538); #24911=LINE('',#216943,#42539); #24912=LINE('',#216945,#42540); #24913=LINE('',#216946,#42541); #24914=LINE('',#216949,#42542); #24915=LINE('',#216951,#42543); #24916=LINE('',#216952,#42544); #24917=LINE('',#216955,#42545); #24918=LINE('',#216957,#42546); #24919=LINE('',#216958,#42547); #24920=LINE('',#216961,#42548); #24921=LINE('',#216963,#42549); #24922=LINE('',#216964,#42550); #24923=LINE('',#216967,#42551); #24924=LINE('',#216969,#42552); #24925=LINE('',#216970,#42553); #24926=LINE('',#216973,#42554); #24927=LINE('',#216975,#42555); #24928=LINE('',#216976,#42556); #24929=LINE('',#216979,#42557); #24930=LINE('',#216981,#42558); #24931=LINE('',#216982,#42559); #24932=LINE('',#216985,#42560); #24933=LINE('',#216987,#42561); #24934=LINE('',#216988,#42562); #24935=LINE('',#216991,#42563); #24936=LINE('',#216993,#42564); #24937=LINE('',#216994,#42565); #24938=LINE('',#216997,#42566); #24939=LINE('',#216999,#42567); #24940=LINE('',#217000,#42568); #24941=LINE('',#217008,#42569); #24942=LINE('',#217010,#42570); #24943=LINE('',#217012,#42571); #24944=LINE('',#217013,#42572); #24945=LINE('',#217018,#42573); #24946=LINE('',#217024,#42574); #24947=LINE('',#217028,#42575); #24948=LINE('',#217030,#42576); #24949=LINE('',#217031,#42577); #24950=LINE('',#217036,#42578); #24951=LINE('',#217042,#42579); #24952=LINE('',#217045,#42580); #24953=LINE('',#217046,#42581); #24954=LINE('',#217052,#42582); #24955=LINE('',#217055,#42583); #24956=LINE('',#217058,#42584); #24957=LINE('',#217060,#42585); #24958=LINE('',#217061,#42586); #24959=LINE('',#217064,#42587); #24960=LINE('',#217066,#42588); #24961=LINE('',#217067,#42589); #24962=LINE('',#217070,#42590); #24963=LINE('',#217072,#42591); #24964=LINE('',#217073,#42592); #24965=LINE('',#217078,#42593); #24966=LINE('',#217084,#42594); #24967=LINE('',#217090,#42595); #24968=LINE('',#217094,#42596); #24969=LINE('',#217096,#42597); #24970=LINE('',#217097,#42598); #24971=LINE('',#217099,#42599); #24972=LINE('',#217100,#42600); #24973=LINE('',#217104,#42601); #24974=LINE('',#217106,#42602); #24975=LINE('',#217108,#42603); #24976=LINE('',#217109,#42604); #24977=LINE('',#217112,#42605); #24978=LINE('',#217114,#42606); #24979=LINE('',#217115,#42607); #24980=LINE('',#217120,#42608); #24981=LINE('',#217126,#42609); #24982=LINE('',#217132,#42610); #24983=LINE('',#217136,#42611); #24984=LINE('',#217138,#42612); #24985=LINE('',#217139,#42613); #24986=LINE('',#217142,#42614); #24987=LINE('',#217144,#42615); #24988=LINE('',#217145,#42616); #24989=LINE('',#217148,#42617); #24990=LINE('',#217150,#42618); #24991=LINE('',#217151,#42619); #24992=LINE('',#217156,#42620); #24993=LINE('',#217162,#42621); #24994=LINE('',#217168,#42622); #24995=LINE('',#217174,#42623); #24996=LINE('',#217178,#42624); #24997=LINE('',#217180,#42625); #24998=LINE('',#217181,#42626); #24999=LINE('',#217186,#42627); #25000=LINE('',#217192,#42628); #25001=LINE('',#217198,#42629); #25002=LINE('',#217202,#42630); #25003=LINE('',#217204,#42631); #25004=LINE('',#217205,#42632); #25005=LINE('',#217208,#42633); #25006=LINE('',#217210,#42634); #25007=LINE('',#217211,#42635); #25008=LINE('',#217214,#42636); #25009=LINE('',#217216,#42637); #25010=LINE('',#217217,#42638); #25011=LINE('',#217220,#42639); #25012=LINE('',#217222,#42640); #25013=LINE('',#217223,#42641); #25014=LINE('',#217226,#42642); #25015=LINE('',#217228,#42643); #25016=LINE('',#217229,#42644); #25017=LINE('',#217234,#42645); #25018=LINE('',#217238,#42646); #25019=LINE('',#217240,#42647); #25020=LINE('',#217241,#42648); #25021=LINE('',#217244,#42649); #25022=LINE('',#217246,#42650); #25023=LINE('',#217247,#42651); #25024=LINE('',#217250,#42652); #25025=LINE('',#217252,#42653); #25026=LINE('',#217253,#42654); #25027=LINE('',#217256,#42655); #25028=LINE('',#217258,#42656); #25029=LINE('',#217259,#42657); #25030=LINE('',#217262,#42658); #25031=LINE('',#217264,#42659); #25032=LINE('',#217265,#42660); #25033=LINE('',#217268,#42661); #25034=LINE('',#217270,#42662); #25035=LINE('',#217271,#42663); #25036=LINE('',#217274,#42664); #25037=LINE('',#217276,#42665); #25038=LINE('',#217277,#42666); #25039=LINE('',#217280,#42667); #25040=LINE('',#217282,#42668); #25041=LINE('',#217283,#42669); #25042=LINE('',#217286,#42670); #25043=LINE('',#217288,#42671); #25044=LINE('',#217289,#42672); #25045=LINE('',#217292,#42673); #25046=LINE('',#217294,#42674); #25047=LINE('',#217295,#42675); #25048=LINE('',#217298,#42676); #25049=LINE('',#217300,#42677); #25050=LINE('',#217301,#42678); #25051=LINE('',#217304,#42679); #25052=LINE('',#217306,#42680); #25053=LINE('',#217307,#42681); #25054=LINE('',#217310,#42682); #25055=LINE('',#217312,#42683); #25056=LINE('',#217313,#42684); #25057=LINE('',#217316,#42685); #25058=LINE('',#217318,#42686); #25059=LINE('',#217319,#42687); #25060=LINE('',#217322,#42688); #25061=LINE('',#217324,#42689); #25062=LINE('',#217325,#42690); #25063=LINE('',#217328,#42691); #25064=LINE('',#217330,#42692); #25065=LINE('',#217331,#42693); #25066=LINE('',#217334,#42694); #25067=LINE('',#217336,#42695); #25068=LINE('',#217337,#42696); #25069=LINE('',#217340,#42697); #25070=LINE('',#217342,#42698); #25071=LINE('',#217343,#42699); #25072=LINE('',#217348,#42700); #25073=LINE('',#217352,#42701); #25074=LINE('',#217354,#42702); #25075=LINE('',#217355,#42703); #25076=LINE('',#217358,#42704); #25077=LINE('',#217360,#42705); #25078=LINE('',#217361,#42706); #25079=LINE('',#217364,#42707); #25080=LINE('',#217366,#42708); #25081=LINE('',#217367,#42709); #25082=LINE('',#217372,#42710); #25083=LINE('',#217376,#42711); #25084=LINE('',#217378,#42712); #25085=LINE('',#217379,#42713); #25086=LINE('',#217382,#42714); #25087=LINE('',#217384,#42715); #25088=LINE('',#217385,#42716); #25089=LINE('',#217388,#42717); #25090=LINE('',#217390,#42718); #25091=LINE('',#217391,#42719); #25092=LINE('',#217394,#42720); #25093=LINE('',#217396,#42721); #25094=LINE('',#217397,#42722); #25095=LINE('',#217400,#42723); #25096=LINE('',#217402,#42724); #25097=LINE('',#217403,#42725); #25098=LINE('',#217406,#42726); #25099=LINE('',#217408,#42727); #25100=LINE('',#217409,#42728); #25101=LINE('',#217412,#42729); #25102=LINE('',#217414,#42730); #25103=LINE('',#217415,#42731); #25104=LINE('',#217418,#42732); #25105=LINE('',#217420,#42733); #25106=LINE('',#217421,#42734); #25107=LINE('',#217424,#42735); #25108=LINE('',#217426,#42736); #25109=LINE('',#217427,#42737); #25110=LINE('',#217430,#42738); #25111=LINE('',#217432,#42739); #25112=LINE('',#217433,#42740); #25113=LINE('',#217436,#42741); #25114=LINE('',#217438,#42742); #25115=LINE('',#217439,#42743); #25116=LINE('',#217442,#42744); #25117=LINE('',#217444,#42745); #25118=LINE('',#217445,#42746); #25119=LINE('',#217448,#42747); #25120=LINE('',#217450,#42748); #25121=LINE('',#217451,#42749); #25122=LINE('',#217454,#42750); #25123=LINE('',#217456,#42751); #25124=LINE('',#217457,#42752); #25125=LINE('',#217460,#42753); #25126=LINE('',#217462,#42754); #25127=LINE('',#217463,#42755); #25128=LINE('',#217466,#42756); #25129=LINE('',#217468,#42757); #25130=LINE('',#217469,#42758); #25131=LINE('',#217471,#42759); #25132=LINE('',#217472,#42760); #25133=LINE('',#217477,#42761); #25134=LINE('',#217479,#42762); #25135=LINE('',#217481,#42763); #25136=LINE('',#217482,#42764); #25137=LINE('',#217485,#42765); #25138=LINE('',#217487,#42766); #25139=LINE('',#217488,#42767); #25140=LINE('',#217491,#42768); #25141=LINE('',#217493,#42769); #25142=LINE('',#217494,#42770); #25143=LINE('',#217497,#42771); #25144=LINE('',#217499,#42772); #25145=LINE('',#217500,#42773); #25146=LINE('',#217503,#42774); #25147=LINE('',#217505,#42775); #25148=LINE('',#217506,#42776); #25149=LINE('',#217509,#42777); #25150=LINE('',#217511,#42778); #25151=LINE('',#217512,#42779); #25152=LINE('',#217515,#42780); #25153=LINE('',#217517,#42781); #25154=LINE('',#217518,#42782); #25155=LINE('',#217521,#42783); #25156=LINE('',#217523,#42784); #25157=LINE('',#217524,#42785); #25158=LINE('',#217527,#42786); #25159=LINE('',#217529,#42787); #25160=LINE('',#217530,#42788); #25161=LINE('',#217533,#42789); #25162=LINE('',#217535,#42790); #25163=LINE('',#217536,#42791); #25164=LINE('',#217539,#42792); #25165=LINE('',#217541,#42793); #25166=LINE('',#217542,#42794); #25167=LINE('',#217550,#42795); #25168=LINE('',#217552,#42796); #25169=LINE('',#217554,#42797); #25170=LINE('',#217555,#42798); #25171=LINE('',#217558,#42799); #25172=LINE('',#217560,#42800); #25173=LINE('',#217561,#42801); #25174=LINE('',#217564,#42802); #25175=LINE('',#217566,#42803); #25176=LINE('',#217567,#42804); #25177=LINE('',#217569,#42805); #25178=LINE('',#217570,#42806); #25179=LINE('',#217577,#42807); #25180=LINE('',#217580,#42808); #25181=LINE('',#217583,#42809); #25182=LINE('',#217585,#42810); #25183=LINE('',#217586,#42811); #25184=LINE('',#217591,#42812); #25185=LINE('',#217597,#42813); #25186=LINE('',#217603,#42814); #25187=LINE('',#217609,#42815); #25188=LINE('',#217615,#42816); #25189=LINE('',#217621,#42817); #25190=LINE('',#217627,#42818); #25191=LINE('',#217633,#42819); #25192=LINE('',#217641,#42820); #25193=LINE('',#217643,#42821); #25194=LINE('',#217645,#42822); #25195=LINE('',#217646,#42823); #25196=LINE('',#217649,#42824); #25197=LINE('',#217651,#42825); #25198=LINE('',#217652,#42826); #25199=LINE('',#217655,#42827); #25200=LINE('',#217657,#42828); #25201=LINE('',#217658,#42829); #25202=LINE('',#217661,#42830); #25203=LINE('',#217663,#42831); #25204=LINE('',#217664,#42832); #25205=LINE('',#217669,#42833); #25206=LINE('',#217673,#42834); #25207=LINE('',#217675,#42835); #25208=LINE('',#217676,#42836); #25209=LINE('',#217679,#42837); #25210=LINE('',#217681,#42838); #25211=LINE('',#217682,#42839); #25212=LINE('',#217685,#42840); #25213=LINE('',#217687,#42841); #25214=LINE('',#217688,#42842); #25215=LINE('',#217691,#42843); #25216=LINE('',#217693,#42844); #25217=LINE('',#217694,#42845); #25218=LINE('',#217697,#42846); #25219=LINE('',#217699,#42847); #25220=LINE('',#217700,#42848); #25221=LINE('',#217703,#42849); #25222=LINE('',#217705,#42850); #25223=LINE('',#217706,#42851); #25224=LINE('',#217711,#42852); #25225=LINE('',#217717,#42853); #25226=LINE('',#217721,#42854); #25227=LINE('',#217723,#42855); #25228=LINE('',#217724,#42856); #25229=LINE('',#217727,#42857); #25230=LINE('',#217729,#42858); #25231=LINE('',#217730,#42859); #25232=LINE('',#217733,#42860); #25233=LINE('',#217735,#42861); #25234=LINE('',#217736,#42862); #25235=LINE('',#217739,#42863); #25236=LINE('',#217741,#42864); #25237=LINE('',#217742,#42865); #25238=LINE('',#217745,#42866); #25239=LINE('',#217747,#42867); #25240=LINE('',#217748,#42868); #25241=LINE('',#217751,#42869); #25242=LINE('',#217753,#42870); #25243=LINE('',#217754,#42871); #25244=LINE('',#217759,#42872); #25245=LINE('',#217765,#42873); #25246=LINE('',#217771,#42874); #25247=LINE('',#217775,#42875); #25248=LINE('',#217777,#42876); #25249=LINE('',#217778,#42877); #25250=LINE('',#217783,#42878); #25251=LINE('',#217789,#42879); #25252=LINE('',#217795,#42880); #25253=LINE('',#217799,#42881); #25254=LINE('',#217801,#42882); #25255=LINE('',#217802,#42883); #25256=LINE('',#217805,#42884); #25257=LINE('',#217807,#42885); #25258=LINE('',#217808,#42886); #25259=LINE('',#217813,#42887); #25260=LINE('',#217819,#42888); #25261=LINE('',#217825,#42889); #25262=LINE('',#217831,#42890); #25263=LINE('',#217837,#42891); #25264=LINE('',#217843,#42892); #25265=LINE('',#217846,#42893); #25266=LINE('',#217847,#42894); #25267=LINE('',#217852,#42895); #25268=LINE('',#217854,#42896); #25269=LINE('',#217856,#42897); #25270=LINE('',#217857,#42898); #25271=LINE('',#217860,#42899); #25272=LINE('',#217862,#42900); #25273=LINE('',#217863,#42901); #25274=LINE('',#217866,#42902); #25275=LINE('',#217868,#42903); #25276=LINE('',#217869,#42904); #25277=LINE('',#217872,#42905); #25278=LINE('',#217874,#42906); #25279=LINE('',#217875,#42907); #25280=LINE('',#217878,#42908); #25281=LINE('',#217880,#42909); #25282=LINE('',#217881,#42910); #25283=LINE('',#217884,#42911); #25284=LINE('',#217886,#42912); #25285=LINE('',#217887,#42913); #25286=LINE('',#217890,#42914); #25287=LINE('',#217892,#42915); #25288=LINE('',#217893,#42916); #25289=LINE('',#217895,#42917); #25290=LINE('',#217896,#42918); #25291=LINE('',#217901,#42919); #25292=LINE('',#217903,#42920); #25293=LINE('',#217905,#42921); #25294=LINE('',#217906,#42922); #25295=LINE('',#217909,#42923); #25296=LINE('',#217911,#42924); #25297=LINE('',#217912,#42925); #25298=LINE('',#217917,#42926); #25299=LINE('',#217923,#42927); #25300=LINE('',#217927,#42928); #25301=LINE('',#217929,#42929); #25302=LINE('',#217930,#42930); #25303=LINE('',#217935,#42931); #25304=LINE('',#217941,#42932); #25305=LINE('',#217945,#42933); #25306=LINE('',#217947,#42934); #25307=LINE('',#217948,#42935); #25308=LINE('',#217953,#42936); #25309=LINE('',#217959,#42937); #25310=LINE('',#217963,#42938); #25311=LINE('',#217965,#42939); #25312=LINE('',#217966,#42940); #25313=LINE('',#217969,#42941); #25314=LINE('',#217971,#42942); #25315=LINE('',#217972,#42943); #25316=LINE('',#217975,#42944); #25317=LINE('',#217977,#42945); #25318=LINE('',#217978,#42946); #25319=LINE('',#217983,#42947); #25320=LINE('',#217986,#42948); #25321=LINE('',#217987,#42949); #25322=LINE('',#217992,#42950); #25323=LINE('',#217994,#42951); #25324=LINE('',#217996,#42952); #25325=LINE('',#217997,#42953); #25326=LINE('',#218000,#42954); #25327=LINE('',#218002,#42955); #25328=LINE('',#218003,#42956); #25329=LINE('',#218006,#42957); #25330=LINE('',#218008,#42958); #25331=LINE('',#218009,#42959); #25332=LINE('',#218012,#42960); #25333=LINE('',#218014,#42961); #25334=LINE('',#218015,#42962); #25335=LINE('',#218018,#42963); #25336=LINE('',#218020,#42964); #25337=LINE('',#218021,#42965); #25338=LINE('',#218024,#42966); #25339=LINE('',#218026,#42967); #25340=LINE('',#218027,#42968); #25341=LINE('',#218030,#42969); #25342=LINE('',#218032,#42970); #25343=LINE('',#218033,#42971); #25344=LINE('',#218036,#42972); #25345=LINE('',#218038,#42973); #25346=LINE('',#218039,#42974); #25347=LINE('',#218044,#42975); #25348=LINE('',#218048,#42976); #25349=LINE('',#218050,#42977); #25350=LINE('',#218051,#42978); #25351=LINE('',#218056,#42979); #25352=LINE('',#218060,#42980); #25353=LINE('',#218062,#42981); #25354=LINE('',#218063,#42982); #25355=LINE('',#218066,#42983); #25356=LINE('',#218068,#42984); #25357=LINE('',#218069,#42985); #25358=LINE('',#218072,#42986); #25359=LINE('',#218074,#42987); #25360=LINE('',#218075,#42988); #25361=LINE('',#218078,#42989); #25362=LINE('',#218080,#42990); #25363=LINE('',#218081,#42991); #25364=LINE('',#218084,#42992); #25365=LINE('',#218086,#42993); #25366=LINE('',#218087,#42994); #25367=LINE('',#218090,#42995); #25368=LINE('',#218092,#42996); #25369=LINE('',#218093,#42997); #25370=LINE('',#218096,#42998); #25371=LINE('',#218098,#42999); #25372=LINE('',#218099,#43000); #25373=LINE('',#218102,#43001); #25374=LINE('',#218104,#43002); #25375=LINE('',#218105,#43003); #25376=LINE('',#218110,#43004); #25377=LINE('',#218116,#43005); #25378=LINE('',#218122,#43006); #25379=LINE('',#218126,#43007); #25380=LINE('',#218128,#43008); #25381=LINE('',#218129,#43009); #25382=LINE('',#218132,#43010); #25383=LINE('',#218134,#43011); #25384=LINE('',#218135,#43012); #25385=LINE('',#218138,#43013); #25386=LINE('',#218140,#43014); #25387=LINE('',#218141,#43015); #25388=LINE('',#218144,#43016); #25389=LINE('',#218146,#43017); #25390=LINE('',#218147,#43018); #25391=LINE('',#218150,#43019); #25392=LINE('',#218152,#43020); #25393=LINE('',#218153,#43021); #25394=LINE('',#218156,#43022); #25395=LINE('',#218158,#43023); #25396=LINE('',#218159,#43024); #25397=LINE('',#218162,#43025); #25398=LINE('',#218164,#43026); #25399=LINE('',#218165,#43027); #25400=LINE('',#218168,#43028); #25401=LINE('',#218170,#43029); #25402=LINE('',#218171,#43030); #25403=LINE('',#218174,#43031); #25404=LINE('',#218176,#43032); #25405=LINE('',#218177,#43033); #25406=LINE('',#218180,#43034); #25407=LINE('',#218182,#43035); #25408=LINE('',#218183,#43036); #25409=LINE('',#218186,#43037); #25410=LINE('',#218188,#43038); #25411=LINE('',#218189,#43039); #25412=LINE('',#218192,#43040); #25413=LINE('',#218194,#43041); #25414=LINE('',#218195,#43042); #25415=LINE('',#218198,#43043); #25416=LINE('',#218200,#43044); #25417=LINE('',#218201,#43045); #25418=LINE('',#218204,#43046); #25419=LINE('',#218206,#43047); #25420=LINE('',#218207,#43048); #25421=LINE('',#218210,#43049); #25422=LINE('',#218212,#43050); #25423=LINE('',#218213,#43051); #25424=LINE('',#218216,#43052); #25425=LINE('',#218218,#43053); #25426=LINE('',#218219,#43054); #25427=LINE('',#218222,#43055); #25428=LINE('',#218224,#43056); #25429=LINE('',#218225,#43057); #25430=LINE('',#218228,#43058); #25431=LINE('',#218230,#43059); #25432=LINE('',#218231,#43060); #25433=LINE('',#218236,#43061); #25434=LINE('',#218240,#43062); #25435=LINE('',#218242,#43063); #25436=LINE('',#218243,#43064); #25437=LINE('',#218246,#43065); #25438=LINE('',#218248,#43066); #25439=LINE('',#218249,#43067); #25440=LINE('',#218252,#43068); #25441=LINE('',#218254,#43069); #25442=LINE('',#218255,#43070); #25443=LINE('',#218260,#43071); #25444=LINE('',#218264,#43072); #25445=LINE('',#218266,#43073); #25446=LINE('',#218267,#43074); #25447=LINE('',#218270,#43075); #25448=LINE('',#218272,#43076); #25449=LINE('',#218273,#43077); #25450=LINE('',#218276,#43078); #25451=LINE('',#218278,#43079); #25452=LINE('',#218279,#43080); #25453=LINE('',#218282,#43081); #25454=LINE('',#218284,#43082); #25455=LINE('',#218285,#43083); #25456=LINE('',#218288,#43084); #25457=LINE('',#218290,#43085); #25458=LINE('',#218291,#43086); #25459=LINE('',#218294,#43087); #25460=LINE('',#218296,#43088); #25461=LINE('',#218297,#43089); #25462=LINE('',#218300,#43090); #25463=LINE('',#218302,#43091); #25464=LINE('',#218303,#43092); #25465=LINE('',#218306,#43093); #25466=LINE('',#218308,#43094); #25467=LINE('',#218309,#43095); #25468=LINE('',#218312,#43096); #25469=LINE('',#218314,#43097); #25470=LINE('',#218315,#43098); #25471=LINE('',#218318,#43099); #25472=LINE('',#218320,#43100); #25473=LINE('',#218321,#43101); #25474=LINE('',#218324,#43102); #25475=LINE('',#218326,#43103); #25476=LINE('',#218327,#43104); #25477=LINE('',#218330,#43105); #25478=LINE('',#218332,#43106); #25479=LINE('',#218333,#43107); #25480=LINE('',#218336,#43108); #25481=LINE('',#218338,#43109); #25482=LINE('',#218339,#43110); #25483=LINE('',#218342,#43111); #25484=LINE('',#218344,#43112); #25485=LINE('',#218345,#43113); #25486=LINE('',#218348,#43114); #25487=LINE('',#218350,#43115); #25488=LINE('',#218351,#43116); #25489=LINE('',#218354,#43117); #25490=LINE('',#218356,#43118); #25491=LINE('',#218357,#43119); #25492=LINE('',#218360,#43120); #25493=LINE('',#218362,#43121); #25494=LINE('',#218363,#43122); #25495=LINE('',#218366,#43123); #25496=LINE('',#218368,#43124); #25497=LINE('',#218369,#43125); #25498=LINE('',#218372,#43126); #25499=LINE('',#218374,#43127); #25500=LINE('',#218375,#43128); #25501=LINE('',#218378,#43129); #25502=LINE('',#218380,#43130); #25503=LINE('',#218381,#43131); #25504=LINE('',#218384,#43132); #25505=LINE('',#218386,#43133); #25506=LINE('',#218387,#43134); #25507=LINE('',#218390,#43135); #25508=LINE('',#218392,#43136); #25509=LINE('',#218393,#43137); #25510=LINE('',#218396,#43138); #25511=LINE('',#218398,#43139); #25512=LINE('',#218399,#43140); #25513=LINE('',#218402,#43141); #25514=LINE('',#218404,#43142); #25515=LINE('',#218405,#43143); #25516=LINE('',#218408,#43144); #25517=LINE('',#218410,#43145); #25518=LINE('',#218411,#43146); #25519=LINE('',#218416,#43147); #25520=LINE('',#218420,#43148); #25521=LINE('',#218422,#43149); #25522=LINE('',#218423,#43150); #25523=LINE('',#218428,#43151); #25524=LINE('',#218434,#43152); #25525=LINE('',#218438,#43153); #25526=LINE('',#218440,#43154); #25527=LINE('',#218441,#43155); #25528=LINE('',#218444,#43156); #25529=LINE('',#218446,#43157); #25530=LINE('',#218447,#43158); #25531=LINE('',#218450,#43159); #25532=LINE('',#218452,#43160); #25533=LINE('',#218453,#43161); #25534=LINE('',#218456,#43162); #25535=LINE('',#218458,#43163); #25536=LINE('',#218459,#43164); #25537=LINE('',#218462,#43165); #25538=LINE('',#218464,#43166); #25539=LINE('',#218465,#43167); #25540=LINE('',#218468,#43168); #25541=LINE('',#218470,#43169); #25542=LINE('',#218471,#43170); #25543=LINE('',#218474,#43171); #25544=LINE('',#218476,#43172); #25545=LINE('',#218477,#43173); #25546=LINE('',#218480,#43174); #25547=LINE('',#218482,#43175); #25548=LINE('',#218483,#43176); #25549=LINE('',#218486,#43177); #25550=LINE('',#218488,#43178); #25551=LINE('',#218489,#43179); #25552=LINE('',#218492,#43180); #25553=LINE('',#218494,#43181); #25554=LINE('',#218495,#43182); #25555=LINE('',#218498,#43183); #25556=LINE('',#218500,#43184); #25557=LINE('',#218501,#43185); #25558=LINE('',#218506,#43186); #25559=LINE('',#218510,#43187); #25560=LINE('',#218512,#43188); #25561=LINE('',#218513,#43189); #25562=LINE('',#218516,#43190); #25563=LINE('',#218518,#43191); #25564=LINE('',#218519,#43192); #25565=LINE('',#218522,#43193); #25566=LINE('',#218524,#43194); #25567=LINE('',#218525,#43195); #25568=LINE('',#218528,#43196); #25569=LINE('',#218530,#43197); #25570=LINE('',#218531,#43198); #25571=LINE('',#218534,#43199); #25572=LINE('',#218536,#43200); #25573=LINE('',#218537,#43201); #25574=LINE('',#218540,#43202); #25575=LINE('',#218542,#43203); #25576=LINE('',#218543,#43204); #25577=LINE('',#218546,#43205); #25578=LINE('',#218548,#43206); #25579=LINE('',#218549,#43207); #25580=LINE('',#218552,#43208); #25581=LINE('',#218554,#43209); #25582=LINE('',#218555,#43210); #25583=LINE('',#218558,#43211); #25584=LINE('',#218560,#43212); #25585=LINE('',#218561,#43213); #25586=LINE('',#218564,#43214); #25587=LINE('',#218566,#43215); #25588=LINE('',#218567,#43216); #25589=LINE('',#218570,#43217); #25590=LINE('',#218572,#43218); #25591=LINE('',#218573,#43219); #25592=LINE('',#218578,#43220); #25593=LINE('',#218582,#43221); #25594=LINE('',#218584,#43222); #25595=LINE('',#218585,#43223); #25596=LINE('',#218588,#43224); #25597=LINE('',#218590,#43225); #25598=LINE('',#218591,#43226); #25599=LINE('',#218596,#43227); #25600=LINE('',#218600,#43228); #25601=LINE('',#218602,#43229); #25602=LINE('',#218603,#43230); #25603=LINE('',#218606,#43231); #25604=LINE('',#218608,#43232); #25605=LINE('',#218609,#43233); #25606=LINE('',#218612,#43234); #25607=LINE('',#218614,#43235); #25608=LINE('',#218615,#43236); #25609=LINE('',#218618,#43237); #25610=LINE('',#218620,#43238); #25611=LINE('',#218621,#43239); #25612=LINE('',#218624,#43240); #25613=LINE('',#218626,#43241); #25614=LINE('',#218627,#43242); #25615=LINE('',#218630,#43243); #25616=LINE('',#218632,#43244); #25617=LINE('',#218633,#43245); #25618=LINE('',#218636,#43246); #25619=LINE('',#218638,#43247); #25620=LINE('',#218639,#43248); #25621=LINE('',#218642,#43249); #25622=LINE('',#218644,#43250); #25623=LINE('',#218645,#43251); #25624=LINE('',#218648,#43252); #25625=LINE('',#218650,#43253); #25626=LINE('',#218651,#43254); #25627=LINE('',#218654,#43255); #25628=LINE('',#218656,#43256); #25629=LINE('',#218657,#43257); #25630=LINE('',#218660,#43258); #25631=LINE('',#218662,#43259); #25632=LINE('',#218663,#43260); #25633=LINE('',#218666,#43261); #25634=LINE('',#218668,#43262); #25635=LINE('',#218669,#43263); #25636=LINE('',#218672,#43264); #25637=LINE('',#218674,#43265); #25638=LINE('',#218675,#43266); #25639=LINE('',#218678,#43267); #25640=LINE('',#218680,#43268); #25641=LINE('',#218681,#43269); #25642=LINE('',#218684,#43270); #25643=LINE('',#218686,#43271); #25644=LINE('',#218687,#43272); #25645=LINE('',#218690,#43273); #25646=LINE('',#218692,#43274); #25647=LINE('',#218693,#43275); #25648=LINE('',#218696,#43276); #25649=LINE('',#218698,#43277); #25650=LINE('',#218699,#43278); #25651=LINE('',#218702,#43279); #25652=LINE('',#218704,#43280); #25653=LINE('',#218705,#43281); #25654=LINE('',#218708,#43282); #25655=LINE('',#218710,#43283); #25656=LINE('',#218711,#43284); #25657=LINE('',#218714,#43285); #25658=LINE('',#218716,#43286); #25659=LINE('',#218717,#43287); #25660=LINE('',#218720,#43288); #25661=LINE('',#218722,#43289); #25662=LINE('',#218723,#43290); #25663=LINE('',#218726,#43291); #25664=LINE('',#218728,#43292); #25665=LINE('',#218729,#43293); #25666=LINE('',#218732,#43294); #25667=LINE('',#218734,#43295); #25668=LINE('',#218735,#43296); #25669=LINE('',#218738,#43297); #25670=LINE('',#218740,#43298); #25671=LINE('',#218741,#43299); #25672=LINE('',#218744,#43300); #25673=LINE('',#218746,#43301); #25674=LINE('',#218747,#43302); #25675=LINE('',#218752,#43303); #25676=LINE('',#218756,#43304); #25677=LINE('',#218758,#43305); #25678=LINE('',#218759,#43306); #25679=LINE('',#218764,#43307); #25680=LINE('',#218770,#43308); #25681=LINE('',#218774,#43309); #25682=LINE('',#218776,#43310); #25683=LINE('',#218777,#43311); #25684=LINE('',#218782,#43312); #25685=LINE('',#218786,#43313); #25686=LINE('',#218788,#43314); #25687=LINE('',#218789,#43315); #25688=LINE('',#218792,#43316); #25689=LINE('',#218794,#43317); #25690=LINE('',#218795,#43318); #25691=LINE('',#218798,#43319); #25692=LINE('',#218800,#43320); #25693=LINE('',#218801,#43321); #25694=LINE('',#218804,#43322); #25695=LINE('',#218806,#43323); #25696=LINE('',#218807,#43324); #25697=LINE('',#218810,#43325); #25698=LINE('',#218812,#43326); #25699=LINE('',#218813,#43327); #25700=LINE('',#218816,#43328); #25701=LINE('',#218818,#43329); #25702=LINE('',#218819,#43330); #25703=LINE('',#218822,#43331); #25704=LINE('',#218824,#43332); #25705=LINE('',#218825,#43333); #25706=LINE('',#218828,#43334); #25707=LINE('',#218830,#43335); #25708=LINE('',#218831,#43336); #25709=LINE('',#218834,#43337); #25710=LINE('',#218836,#43338); #25711=LINE('',#218837,#43339); #25712=LINE('',#218840,#43340); #25713=LINE('',#218842,#43341); #25714=LINE('',#218843,#43342); #25715=LINE('',#218846,#43343); #25716=LINE('',#218848,#43344); #25717=LINE('',#218849,#43345); #25718=LINE('',#218852,#43346); #25719=LINE('',#218854,#43347); #25720=LINE('',#218855,#43348); #25721=LINE('',#218858,#43349); #25722=LINE('',#218860,#43350); #25723=LINE('',#218861,#43351); #25724=LINE('',#218864,#43352); #25725=LINE('',#218866,#43353); #25726=LINE('',#218867,#43354); #25727=LINE('',#218870,#43355); #25728=LINE('',#218872,#43356); #25729=LINE('',#218873,#43357); #25730=LINE('',#218876,#43358); #25731=LINE('',#218878,#43359); #25732=LINE('',#218879,#43360); #25733=LINE('',#218882,#43361); #25734=LINE('',#218884,#43362); #25735=LINE('',#218885,#43363); #25736=LINE('',#218888,#43364); #25737=LINE('',#218890,#43365); #25738=LINE('',#218891,#43366); #25739=LINE('',#218894,#43367); #25740=LINE('',#218896,#43368); #25741=LINE('',#218897,#43369); #25742=LINE('',#218902,#43370); #25743=LINE('',#218906,#43371); #25744=LINE('',#218908,#43372); #25745=LINE('',#218909,#43373); #25746=LINE('',#218914,#43374); #25747=LINE('',#218918,#43375); #25748=LINE('',#218920,#43376); #25749=LINE('',#218921,#43377); #25750=LINE('',#218924,#43378); #25751=LINE('',#218926,#43379); #25752=LINE('',#218927,#43380); #25753=LINE('',#218930,#43381); #25754=LINE('',#218932,#43382); #25755=LINE('',#218933,#43383); #25756=LINE('',#218936,#43384); #25757=LINE('',#218938,#43385); #25758=LINE('',#218939,#43386); #25759=LINE('',#218942,#43387); #25760=LINE('',#218944,#43388); #25761=LINE('',#218945,#43389); #25762=LINE('',#218948,#43390); #25763=LINE('',#218950,#43391); #25764=LINE('',#218951,#43392); #25765=LINE('',#218954,#43393); #25766=LINE('',#218956,#43394); #25767=LINE('',#218957,#43395); #25768=LINE('',#218960,#43396); #25769=LINE('',#218962,#43397); #25770=LINE('',#218963,#43398); #25771=LINE('',#218966,#43399); #25772=LINE('',#218968,#43400); #25773=LINE('',#218969,#43401); #25774=LINE('',#218972,#43402); #25775=LINE('',#218974,#43403); #25776=LINE('',#218975,#43404); #25777=LINE('',#218978,#43405); #25778=LINE('',#218980,#43406); #25779=LINE('',#218981,#43407); #25780=LINE('',#218984,#43408); #25781=LINE('',#218986,#43409); #25782=LINE('',#218987,#43410); #25783=LINE('',#218990,#43411); #25784=LINE('',#218992,#43412); #25785=LINE('',#218993,#43413); #25786=LINE('',#218998,#43414); #25787=LINE('',#219002,#43415); #25788=LINE('',#219004,#43416); #25789=LINE('',#219005,#43417); #25790=LINE('',#219007,#43418); #25791=LINE('',#219008,#43419); #25792=LINE('',#219013,#43420); #25793=LINE('',#219015,#43421); #25794=LINE('',#219017,#43422); #25795=LINE('',#219018,#43423); #25796=LINE('',#219021,#43424); #25797=LINE('',#219023,#43425); #25798=LINE('',#219024,#43426); #25799=LINE('',#219027,#43427); #25800=LINE('',#219029,#43428); #25801=LINE('',#219030,#43429); #25802=LINE('',#219033,#43430); #25803=LINE('',#219035,#43431); #25804=LINE('',#219036,#43432); #25805=LINE('',#219039,#43433); #25806=LINE('',#219041,#43434); #25807=LINE('',#219042,#43435); #25808=LINE('',#219045,#43436); #25809=LINE('',#219047,#43437); #25810=LINE('',#219048,#43438); #25811=LINE('',#219051,#43439); #25812=LINE('',#219053,#43440); #25813=LINE('',#219054,#43441); #25814=LINE('',#219057,#43442); #25815=LINE('',#219059,#43443); #25816=LINE('',#219060,#43444); #25817=LINE('',#219063,#43445); #25818=LINE('',#219065,#43446); #25819=LINE('',#219066,#43447); #25820=LINE('',#219069,#43448); #25821=LINE('',#219071,#43449); #25822=LINE('',#219072,#43450); #25823=LINE('',#219075,#43451); #25824=LINE('',#219077,#43452); #25825=LINE('',#219078,#43453); #25826=LINE('',#219081,#43454); #25827=LINE('',#219083,#43455); #25828=LINE('',#219084,#43456); #25829=LINE('',#219087,#43457); #25830=LINE('',#219089,#43458); #25831=LINE('',#219090,#43459); #25832=LINE('',#219093,#43460); #25833=LINE('',#219095,#43461); #25834=LINE('',#219096,#43462); #25835=LINE('',#219101,#43463); #25836=LINE('',#219105,#43464); #25837=LINE('',#219107,#43465); #25838=LINE('',#219108,#43466); #25839=LINE('',#219111,#43467); #25840=LINE('',#219113,#43468); #25841=LINE('',#219114,#43469); #25842=LINE('',#219117,#43470); #25843=LINE('',#219119,#43471); #25844=LINE('',#219120,#43472); #25845=LINE('',#219123,#43473); #25846=LINE('',#219125,#43474); #25847=LINE('',#219126,#43475); #25848=LINE('',#219129,#43476); #25849=LINE('',#219131,#43477); #25850=LINE('',#219132,#43478); #25851=LINE('',#219135,#43479); #25852=LINE('',#219137,#43480); #25853=LINE('',#219138,#43481); #25854=LINE('',#219141,#43482); #25855=LINE('',#219143,#43483); #25856=LINE('',#219144,#43484); #25857=LINE('',#219147,#43485); #25858=LINE('',#219149,#43486); #25859=LINE('',#219150,#43487); #25860=LINE('',#219153,#43488); #25861=LINE('',#219155,#43489); #25862=LINE('',#219156,#43490); #25863=LINE('',#219159,#43491); #25864=LINE('',#219161,#43492); #25865=LINE('',#219162,#43493); #25866=LINE('',#219165,#43494); #25867=LINE('',#219167,#43495); #25868=LINE('',#219168,#43496); #25869=LINE('',#219171,#43497); #25870=LINE('',#219173,#43498); #25871=LINE('',#219174,#43499); #25872=LINE('',#219179,#43500); #25873=LINE('',#219183,#43501); #25874=LINE('',#219185,#43502); #25875=LINE('',#219186,#43503); #25876=LINE('',#219189,#43504); #25877=LINE('',#219191,#43505); #25878=LINE('',#219192,#43506); #25879=LINE('',#219195,#43507); #25880=LINE('',#219197,#43508); #25881=LINE('',#219198,#43509); #25882=LINE('',#219201,#43510); #25883=LINE('',#219203,#43511); #25884=LINE('',#219204,#43512); #25885=LINE('',#219207,#43513); #25886=LINE('',#219209,#43514); #25887=LINE('',#219210,#43515); #25888=LINE('',#219213,#43516); #25889=LINE('',#219215,#43517); #25890=LINE('',#219216,#43518); #25891=LINE('',#219219,#43519); #25892=LINE('',#219221,#43520); #25893=LINE('',#219222,#43521); #25894=LINE('',#219225,#43522); #25895=LINE('',#219227,#43523); #25896=LINE('',#219228,#43524); #25897=LINE('',#219231,#43525); #25898=LINE('',#219233,#43526); #25899=LINE('',#219234,#43527); #25900=LINE('',#219237,#43528); #25901=LINE('',#219239,#43529); #25902=LINE('',#219240,#43530); #25903=LINE('',#219243,#43531); #25904=LINE('',#219245,#43532); #25905=LINE('',#219246,#43533); #25906=LINE('',#219251,#43534); #25907=LINE('',#219255,#43535); #25908=LINE('',#219257,#43536); #25909=LINE('',#219258,#43537); #25910=LINE('',#219263,#43538); #25911=LINE('',#219267,#43539); #25912=LINE('',#219269,#43540); #25913=LINE('',#219270,#43541); #25914=LINE('',#219273,#43542); #25915=LINE('',#219275,#43543); #25916=LINE('',#219276,#43544); #25917=LINE('',#219279,#43545); #25918=LINE('',#219281,#43546); #25919=LINE('',#219282,#43547); #25920=LINE('',#219285,#43548); #25921=LINE('',#219287,#43549); #25922=LINE('',#219288,#43550); #25923=LINE('',#219291,#43551); #25924=LINE('',#219293,#43552); #25925=LINE('',#219294,#43553); #25926=LINE('',#219299,#43554); #25927=LINE('',#219303,#43555); #25928=LINE('',#219305,#43556); #25929=LINE('',#219306,#43557); #25930=LINE('',#219309,#43558); #25931=LINE('',#219311,#43559); #25932=LINE('',#219312,#43560); #25933=LINE('',#219315,#43561); #25934=LINE('',#219317,#43562); #25935=LINE('',#219318,#43563); #25936=LINE('',#219321,#43564); #25937=LINE('',#219323,#43565); #25938=LINE('',#219324,#43566); #25939=LINE('',#219327,#43567); #25940=LINE('',#219329,#43568); #25941=LINE('',#219330,#43569); #25942=LINE('',#219333,#43570); #25943=LINE('',#219335,#43571); #25944=LINE('',#219336,#43572); #25945=LINE('',#219339,#43573); #25946=LINE('',#219341,#43574); #25947=LINE('',#219342,#43575); #25948=LINE('',#219345,#43576); #25949=LINE('',#219347,#43577); #25950=LINE('',#219348,#43578); #25951=LINE('',#219351,#43579); #25952=LINE('',#219353,#43580); #25953=LINE('',#219354,#43581); #25954=LINE('',#219357,#43582); #25955=LINE('',#219359,#43583); #25956=LINE('',#219360,#43584); #25957=LINE('',#219363,#43585); #25958=LINE('',#219365,#43586); #25959=LINE('',#219366,#43587); #25960=LINE('',#219369,#43588); #25961=LINE('',#219371,#43589); #25962=LINE('',#219372,#43590); #25963=LINE('',#219375,#43591); #25964=LINE('',#219377,#43592); #25965=LINE('',#219378,#43593); #25966=LINE('',#219381,#43594); #25967=LINE('',#219383,#43595); #25968=LINE('',#219384,#43596); #25969=LINE('',#219387,#43597); #25970=LINE('',#219389,#43598); #25971=LINE('',#219390,#43599); #25972=LINE('',#219393,#43600); #25973=LINE('',#219395,#43601); #25974=LINE('',#219396,#43602); #25975=LINE('',#219399,#43603); #25976=LINE('',#219401,#43604); #25977=LINE('',#219402,#43605); #25978=LINE('',#219405,#43606); #25979=LINE('',#219407,#43607); #25980=LINE('',#219408,#43608); #25981=LINE('',#219411,#43609); #25982=LINE('',#219413,#43610); #25983=LINE('',#219414,#43611); #25984=LINE('',#219417,#43612); #25985=LINE('',#219419,#43613); #25986=LINE('',#219420,#43614); #25987=LINE('',#219423,#43615); #25988=LINE('',#219425,#43616); #25989=LINE('',#219426,#43617); #25990=LINE('',#219429,#43618); #25991=LINE('',#219431,#43619); #25992=LINE('',#219432,#43620); #25993=LINE('',#219435,#43621); #25994=LINE('',#219437,#43622); #25995=LINE('',#219438,#43623); #25996=LINE('',#219441,#43624); #25997=LINE('',#219443,#43625); #25998=LINE('',#219444,#43626); #25999=LINE('',#219447,#43627); #26000=LINE('',#219449,#43628); #26001=LINE('',#219450,#43629); #26002=LINE('',#219453,#43630); #26003=LINE('',#219455,#43631); #26004=LINE('',#219456,#43632); #26005=LINE('',#219461,#43633); #26006=LINE('',#219465,#43634); #26007=LINE('',#219467,#43635); #26008=LINE('',#219468,#43636); #26009=LINE('',#219473,#43637); #26010=LINE('',#219477,#43638); #26011=LINE('',#219479,#43639); #26012=LINE('',#219480,#43640); #26013=LINE('',#219483,#43641); #26014=LINE('',#219485,#43642); #26015=LINE('',#219486,#43643); #26016=LINE('',#219489,#43644); #26017=LINE('',#219491,#43645); #26018=LINE('',#219492,#43646); #26019=LINE('',#219495,#43647); #26020=LINE('',#219497,#43648); #26021=LINE('',#219498,#43649); #26022=LINE('',#219501,#43650); #26023=LINE('',#219503,#43651); #26024=LINE('',#219504,#43652); #26025=LINE('',#219507,#43653); #26026=LINE('',#219509,#43654); #26027=LINE('',#219510,#43655); #26028=LINE('',#219513,#43656); #26029=LINE('',#219515,#43657); #26030=LINE('',#219516,#43658); #26031=LINE('',#219519,#43659); #26032=LINE('',#219521,#43660); #26033=LINE('',#219522,#43661); #26034=LINE('',#219525,#43662); #26035=LINE('',#219527,#43663); #26036=LINE('',#219528,#43664); #26037=LINE('',#219531,#43665); #26038=LINE('',#219533,#43666); #26039=LINE('',#219534,#43667); #26040=LINE('',#219537,#43668); #26041=LINE('',#219539,#43669); #26042=LINE('',#219540,#43670); #26043=LINE('',#219543,#43671); #26044=LINE('',#219545,#43672); #26045=LINE('',#219546,#43673); #26046=LINE('',#219549,#43674); #26047=LINE('',#219551,#43675); #26048=LINE('',#219552,#43676); #26049=LINE('',#219555,#43677); #26050=LINE('',#219557,#43678); #26051=LINE('',#219558,#43679); #26052=LINE('',#219561,#43680); #26053=LINE('',#219563,#43681); #26054=LINE('',#219564,#43682); #26055=LINE('',#219567,#43683); #26056=LINE('',#219569,#43684); #26057=LINE('',#219570,#43685); #26058=LINE('',#219573,#43686); #26059=LINE('',#219575,#43687); #26060=LINE('',#219576,#43688); #26061=LINE('',#219579,#43689); #26062=LINE('',#219581,#43690); #26063=LINE('',#219582,#43691); #26064=LINE('',#219585,#43692); #26065=LINE('',#219587,#43693); #26066=LINE('',#219588,#43694); #26067=LINE('',#219591,#43695); #26068=LINE('',#219593,#43696); #26069=LINE('',#219594,#43697); #26070=LINE('',#219597,#43698); #26071=LINE('',#219599,#43699); #26072=LINE('',#219600,#43700); #26073=LINE('',#219603,#43701); #26074=LINE('',#219605,#43702); #26075=LINE('',#219606,#43703); #26076=LINE('',#219609,#43704); #26077=LINE('',#219611,#43705); #26078=LINE('',#219612,#43706); #26079=LINE('',#219615,#43707); #26080=LINE('',#219617,#43708); #26081=LINE('',#219618,#43709); #26082=LINE('',#219623,#43710); #26083=LINE('',#219627,#43711); #26084=LINE('',#219629,#43712); #26085=LINE('',#219630,#43713); #26086=LINE('',#219633,#43714); #26087=LINE('',#219635,#43715); #26088=LINE('',#219636,#43716); #26089=LINE('',#219639,#43717); #26090=LINE('',#219641,#43718); #26091=LINE('',#219642,#43719); #26092=LINE('',#219645,#43720); #26093=LINE('',#219647,#43721); #26094=LINE('',#219648,#43722); #26095=LINE('',#219651,#43723); #26096=LINE('',#219653,#43724); #26097=LINE('',#219654,#43725); #26098=LINE('',#219659,#43726); #26099=LINE('',#219665,#43727); #26100=LINE('',#219669,#43728); #26101=LINE('',#219671,#43729); #26102=LINE('',#219672,#43730); #26103=LINE('',#219675,#43731); #26104=LINE('',#219677,#43732); #26105=LINE('',#219678,#43733); #26106=LINE('',#219681,#43734); #26107=LINE('',#219683,#43735); #26108=LINE('',#219684,#43736); #26109=LINE('',#219687,#43737); #26110=LINE('',#219689,#43738); #26111=LINE('',#219690,#43739); #26112=LINE('',#219693,#43740); #26113=LINE('',#219695,#43741); #26114=LINE('',#219696,#43742); #26115=LINE('',#219699,#43743); #26116=LINE('',#219701,#43744); #26117=LINE('',#219702,#43745); #26118=LINE('',#219705,#43746); #26119=LINE('',#219707,#43747); #26120=LINE('',#219708,#43748); #26121=LINE('',#219711,#43749); #26122=LINE('',#219713,#43750); #26123=LINE('',#219714,#43751); #26124=LINE('',#219717,#43752); #26125=LINE('',#219719,#43753); #26126=LINE('',#219720,#43754); #26127=LINE('',#219723,#43755); #26128=LINE('',#219725,#43756); #26129=LINE('',#219726,#43757); #26130=LINE('',#219729,#43758); #26131=LINE('',#219731,#43759); #26132=LINE('',#219732,#43760); #26133=LINE('',#219735,#43761); #26134=LINE('',#219737,#43762); #26135=LINE('',#219738,#43763); #26136=LINE('',#219741,#43764); #26137=LINE('',#219743,#43765); #26138=LINE('',#219744,#43766); #26139=LINE('',#219747,#43767); #26140=LINE('',#219749,#43768); #26141=LINE('',#219750,#43769); #26142=LINE('',#219753,#43770); #26143=LINE('',#219755,#43771); #26144=LINE('',#219756,#43772); #26145=LINE('',#219759,#43773); #26146=LINE('',#219761,#43774); #26147=LINE('',#219762,#43775); #26148=LINE('',#219765,#43776); #26149=LINE('',#219767,#43777); #26150=LINE('',#219768,#43778); #26151=LINE('',#219771,#43779); #26152=LINE('',#219773,#43780); #26153=LINE('',#219774,#43781); #26154=LINE('',#219777,#43782); #26155=LINE('',#219779,#43783); #26156=LINE('',#219780,#43784); #26157=LINE('',#219783,#43785); #26158=LINE('',#219785,#43786); #26159=LINE('',#219786,#43787); #26160=LINE('',#219789,#43788); #26161=LINE('',#219791,#43789); #26162=LINE('',#219792,#43790); #26163=LINE('',#219795,#43791); #26164=LINE('',#219797,#43792); #26165=LINE('',#219798,#43793); #26166=LINE('',#219801,#43794); #26167=LINE('',#219803,#43795); #26168=LINE('',#219804,#43796); #26169=LINE('',#219807,#43797); #26170=LINE('',#219809,#43798); #26171=LINE('',#219810,#43799); #26172=LINE('',#219813,#43800); #26173=LINE('',#219815,#43801); #26174=LINE('',#219816,#43802); #26175=LINE('',#219819,#43803); #26176=LINE('',#219821,#43804); #26177=LINE('',#219822,#43805); #26178=LINE('',#219825,#43806); #26179=LINE('',#219827,#43807); #26180=LINE('',#219828,#43808); #26181=LINE('',#219833,#43809); #26182=LINE('',#219837,#43810); #26183=LINE('',#219839,#43811); #26184=LINE('',#219840,#43812); #26185=LINE('',#219845,#43813); #26186=LINE('',#219851,#43814); #26187=LINE('',#219857,#43815); #26188=LINE('',#219861,#43816); #26189=LINE('',#219863,#43817); #26190=LINE('',#219864,#43818); #26191=LINE('',#219867,#43819); #26192=LINE('',#219869,#43820); #26193=LINE('',#219870,#43821); #26194=LINE('',#219873,#43822); #26195=LINE('',#219875,#43823); #26196=LINE('',#219876,#43824); #26197=LINE('',#219879,#43825); #26198=LINE('',#219881,#43826); #26199=LINE('',#219882,#43827); #26200=LINE('',#219885,#43828); #26201=LINE('',#219887,#43829); #26202=LINE('',#219888,#43830); #26203=LINE('',#219891,#43831); #26204=LINE('',#219893,#43832); #26205=LINE('',#219894,#43833); #26206=LINE('',#219897,#43834); #26207=LINE('',#219899,#43835); #26208=LINE('',#219900,#43836); #26209=LINE('',#219905,#43837); #26210=LINE('',#219909,#43838); #26211=LINE('',#219911,#43839); #26212=LINE('',#219912,#43840); #26213=LINE('',#219915,#43841); #26214=LINE('',#219917,#43842); #26215=LINE('',#219918,#43843); #26216=LINE('',#219921,#43844); #26217=LINE('',#219923,#43845); #26218=LINE('',#219924,#43846); #26219=LINE('',#219927,#43847); #26220=LINE('',#219929,#43848); #26221=LINE('',#219930,#43849); #26222=LINE('',#219933,#43850); #26223=LINE('',#219935,#43851); #26224=LINE('',#219936,#43852); #26225=LINE('',#219939,#43853); #26226=LINE('',#219941,#43854); #26227=LINE('',#219942,#43855); #26228=LINE('',#219945,#43856); #26229=LINE('',#219947,#43857); #26230=LINE('',#219948,#43858); #26231=LINE('',#219951,#43859); #26232=LINE('',#219953,#43860); #26233=LINE('',#219954,#43861); #26234=LINE('',#219957,#43862); #26235=LINE('',#219959,#43863); #26236=LINE('',#219960,#43864); #26237=LINE('',#219963,#43865); #26238=LINE('',#219965,#43866); #26239=LINE('',#219966,#43867); #26240=LINE('',#219969,#43868); #26241=LINE('',#219971,#43869); #26242=LINE('',#219972,#43870); #26243=LINE('',#219975,#43871); #26244=LINE('',#219977,#43872); #26245=LINE('',#219978,#43873); #26246=LINE('',#219981,#43874); #26247=LINE('',#219983,#43875); #26248=LINE('',#219984,#43876); #26249=LINE('',#219987,#43877); #26250=LINE('',#219989,#43878); #26251=LINE('',#219990,#43879); #26252=LINE('',#219995,#43880); #26253=LINE('',#219999,#43881); #26254=LINE('',#220001,#43882); #26255=LINE('',#220002,#43883); #26256=LINE('',#220005,#43884); #26257=LINE('',#220007,#43885); #26258=LINE('',#220008,#43886); #26259=LINE('',#220011,#43887); #26260=LINE('',#220013,#43888); #26261=LINE('',#220014,#43889); #26262=LINE('',#220019,#43890); #26263=LINE('',#220025,#43891); #26264=LINE('',#220029,#43892); #26265=LINE('',#220031,#43893); #26266=LINE('',#220032,#43894); #26267=LINE('',#220035,#43895); #26268=LINE('',#220037,#43896); #26269=LINE('',#220038,#43897); #26270=LINE('',#220041,#43898); #26271=LINE('',#220043,#43899); #26272=LINE('',#220044,#43900); #26273=LINE('',#220047,#43901); #26274=LINE('',#220049,#43902); #26275=LINE('',#220050,#43903); #26276=LINE('',#220053,#43904); #26277=LINE('',#220055,#43905); #26278=LINE('',#220056,#43906); #26279=LINE('',#220061,#43907); #26280=LINE('',#220065,#43908); #26281=LINE('',#220067,#43909); #26282=LINE('',#220068,#43910); #26283=LINE('',#220071,#43911); #26284=LINE('',#220073,#43912); #26285=LINE('',#220074,#43913); #26286=LINE('',#220077,#43914); #26287=LINE('',#220079,#43915); #26288=LINE('',#220080,#43916); #26289=LINE('',#220082,#43917); #26290=LINE('',#220083,#43918); #26291=LINE('',#220090,#43919); #26292=LINE('',#220093,#43920); #26293=LINE('',#220098,#43921); #26294=LINE('',#220104,#43922); #26295=LINE('',#220110,#43923); #26296=LINE('',#220114,#43924); #26297=LINE('',#220116,#43925); #26298=LINE('',#220117,#43926); #26299=LINE('',#220122,#43927); #26300=LINE('',#220128,#43928); #26301=LINE('',#220134,#43929); #26302=LINE('',#220140,#43930); #26303=LINE('',#220146,#43931); #26304=LINE('',#220150,#43932); #26305=LINE('',#220152,#43933); #26306=LINE('',#220153,#43934); #26307=LINE('',#220158,#43935); #26308=LINE('',#220162,#43936); #26309=LINE('',#220164,#43937); #26310=LINE('',#220165,#43938); #26311=LINE('',#220168,#43939); #26312=LINE('',#220170,#43940); #26313=LINE('',#220171,#43941); #26314=LINE('',#220174,#43942); #26315=LINE('',#220176,#43943); #26316=LINE('',#220177,#43944); #26317=LINE('',#220180,#43945); #26318=LINE('',#220182,#43946); #26319=LINE('',#220183,#43947); #26320=LINE('',#220186,#43948); #26321=LINE('',#220188,#43949); #26322=LINE('',#220189,#43950); #26323=LINE('',#220192,#43951); #26324=LINE('',#220194,#43952); #26325=LINE('',#220195,#43953); #26326=LINE('',#220198,#43954); #26327=LINE('',#220200,#43955); #26328=LINE('',#220201,#43956); #26329=LINE('',#220204,#43957); #26330=LINE('',#220206,#43958); #26331=LINE('',#220207,#43959); #26332=LINE('',#220210,#43960); #26333=LINE('',#220212,#43961); #26334=LINE('',#220213,#43962); #26335=LINE('',#220216,#43963); #26336=LINE('',#220218,#43964); #26337=LINE('',#220219,#43965); #26338=LINE('',#220222,#43966); #26339=LINE('',#220224,#43967); #26340=LINE('',#220225,#43968); #26341=LINE('',#220228,#43969); #26342=LINE('',#220230,#43970); #26343=LINE('',#220231,#43971); #26344=LINE('',#220234,#43972); #26345=LINE('',#220236,#43973); #26346=LINE('',#220237,#43974); #26347=LINE('',#220240,#43975); #26348=LINE('',#220242,#43976); #26349=LINE('',#220243,#43977); #26350=LINE('',#220246,#43978); #26351=LINE('',#220248,#43979); #26352=LINE('',#220249,#43980); #26353=LINE('',#220252,#43981); #26354=LINE('',#220254,#43982); #26355=LINE('',#220255,#43983); #26356=LINE('',#220258,#43984); #26357=LINE('',#220260,#43985); #26358=LINE('',#220261,#43986); #26359=LINE('',#220264,#43987); #26360=LINE('',#220266,#43988); #26361=LINE('',#220267,#43989); #26362=LINE('',#220270,#43990); #26363=LINE('',#220272,#43991); #26364=LINE('',#220273,#43992); #26365=LINE('',#220276,#43993); #26366=LINE('',#220278,#43994); #26367=LINE('',#220279,#43995); #26368=LINE('',#220282,#43996); #26369=LINE('',#220284,#43997); #26370=LINE('',#220285,#43998); #26371=LINE('',#220288,#43999); #26372=LINE('',#220290,#44000); #26373=LINE('',#220291,#44001); #26374=LINE('',#220294,#44002); #26375=LINE('',#220296,#44003); #26376=LINE('',#220297,#44004); #26377=LINE('',#220300,#44005); #26378=LINE('',#220302,#44006); #26379=LINE('',#220303,#44007); #26380=LINE('',#220308,#44008); #26381=LINE('',#220312,#44009); #26382=LINE('',#220314,#44010); #26383=LINE('',#220315,#44011); #26384=LINE('',#220318,#44012); #26385=LINE('',#220320,#44013); #26386=LINE('',#220321,#44014); #26387=LINE('',#220324,#44015); #26388=LINE('',#220326,#44016); #26389=LINE('',#220327,#44017); #26390=LINE('',#220330,#44018); #26391=LINE('',#220332,#44019); #26392=LINE('',#220333,#44020); #26393=LINE('',#220336,#44021); #26394=LINE('',#220338,#44022); #26395=LINE('',#220339,#44023); #26396=LINE('',#220342,#44024); #26397=LINE('',#220344,#44025); #26398=LINE('',#220345,#44026); #26399=LINE('',#220348,#44027); #26400=LINE('',#220350,#44028); #26401=LINE('',#220351,#44029); #26402=LINE('',#220354,#44030); #26403=LINE('',#220356,#44031); #26404=LINE('',#220357,#44032); #26405=LINE('',#220360,#44033); #26406=LINE('',#220362,#44034); #26407=LINE('',#220363,#44035); #26408=LINE('',#220366,#44036); #26409=LINE('',#220368,#44037); #26410=LINE('',#220369,#44038); #26411=LINE('',#220372,#44039); #26412=LINE('',#220374,#44040); #26413=LINE('',#220375,#44041); #26414=LINE('',#220378,#44042); #26415=LINE('',#220380,#44043); #26416=LINE('',#220381,#44044); #26417=LINE('',#220384,#44045); #26418=LINE('',#220386,#44046); #26419=LINE('',#220387,#44047); #26420=LINE('',#220390,#44048); #26421=LINE('',#220392,#44049); #26422=LINE('',#220393,#44050); #26423=LINE('',#220396,#44051); #26424=LINE('',#220398,#44052); #26425=LINE('',#220399,#44053); #26426=LINE('',#220402,#44054); #26427=LINE('',#220404,#44055); #26428=LINE('',#220405,#44056); #26429=LINE('',#220408,#44057); #26430=LINE('',#220410,#44058); #26431=LINE('',#220411,#44059); #26432=LINE('',#220414,#44060); #26433=LINE('',#220416,#44061); #26434=LINE('',#220417,#44062); #26435=LINE('',#220420,#44063); #26436=LINE('',#220422,#44064); #26437=LINE('',#220423,#44065); #26438=LINE('',#220426,#44066); #26439=LINE('',#220428,#44067); #26440=LINE('',#220429,#44068); #26441=LINE('',#220432,#44069); #26442=LINE('',#220434,#44070); #26443=LINE('',#220435,#44071); #26444=LINE('',#220438,#44072); #26445=LINE('',#220440,#44073); #26446=LINE('',#220441,#44074); #26447=LINE('',#220444,#44075); #26448=LINE('',#220446,#44076); #26449=LINE('',#220447,#44077); #26450=LINE('',#220450,#44078); #26451=LINE('',#220452,#44079); #26452=LINE('',#220453,#44080); #26453=LINE('',#220456,#44081); #26454=LINE('',#220458,#44082); #26455=LINE('',#220459,#44083); #26456=LINE('',#220464,#44084); #26457=LINE('',#220468,#44085); #26458=LINE('',#220470,#44086); #26459=LINE('',#220471,#44087); #26460=LINE('',#220476,#44088); #26461=LINE('',#220482,#44089); #26462=LINE('',#220488,#44090); #26463=LINE('',#220492,#44091); #26464=LINE('',#220494,#44092); #26465=LINE('',#220495,#44093); #26466=LINE('',#220500,#44094); #26467=LINE('',#220506,#44095); #26468=LINE('',#220512,#44096); #26469=LINE('',#220518,#44097); #26470=LINE('',#220522,#44098); #26471=LINE('',#220524,#44099); #26472=LINE('',#220525,#44100); #26473=LINE('',#220530,#44101); #26474=LINE('',#220534,#44102); #26475=LINE('',#220536,#44103); #26476=LINE('',#220537,#44104); #26477=LINE('',#220540,#44105); #26478=LINE('',#220542,#44106); #26479=LINE('',#220543,#44107); #26480=LINE('',#220546,#44108); #26481=LINE('',#220548,#44109); #26482=LINE('',#220549,#44110); #26483=LINE('',#220552,#44111); #26484=LINE('',#220554,#44112); #26485=LINE('',#220555,#44113); #26486=LINE('',#220558,#44114); #26487=LINE('',#220560,#44115); #26488=LINE('',#220561,#44116); #26489=LINE('',#220564,#44117); #26490=LINE('',#220566,#44118); #26491=LINE('',#220567,#44119); #26492=LINE('',#220570,#44120); #26493=LINE('',#220572,#44121); #26494=LINE('',#220573,#44122); #26495=LINE('',#220576,#44123); #26496=LINE('',#220578,#44124); #26497=LINE('',#220579,#44125); #26498=LINE('',#220582,#44126); #26499=LINE('',#220584,#44127); #26500=LINE('',#220585,#44128); #26501=LINE('',#220588,#44129); #26502=LINE('',#220590,#44130); #26503=LINE('',#220591,#44131); #26504=LINE('',#220594,#44132); #26505=LINE('',#220596,#44133); #26506=LINE('',#220597,#44134); #26507=LINE('',#220600,#44135); #26508=LINE('',#220602,#44136); #26509=LINE('',#220603,#44137); #26510=LINE('',#220606,#44138); #26511=LINE('',#220608,#44139); #26512=LINE('',#220609,#44140); #26513=LINE('',#220612,#44141); #26514=LINE('',#220614,#44142); #26515=LINE('',#220615,#44143); #26516=LINE('',#220618,#44144); #26517=LINE('',#220620,#44145); #26518=LINE('',#220621,#44146); #26519=LINE('',#220624,#44147); #26520=LINE('',#220626,#44148); #26521=LINE('',#220627,#44149); #26522=LINE('',#220630,#44150); #26523=LINE('',#220632,#44151); #26524=LINE('',#220633,#44152); #26525=LINE('',#220636,#44153); #26526=LINE('',#220638,#44154); #26527=LINE('',#220639,#44155); #26528=LINE('',#220642,#44156); #26529=LINE('',#220644,#44157); #26530=LINE('',#220645,#44158); #26531=LINE('',#220648,#44159); #26532=LINE('',#220650,#44160); #26533=LINE('',#220651,#44161); #26534=LINE('',#220654,#44162); #26535=LINE('',#220656,#44163); #26536=LINE('',#220657,#44164); #26537=LINE('',#220660,#44165); #26538=LINE('',#220662,#44166); #26539=LINE('',#220663,#44167); #26540=LINE('',#220666,#44168); #26541=LINE('',#220668,#44169); #26542=LINE('',#220669,#44170); #26543=LINE('',#220674,#44171); #26544=LINE('',#220678,#44172); #26545=LINE('',#220680,#44173); #26546=LINE('',#220681,#44174); #26547=LINE('',#220684,#44175); #26548=LINE('',#220686,#44176); #26549=LINE('',#220687,#44177); #26550=LINE('',#220690,#44178); #26551=LINE('',#220692,#44179); #26552=LINE('',#220693,#44180); #26553=LINE('',#220696,#44181); #26554=LINE('',#220698,#44182); #26555=LINE('',#220699,#44183); #26556=LINE('',#220702,#44184); #26557=LINE('',#220704,#44185); #26558=LINE('',#220705,#44186); #26559=LINE('',#220708,#44187); #26560=LINE('',#220710,#44188); #26561=LINE('',#220711,#44189); #26562=LINE('',#220714,#44190); #26563=LINE('',#220716,#44191); #26564=LINE('',#220717,#44192); #26565=LINE('',#220720,#44193); #26566=LINE('',#220722,#44194); #26567=LINE('',#220723,#44195); #26568=LINE('',#220726,#44196); #26569=LINE('',#220728,#44197); #26570=LINE('',#220729,#44198); #26571=LINE('',#220732,#44199); #26572=LINE('',#220734,#44200); #26573=LINE('',#220735,#44201); #26574=LINE('',#220738,#44202); #26575=LINE('',#220740,#44203); #26576=LINE('',#220741,#44204); #26577=LINE('',#220744,#44205); #26578=LINE('',#220746,#44206); #26579=LINE('',#220747,#44207); #26580=LINE('',#220750,#44208); #26581=LINE('',#220752,#44209); #26582=LINE('',#220753,#44210); #26583=LINE('',#220756,#44211); #26584=LINE('',#220758,#44212); #26585=LINE('',#220759,#44213); #26586=LINE('',#220762,#44214); #26587=LINE('',#220764,#44215); #26588=LINE('',#220765,#44216); #26589=LINE('',#220768,#44217); #26590=LINE('',#220770,#44218); #26591=LINE('',#220771,#44219); #26592=LINE('',#220774,#44220); #26593=LINE('',#220776,#44221); #26594=LINE('',#220777,#44222); #26595=LINE('',#220780,#44223); #26596=LINE('',#220782,#44224); #26597=LINE('',#220783,#44225); #26598=LINE('',#220786,#44226); #26599=LINE('',#220788,#44227); #26600=LINE('',#220789,#44228); #26601=LINE('',#220792,#44229); #26602=LINE('',#220794,#44230); #26603=LINE('',#220795,#44231); #26604=LINE('',#220798,#44232); #26605=LINE('',#220800,#44233); #26606=LINE('',#220801,#44234); #26607=LINE('',#220804,#44235); #26608=LINE('',#220806,#44236); #26609=LINE('',#220807,#44237); #26610=LINE('',#220810,#44238); #26611=LINE('',#220812,#44239); #26612=LINE('',#220813,#44240); #26613=LINE('',#220816,#44241); #26614=LINE('',#220818,#44242); #26615=LINE('',#220819,#44243); #26616=LINE('',#220822,#44244); #26617=LINE('',#220824,#44245); #26618=LINE('',#220825,#44246); #26619=LINE('',#220830,#44247); #26620=LINE('',#220833,#44248); #26621=LINE('',#220834,#44249); #26622=LINE('',#220841,#44250); #26623=LINE('',#220844,#44251); #26624=LINE('',#220847,#44252); #26625=LINE('',#220849,#44253); #26626=LINE('',#220850,#44254); #26627=LINE('',#220853,#44255); #26628=LINE('',#220855,#44256); #26629=LINE('',#220856,#44257); #26630=LINE('',#220859,#44258); #26631=LINE('',#220861,#44259); #26632=LINE('',#220862,#44260); #26633=LINE('',#220865,#44261); #26634=LINE('',#220867,#44262); #26635=LINE('',#220868,#44263); #26636=LINE('',#220871,#44264); #26637=LINE('',#220873,#44265); #26638=LINE('',#220874,#44266); #26639=LINE('',#220877,#44267); #26640=LINE('',#220879,#44268); #26641=LINE('',#220880,#44269); #26642=LINE('',#220883,#44270); #26643=LINE('',#220885,#44271); #26644=LINE('',#220886,#44272); #26645=LINE('',#220891,#44273); #26646=LINE('',#220897,#44274); #26647=LINE('',#220903,#44275); #26648=LINE('',#220909,#44276); #26649=LINE('',#220913,#44277); #26650=LINE('',#220915,#44278); #26651=LINE('',#220916,#44279); #26652=LINE('',#220919,#44280); #26653=LINE('',#220921,#44281); #26654=LINE('',#220922,#44282); #26655=LINE('',#220925,#44283); #26656=LINE('',#220927,#44284); #26657=LINE('',#220928,#44285); #26658=LINE('',#220933,#44286); #26659=LINE('',#220937,#44287); #26660=LINE('',#220939,#44288); #26661=LINE('',#220940,#44289); #26662=LINE('',#220945,#44290); #26663=LINE('',#220951,#44291); #26664=LINE('',#220955,#44292); #26665=LINE('',#220957,#44293); #26666=LINE('',#220958,#44294); #26667=LINE('',#220963,#44295); #26668=LINE('',#220967,#44296); #26669=LINE('',#220969,#44297); #26670=LINE('',#220970,#44298); #26671=LINE('',#220975,#44299); #26672=LINE('',#220981,#44300); #26673=LINE('',#220985,#44301); #26674=LINE('',#220987,#44302); #26675=LINE('',#220988,#44303); #26676=LINE('',#220991,#44304); #26677=LINE('',#220993,#44305); #26678=LINE('',#220994,#44306); #26679=LINE('',#220999,#44307); #26680=LINE('',#221003,#44308); #26681=LINE('',#221005,#44309); #26682=LINE('',#221006,#44310); #26683=LINE('',#221009,#44311); #26684=LINE('',#221011,#44312); #26685=LINE('',#221012,#44313); #26686=LINE('',#221015,#44314); #26687=LINE('',#221017,#44315); #26688=LINE('',#221018,#44316); #26689=LINE('',#221021,#44317); #26690=LINE('',#221023,#44318); #26691=LINE('',#221024,#44319); #26692=LINE('',#221027,#44320); #26693=LINE('',#221029,#44321); #26694=LINE('',#221030,#44322); #26695=LINE('',#221033,#44323); #26696=LINE('',#221035,#44324); #26697=LINE('',#221036,#44325); #26698=LINE('',#221041,#44326); #26699=LINE('',#221045,#44327); #26700=LINE('',#221047,#44328); #26701=LINE('',#221048,#44329); #26702=LINE('',#221051,#44330); #26703=LINE('',#221053,#44331); #26704=LINE('',#221054,#44332); #26705=LINE('',#221057,#44333); #26706=LINE('',#221059,#44334); #26707=LINE('',#221060,#44335); #26708=LINE('',#221063,#44336); #26709=LINE('',#221065,#44337); #26710=LINE('',#221066,#44338); #26711=LINE('',#221069,#44339); #26712=LINE('',#221071,#44340); #26713=LINE('',#221072,#44341); #26714=LINE('',#221077,#44342); #26715=LINE('',#221083,#44343); #26716=LINE('',#221089,#44344); #26717=LINE('',#221093,#44345); #26718=LINE('',#221095,#44346); #26719=LINE('',#221096,#44347); #26720=LINE('',#221099,#44348); #26721=LINE('',#221101,#44349); #26722=LINE('',#221102,#44350); #26723=LINE('',#221105,#44351); #26724=LINE('',#221107,#44352); #26725=LINE('',#221108,#44353); #26726=LINE('',#221113,#44354); #26727=LINE('',#221117,#44355); #26728=LINE('',#221119,#44356); #26729=LINE('',#221120,#44357); #26730=LINE('',#221123,#44358); #26731=LINE('',#221125,#44359); #26732=LINE('',#221126,#44360); #26733=LINE('',#221131,#44361); #26734=LINE('',#221135,#44362); #26735=LINE('',#221137,#44363); #26736=LINE('',#221138,#44364); #26737=LINE('',#221141,#44365); #26738=LINE('',#221143,#44366); #26739=LINE('',#221144,#44367); #26740=LINE('',#221147,#44368); #26741=LINE('',#221149,#44369); #26742=LINE('',#221150,#44370); #26743=LINE('',#221155,#44371); #26744=LINE('',#221161,#44372); #26745=LINE('',#221165,#44373); #26746=LINE('',#221167,#44374); #26747=LINE('',#221168,#44375); #26748=LINE('',#221173,#44376); #26749=LINE('',#221177,#44377); #26750=LINE('',#221179,#44378); #26751=LINE('',#221180,#44379); #26752=LINE('',#221183,#44380); #26753=LINE('',#221185,#44381); #26754=LINE('',#221186,#44382); #26755=LINE('',#221189,#44383); #26756=LINE('',#221191,#44384); #26757=LINE('',#221192,#44385); #26758=LINE('',#221197,#44386); #26759=LINE('',#221201,#44387); #26760=LINE('',#221203,#44388); #26761=LINE('',#221204,#44389); #26762=LINE('',#221207,#44390); #26763=LINE('',#221209,#44391); #26764=LINE('',#221210,#44392); #26765=LINE('',#221213,#44393); #26766=LINE('',#221215,#44394); #26767=LINE('',#221216,#44395); #26768=LINE('',#221219,#44396); #26769=LINE('',#221221,#44397); #26770=LINE('',#221222,#44398); #26771=LINE('',#221225,#44399); #26772=LINE('',#221227,#44400); #26773=LINE('',#221228,#44401); #26774=LINE('',#221231,#44402); #26775=LINE('',#221233,#44403); #26776=LINE('',#221234,#44404); #26777=LINE('',#221237,#44405); #26778=LINE('',#221239,#44406); #26779=LINE('',#221240,#44407); #26780=LINE('',#221243,#44408); #26781=LINE('',#221245,#44409); #26782=LINE('',#221246,#44410); #26783=LINE('',#221249,#44411); #26784=LINE('',#221251,#44412); #26785=LINE('',#221252,#44413); #26786=LINE('',#221255,#44414); #26787=LINE('',#221257,#44415); #26788=LINE('',#221258,#44416); #26789=LINE('',#221261,#44417); #26790=LINE('',#221263,#44418); #26791=LINE('',#221264,#44419); #26792=LINE('',#221267,#44420); #26793=LINE('',#221269,#44421); #26794=LINE('',#221270,#44422); #26795=LINE('',#221273,#44423); #26796=LINE('',#221275,#44424); #26797=LINE('',#221276,#44425); #26798=LINE('',#221279,#44426); #26799=LINE('',#221281,#44427); #26800=LINE('',#221282,#44428); #26801=LINE('',#221287,#44429); #26802=LINE('',#221291,#44430); #26803=LINE('',#221293,#44431); #26804=LINE('',#221294,#44432); #26805=LINE('',#221299,#44433); #26806=LINE('',#221305,#44434); #26807=LINE('',#221311,#44435); #26808=LINE('',#221317,#44436); #26809=LINE('',#221321,#44437); #26810=LINE('',#221323,#44438); #26811=LINE('',#221324,#44439); #26812=LINE('',#221327,#44440); #26813=LINE('',#221329,#44441); #26814=LINE('',#221330,#44442); #26815=LINE('',#221333,#44443); #26816=LINE('',#221335,#44444); #26817=LINE('',#221336,#44445); #26818=LINE('',#221339,#44446); #26819=LINE('',#221341,#44447); #26820=LINE('',#221342,#44448); #26821=LINE('',#221345,#44449); #26822=LINE('',#221347,#44450); #26823=LINE('',#221348,#44451); #26824=LINE('',#221353,#44452); #26825=LINE('',#221357,#44453); #26826=LINE('',#221359,#44454); #26827=LINE('',#221360,#44455); #26828=LINE('',#221363,#44456); #26829=LINE('',#221365,#44457); #26830=LINE('',#221366,#44458); #26831=LINE('',#221369,#44459); #26832=LINE('',#221371,#44460); #26833=LINE('',#221372,#44461); #26834=LINE('',#221377,#44462); #26835=LINE('',#221381,#44463); #26836=LINE('',#221383,#44464); #26837=LINE('',#221384,#44465); #26838=LINE('',#221387,#44466); #26839=LINE('',#221389,#44467); #26840=LINE('',#221390,#44468); #26841=LINE('',#221393,#44469); #26842=LINE('',#221395,#44470); #26843=LINE('',#221396,#44471); #26844=LINE('',#221401,#44472); #26845=LINE('',#221407,#44473); #26846=LINE('',#221413,#44474); #26847=LINE('',#221419,#44475); #26848=LINE('',#221423,#44476); #26849=LINE('',#221425,#44477); #26850=LINE('',#221426,#44478); #26851=LINE('',#221429,#44479); #26852=LINE('',#221431,#44480); #26853=LINE('',#221432,#44481); #26854=LINE('',#221435,#44482); #26855=LINE('',#221437,#44483); #26856=LINE('',#221438,#44484); #26857=LINE('',#221441,#44485); #26858=LINE('',#221443,#44486); #26859=LINE('',#221444,#44487); #26860=LINE('',#221449,#44488); #26861=LINE('',#221455,#44489); #26862=LINE('',#221459,#44490); #26863=LINE('',#221461,#44491); #26864=LINE('',#221462,#44492); #26865=LINE('',#221467,#44493); #26866=LINE('',#221471,#44494); #26867=LINE('',#221473,#44495); #26868=LINE('',#221474,#44496); #26869=LINE('',#221477,#44497); #26870=LINE('',#221479,#44498); #26871=LINE('',#221480,#44499); #26872=LINE('',#221483,#44500); #26873=LINE('',#221485,#44501); #26874=LINE('',#221486,#44502); #26875=LINE('',#221489,#44503); #26876=LINE('',#221491,#44504); #26877=LINE('',#221492,#44505); #26878=LINE('',#221495,#44506); #26879=LINE('',#221497,#44507); #26880=LINE('',#221498,#44508); #26881=LINE('',#221501,#44509); #26882=LINE('',#221503,#44510); #26883=LINE('',#221504,#44511); #26884=LINE('',#221507,#44512); #26885=LINE('',#221509,#44513); #26886=LINE('',#221510,#44514); #26887=LINE('',#221513,#44515); #26888=LINE('',#221515,#44516); #26889=LINE('',#221516,#44517); #26890=LINE('',#221521,#44518); #26891=LINE('',#221525,#44519); #26892=LINE('',#221527,#44520); #26893=LINE('',#221528,#44521); #26894=LINE('',#221531,#44522); #26895=LINE('',#221533,#44523); #26896=LINE('',#221534,#44524); #26897=LINE('',#221537,#44525); #26898=LINE('',#221539,#44526); #26899=LINE('',#221540,#44527); #26900=LINE('',#221543,#44528); #26901=LINE('',#221545,#44529); #26902=LINE('',#221546,#44530); #26903=LINE('',#221551,#44531); #26904=LINE('',#221555,#44532); #26905=LINE('',#221557,#44533); #26906=LINE('',#221558,#44534); #26907=LINE('',#221561,#44535); #26908=LINE('',#221563,#44536); #26909=LINE('',#221564,#44537); #26910=LINE('',#221567,#44538); #26911=LINE('',#221569,#44539); #26912=LINE('',#221570,#44540); #26913=LINE('',#221573,#44541); #26914=LINE('',#221575,#44542); #26915=LINE('',#221576,#44543); #26916=LINE('',#221579,#44544); #26917=LINE('',#221581,#44545); #26918=LINE('',#221582,#44546); #26919=LINE('',#221585,#44547); #26920=LINE('',#221587,#44548); #26921=LINE('',#221588,#44549); #26922=LINE('',#221591,#44550); #26923=LINE('',#221593,#44551); #26924=LINE('',#221594,#44552); #26925=LINE('',#221597,#44553); #26926=LINE('',#221599,#44554); #26927=LINE('',#221600,#44555); #26928=LINE('',#221603,#44556); #26929=LINE('',#221605,#44557); #26930=LINE('',#221606,#44558); #26931=LINE('',#221609,#44559); #26932=LINE('',#221611,#44560); #26933=LINE('',#221612,#44561); #26934=LINE('',#221614,#44562); #26935=LINE('',#221615,#44563); #26936=LINE('',#221622,#44564); #26937=LINE('',#221625,#44565); #26938=LINE('',#221628,#44566); #26939=LINE('',#221630,#44567); #26940=LINE('',#221631,#44568); #26941=LINE('',#221634,#44569); #26942=LINE('',#221636,#44570); #26943=LINE('',#221637,#44571); #26944=LINE('',#221640,#44572); #26945=LINE('',#221642,#44573); #26946=LINE('',#221643,#44574); #26947=LINE('',#221646,#44575); #26948=LINE('',#221648,#44576); #26949=LINE('',#221649,#44577); #26950=LINE('',#221652,#44578); #26951=LINE('',#221654,#44579); #26952=LINE('',#221655,#44580); #26953=LINE('',#221658,#44581); #26954=LINE('',#221660,#44582); #26955=LINE('',#221661,#44583); #26956=LINE('',#221664,#44584); #26957=LINE('',#221666,#44585); #26958=LINE('',#221667,#44586); #26959=LINE('',#221670,#44587); #26960=LINE('',#221672,#44588); #26961=LINE('',#221673,#44589); #26962=LINE('',#221676,#44590); #26963=LINE('',#221678,#44591); #26964=LINE('',#221679,#44592); #26965=LINE('',#221682,#44593); #26966=LINE('',#221684,#44594); #26967=LINE('',#221685,#44595); #26968=LINE('',#221688,#44596); #26969=LINE('',#221690,#44597); #26970=LINE('',#221691,#44598); #26971=LINE('',#221696,#44599); #26972=LINE('',#221702,#44600); #26973=LINE('',#221706,#44601); #26974=LINE('',#221708,#44602); #26975=LINE('',#221709,#44603); #26976=LINE('',#221712,#44604); #26977=LINE('',#221714,#44605); #26978=LINE('',#221715,#44606); #26979=LINE('',#221718,#44607); #26980=LINE('',#221720,#44608); #26981=LINE('',#221721,#44609); #26982=LINE('',#221724,#44610); #26983=LINE('',#221726,#44611); #26984=LINE('',#221727,#44612); #26985=LINE('',#221730,#44613); #26986=LINE('',#221732,#44614); #26987=LINE('',#221733,#44615); #26988=LINE('',#221736,#44616); #26989=LINE('',#221738,#44617); #26990=LINE('',#221739,#44618); #26991=LINE('',#221742,#44619); #26992=LINE('',#221744,#44620); #26993=LINE('',#221745,#44621); #26994=LINE('',#221748,#44622); #26995=LINE('',#221750,#44623); #26996=LINE('',#221751,#44624); #26997=LINE('',#221756,#44625); #26998=LINE('',#221760,#44626); #26999=LINE('',#221762,#44627); #27000=LINE('',#221763,#44628); #27001=LINE('',#221766,#44629); #27002=LINE('',#221768,#44630); #27003=LINE('',#221769,#44631); #27004=LINE('',#221772,#44632); #27005=LINE('',#221774,#44633); #27006=LINE('',#221775,#44634); #27007=LINE('',#221778,#44635); #27008=LINE('',#221780,#44636); #27009=LINE('',#221781,#44637); #27010=LINE('',#221784,#44638); #27011=LINE('',#221786,#44639); #27012=LINE('',#221787,#44640); #27013=LINE('',#221790,#44641); #27014=LINE('',#221792,#44642); #27015=LINE('',#221793,#44643); #27016=LINE('',#221796,#44644); #27017=LINE('',#221798,#44645); #27018=LINE('',#221799,#44646); #27019=LINE('',#221802,#44647); #27020=LINE('',#221804,#44648); #27021=LINE('',#221805,#44649); #27022=LINE('',#221808,#44650); #27023=LINE('',#221810,#44651); #27024=LINE('',#221811,#44652); #27025=LINE('',#221814,#44653); #27026=LINE('',#221816,#44654); #27027=LINE('',#221817,#44655); #27028=LINE('',#221820,#44656); #27029=LINE('',#221822,#44657); #27030=LINE('',#221823,#44658); #27031=LINE('',#221826,#44659); #27032=LINE('',#221828,#44660); #27033=LINE('',#221829,#44661); #27034=LINE('',#221832,#44662); #27035=LINE('',#221834,#44663); #27036=LINE('',#221835,#44664); #27037=LINE('',#221840,#44665); #27038=LINE('',#221844,#44666); #27039=LINE('',#221846,#44667); #27040=LINE('',#221847,#44668); #27041=LINE('',#221850,#44669); #27042=LINE('',#221852,#44670); #27043=LINE('',#221853,#44671); #27044=LINE('',#221858,#44672); #27045=LINE('',#221862,#44673); #27046=LINE('',#221864,#44674); #27047=LINE('',#221865,#44675); #27048=LINE('',#221870,#44676); #27049=LINE('',#221876,#44677); #27050=LINE('',#221880,#44678); #27051=LINE('',#221882,#44679); #27052=LINE('',#221883,#44680); #27053=LINE('',#221886,#44681); #27054=LINE('',#221888,#44682); #27055=LINE('',#221889,#44683); #27056=LINE('',#221892,#44684); #27057=LINE('',#221894,#44685); #27058=LINE('',#221895,#44686); #27059=LINE('',#221898,#44687); #27060=LINE('',#221900,#44688); #27061=LINE('',#221901,#44689); #27062=LINE('',#221904,#44690); #27063=LINE('',#221906,#44691); #27064=LINE('',#221907,#44692); #27065=LINE('',#221910,#44693); #27066=LINE('',#221912,#44694); #27067=LINE('',#221913,#44695); #27068=LINE('',#221916,#44696); #27069=LINE('',#221918,#44697); #27070=LINE('',#221919,#44698); #27071=LINE('',#221922,#44699); #27072=LINE('',#221924,#44700); #27073=LINE('',#221925,#44701); #27074=LINE('',#221928,#44702); #27075=LINE('',#221930,#44703); #27076=LINE('',#221931,#44704); #27077=LINE('',#221934,#44705); #27078=LINE('',#221936,#44706); #27079=LINE('',#221937,#44707); #27080=LINE('',#221940,#44708); #27081=LINE('',#221942,#44709); #27082=LINE('',#221943,#44710); #27083=LINE('',#221946,#44711); #27084=LINE('',#221948,#44712); #27085=LINE('',#221949,#44713); #27086=LINE('',#221954,#44714); #27087=LINE('',#221958,#44715); #27088=LINE('',#221960,#44716); #27089=LINE('',#221961,#44717); #27090=LINE('',#221966,#44718); #27091=LINE('',#221970,#44719); #27092=LINE('',#221972,#44720); #27093=LINE('',#221973,#44721); #27094=LINE('',#221976,#44722); #27095=LINE('',#221978,#44723); #27096=LINE('',#221979,#44724); #27097=LINE('',#221982,#44725); #27098=LINE('',#221984,#44726); #27099=LINE('',#221985,#44727); #27100=LINE('',#221988,#44728); #27101=LINE('',#221990,#44729); #27102=LINE('',#221991,#44730); #27103=LINE('',#221994,#44731); #27104=LINE('',#221996,#44732); #27105=LINE('',#221997,#44733); #27106=LINE('',#222000,#44734); #27107=LINE('',#222002,#44735); #27108=LINE('',#222003,#44736); #27109=LINE('',#222006,#44737); #27110=LINE('',#222008,#44738); #27111=LINE('',#222009,#44739); #27112=LINE('',#222014,#44740); #27113=LINE('',#222018,#44741); #27114=LINE('',#222020,#44742); #27115=LINE('',#222021,#44743); #27116=LINE('',#222024,#44744); #27117=LINE('',#222026,#44745); #27118=LINE('',#222027,#44746); #27119=LINE('',#222032,#44747); #27120=LINE('',#222038,#44748); #27121=LINE('',#222042,#44749); #27122=LINE('',#222044,#44750); #27123=LINE('',#222045,#44751); #27124=LINE('',#222048,#44752); #27125=LINE('',#222050,#44753); #27126=LINE('',#222051,#44754); #27127=LINE('',#222054,#44755); #27128=LINE('',#222056,#44756); #27129=LINE('',#222057,#44757); #27130=LINE('',#222060,#44758); #27131=LINE('',#222062,#44759); #27132=LINE('',#222063,#44760); #27133=LINE('',#222066,#44761); #27134=LINE('',#222068,#44762); #27135=LINE('',#222069,#44763); #27136=LINE('',#222072,#44764); #27137=LINE('',#222074,#44765); #27138=LINE('',#222075,#44766); #27139=LINE('',#222078,#44767); #27140=LINE('',#222080,#44768); #27141=LINE('',#222081,#44769); #27142=LINE('',#222084,#44770); #27143=LINE('',#222086,#44771); #27144=LINE('',#222087,#44772); #27145=LINE('',#222090,#44773); #27146=LINE('',#222092,#44774); #27147=LINE('',#222093,#44775); #27148=LINE('',#222096,#44776); #27149=LINE('',#222098,#44777); #27150=LINE('',#222099,#44778); #27151=LINE('',#222104,#44779); #27152=LINE('',#222108,#44780); #27153=LINE('',#222110,#44781); #27154=LINE('',#222111,#44782); #27155=LINE('',#222114,#44783); #27156=LINE('',#222116,#44784); #27157=LINE('',#222117,#44785); #27158=LINE('',#222120,#44786); #27159=LINE('',#222122,#44787); #27160=LINE('',#222123,#44788); #27161=LINE('',#222128,#44789); #27162=LINE('',#222132,#44790); #27163=LINE('',#222134,#44791); #27164=LINE('',#222135,#44792); #27165=LINE('',#222140,#44793); #27166=LINE('',#222146,#44794); #27167=LINE('',#222150,#44795); #27168=LINE('',#222152,#44796); #27169=LINE('',#222153,#44797); #27170=LINE('',#222156,#44798); #27171=LINE('',#222158,#44799); #27172=LINE('',#222159,#44800); #27173=LINE('',#222164,#44801); #27174=LINE('',#222168,#44802); #27175=LINE('',#222170,#44803); #27176=LINE('',#222171,#44804); #27177=LINE('',#222176,#44805); #27178=LINE('',#222182,#44806); #27179=LINE('',#222188,#44807); #27180=LINE('',#222192,#44808); #27181=LINE('',#222194,#44809); #27182=LINE('',#222195,#44810); #27183=LINE('',#222198,#44811); #27184=LINE('',#222200,#44812); #27185=LINE('',#222201,#44813); #27186=LINE('',#222204,#44814); #27187=LINE('',#222206,#44815); #27188=LINE('',#222207,#44816); #27189=LINE('',#222210,#44817); #27190=LINE('',#222212,#44818); #27191=LINE('',#222213,#44819); #27192=LINE('',#222216,#44820); #27193=LINE('',#222218,#44821); #27194=LINE('',#222219,#44822); #27195=LINE('',#222222,#44823); #27196=LINE('',#222224,#44824); #27197=LINE('',#222225,#44825); #27198=LINE('',#222228,#44826); #27199=LINE('',#222230,#44827); #27200=LINE('',#222231,#44828); #27201=LINE('',#222234,#44829); #27202=LINE('',#222236,#44830); #27203=LINE('',#222237,#44831); #27204=LINE('',#222240,#44832); #27205=LINE('',#222242,#44833); #27206=LINE('',#222243,#44834); #27207=LINE('',#222246,#44835); #27208=LINE('',#222248,#44836); #27209=LINE('',#222249,#44837); #27210=LINE('',#222252,#44838); #27211=LINE('',#222254,#44839); #27212=LINE('',#222255,#44840); #27213=LINE('',#222258,#44841); #27214=LINE('',#222260,#44842); #27215=LINE('',#222261,#44843); #27216=LINE('',#222264,#44844); #27217=LINE('',#222266,#44845); #27218=LINE('',#222267,#44846); #27219=LINE('',#222270,#44847); #27220=LINE('',#222272,#44848); #27221=LINE('',#222273,#44849); #27222=LINE('',#222276,#44850); #27223=LINE('',#222278,#44851); #27224=LINE('',#222279,#44852); #27225=LINE('',#222282,#44853); #27226=LINE('',#222284,#44854); #27227=LINE('',#222285,#44855); #27228=LINE('',#222288,#44856); #27229=LINE('',#222290,#44857); #27230=LINE('',#222291,#44858); #27231=LINE('',#222294,#44859); #27232=LINE('',#222296,#44860); #27233=LINE('',#222297,#44861); #27234=LINE('',#222300,#44862); #27235=LINE('',#222302,#44863); #27236=LINE('',#222303,#44864); #27237=LINE('',#222306,#44865); #27238=LINE('',#222308,#44866); #27239=LINE('',#222309,#44867); #27240=LINE('',#222314,#44868); #27241=LINE('',#222318,#44869); #27242=LINE('',#222320,#44870); #27243=LINE('',#222321,#44871); #27244=LINE('',#222324,#44872); #27245=LINE('',#222326,#44873); #27246=LINE('',#222327,#44874); #27247=LINE('',#222332,#44875); #27248=LINE('',#222336,#44876); #27249=LINE('',#222338,#44877); #27250=LINE('',#222339,#44878); #27251=LINE('',#222342,#44879); #27252=LINE('',#222344,#44880); #27253=LINE('',#222345,#44881); #27254=LINE('',#222350,#44882); #27255=LINE('',#222354,#44883); #27256=LINE('',#222356,#44884); #27257=LINE('',#222357,#44885); #27258=LINE('',#222360,#44886); #27259=LINE('',#222362,#44887); #27260=LINE('',#222363,#44888); #27261=LINE('',#222366,#44889); #27262=LINE('',#222368,#44890); #27263=LINE('',#222369,#44891); #27264=LINE('',#222372,#44892); #27265=LINE('',#222374,#44893); #27266=LINE('',#222375,#44894); #27267=LINE('',#222378,#44895); #27268=LINE('',#222380,#44896); #27269=LINE('',#222381,#44897); #27270=LINE('',#222384,#44898); #27271=LINE('',#222386,#44899); #27272=LINE('',#222387,#44900); #27273=LINE('',#222390,#44901); #27274=LINE('',#222392,#44902); #27275=LINE('',#222393,#44903); #27276=LINE('',#222396,#44904); #27277=LINE('',#222398,#44905); #27278=LINE('',#222399,#44906); #27279=LINE('',#222404,#44907); #27280=LINE('',#222408,#44908); #27281=LINE('',#222410,#44909); #27282=LINE('',#222411,#44910); #27283=LINE('',#222416,#44911); #27284=LINE('',#222422,#44912); #27285=LINE('',#222426,#44913); #27286=LINE('',#222428,#44914); #27287=LINE('',#222429,#44915); #27288=LINE('',#222432,#44916); #27289=LINE('',#222434,#44917); #27290=LINE('',#222435,#44918); #27291=LINE('',#222438,#44919); #27292=LINE('',#222440,#44920); #27293=LINE('',#222441,#44921); #27294=LINE('',#222444,#44922); #27295=LINE('',#222446,#44923); #27296=LINE('',#222447,#44924); #27297=LINE('',#222450,#44925); #27298=LINE('',#222452,#44926); #27299=LINE('',#222453,#44927); #27300=LINE('',#222456,#44928); #27301=LINE('',#222458,#44929); #27302=LINE('',#222459,#44930); #27303=LINE('',#222462,#44931); #27304=LINE('',#222464,#44932); #27305=LINE('',#222465,#44933); #27306=LINE('',#222470,#44934); #27307=LINE('',#222474,#44935); #27308=LINE('',#222476,#44936); #27309=LINE('',#222477,#44937); #27310=LINE('',#222480,#44938); #27311=LINE('',#222482,#44939); #27312=LINE('',#222483,#44940); #27313=LINE('',#222488,#44941); #27314=LINE('',#222492,#44942); #27315=LINE('',#222494,#44943); #27316=LINE('',#222495,#44944); #27317=LINE('',#222498,#44945); #27318=LINE('',#222500,#44946); #27319=LINE('',#222501,#44947); #27320=LINE('',#222504,#44948); #27321=LINE('',#222506,#44949); #27322=LINE('',#222507,#44950); #27323=LINE('',#222510,#44951); #27324=LINE('',#222512,#44952); #27325=LINE('',#222513,#44953); #27326=LINE('',#222516,#44954); #27327=LINE('',#222518,#44955); #27328=LINE('',#222519,#44956); #27329=LINE('',#222522,#44957); #27330=LINE('',#222524,#44958); #27331=LINE('',#222525,#44959); #27332=LINE('',#222528,#44960); #27333=LINE('',#222530,#44961); #27334=LINE('',#222531,#44962); #27335=LINE('',#222534,#44963); #27336=LINE('',#222536,#44964); #27337=LINE('',#222537,#44965); #27338=LINE('',#222542,#44966); #27339=LINE('',#222548,#44967); #27340=LINE('',#222552,#44968); #27341=LINE('',#222554,#44969); #27342=LINE('',#222555,#44970); #27343=LINE('',#222558,#44971); #27344=LINE('',#222560,#44972); #27345=LINE('',#222561,#44973); #27346=LINE('',#222566,#44974); #27347=LINE('',#222570,#44975); #27348=LINE('',#222572,#44976); #27349=LINE('',#222573,#44977); #27350=LINE('',#222576,#44978); #27351=LINE('',#222578,#44979); #27352=LINE('',#222579,#44980); #27353=LINE('',#222584,#44981); #27354=LINE('',#222588,#44982); #27355=LINE('',#222590,#44983); #27356=LINE('',#222591,#44984); #27357=LINE('',#222593,#44985); #27358=LINE('',#222594,#44986); #27359=LINE('',#222599,#44987); #27360=LINE('',#222601,#44988); #27361=LINE('',#222603,#44989); #27362=LINE('',#222604,#44990); #27363=LINE('',#222609,#44991); #27364=LINE('',#222613,#44992); #27365=LINE('',#222615,#44993); #27366=LINE('',#222616,#44994); #27367=LINE('',#222619,#44995); #27368=LINE('',#222621,#44996); #27369=LINE('',#222622,#44997); #27370=LINE('',#222625,#44998); #27371=LINE('',#222627,#44999); #27372=LINE('',#222628,#45000); #27373=LINE('',#222631,#45001); #27374=LINE('',#222633,#45002); #27375=LINE('',#222634,#45003); #27376=LINE('',#222637,#45004); #27377=LINE('',#222639,#45005); #27378=LINE('',#222640,#45006); #27379=LINE('',#222643,#45007); #27380=LINE('',#222645,#45008); #27381=LINE('',#222646,#45009); #27382=LINE('',#222651,#45010); #27383=LINE('',#222655,#45011); #27384=LINE('',#222657,#45012); #27385=LINE('',#222658,#45013); #27386=LINE('',#222661,#45014); #27387=LINE('',#222663,#45015); #27388=LINE('',#222664,#45016); #27389=LINE('',#222667,#45017); #27390=LINE('',#222669,#45018); #27391=LINE('',#222670,#45019); #27392=LINE('',#222673,#45020); #27393=LINE('',#222675,#45021); #27394=LINE('',#222676,#45022); #27395=LINE('',#222679,#45023); #27396=LINE('',#222681,#45024); #27397=LINE('',#222682,#45025); #27398=LINE('',#222685,#45026); #27399=LINE('',#222687,#45027); #27400=LINE('',#222688,#45028); #27401=LINE('',#222691,#45029); #27402=LINE('',#222693,#45030); #27403=LINE('',#222694,#45031); #27404=LINE('',#222697,#45032); #27405=LINE('',#222699,#45033); #27406=LINE('',#222700,#45034); #27407=LINE('',#222703,#45035); #27408=LINE('',#222705,#45036); #27409=LINE('',#222706,#45037); #27410=LINE('',#222709,#45038); #27411=LINE('',#222711,#45039); #27412=LINE('',#222712,#45040); #27413=LINE('',#222717,#45041); #27414=LINE('',#222721,#45042); #27415=LINE('',#222723,#45043); #27416=LINE('',#222724,#45044); #27417=LINE('',#222727,#45045); #27418=LINE('',#222729,#45046); #27419=LINE('',#222730,#45047); #27420=LINE('',#222733,#45048); #27421=LINE('',#222735,#45049); #27422=LINE('',#222736,#45050); #27423=LINE('',#222739,#45051); #27424=LINE('',#222741,#45052); #27425=LINE('',#222742,#45053); #27426=LINE('',#222745,#45054); #27427=LINE('',#222747,#45055); #27428=LINE('',#222748,#45056); #27429=LINE('',#222751,#45057); #27430=LINE('',#222753,#45058); #27431=LINE('',#222754,#45059); #27432=LINE('',#222757,#45060); #27433=LINE('',#222759,#45061); #27434=LINE('',#222760,#45062); #27435=LINE('',#222763,#45063); #27436=LINE('',#222765,#45064); #27437=LINE('',#222766,#45065); #27438=LINE('',#222769,#45066); #27439=LINE('',#222771,#45067); #27440=LINE('',#222772,#45068); #27441=LINE('',#222777,#45069); #27442=LINE('',#222781,#45070); #27443=LINE('',#222783,#45071); #27444=LINE('',#222784,#45072); #27445=LINE('',#222789,#45073); #27446=LINE('',#222795,#45074); #27447=LINE('',#222799,#45075); #27448=LINE('',#222801,#45076); #27449=LINE('',#222802,#45077); #27450=LINE('',#222805,#45078); #27451=LINE('',#222807,#45079); #27452=LINE('',#222808,#45080); #27453=LINE('',#222811,#45081); #27454=LINE('',#222813,#45082); #27455=LINE('',#222814,#45083); #27456=LINE('',#222817,#45084); #27457=LINE('',#222819,#45085); #27458=LINE('',#222820,#45086); #27459=LINE('',#222823,#45087); #27460=LINE('',#222825,#45088); #27461=LINE('',#222826,#45089); #27462=LINE('',#222829,#45090); #27463=LINE('',#222831,#45091); #27464=LINE('',#222832,#45092); #27465=LINE('',#222837,#45093); #27466=LINE('',#222841,#45094); #27467=LINE('',#222843,#45095); #27468=LINE('',#222844,#45096); #27469=LINE('',#222847,#45097); #27470=LINE('',#222849,#45098); #27471=LINE('',#222850,#45099); #27472=LINE('',#222853,#45100); #27473=LINE('',#222855,#45101); #27474=LINE('',#222856,#45102); #27475=LINE('',#222859,#45103); #27476=LINE('',#222861,#45104); #27477=LINE('',#222862,#45105); #27478=LINE('',#222865,#45106); #27479=LINE('',#222867,#45107); #27480=LINE('',#222868,#45108); #27481=LINE('',#222871,#45109); #27482=LINE('',#222873,#45110); #27483=LINE('',#222874,#45111); #27484=LINE('',#222877,#45112); #27485=LINE('',#222879,#45113); #27486=LINE('',#222880,#45114); #27487=LINE('',#222883,#45115); #27488=LINE('',#222885,#45116); #27489=LINE('',#222886,#45117); #27490=LINE('',#222891,#45118); #27491=LINE('',#222895,#45119); #27492=LINE('',#222897,#45120); #27493=LINE('',#222898,#45121); #27494=LINE('',#222901,#45122); #27495=LINE('',#222903,#45123); #27496=LINE('',#222904,#45124); #27497=LINE('',#222907,#45125); #27498=LINE('',#222909,#45126); #27499=LINE('',#222910,#45127); #27500=LINE('',#222913,#45128); #27501=LINE('',#222915,#45129); #27502=LINE('',#222916,#45130); #27503=LINE('',#222921,#45131); #27504=LINE('',#222925,#45132); #27505=LINE('',#222927,#45133); #27506=LINE('',#222928,#45134); #27507=LINE('',#222931,#45135); #27508=LINE('',#222933,#45136); #27509=LINE('',#222934,#45137); #27510=LINE('',#222937,#45138); #27511=LINE('',#222939,#45139); #27512=LINE('',#222940,#45140); #27513=LINE('',#222945,#45141); #27514=LINE('',#222949,#45142); #27515=LINE('',#222951,#45143); #27516=LINE('',#222952,#45144); #27517=LINE('',#222955,#45145); #27518=LINE('',#222957,#45146); #27519=LINE('',#222958,#45147); #27520=LINE('',#222963,#45148); #27521=LINE('',#222969,#45149); #27522=LINE('',#222973,#45150); #27523=LINE('',#222975,#45151); #27524=LINE('',#222976,#45152); #27525=LINE('',#222979,#45153); #27526=LINE('',#222981,#45154); #27527=LINE('',#222982,#45155); #27528=LINE('',#222985,#45156); #27529=LINE('',#222987,#45157); #27530=LINE('',#222988,#45158); #27531=LINE('',#222991,#45159); #27532=LINE('',#222993,#45160); #27533=LINE('',#222994,#45161); #27534=LINE('',#222997,#45162); #27535=LINE('',#222999,#45163); #27536=LINE('',#223000,#45164); #27537=LINE('',#223003,#45165); #27538=LINE('',#223005,#45166); #27539=LINE('',#223006,#45167); #27540=LINE('',#223009,#45168); #27541=LINE('',#223011,#45169); #27542=LINE('',#223012,#45170); #27543=LINE('',#223015,#45171); #27544=LINE('',#223017,#45172); #27545=LINE('',#223018,#45173); #27546=LINE('',#223021,#45174); #27547=LINE('',#223023,#45175); #27548=LINE('',#223024,#45176); #27549=LINE('',#223029,#45177); #27550=LINE('',#223033,#45178); #27551=LINE('',#223035,#45179); #27552=LINE('',#223036,#45180); #27553=LINE('',#223041,#45181); #27554=LINE('',#223045,#45182); #27555=LINE('',#223047,#45183); #27556=LINE('',#223048,#45184); #27557=LINE('',#223051,#45185); #27558=LINE('',#223053,#45186); #27559=LINE('',#223054,#45187); #27560=LINE('',#223057,#45188); #27561=LINE('',#223059,#45189); #27562=LINE('',#223060,#45190); #27563=LINE('',#223063,#45191); #27564=LINE('',#223065,#45192); #27565=LINE('',#223066,#45193); #27566=LINE('',#223069,#45194); #27567=LINE('',#223071,#45195); #27568=LINE('',#223072,#45196); #27569=LINE('',#223075,#45197); #27570=LINE('',#223077,#45198); #27571=LINE('',#223078,#45199); #27572=LINE('',#223081,#45200); #27573=LINE('',#223083,#45201); #27574=LINE('',#223084,#45202); #27575=LINE('',#223089,#45203); #27576=LINE('',#223093,#45204); #27577=LINE('',#223095,#45205); #27578=LINE('',#223096,#45206); #27579=LINE('',#223099,#45207); #27580=LINE('',#223101,#45208); #27581=LINE('',#223102,#45209); #27582=LINE('',#223105,#45210); #27583=LINE('',#223107,#45211); #27584=LINE('',#223108,#45212); #27585=LINE('',#223111,#45213); #27586=LINE('',#223113,#45214); #27587=LINE('',#223114,#45215); #27588=LINE('',#223119,#45216); #27589=LINE('',#223123,#45217); #27590=LINE('',#223125,#45218); #27591=LINE('',#223126,#45219); #27592=LINE('',#223129,#45220); #27593=LINE('',#223131,#45221); #27594=LINE('',#223132,#45222); #27595=LINE('',#223137,#45223); #27596=LINE('',#223141,#45224); #27597=LINE('',#223143,#45225); #27598=LINE('',#223144,#45226); #27599=LINE('',#223149,#45227); #27600=LINE('',#223153,#45228); #27601=LINE('',#223155,#45229); #27602=LINE('',#223156,#45230); #27603=LINE('',#223159,#45231); #27604=LINE('',#223161,#45232); #27605=LINE('',#223162,#45233); #27606=LINE('',#223165,#45234); #27607=LINE('',#223167,#45235); #27608=LINE('',#223168,#45236); #27609=LINE('',#223171,#45237); #27610=LINE('',#223173,#45238); #27611=LINE('',#223174,#45239); #27612=LINE('',#223177,#45240); #27613=LINE('',#223179,#45241); #27614=LINE('',#223180,#45242); #27615=LINE('',#223183,#45243); #27616=LINE('',#223185,#45244); #27617=LINE('',#223186,#45245); #27618=LINE('',#223189,#45246); #27619=LINE('',#223191,#45247); #27620=LINE('',#223192,#45248); #27621=LINE('',#223195,#45249); #27622=LINE('',#223197,#45250); #27623=LINE('',#223198,#45251); #27624=LINE('',#223201,#45252); #27625=LINE('',#223203,#45253); #27626=LINE('',#223204,#45254); #27627=LINE('',#223207,#45255); #27628=LINE('',#223209,#45256); #27629=LINE('',#223210,#45257); #27630=LINE('',#223215,#45258); #27631=LINE('',#223219,#45259); #27632=LINE('',#223221,#45260); #27633=LINE('',#223222,#45261); #27634=LINE('',#223225,#45262); #27635=LINE('',#223227,#45263); #27636=LINE('',#223228,#45264); #27637=LINE('',#223231,#45265); #27638=LINE('',#223233,#45266); #27639=LINE('',#223234,#45267); #27640=LINE('',#223237,#45268); #27641=LINE('',#223239,#45269); #27642=LINE('',#223240,#45270); #27643=LINE('',#223243,#45271); #27644=LINE('',#223245,#45272); #27645=LINE('',#223246,#45273); #27646=LINE('',#223249,#45274); #27647=LINE('',#223251,#45275); #27648=LINE('',#223252,#45276); #27649=LINE('',#223255,#45277); #27650=LINE('',#223257,#45278); #27651=LINE('',#223258,#45279); #27652=LINE('',#223261,#45280); #27653=LINE('',#223263,#45281); #27654=LINE('',#223264,#45282); #27655=LINE('',#223267,#45283); #27656=LINE('',#223269,#45284); #27657=LINE('',#223270,#45285); #27658=LINE('',#223273,#45286); #27659=LINE('',#223275,#45287); #27660=LINE('',#223276,#45288); #27661=LINE('',#223279,#45289); #27662=LINE('',#223281,#45290); #27663=LINE('',#223282,#45291); #27664=LINE('',#223284,#45292); #27665=LINE('',#223285,#45293); #27666=LINE('',#223290,#45294); #27667=LINE('',#223292,#45295); #27668=LINE('',#223294,#45296); #27669=LINE('',#223295,#45297); #27670=LINE('',#223300,#45298); #27671=LINE('',#223306,#45299); #27672=LINE('',#223312,#45300); #27673=LINE('',#223316,#45301); #27674=LINE('',#223318,#45302); #27675=LINE('',#223319,#45303); #27676=LINE('',#223321,#45304); #27677=LINE('',#223322,#45305); #27678=LINE('',#223326,#45306); #27679=LINE('',#223328,#45307); #27680=LINE('',#223330,#45308); #27681=LINE('',#223331,#45309); #27682=LINE('',#223334,#45310); #27683=LINE('',#223336,#45311); #27684=LINE('',#223337,#45312); #27685=LINE('',#223342,#45313); #27686=LINE('',#223346,#45314); #27687=LINE('',#223348,#45315); #27688=LINE('',#223349,#45316); #27689=LINE('',#223354,#45317); #27690=LINE('',#223360,#45318); #27691=LINE('',#223364,#45319); #27692=LINE('',#223366,#45320); #27693=LINE('',#223367,#45321); #27694=LINE('',#223370,#45322); #27695=LINE('',#223372,#45323); #27696=LINE('',#223373,#45324); #27697=LINE('',#223376,#45325); #27698=LINE('',#223378,#45326); #27699=LINE('',#223379,#45327); #27700=LINE('',#223384,#45328); #27701=LINE('',#223388,#45329); #27702=LINE('',#223390,#45330); #27703=LINE('',#223391,#45331); #27704=LINE('',#223394,#45332); #27705=LINE('',#223396,#45333); #27706=LINE('',#223397,#45334); #27707=LINE('',#223402,#45335); #27708=LINE('',#223408,#45336); #27709=LINE('',#223412,#45337); #27710=LINE('',#223414,#45338); #27711=LINE('',#223415,#45339); #27712=LINE('',#223420,#45340); #27713=LINE('',#223426,#45341); #27714=LINE('',#223432,#45342); #27715=LINE('',#223438,#45343); #27716=LINE('',#223444,#45344); #27717=LINE('',#223448,#45345); #27718=LINE('',#223450,#45346); #27719=LINE('',#223451,#45347); #27720=LINE('',#223454,#45348); #27721=LINE('',#223456,#45349); #27722=LINE('',#223457,#45350); #27723=LINE('',#223460,#45351); #27724=LINE('',#223462,#45352); #27725=LINE('',#223463,#45353); #27726=LINE('',#223466,#45354); #27727=LINE('',#223468,#45355); #27728=LINE('',#223469,#45356); #27729=LINE('',#223474,#45357); #27730=LINE('',#223478,#45358); #27731=LINE('',#223480,#45359); #27732=LINE('',#223481,#45360); #27733=LINE('',#223484,#45361); #27734=LINE('',#223486,#45362); #27735=LINE('',#223487,#45363); #27736=LINE('',#223490,#45364); #27737=LINE('',#223492,#45365); #27738=LINE('',#223493,#45366); #27739=LINE('',#223498,#45367); #27740=LINE('',#223504,#45368); #27741=LINE('',#223508,#45369); #27742=LINE('',#223510,#45370); #27743=LINE('',#223511,#45371); #27744=LINE('',#223514,#45372); #27745=LINE('',#223516,#45373); #27746=LINE('',#223517,#45374); #27747=LINE('',#223522,#45375); #27748=LINE('',#223526,#45376); #27749=LINE('',#223528,#45377); #27750=LINE('',#223529,#45378); #27751=LINE('',#223534,#45379); #27752=LINE('',#223538,#45380); #27753=LINE('',#223540,#45381); #27754=LINE('',#223541,#45382); #27755=LINE('',#223544,#45383); #27756=LINE('',#223546,#45384); #27757=LINE('',#223547,#45385); #27758=LINE('',#223550,#45386); #27759=LINE('',#223552,#45387); #27760=LINE('',#223553,#45388); #27761=LINE('',#223558,#45389); #27762=LINE('',#223562,#45390); #27763=LINE('',#223564,#45391); #27764=LINE('',#223565,#45392); #27765=LINE('',#223568,#45393); #27766=LINE('',#223570,#45394); #27767=LINE('',#223571,#45395); #27768=LINE('',#223574,#45396); #27769=LINE('',#223576,#45397); #27770=LINE('',#223577,#45398); #27771=LINE('',#223580,#45399); #27772=LINE('',#223582,#45400); #27773=LINE('',#223583,#45401); #27774=LINE('',#223586,#45402); #27775=LINE('',#223588,#45403); #27776=LINE('',#223589,#45404); #27777=LINE('',#223592,#45405); #27778=LINE('',#223594,#45406); #27779=LINE('',#223595,#45407); #27780=LINE('',#223598,#45408); #27781=LINE('',#223600,#45409); #27782=LINE('',#223601,#45410); #27783=LINE('',#223604,#45411); #27784=LINE('',#223606,#45412); #27785=LINE('',#223607,#45413); #27786=LINE('',#223612,#45414); #27787=LINE('',#223616,#45415); #27788=LINE('',#223618,#45416); #27789=LINE('',#223619,#45417); #27790=LINE('',#223622,#45418); #27791=LINE('',#223624,#45419); #27792=LINE('',#223625,#45420); #27793=LINE('',#223628,#45421); #27794=LINE('',#223630,#45422); #27795=LINE('',#223631,#45423); #27796=LINE('',#223634,#45424); #27797=LINE('',#223636,#45425); #27798=LINE('',#223637,#45426); #27799=LINE('',#223640,#45427); #27800=LINE('',#223642,#45428); #27801=LINE('',#223643,#45429); #27802=LINE('',#223646,#45430); #27803=LINE('',#223648,#45431); #27804=LINE('',#223649,#45432); #27805=LINE('',#223652,#45433); #27806=LINE('',#223654,#45434); #27807=LINE('',#223655,#45435); #27808=LINE('',#223658,#45436); #27809=LINE('',#223660,#45437); #27810=LINE('',#223661,#45438); #27811=LINE('',#223666,#45439); #27812=LINE('',#223672,#45440); #27813=LINE('',#223678,#45441); #27814=LINE('',#223682,#45442); #27815=LINE('',#223684,#45443); #27816=LINE('',#223685,#45444); #27817=LINE('',#223688,#45445); #27818=LINE('',#223690,#45446); #27819=LINE('',#223691,#45447); #27820=LINE('',#223696,#45448); #27821=LINE('',#223702,#45449); #27822=LINE('',#223706,#45450); #27823=LINE('',#223708,#45451); #27824=LINE('',#223709,#45452); #27825=LINE('',#223712,#45453); #27826=LINE('',#223714,#45454); #27827=LINE('',#223715,#45455); #27828=LINE('',#223720,#45456); #27829=LINE('',#223726,#45457); #27830=LINE('',#223730,#45458); #27831=LINE('',#223732,#45459); #27832=LINE('',#223733,#45460); #27833=LINE('',#223738,#45461); #27834=LINE('',#223742,#45462); #27835=LINE('',#223744,#45463); #27836=LINE('',#223745,#45464); #27837=LINE('',#223750,#45465); #27838=LINE('',#223754,#45466); #27839=LINE('',#223756,#45467); #27840=LINE('',#223757,#45468); #27841=LINE('',#223760,#45469); #27842=LINE('',#223762,#45470); #27843=LINE('',#223763,#45471); #27844=LINE('',#223766,#45472); #27845=LINE('',#223768,#45473); #27846=LINE('',#223769,#45474); #27847=LINE('',#223772,#45475); #27848=LINE('',#223774,#45476); #27849=LINE('',#223775,#45477); #27850=LINE('',#223780,#45478); #27851=LINE('',#223784,#45479); #27852=LINE('',#223786,#45480); #27853=LINE('',#223787,#45481); #27854=LINE('',#223792,#45482); #27855=LINE('',#223798,#45483); #27856=LINE('',#223802,#45484); #27857=LINE('',#223804,#45485); #27858=LINE('',#223805,#45486); #27859=LINE('',#223807,#45487); #27860=LINE('',#223808,#45488); #27861=LINE('',#223813,#45489); #27862=LINE('',#223815,#45490); #27863=LINE('',#223817,#45491); #27864=LINE('',#223818,#45492); #27865=LINE('',#223823,#45493); #27866=LINE('',#223827,#45494); #27867=LINE('',#223829,#45495); #27868=LINE('',#223830,#45496); #27869=LINE('',#223833,#45497); #27870=LINE('',#223835,#45498); #27871=LINE('',#223836,#45499); #27872=LINE('',#223839,#45500); #27873=LINE('',#223841,#45501); #27874=LINE('',#223842,#45502); #27875=LINE('',#223845,#45503); #27876=LINE('',#223847,#45504); #27877=LINE('',#223848,#45505); #27878=LINE('',#223853,#45506); #27879=LINE('',#223857,#45507); #27880=LINE('',#223859,#45508); #27881=LINE('',#223860,#45509); #27882=LINE('',#223863,#45510); #27883=LINE('',#223865,#45511); #27884=LINE('',#223866,#45512); #27885=LINE('',#223871,#45513); #27886=LINE('',#223875,#45514); #27887=LINE('',#223877,#45515); #27888=LINE('',#223878,#45516); #27889=LINE('',#223880,#45517); #27890=LINE('',#223881,#45518); #27891=LINE('',#223888,#45519); #27892=LINE('',#223891,#45520); #27893=LINE('',#223894,#45521); #27894=LINE('',#223896,#45522); #27895=LINE('',#223897,#45523); #27896=LINE('',#223900,#45524); #27897=LINE('',#223902,#45525); #27898=LINE('',#223903,#45526); #27899=LINE('',#223906,#45527); #27900=LINE('',#223908,#45528); #27901=LINE('',#223909,#45529); #27902=LINE('',#223912,#45530); #27903=LINE('',#223914,#45531); #27904=LINE('',#223915,#45532); #27905=LINE('',#223918,#45533); #27906=LINE('',#223920,#45534); #27907=LINE('',#223921,#45535); #27908=LINE('',#223924,#45536); #27909=LINE('',#223926,#45537); #27910=LINE('',#223927,#45538); #27911=LINE('',#223929,#45539); #27912=LINE('',#223930,#45540); #27913=LINE('',#223934,#45541); #27914=LINE('',#223936,#45542); #27915=LINE('',#223938,#45543); #27916=LINE('',#223939,#45544); #27917=LINE('',#223942,#45545); #27918=LINE('',#223944,#45546); #27919=LINE('',#223945,#45547); #27920=LINE('',#223948,#45548); #27921=LINE('',#223950,#45549); #27922=LINE('',#223951,#45550); #27923=LINE('',#223954,#45551); #27924=LINE('',#223956,#45552); #27925=LINE('',#223957,#45553); #27926=LINE('',#223960,#45554); #27927=LINE('',#223962,#45555); #27928=LINE('',#223963,#45556); #27929=LINE('',#223966,#45557); #27930=LINE('',#223968,#45558); #27931=LINE('',#223969,#45559); #27932=LINE('',#223972,#45560); #27933=LINE('',#223974,#45561); #27934=LINE('',#223975,#45562); #27935=LINE('',#223978,#45563); #27936=LINE('',#223980,#45564); #27937=LINE('',#223981,#45565); #27938=LINE('',#223984,#45566); #27939=LINE('',#223986,#45567); #27940=LINE('',#223987,#45568); #27941=LINE('',#223990,#45569); #27942=LINE('',#223992,#45570); #27943=LINE('',#223993,#45571); #27944=LINE('',#223996,#45572); #27945=LINE('',#223998,#45573); #27946=LINE('',#223999,#45574); #27947=LINE('',#224002,#45575); #27948=LINE('',#224004,#45576); #27949=LINE('',#224005,#45577); #27950=LINE('',#224008,#45578); #27951=LINE('',#224010,#45579); #27952=LINE('',#224011,#45580); #27953=LINE('',#224014,#45581); #27954=LINE('',#224016,#45582); #27955=LINE('',#224017,#45583); #27956=LINE('',#224020,#45584); #27957=LINE('',#224022,#45585); #27958=LINE('',#224023,#45586); #27959=LINE('',#224026,#45587); #27960=LINE('',#224028,#45588); #27961=LINE('',#224029,#45589); #27962=LINE('',#224032,#45590); #27963=LINE('',#224034,#45591); #27964=LINE('',#224035,#45592); #27965=LINE('',#224038,#45593); #27966=LINE('',#224040,#45594); #27967=LINE('',#224041,#45595); #27968=LINE('',#224044,#45596); #27969=LINE('',#224046,#45597); #27970=LINE('',#224047,#45598); #27971=LINE('',#224050,#45599); #27972=LINE('',#224052,#45600); #27973=LINE('',#224053,#45601); #27974=LINE('',#224056,#45602); #27975=LINE('',#224058,#45603); #27976=LINE('',#224059,#45604); #27977=LINE('',#224064,#45605); #27978=LINE('',#224068,#45606); #27979=LINE('',#224070,#45607); #27980=LINE('',#224071,#45608); #27981=LINE('',#224074,#45609); #27982=LINE('',#224076,#45610); #27983=LINE('',#224077,#45611); #27984=LINE('',#224080,#45612); #27985=LINE('',#224082,#45613); #27986=LINE('',#224083,#45614); #27987=LINE('',#224086,#45615); #27988=LINE('',#224088,#45616); #27989=LINE('',#224089,#45617); #27990=LINE('',#224094,#45618); #27991=LINE('',#224098,#45619); #27992=LINE('',#224100,#45620); #27993=LINE('',#224101,#45621); #27994=LINE('',#224104,#45622); #27995=LINE('',#224106,#45623); #27996=LINE('',#224107,#45624); #27997=LINE('',#224110,#45625); #27998=LINE('',#224112,#45626); #27999=LINE('',#224113,#45627); #28000=LINE('',#224116,#45628); #28001=LINE('',#224118,#45629); #28002=LINE('',#224119,#45630); #28003=LINE('',#224122,#45631); #28004=LINE('',#224124,#45632); #28005=LINE('',#224125,#45633); #28006=LINE('',#224128,#45634); #28007=LINE('',#224130,#45635); #28008=LINE('',#224131,#45636); #28009=LINE('',#224134,#45637); #28010=LINE('',#224136,#45638); #28011=LINE('',#224137,#45639); #28012=LINE('',#224140,#45640); #28013=LINE('',#224142,#45641); #28014=LINE('',#224143,#45642); #28015=LINE('',#224146,#45643); #28016=LINE('',#224148,#45644); #28017=LINE('',#224149,#45645); #28018=LINE('',#224152,#45646); #28019=LINE('',#224154,#45647); #28020=LINE('',#224155,#45648); #28021=LINE('',#224158,#45649); #28022=LINE('',#224160,#45650); #28023=LINE('',#224161,#45651); #28024=LINE('',#224164,#45652); #28025=LINE('',#224166,#45653); #28026=LINE('',#224167,#45654); #28027=LINE('',#224170,#45655); #28028=LINE('',#224172,#45656); #28029=LINE('',#224173,#45657); #28030=LINE('',#224176,#45658); #28031=LINE('',#224178,#45659); #28032=LINE('',#224179,#45660); #28033=LINE('',#224182,#45661); #28034=LINE('',#224184,#45662); #28035=LINE('',#224185,#45663); #28036=LINE('',#224188,#45664); #28037=LINE('',#224190,#45665); #28038=LINE('',#224191,#45666); #28039=LINE('',#224194,#45667); #28040=LINE('',#224196,#45668); #28041=LINE('',#224197,#45669); #28042=LINE('',#224200,#45670); #28043=LINE('',#224202,#45671); #28044=LINE('',#224203,#45672); #28045=LINE('',#224206,#45673); #28046=LINE('',#224208,#45674); #28047=LINE('',#224209,#45675); #28048=LINE('',#224212,#45676); #28049=LINE('',#224214,#45677); #28050=LINE('',#224215,#45678); #28051=LINE('',#224218,#45679); #28052=LINE('',#224220,#45680); #28053=LINE('',#224221,#45681); #28054=LINE('',#224224,#45682); #28055=LINE('',#224226,#45683); #28056=LINE('',#224227,#45684); #28057=LINE('',#224230,#45685); #28058=LINE('',#224232,#45686); #28059=LINE('',#224233,#45687); #28060=LINE('',#224236,#45688); #28061=LINE('',#224238,#45689); #28062=LINE('',#224239,#45690); #28063=LINE('',#224244,#45691); #28064=LINE('',#224248,#45692); #28065=LINE('',#224250,#45693); #28066=LINE('',#224251,#45694); #28067=LINE('',#224254,#45695); #28068=LINE('',#224256,#45696); #28069=LINE('',#224257,#45697); #28070=LINE('',#224260,#45698); #28071=LINE('',#224262,#45699); #28072=LINE('',#224263,#45700); #28073=LINE('',#224266,#45701); #28074=LINE('',#224268,#45702); #28075=LINE('',#224269,#45703); #28076=LINE('',#224272,#45704); #28077=LINE('',#224274,#45705); #28078=LINE('',#224275,#45706); #28079=LINE('',#224278,#45707); #28080=LINE('',#224280,#45708); #28081=LINE('',#224281,#45709); #28082=LINE('',#224284,#45710); #28083=LINE('',#224286,#45711); #28084=LINE('',#224287,#45712); #28085=LINE('',#224290,#45713); #28086=LINE('',#224292,#45714); #28087=LINE('',#224293,#45715); #28088=LINE('',#224296,#45716); #28089=LINE('',#224298,#45717); #28090=LINE('',#224299,#45718); #28091=LINE('',#224302,#45719); #28092=LINE('',#224304,#45720); #28093=LINE('',#224305,#45721); #28094=LINE('',#224308,#45722); #28095=LINE('',#224310,#45723); #28096=LINE('',#224311,#45724); #28097=LINE('',#224314,#45725); #28098=LINE('',#224316,#45726); #28099=LINE('',#224317,#45727); #28100=LINE('',#224320,#45728); #28101=LINE('',#224322,#45729); #28102=LINE('',#224323,#45730); #28103=LINE('',#224326,#45731); #28104=LINE('',#224328,#45732); #28105=LINE('',#224329,#45733); #28106=LINE('',#224332,#45734); #28107=LINE('',#224334,#45735); #28108=LINE('',#224335,#45736); #28109=LINE('',#224338,#45737); #28110=LINE('',#224340,#45738); #28111=LINE('',#224341,#45739); #28112=LINE('',#224344,#45740); #28113=LINE('',#224346,#45741); #28114=LINE('',#224347,#45742); #28115=LINE('',#224350,#45743); #28116=LINE('',#224352,#45744); #28117=LINE('',#224353,#45745); #28118=LINE('',#224356,#45746); #28119=LINE('',#224358,#45747); #28120=LINE('',#224359,#45748); #28121=LINE('',#224362,#45749); #28122=LINE('',#224364,#45750); #28123=LINE('',#224365,#45751); #28124=LINE('',#224368,#45752); #28125=LINE('',#224370,#45753); #28126=LINE('',#224371,#45754); #28127=LINE('',#224374,#45755); #28128=LINE('',#224376,#45756); #28129=LINE('',#224377,#45757); #28130=LINE('',#224380,#45758); #28131=LINE('',#224382,#45759); #28132=LINE('',#224383,#45760); #28133=LINE('',#224386,#45761); #28134=LINE('',#224388,#45762); #28135=LINE('',#224389,#45763); #28136=LINE('',#224392,#45764); #28137=LINE('',#224394,#45765); #28138=LINE('',#224395,#45766); #28139=LINE('',#224400,#45767); #28140=LINE('',#224404,#45768); #28141=LINE('',#224406,#45769); #28142=LINE('',#224407,#45770); #28143=LINE('',#224410,#45771); #28144=LINE('',#224412,#45772); #28145=LINE('',#224413,#45773); #28146=LINE('',#224416,#45774); #28147=LINE('',#224418,#45775); #28148=LINE('',#224419,#45776); #28149=LINE('',#224424,#45777); #28150=LINE('',#224430,#45778); #28151=LINE('',#224436,#45779); #28152=LINE('',#224440,#45780); #28153=LINE('',#224442,#45781); #28154=LINE('',#224443,#45782); #28155=LINE('',#224446,#45783); #28156=LINE('',#224448,#45784); #28157=LINE('',#224449,#45785); #28158=LINE('',#224452,#45786); #28159=LINE('',#224454,#45787); #28160=LINE('',#224455,#45788); #28161=LINE('',#224458,#45789); #28162=LINE('',#224460,#45790); #28163=LINE('',#224461,#45791); #28164=LINE('',#224464,#45792); #28165=LINE('',#224466,#45793); #28166=LINE('',#224467,#45794); #28167=LINE('',#224470,#45795); #28168=LINE('',#224472,#45796); #28169=LINE('',#224473,#45797); #28170=LINE('',#224476,#45798); #28171=LINE('',#224478,#45799); #28172=LINE('',#224479,#45800); #28173=LINE('',#224482,#45801); #28174=LINE('',#224484,#45802); #28175=LINE('',#224485,#45803); #28176=LINE('',#224488,#45804); #28177=LINE('',#224490,#45805); #28178=LINE('',#224491,#45806); #28179=LINE('',#224494,#45807); #28180=LINE('',#224496,#45808); #28181=LINE('',#224497,#45809); #28182=LINE('',#224500,#45810); #28183=LINE('',#224502,#45811); #28184=LINE('',#224503,#45812); #28185=LINE('',#224506,#45813); #28186=LINE('',#224508,#45814); #28187=LINE('',#224509,#45815); #28188=LINE('',#224512,#45816); #28189=LINE('',#224514,#45817); #28190=LINE('',#224515,#45818); #28191=LINE('',#224518,#45819); #28192=LINE('',#224520,#45820); #28193=LINE('',#224521,#45821); #28194=LINE('',#224524,#45822); #28195=LINE('',#224526,#45823); #28196=LINE('',#224527,#45824); #28197=LINE('',#224530,#45825); #28198=LINE('',#224532,#45826); #28199=LINE('',#224533,#45827); #28200=LINE('',#224536,#45828); #28201=LINE('',#224538,#45829); #28202=LINE('',#224539,#45830); #28203=LINE('',#224542,#45831); #28204=LINE('',#224544,#45832); #28205=LINE('',#224545,#45833); #28206=LINE('',#224548,#45834); #28207=LINE('',#224550,#45835); #28208=LINE('',#224551,#45836); #28209=LINE('',#224554,#45837); #28210=LINE('',#224556,#45838); #28211=LINE('',#224557,#45839); #28212=LINE('',#224560,#45840); #28213=LINE('',#224562,#45841); #28214=LINE('',#224563,#45842); #28215=LINE('',#224566,#45843); #28216=LINE('',#224568,#45844); #28217=LINE('',#224569,#45845); #28218=LINE('',#224572,#45846); #28219=LINE('',#224574,#45847); #28220=LINE('',#224575,#45848); #28221=LINE('',#224580,#45849); #28222=LINE('',#224584,#45850); #28223=LINE('',#224586,#45851); #28224=LINE('',#224587,#45852); #28225=LINE('',#224590,#45853); #28226=LINE('',#224592,#45854); #28227=LINE('',#224593,#45855); #28228=LINE('',#224596,#45856); #28229=LINE('',#224598,#45857); #28230=LINE('',#224599,#45858); #28231=LINE('',#224602,#45859); #28232=LINE('',#224604,#45860); #28233=LINE('',#224605,#45861); #28234=LINE('',#224608,#45862); #28235=LINE('',#224610,#45863); #28236=LINE('',#224611,#45864); #28237=LINE('',#224614,#45865); #28238=LINE('',#224616,#45866); #28239=LINE('',#224617,#45867); #28240=LINE('',#224620,#45868); #28241=LINE('',#224622,#45869); #28242=LINE('',#224623,#45870); #28243=LINE('',#224626,#45871); #28244=LINE('',#224628,#45872); #28245=LINE('',#224629,#45873); #28246=LINE('',#224632,#45874); #28247=LINE('',#224634,#45875); #28248=LINE('',#224635,#45876); #28249=LINE('',#224638,#45877); #28250=LINE('',#224640,#45878); #28251=LINE('',#224641,#45879); #28252=LINE('',#224644,#45880); #28253=LINE('',#224646,#45881); #28254=LINE('',#224647,#45882); #28255=LINE('',#224650,#45883); #28256=LINE('',#224652,#45884); #28257=LINE('',#224653,#45885); #28258=LINE('',#224656,#45886); #28259=LINE('',#224658,#45887); #28260=LINE('',#224659,#45888); #28261=LINE('',#224662,#45889); #28262=LINE('',#224664,#45890); #28263=LINE('',#224665,#45891); #28264=LINE('',#224668,#45892); #28265=LINE('',#224670,#45893); #28266=LINE('',#224671,#45894); #28267=LINE('',#224674,#45895); #28268=LINE('',#224676,#45896); #28269=LINE('',#224677,#45897); #28270=LINE('',#224680,#45898); #28271=LINE('',#224682,#45899); #28272=LINE('',#224683,#45900); #28273=LINE('',#224686,#45901); #28274=LINE('',#224688,#45902); #28275=LINE('',#224689,#45903); #28276=LINE('',#224692,#45904); #28277=LINE('',#224694,#45905); #28278=LINE('',#224695,#45906); #28279=LINE('',#224698,#45907); #28280=LINE('',#224700,#45908); #28281=LINE('',#224701,#45909); #28282=LINE('',#224704,#45910); #28283=LINE('',#224706,#45911); #28284=LINE('',#224707,#45912); #28285=LINE('',#224710,#45913); #28286=LINE('',#224712,#45914); #28287=LINE('',#224713,#45915); #28288=LINE('',#224716,#45916); #28289=LINE('',#224718,#45917); #28290=LINE('',#224719,#45918); #28291=LINE('',#224722,#45919); #28292=LINE('',#224724,#45920); #28293=LINE('',#224725,#45921); #28294=LINE('',#224730,#45922); #28295=LINE('',#224734,#45923); #28296=LINE('',#224736,#45924); #28297=LINE('',#224737,#45925); #28298=LINE('',#224740,#45926); #28299=LINE('',#224742,#45927); #28300=LINE('',#224743,#45928); #28301=LINE('',#224746,#45929); #28302=LINE('',#224748,#45930); #28303=LINE('',#224749,#45931); #28304=LINE('',#224754,#45932); #28305=LINE('',#224758,#45933); #28306=LINE('',#224760,#45934); #28307=LINE('',#224761,#45935); #28308=LINE('',#224763,#45936); #28309=LINE('',#224764,#45937); #28310=LINE('',#224769,#45938); #28311=LINE('',#224771,#45939); #28312=LINE('',#224773,#45940); #28313=LINE('',#224774,#45941); #28314=LINE('',#224777,#45942); #28315=LINE('',#224779,#45943); #28316=LINE('',#224780,#45944); #28317=LINE('',#224783,#45945); #28318=LINE('',#224785,#45946); #28319=LINE('',#224786,#45947); #28320=LINE('',#224791,#45948); #28321=LINE('',#224797,#45949); #28322=LINE('',#224803,#45950); #28323=LINE('',#224809,#45951); #28324=LINE('',#224815,#45952); #28325=LINE('',#224818,#45953); #28326=LINE('',#224819,#45954); #28327=LINE('',#224825,#45955); #28328=LINE('',#224828,#45956); #28329=LINE('',#224833,#45957); #28330=LINE('',#224839,#45958); #28331=LINE('',#224843,#45959); #28332=LINE('',#224845,#45960); #28333=LINE('',#224846,#45961); #28334=LINE('',#224849,#45962); #28335=LINE('',#224851,#45963); #28336=LINE('',#224852,#45964); #28337=LINE('',#224855,#45965); #28338=LINE('',#224857,#45966); #28339=LINE('',#224858,#45967); #28340=LINE('',#224861,#45968); #28341=LINE('',#224863,#45969); #28342=LINE('',#224864,#45970); #28343=LINE('',#224867,#45971); #28344=LINE('',#224869,#45972); #28345=LINE('',#224870,#45973); #28346=LINE('',#224873,#45974); #28347=LINE('',#224875,#45975); #28348=LINE('',#224876,#45976); #28349=LINE('',#224879,#45977); #28350=LINE('',#224881,#45978); #28351=LINE('',#224882,#45979); #28352=LINE('',#224885,#45980); #28353=LINE('',#224887,#45981); #28354=LINE('',#224888,#45982); #28355=LINE('',#224893,#45983); #28356=LINE('',#224899,#45984); #28357=LINE('',#224905,#45985); #28358=LINE('',#224911,#45986); #28359=LINE('',#224917,#45987); #28360=LINE('',#224921,#45988); #28361=LINE('',#224923,#45989); #28362=LINE('',#224924,#45990); #28363=LINE('',#224929,#45991); #28364=LINE('',#224935,#45992); #28365=LINE('',#224941,#45993); #28366=LINE('',#224945,#45994); #28367=LINE('',#224947,#45995); #28368=LINE('',#224948,#45996); #28369=LINE('',#224951,#45997); #28370=LINE('',#224953,#45998); #28371=LINE('',#224954,#45999); #28372=LINE('',#224957,#46000); #28373=LINE('',#224959,#46001); #28374=LINE('',#224960,#46002); #28375=LINE('',#224963,#46003); #28376=LINE('',#224965,#46004); #28377=LINE('',#224966,#46005); #28378=LINE('',#224969,#46006); #28379=LINE('',#224971,#46007); #28380=LINE('',#224972,#46008); #28381=LINE('',#224977,#46009); #28382=LINE('',#224983,#46010); #28383=LINE('',#224989,#46011); #28384=LINE('',#224992,#46012); #28385=LINE('',#224993,#46013); #28386=LINE('',#225000,#46014); #28387=LINE('',#225003,#46015); #28388=LINE('',#225008,#46016); #28389=LINE('',#225014,#46017); #28390=LINE('',#225018,#46018); #28391=LINE('',#225020,#46019); #28392=LINE('',#225021,#46020); #28393=LINE('',#225023,#46021); #28394=LINE('',#225024,#46022); #28395=LINE('',#225028,#46023); #28396=LINE('',#225030,#46024); #28397=LINE('',#225032,#46025); #28398=LINE('',#225033,#46026); #28399=LINE('',#225036,#46027); #28400=LINE('',#225038,#46028); #28401=LINE('',#225039,#46029); #28402=LINE('',#225042,#46030); #28403=LINE('',#225044,#46031); #28404=LINE('',#225045,#46032); #28405=LINE('',#225048,#46033); #28406=LINE('',#225050,#46034); #28407=LINE('',#225051,#46035); #28408=LINE('',#225056,#46036); #28409=LINE('',#225060,#46037); #28410=LINE('',#225062,#46038); #28411=LINE('',#225063,#46039); #28412=LINE('',#225066,#46040); #28413=LINE('',#225068,#46041); #28414=LINE('',#225069,#46042); #28415=LINE('',#225072,#46043); #28416=LINE('',#225074,#46044); #28417=LINE('',#225075,#46045); #28418=LINE('',#225078,#46046); #28419=LINE('',#225080,#46047); #28420=LINE('',#225081,#46048); #28421=LINE('',#225084,#46049); #28422=LINE('',#225086,#46050); #28423=LINE('',#225087,#46051); #28424=LINE('',#225090,#46052); #28425=LINE('',#225092,#46053); #28426=LINE('',#225093,#46054); #28427=LINE('',#225096,#46055); #28428=LINE('',#225098,#46056); #28429=LINE('',#225099,#46057); #28430=LINE('',#225102,#46058); #28431=LINE('',#225104,#46059); #28432=LINE('',#225105,#46060); #28433=LINE('',#225108,#46061); #28434=LINE('',#225110,#46062); #28435=LINE('',#225111,#46063); #28436=LINE('',#225114,#46064); #28437=LINE('',#225116,#46065); #28438=LINE('',#225117,#46066); #28439=LINE('',#225120,#46067); #28440=LINE('',#225122,#46068); #28441=LINE('',#225123,#46069); #28442=LINE('',#225126,#46070); #28443=LINE('',#225128,#46071); #28444=LINE('',#225129,#46072); #28445=LINE('',#225132,#46073); #28446=LINE('',#225134,#46074); #28447=LINE('',#225135,#46075); #28448=LINE('',#225138,#46076); #28449=LINE('',#225140,#46077); #28450=LINE('',#225141,#46078); #28451=LINE('',#225146,#46079); #28452=LINE('',#225152,#46080); #28453=LINE('',#225158,#46081); #28454=LINE('',#225164,#46082); #28455=LINE('',#225170,#46083); #28456=LINE('',#225176,#46084); #28457=LINE('',#225182,#46085); #28458=LINE('',#225186,#46086); #28459=LINE('',#225188,#46087); #28460=LINE('',#225189,#46088); #28461=LINE('',#225192,#46089); #28462=LINE('',#225194,#46090); #28463=LINE('',#225195,#46091); #28464=LINE('',#225198,#46092); #28465=LINE('',#225200,#46093); #28466=LINE('',#225201,#46094); #28467=LINE('',#225204,#46095); #28468=LINE('',#225206,#46096); #28469=LINE('',#225207,#46097); #28470=LINE('',#225210,#46098); #28471=LINE('',#225212,#46099); #28472=LINE('',#225213,#46100); #28473=LINE('',#225216,#46101); #28474=LINE('',#225218,#46102); #28475=LINE('',#225219,#46103); #28476=LINE('',#225222,#46104); #28477=LINE('',#225224,#46105); #28478=LINE('',#225225,#46106); #28479=LINE('',#225228,#46107); #28480=LINE('',#225230,#46108); #28481=LINE('',#225231,#46109); #28482=LINE('',#225236,#46110); #28483=LINE('',#225240,#46111); #28484=LINE('',#225242,#46112); #28485=LINE('',#225243,#46113); #28486=LINE('',#225246,#46114); #28487=LINE('',#225248,#46115); #28488=LINE('',#225249,#46116); #28489=LINE('',#225252,#46117); #28490=LINE('',#225254,#46118); #28491=LINE('',#225255,#46119); #28492=LINE('',#225258,#46120); #28493=LINE('',#225260,#46121); #28494=LINE('',#225261,#46122); #28495=LINE('',#225264,#46123); #28496=LINE('',#225266,#46124); #28497=LINE('',#225267,#46125); #28498=LINE('',#225270,#46126); #28499=LINE('',#225272,#46127); #28500=LINE('',#225273,#46128); #28501=LINE('',#225276,#46129); #28502=LINE('',#225278,#46130); #28503=LINE('',#225279,#46131); #28504=LINE('',#225282,#46132); #28505=LINE('',#225284,#46133); #28506=LINE('',#225285,#46134); #28507=LINE('',#225288,#46135); #28508=LINE('',#225290,#46136); #28509=LINE('',#225291,#46137); #28510=LINE('',#225294,#46138); #28511=LINE('',#225296,#46139); #28512=LINE('',#225297,#46140); #28513=LINE('',#225300,#46141); #28514=LINE('',#225302,#46142); #28515=LINE('',#225303,#46143); #28516=LINE('',#225306,#46144); #28517=LINE('',#225308,#46145); #28518=LINE('',#225309,#46146); #28519=LINE('',#225312,#46147); #28520=LINE('',#225314,#46148); #28521=LINE('',#225315,#46149); #28522=LINE('',#225318,#46150); #28523=LINE('',#225320,#46151); #28524=LINE('',#225321,#46152); #28525=LINE('',#225326,#46153); #28526=LINE('',#225330,#46154); #28527=LINE('',#225332,#46155); #28528=LINE('',#225333,#46156); #28529=LINE('',#225336,#46157); #28530=LINE('',#225338,#46158); #28531=LINE('',#225339,#46159); #28532=LINE('',#225344,#46160); #28533=LINE('',#225348,#46161); #28534=LINE('',#225350,#46162); #28535=LINE('',#225351,#46163); #28536=LINE('',#225354,#46164); #28537=LINE('',#225356,#46165); #28538=LINE('',#225357,#46166); #28539=LINE('',#225359,#46167); #28540=LINE('',#225360,#46168); #28541=LINE('',#225367,#46169); #28542=LINE('',#225370,#46170); #28543=LINE('',#225373,#46171); #28544=LINE('',#225375,#46172); #28545=LINE('',#225376,#46173); #28546=LINE('',#225381,#46174); #28547=LINE('',#225387,#46175); #28548=LINE('',#225391,#46176); #28549=LINE('',#225393,#46177); #28550=LINE('',#225394,#46178); #28551=LINE('',#225397,#46179); #28552=LINE('',#225399,#46180); #28553=LINE('',#225400,#46181); #28554=LINE('',#225403,#46182); #28555=LINE('',#225405,#46183); #28556=LINE('',#225406,#46184); #28557=LINE('',#225409,#46185); #28558=LINE('',#225411,#46186); #28559=LINE('',#225412,#46187); #28560=LINE('',#225415,#46188); #28561=LINE('',#225417,#46189); #28562=LINE('',#225418,#46190); #28563=LINE('',#225421,#46191); #28564=LINE('',#225423,#46192); #28565=LINE('',#225424,#46193); #28566=LINE('',#225427,#46194); #28567=LINE('',#225429,#46195); #28568=LINE('',#225430,#46196); #28569=LINE('',#225433,#46197); #28570=LINE('',#225435,#46198); #28571=LINE('',#225436,#46199); #28572=LINE('',#225439,#46200); #28573=LINE('',#225441,#46201); #28574=LINE('',#225442,#46202); #28575=LINE('',#225447,#46203); #28576=LINE('',#225451,#46204); #28577=LINE('',#225453,#46205); #28578=LINE('',#225454,#46206); #28579=LINE('',#225457,#46207); #28580=LINE('',#225459,#46208); #28581=LINE('',#225460,#46209); #28582=LINE('',#225463,#46210); #28583=LINE('',#225465,#46211); #28584=LINE('',#225466,#46212); #28585=LINE('',#225469,#46213); #28586=LINE('',#225471,#46214); #28587=LINE('',#225472,#46215); #28588=LINE('',#225475,#46216); #28589=LINE('',#225477,#46217); #28590=LINE('',#225478,#46218); #28591=LINE('',#225481,#46219); #28592=LINE('',#225483,#46220); #28593=LINE('',#225484,#46221); #28594=LINE('',#225489,#46222); #28595=LINE('',#225493,#46223); #28596=LINE('',#225495,#46224); #28597=LINE('',#225496,#46225); #28598=LINE('',#225499,#46226); #28599=LINE('',#225501,#46227); #28600=LINE('',#225502,#46228); #28601=LINE('',#225505,#46229); #28602=LINE('',#225507,#46230); #28603=LINE('',#225508,#46231); #28604=LINE('',#225513,#46232); #28605=LINE('',#225519,#46233); #28606=LINE('',#225523,#46234); #28607=LINE('',#225525,#46235); #28608=LINE('',#225526,#46236); #28609=LINE('',#225529,#46237); #28610=LINE('',#225531,#46238); #28611=LINE('',#225532,#46239); #28612=LINE('',#225535,#46240); #28613=LINE('',#225537,#46241); #28614=LINE('',#225538,#46242); #28615=LINE('',#225541,#46243); #28616=LINE('',#225543,#46244); #28617=LINE('',#225544,#46245); #28618=LINE('',#225547,#46246); #28619=LINE('',#225549,#46247); #28620=LINE('',#225550,#46248); #28621=LINE('',#225553,#46249); #28622=LINE('',#225555,#46250); #28623=LINE('',#225556,#46251); #28624=LINE('',#225559,#46252); #28625=LINE('',#225561,#46253); #28626=LINE('',#225562,#46254); #28627=LINE('',#225565,#46255); #28628=LINE('',#225567,#46256); #28629=LINE('',#225568,#46257); #28630=LINE('',#225571,#46258); #28631=LINE('',#225573,#46259); #28632=LINE('',#225574,#46260); #28633=LINE('',#225577,#46261); #28634=LINE('',#225579,#46262); #28635=LINE('',#225580,#46263); #28636=LINE('',#225583,#46264); #28637=LINE('',#225585,#46265); #28638=LINE('',#225586,#46266); #28639=LINE('',#225589,#46267); #28640=LINE('',#225591,#46268); #28641=LINE('',#225592,#46269); #28642=LINE('',#225595,#46270); #28643=LINE('',#225597,#46271); #28644=LINE('',#225598,#46272); #28645=LINE('',#225601,#46273); #28646=LINE('',#225603,#46274); #28647=LINE('',#225604,#46275); #28648=LINE('',#225607,#46276); #28649=LINE('',#225609,#46277); #28650=LINE('',#225610,#46278); #28651=LINE('',#225613,#46279); #28652=LINE('',#225615,#46280); #28653=LINE('',#225616,#46281); #28654=LINE('',#225619,#46282); #28655=LINE('',#225621,#46283); #28656=LINE('',#225622,#46284); #28657=LINE('',#225625,#46285); #28658=LINE('',#225627,#46286); #28659=LINE('',#225628,#46287); #28660=LINE('',#225631,#46288); #28661=LINE('',#225633,#46289); #28662=LINE('',#225634,#46290); #28663=LINE('',#225637,#46291); #28664=LINE('',#225639,#46292); #28665=LINE('',#225640,#46293); #28666=LINE('',#225643,#46294); #28667=LINE('',#225645,#46295); #28668=LINE('',#225646,#46296); #28669=LINE('',#225649,#46297); #28670=LINE('',#225651,#46298); #28671=LINE('',#225652,#46299); #28672=LINE('',#225655,#46300); #28673=LINE('',#225657,#46301); #28674=LINE('',#225658,#46302); #28675=LINE('',#225661,#46303); #28676=LINE('',#225663,#46304); #28677=LINE('',#225664,#46305); #28678=LINE('',#225667,#46306); #28679=LINE('',#225669,#46307); #28680=LINE('',#225670,#46308); #28681=LINE('',#225675,#46309); #28682=LINE('',#225679,#46310); #28683=LINE('',#225681,#46311); #28684=LINE('',#225682,#46312); #28685=LINE('',#225687,#46313); #28686=LINE('',#225693,#46314); #28687=LINE('',#225699,#46315); #28688=LINE('',#225703,#46316); #28689=LINE('',#225705,#46317); #28690=LINE('',#225706,#46318); #28691=LINE('',#225711,#46319); #28692=LINE('',#225717,#46320); #28693=LINE('',#225723,#46321); #28694=LINE('',#225729,#46322); #28695=LINE('',#225733,#46323); #28696=LINE('',#225735,#46324); #28697=LINE('',#225736,#46325); #28698=LINE('',#225741,#46326); #28699=LINE('',#225745,#46327); #28700=LINE('',#225747,#46328); #28701=LINE('',#225748,#46329); #28702=LINE('',#225751,#46330); #28703=LINE('',#225753,#46331); #28704=LINE('',#225754,#46332); #28705=LINE('',#225757,#46333); #28706=LINE('',#225759,#46334); #28707=LINE('',#225760,#46335); #28708=LINE('',#225763,#46336); #28709=LINE('',#225765,#46337); #28710=LINE('',#225766,#46338); #28711=LINE('',#225769,#46339); #28712=LINE('',#225771,#46340); #28713=LINE('',#225772,#46341); #28714=LINE('',#225775,#46342); #28715=LINE('',#225777,#46343); #28716=LINE('',#225778,#46344); #28717=LINE('',#225781,#46345); #28718=LINE('',#225783,#46346); #28719=LINE('',#225784,#46347); #28720=LINE('',#225787,#46348); #28721=LINE('',#225789,#46349); #28722=LINE('',#225790,#46350); #28723=LINE('',#225793,#46351); #28724=LINE('',#225795,#46352); #28725=LINE('',#225796,#46353); #28726=LINE('',#225799,#46354); #28727=LINE('',#225801,#46355); #28728=LINE('',#225802,#46356); #28729=LINE('',#225805,#46357); #28730=LINE('',#225807,#46358); #28731=LINE('',#225808,#46359); #28732=LINE('',#225811,#46360); #28733=LINE('',#225813,#46361); #28734=LINE('',#225814,#46362); #28735=LINE('',#225817,#46363); #28736=LINE('',#225819,#46364); #28737=LINE('',#225820,#46365); #28738=LINE('',#225823,#46366); #28739=LINE('',#225825,#46367); #28740=LINE('',#225826,#46368); #28741=LINE('',#225829,#46369); #28742=LINE('',#225831,#46370); #28743=LINE('',#225832,#46371); #28744=LINE('',#225835,#46372); #28745=LINE('',#225837,#46373); #28746=LINE('',#225838,#46374); #28747=LINE('',#225841,#46375); #28748=LINE('',#225843,#46376); #28749=LINE('',#225844,#46377); #28750=LINE('',#225847,#46378); #28751=LINE('',#225849,#46379); #28752=LINE('',#225850,#46380); #28753=LINE('',#225853,#46381); #28754=LINE('',#225855,#46382); #28755=LINE('',#225856,#46383); #28756=LINE('',#225859,#46384); #28757=LINE('',#225861,#46385); #28758=LINE('',#225862,#46386); #28759=LINE('',#225865,#46387); #28760=LINE('',#225867,#46388); #28761=LINE('',#225868,#46389); #28762=LINE('',#225871,#46390); #28763=LINE('',#225873,#46391); #28764=LINE('',#225874,#46392); #28765=LINE('',#225879,#46393); #28766=LINE('',#225883,#46394); #28767=LINE('',#225885,#46395); #28768=LINE('',#225886,#46396); #28769=LINE('',#225889,#46397); #28770=LINE('',#225891,#46398); #28771=LINE('',#225892,#46399); #28772=LINE('',#225895,#46400); #28773=LINE('',#225897,#46401); #28774=LINE('',#225898,#46402); #28775=LINE('',#225901,#46403); #28776=LINE('',#225903,#46404); #28777=LINE('',#225904,#46405); #28778=LINE('',#225907,#46406); #28779=LINE('',#225909,#46407); #28780=LINE('',#225910,#46408); #28781=LINE('',#225915,#46409); #28782=LINE('',#225919,#46410); #28783=LINE('',#225921,#46411); #28784=LINE('',#225922,#46412); #28785=LINE('',#225925,#46413); #28786=LINE('',#225927,#46414); #28787=LINE('',#225928,#46415); #28788=LINE('',#225931,#46416); #28789=LINE('',#225933,#46417); #28790=LINE('',#225934,#46418); #28791=LINE('',#225937,#46419); #28792=LINE('',#225939,#46420); #28793=LINE('',#225940,#46421); #28794=LINE('',#225943,#46422); #28795=LINE('',#225945,#46423); #28796=LINE('',#225946,#46424); #28797=LINE('',#225949,#46425); #28798=LINE('',#225951,#46426); #28799=LINE('',#225952,#46427); #28800=LINE('',#225955,#46428); #28801=LINE('',#225957,#46429); #28802=LINE('',#225958,#46430); #28803=LINE('',#225961,#46431); #28804=LINE('',#225963,#46432); #28805=LINE('',#225964,#46433); #28806=LINE('',#225967,#46434); #28807=LINE('',#225969,#46435); #28808=LINE('',#225970,#46436); #28809=LINE('',#225973,#46437); #28810=LINE('',#225975,#46438); #28811=LINE('',#225976,#46439); #28812=LINE('',#225979,#46440); #28813=LINE('',#225981,#46441); #28814=LINE('',#225982,#46442); #28815=LINE('',#225985,#46443); #28816=LINE('',#225987,#46444); #28817=LINE('',#225988,#46445); #28818=LINE('',#225991,#46446); #28819=LINE('',#225993,#46447); #28820=LINE('',#225994,#46448); #28821=LINE('',#225997,#46449); #28822=LINE('',#225999,#46450); #28823=LINE('',#226000,#46451); #28824=LINE('',#226003,#46452); #28825=LINE('',#226005,#46453); #28826=LINE('',#226006,#46454); #28827=LINE('',#226009,#46455); #28828=LINE('',#226011,#46456); #28829=LINE('',#226012,#46457); #28830=LINE('',#226015,#46458); #28831=LINE('',#226017,#46459); #28832=LINE('',#226018,#46460); #28833=LINE('',#226021,#46461); #28834=LINE('',#226023,#46462); #28835=LINE('',#226024,#46463); #28836=LINE('',#226029,#46464); #28837=LINE('',#226035,#46465); #28838=LINE('',#226039,#46466); #28839=LINE('',#226041,#46467); #28840=LINE('',#226042,#46468); #28841=LINE('',#226047,#46469); #28842=LINE('',#226053,#46470); #28843=LINE('',#226059,#46471); #28844=LINE('',#226065,#46472); #28845=LINE('',#226069,#46473); #28846=LINE('',#226071,#46474); #28847=LINE('',#226072,#46475); #28848=LINE('',#226077,#46476); #28849=LINE('',#226083,#46477); #28850=LINE('',#226089,#46478); #28851=LINE('',#226100,#46479); #28852=LINE('',#226103,#46480); #28853=LINE('',#226108,#46481); #28854=LINE('',#226114,#46482); #28855=LINE('',#226120,#46483); #28856=LINE('',#226126,#46484); #28857=LINE('',#226132,#46485); #28858=LINE('',#226142,#46486); #28859=LINE('',#226145,#46487); #28860=LINE('',#226150,#46488); #28861=LINE('',#226156,#46489); #28862=LINE('',#226162,#46490); #28863=LINE('',#226168,#46491); #28864=LINE('',#226179,#46492); #28865=LINE('',#226182,#46493); #28866=LINE('',#226187,#46494); #28867=LINE('',#226191,#46495); #28868=LINE('',#226193,#46496); #28869=LINE('',#226194,#46497); #28870=LINE('',#226199,#46498); #28871=LINE('',#226205,#46499); #28872=LINE('',#226209,#46500); #28873=LINE('',#226211,#46501); #28874=LINE('',#226212,#46502); #28875=LINE('',#226215,#46503); #28876=LINE('',#226217,#46504); #28877=LINE('',#226218,#46505); #28878=LINE('',#226221,#46506); #28879=LINE('',#226223,#46507); #28880=LINE('',#226224,#46508); #28881=LINE('',#226229,#46509); #28882=LINE('',#226233,#46510); #28883=LINE('',#226235,#46511); #28884=LINE('',#226236,#46512); #28885=LINE('',#226239,#46513); #28886=LINE('',#226241,#46514); #28887=LINE('',#226242,#46515); #28888=LINE('',#226247,#46516); #28889=LINE('',#226253,#46517); #28890=LINE('',#226259,#46518); #28891=LINE('',#226263,#46519); #28892=LINE('',#226265,#46520); #28893=LINE('',#226266,#46521); #28894=LINE('',#226271,#46522); #28895=LINE('',#226277,#46523); #28896=LINE('',#226283,#46524); #28897=LINE('',#226287,#46525); #28898=LINE('',#226289,#46526); #28899=LINE('',#226290,#46527); #28900=LINE('',#226293,#46528); #28901=LINE('',#226295,#46529); #28902=LINE('',#226296,#46530); #28903=LINE('',#226299,#46531); #28904=LINE('',#226301,#46532); #28905=LINE('',#226302,#46533); #28906=LINE('',#226307,#46534); #28907=LINE('',#226311,#46535); #28908=LINE('',#226313,#46536); #28909=LINE('',#226314,#46537); #28910=LINE('',#226319,#46538); #28911=LINE('',#226323,#46539); #28912=LINE('',#226325,#46540); #28913=LINE('',#226326,#46541); #28914=LINE('',#226329,#46542); #28915=LINE('',#226331,#46543); #28916=LINE('',#226332,#46544); #28917=LINE('',#226335,#46545); #28918=LINE('',#226337,#46546); #28919=LINE('',#226338,#46547); #28920=LINE('',#226341,#46548); #28921=LINE('',#226343,#46549); #28922=LINE('',#226344,#46550); #28923=LINE('',#226346,#46551); #28924=LINE('',#226347,#46552); #28925=LINE('',#226354,#46553); #28926=LINE('',#226357,#46554); #28927=LINE('',#226362,#46555); #28928=LINE('',#226366,#46556); #28929=LINE('',#226368,#46557); #28930=LINE('',#226369,#46558); #28931=LINE('',#226372,#46559); #28932=LINE('',#226374,#46560); #28933=LINE('',#226375,#46561); #28934=LINE('',#226378,#46562); #28935=LINE('',#226380,#46563); #28936=LINE('',#226381,#46564); #28937=LINE('',#226384,#46565); #28938=LINE('',#226386,#46566); #28939=LINE('',#226387,#46567); #28940=LINE('',#226390,#46568); #28941=LINE('',#226392,#46569); #28942=LINE('',#226393,#46570); #28943=LINE('',#226396,#46571); #28944=LINE('',#226398,#46572); #28945=LINE('',#226399,#46573); #28946=LINE('',#226402,#46574); #28947=LINE('',#226404,#46575); #28948=LINE('',#226405,#46576); #28949=LINE('',#226408,#46577); #28950=LINE('',#226410,#46578); #28951=LINE('',#226411,#46579); #28952=LINE('',#226414,#46580); #28953=LINE('',#226416,#46581); #28954=LINE('',#226417,#46582); #28955=LINE('',#226420,#46583); #28956=LINE('',#226422,#46584); #28957=LINE('',#226423,#46585); #28958=LINE('',#226425,#46586); #28959=LINE('',#226426,#46587); #28960=LINE('',#226430,#46588); #28961=LINE('',#226432,#46589); #28962=LINE('',#226434,#46590); #28963=LINE('',#226435,#46591); #28964=LINE('',#226438,#46592); #28965=LINE('',#226440,#46593); #28966=LINE('',#226441,#46594); #28967=LINE('',#226444,#46595); #28968=LINE('',#226446,#46596); #28969=LINE('',#226447,#46597); #28970=LINE('',#226450,#46598); #28971=LINE('',#226452,#46599); #28972=LINE('',#226453,#46600); #28973=LINE('',#226456,#46601); #28974=LINE('',#226458,#46602); #28975=LINE('',#226459,#46603); #28976=LINE('',#226462,#46604); #28977=LINE('',#226464,#46605); #28978=LINE('',#226465,#46606); #28979=LINE('',#226468,#46607); #28980=LINE('',#226470,#46608); #28981=LINE('',#226471,#46609); #28982=LINE('',#226474,#46610); #28983=LINE('',#226476,#46611); #28984=LINE('',#226477,#46612); #28985=LINE('',#226480,#46613); #28986=LINE('',#226482,#46614); #28987=LINE('',#226483,#46615); #28988=LINE('',#226486,#46616); #28989=LINE('',#226488,#46617); #28990=LINE('',#226489,#46618); #28991=LINE('',#226492,#46619); #28992=LINE('',#226494,#46620); #28993=LINE('',#226495,#46621); #28994=LINE('',#226498,#46622); #28995=LINE('',#226500,#46623); #28996=LINE('',#226501,#46624); #28997=LINE('',#226504,#46625); #28998=LINE('',#226506,#46626); #28999=LINE('',#226507,#46627); #29000=LINE('',#226510,#46628); #29001=LINE('',#226512,#46629); #29002=LINE('',#226513,#46630); #29003=LINE('',#226516,#46631); #29004=LINE('',#226518,#46632); #29005=LINE('',#226519,#46633); #29006=LINE('',#226522,#46634); #29007=LINE('',#226524,#46635); #29008=LINE('',#226525,#46636); #29009=LINE('',#226528,#46637); #29010=LINE('',#226530,#46638); #29011=LINE('',#226531,#46639); #29012=LINE('',#226534,#46640); #29013=LINE('',#226536,#46641); #29014=LINE('',#226537,#46642); #29015=LINE('',#226540,#46643); #29016=LINE('',#226542,#46644); #29017=LINE('',#226543,#46645); #29018=LINE('',#226546,#46646); #29019=LINE('',#226548,#46647); #29020=LINE('',#226549,#46648); #29021=LINE('',#226552,#46649); #29022=LINE('',#226554,#46650); #29023=LINE('',#226555,#46651); #29024=LINE('',#226558,#46652); #29025=LINE('',#226560,#46653); #29026=LINE('',#226561,#46654); #29027=LINE('',#226564,#46655); #29028=LINE('',#226566,#46656); #29029=LINE('',#226567,#46657); #29030=LINE('',#226572,#46658); #29031=LINE('',#226576,#46659); #29032=LINE('',#226578,#46660); #29033=LINE('',#226579,#46661); #29034=LINE('',#226582,#46662); #29035=LINE('',#226584,#46663); #29036=LINE('',#226585,#46664); #29037=LINE('',#226588,#46665); #29038=LINE('',#226590,#46666); #29039=LINE('',#226591,#46667); #29040=LINE('',#226594,#46668); #29041=LINE('',#226596,#46669); #29042=LINE('',#226597,#46670); #29043=LINE('',#226600,#46671); #29044=LINE('',#226602,#46672); #29045=LINE('',#226603,#46673); #29046=LINE('',#226606,#46674); #29047=LINE('',#226608,#46675); #29048=LINE('',#226609,#46676); #29049=LINE('',#226612,#46677); #29050=LINE('',#226614,#46678); #29051=LINE('',#226615,#46679); #29052=LINE('',#226618,#46680); #29053=LINE('',#226620,#46681); #29054=LINE('',#226621,#46682); #29055=LINE('',#226624,#46683); #29056=LINE('',#226626,#46684); #29057=LINE('',#226627,#46685); #29058=LINE('',#226630,#46686); #29059=LINE('',#226632,#46687); #29060=LINE('',#226633,#46688); #29061=LINE('',#226636,#46689); #29062=LINE('',#226638,#46690); #29063=LINE('',#226639,#46691); #29064=LINE('',#226642,#46692); #29065=LINE('',#226644,#46693); #29066=LINE('',#226645,#46694); #29067=LINE('',#226648,#46695); #29068=LINE('',#226650,#46696); #29069=LINE('',#226651,#46697); #29070=LINE('',#226654,#46698); #29071=LINE('',#226656,#46699); #29072=LINE('',#226657,#46700); #29073=LINE('',#226660,#46701); #29074=LINE('',#226662,#46702); #29075=LINE('',#226663,#46703); #29076=LINE('',#226666,#46704); #29077=LINE('',#226668,#46705); #29078=LINE('',#226669,#46706); #29079=LINE('',#226672,#46707); #29080=LINE('',#226674,#46708); #29081=LINE('',#226675,#46709); #29082=LINE('',#226678,#46710); #29083=LINE('',#226680,#46711); #29084=LINE('',#226681,#46712); #29085=LINE('',#226684,#46713); #29086=LINE('',#226686,#46714); #29087=LINE('',#226687,#46715); #29088=LINE('',#226690,#46716); #29089=LINE('',#226692,#46717); #29090=LINE('',#226693,#46718); #29091=LINE('',#226696,#46719); #29092=LINE('',#226698,#46720); #29093=LINE('',#226699,#46721); #29094=LINE('',#226702,#46722); #29095=LINE('',#226704,#46723); #29096=LINE('',#226705,#46724); #29097=LINE('',#226708,#46725); #29098=LINE('',#226710,#46726); #29099=LINE('',#226711,#46727); #29100=LINE('',#226716,#46728); #29101=LINE('',#226720,#46729); #29102=LINE('',#226722,#46730); #29103=LINE('',#226723,#46731); #29104=LINE('',#226726,#46732); #29105=LINE('',#226728,#46733); #29106=LINE('',#226729,#46734); #29107=LINE('',#226732,#46735); #29108=LINE('',#226734,#46736); #29109=LINE('',#226735,#46737); #29110=LINE('',#226738,#46738); #29111=LINE('',#226740,#46739); #29112=LINE('',#226741,#46740); #29113=LINE('',#226746,#46741); #29114=LINE('',#226752,#46742); #29115=LINE('',#226756,#46743); #29116=LINE('',#226758,#46744); #29117=LINE('',#226759,#46745); #29118=LINE('',#226762,#46746); #29119=LINE('',#226764,#46747); #29120=LINE('',#226765,#46748); #29121=LINE('',#226768,#46749); #29122=LINE('',#226770,#46750); #29123=LINE('',#226771,#46751); #29124=LINE('',#226774,#46752); #29125=LINE('',#226776,#46753); #29126=LINE('',#226777,#46754); #29127=LINE('',#226780,#46755); #29128=LINE('',#226782,#46756); #29129=LINE('',#226783,#46757); #29130=LINE('',#226786,#46758); #29131=LINE('',#226788,#46759); #29132=LINE('',#226789,#46760); #29133=LINE('',#226792,#46761); #29134=LINE('',#226794,#46762); #29135=LINE('',#226795,#46763); #29136=LINE('',#226798,#46764); #29137=LINE('',#226800,#46765); #29138=LINE('',#226801,#46766); #29139=LINE('',#226804,#46767); #29140=LINE('',#226806,#46768); #29141=LINE('',#226807,#46769); #29142=LINE('',#226810,#46770); #29143=LINE('',#226812,#46771); #29144=LINE('',#226813,#46772); #29145=LINE('',#226816,#46773); #29146=LINE('',#226818,#46774); #29147=LINE('',#226819,#46775); #29148=LINE('',#226822,#46776); #29149=LINE('',#226824,#46777); #29150=LINE('',#226825,#46778); #29151=LINE('',#226828,#46779); #29152=LINE('',#226830,#46780); #29153=LINE('',#226831,#46781); #29154=LINE('',#226834,#46782); #29155=LINE('',#226836,#46783); #29156=LINE('',#226837,#46784); #29157=LINE('',#226840,#46785); #29158=LINE('',#226842,#46786); #29159=LINE('',#226843,#46787); #29160=LINE('',#226846,#46788); #29161=LINE('',#226848,#46789); #29162=LINE('',#226849,#46790); #29163=LINE('',#226852,#46791); #29164=LINE('',#226854,#46792); #29165=LINE('',#226855,#46793); #29166=LINE('',#226858,#46794); #29167=LINE('',#226860,#46795); #29168=LINE('',#226861,#46796); #29169=LINE('',#226864,#46797); #29170=LINE('',#226866,#46798); #29171=LINE('',#226867,#46799); #29172=LINE('',#226870,#46800); #29173=LINE('',#226872,#46801); #29174=LINE('',#226873,#46802); #29175=LINE('',#226876,#46803); #29176=LINE('',#226878,#46804); #29177=LINE('',#226879,#46805); #29178=LINE('',#226882,#46806); #29179=LINE('',#226884,#46807); #29180=LINE('',#226885,#46808); #29181=LINE('',#226888,#46809); #29182=LINE('',#226890,#46810); #29183=LINE('',#226891,#46811); #29184=LINE('',#226894,#46812); #29185=LINE('',#226896,#46813); #29186=LINE('',#226897,#46814); #29187=LINE('',#226902,#46815); #29188=LINE('',#226906,#46816); #29189=LINE('',#226908,#46817); #29190=LINE('',#226909,#46818); #29191=LINE('',#226912,#46819); #29192=LINE('',#226914,#46820); #29193=LINE('',#226915,#46821); #29194=LINE('',#226918,#46822); #29195=LINE('',#226920,#46823); #29196=LINE('',#226921,#46824); #29197=LINE('',#226924,#46825); #29198=LINE('',#226926,#46826); #29199=LINE('',#226927,#46827); #29200=LINE('',#226930,#46828); #29201=LINE('',#226932,#46829); #29202=LINE('',#226933,#46830); #29203=LINE('',#226936,#46831); #29204=LINE('',#226938,#46832); #29205=LINE('',#226939,#46833); #29206=LINE('',#226942,#46834); #29207=LINE('',#226944,#46835); #29208=LINE('',#226945,#46836); #29209=LINE('',#226948,#46837); #29210=LINE('',#226950,#46838); #29211=LINE('',#226951,#46839); #29212=LINE('',#226954,#46840); #29213=LINE('',#226956,#46841); #29214=LINE('',#226957,#46842); #29215=LINE('',#226960,#46843); #29216=LINE('',#226962,#46844); #29217=LINE('',#226963,#46845); #29218=LINE('',#226966,#46846); #29219=LINE('',#226968,#46847); #29220=LINE('',#226969,#46848); #29221=LINE('',#226972,#46849); #29222=LINE('',#226974,#46850); #29223=LINE('',#226975,#46851); #29224=LINE('',#226978,#46852); #29225=LINE('',#226980,#46853); #29226=LINE('',#226981,#46854); #29227=LINE('',#226984,#46855); #29228=LINE('',#226986,#46856); #29229=LINE('',#226987,#46857); #29230=LINE('',#226990,#46858); #29231=LINE('',#226992,#46859); #29232=LINE('',#226993,#46860); #29233=LINE('',#226996,#46861); #29234=LINE('',#226998,#46862); #29235=LINE('',#226999,#46863); #29236=LINE('',#227002,#46864); #29237=LINE('',#227004,#46865); #29238=LINE('',#227005,#46866); #29239=LINE('',#227008,#46867); #29240=LINE('',#227010,#46868); #29241=LINE('',#227011,#46869); #29242=LINE('',#227014,#46870); #29243=LINE('',#227016,#46871); #29244=LINE('',#227017,#46872); #29245=LINE('',#227020,#46873); #29246=LINE('',#227022,#46874); #29247=LINE('',#227023,#46875); #29248=LINE('',#227026,#46876); #29249=LINE('',#227028,#46877); #29250=LINE('',#227029,#46878); #29251=LINE('',#227032,#46879); #29252=LINE('',#227034,#46880); #29253=LINE('',#227035,#46881); #29254=LINE('',#227038,#46882); #29255=LINE('',#227040,#46883); #29256=LINE('',#227041,#46884); #29257=LINE('',#227046,#46885); #29258=LINE('',#227052,#46886); #29259=LINE('',#227056,#46887); #29260=LINE('',#227058,#46888); #29261=LINE('',#227059,#46889); #29262=LINE('',#227062,#46890); #29263=LINE('',#227064,#46891); #29264=LINE('',#227065,#46892); #29265=LINE('',#227068,#46893); #29266=LINE('',#227070,#46894); #29267=LINE('',#227071,#46895); #29268=LINE('',#227074,#46896); #29269=LINE('',#227076,#46897); #29270=LINE('',#227077,#46898); #29271=LINE('',#227080,#46899); #29272=LINE('',#227082,#46900); #29273=LINE('',#227083,#46901); #29274=LINE('',#227088,#46902); #29275=LINE('',#227092,#46903); #29276=LINE('',#227094,#46904); #29277=LINE('',#227095,#46905); #29278=LINE('',#227098,#46906); #29279=LINE('',#227100,#46907); #29280=LINE('',#227101,#46908); #29281=LINE('',#227104,#46909); #29282=LINE('',#227106,#46910); #29283=LINE('',#227107,#46911); #29284=LINE('',#227110,#46912); #29285=LINE('',#227112,#46913); #29286=LINE('',#227113,#46914); #29287=LINE('',#227116,#46915); #29288=LINE('',#227118,#46916); #29289=LINE('',#227119,#46917); #29290=LINE('',#227122,#46918); #29291=LINE('',#227124,#46919); #29292=LINE('',#227125,#46920); #29293=LINE('',#227128,#46921); #29294=LINE('',#227130,#46922); #29295=LINE('',#227131,#46923); #29296=LINE('',#227134,#46924); #29297=LINE('',#227136,#46925); #29298=LINE('',#227137,#46926); #29299=LINE('',#227142,#46927); #29300=LINE('',#227148,#46928); #29301=LINE('',#227152,#46929); #29302=LINE('',#227154,#46930); #29303=LINE('',#227155,#46931); #29304=LINE('',#227158,#46932); #29305=LINE('',#227160,#46933); #29306=LINE('',#227161,#46934); #29307=LINE('',#227164,#46935); #29308=LINE('',#227166,#46936); #29309=LINE('',#227167,#46937); #29310=LINE('',#227170,#46938); #29311=LINE('',#227172,#46939); #29312=LINE('',#227173,#46940); #29313=LINE('',#227176,#46941); #29314=LINE('',#227178,#46942); #29315=LINE('',#227179,#46943); #29316=LINE('',#227182,#46944); #29317=LINE('',#227184,#46945); #29318=LINE('',#227185,#46946); #29319=LINE('',#227188,#46947); #29320=LINE('',#227190,#46948); #29321=LINE('',#227191,#46949); #29322=LINE('',#227194,#46950); #29323=LINE('',#227196,#46951); #29324=LINE('',#227197,#46952); #29325=LINE('',#227200,#46953); #29326=LINE('',#227202,#46954); #29327=LINE('',#227203,#46955); #29328=LINE('',#227206,#46956); #29329=LINE('',#227208,#46957); #29330=LINE('',#227209,#46958); #29331=LINE('',#227212,#46959); #29332=LINE('',#227214,#46960); #29333=LINE('',#227215,#46961); #29334=LINE('',#227218,#46962); #29335=LINE('',#227220,#46963); #29336=LINE('',#227221,#46964); #29337=LINE('',#227224,#46965); #29338=LINE('',#227226,#46966); #29339=LINE('',#227227,#46967); #29340=LINE('',#227232,#46968); #29341=LINE('',#227236,#46969); #29342=LINE('',#227238,#46970); #29343=LINE('',#227239,#46971); #29344=LINE('',#227242,#46972); #29345=LINE('',#227244,#46973); #29346=LINE('',#227245,#46974); #29347=LINE('',#227248,#46975); #29348=LINE('',#227250,#46976); #29349=LINE('',#227251,#46977); #29350=LINE('',#227254,#46978); #29351=LINE('',#227256,#46979); #29352=LINE('',#227257,#46980); #29353=LINE('',#227260,#46981); #29354=LINE('',#227262,#46982); #29355=LINE('',#227263,#46983); #29356=LINE('',#227266,#46984); #29357=LINE('',#227268,#46985); #29358=LINE('',#227269,#46986); #29359=LINE('',#227272,#46987); #29360=LINE('',#227274,#46988); #29361=LINE('',#227275,#46989); #29362=LINE('',#227278,#46990); #29363=LINE('',#227280,#46991); #29364=LINE('',#227281,#46992); #29365=LINE('',#227283,#46993); #29366=LINE('',#227284,#46994); #29367=LINE('',#227291,#46995); #29368=LINE('',#227294,#46996); #29369=LINE('',#227297,#46997); #29370=LINE('',#227299,#46998); #29371=LINE('',#227300,#46999); #29372=LINE('',#227305,#47000); #29373=LINE('',#227311,#47001); #29374=LINE('',#227317,#47002); #29375=LINE('',#227321,#47003); #29376=LINE('',#227323,#47004); #29377=LINE('',#227324,#47005); #29378=LINE('',#227327,#47006); #29379=LINE('',#227329,#47007); #29380=LINE('',#227330,#47008); #29381=LINE('',#227333,#47009); #29382=LINE('',#227335,#47010); #29383=LINE('',#227336,#47011); #29384=LINE('',#227339,#47012); #29385=LINE('',#227341,#47013); #29386=LINE('',#227342,#47014); #29387=LINE('',#227345,#47015); #29388=LINE('',#227347,#47016); #29389=LINE('',#227348,#47017); #29390=LINE('',#227353,#47018); #29391=LINE('',#227359,#47019); #29392=LINE('',#227363,#47020); #29393=LINE('',#227365,#47021); #29394=LINE('',#227366,#47022); #29395=LINE('',#227369,#47023); #29396=LINE('',#227371,#47024); #29397=LINE('',#227372,#47025); #29398=LINE('',#227375,#47026); #29399=LINE('',#227377,#47027); #29400=LINE('',#227378,#47028); #29401=LINE('',#227381,#47029); #29402=LINE('',#227383,#47030); #29403=LINE('',#227384,#47031); #29404=LINE('',#227387,#47032); #29405=LINE('',#227389,#47033); #29406=LINE('',#227390,#47034); #29407=LINE('',#227393,#47035); #29408=LINE('',#227395,#47036); #29409=LINE('',#227396,#47037); #29410=LINE('',#227399,#47038); #29411=LINE('',#227401,#47039); #29412=LINE('',#227402,#47040); #29413=LINE('',#227405,#47041); #29414=LINE('',#227407,#47042); #29415=LINE('',#227408,#47043); #29416=LINE('',#227413,#47044); #29417=LINE('',#227417,#47045); #29418=LINE('',#227419,#47046); #29419=LINE('',#227420,#47047); #29420=LINE('',#227425,#47048); #29421=LINE('',#227429,#47049); #29422=LINE('',#227431,#47050); #29423=LINE('',#227432,#47051); #29424=LINE('',#227435,#47052); #29425=LINE('',#227437,#47053); #29426=LINE('',#227438,#47054); #29427=LINE('',#227441,#47055); #29428=LINE('',#227443,#47056); #29429=LINE('',#227444,#47057); #29430=LINE('',#227449,#47058); #29431=LINE('',#227453,#47059); #29432=LINE('',#227455,#47060); #29433=LINE('',#227456,#47061); #29434=LINE('',#227459,#47062); #29435=LINE('',#227461,#47063); #29436=LINE('',#227462,#47064); #29437=LINE('',#227465,#47065); #29438=LINE('',#227467,#47066); #29439=LINE('',#227468,#47067); #29440=LINE('',#227471,#47068); #29441=LINE('',#227473,#47069); #29442=LINE('',#227474,#47070); #29443=LINE('',#227477,#47071); #29444=LINE('',#227479,#47072); #29445=LINE('',#227480,#47073); #29446=LINE('',#227485,#47074); #29447=LINE('',#227491,#47075); #29448=LINE('',#227495,#47076); #29449=LINE('',#227497,#47077); #29450=LINE('',#227498,#47078); #29451=LINE('',#227501,#47079); #29452=LINE('',#227503,#47080); #29453=LINE('',#227504,#47081); #29454=LINE('',#227507,#47082); #29455=LINE('',#227509,#47083); #29456=LINE('',#227510,#47084); #29457=LINE('',#227513,#47085); #29458=LINE('',#227515,#47086); #29459=LINE('',#227516,#47087); #29460=LINE('',#227519,#47088); #29461=LINE('',#227521,#47089); #29462=LINE('',#227522,#47090); #29463=LINE('',#227525,#47091); #29464=LINE('',#227527,#47092); #29465=LINE('',#227528,#47093); #29466=LINE('',#227533,#47094); #29467=LINE('',#227537,#47095); #29468=LINE('',#227539,#47096); #29469=LINE('',#227540,#47097); #29470=LINE('',#227543,#47098); #29471=LINE('',#227545,#47099); #29472=LINE('',#227546,#47100); #29473=LINE('',#227551,#47101); #29474=LINE('',#227555,#47102); #29475=LINE('',#227557,#47103); #29476=LINE('',#227558,#47104); #29477=LINE('',#227561,#47105); #29478=LINE('',#227563,#47106); #29479=LINE('',#227564,#47107); #29480=LINE('',#227567,#47108); #29481=LINE('',#227569,#47109); #29482=LINE('',#227570,#47110); #29483=LINE('',#227573,#47111); #29484=LINE('',#227575,#47112); #29485=LINE('',#227576,#47113); #29486=LINE('',#227579,#47114); #29487=LINE('',#227581,#47115); #29488=LINE('',#227582,#47116); #29489=LINE('',#227585,#47117); #29490=LINE('',#227587,#47118); #29491=LINE('',#227588,#47119); #29492=LINE('',#227591,#47120); #29493=LINE('',#227593,#47121); #29494=LINE('',#227594,#47122); #29495=LINE('',#227597,#47123); #29496=LINE('',#227599,#47124); #29497=LINE('',#227600,#47125); #29498=LINE('',#227603,#47126); #29499=LINE('',#227605,#47127); #29500=LINE('',#227606,#47128); #29501=LINE('',#227609,#47129); #29502=LINE('',#227611,#47130); #29503=LINE('',#227612,#47131); #29504=LINE('',#227615,#47132); #29505=LINE('',#227617,#47133); #29506=LINE('',#227618,#47134); #29507=LINE('',#227621,#47135); #29508=LINE('',#227623,#47136); #29509=LINE('',#227624,#47137); #29510=LINE('',#227627,#47138); #29511=LINE('',#227629,#47139); #29512=LINE('',#227630,#47140); #29513=LINE('',#227633,#47141); #29514=LINE('',#227635,#47142); #29515=LINE('',#227636,#47143); #29516=LINE('',#227639,#47144); #29517=LINE('',#227641,#47145); #29518=LINE('',#227642,#47146); #29519=LINE('',#227645,#47147); #29520=LINE('',#227647,#47148); #29521=LINE('',#227648,#47149); #29522=LINE('',#227651,#47150); #29523=LINE('',#227653,#47151); #29524=LINE('',#227654,#47152); #29525=LINE('',#227657,#47153); #29526=LINE('',#227659,#47154); #29527=LINE('',#227660,#47155); #29528=LINE('',#227663,#47156); #29529=LINE('',#227665,#47157); #29530=LINE('',#227666,#47158); #29531=LINE('',#227669,#47159); #29532=LINE('',#227671,#47160); #29533=LINE('',#227672,#47161); #29534=LINE('',#227675,#47162); #29535=LINE('',#227677,#47163); #29536=LINE('',#227678,#47164); #29537=LINE('',#227681,#47165); #29538=LINE('',#227683,#47166); #29539=LINE('',#227684,#47167); #29540=LINE('',#227687,#47168); #29541=LINE('',#227689,#47169); #29542=LINE('',#227690,#47170); #29543=LINE('',#227693,#47171); #29544=LINE('',#227695,#47172); #29545=LINE('',#227696,#47173); #29546=LINE('',#227701,#47174); #29547=LINE('',#227707,#47175); #29548=LINE('',#227711,#47176); #29549=LINE('',#227713,#47177); #29550=LINE('',#227714,#47178); #29551=LINE('',#227717,#47179); #29552=LINE('',#227719,#47180); #29553=LINE('',#227720,#47181); #29554=LINE('',#227723,#47182); #29555=LINE('',#227725,#47183); #29556=LINE('',#227726,#47184); #29557=LINE('',#227729,#47185); #29558=LINE('',#227731,#47186); #29559=LINE('',#227732,#47187); #29560=LINE('',#227735,#47188); #29561=LINE('',#227737,#47189); #29562=LINE('',#227738,#47190); #29563=LINE('',#227741,#47191); #29564=LINE('',#227743,#47192); #29565=LINE('',#227744,#47193); #29566=LINE('',#227747,#47194); #29567=LINE('',#227749,#47195); #29568=LINE('',#227750,#47196); #29569=LINE('',#227753,#47197); #29570=LINE('',#227755,#47198); #29571=LINE('',#227756,#47199); #29572=LINE('',#227759,#47200); #29573=LINE('',#227761,#47201); #29574=LINE('',#227762,#47202); #29575=LINE('',#227765,#47203); #29576=LINE('',#227767,#47204); #29577=LINE('',#227768,#47205); #29578=LINE('',#227773,#47206); #29579=LINE('',#227777,#47207); #29580=LINE('',#227779,#47208); #29581=LINE('',#227780,#47209); #29582=LINE('',#227783,#47210); #29583=LINE('',#227785,#47211); #29584=LINE('',#227786,#47212); #29585=LINE('',#227789,#47213); #29586=LINE('',#227791,#47214); #29587=LINE('',#227792,#47215); #29588=LINE('',#227795,#47216); #29589=LINE('',#227797,#47217); #29590=LINE('',#227798,#47218); #29591=LINE('',#227801,#47219); #29592=LINE('',#227803,#47220); #29593=LINE('',#227804,#47221); #29594=LINE('',#227807,#47222); #29595=LINE('',#227809,#47223); #29596=LINE('',#227810,#47224); #29597=LINE('',#227813,#47225); #29598=LINE('',#227815,#47226); #29599=LINE('',#227816,#47227); #29600=LINE('',#227819,#47228); #29601=LINE('',#227821,#47229); #29602=LINE('',#227822,#47230); #29603=LINE('',#227825,#47231); #29604=LINE('',#227827,#47232); #29605=LINE('',#227828,#47233); #29606=LINE('',#227831,#47234); #29607=LINE('',#227833,#47235); #29608=LINE('',#227834,#47236); #29609=LINE('',#227837,#47237); #29610=LINE('',#227839,#47238); #29611=LINE('',#227840,#47239); #29612=LINE('',#227845,#47240); #29613=LINE('',#227851,#47241); #29614=LINE('',#227855,#47242); #29615=LINE('',#227857,#47243); #29616=LINE('',#227858,#47244); #29617=LINE('',#227861,#47245); #29618=LINE('',#227863,#47246); #29619=LINE('',#227864,#47247); #29620=LINE('',#227867,#47248); #29621=LINE('',#227869,#47249); #29622=LINE('',#227870,#47250); #29623=LINE('',#227873,#47251); #29624=LINE('',#227875,#47252); #29625=LINE('',#227876,#47253); #29626=LINE('',#227881,#47254); #29627=LINE('',#227885,#47255); #29628=LINE('',#227887,#47256); #29629=LINE('',#227888,#47257); #29630=LINE('',#227891,#47258); #29631=LINE('',#227893,#47259); #29632=LINE('',#227894,#47260); #29633=LINE('',#227897,#47261); #29634=LINE('',#227899,#47262); #29635=LINE('',#227900,#47263); #29636=LINE('',#227903,#47264); #29637=LINE('',#227905,#47265); #29638=LINE('',#227906,#47266); #29639=LINE('',#227909,#47267); #29640=LINE('',#227911,#47268); #29641=LINE('',#227912,#47269); #29642=LINE('',#227915,#47270); #29643=LINE('',#227917,#47271); #29644=LINE('',#227918,#47272); #29645=LINE('',#227921,#47273); #29646=LINE('',#227923,#47274); #29647=LINE('',#227924,#47275); #29648=LINE('',#227927,#47276); #29649=LINE('',#227929,#47277); #29650=LINE('',#227930,#47278); #29651=LINE('',#227935,#47279); #29652=LINE('',#227939,#47280); #29653=LINE('',#227941,#47281); #29654=LINE('',#227942,#47282); #29655=LINE('',#227947,#47283); #29656=LINE('',#227951,#47284); #29657=LINE('',#227953,#47285); #29658=LINE('',#227954,#47286); #29659=LINE('',#227957,#47287); #29660=LINE('',#227959,#47288); #29661=LINE('',#227960,#47289); #29662=LINE('',#227963,#47290); #29663=LINE('',#227965,#47291); #29664=LINE('',#227966,#47292); #29665=LINE('',#227969,#47293); #29666=LINE('',#227971,#47294); #29667=LINE('',#227972,#47295); #29668=LINE('',#227975,#47296); #29669=LINE('',#227977,#47297); #29670=LINE('',#227978,#47298); #29671=LINE('',#227981,#47299); #29672=LINE('',#227983,#47300); #29673=LINE('',#227984,#47301); #29674=LINE('',#227987,#47302); #29675=LINE('',#227989,#47303); #29676=LINE('',#227990,#47304); #29677=LINE('',#227993,#47305); #29678=LINE('',#227995,#47306); #29679=LINE('',#227996,#47307); #29680=LINE('',#227999,#47308); #29681=LINE('',#228001,#47309); #29682=LINE('',#228002,#47310); #29683=LINE('',#228005,#47311); #29684=LINE('',#228007,#47312); #29685=LINE('',#228008,#47313); #29686=LINE('',#228011,#47314); #29687=LINE('',#228013,#47315); #29688=LINE('',#228014,#47316); #29689=LINE('',#228019,#47317); #29690=LINE('',#228023,#47318); #29691=LINE('',#228025,#47319); #29692=LINE('',#228026,#47320); #29693=LINE('',#228029,#47321); #29694=LINE('',#228031,#47322); #29695=LINE('',#228032,#47323); #29696=LINE('',#228037,#47324); #29697=LINE('',#228043,#47325); #29698=LINE('',#228049,#47326); #29699=LINE('',#228053,#47327); #29700=LINE('',#228055,#47328); #29701=LINE('',#228056,#47329); #29702=LINE('',#228059,#47330); #29703=LINE('',#228061,#47331); #29704=LINE('',#228062,#47332); #29705=LINE('',#228065,#47333); #29706=LINE('',#228067,#47334); #29707=LINE('',#228068,#47335); #29708=LINE('',#228073,#47336); #29709=LINE('',#228084,#47337); #29710=LINE('',#228087,#47338); #29711=LINE('',#228092,#47339); #29712=LINE('',#228098,#47340); #29713=LINE('',#228104,#47341); #29714=LINE('',#228110,#47342); #29715=LINE('',#228114,#47343); #29716=LINE('',#228116,#47344); #29717=LINE('',#228117,#47345); #29718=LINE('',#228122,#47346); #29719=LINE('',#228128,#47347); #29720=LINE('',#228134,#47348); #29721=LINE('',#228140,#47349); #29722=LINE('',#228146,#47350); #29723=LINE('',#228150,#47351); #29724=LINE('',#228152,#47352); #29725=LINE('',#228153,#47353); #29726=LINE('',#228158,#47354); #29727=LINE('',#228162,#47355); #29728=LINE('',#228164,#47356); #29729=LINE('',#228165,#47357); #29730=LINE('',#228168,#47358); #29731=LINE('',#228170,#47359); #29732=LINE('',#228171,#47360); #29733=LINE('',#228174,#47361); #29734=LINE('',#228176,#47362); #29735=LINE('',#228177,#47363); #29736=LINE('',#228182,#47364); #29737=LINE('',#228188,#47365); #29738=LINE('',#228194,#47366); #29739=LINE('',#228200,#47367); #29740=LINE('',#228206,#47368); #29741=LINE('',#228212,#47369); #29742=LINE('',#228216,#47370); #29743=LINE('',#228218,#47371); #29744=LINE('',#228219,#47372); #29745=LINE('',#228222,#47373); #29746=LINE('',#228224,#47374); #29747=LINE('',#228225,#47375); #29748=LINE('',#228230,#47376); #29749=LINE('',#228234,#47377); #29750=LINE('',#228236,#47378); #29751=LINE('',#228237,#47379); #29752=LINE('',#228242,#47380); #29753=LINE('',#228248,#47381); #29754=LINE('',#228254,#47382); #29755=LINE('',#228260,#47383); #29756=LINE('',#228264,#47384); #29757=LINE('',#228266,#47385); #29758=LINE('',#228267,#47386); #29759=LINE('',#228272,#47387); #29760=LINE('',#228276,#47388); #29761=LINE('',#228278,#47389); #29762=LINE('',#228279,#47390); #29763=LINE('',#228284,#47391); #29764=LINE('',#228290,#47392); #29765=LINE('',#228294,#47393); #29766=LINE('',#228296,#47394); #29767=LINE('',#228297,#47395); #29768=LINE('',#228302,#47396); #29769=LINE('',#228311,#47397); #29770=LINE('',#228313,#47398); #29771=LINE('',#228315,#47399); #29772=LINE('',#228316,#47400); #29773=LINE('',#228321,#47401); #29774=LINE('',#228327,#47402); #29775=LINE('',#228331,#47403); #29776=LINE('',#228333,#47404); #29777=LINE('',#228334,#47405); #29778=LINE('',#228337,#47406); #29779=LINE('',#228339,#47407); #29780=LINE('',#228340,#47408); #29781=LINE('',#228343,#47409); #29782=LINE('',#228345,#47410); #29783=LINE('',#228346,#47411); #29784=LINE('',#228349,#47412); #29785=LINE('',#228351,#47413); #29786=LINE('',#228352,#47414); #29787=LINE('',#228355,#47415); #29788=LINE('',#228357,#47416); #29789=LINE('',#228358,#47417); #29790=LINE('',#228361,#47418); #29791=LINE('',#228363,#47419); #29792=LINE('',#228364,#47420); #29793=LINE('',#228367,#47421); #29794=LINE('',#228369,#47422); #29795=LINE('',#228370,#47423); #29796=LINE('',#228373,#47424); #29797=LINE('',#228375,#47425); #29798=LINE('',#228376,#47426); #29799=LINE('',#228379,#47427); #29800=LINE('',#228381,#47428); #29801=LINE('',#228382,#47429); #29802=LINE('',#228387,#47430); #29803=LINE('',#228391,#47431); #29804=LINE('',#228393,#47432); #29805=LINE('',#228394,#47433); #29806=LINE('',#228397,#47434); #29807=LINE('',#228399,#47435); #29808=LINE('',#228400,#47436); #29809=LINE('',#228403,#47437); #29810=LINE('',#228405,#47438); #29811=LINE('',#228406,#47439); #29812=LINE('',#228409,#47440); #29813=LINE('',#228411,#47441); #29814=LINE('',#228412,#47442); #29815=LINE('',#228415,#47443); #29816=LINE('',#228417,#47444); #29817=LINE('',#228418,#47445); #29818=LINE('',#228421,#47446); #29819=LINE('',#228423,#47447); #29820=LINE('',#228424,#47448); #29821=LINE('',#228427,#47449); #29822=LINE('',#228429,#47450); #29823=LINE('',#228430,#47451); #29824=LINE('',#228433,#47452); #29825=LINE('',#228435,#47453); #29826=LINE('',#228436,#47454); #29827=LINE('',#228439,#47455); #29828=LINE('',#228441,#47456); #29829=LINE('',#228442,#47457); #29830=LINE('',#228444,#47458); #29831=LINE('',#228445,#47459); #29832=LINE('',#228449,#47460); #29833=LINE('',#228451,#47461); #29834=LINE('',#228453,#47462); #29835=LINE('',#228454,#47463); #29836=LINE('',#228457,#47464); #29837=LINE('',#228459,#47465); #29838=LINE('',#228460,#47466); #29839=LINE('',#228465,#47467); #29840=LINE('',#228469,#47468); #29841=LINE('',#228471,#47469); #29842=LINE('',#228472,#47470); #29843=LINE('',#228475,#47471); #29844=LINE('',#228477,#47472); #29845=LINE('',#228478,#47473); #29846=LINE('',#228481,#47474); #29847=LINE('',#228483,#47475); #29848=LINE('',#228484,#47476); #29849=LINE('',#228487,#47477); #29850=LINE('',#228489,#47478); #29851=LINE('',#228490,#47479); #29852=LINE('',#228493,#47480); #29853=LINE('',#228495,#47481); #29854=LINE('',#228496,#47482); #29855=LINE('',#228499,#47483); #29856=LINE('',#228501,#47484); #29857=LINE('',#228502,#47485); #29858=LINE('',#228505,#47486); #29859=LINE('',#228507,#47487); #29860=LINE('',#228508,#47488); #29861=LINE('',#228511,#47489); #29862=LINE('',#228513,#47490); #29863=LINE('',#228514,#47491); #29864=LINE('',#228519,#47492); #29865=LINE('',#228523,#47493); #29866=LINE('',#228525,#47494); #29867=LINE('',#228526,#47495); #29868=LINE('',#228529,#47496); #29869=LINE('',#228531,#47497); #29870=LINE('',#228532,#47498); #29871=LINE('',#228535,#47499); #29872=LINE('',#228537,#47500); #29873=LINE('',#228538,#47501); #29874=LINE('',#228543,#47502); #29875=LINE('',#228549,#47503); #29876=LINE('',#228553,#47504); #29877=LINE('',#228555,#47505); #29878=LINE('',#228556,#47506); #29879=LINE('',#228561,#47507); #29880=LINE('',#228565,#47508); #29881=LINE('',#228567,#47509); #29882=LINE('',#228568,#47510); #29883=LINE('',#228571,#47511); #29884=LINE('',#228573,#47512); #29885=LINE('',#228574,#47513); #29886=LINE('',#228577,#47514); #29887=LINE('',#228579,#47515); #29888=LINE('',#228580,#47516); #29889=LINE('',#228585,#47517); #29890=LINE('',#228589,#47518); #29891=LINE('',#228591,#47519); #29892=LINE('',#228592,#47520); #29893=LINE('',#228595,#47521); #29894=LINE('',#228597,#47522); #29895=LINE('',#228598,#47523); #29896=LINE('',#228601,#47524); #29897=LINE('',#228603,#47525); #29898=LINE('',#228604,#47526); #29899=LINE('',#228607,#47527); #29900=LINE('',#228609,#47528); #29901=LINE('',#228610,#47529); #29902=LINE('',#228615,#47530); #29903=LINE('',#228621,#47531); #29904=LINE('',#228625,#47532); #29905=LINE('',#228627,#47533); #29906=LINE('',#228628,#47534); #29907=LINE('',#228631,#47535); #29908=LINE('',#228633,#47536); #29909=LINE('',#228634,#47537); #29910=LINE('',#228637,#47538); #29911=LINE('',#228639,#47539); #29912=LINE('',#228640,#47540); #29913=LINE('',#228643,#47541); #29914=LINE('',#228645,#47542); #29915=LINE('',#228646,#47543); #29916=LINE('',#228649,#47544); #29917=LINE('',#228651,#47545); #29918=LINE('',#228652,#47546); #29919=LINE('',#228655,#47547); #29920=LINE('',#228657,#47548); #29921=LINE('',#228658,#47549); #29922=LINE('',#228663,#47550); #29923=LINE('',#228667,#47551); #29924=LINE('',#228669,#47552); #29925=LINE('',#228670,#47553); #29926=LINE('',#228673,#47554); #29927=LINE('',#228675,#47555); #29928=LINE('',#228676,#47556); #29929=LINE('',#228679,#47557); #29930=LINE('',#228681,#47558); #29931=LINE('',#228682,#47559); #29932=LINE('',#228685,#47560); #29933=LINE('',#228687,#47561); #29934=LINE('',#228688,#47562); #29935=LINE('',#228693,#47563); #29936=LINE('',#228697,#47564); #29937=LINE('',#228699,#47565); #29938=LINE('',#228700,#47566); #29939=LINE('',#228705,#47567); #29940=LINE('',#228709,#47568); #29941=LINE('',#228711,#47569); #29942=LINE('',#228712,#47570); #29943=LINE('',#228715,#47571); #29944=LINE('',#228717,#47572); #29945=LINE('',#228718,#47573); #29946=LINE('',#228723,#47574); #29947=LINE('',#228729,#47575); #29948=LINE('',#228733,#47576); #29949=LINE('',#228735,#47577); #29950=LINE('',#228736,#47578); #29951=LINE('',#228739,#47579); #29952=LINE('',#228741,#47580); #29953=LINE('',#228742,#47581); #29954=LINE('',#228745,#47582); #29955=LINE('',#228747,#47583); #29956=LINE('',#228748,#47584); #29957=LINE('',#228751,#47585); #29958=LINE('',#228753,#47586); #29959=LINE('',#228754,#47587); #29960=LINE('',#228759,#47588); #29961=LINE('',#228763,#47589); #29962=LINE('',#228765,#47590); #29963=LINE('',#228766,#47591); #29964=LINE('',#228769,#47592); #29965=LINE('',#228771,#47593); #29966=LINE('',#228772,#47594); #29967=LINE('',#228775,#47595); #29968=LINE('',#228777,#47596); #29969=LINE('',#228778,#47597); #29970=LINE('',#228781,#47598); #29971=LINE('',#228783,#47599); #29972=LINE('',#228784,#47600); #29973=LINE('',#228787,#47601); #29974=LINE('',#228789,#47602); #29975=LINE('',#228790,#47603); #29976=LINE('',#228793,#47604); #29977=LINE('',#228795,#47605); #29978=LINE('',#228796,#47606); #29979=LINE('',#228799,#47607); #29980=LINE('',#228801,#47608); #29981=LINE('',#228802,#47609); #29982=LINE('',#228805,#47610); #29983=LINE('',#228807,#47611); #29984=LINE('',#228808,#47612); #29985=LINE('',#228811,#47613); #29986=LINE('',#228813,#47614); #29987=LINE('',#228814,#47615); #29988=LINE('',#228817,#47616); #29989=LINE('',#228819,#47617); #29990=LINE('',#228820,#47618); #29991=LINE('',#228823,#47619); #29992=LINE('',#228825,#47620); #29993=LINE('',#228826,#47621); #29994=LINE('',#228829,#47622); #29995=LINE('',#228831,#47623); #29996=LINE('',#228832,#47624); #29997=LINE('',#228835,#47625); #29998=LINE('',#228837,#47626); #29999=LINE('',#228838,#47627); #30000=LINE('',#228841,#47628); #30001=LINE('',#228843,#47629); #30002=LINE('',#228844,#47630); #30003=LINE('',#228847,#47631); #30004=LINE('',#228849,#47632); #30005=LINE('',#228850,#47633); #30006=LINE('',#228853,#47634); #30007=LINE('',#228855,#47635); #30008=LINE('',#228856,#47636); #30009=LINE('',#228861,#47637); #30010=LINE('',#228865,#47638); #30011=LINE('',#228867,#47639); #30012=LINE('',#228868,#47640); #30013=LINE('',#228873,#47641); #30014=LINE('',#228877,#47642); #30015=LINE('',#228879,#47643); #30016=LINE('',#228880,#47644); #30017=LINE('',#228883,#47645); #30018=LINE('',#228885,#47646); #30019=LINE('',#228886,#47647); #30020=LINE('',#228889,#47648); #30021=LINE('',#228891,#47649); #30022=LINE('',#228892,#47650); #30023=LINE('',#228895,#47651); #30024=LINE('',#228897,#47652); #30025=LINE('',#228898,#47653); #30026=LINE('',#228903,#47654); #30027=LINE('',#228909,#47655); #30028=LINE('',#228913,#47656); #30029=LINE('',#228915,#47657); #30030=LINE('',#228916,#47658); #30031=LINE('',#228919,#47659); #30032=LINE('',#228921,#47660); #30033=LINE('',#228922,#47661); #30034=LINE('',#228925,#47662); #30035=LINE('',#228927,#47663); #30036=LINE('',#228928,#47664); #30037=LINE('',#228931,#47665); #30038=LINE('',#228933,#47666); #30039=LINE('',#228934,#47667); #30040=LINE('',#228937,#47668); #30041=LINE('',#228939,#47669); #30042=LINE('',#228940,#47670); #30043=LINE('',#228945,#47671); #30044=LINE('',#228949,#47672); #30045=LINE('',#228951,#47673); #30046=LINE('',#228952,#47674); #30047=LINE('',#228955,#47675); #30048=LINE('',#228957,#47676); #30049=LINE('',#228958,#47677); #30050=LINE('',#228961,#47678); #30051=LINE('',#228963,#47679); #30052=LINE('',#228964,#47680); #30053=LINE('',#228967,#47681); #30054=LINE('',#228969,#47682); #30055=LINE('',#228970,#47683); #30056=LINE('',#228975,#47684); #30057=LINE('',#228981,#47685); #30058=LINE('',#228985,#47686); #30059=LINE('',#228987,#47687); #30060=LINE('',#228988,#47688); #30061=LINE('',#228991,#47689); #30062=LINE('',#228993,#47690); #30063=LINE('',#228994,#47691); #30064=LINE('',#228997,#47692); #30065=LINE('',#228999,#47693); #30066=LINE('',#229000,#47694); #30067=LINE('',#229003,#47695); #30068=LINE('',#229005,#47696); #30069=LINE('',#229006,#47697); #30070=LINE('',#229011,#47698); #30071=LINE('',#229015,#47699); #30072=LINE('',#229017,#47700); #30073=LINE('',#229018,#47701); #30074=LINE('',#229023,#47702); #30075=LINE('',#229027,#47703); #30076=LINE('',#229029,#47704); #30077=LINE('',#229030,#47705); #30078=LINE('',#229033,#47706); #30079=LINE('',#229035,#47707); #30080=LINE('',#229036,#47708); #30081=LINE('',#229039,#47709); #30082=LINE('',#229041,#47710); #30083=LINE('',#229042,#47711); #30084=LINE('',#229045,#47712); #30085=LINE('',#229047,#47713); #30086=LINE('',#229048,#47714); #30087=LINE('',#229051,#47715); #30088=LINE('',#229053,#47716); #30089=LINE('',#229054,#47717); #30090=LINE('',#229059,#47718); #30091=LINE('',#229065,#47719); #30092=LINE('',#229069,#47720); #30093=LINE('',#229071,#47721); #30094=LINE('',#229072,#47722); #30095=LINE('',#229075,#47723); #30096=LINE('',#229077,#47724); #30097=LINE('',#229078,#47725); #30098=LINE('',#229081,#47726); #30099=LINE('',#229083,#47727); #30100=LINE('',#229084,#47728); #30101=LINE('',#229087,#47729); #30102=LINE('',#229089,#47730); #30103=LINE('',#229090,#47731); #30104=LINE('',#229093,#47732); #30105=LINE('',#229095,#47733); #30106=LINE('',#229096,#47734); #30107=LINE('',#229099,#47735); #30108=LINE('',#229101,#47736); #30109=LINE('',#229102,#47737); #30110=LINE('',#229105,#47738); #30111=LINE('',#229107,#47739); #30112=LINE('',#229108,#47740); #30113=LINE('',#229111,#47741); #30114=LINE('',#229113,#47742); #30115=LINE('',#229114,#47743); #30116=LINE('',#229117,#47744); #30117=LINE('',#229119,#47745); #30118=LINE('',#229120,#47746); #30119=LINE('',#229123,#47747); #30120=LINE('',#229125,#47748); #30121=LINE('',#229126,#47749); #30122=LINE('',#229131,#47750); #30123=LINE('',#229137,#47751); #30124=LINE('',#229141,#47752); #30125=LINE('',#229143,#47753); #30126=LINE('',#229144,#47754); #30127=LINE('',#229147,#47755); #30128=LINE('',#229149,#47756); #30129=LINE('',#229150,#47757); #30130=LINE('',#229153,#47758); #30131=LINE('',#229155,#47759); #30132=LINE('',#229156,#47760); #30133=LINE('',#229159,#47761); #30134=LINE('',#229161,#47762); #30135=LINE('',#229162,#47763); #30136=LINE('',#229165,#47764); #30137=LINE('',#229167,#47765); #30138=LINE('',#229168,#47766); #30139=LINE('',#229171,#47767); #30140=LINE('',#229173,#47768); #30141=LINE('',#229174,#47769); #30142=LINE('',#229176,#47770); #30143=LINE('',#229177,#47771); #30144=LINE('',#229184,#47772); #30145=LINE('',#229187,#47773); #30146=LINE('',#229192,#47774); #30147=LINE('',#229196,#47775); #30148=LINE('',#229198,#47776); #30149=LINE('',#229199,#47777); #30150=LINE('',#229204,#47778); #30151=LINE('',#229212,#47779); #30152=LINE('',#229214,#47780); #30153=LINE('',#229216,#47781); #30154=LINE('',#229217,#47782); #30155=LINE('',#229222,#47783); #30156=LINE('',#229226,#47784); #30157=LINE('',#229228,#47785); #30158=LINE('',#229229,#47786); #30159=LINE('',#229232,#47787); #30160=LINE('',#229234,#47788); #30161=LINE('',#229235,#47789); #30162=LINE('',#229238,#47790); #30163=LINE('',#229240,#47791); #30164=LINE('',#229241,#47792); #30165=LINE('',#229244,#47793); #30166=LINE('',#229246,#47794); #30167=LINE('',#229247,#47795); #30168=LINE('',#229252,#47796); #30169=LINE('',#229256,#47797); #30170=LINE('',#229258,#47798); #30171=LINE('',#229259,#47799); #30172=LINE('',#229262,#47800); #30173=LINE('',#229264,#47801); #30174=LINE('',#229265,#47802); #30175=LINE('',#229268,#47803); #30176=LINE('',#229270,#47804); #30177=LINE('',#229271,#47805); #30178=LINE('',#229274,#47806); #30179=LINE('',#229276,#47807); #30180=LINE('',#229277,#47808); #30181=LINE('',#229280,#47809); #30182=LINE('',#229282,#47810); #30183=LINE('',#229283,#47811); #30184=LINE('',#229288,#47812); #30185=LINE('',#229292,#47813); #30186=LINE('',#229294,#47814); #30187=LINE('',#229295,#47815); #30188=LINE('',#229298,#47816); #30189=LINE('',#229300,#47817); #30190=LINE('',#229301,#47818); #30191=LINE('',#229304,#47819); #30192=LINE('',#229306,#47820); #30193=LINE('',#229307,#47821); #30194=LINE('',#229310,#47822); #30195=LINE('',#229312,#47823); #30196=LINE('',#229313,#47824); #30197=LINE('',#229316,#47825); #30198=LINE('',#229318,#47826); #30199=LINE('',#229319,#47827); #30200=LINE('',#229322,#47828); #30201=LINE('',#229324,#47829); #30202=LINE('',#229325,#47830); #30203=LINE('',#229328,#47831); #30204=LINE('',#229330,#47832); #30205=LINE('',#229331,#47833); #30206=LINE('',#229334,#47834); #30207=LINE('',#229336,#47835); #30208=LINE('',#229337,#47836); #30209=LINE('',#229340,#47837); #30210=LINE('',#229342,#47838); #30211=LINE('',#229343,#47839); #30212=LINE('',#229346,#47840); #30213=LINE('',#229348,#47841); #30214=LINE('',#229349,#47842); #30215=LINE('',#229352,#47843); #30216=LINE('',#229354,#47844); #30217=LINE('',#229355,#47845); #30218=LINE('',#229358,#47846); #30219=LINE('',#229360,#47847); #30220=LINE('',#229361,#47848); #30221=LINE('',#229366,#47849); #30222=LINE('',#229372,#47850); #30223=LINE('',#229376,#47851); #30224=LINE('',#229378,#47852); #30225=LINE('',#229379,#47853); #30226=LINE('',#229382,#47854); #30227=LINE('',#229384,#47855); #30228=LINE('',#229385,#47856); #30229=LINE('',#229388,#47857); #30230=LINE('',#229390,#47858); #30231=LINE('',#229391,#47859); #30232=LINE('',#229394,#47860); #30233=LINE('',#229396,#47861); #30234=LINE('',#229397,#47862); #30235=LINE('',#229402,#47863); #30236=LINE('',#229408,#47864); #30237=LINE('',#229414,#47865); #30238=LINE('',#229420,#47866); #30239=LINE('',#229426,#47867); #30240=LINE('',#229432,#47868); #30241=LINE('',#229436,#47869); #30242=LINE('',#229438,#47870); #30243=LINE('',#229439,#47871); #30244=LINE('',#229442,#47872); #30245=LINE('',#229444,#47873); #30246=LINE('',#229445,#47874); #30247=LINE('',#229448,#47875); #30248=LINE('',#229450,#47876); #30249=LINE('',#229451,#47877); #30250=LINE('',#229454,#47878); #30251=LINE('',#229456,#47879); #30252=LINE('',#229457,#47880); #30253=LINE('',#229462,#47881); #30254=LINE('',#229468,#47882); #30255=LINE('',#229472,#47883); #30256=LINE('',#229474,#47884); #30257=LINE('',#229475,#47885); #30258=LINE('',#229478,#47886); #30259=LINE('',#229480,#47887); #30260=LINE('',#229481,#47888); #30261=LINE('',#229484,#47889); #30262=LINE('',#229486,#47890); #30263=LINE('',#229487,#47891); #30264=LINE('',#229490,#47892); #30265=LINE('',#229492,#47893); #30266=LINE('',#229493,#47894); #30267=LINE('',#229496,#47895); #30268=LINE('',#229498,#47896); #30269=LINE('',#229499,#47897); #30270=LINE('',#229502,#47898); #30271=LINE('',#229504,#47899); #30272=LINE('',#229505,#47900); #30273=LINE('',#229508,#47901); #30274=LINE('',#229510,#47902); #30275=LINE('',#229511,#47903); #30276=LINE('',#229514,#47904); #30277=LINE('',#229516,#47905); #30278=LINE('',#229517,#47906); #30279=LINE('',#229520,#47907); #30280=LINE('',#229522,#47908); #30281=LINE('',#229523,#47909); #30282=LINE('',#229526,#47910); #30283=LINE('',#229528,#47911); #30284=LINE('',#229529,#47912); #30285=LINE('',#229532,#47913); #30286=LINE('',#229534,#47914); #30287=LINE('',#229535,#47915); #30288=LINE('',#229538,#47916); #30289=LINE('',#229540,#47917); #30290=LINE('',#229541,#47918); #30291=LINE('',#229544,#47919); #30292=LINE('',#229546,#47920); #30293=LINE('',#229547,#47921); #30294=LINE('',#229549,#47922); #30295=LINE('',#229550,#47923); #30296=LINE('',#229555,#47924); #30297=LINE('',#229557,#47925); #30298=LINE('',#229559,#47926); #30299=LINE('',#229560,#47927); #30300=LINE('',#229563,#47928); #30301=LINE('',#229565,#47929); #30302=LINE('',#229566,#47930); #30303=LINE('',#229569,#47931); #30304=LINE('',#229571,#47932); #30305=LINE('',#229572,#47933); #30306=LINE('',#229575,#47934); #30307=LINE('',#229577,#47935); #30308=LINE('',#229578,#47936); #30309=LINE('',#229581,#47937); #30310=LINE('',#229583,#47938); #30311=LINE('',#229584,#47939); #30312=LINE('',#229587,#47940); #30313=LINE('',#229589,#47941); #30314=LINE('',#229590,#47942); #30315=LINE('',#229600,#47943); #30316=LINE('',#229603,#47944); #30317=LINE('',#229608,#47945); #30318=LINE('',#229612,#47946); #30319=LINE('',#229614,#47947); #30320=LINE('',#229615,#47948); #30321=LINE('',#229618,#47949); #30322=LINE('',#229620,#47950); #30323=LINE('',#229621,#47951); #30324=LINE('',#229624,#47952); #30325=LINE('',#229626,#47953); #30326=LINE('',#229627,#47954); #30327=LINE('',#229630,#47955); #30328=LINE('',#229632,#47956); #30329=LINE('',#229633,#47957); #30330=LINE('',#229636,#47958); #30331=LINE('',#229638,#47959); #30332=LINE('',#229639,#47960); #30333=LINE('',#229642,#47961); #30334=LINE('',#229644,#47962); #30335=LINE('',#229645,#47963); #30336=LINE('',#229648,#47964); #30337=LINE('',#229650,#47965); #30338=LINE('',#229651,#47966); #30339=LINE('',#229654,#47967); #30340=LINE('',#229656,#47968); #30341=LINE('',#229657,#47969); #30342=LINE('',#229660,#47970); #30343=LINE('',#229662,#47971); #30344=LINE('',#229663,#47972); #30345=LINE('',#229666,#47973); #30346=LINE('',#229668,#47974); #30347=LINE('',#229669,#47975); #30348=LINE('',#229672,#47976); #30349=LINE('',#229674,#47977); #30350=LINE('',#229675,#47978); #30351=LINE('',#229678,#47979); #30352=LINE('',#229680,#47980); #30353=LINE('',#229681,#47981); #30354=LINE('',#229684,#47982); #30355=LINE('',#229686,#47983); #30356=LINE('',#229687,#47984); #30357=LINE('',#229690,#47985); #30358=LINE('',#229692,#47986); #30359=LINE('',#229693,#47987); #30360=LINE('',#229696,#47988); #30361=LINE('',#229698,#47989); #30362=LINE('',#229699,#47990); #30363=LINE('',#229702,#47991); #30364=LINE('',#229704,#47992); #30365=LINE('',#229705,#47993); #30366=LINE('',#229708,#47994); #30367=LINE('',#229710,#47995); #30368=LINE('',#229711,#47996); #30369=LINE('',#229714,#47997); #30370=LINE('',#229716,#47998); #30371=LINE('',#229717,#47999); #30372=LINE('',#229720,#48000); #30373=LINE('',#229722,#48001); #30374=LINE('',#229723,#48002); #30375=LINE('',#229726,#48003); #30376=LINE('',#229728,#48004); #30377=LINE('',#229729,#48005); #30378=LINE('',#229732,#48006); #30379=LINE('',#229734,#48007); #30380=LINE('',#229735,#48008); #30381=LINE('',#229738,#48009); #30382=LINE('',#229740,#48010); #30383=LINE('',#229741,#48011); #30384=LINE('',#229744,#48012); #30385=LINE('',#229746,#48013); #30386=LINE('',#229747,#48014); #30387=LINE('',#229752,#48015); #30388=LINE('',#229756,#48016); #30389=LINE('',#229758,#48017); #30390=LINE('',#229759,#48018); #30391=LINE('',#229762,#48019); #30392=LINE('',#229764,#48020); #30393=LINE('',#229765,#48021); #30394=LINE('',#229768,#48022); #30395=LINE('',#229770,#48023); #30396=LINE('',#229771,#48024); #30397=LINE('',#229774,#48025); #30398=LINE('',#229776,#48026); #30399=LINE('',#229777,#48027); #30400=LINE('',#229780,#48028); #30401=LINE('',#229782,#48029); #30402=LINE('',#229783,#48030); #30403=LINE('',#229786,#48031); #30404=LINE('',#229788,#48032); #30405=LINE('',#229789,#48033); #30406=LINE('',#229792,#48034); #30407=LINE('',#229794,#48035); #30408=LINE('',#229795,#48036); #30409=LINE('',#229798,#48037); #30410=LINE('',#229800,#48038); #30411=LINE('',#229801,#48039); #30412=LINE('',#229804,#48040); #30413=LINE('',#229806,#48041); #30414=LINE('',#229807,#48042); #30415=LINE('',#229810,#48043); #30416=LINE('',#229812,#48044); #30417=LINE('',#229813,#48045); #30418=LINE('',#229816,#48046); #30419=LINE('',#229818,#48047); #30420=LINE('',#229819,#48048); #30421=LINE('',#229822,#48049); #30422=LINE('',#229824,#48050); #30423=LINE('',#229825,#48051); #30424=LINE('',#229828,#48052); #30425=LINE('',#229830,#48053); #30426=LINE('',#229831,#48054); #30427=LINE('',#229834,#48055); #30428=LINE('',#229836,#48056); #30429=LINE('',#229837,#48057); #30430=LINE('',#229840,#48058); #30431=LINE('',#229842,#48059); #30432=LINE('',#229843,#48060); #30433=LINE('',#229846,#48061); #30434=LINE('',#229848,#48062); #30435=LINE('',#229849,#48063); #30436=LINE('',#229852,#48064); #30437=LINE('',#229854,#48065); #30438=LINE('',#229855,#48066); #30439=LINE('',#229858,#48067); #30440=LINE('',#229860,#48068); #30441=LINE('',#229861,#48069); #30442=LINE('',#229864,#48070); #30443=LINE('',#229866,#48071); #30444=LINE('',#229867,#48072); #30445=LINE('',#229870,#48073); #30446=LINE('',#229872,#48074); #30447=LINE('',#229873,#48075); #30448=LINE('',#229878,#48076); #30449=LINE('',#229882,#48077); #30450=LINE('',#229884,#48078); #30451=LINE('',#229885,#48079); #30452=LINE('',#229888,#48080); #30453=LINE('',#229890,#48081); #30454=LINE('',#229891,#48082); #30455=LINE('',#229894,#48083); #30456=LINE('',#229896,#48084); #30457=LINE('',#229897,#48085); #30458=LINE('',#229902,#48086); #30459=LINE('',#229906,#48087); #30460=LINE('',#229908,#48088); #30461=LINE('',#229909,#48089); #30462=LINE('',#229914,#48090); #30463=LINE('',#229920,#48091); #30464=LINE('',#229924,#48092); #30465=LINE('',#229926,#48093); #30466=LINE('',#229927,#48094); #30467=LINE('',#229930,#48095); #30468=LINE('',#229932,#48096); #30469=LINE('',#229933,#48097); #30470=LINE('',#229936,#48098); #30471=LINE('',#229938,#48099); #30472=LINE('',#229939,#48100); #30473=LINE('',#229942,#48101); #30474=LINE('',#229944,#48102); #30475=LINE('',#229945,#48103); #30476=LINE('',#229948,#48104); #30477=LINE('',#229950,#48105); #30478=LINE('',#229951,#48106); #30479=LINE('',#229956,#48107); #30480=LINE('',#229962,#48108); #30481=LINE('',#229966,#48109); #30482=LINE('',#229968,#48110); #30483=LINE('',#229969,#48111); #30484=LINE('',#229972,#48112); #30485=LINE('',#229974,#48113); #30486=LINE('',#229975,#48114); #30487=LINE('',#229978,#48115); #30488=LINE('',#229980,#48116); #30489=LINE('',#229981,#48117); #30490=LINE('',#229984,#48118); #30491=LINE('',#229986,#48119); #30492=LINE('',#229987,#48120); #30493=LINE('',#229992,#48121); #30494=LINE('',#229998,#48122); #30495=LINE('',#230002,#48123); #30496=LINE('',#230004,#48124); #30497=LINE('',#230005,#48125); #30498=LINE('',#230008,#48126); #30499=LINE('',#230010,#48127); #30500=LINE('',#230011,#48128); #30501=LINE('',#230014,#48129); #30502=LINE('',#230016,#48130); #30503=LINE('',#230017,#48131); #30504=LINE('',#230020,#48132); #30505=LINE('',#230022,#48133); #30506=LINE('',#230023,#48134); #30507=LINE('',#230026,#48135); #30508=LINE('',#230028,#48136); #30509=LINE('',#230029,#48137); #30510=LINE('',#230032,#48138); #30511=LINE('',#230034,#48139); #30512=LINE('',#230035,#48140); #30513=LINE('',#230038,#48141); #30514=LINE('',#230040,#48142); #30515=LINE('',#230041,#48143); #30516=LINE('',#230044,#48144); #30517=LINE('',#230046,#48145); #30518=LINE('',#230047,#48146); #30519=LINE('',#230050,#48147); #30520=LINE('',#230052,#48148); #30521=LINE('',#230053,#48149); #30522=LINE('',#230056,#48150); #30523=LINE('',#230058,#48151); #30524=LINE('',#230059,#48152); #30525=LINE('',#230062,#48153); #30526=LINE('',#230064,#48154); #30527=LINE('',#230065,#48155); #30528=LINE('',#230068,#48156); #30529=LINE('',#230070,#48157); #30530=LINE('',#230071,#48158); #30531=LINE('',#230074,#48159); #30532=LINE('',#230076,#48160); #30533=LINE('',#230077,#48161); #30534=LINE('',#230082,#48162); #30535=LINE('',#230086,#48163); #30536=LINE('',#230088,#48164); #30537=LINE('',#230089,#48165); #30538=LINE('',#230092,#48166); #30539=LINE('',#230094,#48167); #30540=LINE('',#230095,#48168); #30541=LINE('',#230098,#48169); #30542=LINE('',#230100,#48170); #30543=LINE('',#230101,#48171); #30544=LINE('',#230104,#48172); #30545=LINE('',#230106,#48173); #30546=LINE('',#230107,#48174); #30547=LINE('',#230110,#48175); #30548=LINE('',#230112,#48176); #30549=LINE('',#230113,#48177); #30550=LINE('',#230116,#48178); #30551=LINE('',#230118,#48179); #30552=LINE('',#230119,#48180); #30553=LINE('',#230122,#48181); #30554=LINE('',#230124,#48182); #30555=LINE('',#230125,#48183); #30556=LINE('',#230128,#48184); #30557=LINE('',#230130,#48185); #30558=LINE('',#230131,#48186); #30559=LINE('',#230134,#48187); #30560=LINE('',#230136,#48188); #30561=LINE('',#230137,#48189); #30562=LINE('',#230140,#48190); #30563=LINE('',#230142,#48191); #30564=LINE('',#230143,#48192); #30565=LINE('',#230146,#48193); #30566=LINE('',#230148,#48194); #30567=LINE('',#230149,#48195); #30568=LINE('',#230152,#48196); #30569=LINE('',#230154,#48197); #30570=LINE('',#230155,#48198); #30571=LINE('',#230158,#48199); #30572=LINE('',#230160,#48200); #30573=LINE('',#230161,#48201); #30574=LINE('',#230164,#48202); #30575=LINE('',#230166,#48203); #30576=LINE('',#230167,#48204); #30577=LINE('',#230170,#48205); #30578=LINE('',#230172,#48206); #30579=LINE('',#230173,#48207); #30580=LINE('',#230176,#48208); #30581=LINE('',#230178,#48209); #30582=LINE('',#230179,#48210); #30583=LINE('',#230184,#48211); #30584=LINE('',#230188,#48212); #30585=LINE('',#230190,#48213); #30586=LINE('',#230191,#48214); #30587=LINE('',#230194,#48215); #30588=LINE('',#230196,#48216); #30589=LINE('',#230197,#48217); #30590=LINE('',#230200,#48218); #30591=LINE('',#230202,#48219); #30592=LINE('',#230203,#48220); #30593=LINE('',#230206,#48221); #30594=LINE('',#230208,#48222); #30595=LINE('',#230209,#48223); #30596=LINE('',#230212,#48224); #30597=LINE('',#230214,#48225); #30598=LINE('',#230215,#48226); #30599=LINE('',#230218,#48227); #30600=LINE('',#230220,#48228); #30601=LINE('',#230221,#48229); #30602=LINE('',#230224,#48230); #30603=LINE('',#230226,#48231); #30604=LINE('',#230227,#48232); #30605=LINE('',#230230,#48233); #30606=LINE('',#230232,#48234); #30607=LINE('',#230233,#48235); #30608=LINE('',#230238,#48236); #30609=LINE('',#230242,#48237); #30610=LINE('',#230244,#48238); #30611=LINE('',#230245,#48239); #30612=LINE('',#230248,#48240); #30613=LINE('',#230250,#48241); #30614=LINE('',#230251,#48242); #30615=LINE('',#230254,#48243); #30616=LINE('',#230256,#48244); #30617=LINE('',#230257,#48245); #30618=LINE('',#230260,#48246); #30619=LINE('',#230262,#48247); #30620=LINE('',#230263,#48248); #30621=LINE('',#230266,#48249); #30622=LINE('',#230268,#48250); #30623=LINE('',#230269,#48251); #30624=LINE('',#230274,#48252); #30625=LINE('',#230278,#48253); #30626=LINE('',#230280,#48254); #30627=LINE('',#230281,#48255); #30628=LINE('',#230284,#48256); #30629=LINE('',#230286,#48257); #30630=LINE('',#230287,#48258); #30631=LINE('',#230290,#48259); #30632=LINE('',#230292,#48260); #30633=LINE('',#230293,#48261); #30634=LINE('',#230296,#48262); #30635=LINE('',#230298,#48263); #30636=LINE('',#230299,#48264); #30637=LINE('',#230302,#48265); #30638=LINE('',#230304,#48266); #30639=LINE('',#230305,#48267); #30640=LINE('',#230307,#48268); #30641=LINE('',#230308,#48269); #30642=LINE('',#230315,#48270); #30643=LINE('',#230318,#48271); #30644=LINE('',#230321,#48272); #30645=LINE('',#230323,#48273); #30646=LINE('',#230324,#48274); #30647=LINE('',#230327,#48275); #30648=LINE('',#230329,#48276); #30649=LINE('',#230330,#48277); #30650=LINE('',#230333,#48278); #30651=LINE('',#230335,#48279); #30652=LINE('',#230336,#48280); #30653=LINE('',#230339,#48281); #30654=LINE('',#230341,#48282); #30655=LINE('',#230342,#48283); #30656=LINE('',#230345,#48284); #30657=LINE('',#230347,#48285); #30658=LINE('',#230348,#48286); #30659=LINE('',#230353,#48287); #30660=LINE('',#230359,#48288); #30661=LINE('',#230363,#48289); #30662=LINE('',#230365,#48290); #30663=LINE('',#230366,#48291); #30664=LINE('',#230369,#48292); #30665=LINE('',#230371,#48293); #30666=LINE('',#230372,#48294); #30667=LINE('',#230375,#48295); #30668=LINE('',#230377,#48296); #30669=LINE('',#230378,#48297); #30670=LINE('',#230380,#48298); #30671=LINE('',#230381,#48299); #30672=LINE('',#230387,#48300); #30673=LINE('',#230390,#48301); #30674=LINE('',#230393,#48302); #30675=LINE('',#230395,#48303); #30676=LINE('',#230396,#48304); #30677=LINE('',#230399,#48305); #30678=LINE('',#230401,#48306); #30679=LINE('',#230402,#48307); #30680=LINE('',#230405,#48308); #30681=LINE('',#230407,#48309); #30682=LINE('',#230408,#48310); #30683=LINE('',#230411,#48311); #30684=LINE('',#230413,#48312); #30685=LINE('',#230414,#48313); #30686=LINE('',#230417,#48314); #30687=LINE('',#230419,#48315); #30688=LINE('',#230420,#48316); #30689=LINE('',#230423,#48317); #30690=LINE('',#230425,#48318); #30691=LINE('',#230426,#48319); #30692=LINE('',#230429,#48320); #30693=LINE('',#230431,#48321); #30694=LINE('',#230432,#48322); #30695=LINE('',#230435,#48323); #30696=LINE('',#230437,#48324); #30697=LINE('',#230438,#48325); #30698=LINE('',#230441,#48326); #30699=LINE('',#230443,#48327); #30700=LINE('',#230444,#48328); #30701=LINE('',#230447,#48329); #30702=LINE('',#230449,#48330); #30703=LINE('',#230450,#48331); #30704=LINE('',#230453,#48332); #30705=LINE('',#230455,#48333); #30706=LINE('',#230456,#48334); #30707=LINE('',#230461,#48335); #30708=LINE('',#230465,#48336); #30709=LINE('',#230467,#48337); #30710=LINE('',#230468,#48338); #30711=LINE('',#230471,#48339); #30712=LINE('',#230473,#48340); #30713=LINE('',#230474,#48341); #30714=LINE('',#230477,#48342); #30715=LINE('',#230479,#48343); #30716=LINE('',#230480,#48344); #30717=LINE('',#230483,#48345); #30718=LINE('',#230485,#48346); #30719=LINE('',#230486,#48347); #30720=LINE('',#230489,#48348); #30721=LINE('',#230491,#48349); #30722=LINE('',#230492,#48350); #30723=LINE('',#230495,#48351); #30724=LINE('',#230497,#48352); #30725=LINE('',#230498,#48353); #30726=LINE('',#230503,#48354); #30727=LINE('',#230507,#48355); #30728=LINE('',#230509,#48356); #30729=LINE('',#230510,#48357); #30730=LINE('',#230513,#48358); #30731=LINE('',#230515,#48359); #30732=LINE('',#230516,#48360); #30733=LINE('',#230519,#48361); #30734=LINE('',#230521,#48362); #30735=LINE('',#230522,#48363); #30736=LINE('',#230525,#48364); #30737=LINE('',#230527,#48365); #30738=LINE('',#230528,#48366); #30739=LINE('',#230531,#48367); #30740=LINE('',#230533,#48368); #30741=LINE('',#230534,#48369); #30742=LINE('',#230537,#48370); #30743=LINE('',#230539,#48371); #30744=LINE('',#230540,#48372); #30745=LINE('',#230543,#48373); #30746=LINE('',#230545,#48374); #30747=LINE('',#230546,#48375); #30748=LINE('',#230549,#48376); #30749=LINE('',#230551,#48377); #30750=LINE('',#230552,#48378); #30751=LINE('',#230555,#48379); #30752=LINE('',#230557,#48380); #30753=LINE('',#230558,#48381); #30754=LINE('',#230561,#48382); #30755=LINE('',#230563,#48383); #30756=LINE('',#230564,#48384); #30757=LINE('',#230567,#48385); #30758=LINE('',#230569,#48386); #30759=LINE('',#230570,#48387); #30760=LINE('',#230573,#48388); #30761=LINE('',#230575,#48389); #30762=LINE('',#230576,#48390); #30763=LINE('',#230579,#48391); #30764=LINE('',#230581,#48392); #30765=LINE('',#230582,#48393); #30766=LINE('',#230585,#48394); #30767=LINE('',#230587,#48395); #30768=LINE('',#230588,#48396); #30769=LINE('',#230591,#48397); #30770=LINE('',#230593,#48398); #30771=LINE('',#230594,#48399); #30772=LINE('',#230597,#48400); #30773=LINE('',#230599,#48401); #30774=LINE('',#230600,#48402); #30775=LINE('',#230603,#48403); #30776=LINE('',#230605,#48404); #30777=LINE('',#230606,#48405); #30778=LINE('',#230609,#48406); #30779=LINE('',#230611,#48407); #30780=LINE('',#230612,#48408); #30781=LINE('',#230615,#48409); #30782=LINE('',#230617,#48410); #30783=LINE('',#230618,#48411); #30784=LINE('',#230621,#48412); #30785=LINE('',#230623,#48413); #30786=LINE('',#230624,#48414); #30787=LINE('',#230627,#48415); #30788=LINE('',#230629,#48416); #30789=LINE('',#230630,#48417); #30790=LINE('',#230633,#48418); #30791=LINE('',#230635,#48419); #30792=LINE('',#230636,#48420); #30793=LINE('',#230639,#48421); #30794=LINE('',#230641,#48422); #30795=LINE('',#230642,#48423); #30796=LINE('',#230645,#48424); #30797=LINE('',#230647,#48425); #30798=LINE('',#230648,#48426); #30799=LINE('',#230653,#48427); #30800=LINE('',#230657,#48428); #30801=LINE('',#230659,#48429); #30802=LINE('',#230660,#48430); #30803=LINE('',#230663,#48431); #30804=LINE('',#230665,#48432); #30805=LINE('',#230666,#48433); #30806=LINE('',#230669,#48434); #30807=LINE('',#230671,#48435); #30808=LINE('',#230672,#48436); #30809=LINE('',#230675,#48437); #30810=LINE('',#230677,#48438); #30811=LINE('',#230678,#48439); #30812=LINE('',#230681,#48440); #30813=LINE('',#230683,#48441); #30814=LINE('',#230684,#48442); #30815=LINE('',#230687,#48443); #30816=LINE('',#230689,#48444); #30817=LINE('',#230690,#48445); #30818=LINE('',#230693,#48446); #30819=LINE('',#230695,#48447); #30820=LINE('',#230696,#48448); #30821=LINE('',#230699,#48449); #30822=LINE('',#230701,#48450); #30823=LINE('',#230702,#48451); #30824=LINE('',#230705,#48452); #30825=LINE('',#230707,#48453); #30826=LINE('',#230708,#48454); #30827=LINE('',#230711,#48455); #30828=LINE('',#230713,#48456); #30829=LINE('',#230714,#48457); #30830=LINE('',#230717,#48458); #30831=LINE('',#230719,#48459); #30832=LINE('',#230720,#48460); #30833=LINE('',#230723,#48461); #30834=LINE('',#230725,#48462); #30835=LINE('',#230726,#48463); #30836=LINE('',#230729,#48464); #30837=LINE('',#230731,#48465); #30838=LINE('',#230732,#48466); #30839=LINE('',#230735,#48467); #30840=LINE('',#230737,#48468); #30841=LINE('',#230738,#48469); #30842=LINE('',#230741,#48470); #30843=LINE('',#230743,#48471); #30844=LINE('',#230744,#48472); #30845=LINE('',#230747,#48473); #30846=LINE('',#230749,#48474); #30847=LINE('',#230750,#48475); #30848=LINE('',#230753,#48476); #30849=LINE('',#230755,#48477); #30850=LINE('',#230756,#48478); #30851=LINE('',#230759,#48479); #30852=LINE('',#230761,#48480); #30853=LINE('',#230762,#48481); #30854=LINE('',#230765,#48482); #30855=LINE('',#230767,#48483); #30856=LINE('',#230768,#48484); #30857=LINE('',#230771,#48485); #30858=LINE('',#230773,#48486); #30859=LINE('',#230774,#48487); #30860=LINE('',#230777,#48488); #30861=LINE('',#230779,#48489); #30862=LINE('',#230780,#48490); #30863=LINE('',#230783,#48491); #30864=LINE('',#230785,#48492); #30865=LINE('',#230786,#48493); #30866=LINE('',#230791,#48494); #30867=LINE('',#230795,#48495); #30868=LINE('',#230797,#48496); #30869=LINE('',#230798,#48497); #30870=LINE('',#230801,#48498); #30871=LINE('',#230803,#48499); #30872=LINE('',#230804,#48500); #30873=LINE('',#230807,#48501); #30874=LINE('',#230809,#48502); #30875=LINE('',#230810,#48503); #30876=LINE('',#230813,#48504); #30877=LINE('',#230815,#48505); #30878=LINE('',#230816,#48506); #30879=LINE('',#230819,#48507); #30880=LINE('',#230821,#48508); #30881=LINE('',#230822,#48509); #30882=LINE('',#230825,#48510); #30883=LINE('',#230827,#48511); #30884=LINE('',#230828,#48512); #30885=LINE('',#230831,#48513); #30886=LINE('',#230833,#48514); #30887=LINE('',#230834,#48515); #30888=LINE('',#230839,#48516); #30889=LINE('',#230845,#48517); #30890=LINE('',#230849,#48518); #30891=LINE('',#230851,#48519); #30892=LINE('',#230852,#48520); #30893=LINE('',#230855,#48521); #30894=LINE('',#230857,#48522); #30895=LINE('',#230858,#48523); #30896=LINE('',#230861,#48524); #30897=LINE('',#230863,#48525); #30898=LINE('',#230864,#48526); #30899=LINE('',#230867,#48527); #30900=LINE('',#230869,#48528); #30901=LINE('',#230870,#48529); #30902=LINE('',#230873,#48530); #30903=LINE('',#230875,#48531); #30904=LINE('',#230876,#48532); #30905=LINE('',#230879,#48533); #30906=LINE('',#230881,#48534); #30907=LINE('',#230882,#48535); #30908=LINE('',#230885,#48536); #30909=LINE('',#230887,#48537); #30910=LINE('',#230888,#48538); #30911=LINE('',#230893,#48539); #30912=LINE('',#230897,#48540); #30913=LINE('',#230899,#48541); #30914=LINE('',#230900,#48542); #30915=LINE('',#230905,#48543); #30916=LINE('',#230909,#48544); #30917=LINE('',#230911,#48545); #30918=LINE('',#230912,#48546); #30919=LINE('',#230915,#48547); #30920=LINE('',#230917,#48548); #30921=LINE('',#230918,#48549); #30922=LINE('',#230921,#48550); #30923=LINE('',#230923,#48551); #30924=LINE('',#230924,#48552); #30925=LINE('',#230927,#48553); #30926=LINE('',#230929,#48554); #30927=LINE('',#230930,#48555); #30928=LINE('',#230933,#48556); #30929=LINE('',#230935,#48557); #30930=LINE('',#230936,#48558); #30931=LINE('',#230939,#48559); #30932=LINE('',#230941,#48560); #30933=LINE('',#230942,#48561); #30934=LINE('',#230945,#48562); #30935=LINE('',#230947,#48563); #30936=LINE('',#230948,#48564); #30937=LINE('',#230951,#48565); #30938=LINE('',#230953,#48566); #30939=LINE('',#230954,#48567); #30940=LINE('',#230957,#48568); #30941=LINE('',#230959,#48569); #30942=LINE('',#230960,#48570); #30943=LINE('',#230963,#48571); #30944=LINE('',#230965,#48572); #30945=LINE('',#230966,#48573); #30946=LINE('',#230971,#48574); #30947=LINE('',#230975,#48575); #30948=LINE('',#230977,#48576); #30949=LINE('',#230978,#48577); #30950=LINE('',#230981,#48578); #30951=LINE('',#230983,#48579); #30952=LINE('',#230984,#48580); #30953=LINE('',#230987,#48581); #30954=LINE('',#230989,#48582); #30955=LINE('',#230990,#48583); #30956=LINE('',#230993,#48584); #30957=LINE('',#230995,#48585); #30958=LINE('',#230996,#48586); #30959=LINE('',#231001,#48587); #30960=LINE('',#231005,#48588); #30961=LINE('',#231007,#48589); #30962=LINE('',#231008,#48590); #30963=LINE('',#231011,#48591); #30964=LINE('',#231013,#48592); #30965=LINE('',#231014,#48593); #30966=LINE('',#231017,#48594); #30967=LINE('',#231019,#48595); #30968=LINE('',#231020,#48596); #30969=LINE('',#231023,#48597); #30970=LINE('',#231025,#48598); #30971=LINE('',#231026,#48599); #30972=LINE('',#231029,#48600); #30973=LINE('',#231031,#48601); #30974=LINE('',#231032,#48602); #30975=LINE('',#231035,#48603); #30976=LINE('',#231037,#48604); #30977=LINE('',#231038,#48605); #30978=LINE('',#231043,#48606); #30979=LINE('',#231047,#48607); #30980=LINE('',#231049,#48608); #30981=LINE('',#231050,#48609); #30982=LINE('',#231053,#48610); #30983=LINE('',#231055,#48611); #30984=LINE('',#231056,#48612); #30985=LINE('',#231059,#48613); #30986=LINE('',#231061,#48614); #30987=LINE('',#231062,#48615); #30988=LINE('',#231065,#48616); #30989=LINE('',#231067,#48617); #30990=LINE('',#231068,#48618); #30991=LINE('',#231073,#48619); #30992=LINE('',#231079,#48620); #30993=LINE('',#231083,#48621); #30994=LINE('',#231085,#48622); #30995=LINE('',#231086,#48623); #30996=LINE('',#231089,#48624); #30997=LINE('',#231091,#48625); #30998=LINE('',#231092,#48626); #30999=LINE('',#231095,#48627); #31000=LINE('',#231097,#48628); #31001=LINE('',#231098,#48629); #31002=LINE('',#231103,#48630); #31003=LINE('',#231109,#48631); #31004=LINE('',#231113,#48632); #31005=LINE('',#231115,#48633); #31006=LINE('',#231116,#48634); #31007=LINE('',#231119,#48635); #31008=LINE('',#231121,#48636); #31009=LINE('',#231122,#48637); #31010=LINE('',#231127,#48638); #31011=LINE('',#231131,#48639); #31012=LINE('',#231133,#48640); #31013=LINE('',#231134,#48641); #31014=LINE('',#231137,#48642); #31015=LINE('',#231139,#48643); #31016=LINE('',#231140,#48644); #31017=LINE('',#231143,#48645); #31018=LINE('',#231145,#48646); #31019=LINE('',#231146,#48647); #31020=LINE('',#231149,#48648); #31021=LINE('',#231151,#48649); #31022=LINE('',#231152,#48650); #31023=LINE('',#231155,#48651); #31024=LINE('',#231157,#48652); #31025=LINE('',#231158,#48653); #31026=LINE('',#231161,#48654); #31027=LINE('',#231163,#48655); #31028=LINE('',#231164,#48656); #31029=LINE('',#231167,#48657); #31030=LINE('',#231169,#48658); #31031=LINE('',#231170,#48659); #31032=LINE('',#231173,#48660); #31033=LINE('',#231175,#48661); #31034=LINE('',#231176,#48662); #31035=LINE('',#231179,#48663); #31036=LINE('',#231181,#48664); #31037=LINE('',#231182,#48665); #31038=LINE('',#231185,#48666); #31039=LINE('',#231187,#48667); #31040=LINE('',#231188,#48668); #31041=LINE('',#231191,#48669); #31042=LINE('',#231193,#48670); #31043=LINE('',#231194,#48671); #31044=LINE('',#231197,#48672); #31045=LINE('',#231199,#48673); #31046=LINE('',#231200,#48674); #31047=LINE('',#231202,#48675); #31048=LINE('',#231203,#48676); #31049=LINE('',#231210,#48677); #31050=LINE('',#231213,#48678); #31051=LINE('',#231218,#48679); #31052=LINE('',#231224,#48680); #31053=LINE('',#231230,#48681); #31054=LINE('',#231234,#48682); #31055=LINE('',#231236,#48683); #31056=LINE('',#231237,#48684); #31057=LINE('',#231240,#48685); #31058=LINE('',#231242,#48686); #31059=LINE('',#231243,#48687); #31060=LINE('',#231245,#48688); #31061=LINE('',#231246,#48689); #31062=LINE('',#231252,#48690); #31063=LINE('',#231255,#48691); #31064=LINE('',#231258,#48692); #31065=LINE('',#231260,#48693); #31066=LINE('',#231261,#48694); #31067=LINE('',#231264,#48695); #31068=LINE('',#231266,#48696); #31069=LINE('',#231267,#48697); #31070=LINE('',#231270,#48698); #31071=LINE('',#231272,#48699); #31072=LINE('',#231273,#48700); #31073=LINE('',#231276,#48701); #31074=LINE('',#231278,#48702); #31075=LINE('',#231279,#48703); #31076=LINE('',#231284,#48704); #31077=LINE('',#231290,#48705); #31078=LINE('',#231298,#48706); #31079=LINE('',#231300,#48707); #31080=LINE('',#231302,#48708); #31081=LINE('',#231303,#48709); #31082=LINE('',#231306,#48710); #31083=LINE('',#231308,#48711); #31084=LINE('',#231309,#48712); #31085=LINE('',#231312,#48713); #31086=LINE('',#231314,#48714); #31087=LINE('',#231315,#48715); #31088=LINE('',#231318,#48716); #31089=LINE('',#231320,#48717); #31090=LINE('',#231321,#48718); #31091=LINE('',#231324,#48719); #31092=LINE('',#231326,#48720); #31093=LINE('',#231327,#48721); #31094=LINE('',#231330,#48722); #31095=LINE('',#231332,#48723); #31096=LINE('',#231333,#48724); #31097=LINE('',#231338,#48725); #31098=LINE('',#231342,#48726); #31099=LINE('',#231344,#48727); #31100=LINE('',#231345,#48728); #31101=LINE('',#231350,#48729); #31102=LINE('',#231356,#48730); #31103=LINE('',#231362,#48731); #31104=LINE('',#231368,#48732); #31105=LINE('',#231372,#48733); #31106=LINE('',#231374,#48734); #31107=LINE('',#231375,#48735); #31108=LINE('',#231380,#48736); #31109=LINE('',#231386,#48737); #31110=LINE('',#231392,#48738); #31111=LINE('',#231398,#48739); #31112=LINE('',#231402,#48740); #31113=LINE('',#231404,#48741); #31114=LINE('',#231405,#48742); #31115=LINE('',#231408,#48743); #31116=LINE('',#231410,#48744); #31117=LINE('',#231411,#48745); #31118=LINE('',#231416,#48746); #31119=LINE('',#231420,#48747); #31120=LINE('',#231422,#48748); #31121=LINE('',#231423,#48749); #31122=LINE('',#231426,#48750); #31123=LINE('',#231428,#48751); #31124=LINE('',#231429,#48752); #31125=LINE('',#231432,#48753); #31126=LINE('',#231434,#48754); #31127=LINE('',#231435,#48755); #31128=LINE('',#231438,#48756); #31129=LINE('',#231440,#48757); #31130=LINE('',#231441,#48758); #31131=LINE('',#231444,#48759); #31132=LINE('',#231446,#48760); #31133=LINE('',#231447,#48761); #31134=LINE('',#231450,#48762); #31135=LINE('',#231452,#48763); #31136=LINE('',#231453,#48764); #31137=LINE('',#231456,#48765); #31138=LINE('',#231458,#48766); #31139=LINE('',#231459,#48767); #31140=LINE('',#231464,#48768); #31141=LINE('',#231468,#48769); #31142=LINE('',#231470,#48770); #31143=LINE('',#231471,#48771); #31144=LINE('',#231474,#48772); #31145=LINE('',#231476,#48773); #31146=LINE('',#231477,#48774); #31147=LINE('',#231480,#48775); #31148=LINE('',#231482,#48776); #31149=LINE('',#231483,#48777); #31150=LINE('',#231486,#48778); #31151=LINE('',#231488,#48779); #31152=LINE('',#231489,#48780); #31153=LINE('',#231492,#48781); #31154=LINE('',#231494,#48782); #31155=LINE('',#231495,#48783); #31156=LINE('',#231498,#48784); #31157=LINE('',#231500,#48785); #31158=LINE('',#231501,#48786); #31159=LINE('',#231504,#48787); #31160=LINE('',#231506,#48788); #31161=LINE('',#231507,#48789); #31162=LINE('',#231510,#48790); #31163=LINE('',#231512,#48791); #31164=LINE('',#231513,#48792); #31165=LINE('',#231518,#48793); #31166=LINE('',#231522,#48794); #31167=LINE('',#231524,#48795); #31168=LINE('',#231525,#48796); #31169=LINE('',#231530,#48797); #31170=LINE('',#231534,#48798); #31171=LINE('',#231536,#48799); #31172=LINE('',#231537,#48800); #31173=LINE('',#231540,#48801); #31174=LINE('',#231542,#48802); #31175=LINE('',#231543,#48803); #31176=LINE('',#231548,#48804); #31177=LINE('',#231552,#48805); #31178=LINE('',#231554,#48806); #31179=LINE('',#231555,#48807); #31180=LINE('',#231558,#48808); #31181=LINE('',#231560,#48809); #31182=LINE('',#231561,#48810); #31183=LINE('',#231564,#48811); #31184=LINE('',#231566,#48812); #31185=LINE('',#231567,#48813); #31186=LINE('',#231570,#48814); #31187=LINE('',#231572,#48815); #31188=LINE('',#231573,#48816); #31189=LINE('',#231576,#48817); #31190=LINE('',#231578,#48818); #31191=LINE('',#231579,#48819); #31192=LINE('',#231582,#48820); #31193=LINE('',#231584,#48821); #31194=LINE('',#231585,#48822); #31195=LINE('',#231588,#48823); #31196=LINE('',#231590,#48824); #31197=LINE('',#231591,#48825); #31198=LINE('',#231594,#48826); #31199=LINE('',#231596,#48827); #31200=LINE('',#231597,#48828); #31201=LINE('',#231600,#48829); #31202=LINE('',#231602,#48830); #31203=LINE('',#231603,#48831); #31204=LINE('',#231606,#48832); #31205=LINE('',#231608,#48833); #31206=LINE('',#231609,#48834); #31207=LINE('',#231612,#48835); #31208=LINE('',#231614,#48836); #31209=LINE('',#231615,#48837); #31210=LINE('',#231618,#48838); #31211=LINE('',#231620,#48839); #31212=LINE('',#231621,#48840); #31213=LINE('',#231624,#48841); #31214=LINE('',#231626,#48842); #31215=LINE('',#231627,#48843); #31216=LINE('',#231630,#48844); #31217=LINE('',#231632,#48845); #31218=LINE('',#231633,#48846); #31219=LINE('',#231636,#48847); #31220=LINE('',#231638,#48848); #31221=LINE('',#231639,#48849); #31222=LINE('',#231642,#48850); #31223=LINE('',#231644,#48851); #31224=LINE('',#231645,#48852); #31225=LINE('',#231648,#48853); #31226=LINE('',#231650,#48854); #31227=LINE('',#231651,#48855); #31228=LINE('',#231653,#48856); #31229=LINE('',#231654,#48857); #31230=LINE('',#231661,#48858); #31231=LINE('',#231664,#48859); #31232=LINE('',#231669,#48860); #31233=LINE('',#231675,#48861); #31234=LINE('',#231679,#48862); #31235=LINE('',#231681,#48863); #31236=LINE('',#231682,#48864); #31237=LINE('',#231687,#48865); #31238=LINE('',#231691,#48866); #31239=LINE('',#231693,#48867); #31240=LINE('',#231694,#48868); #31241=LINE('',#231697,#48869); #31242=LINE('',#231699,#48870); #31243=LINE('',#231700,#48871); #31244=LINE('',#231703,#48872); #31245=LINE('',#231705,#48873); #31246=LINE('',#231706,#48874); #31247=LINE('',#231709,#48875); #31248=LINE('',#231711,#48876); #31249=LINE('',#231712,#48877); #31250=LINE('',#231715,#48878); #31251=LINE('',#231717,#48879); #31252=LINE('',#231718,#48880); #31253=LINE('',#231721,#48881); #31254=LINE('',#231723,#48882); #31255=LINE('',#231724,#48883); #31256=LINE('',#231729,#48884); #31257=LINE('',#231735,#48885); #31258=LINE('',#231739,#48886); #31259=LINE('',#231741,#48887); #31260=LINE('',#231742,#48888); #31261=LINE('',#231745,#48889); #31262=LINE('',#231747,#48890); #31263=LINE('',#231748,#48891); #31264=LINE('',#231751,#48892); #31265=LINE('',#231753,#48893); #31266=LINE('',#231754,#48894); #31267=LINE('',#231757,#48895); #31268=LINE('',#231759,#48896); #31269=LINE('',#231760,#48897); #31270=LINE('',#231763,#48898); #31271=LINE('',#231765,#48899); #31272=LINE('',#231766,#48900); #31273=LINE('',#231769,#48901); #31274=LINE('',#231771,#48902); #31275=LINE('',#231772,#48903); #31276=LINE('',#231775,#48904); #31277=LINE('',#231777,#48905); #31278=LINE('',#231778,#48906); #31279=LINE('',#231781,#48907); #31280=LINE('',#231783,#48908); #31281=LINE('',#231784,#48909); #31282=LINE('',#231787,#48910); #31283=LINE('',#231789,#48911); #31284=LINE('',#231790,#48912); #31285=LINE('',#231793,#48913); #31286=LINE('',#231795,#48914); #31287=LINE('',#231796,#48915); #31288=LINE('',#231801,#48916); #31289=LINE('',#231807,#48917); #31290=LINE('',#231813,#48918); #31291=LINE('',#231817,#48919); #31292=LINE('',#231819,#48920); #31293=LINE('',#231820,#48921); #31294=LINE('',#231825,#48922); #31295=LINE('',#231831,#48923); #31296=LINE('',#231835,#48924); #31297=LINE('',#231837,#48925); #31298=LINE('',#231838,#48926); #31299=LINE('',#231841,#48927); #31300=LINE('',#231843,#48928); #31301=LINE('',#231844,#48929); #31302=LINE('',#231847,#48930); #31303=LINE('',#231849,#48931); #31304=LINE('',#231850,#48932); #31305=LINE('',#231855,#48933); #31306=LINE('',#231861,#48934); #31307=LINE('',#231867,#48935); #31308=LINE('',#231873,#48936); #31309=LINE('',#231879,#48937); #31310=LINE('',#231885,#48938); #31311=LINE('',#231891,#48939); #31312=LINE('',#231895,#48940); #31313=LINE('',#231897,#48941); #31314=LINE('',#231898,#48942); #31315=LINE('',#231901,#48943); #31316=LINE('',#231903,#48944); #31317=LINE('',#231904,#48945); #31318=LINE('',#231909,#48946); #31319=LINE('',#231913,#48947); #31320=LINE('',#231915,#48948); #31321=LINE('',#231916,#48949); #31322=LINE('',#231921,#48950); #31323=LINE('',#231927,#48951); #31324=LINE('',#231933,#48952); #31325=LINE('',#231939,#48953); #31326=LINE('',#231945,#48954); #31327=LINE('',#231949,#48955); #31328=LINE('',#231951,#48956); #31329=LINE('',#231952,#48957); #31330=LINE('',#231955,#48958); #31331=LINE('',#231957,#48959); #31332=LINE('',#231958,#48960); #31333=LINE('',#231963,#48961); #31334=LINE('',#231967,#48962); #31335=LINE('',#231969,#48963); #31336=LINE('',#231970,#48964); #31337=LINE('',#231973,#48965); #31338=LINE('',#231975,#48966); #31339=LINE('',#231976,#48967); #31340=LINE('',#231979,#48968); #31341=LINE('',#231981,#48969); #31342=LINE('',#231982,#48970); #31343=LINE('',#231985,#48971); #31344=LINE('',#231987,#48972); #31345=LINE('',#231988,#48973); #31346=LINE('',#231991,#48974); #31347=LINE('',#231993,#48975); #31348=LINE('',#231994,#48976); #31349=LINE('',#231997,#48977); #31350=LINE('',#231999,#48978); #31351=LINE('',#232000,#48979); #31352=LINE('',#232003,#48980); #31353=LINE('',#232005,#48981); #31354=LINE('',#232006,#48982); #31355=LINE('',#232011,#48983); #31356=LINE('',#232015,#48984); #31357=LINE('',#232017,#48985); #31358=LINE('',#232018,#48986); #31359=LINE('',#232021,#48987); #31360=LINE('',#232023,#48988); #31361=LINE('',#232024,#48989); #31362=LINE('',#232027,#48990); #31363=LINE('',#232029,#48991); #31364=LINE('',#232030,#48992); #31365=LINE('',#232033,#48993); #31366=LINE('',#232035,#48994); #31367=LINE('',#232036,#48995); #31368=LINE('',#232039,#48996); #31369=LINE('',#232041,#48997); #31370=LINE('',#232042,#48998); #31371=LINE('',#232045,#48999); #31372=LINE('',#232047,#49000); #31373=LINE('',#232048,#49001); #31374=LINE('',#232053,#49002); #31375=LINE('',#232057,#49003); #31376=LINE('',#232059,#49004); #31377=LINE('',#232060,#49005); #31378=LINE('',#232063,#49006); #31379=LINE('',#232065,#49007); #31380=LINE('',#232066,#49008); #31381=LINE('',#232069,#49009); #31382=LINE('',#232071,#49010); #31383=LINE('',#232072,#49011); #31384=LINE('',#232075,#49012); #31385=LINE('',#232077,#49013); #31386=LINE('',#232078,#49014); #31387=LINE('',#232081,#49015); #31388=LINE('',#232083,#49016); #31389=LINE('',#232084,#49017); #31390=LINE('',#232087,#49018); #31391=LINE('',#232089,#49019); #31392=LINE('',#232090,#49020); #31393=LINE('',#232093,#49021); #31394=LINE('',#232095,#49022); #31395=LINE('',#232096,#49023); #31396=LINE('',#232099,#49024); #31397=LINE('',#232101,#49025); #31398=LINE('',#232102,#49026); #31399=LINE('',#232107,#49027); #31400=LINE('',#232111,#49028); #31401=LINE('',#232113,#49029); #31402=LINE('',#232114,#49030); #31403=LINE('',#232119,#49031); #31404=LINE('',#232123,#49032); #31405=LINE('',#232125,#49033); #31406=LINE('',#232126,#49034); #31407=LINE('',#232129,#49035); #31408=LINE('',#232131,#49036); #31409=LINE('',#232132,#49037); #31410=LINE('',#232135,#49038); #31411=LINE('',#232137,#49039); #31412=LINE('',#232138,#49040); #31413=LINE('',#232141,#49041); #31414=LINE('',#232143,#49042); #31415=LINE('',#232144,#49043); #31416=LINE('',#232147,#49044); #31417=LINE('',#232149,#49045); #31418=LINE('',#232150,#49046); #31419=LINE('',#232153,#49047); #31420=LINE('',#232155,#49048); #31421=LINE('',#232156,#49049); #31422=LINE('',#232159,#49050); #31423=LINE('',#232161,#49051); #31424=LINE('',#232162,#49052); #31425=LINE('',#232165,#49053); #31426=LINE('',#232167,#49054); #31427=LINE('',#232168,#49055); #31428=LINE('',#232171,#49056); #31429=LINE('',#232173,#49057); #31430=LINE('',#232174,#49058); #31431=LINE('',#232177,#49059); #31432=LINE('',#232179,#49060); #31433=LINE('',#232180,#49061); #31434=LINE('',#232183,#49062); #31435=LINE('',#232185,#49063); #31436=LINE('',#232186,#49064); #31437=LINE('',#232189,#49065); #31438=LINE('',#232191,#49066); #31439=LINE('',#232192,#49067); #31440=LINE('',#232195,#49068); #31441=LINE('',#232197,#49069); #31442=LINE('',#232198,#49070); #31443=LINE('',#232203,#49071); #31444=LINE('',#232209,#49072); #31445=LINE('',#232213,#49073); #31446=LINE('',#232215,#49074); #31447=LINE('',#232216,#49075); #31448=LINE('',#232219,#49076); #31449=LINE('',#232221,#49077); #31450=LINE('',#232222,#49078); #31451=LINE('',#232225,#49079); #31452=LINE('',#232227,#49080); #31453=LINE('',#232228,#49081); #31454=LINE('',#232231,#49082); #31455=LINE('',#232233,#49083); #31456=LINE('',#232234,#49084); #31457=LINE('',#232237,#49085); #31458=LINE('',#232239,#49086); #31459=LINE('',#232240,#49087); #31460=LINE('',#232245,#49088); #31461=LINE('',#232249,#49089); #31462=LINE('',#232251,#49090); #31463=LINE('',#232252,#49091); #31464=LINE('',#232257,#49092); #31465=LINE('',#232261,#49093); #31466=LINE('',#232263,#49094); #31467=LINE('',#232264,#49095); #31468=LINE('',#232267,#49096); #31469=LINE('',#232269,#49097); #31470=LINE('',#232270,#49098); #31471=LINE('',#232275,#49099); #31472=LINE('',#232284,#49100); #31473=LINE('',#232286,#49101); #31474=LINE('',#232288,#49102); #31475=LINE('',#232289,#49103); #31476=LINE('',#232292,#49104); #31477=LINE('',#232294,#49105); #31478=LINE('',#232295,#49106); #31479=LINE('',#232298,#49107); #31480=LINE('',#232300,#49108); #31481=LINE('',#232301,#49109); #31482=LINE('',#232303,#49110); #31483=LINE('',#232304,#49111); #31484=LINE('',#232309,#49112); #31485=LINE('',#232311,#49113); #31486=LINE('',#232313,#49114); #31487=LINE('',#232314,#49115); #31488=LINE('',#232317,#49116); #31489=LINE('',#232319,#49117); #31490=LINE('',#232320,#49118); #31491=LINE('',#232323,#49119); #31492=LINE('',#232325,#49120); #31493=LINE('',#232326,#49121); #31494=LINE('',#232329,#49122); #31495=LINE('',#232331,#49123); #31496=LINE('',#232332,#49124); #31497=LINE('',#232335,#49125); #31498=LINE('',#232337,#49126); #31499=LINE('',#232338,#49127); #31500=LINE('',#232343,#49128); #31501=LINE('',#232349,#49129); #31502=LINE('',#232355,#49130); #31503=LINE('',#232359,#49131); #31504=LINE('',#232361,#49132); #31505=LINE('',#232362,#49133); #31506=LINE('',#232364,#49134); #31507=LINE('',#232365,#49135); #31508=LINE('',#232369,#49136); #31509=LINE('',#232371,#49137); #31510=LINE('',#232373,#49138); #31511=LINE('',#232374,#49139); #31512=LINE('',#232377,#49140); #31513=LINE('',#232379,#49141); #31514=LINE('',#232380,#49142); #31515=LINE('',#232383,#49143); #31516=LINE('',#232385,#49144); #31517=LINE('',#232386,#49145); #31518=LINE('',#232389,#49146); #31519=LINE('',#232391,#49147); #31520=LINE('',#232392,#49148); #31521=LINE('',#232397,#49149); #31522=LINE('',#232401,#49150); #31523=LINE('',#232403,#49151); #31524=LINE('',#232404,#49152); #31525=LINE('',#232407,#49153); #31526=LINE('',#232409,#49154); #31527=LINE('',#232410,#49155); #31528=LINE('',#232413,#49156); #31529=LINE('',#232415,#49157); #31530=LINE('',#232416,#49158); #31531=LINE('',#232419,#49159); #31532=LINE('',#232421,#49160); #31533=LINE('',#232422,#49161); #31534=LINE('',#232425,#49162); #31535=LINE('',#232427,#49163); #31536=LINE('',#232428,#49164); #31537=LINE('',#232431,#49165); #31538=LINE('',#232433,#49166); #31539=LINE('',#232434,#49167); #31540=LINE('',#232437,#49168); #31541=LINE('',#232439,#49169); #31542=LINE('',#232440,#49170); #31543=LINE('',#232443,#49171); #31544=LINE('',#232445,#49172); #31545=LINE('',#232446,#49173); #31546=LINE('',#232451,#49174); #31547=LINE('',#232455,#49175); #31548=LINE('',#232457,#49176); #31549=LINE('',#232458,#49177); #31550=LINE('',#232461,#49178); #31551=LINE('',#232463,#49179); #31552=LINE('',#232464,#49180); #31553=LINE('',#232467,#49181); #31554=LINE('',#232469,#49182); #31555=LINE('',#232470,#49183); #31556=LINE('',#232473,#49184); #31557=LINE('',#232475,#49185); #31558=LINE('',#232476,#49186); #31559=LINE('',#232479,#49187); #31560=LINE('',#232481,#49188); #31561=LINE('',#232482,#49189); #31562=LINE('',#232485,#49190); #31563=LINE('',#232487,#49191); #31564=LINE('',#232488,#49192); #31565=LINE('',#232491,#49193); #31566=LINE('',#232493,#49194); #31567=LINE('',#232494,#49195); #31568=LINE('',#232497,#49196); #31569=LINE('',#232499,#49197); #31570=LINE('',#232500,#49198); #31571=LINE('',#232505,#49199); #31572=LINE('',#232509,#49200); #31573=LINE('',#232511,#49201); #31574=LINE('',#232512,#49202); #31575=LINE('',#232515,#49203); #31576=LINE('',#232517,#49204); #31577=LINE('',#232518,#49205); #31578=LINE('',#232523,#49206); #31579=LINE('',#232527,#49207); #31580=LINE('',#232529,#49208); #31581=LINE('',#232530,#49209); #31582=LINE('',#232533,#49210); #31583=LINE('',#232535,#49211); #31584=LINE('',#232536,#49212); #31585=LINE('',#232541,#49213); #31586=LINE('',#232545,#49214); #31587=LINE('',#232547,#49215); #31588=LINE('',#232548,#49216); #31589=LINE('',#232551,#49217); #31590=LINE('',#232553,#49218); #31591=LINE('',#232554,#49219); #31592=LINE('',#232557,#49220); #31593=LINE('',#232559,#49221); #31594=LINE('',#232560,#49222); #31595=LINE('',#232565,#49223); #31596=LINE('',#232571,#49224); #31597=LINE('',#232575,#49225); #31598=LINE('',#232577,#49226); #31599=LINE('',#232578,#49227); #31600=LINE('',#232581,#49228); #31601=LINE('',#232583,#49229); #31602=LINE('',#232584,#49230); #31603=LINE('',#232587,#49231); #31604=LINE('',#232589,#49232); #31605=LINE('',#232590,#49233); #31606=LINE('',#232593,#49234); #31607=LINE('',#232595,#49235); #31608=LINE('',#232596,#49236); #31609=LINE('',#232599,#49237); #31610=LINE('',#232601,#49238); #31611=LINE('',#232602,#49239); #31612=LINE('',#232605,#49240); #31613=LINE('',#232607,#49241); #31614=LINE('',#232608,#49242); #31615=LINE('',#232611,#49243); #31616=LINE('',#232613,#49244); #31617=LINE('',#232614,#49245); #31618=LINE('',#232617,#49246); #31619=LINE('',#232619,#49247); #31620=LINE('',#232620,#49248); #31621=LINE('',#232625,#49249); #31622=LINE('',#232629,#49250); #31623=LINE('',#232631,#49251); #31624=LINE('',#232632,#49252); #31625=LINE('',#232637,#49253); #31626=LINE('',#232643,#49254); #31627=LINE('',#232649,#49255); #31628=LINE('',#232653,#49256); #31629=LINE('',#232655,#49257); #31630=LINE('',#232656,#49258); #31631=LINE('',#232659,#49259); #31632=LINE('',#232661,#49260); #31633=LINE('',#232662,#49261); #31634=LINE('',#232665,#49262); #31635=LINE('',#232667,#49263); #31636=LINE('',#232668,#49264); #31637=LINE('',#232671,#49265); #31638=LINE('',#232673,#49266); #31639=LINE('',#232674,#49267); #31640=LINE('',#232677,#49268); #31641=LINE('',#232679,#49269); #31642=LINE('',#232680,#49270); #31643=LINE('',#232685,#49271); #31644=LINE('',#232689,#49272); #31645=LINE('',#232691,#49273); #31646=LINE('',#232692,#49274); #31647=LINE('',#232695,#49275); #31648=LINE('',#232697,#49276); #31649=LINE('',#232698,#49277); #31650=LINE('',#232701,#49278); #31651=LINE('',#232703,#49279); #31652=LINE('',#232704,#49280); #31653=LINE('',#232709,#49281); #31654=LINE('',#232713,#49282); #31655=LINE('',#232715,#49283); #31656=LINE('',#232716,#49284); #31657=LINE('',#232721,#49285); #31658=LINE('',#232725,#49286); #31659=LINE('',#232727,#49287); #31660=LINE('',#232728,#49288); #31661=LINE('',#232731,#49289); #31662=LINE('',#232733,#49290); #31663=LINE('',#232734,#49291); #31664=LINE('',#232737,#49292); #31665=LINE('',#232739,#49293); #31666=LINE('',#232740,#49294); #31667=LINE('',#232743,#49295); #31668=LINE('',#232745,#49296); #31669=LINE('',#232746,#49297); #31670=LINE('',#232749,#49298); #31671=LINE('',#232751,#49299); #31672=LINE('',#232752,#49300); #31673=LINE('',#232755,#49301); #31674=LINE('',#232757,#49302); #31675=LINE('',#232758,#49303); #31676=LINE('',#232761,#49304); #31677=LINE('',#232763,#49305); #31678=LINE('',#232764,#49306); #31679=LINE('',#232767,#49307); #31680=LINE('',#232769,#49308); #31681=LINE('',#232770,#49309); #31682=LINE('',#232775,#49310); #31683=LINE('',#232779,#49311); #31684=LINE('',#232781,#49312); #31685=LINE('',#232782,#49313); #31686=LINE('',#232787,#49314); #31687=LINE('',#232791,#49315); #31688=LINE('',#232793,#49316); #31689=LINE('',#232794,#49317); #31690=LINE('',#232797,#49318); #31691=LINE('',#232799,#49319); #31692=LINE('',#232800,#49320); #31693=LINE('',#232803,#49321); #31694=LINE('',#232805,#49322); #31695=LINE('',#232806,#49323); #31696=LINE('',#232809,#49324); #31697=LINE('',#232811,#49325); #31698=LINE('',#232812,#49326); #31699=LINE('',#232817,#49327); #31700=LINE('',#232821,#49328); #31701=LINE('',#232823,#49329); #31702=LINE('',#232824,#49330); #31703=LINE('',#232829,#49331); #31704=LINE('',#232833,#49332); #31705=LINE('',#232835,#49333); #31706=LINE('',#232836,#49334); #31707=LINE('',#232841,#49335); #31708=LINE('',#232847,#49336); #31709=LINE('',#232851,#49337); #31710=LINE('',#232853,#49338); #31711=LINE('',#232854,#49339); #31712=LINE('',#232857,#49340); #31713=LINE('',#232859,#49341); #31714=LINE('',#232860,#49342); #31715=LINE('',#232863,#49343); #31716=LINE('',#232865,#49344); #31717=LINE('',#232866,#49345); #31718=LINE('',#232869,#49346); #31719=LINE('',#232871,#49347); #31720=LINE('',#232872,#49348); #31721=LINE('',#232875,#49349); #31722=LINE('',#232877,#49350); #31723=LINE('',#232878,#49351); #31724=LINE('',#232881,#49352); #31725=LINE('',#232883,#49353); #31726=LINE('',#232884,#49354); #31727=LINE('',#232887,#49355); #31728=LINE('',#232889,#49356); #31729=LINE('',#232890,#49357); #31730=LINE('',#232893,#49358); #31731=LINE('',#232895,#49359); #31732=LINE('',#232896,#49360); #31733=LINE('',#232899,#49361); #31734=LINE('',#232901,#49362); #31735=LINE('',#232902,#49363); #31736=LINE('',#232907,#49364); #31737=LINE('',#232911,#49365); #31738=LINE('',#232913,#49366); #31739=LINE('',#232914,#49367); #31740=LINE('',#232917,#49368); #31741=LINE('',#232919,#49369); #31742=LINE('',#232920,#49370); #31743=LINE('',#232923,#49371); #31744=LINE('',#232925,#49372); #31745=LINE('',#232926,#49373); #31746=LINE('',#232929,#49374); #31747=LINE('',#232931,#49375); #31748=LINE('',#232932,#49376); #31749=LINE('',#232937,#49377); #31750=LINE('',#232943,#49378); #31751=LINE('',#232947,#49379); #31752=LINE('',#232949,#49380); #31753=LINE('',#232950,#49381); #31754=LINE('',#232955,#49382); #31755=LINE('',#232961,#49383); #31756=LINE('',#232967,#49384); #31757=LINE('',#232971,#49385); #31758=LINE('',#232973,#49386); #31759=LINE('',#232974,#49387); #31760=LINE('',#232977,#49388); #31761=LINE('',#232979,#49389); #31762=LINE('',#232980,#49390); #31763=LINE('',#232983,#49391); #31764=LINE('',#232985,#49392); #31765=LINE('',#232986,#49393); #31766=LINE('',#232989,#49394); #31767=LINE('',#232991,#49395); #31768=LINE('',#232992,#49396); #31769=LINE('',#232995,#49397); #31770=LINE('',#232997,#49398); #31771=LINE('',#232998,#49399); #31772=LINE('',#233001,#49400); #31773=LINE('',#233003,#49401); #31774=LINE('',#233004,#49402); #31775=LINE('',#233007,#49403); #31776=LINE('',#233009,#49404); #31777=LINE('',#233010,#49405); #31778=LINE('',#233013,#49406); #31779=LINE('',#233015,#49407); #31780=LINE('',#233016,#49408); #31781=LINE('',#233018,#49409); #31782=LINE('',#233019,#49410); #31783=LINE('',#233024,#49411); #31784=LINE('',#233026,#49412); #31785=LINE('',#233028,#49413); #31786=LINE('',#233029,#49414); #31787=LINE('',#233032,#49415); #31788=LINE('',#233034,#49416); #31789=LINE('',#233035,#49417); #31790=LINE('',#233038,#49418); #31791=LINE('',#233040,#49419); #31792=LINE('',#233041,#49420); #31793=LINE('',#233043,#49421); #31794=LINE('',#233044,#49422); #31795=LINE('',#233049,#49423); #31796=LINE('',#233051,#49424); #31797=LINE('',#233053,#49425); #31798=LINE('',#233054,#49426); #31799=LINE('',#233057,#49427); #31800=LINE('',#233059,#49428); #31801=LINE('',#233060,#49429); #31802=LINE('',#233063,#49430); #31803=LINE('',#233065,#49431); #31804=LINE('',#233066,#49432); #31805=LINE('',#233069,#49433); #31806=LINE('',#233071,#49434); #31807=LINE('',#233072,#49435); #31808=LINE('',#233075,#49436); #31809=LINE('',#233077,#49437); #31810=LINE('',#233078,#49438); #31811=LINE('',#233081,#49439); #31812=LINE('',#233083,#49440); #31813=LINE('',#233084,#49441); #31814=LINE('',#233087,#49442); #31815=LINE('',#233089,#49443); #31816=LINE('',#233090,#49444); #31817=LINE('',#233093,#49445); #31818=LINE('',#233095,#49446); #31819=LINE('',#233096,#49447); #31820=LINE('',#233099,#49448); #31821=LINE('',#233101,#49449); #31822=LINE('',#233102,#49450); #31823=LINE('',#233107,#49451); #31824=LINE('',#233111,#49452); #31825=LINE('',#233113,#49453); #31826=LINE('',#233114,#49454); #31827=LINE('',#233117,#49455); #31828=LINE('',#233119,#49456); #31829=LINE('',#233120,#49457); #31830=LINE('',#233123,#49458); #31831=LINE('',#233125,#49459); #31832=LINE('',#233126,#49460); #31833=LINE('',#233131,#49461); #31834=LINE('',#233137,#49462); #31835=LINE('',#233145,#49463); #31836=LINE('',#233147,#49464); #31837=LINE('',#233149,#49465); #31838=LINE('',#233150,#49466); #31839=LINE('',#233153,#49467); #31840=LINE('',#233155,#49468); #31841=LINE('',#233156,#49469); #31842=LINE('',#233159,#49470); #31843=LINE('',#233161,#49471); #31844=LINE('',#233162,#49472); #31845=LINE('',#233165,#49473); #31846=LINE('',#233167,#49474); #31847=LINE('',#233168,#49475); #31848=LINE('',#233171,#49476); #31849=LINE('',#233173,#49477); #31850=LINE('',#233174,#49478); #31851=LINE('',#233177,#49479); #31852=LINE('',#233179,#49480); #31853=LINE('',#233180,#49481); #31854=LINE('',#233183,#49482); #31855=LINE('',#233185,#49483); #31856=LINE('',#233186,#49484); #31857=LINE('',#233189,#49485); #31858=LINE('',#233191,#49486); #31859=LINE('',#233192,#49487); #31860=LINE('',#233195,#49488); #31861=LINE('',#233197,#49489); #31862=LINE('',#233198,#49490); #31863=LINE('',#233201,#49491); #31864=LINE('',#233203,#49492); #31865=LINE('',#233204,#49493); #31866=LINE('',#233207,#49494); #31867=LINE('',#233209,#49495); #31868=LINE('',#233210,#49496); #31869=LINE('',#233213,#49497); #31870=LINE('',#233215,#49498); #31871=LINE('',#233216,#49499); #31872=LINE('',#233219,#49500); #31873=LINE('',#233221,#49501); #31874=LINE('',#233222,#49502); #31875=LINE('',#233225,#49503); #31876=LINE('',#233227,#49504); #31877=LINE('',#233228,#49505); #31878=LINE('',#233231,#49506); #31879=LINE('',#233233,#49507); #31880=LINE('',#233234,#49508); #31881=LINE('',#233237,#49509); #31882=LINE('',#233239,#49510); #31883=LINE('',#233240,#49511); #31884=LINE('',#233245,#49512); #31885=LINE('',#233249,#49513); #31886=LINE('',#233251,#49514); #31887=LINE('',#233252,#49515); #31888=LINE('',#233255,#49516); #31889=LINE('',#233257,#49517); #31890=LINE('',#233258,#49518); #31891=LINE('',#233263,#49519); #31892=LINE('',#233267,#49520); #31893=LINE('',#233269,#49521); #31894=LINE('',#233270,#49522); #31895=LINE('',#233273,#49523); #31896=LINE('',#233275,#49524); #31897=LINE('',#233276,#49525); #31898=LINE('',#233279,#49526); #31899=LINE('',#233281,#49527); #31900=LINE('',#233282,#49528); #31901=LINE('',#233285,#49529); #31902=LINE('',#233287,#49530); #31903=LINE('',#233288,#49531); #31904=LINE('',#233291,#49532); #31905=LINE('',#233293,#49533); #31906=LINE('',#233294,#49534); #31907=LINE('',#233297,#49535); #31908=LINE('',#233299,#49536); #31909=LINE('',#233300,#49537); #31910=LINE('',#233303,#49538); #31911=LINE('',#233305,#49539); #31912=LINE('',#233306,#49540); #31913=LINE('',#233309,#49541); #31914=LINE('',#233311,#49542); #31915=LINE('',#233312,#49543); #31916=LINE('',#233315,#49544); #31917=LINE('',#233317,#49545); #31918=LINE('',#233318,#49546); #31919=LINE('',#233321,#49547); #31920=LINE('',#233323,#49548); #31921=LINE('',#233324,#49549); #31922=LINE('',#233327,#49550); #31923=LINE('',#233329,#49551); #31924=LINE('',#233330,#49552); #31925=LINE('',#233333,#49553); #31926=LINE('',#233335,#49554); #31927=LINE('',#233336,#49555); #31928=LINE('',#233339,#49556); #31929=LINE('',#233341,#49557); #31930=LINE('',#233342,#49558); #31931=LINE('',#233345,#49559); #31932=LINE('',#233347,#49560); #31933=LINE('',#233348,#49561); #31934=LINE('',#233351,#49562); #31935=LINE('',#233353,#49563); #31936=LINE('',#233354,#49564); #31937=LINE('',#233357,#49565); #31938=LINE('',#233359,#49566); #31939=LINE('',#233360,#49567); #31940=LINE('',#233363,#49568); #31941=LINE('',#233365,#49569); #31942=LINE('',#233366,#49570); #31943=LINE('',#233369,#49571); #31944=LINE('',#233371,#49572); #31945=LINE('',#233372,#49573); #31946=LINE('',#233375,#49574); #31947=LINE('',#233377,#49575); #31948=LINE('',#233378,#49576); #31949=LINE('',#233381,#49577); #31950=LINE('',#233383,#49578); #31951=LINE('',#233384,#49579); #31952=LINE('',#233387,#49580); #31953=LINE('',#233389,#49581); #31954=LINE('',#233390,#49582); #31955=LINE('',#233393,#49583); #31956=LINE('',#233395,#49584); #31957=LINE('',#233396,#49585); #31958=LINE('',#233399,#49586); #31959=LINE('',#233401,#49587); #31960=LINE('',#233402,#49588); #31961=LINE('',#233405,#49589); #31962=LINE('',#233407,#49590); #31963=LINE('',#233408,#49591); #31964=LINE('',#233411,#49592); #31965=LINE('',#233413,#49593); #31966=LINE('',#233414,#49594); #31967=LINE('',#233417,#49595); #31968=LINE('',#233419,#49596); #31969=LINE('',#233420,#49597); #31970=LINE('',#233425,#49598); #31971=LINE('',#233429,#49599); #31972=LINE('',#233431,#49600); #31973=LINE('',#233432,#49601); #31974=LINE('',#233435,#49602); #31975=LINE('',#233437,#49603); #31976=LINE('',#233438,#49604); #31977=LINE('',#233441,#49605); #31978=LINE('',#233443,#49606); #31979=LINE('',#233444,#49607); #31980=LINE('',#233447,#49608); #31981=LINE('',#233449,#49609); #31982=LINE('',#233450,#49610); #31983=LINE('',#233453,#49611); #31984=LINE('',#233455,#49612); #31985=LINE('',#233456,#49613); #31986=LINE('',#233459,#49614); #31987=LINE('',#233461,#49615); #31988=LINE('',#233462,#49616); #31989=LINE('',#233465,#49617); #31990=LINE('',#233467,#49618); #31991=LINE('',#233468,#49619); #31992=LINE('',#233471,#49620); #31993=LINE('',#233473,#49621); #31994=LINE('',#233474,#49622); #31995=LINE('',#233477,#49623); #31996=LINE('',#233479,#49624); #31997=LINE('',#233480,#49625); #31998=LINE('',#233483,#49626); #31999=LINE('',#233485,#49627); #32000=LINE('',#233486,#49628); #32001=LINE('',#233489,#49629); #32002=LINE('',#233491,#49630); #32003=LINE('',#233492,#49631); #32004=LINE('',#233495,#49632); #32005=LINE('',#233497,#49633); #32006=LINE('',#233498,#49634); #32007=LINE('',#233501,#49635); #32008=LINE('',#233503,#49636); #32009=LINE('',#233504,#49637); #32010=LINE('',#233507,#49638); #32011=LINE('',#233509,#49639); #32012=LINE('',#233510,#49640); #32013=LINE('',#233513,#49641); #32014=LINE('',#233515,#49642); #32015=LINE('',#233516,#49643); #32016=LINE('',#233519,#49644); #32017=LINE('',#233521,#49645); #32018=LINE('',#233522,#49646); #32019=LINE('',#233525,#49647); #32020=LINE('',#233527,#49648); #32021=LINE('',#233528,#49649); #32022=LINE('',#233531,#49650); #32023=LINE('',#233533,#49651); #32024=LINE('',#233534,#49652); #32025=LINE('',#233537,#49653); #32026=LINE('',#233539,#49654); #32027=LINE('',#233540,#49655); #32028=LINE('',#233543,#49656); #32029=LINE('',#233545,#49657); #32030=LINE('',#233546,#49658); #32031=LINE('',#233549,#49659); #32032=LINE('',#233551,#49660); #32033=LINE('',#233552,#49661); #32034=LINE('',#233555,#49662); #32035=LINE('',#233557,#49663); #32036=LINE('',#233558,#49664); #32037=LINE('',#233561,#49665); #32038=LINE('',#233563,#49666); #32039=LINE('',#233564,#49667); #32040=LINE('',#233567,#49668); #32041=LINE('',#233569,#49669); #32042=LINE('',#233570,#49670); #32043=LINE('',#233573,#49671); #32044=LINE('',#233575,#49672); #32045=LINE('',#233576,#49673); #32046=LINE('',#233581,#49674); #32047=LINE('',#233585,#49675); #32048=LINE('',#233587,#49676); #32049=LINE('',#233588,#49677); #32050=LINE('',#233591,#49678); #32051=LINE('',#233593,#49679); #32052=LINE('',#233594,#49680); #32053=LINE('',#233599,#49681); #32054=LINE('',#233605,#49682); #32055=LINE('',#233611,#49683); #32056=LINE('',#233617,#49684); #32057=LINE('',#233621,#49685); #32058=LINE('',#233623,#49686); #32059=LINE('',#233624,#49687); #32060=LINE('',#233627,#49688); #32061=LINE('',#233629,#49689); #32062=LINE('',#233630,#49690); #32063=LINE('',#233633,#49691); #32064=LINE('',#233635,#49692); #32065=LINE('',#233636,#49693); #32066=LINE('',#233639,#49694); #32067=LINE('',#233641,#49695); #32068=LINE('',#233642,#49696); #32069=LINE('',#233645,#49697); #32070=LINE('',#233647,#49698); #32071=LINE('',#233648,#49699); #32072=LINE('',#233651,#49700); #32073=LINE('',#233653,#49701); #32074=LINE('',#233654,#49702); #32075=LINE('',#233657,#49703); #32076=LINE('',#233659,#49704); #32077=LINE('',#233660,#49705); #32078=LINE('',#233663,#49706); #32079=LINE('',#233665,#49707); #32080=LINE('',#233666,#49708); #32081=LINE('',#233668,#49709); #32082=LINE('',#233669,#49710); #32083=LINE('',#233674,#49711); #32084=LINE('',#233676,#49712); #32085=LINE('',#233678,#49713); #32086=LINE('',#233679,#49714); #32087=LINE('',#233684,#49715); #32088=LINE('',#233690,#49716); #32089=LINE('',#233696,#49717); #32090=LINE('',#233699,#49718); #32091=LINE('',#233700,#49719); #32092=LINE('',#233704,#49720); #32093=LINE('',#233706,#49721); #32094=LINE('',#233708,#49722); #32095=LINE('',#233709,#49723); #32096=LINE('',#233712,#49724); #32097=LINE('',#233714,#49725); #32098=LINE('',#233715,#49726); #32099=LINE('',#233718,#49727); #32100=LINE('',#233720,#49728); #32101=LINE('',#233721,#49729); #32102=LINE('',#233724,#49730); #32103=LINE('',#233726,#49731); #32104=LINE('',#233727,#49732); #32105=LINE('',#233730,#49733); #32106=LINE('',#233732,#49734); #32107=LINE('',#233733,#49735); #32108=LINE('',#233736,#49736); #32109=LINE('',#233738,#49737); #32110=LINE('',#233739,#49738); #32111=LINE('',#233742,#49739); #32112=LINE('',#233744,#49740); #32113=LINE('',#233745,#49741); #32114=LINE('',#233748,#49742); #32115=LINE('',#233750,#49743); #32116=LINE('',#233751,#49744); #32117=LINE('',#233754,#49745); #32118=LINE('',#233756,#49746); #32119=LINE('',#233757,#49747); #32120=LINE('',#233760,#49748); #32121=LINE('',#233762,#49749); #32122=LINE('',#233763,#49750); #32123=LINE('',#233766,#49751); #32124=LINE('',#233768,#49752); #32125=LINE('',#233769,#49753); #32126=LINE('',#233772,#49754); #32127=LINE('',#233774,#49755); #32128=LINE('',#233775,#49756); #32129=LINE('',#233778,#49757); #32130=LINE('',#233780,#49758); #32131=LINE('',#233781,#49759); #32132=LINE('',#233784,#49760); #32133=LINE('',#233786,#49761); #32134=LINE('',#233787,#49762); #32135=LINE('',#233790,#49763); #32136=LINE('',#233792,#49764); #32137=LINE('',#233793,#49765); #32138=LINE('',#233796,#49766); #32139=LINE('',#233798,#49767); #32140=LINE('',#233799,#49768); #32141=LINE('',#233802,#49769); #32142=LINE('',#233804,#49770); #32143=LINE('',#233805,#49771); #32144=LINE('',#233808,#49772); #32145=LINE('',#233810,#49773); #32146=LINE('',#233811,#49774); #32147=LINE('',#233816,#49775); #32148=LINE('',#233822,#49776); #32149=LINE('',#233826,#49777); #32150=LINE('',#233828,#49778); #32151=LINE('',#233829,#49779); #32152=LINE('',#233834,#49780); #32153=LINE('',#233840,#49781); #32154=LINE('',#233846,#49782); #32155=LINE('',#233852,#49783); #32156=LINE('',#233858,#49784); #32157=LINE('',#233862,#49785); #32158=LINE('',#233864,#49786); #32159=LINE('',#233865,#49787); #32160=LINE('',#233868,#49788); #32161=LINE('',#233870,#49789); #32162=LINE('',#233871,#49790); #32163=LINE('',#233874,#49791); #32164=LINE('',#233876,#49792); #32165=LINE('',#233877,#49793); #32166=LINE('',#233880,#49794); #32167=LINE('',#233882,#49795); #32168=LINE('',#233883,#49796); #32169=LINE('',#233886,#49797); #32170=LINE('',#233888,#49798); #32171=LINE('',#233889,#49799); #32172=LINE('',#233892,#49800); #32173=LINE('',#233894,#49801); #32174=LINE('',#233895,#49802); #32175=LINE('',#233898,#49803); #32176=LINE('',#233900,#49804); #32177=LINE('',#233901,#49805); #32178=LINE('',#233904,#49806); #32179=LINE('',#233906,#49807); #32180=LINE('',#233907,#49808); #32181=LINE('',#233910,#49809); #32182=LINE('',#233912,#49810); #32183=LINE('',#233913,#49811); #32184=LINE('',#233916,#49812); #32185=LINE('',#233918,#49813); #32186=LINE('',#233919,#49814); #32187=LINE('',#233922,#49815); #32188=LINE('',#233924,#49816); #32189=LINE('',#233925,#49817); #32190=LINE('',#233930,#49818); #32191=LINE('',#233934,#49819); #32192=LINE('',#233936,#49820); #32193=LINE('',#233937,#49821); #32194=LINE('',#233940,#49822); #32195=LINE('',#233942,#49823); #32196=LINE('',#233943,#49824); #32197=LINE('',#233946,#49825); #32198=LINE('',#233948,#49826); #32199=LINE('',#233949,#49827); #32200=LINE('',#233952,#49828); #32201=LINE('',#233954,#49829); #32202=LINE('',#233955,#49830); #32203=LINE('',#233958,#49831); #32204=LINE('',#233960,#49832); #32205=LINE('',#233961,#49833); #32206=LINE('',#233964,#49834); #32207=LINE('',#233966,#49835); #32208=LINE('',#233967,#49836); #32209=LINE('',#233970,#49837); #32210=LINE('',#233972,#49838); #32211=LINE('',#233973,#49839); #32212=LINE('',#233976,#49840); #32213=LINE('',#233978,#49841); #32214=LINE('',#233979,#49842); #32215=LINE('',#233982,#49843); #32216=LINE('',#233984,#49844); #32217=LINE('',#233985,#49845); #32218=LINE('',#233990,#49846); #32219=LINE('',#233994,#49847); #32220=LINE('',#233996,#49848); #32221=LINE('',#233997,#49849); #32222=LINE('',#234000,#49850); #32223=LINE('',#234002,#49851); #32224=LINE('',#234003,#49852); #32225=LINE('',#234006,#49853); #32226=LINE('',#234008,#49854); #32227=LINE('',#234009,#49855); #32228=LINE('',#234012,#49856); #32229=LINE('',#234014,#49857); #32230=LINE('',#234015,#49858); #32231=LINE('',#234018,#49859); #32232=LINE('',#234020,#49860); #32233=LINE('',#234021,#49861); #32234=LINE('',#234026,#49862); #32235=LINE('',#234032,#49863); #32236=LINE('',#234036,#49864); #32237=LINE('',#234038,#49865); #32238=LINE('',#234039,#49866); #32239=LINE('',#234042,#49867); #32240=LINE('',#234044,#49868); #32241=LINE('',#234045,#49869); #32242=LINE('',#234048,#49870); #32243=LINE('',#234050,#49871); #32244=LINE('',#234051,#49872); #32245=LINE('',#234054,#49873); #32246=LINE('',#234056,#49874); #32247=LINE('',#234057,#49875); #32248=LINE('',#234060,#49876); #32249=LINE('',#234062,#49877); #32250=LINE('',#234063,#49878); #32251=LINE('',#234066,#49879); #32252=LINE('',#234068,#49880); #32253=LINE('',#234069,#49881); #32254=LINE('',#234072,#49882); #32255=LINE('',#234074,#49883); #32256=LINE('',#234075,#49884); #32257=LINE('',#234078,#49885); #32258=LINE('',#234080,#49886); #32259=LINE('',#234081,#49887); #32260=LINE('',#234084,#49888); #32261=LINE('',#234086,#49889); #32262=LINE('',#234087,#49890); #32263=LINE('',#234090,#49891); #32264=LINE('',#234092,#49892); #32265=LINE('',#234093,#49893); #32266=LINE('',#234096,#49894); #32267=LINE('',#234098,#49895); #32268=LINE('',#234099,#49896); #32269=LINE('',#234102,#49897); #32270=LINE('',#234104,#49898); #32271=LINE('',#234105,#49899); #32272=LINE('',#234108,#49900); #32273=LINE('',#234110,#49901); #32274=LINE('',#234111,#49902); #32275=LINE('',#234114,#49903); #32276=LINE('',#234116,#49904); #32277=LINE('',#234117,#49905); #32278=LINE('',#234120,#49906); #32279=LINE('',#234122,#49907); #32280=LINE('',#234123,#49908); #32281=LINE('',#234126,#49909); #32282=LINE('',#234128,#49910); #32283=LINE('',#234129,#49911); #32284=LINE('',#234132,#49912); #32285=LINE('',#234134,#49913); #32286=LINE('',#234135,#49914); #32287=LINE('',#234138,#49915); #32288=LINE('',#234140,#49916); #32289=LINE('',#234141,#49917); #32290=LINE('',#234144,#49918); #32291=LINE('',#234146,#49919); #32292=LINE('',#234147,#49920); #32293=LINE('',#234150,#49921); #32294=LINE('',#234152,#49922); #32295=LINE('',#234153,#49923); #32296=LINE('',#234156,#49924); #32297=LINE('',#234158,#49925); #32298=LINE('',#234159,#49926); #32299=LINE('',#234162,#49927); #32300=LINE('',#234164,#49928); #32301=LINE('',#234165,#49929); #32302=LINE('',#234170,#49930); #32303=LINE('',#234176,#49931); #32304=LINE('',#234182,#49932); #32305=LINE('',#234188,#49933); #32306=LINE('',#234192,#49934); #32307=LINE('',#234194,#49935); #32308=LINE('',#234195,#49936); #32309=LINE('',#234198,#49937); #32310=LINE('',#234200,#49938); #32311=LINE('',#234201,#49939); #32312=LINE('',#234204,#49940); #32313=LINE('',#234206,#49941); #32314=LINE('',#234207,#49942); #32315=LINE('',#234210,#49943); #32316=LINE('',#234212,#49944); #32317=LINE('',#234213,#49945); #32318=LINE('',#234216,#49946); #32319=LINE('',#234218,#49947); #32320=LINE('',#234219,#49948); #32321=LINE('',#234222,#49949); #32322=LINE('',#234224,#49950); #32323=LINE('',#234225,#49951); #32324=LINE('',#234228,#49952); #32325=LINE('',#234230,#49953); #32326=LINE('',#234231,#49954); #32327=LINE('',#234234,#49955); #32328=LINE('',#234236,#49956); #32329=LINE('',#234237,#49957); #32330=LINE('',#234240,#49958); #32331=LINE('',#234242,#49959); #32332=LINE('',#234243,#49960); #32333=LINE('',#234246,#49961); #32334=LINE('',#234248,#49962); #32335=LINE('',#234249,#49963); #32336=LINE('',#234254,#49964); #32337=LINE('',#234258,#49965); #32338=LINE('',#234260,#49966); #32339=LINE('',#234261,#49967); #32340=LINE('',#234264,#49968); #32341=LINE('',#234266,#49969); #32342=LINE('',#234267,#49970); #32343=LINE('',#234270,#49971); #32344=LINE('',#234272,#49972); #32345=LINE('',#234273,#49973); #32346=LINE('',#234276,#49974); #32347=LINE('',#234278,#49975); #32348=LINE('',#234279,#49976); #32349=LINE('',#234282,#49977); #32350=LINE('',#234284,#49978); #32351=LINE('',#234285,#49979); #32352=LINE('',#234288,#49980); #32353=LINE('',#234290,#49981); #32354=LINE('',#234291,#49982); #32355=LINE('',#234294,#49983); #32356=LINE('',#234296,#49984); #32357=LINE('',#234297,#49985); #32358=LINE('',#234300,#49986); #32359=LINE('',#234302,#49987); #32360=LINE('',#234303,#49988); #32361=LINE('',#234306,#49989); #32362=LINE('',#234308,#49990); #32363=LINE('',#234309,#49991); #32364=LINE('',#234312,#49992); #32365=LINE('',#234314,#49993); #32366=LINE('',#234315,#49994); #32367=LINE('',#234320,#49995); #32368=LINE('',#234324,#49996); #32369=LINE('',#234326,#49997); #32370=LINE('',#234327,#49998); #32371=LINE('',#234330,#49999); #32372=LINE('',#234332,#50000); #32373=LINE('',#234333,#50001); #32374=LINE('',#234336,#50002); #32375=LINE('',#234338,#50003); #32376=LINE('',#234339,#50004); #32377=LINE('',#234344,#50005); #32378=LINE('',#234348,#50006); #32379=LINE('',#234350,#50007); #32380=LINE('',#234351,#50008); #32381=LINE('',#234356,#50009); #32382=LINE('',#234362,#50010); #32383=LINE('',#234365,#50011); #32384=LINE('',#234366,#50012); #32385=LINE('',#234371,#50013); #32386=LINE('',#234373,#50014); #32387=LINE('',#234375,#50015); #32388=LINE('',#234376,#50016); #32389=LINE('',#234379,#50017); #32390=LINE('',#234381,#50018); #32391=LINE('',#234382,#50019); #32392=LINE('',#234385,#50020); #32393=LINE('',#234387,#50021); #32394=LINE('',#234388,#50022); #32395=LINE('',#234391,#50023); #32396=LINE('',#234393,#50024); #32397=LINE('',#234394,#50025); #32398=LINE('',#234397,#50026); #32399=LINE('',#234399,#50027); #32400=LINE('',#234400,#50028); #32401=LINE('',#234403,#50029); #32402=LINE('',#234405,#50030); #32403=LINE('',#234406,#50031); #32404=LINE('',#234409,#50032); #32405=LINE('',#234411,#50033); #32406=LINE('',#234412,#50034); #32407=LINE('',#234417,#50035); #32408=LINE('',#234423,#50036); #32409=LINE('',#234427,#50037); #32410=LINE('',#234429,#50038); #32411=LINE('',#234430,#50039); #32412=LINE('',#234435,#50040); #32413=LINE('',#234441,#50041); #32414=LINE('',#234447,#50042); #32415=LINE('',#234451,#50043); #32416=LINE('',#234453,#50044); #32417=LINE('',#234454,#50045); #32418=LINE('',#234459,#50046); #32419=LINE('',#234463,#50047); #32420=LINE('',#234465,#50048); #32421=LINE('',#234466,#50049); #32422=LINE('',#234469,#50050); #32423=LINE('',#234471,#50051); #32424=LINE('',#234472,#50052); #32425=LINE('',#234477,#50053); #32426=LINE('',#234481,#50054); #32427=LINE('',#234483,#50055); #32428=LINE('',#234484,#50056); #32429=LINE('',#234489,#50057); #32430=LINE('',#234495,#50058); #32431=LINE('',#234501,#50059); #32432=LINE('',#234505,#50060); #32433=LINE('',#234507,#50061); #32434=LINE('',#234508,#50062); #32435=LINE('',#234513,#50063); #32436=LINE('',#234519,#50064); #32437=LINE('',#234525,#50065); #32438=LINE('',#234529,#50066); #32439=LINE('',#234531,#50067); #32440=LINE('',#234532,#50068); #32441=LINE('',#234535,#50069); #32442=LINE('',#234537,#50070); #32443=LINE('',#234538,#50071); #32444=LINE('',#234541,#50072); #32445=LINE('',#234543,#50073); #32446=LINE('',#234544,#50074); #32447=LINE('',#234547,#50075); #32448=LINE('',#234549,#50076); #32449=LINE('',#234550,#50077); #32450=LINE('',#234555,#50078); #32451=LINE('',#234561,#50079); #32452=LINE('',#234565,#50080); #32453=LINE('',#234567,#50081); #32454=LINE('',#234568,#50082); #32455=LINE('',#234573,#50083); #32456=LINE('',#234579,#50084); #32457=LINE('',#234585,#50085); #32458=LINE('',#234589,#50086); #32459=LINE('',#234591,#50087); #32460=LINE('',#234592,#50088); #32461=LINE('',#234595,#50089); #32462=LINE('',#234597,#50090); #32463=LINE('',#234598,#50091); #32464=LINE('',#234601,#50092); #32465=LINE('',#234603,#50093); #32466=LINE('',#234604,#50094); #32467=LINE('',#234609,#50095); #32468=LINE('',#234613,#50096); #32469=LINE('',#234615,#50097); #32470=LINE('',#234616,#50098); #32471=LINE('',#234619,#50099); #32472=LINE('',#234621,#50100); #32473=LINE('',#234622,#50101); #32474=LINE('',#234627,#50102); #32475=LINE('',#234631,#50103); #32476=LINE('',#234633,#50104); #32477=LINE('',#234634,#50105); #32478=LINE('',#234642,#50106); #32479=LINE('',#234644,#50107); #32480=LINE('',#234646,#50108); #32481=LINE('',#234647,#50109); #32482=LINE('',#234652,#50110); #32483=LINE('',#234656,#50111); #32484=LINE('',#234658,#50112); #32485=LINE('',#234659,#50113); #32486=LINE('',#234662,#50114); #32487=LINE('',#234664,#50115); #32488=LINE('',#234665,#50116); #32489=LINE('',#234668,#50117); #32490=LINE('',#234670,#50118); #32491=LINE('',#234671,#50119); #32492=LINE('',#234676,#50120); #32493=LINE('',#234682,#50121); #32494=LINE('',#234686,#50122); #32495=LINE('',#234688,#50123); #32496=LINE('',#234689,#50124); #32497=LINE('',#234692,#50125); #32498=LINE('',#234694,#50126); #32499=LINE('',#234695,#50127); #32500=LINE('',#234700,#50128); #32501=LINE('',#234706,#50129); #32502=LINE('',#234710,#50130); #32503=LINE('',#234712,#50131); #32504=LINE('',#234713,#50132); #32505=LINE('',#234718,#50133); #32506=LINE('',#234722,#50134); #32507=LINE('',#234724,#50135); #32508=LINE('',#234725,#50136); #32509=LINE('',#234728,#50137); #32510=LINE('',#234730,#50138); #32511=LINE('',#234731,#50139); #32512=LINE('',#234734,#50140); #32513=LINE('',#234736,#50141); #32514=LINE('',#234737,#50142); #32515=LINE('',#234740,#50143); #32516=LINE('',#234742,#50144); #32517=LINE('',#234743,#50145); #32518=LINE('',#234748,#50146); #32519=LINE('',#234754,#50147); #32520=LINE('',#234758,#50148); #32521=LINE('',#234760,#50149); #32522=LINE('',#234761,#50150); #32523=LINE('',#234764,#50151); #32524=LINE('',#234766,#50152); #32525=LINE('',#234767,#50153); #32526=LINE('',#234777,#50154); #32527=LINE('',#234780,#50155); #32528=LINE('',#234785,#50156); #32529=LINE('',#234789,#50157); #32530=LINE('',#234791,#50158); #32531=LINE('',#234792,#50159); #32532=LINE('',#234795,#50160); #32533=LINE('',#234797,#50161); #32534=LINE('',#234798,#50162); #32535=LINE('',#234801,#50163); #32536=LINE('',#234803,#50164); #32537=LINE('',#234804,#50165); #32538=LINE('',#234807,#50166); #32539=LINE('',#234809,#50167); #32540=LINE('',#234810,#50168); #32541=LINE('',#234813,#50169); #32542=LINE('',#234815,#50170); #32543=LINE('',#234816,#50171); #32544=LINE('',#234819,#50172); #32545=LINE('',#234821,#50173); #32546=LINE('',#234822,#50174); #32547=LINE('',#234825,#50175); #32548=LINE('',#234827,#50176); #32549=LINE('',#234828,#50177); #32550=LINE('',#234831,#50178); #32551=LINE('',#234833,#50179); #32552=LINE('',#234834,#50180); #32553=LINE('',#234839,#50181); #32554=LINE('',#234843,#50182); #32555=LINE('',#234845,#50183); #32556=LINE('',#234846,#50184); #32557=LINE('',#234849,#50185); #32558=LINE('',#234851,#50186); #32559=LINE('',#234852,#50187); #32560=LINE('',#234855,#50188); #32561=LINE('',#234857,#50189); #32562=LINE('',#234858,#50190); #32563=LINE('',#234861,#50191); #32564=LINE('',#234863,#50192); #32565=LINE('',#234864,#50193); #32566=LINE('',#234867,#50194); #32567=LINE('',#234869,#50195); #32568=LINE('',#234870,#50196); #32569=LINE('',#234873,#50197); #32570=LINE('',#234875,#50198); #32571=LINE('',#234876,#50199); #32572=LINE('',#234879,#50200); #32573=LINE('',#234881,#50201); #32574=LINE('',#234882,#50202); #32575=LINE('',#234887,#50203); #32576=LINE('',#234893,#50204); #32577=LINE('',#234897,#50205); #32578=LINE('',#234899,#50206); #32579=LINE('',#234900,#50207); #32580=LINE('',#234905,#50208); #32581=LINE('',#234911,#50209); #32582=LINE('',#234917,#50210); #32583=LINE('',#234921,#50211); #32584=LINE('',#234923,#50212); #32585=LINE('',#234924,#50213); #32586=LINE('',#234929,#50214); #32587=LINE('',#234935,#50215); #32588=LINE('',#234941,#50216); #32589=LINE('',#234947,#50217); #32590=LINE('',#234951,#50218); #32591=LINE('',#234953,#50219); #32592=LINE('',#234954,#50220); #32593=LINE('',#234959,#50221); #32594=LINE('',#234963,#50222); #32595=LINE('',#234965,#50223); #32596=LINE('',#234966,#50224); #32597=LINE('',#234969,#50225); #32598=LINE('',#234971,#50226); #32599=LINE('',#234972,#50227); #32600=LINE('',#234975,#50228); #32601=LINE('',#234977,#50229); #32602=LINE('',#234978,#50230); #32603=LINE('',#234981,#50231); #32604=LINE('',#234983,#50232); #32605=LINE('',#234984,#50233); #32606=LINE('',#234987,#50234); #32607=LINE('',#234989,#50235); #32608=LINE('',#234990,#50236); #32609=LINE('',#234993,#50237); #32610=LINE('',#234995,#50238); #32611=LINE('',#234996,#50239); #32612=LINE('',#234999,#50240); #32613=LINE('',#235001,#50241); #32614=LINE('',#235002,#50242); #32615=LINE('',#235005,#50243); #32616=LINE('',#235007,#50244); #32617=LINE('',#235008,#50245); #32618=LINE('',#235011,#50246); #32619=LINE('',#235013,#50247); #32620=LINE('',#235014,#50248); #32621=LINE('',#235017,#50249); #32622=LINE('',#235019,#50250); #32623=LINE('',#235020,#50251); #32624=LINE('',#235023,#50252); #32625=LINE('',#235025,#50253); #32626=LINE('',#235026,#50254); #32627=LINE('',#235031,#50255); #32628=LINE('',#235035,#50256); #32629=LINE('',#235037,#50257); #32630=LINE('',#235038,#50258); #32631=LINE('',#235041,#50259); #32632=LINE('',#235043,#50260); #32633=LINE('',#235044,#50261); #32634=LINE('',#235049,#50262); #32635=LINE('',#235053,#50263); #32636=LINE('',#235055,#50264); #32637=LINE('',#235056,#50265); #32638=LINE('',#235059,#50266); #32639=LINE('',#235061,#50267); #32640=LINE('',#235062,#50268); #32641=LINE('',#235065,#50269); #32642=LINE('',#235067,#50270); #32643=LINE('',#235068,#50271); #32644=LINE('',#235071,#50272); #32645=LINE('',#235073,#50273); #32646=LINE('',#235074,#50274); #32647=LINE('',#235077,#50275); #32648=LINE('',#235079,#50276); #32649=LINE('',#235080,#50277); #32650=LINE('',#235083,#50278); #32651=LINE('',#235085,#50279); #32652=LINE('',#235086,#50280); #32653=LINE('',#235091,#50281); #32654=LINE('',#235097,#50282); #32655=LINE('',#235103,#50283); #32656=LINE('',#235107,#50284); #32657=LINE('',#235109,#50285); #32658=LINE('',#235110,#50286); #32659=LINE('',#235113,#50287); #32660=LINE('',#235115,#50288); #32661=LINE('',#235116,#50289); #32662=LINE('',#235119,#50290); #32663=LINE('',#235121,#50291); #32664=LINE('',#235122,#50292); #32665=LINE('',#235125,#50293); #32666=LINE('',#235127,#50294); #32667=LINE('',#235128,#50295); #32668=LINE('',#235131,#50296); #32669=LINE('',#235133,#50297); #32670=LINE('',#235134,#50298); #32671=LINE('',#235137,#50299); #32672=LINE('',#235139,#50300); #32673=LINE('',#235140,#50301); #32674=LINE('',#235143,#50302); #32675=LINE('',#235145,#50303); #32676=LINE('',#235146,#50304); #32677=LINE('',#235149,#50305); #32678=LINE('',#235151,#50306); #32679=LINE('',#235152,#50307); #32680=LINE('',#235157,#50308); #32681=LINE('',#235161,#50309); #32682=LINE('',#235163,#50310); #32683=LINE('',#235164,#50311); #32684=LINE('',#235167,#50312); #32685=LINE('',#235169,#50313); #32686=LINE('',#235170,#50314); #32687=LINE('',#235173,#50315); #32688=LINE('',#235175,#50316); #32689=LINE('',#235176,#50317); #32690=LINE('',#235179,#50318); #32691=LINE('',#235181,#50319); #32692=LINE('',#235182,#50320); #32693=LINE('',#235185,#50321); #32694=LINE('',#235187,#50322); #32695=LINE('',#235188,#50323); #32696=LINE('',#235193,#50324); #32697=LINE('',#235197,#50325); #32698=LINE('',#235199,#50326); #32699=LINE('',#235200,#50327); #32700=LINE('',#235203,#50328); #32701=LINE('',#235205,#50329); #32702=LINE('',#235206,#50330); #32703=LINE('',#235209,#50331); #32704=LINE('',#235211,#50332); #32705=LINE('',#235212,#50333); #32706=LINE('',#235215,#50334); #32707=LINE('',#235217,#50335); #32708=LINE('',#235218,#50336); #32709=LINE('',#235221,#50337); #32710=LINE('',#235223,#50338); #32711=LINE('',#235224,#50339); #32712=LINE('',#235229,#50340); #32713=LINE('',#235233,#50341); #32714=LINE('',#235235,#50342); #32715=LINE('',#235236,#50343); #32716=LINE('',#235241,#50344); #32717=LINE('',#235247,#50345); #32718=LINE('',#235253,#50346); #32719=LINE('',#235259,#50347); #32720=LINE('',#235263,#50348); #32721=LINE('',#235265,#50349); #32722=LINE('',#235266,#50350); #32723=LINE('',#235271,#50351); #32724=LINE('',#235277,#50352); #32725=LINE('',#235283,#50353); #32726=LINE('',#235289,#50354); #32727=LINE('',#235295,#50355); #32728=LINE('',#235299,#50356); #32729=LINE('',#235301,#50357); #32730=LINE('',#235302,#50358); #32731=LINE('',#235307,#50359); #32732=LINE('',#235311,#50360); #32733=LINE('',#235313,#50361); #32734=LINE('',#235314,#50362); #32735=LINE('',#235317,#50363); #32736=LINE('',#235319,#50364); #32737=LINE('',#235320,#50365); #32738=LINE('',#235323,#50366); #32739=LINE('',#235325,#50367); #32740=LINE('',#235326,#50368); #32741=LINE('',#235329,#50369); #32742=LINE('',#235331,#50370); #32743=LINE('',#235332,#50371); #32744=LINE('',#235335,#50372); #32745=LINE('',#235337,#50373); #32746=LINE('',#235338,#50374); #32747=LINE('',#235341,#50375); #32748=LINE('',#235343,#50376); #32749=LINE('',#235344,#50377); #32750=LINE('',#235347,#50378); #32751=LINE('',#235349,#50379); #32752=LINE('',#235350,#50380); #32753=LINE('',#235353,#50381); #32754=LINE('',#235355,#50382); #32755=LINE('',#235356,#50383); #32756=LINE('',#235359,#50384); #32757=LINE('',#235361,#50385); #32758=LINE('',#235362,#50386); #32759=LINE('',#235365,#50387); #32760=LINE('',#235367,#50388); #32761=LINE('',#235368,#50389); #32762=LINE('',#235371,#50390); #32763=LINE('',#235373,#50391); #32764=LINE('',#235374,#50392); #32765=LINE('',#235377,#50393); #32766=LINE('',#235379,#50394); #32767=LINE('',#235380,#50395); #32768=LINE('',#235383,#50396); #32769=LINE('',#235385,#50397); #32770=LINE('',#235386,#50398); #32771=LINE('',#235389,#50399); #32772=LINE('',#235391,#50400); #32773=LINE('',#235392,#50401); #32774=LINE('',#235395,#50402); #32775=LINE('',#235397,#50403); #32776=LINE('',#235398,#50404); #32777=LINE('',#235401,#50405); #32778=LINE('',#235403,#50406); #32779=LINE('',#235404,#50407); #32780=LINE('',#235409,#50408); #32781=LINE('',#235413,#50409); #32782=LINE('',#235415,#50410); #32783=LINE('',#235416,#50411); #32784=LINE('',#235419,#50412); #32785=LINE('',#235421,#50413); #32786=LINE('',#235422,#50414); #32787=LINE('',#235425,#50415); #32788=LINE('',#235427,#50416); #32789=LINE('',#235428,#50417); #32790=LINE('',#235433,#50418); #32791=LINE('',#235439,#50419); #32792=LINE('',#235443,#50420); #32793=LINE('',#235445,#50421); #32794=LINE('',#235446,#50422); #32795=LINE('',#235448,#50423); #32796=LINE('',#235449,#50424); #32797=LINE('',#235456,#50425); #32798=LINE('',#235459,#50426); #32799=LINE('',#235464,#50427); #32800=LINE('',#235470,#50428); #32801=LINE('',#235474,#50429); #32802=LINE('',#235476,#50430); #32803=LINE('',#235477,#50431); #32804=LINE('',#235480,#50432); #32805=LINE('',#235482,#50433); #32806=LINE('',#235483,#50434); #32807=LINE('',#235486,#50435); #32808=LINE('',#235488,#50436); #32809=LINE('',#235489,#50437); #32810=LINE('',#235492,#50438); #32811=LINE('',#235494,#50439); #32812=LINE('',#235495,#50440); #32813=LINE('',#235497,#50441); #32814=LINE('',#235498,#50442); #32815=LINE('',#235502,#50443); #32816=LINE('',#235504,#50444); #32817=LINE('',#235506,#50445); #32818=LINE('',#235507,#50446); #32819=LINE('',#235510,#50447); #32820=LINE('',#235512,#50448); #32821=LINE('',#235513,#50449); #32822=LINE('',#235518,#50450); #32823=LINE('',#235524,#50451); #32824=LINE('',#235530,#50452); #32825=LINE('',#235534,#50453); #32826=LINE('',#235536,#50454); #32827=LINE('',#235537,#50455); #32828=LINE('',#235539,#50456); #32829=LINE('',#235540,#50457); #32830=LINE('',#235544,#50458); #32831=LINE('',#235546,#50459); #32832=LINE('',#235548,#50460); #32833=LINE('',#235549,#50461); #32834=LINE('',#235552,#50462); #32835=LINE('',#235554,#50463); #32836=LINE('',#235555,#50464); #32837=LINE('',#235558,#50465); #32838=LINE('',#235560,#50466); #32839=LINE('',#235561,#50467); #32840=LINE('',#235564,#50468); #32841=LINE('',#235566,#50469); #32842=LINE('',#235567,#50470); #32843=LINE('',#235570,#50471); #32844=LINE('',#235572,#50472); #32845=LINE('',#235573,#50473); #32846=LINE('',#235578,#50474); #32847=LINE('',#235584,#50475); #32848=LINE('',#235590,#50476); #32849=LINE('',#235596,#50477); #32850=LINE('',#235600,#50478); #32851=LINE('',#235602,#50479); #32852=LINE('',#235603,#50480); #32853=LINE('',#235608,#50481); #32854=LINE('',#235614,#50482); #32855=LINE('',#235620,#50483); #32856=LINE('',#235624,#50484); #32857=LINE('',#235626,#50485); #32858=LINE('',#235627,#50486); #32859=LINE('',#235630,#50487); #32860=LINE('',#235632,#50488); #32861=LINE('',#235633,#50489); #32862=LINE('',#235636,#50490); #32863=LINE('',#235638,#50491); #32864=LINE('',#235639,#50492); #32865=LINE('',#235642,#50493); #32866=LINE('',#235644,#50494); #32867=LINE('',#235645,#50495); #32868=LINE('',#235648,#50496); #32869=LINE('',#235650,#50497); #32870=LINE('',#235651,#50498); #32871=LINE('',#235654,#50499); #32872=LINE('',#235656,#50500); #32873=LINE('',#235657,#50501); #32874=LINE('',#235660,#50502); #32875=LINE('',#235662,#50503); #32876=LINE('',#235663,#50504); #32877=LINE('',#235666,#50505); #32878=LINE('',#235668,#50506); #32879=LINE('',#235669,#50507); #32880=LINE('',#235672,#50508); #32881=LINE('',#235674,#50509); #32882=LINE('',#235675,#50510); #32883=LINE('',#235678,#50511); #32884=LINE('',#235680,#50512); #32885=LINE('',#235681,#50513); #32886=LINE('',#235684,#50514); #32887=LINE('',#235686,#50515); #32888=LINE('',#235687,#50516); #32889=LINE('',#235690,#50517); #32890=LINE('',#235692,#50518); #32891=LINE('',#235693,#50519); #32892=LINE('',#235696,#50520); #32893=LINE('',#235698,#50521); #32894=LINE('',#235699,#50522); #32895=LINE('',#235702,#50523); #32896=LINE('',#235704,#50524); #32897=LINE('',#235705,#50525); #32898=LINE('',#235708,#50526); #32899=LINE('',#235710,#50527); #32900=LINE('',#235711,#50528); #32901=LINE('',#235714,#50529); #32902=LINE('',#235716,#50530); #32903=LINE('',#235717,#50531); #32904=LINE('',#235720,#50532); #32905=LINE('',#235722,#50533); #32906=LINE('',#235723,#50534); #32907=LINE('',#235726,#50535); #32908=LINE('',#235728,#50536); #32909=LINE('',#235729,#50537); #32910=LINE('',#235732,#50538); #32911=LINE('',#235734,#50539); #32912=LINE('',#235735,#50540); #32913=LINE('',#235738,#50541); #32914=LINE('',#235740,#50542); #32915=LINE('',#235741,#50543); #32916=LINE('',#235744,#50544); #32917=LINE('',#235746,#50545); #32918=LINE('',#235747,#50546); #32919=LINE('',#235750,#50547); #32920=LINE('',#235752,#50548); #32921=LINE('',#235753,#50549); #32922=LINE('',#235756,#50550); #32923=LINE('',#235758,#50551); #32924=LINE('',#235759,#50552); #32925=LINE('',#235762,#50553); #32926=LINE('',#235764,#50554); #32927=LINE('',#235765,#50555); #32928=LINE('',#235768,#50556); #32929=LINE('',#235770,#50557); #32930=LINE('',#235771,#50558); #32931=LINE('',#235774,#50559); #32932=LINE('',#235776,#50560); #32933=LINE('',#235777,#50561); #32934=LINE('',#235782,#50562); #32935=LINE('',#235786,#50563); #32936=LINE('',#235788,#50564); #32937=LINE('',#235789,#50565); #32938=LINE('',#235792,#50566); #32939=LINE('',#235794,#50567); #32940=LINE('',#235795,#50568); #32941=LINE('',#235800,#50569); #32942=LINE('',#235804,#50570); #32943=LINE('',#235806,#50571); #32944=LINE('',#235807,#50572); #32945=LINE('',#235810,#50573); #32946=LINE('',#235812,#50574); #32947=LINE('',#235813,#50575); #32948=LINE('',#235816,#50576); #32949=LINE('',#235818,#50577); #32950=LINE('',#235819,#50578); #32951=LINE('',#235822,#50579); #32952=LINE('',#235824,#50580); #32953=LINE('',#235825,#50581); #32954=LINE('',#235828,#50582); #32955=LINE('',#235830,#50583); #32956=LINE('',#235831,#50584); #32957=LINE('',#235834,#50585); #32958=LINE('',#235836,#50586); #32959=LINE('',#235837,#50587); #32960=LINE('',#235840,#50588); #32961=LINE('',#235842,#50589); #32962=LINE('',#235843,#50590); #32963=LINE('',#235846,#50591); #32964=LINE('',#235848,#50592); #32965=LINE('',#235849,#50593); #32966=LINE('',#235852,#50594); #32967=LINE('',#235854,#50595); #32968=LINE('',#235855,#50596); #32969=LINE('',#235858,#50597); #32970=LINE('',#235860,#50598); #32971=LINE('',#235861,#50599); #32972=LINE('',#235864,#50600); #32973=LINE('',#235866,#50601); #32974=LINE('',#235867,#50602); #32975=LINE('',#235870,#50603); #32976=LINE('',#235872,#50604); #32977=LINE('',#235873,#50605); #32978=LINE('',#235876,#50606); #32979=LINE('',#235878,#50607); #32980=LINE('',#235879,#50608); #32981=LINE('',#235882,#50609); #32982=LINE('',#235884,#50610); #32983=LINE('',#235885,#50611); #32984=LINE('',#235888,#50612); #32985=LINE('',#235890,#50613); #32986=LINE('',#235891,#50614); #32987=LINE('',#235894,#50615); #32988=LINE('',#235896,#50616); #32989=LINE('',#235897,#50617); #32990=LINE('',#235900,#50618); #32991=LINE('',#235902,#50619); #32992=LINE('',#235903,#50620); #32993=LINE('',#235906,#50621); #32994=LINE('',#235908,#50622); #32995=LINE('',#235909,#50623); #32996=LINE('',#235912,#50624); #32997=LINE('',#235914,#50625); #32998=LINE('',#235915,#50626); #32999=LINE('',#235918,#50627); #33000=LINE('',#235920,#50628); #33001=LINE('',#235921,#50629); #33002=LINE('',#235924,#50630); #33003=LINE('',#235926,#50631); #33004=LINE('',#235927,#50632); #33005=LINE('',#235930,#50633); #33006=LINE('',#235932,#50634); #33007=LINE('',#235933,#50635); #33008=LINE('',#235935,#50636); #33009=LINE('',#235936,#50637); #33010=LINE('',#235941,#50638); #33011=LINE('',#235943,#50639); #33012=LINE('',#235945,#50640); #33013=LINE('',#235946,#50641); #33014=LINE('',#235949,#50642); #33015=LINE('',#235951,#50643); #33016=LINE('',#235952,#50644); #33017=LINE('',#235955,#50645); #33018=LINE('',#235957,#50646); #33019=LINE('',#235958,#50647); #33020=LINE('',#235961,#50648); #33021=LINE('',#235963,#50649); #33022=LINE('',#235964,#50650); #33023=LINE('',#235967,#50651); #33024=LINE('',#235969,#50652); #33025=LINE('',#235970,#50653); #33026=LINE('',#235973,#50654); #33027=LINE('',#235975,#50655); #33028=LINE('',#235976,#50656); #33029=LINE('',#235979,#50657); #33030=LINE('',#235981,#50658); #33031=LINE('',#235982,#50659); #33032=LINE('',#235985,#50660); #33033=LINE('',#235987,#50661); #33034=LINE('',#235988,#50662); #33035=LINE('',#235991,#50663); #33036=LINE('',#235993,#50664); #33037=LINE('',#235994,#50665); #33038=LINE('',#235997,#50666); #33039=LINE('',#235999,#50667); #33040=LINE('',#236000,#50668); #33041=LINE('',#236003,#50669); #33042=LINE('',#236005,#50670); #33043=LINE('',#236006,#50671); #33044=LINE('',#236009,#50672); #33045=LINE('',#236011,#50673); #33046=LINE('',#236012,#50674); #33047=LINE('',#236015,#50675); #33048=LINE('',#236017,#50676); #33049=LINE('',#236018,#50677); #33050=LINE('',#236021,#50678); #33051=LINE('',#236023,#50679); #33052=LINE('',#236024,#50680); #33053=LINE('',#236027,#50681); #33054=LINE('',#236029,#50682); #33055=LINE('',#236030,#50683); #33056=LINE('',#236033,#50684); #33057=LINE('',#236035,#50685); #33058=LINE('',#236036,#50686); #33059=LINE('',#236039,#50687); #33060=LINE('',#236041,#50688); #33061=LINE('',#236042,#50689); #33062=LINE('',#236045,#50690); #33063=LINE('',#236047,#50691); #33064=LINE('',#236048,#50692); #33065=LINE('',#236051,#50693); #33066=LINE('',#236053,#50694); #33067=LINE('',#236054,#50695); #33068=LINE('',#236059,#50696); #33069=LINE('',#236065,#50697); #33070=LINE('',#236069,#50698); #33071=LINE('',#236071,#50699); #33072=LINE('',#236072,#50700); #33073=LINE('',#236075,#50701); #33074=LINE('',#236077,#50702); #33075=LINE('',#236078,#50703); #33076=LINE('',#236081,#50704); #33077=LINE('',#236083,#50705); #33078=LINE('',#236084,#50706); #33079=LINE('',#236087,#50707); #33080=LINE('',#236089,#50708); #33081=LINE('',#236090,#50709); #33082=LINE('',#236093,#50710); #33083=LINE('',#236095,#50711); #33084=LINE('',#236096,#50712); #33085=LINE('',#236099,#50713); #33086=LINE('',#236101,#50714); #33087=LINE('',#236102,#50715); #33088=LINE('',#236105,#50716); #33089=LINE('',#236107,#50717); #33090=LINE('',#236108,#50718); #33091=LINE('',#236111,#50719); #33092=LINE('',#236113,#50720); #33093=LINE('',#236114,#50721); #33094=LINE('',#236117,#50722); #33095=LINE('',#236119,#50723); #33096=LINE('',#236120,#50724); #33097=LINE('',#236123,#50725); #33098=LINE('',#236125,#50726); #33099=LINE('',#236126,#50727); #33100=LINE('',#236129,#50728); #33101=LINE('',#236131,#50729); #33102=LINE('',#236132,#50730); #33103=LINE('',#236135,#50731); #33104=LINE('',#236137,#50732); #33105=LINE('',#236138,#50733); #33106=LINE('',#236141,#50734); #33107=LINE('',#236143,#50735); #33108=LINE('',#236144,#50736); #33109=LINE('',#236147,#50737); #33110=LINE('',#236149,#50738); #33111=LINE('',#236150,#50739); #33112=LINE('',#236153,#50740); #33113=LINE('',#236155,#50741); #33114=LINE('',#236156,#50742); #33115=LINE('',#236159,#50743); #33116=LINE('',#236161,#50744); #33117=LINE('',#236162,#50745); #33118=LINE('',#236165,#50746); #33119=LINE('',#236167,#50747); #33120=LINE('',#236168,#50748); #33121=LINE('',#236171,#50749); #33122=LINE('',#236173,#50750); #33123=LINE('',#236174,#50751); #33124=LINE('',#236177,#50752); #33125=LINE('',#236179,#50753); #33126=LINE('',#236180,#50754); #33127=LINE('',#236183,#50755); #33128=LINE('',#236185,#50756); #33129=LINE('',#236186,#50757); #33130=LINE('',#236189,#50758); #33131=LINE('',#236191,#50759); #33132=LINE('',#236192,#50760); #33133=LINE('',#236195,#50761); #33134=LINE('',#236197,#50762); #33135=LINE('',#236198,#50763); #33136=LINE('',#236201,#50764); #33137=LINE('',#236203,#50765); #33138=LINE('',#236204,#50766); #33139=LINE('',#236209,#50767); #33140=LINE('',#236213,#50768); #33141=LINE('',#236215,#50769); #33142=LINE('',#236216,#50770); #33143=LINE('',#236219,#50771); #33144=LINE('',#236221,#50772); #33145=LINE('',#236222,#50773); #33146=LINE('',#236225,#50774); #33147=LINE('',#236227,#50775); #33148=LINE('',#236228,#50776); #33149=LINE('',#236233,#50777); #33150=LINE('',#236237,#50778); #33151=LINE('',#236239,#50779); #33152=LINE('',#236240,#50780); #33153=LINE('',#236243,#50781); #33154=LINE('',#236245,#50782); #33155=LINE('',#236246,#50783); #33156=LINE('',#236249,#50784); #33157=LINE('',#236251,#50785); #33158=LINE('',#236252,#50786); #33159=LINE('',#236257,#50787); #33160=LINE('',#236261,#50788); #33161=LINE('',#236263,#50789); #33162=LINE('',#236264,#50790); #33163=LINE('',#236267,#50791); #33164=LINE('',#236269,#50792); #33165=LINE('',#236270,#50793); #33166=LINE('',#236273,#50794); #33167=LINE('',#236275,#50795); #33168=LINE('',#236276,#50796); #33169=LINE('',#236279,#50797); #33170=LINE('',#236281,#50798); #33171=LINE('',#236282,#50799); #33172=LINE('',#236285,#50800); #33173=LINE('',#236287,#50801); #33174=LINE('',#236288,#50802); #33175=LINE('',#236291,#50803); #33176=LINE('',#236293,#50804); #33177=LINE('',#236294,#50805); #33178=LINE('',#236297,#50806); #33179=LINE('',#236299,#50807); #33180=LINE('',#236300,#50808); #33181=LINE('',#236303,#50809); #33182=LINE('',#236305,#50810); #33183=LINE('',#236306,#50811); #33184=LINE('',#236311,#50812); #33185=LINE('',#236315,#50813); #33186=LINE('',#236317,#50814); #33187=LINE('',#236318,#50815); #33188=LINE('',#236321,#50816); #33189=LINE('',#236323,#50817); #33190=LINE('',#236324,#50818); #33191=LINE('',#236327,#50819); #33192=LINE('',#236329,#50820); #33193=LINE('',#236330,#50821); #33194=LINE('',#236333,#50822); #33195=LINE('',#236335,#50823); #33196=LINE('',#236336,#50824); #33197=LINE('',#236339,#50825); #33198=LINE('',#236341,#50826); #33199=LINE('',#236342,#50827); #33200=LINE('',#236345,#50828); #33201=LINE('',#236347,#50829); #33202=LINE('',#236348,#50830); #33203=LINE('',#236353,#50831); #33204=LINE('',#236357,#50832); #33205=LINE('',#236359,#50833); #33206=LINE('',#236360,#50834); #33207=LINE('',#236363,#50835); #33208=LINE('',#236365,#50836); #33209=LINE('',#236366,#50837); #33210=LINE('',#236369,#50838); #33211=LINE('',#236371,#50839); #33212=LINE('',#236372,#50840); #33213=LINE('',#236375,#50841); #33214=LINE('',#236377,#50842); #33215=LINE('',#236378,#50843); #33216=LINE('',#236381,#50844); #33217=LINE('',#236383,#50845); #33218=LINE('',#236384,#50846); #33219=LINE('',#236387,#50847); #33220=LINE('',#236389,#50848); #33221=LINE('',#236390,#50849); #33222=LINE('',#236393,#50850); #33223=LINE('',#236395,#50851); #33224=LINE('',#236396,#50852); #33225=LINE('',#236399,#50853); #33226=LINE('',#236401,#50854); #33227=LINE('',#236402,#50855); #33228=LINE('',#236405,#50856); #33229=LINE('',#236407,#50857); #33230=LINE('',#236408,#50858); #33231=LINE('',#236413,#50859); #33232=LINE('',#236419,#50860); #33233=LINE('',#236425,#50861); #33234=LINE('',#236431,#50862); #33235=LINE('',#236435,#50863); #33236=LINE('',#236437,#50864); #33237=LINE('',#236438,#50865); #33238=LINE('',#236441,#50866); #33239=LINE('',#236443,#50867); #33240=LINE('',#236444,#50868); #33241=LINE('',#236447,#50869); #33242=LINE('',#236449,#50870); #33243=LINE('',#236450,#50871); #33244=LINE('',#236453,#50872); #33245=LINE('',#236455,#50873); #33246=LINE('',#236456,#50874); #33247=LINE('',#236459,#50875); #33248=LINE('',#236461,#50876); #33249=LINE('',#236462,#50877); #33250=LINE('',#236465,#50878); #33251=LINE('',#236467,#50879); #33252=LINE('',#236468,#50880); #33253=LINE('',#236471,#50881); #33254=LINE('',#236473,#50882); #33255=LINE('',#236474,#50883); #33256=LINE('',#236477,#50884); #33257=LINE('',#236479,#50885); #33258=LINE('',#236480,#50886); #33259=LINE('',#236483,#50887); #33260=LINE('',#236485,#50888); #33261=LINE('',#236486,#50889); #33262=LINE('',#236489,#50890); #33263=LINE('',#236491,#50891); #33264=LINE('',#236492,#50892); #33265=LINE('',#236495,#50893); #33266=LINE('',#236497,#50894); #33267=LINE('',#236498,#50895); #33268=LINE('',#236501,#50896); #33269=LINE('',#236503,#50897); #33270=LINE('',#236504,#50898); #33271=LINE('',#236507,#50899); #33272=LINE('',#236509,#50900); #33273=LINE('',#236510,#50901); #33274=LINE('',#236513,#50902); #33275=LINE('',#236515,#50903); #33276=LINE('',#236516,#50904); #33277=LINE('',#236519,#50905); #33278=LINE('',#236521,#50906); #33279=LINE('',#236522,#50907); #33280=LINE('',#236525,#50908); #33281=LINE('',#236527,#50909); #33282=LINE('',#236528,#50910); #33283=LINE('',#236531,#50911); #33284=LINE('',#236533,#50912); #33285=LINE('',#236534,#50913); #33286=LINE('',#236537,#50914); #33287=LINE('',#236539,#50915); #33288=LINE('',#236540,#50916); #33289=LINE('',#236543,#50917); #33290=LINE('',#236545,#50918); #33291=LINE('',#236546,#50919); #33292=LINE('',#236549,#50920); #33293=LINE('',#236551,#50921); #33294=LINE('',#236552,#50922); #33295=LINE('',#236555,#50923); #33296=LINE('',#236557,#50924); #33297=LINE('',#236558,#50925); #33298=LINE('',#236561,#50926); #33299=LINE('',#236563,#50927); #33300=LINE('',#236564,#50928); #33301=LINE('',#236567,#50929); #33302=LINE('',#236569,#50930); #33303=LINE('',#236570,#50931); #33304=LINE('',#236573,#50932); #33305=LINE('',#236575,#50933); #33306=LINE('',#236576,#50934); #33307=LINE('',#236579,#50935); #33308=LINE('',#236581,#50936); #33309=LINE('',#236582,#50937); #33310=LINE('',#236587,#50938); #33311=LINE('',#236591,#50939); #33312=LINE('',#236593,#50940); #33313=LINE('',#236594,#50941); #33314=LINE('',#236597,#50942); #33315=LINE('',#236599,#50943); #33316=LINE('',#236600,#50944); #33317=LINE('',#236605,#50945); #33318=LINE('',#236609,#50946); #33319=LINE('',#236611,#50947); #33320=LINE('',#236612,#50948); #33321=LINE('',#236615,#50949); #33322=LINE('',#236617,#50950); #33323=LINE('',#236618,#50951); #33324=LINE('',#236621,#50952); #33325=LINE('',#236623,#50953); #33326=LINE('',#236624,#50954); #33327=LINE('',#236627,#50955); #33328=LINE('',#236629,#50956); #33329=LINE('',#236630,#50957); #33330=LINE('',#236633,#50958); #33331=LINE('',#236635,#50959); #33332=LINE('',#236636,#50960); #33333=LINE('',#236639,#50961); #33334=LINE('',#236641,#50962); #33335=LINE('',#236642,#50963); #33336=LINE('',#236645,#50964); #33337=LINE('',#236647,#50965); #33338=LINE('',#236648,#50966); #33339=LINE('',#236651,#50967); #33340=LINE('',#236653,#50968); #33341=LINE('',#236654,#50969); #33342=LINE('',#236657,#50970); #33343=LINE('',#236659,#50971); #33344=LINE('',#236660,#50972); #33345=LINE('',#236663,#50973); #33346=LINE('',#236665,#50974); #33347=LINE('',#236666,#50975); #33348=LINE('',#236669,#50976); #33349=LINE('',#236671,#50977); #33350=LINE('',#236672,#50978); #33351=LINE('',#236675,#50979); #33352=LINE('',#236677,#50980); #33353=LINE('',#236678,#50981); #33354=LINE('',#236681,#50982); #33355=LINE('',#236683,#50983); #33356=LINE('',#236684,#50984); #33357=LINE('',#236687,#50985); #33358=LINE('',#236689,#50986); #33359=LINE('',#236690,#50987); #33360=LINE('',#236693,#50988); #33361=LINE('',#236695,#50989); #33362=LINE('',#236696,#50990); #33363=LINE('',#236699,#50991); #33364=LINE('',#236701,#50992); #33365=LINE('',#236702,#50993); #33366=LINE('',#236705,#50994); #33367=LINE('',#236707,#50995); #33368=LINE('',#236708,#50996); #33369=LINE('',#236711,#50997); #33370=LINE('',#236713,#50998); #33371=LINE('',#236714,#50999); #33372=LINE('',#236717,#51000); #33373=LINE('',#236719,#51001); #33374=LINE('',#236720,#51002); #33375=LINE('',#236723,#51003); #33376=LINE('',#236725,#51004); #33377=LINE('',#236726,#51005); #33378=LINE('',#236729,#51006); #33379=LINE('',#236731,#51007); #33380=LINE('',#236732,#51008); #33381=LINE('',#236735,#51009); #33382=LINE('',#236737,#51010); #33383=LINE('',#236738,#51011); #33384=LINE('',#236741,#51012); #33385=LINE('',#236743,#51013); #33386=LINE('',#236744,#51014); #33387=LINE('',#236747,#51015); #33388=LINE('',#236749,#51016); #33389=LINE('',#236750,#51017); #33390=LINE('',#236753,#51018); #33391=LINE('',#236755,#51019); #33392=LINE('',#236756,#51020); #33393=LINE('',#236759,#51021); #33394=LINE('',#236761,#51022); #33395=LINE('',#236762,#51023); #33396=LINE('',#236765,#51024); #33397=LINE('',#236767,#51025); #33398=LINE('',#236768,#51026); #33399=LINE('',#236773,#51027); #33400=LINE('',#236779,#51028); #33401=LINE('',#236783,#51029); #33402=LINE('',#236785,#51030); #33403=LINE('',#236786,#51031); #33404=LINE('',#236789,#51032); #33405=LINE('',#236791,#51033); #33406=LINE('',#236792,#51034); #33407=LINE('',#236795,#51035); #33408=LINE('',#236797,#51036); #33409=LINE('',#236798,#51037); #33410=LINE('',#236801,#51038); #33411=LINE('',#236803,#51039); #33412=LINE('',#236804,#51040); #33413=LINE('',#236807,#51041); #33414=LINE('',#236809,#51042); #33415=LINE('',#236810,#51043); #33416=LINE('',#236813,#51044); #33417=LINE('',#236815,#51045); #33418=LINE('',#236816,#51046); #33419=LINE('',#236819,#51047); #33420=LINE('',#236821,#51048); #33421=LINE('',#236822,#51049); #33422=LINE('',#236825,#51050); #33423=LINE('',#236827,#51051); #33424=LINE('',#236828,#51052); #33425=LINE('',#236831,#51053); #33426=LINE('',#236833,#51054); #33427=LINE('',#236834,#51055); #33428=LINE('',#236837,#51056); #33429=LINE('',#236839,#51057); #33430=LINE('',#236840,#51058); #33431=LINE('',#236843,#51059); #33432=LINE('',#236845,#51060); #33433=LINE('',#236846,#51061); #33434=LINE('',#236849,#51062); #33435=LINE('',#236851,#51063); #33436=LINE('',#236852,#51064); #33437=LINE('',#236855,#51065); #33438=LINE('',#236857,#51066); #33439=LINE('',#236858,#51067); #33440=LINE('',#236861,#51068); #33441=LINE('',#236863,#51069); #33442=LINE('',#236864,#51070); #33443=LINE('',#236867,#51071); #33444=LINE('',#236869,#51072); #33445=LINE('',#236870,#51073); #33446=LINE('',#236873,#51074); #33447=LINE('',#236875,#51075); #33448=LINE('',#236876,#51076); #33449=LINE('',#236879,#51077); #33450=LINE('',#236881,#51078); #33451=LINE('',#236882,#51079); #33452=LINE('',#236885,#51080); #33453=LINE('',#236887,#51081); #33454=LINE('',#236888,#51082); #33455=LINE('',#236891,#51083); #33456=LINE('',#236893,#51084); #33457=LINE('',#236894,#51085); #33458=LINE('',#236897,#51086); #33459=LINE('',#236899,#51087); #33460=LINE('',#236900,#51088); #33461=LINE('',#236903,#51089); #33462=LINE('',#236905,#51090); #33463=LINE('',#236906,#51091); #33464=LINE('',#236909,#51092); #33465=LINE('',#236911,#51093); #33466=LINE('',#236912,#51094); #33467=LINE('',#236915,#51095); #33468=LINE('',#236917,#51096); #33469=LINE('',#236918,#51097); #33470=LINE('',#236921,#51098); #33471=LINE('',#236923,#51099); #33472=LINE('',#236924,#51100); #33473=LINE('',#236927,#51101); #33474=LINE('',#236929,#51102); #33475=LINE('',#236930,#51103); #33476=LINE('',#236933,#51104); #33477=LINE('',#236935,#51105); #33478=LINE('',#236936,#51106); #33479=LINE('',#236941,#51107); #33480=LINE('',#236945,#51108); #33481=LINE('',#236947,#51109); #33482=LINE('',#236948,#51110); #33483=LINE('',#236951,#51111); #33484=LINE('',#236953,#51112); #33485=LINE('',#236954,#51113); #33486=LINE('',#236957,#51114); #33487=LINE('',#236959,#51115); #33488=LINE('',#236960,#51116); #33489=LINE('',#236963,#51117); #33490=LINE('',#236965,#51118); #33491=LINE('',#236966,#51119); #33492=LINE('',#236971,#51120); #33493=LINE('',#236975,#51121); #33494=LINE('',#236977,#51122); #33495=LINE('',#236978,#51123); #33496=LINE('',#236981,#51124); #33497=LINE('',#236983,#51125); #33498=LINE('',#236984,#51126); #33499=LINE('',#236987,#51127); #33500=LINE('',#236989,#51128); #33501=LINE('',#236990,#51129); #33502=LINE('',#236993,#51130); #33503=LINE('',#236995,#51131); #33504=LINE('',#236996,#51132); #33505=LINE('',#236999,#51133); #33506=LINE('',#237001,#51134); #33507=LINE('',#237002,#51135); #33508=LINE('',#237005,#51136); #33509=LINE('',#237007,#51137); #33510=LINE('',#237008,#51138); #33511=LINE('',#237010,#51139); #33512=LINE('',#237011,#51140); #33513=LINE('',#237018,#51141); #33514=LINE('',#237021,#51142); #33515=LINE('',#237026,#51143); #33516=LINE('',#237032,#51144); #33517=LINE('',#237038,#51145); #33518=LINE('',#237044,#51146); #33519=LINE('',#237050,#51147); #33520=LINE('',#237056,#51148); #33521=LINE('',#237060,#51149); #33522=LINE('',#237062,#51150); #33523=LINE('',#237063,#51151); #33524=LINE('',#237068,#51152); #33525=LINE('',#237074,#51153); #33526=LINE('',#237082,#51154); #33527=LINE('',#237084,#51155); #33528=LINE('',#237086,#51156); #33529=LINE('',#237087,#51157); #33530=LINE('',#237092,#51158); #33531=LINE('',#237098,#51159); #33532=LINE('',#237104,#51160); #33533=LINE('',#237110,#51161); #33534=LINE('',#237116,#51162); #33535=LINE('',#237122,#51163); #33536=LINE('',#237128,#51164); #33537=LINE('',#237134,#51165); #33538=LINE('',#237145,#51166); #33539=LINE('',#237148,#51167); #33540=LINE('',#237153,#51168); #33541=LINE('',#237157,#51169); #33542=LINE('',#237159,#51170); #33543=LINE('',#237160,#51171); #33544=LINE('',#237165,#51172); #33545=LINE('',#237169,#51173); #33546=LINE('',#237171,#51174); #33547=LINE('',#237172,#51175); #33548=LINE('',#237177,#51176); #33549=LINE('',#237181,#51177); #33550=LINE('',#237183,#51178); #33551=LINE('',#237184,#51179); #33552=LINE('',#237187,#51180); #33553=LINE('',#237189,#51181); #33554=LINE('',#237190,#51182); #33555=LINE('',#237193,#51183); #33556=LINE('',#237195,#51184); #33557=LINE('',#237196,#51185); #33558=LINE('',#237201,#51186); #33559=LINE('',#237205,#51187); #33560=LINE('',#237207,#51188); #33561=LINE('',#237208,#51189); #33562=LINE('',#237211,#51190); #33563=LINE('',#237213,#51191); #33564=LINE('',#237214,#51192); #33565=LINE('',#237217,#51193); #33566=LINE('',#237219,#51194); #33567=LINE('',#237220,#51195); #33568=LINE('',#237225,#51196); #33569=LINE('',#237231,#51197); #33570=LINE('',#237235,#51198); #33571=LINE('',#237237,#51199); #33572=LINE('',#237238,#51200); #33573=LINE('',#237241,#51201); #33574=LINE('',#237243,#51202); #33575=LINE('',#237244,#51203); #33576=LINE('',#237249,#51204); #33577=LINE('',#237253,#51205); #33578=LINE('',#237255,#51206); #33579=LINE('',#237256,#51207); #33580=LINE('',#237259,#51208); #33581=LINE('',#237261,#51209); #33582=LINE('',#237262,#51210); #33583=LINE('',#237265,#51211); #33584=LINE('',#237267,#51212); #33585=LINE('',#237268,#51213); #33586=LINE('',#237271,#51214); #33587=LINE('',#237273,#51215); #33588=LINE('',#237274,#51216); #33589=LINE('',#237277,#51217); #33590=LINE('',#237279,#51218); #33591=LINE('',#237280,#51219); #33592=LINE('',#237283,#51220); #33593=LINE('',#237285,#51221); #33594=LINE('',#237286,#51222); #33595=LINE('',#237291,#51223); #33596=LINE('',#237297,#51224); #33597=LINE('',#237303,#51225); #33598=LINE('',#237309,#51226); #33599=LINE('',#237313,#51227); #33600=LINE('',#237315,#51228); #33601=LINE('',#237316,#51229); #33602=LINE('',#237321,#51230); #33603=LINE('',#237325,#51231); #33604=LINE('',#237327,#51232); #33605=LINE('',#237328,#51233); #33606=LINE('',#237333,#51234); #33607=LINE('',#237337,#51235); #33608=LINE('',#237339,#51236); #33609=LINE('',#237340,#51237); #33610=LINE('',#237345,#51238); #33611=LINE('',#237349,#51239); #33612=LINE('',#237351,#51240); #33613=LINE('',#237352,#51241); #33614=LINE('',#237355,#51242); #33615=LINE('',#237357,#51243); #33616=LINE('',#237358,#51244); #33617=LINE('',#237363,#51245); #33618=LINE('',#237367,#51246); #33619=LINE('',#237369,#51247); #33620=LINE('',#237370,#51248); #33621=LINE('',#237375,#51249); #33622=LINE('',#237379,#51250); #33623=LINE('',#237381,#51251); #33624=LINE('',#237382,#51252); #33625=LINE('',#237387,#51253); #33626=LINE('',#237391,#51254); #33627=LINE('',#237393,#51255); #33628=LINE('',#237394,#51256); #33629=LINE('',#237397,#51257); #33630=LINE('',#237399,#51258); #33631=LINE('',#237400,#51259); #33632=LINE('',#237402,#51260); #33633=LINE('',#237403,#51261); #33634=LINE('',#237408,#51262); #33635=LINE('',#237410,#51263); #33636=LINE('',#237412,#51264); #33637=LINE('',#237413,#51265); #33638=LINE('',#237416,#51266); #33639=LINE('',#237418,#51267); #33640=LINE('',#237419,#51268); #33641=LINE('',#237422,#51269); #33642=LINE('',#237424,#51270); #33643=LINE('',#237425,#51271); #33644=LINE('',#237428,#51272); #33645=LINE('',#237430,#51273); #33646=LINE('',#237431,#51274); #33647=LINE('',#237434,#51275); #33648=LINE('',#237436,#51276); #33649=LINE('',#237437,#51277); #33650=LINE('',#237440,#51278); #33651=LINE('',#237442,#51279); #33652=LINE('',#237443,#51280); #33653=LINE('',#237446,#51281); #33654=LINE('',#237448,#51282); #33655=LINE('',#237449,#51283); #33656=LINE('',#237452,#51284); #33657=LINE('',#237454,#51285); #33658=LINE('',#237455,#51286); #33659=LINE('',#237458,#51287); #33660=LINE('',#237460,#51288); #33661=LINE('',#237461,#51289); #33662=LINE('',#237464,#51290); #33663=LINE('',#237466,#51291); #33664=LINE('',#237467,#51292); #33665=LINE('',#237470,#51293); #33666=LINE('',#237472,#51294); #33667=LINE('',#237473,#51295); #33668=LINE('',#237476,#51296); #33669=LINE('',#237478,#51297); #33670=LINE('',#237479,#51298); #33671=LINE('',#237482,#51299); #33672=LINE('',#237484,#51300); #33673=LINE('',#237485,#51301); #33674=LINE('',#237488,#51302); #33675=LINE('',#237490,#51303); #33676=LINE('',#237491,#51304); #33677=LINE('',#237496,#51305); #33678=LINE('',#237502,#51306); #33679=LINE('',#237506,#51307); #33680=LINE('',#237508,#51308); #33681=LINE('',#237509,#51309); #33682=LINE('',#237512,#51310); #33683=LINE('',#237514,#51311); #33684=LINE('',#237515,#51312); #33685=LINE('',#237518,#51313); #33686=LINE('',#237520,#51314); #33687=LINE('',#237521,#51315); #33688=LINE('',#237524,#51316); #33689=LINE('',#237526,#51317); #33690=LINE('',#237527,#51318); #33691=LINE('',#237530,#51319); #33692=LINE('',#237532,#51320); #33693=LINE('',#237533,#51321); #33694=LINE('',#237536,#51322); #33695=LINE('',#237538,#51323); #33696=LINE('',#237539,#51324); #33697=LINE('',#237542,#51325); #33698=LINE('',#237544,#51326); #33699=LINE('',#237545,#51327); #33700=LINE('',#237548,#51328); #33701=LINE('',#237550,#51329); #33702=LINE('',#237551,#51330); #33703=LINE('',#237554,#51331); #33704=LINE('',#237556,#51332); #33705=LINE('',#237557,#51333); #33706=LINE('',#237560,#51334); #33707=LINE('',#237562,#51335); #33708=LINE('',#237563,#51336); #33709=LINE('',#237566,#51337); #33710=LINE('',#237568,#51338); #33711=LINE('',#237569,#51339); #33712=LINE('',#237572,#51340); #33713=LINE('',#237574,#51341); #33714=LINE('',#237575,#51342); #33715=LINE('',#237578,#51343); #33716=LINE('',#237580,#51344); #33717=LINE('',#237581,#51345); #33718=LINE('',#237584,#51346); #33719=LINE('',#237586,#51347); #33720=LINE('',#237587,#51348); #33721=LINE('',#237590,#51349); #33722=LINE('',#237592,#51350); #33723=LINE('',#237593,#51351); #33724=LINE('',#237596,#51352); #33725=LINE('',#237598,#51353); #33726=LINE('',#237599,#51354); #33727=LINE('',#237604,#51355); #33728=LINE('',#237608,#51356); #33729=LINE('',#237610,#51357); #33730=LINE('',#237611,#51358); #33731=LINE('',#237614,#51359); #33732=LINE('',#237616,#51360); #33733=LINE('',#237617,#51361); #33734=LINE('',#237620,#51362); #33735=LINE('',#237622,#51363); #33736=LINE('',#237623,#51364); #33737=LINE('',#237626,#51365); #33738=LINE('',#237628,#51366); #33739=LINE('',#237629,#51367); #33740=LINE('',#237632,#51368); #33741=LINE('',#237634,#51369); #33742=LINE('',#237635,#51370); #33743=LINE('',#237638,#51371); #33744=LINE('',#237640,#51372); #33745=LINE('',#237641,#51373); #33746=LINE('',#237644,#51374); #33747=LINE('',#237646,#51375); #33748=LINE('',#237647,#51376); #33749=LINE('',#237652,#51377); #33750=LINE('',#237656,#51378); #33751=LINE('',#237658,#51379); #33752=LINE('',#237659,#51380); #33753=LINE('',#237664,#51381); #33754=LINE('',#237670,#51382); #33755=LINE('',#237674,#51383); #33756=LINE('',#237676,#51384); #33757=LINE('',#237677,#51385); #33758=LINE('',#237680,#51386); #33759=LINE('',#237682,#51387); #33760=LINE('',#237683,#51388); #33761=LINE('',#237686,#51389); #33762=LINE('',#237688,#51390); #33763=LINE('',#237689,#51391); #33764=LINE('',#237692,#51392); #33765=LINE('',#237694,#51393); #33766=LINE('',#237695,#51394); #33767=LINE('',#237698,#51395); #33768=LINE('',#237700,#51396); #33769=LINE('',#237701,#51397); #33770=LINE('',#237704,#51398); #33771=LINE('',#237706,#51399); #33772=LINE('',#237707,#51400); #33773=LINE('',#237710,#51401); #33774=LINE('',#237712,#51402); #33775=LINE('',#237713,#51403); #33776=LINE('',#237716,#51404); #33777=LINE('',#237718,#51405); #33778=LINE('',#237719,#51406); #33779=LINE('',#237722,#51407); #33780=LINE('',#237724,#51408); #33781=LINE('',#237725,#51409); #33782=LINE('',#237728,#51410); #33783=LINE('',#237730,#51411); #33784=LINE('',#237731,#51412); #33785=LINE('',#237734,#51413); #33786=LINE('',#237736,#51414); #33787=LINE('',#237737,#51415); #33788=LINE('',#237740,#51416); #33789=LINE('',#237742,#51417); #33790=LINE('',#237743,#51418); #33791=LINE('',#237746,#51419); #33792=LINE('',#237748,#51420); #33793=LINE('',#237749,#51421); #33794=LINE('',#237752,#51422); #33795=LINE('',#237754,#51423); #33796=LINE('',#237755,#51424); #33797=LINE('',#237758,#51425); #33798=LINE('',#237760,#51426); #33799=LINE('',#237761,#51427); #33800=LINE('',#237764,#51428); #33801=LINE('',#237766,#51429); #33802=LINE('',#237767,#51430); #33803=LINE('',#237770,#51431); #33804=LINE('',#237772,#51432); #33805=LINE('',#237773,#51433); #33806=LINE('',#237776,#51434); #33807=LINE('',#237778,#51435); #33808=LINE('',#237779,#51436); #33809=LINE('',#237782,#51437); #33810=LINE('',#237784,#51438); #33811=LINE('',#237785,#51439); #33812=LINE('',#237788,#51440); #33813=LINE('',#237790,#51441); #33814=LINE('',#237791,#51442); #33815=LINE('',#237794,#51443); #33816=LINE('',#237796,#51444); #33817=LINE('',#237797,#51445); #33818=LINE('',#237800,#51446); #33819=LINE('',#237802,#51447); #33820=LINE('',#237803,#51448); #33821=LINE('',#237806,#51449); #33822=LINE('',#237808,#51450); #33823=LINE('',#237809,#51451); #33824=LINE('',#237812,#51452); #33825=LINE('',#237814,#51453); #33826=LINE('',#237815,#51454); #33827=LINE('',#237820,#51455); #33828=LINE('',#237824,#51456); #33829=LINE('',#237826,#51457); #33830=LINE('',#237827,#51458); #33831=LINE('',#237830,#51459); #33832=LINE('',#237832,#51460); #33833=LINE('',#237833,#51461); #33834=LINE('',#237836,#51462); #33835=LINE('',#237838,#51463); #33836=LINE('',#237839,#51464); #33837=LINE('',#237842,#51465); #33838=LINE('',#237844,#51466); #33839=LINE('',#237845,#51467); #33840=LINE('',#237850,#51468); #33841=LINE('',#237854,#51469); #33842=LINE('',#237856,#51470); #33843=LINE('',#237857,#51471); #33844=LINE('',#237860,#51472); #33845=LINE('',#237862,#51473); #33846=LINE('',#237863,#51474); #33847=LINE('',#237866,#51475); #33848=LINE('',#237868,#51476); #33849=LINE('',#237869,#51477); #33850=LINE('',#237872,#51478); #33851=LINE('',#237874,#51479); #33852=LINE('',#237875,#51480); #33853=LINE('',#237878,#51481); #33854=LINE('',#237880,#51482); #33855=LINE('',#237881,#51483); #33856=LINE('',#237884,#51484); #33857=LINE('',#237886,#51485); #33858=LINE('',#237887,#51486); #33859=LINE('',#237890,#51487); #33860=LINE('',#237892,#51488); #33861=LINE('',#237893,#51489); #33862=LINE('',#237896,#51490); #33863=LINE('',#237898,#51491); #33864=LINE('',#237899,#51492); #33865=LINE('',#237902,#51493); #33866=LINE('',#237904,#51494); #33867=LINE('',#237905,#51495); #33868=LINE('',#237908,#51496); #33869=LINE('',#237910,#51497); #33870=LINE('',#237911,#51498); #33871=LINE('',#237914,#51499); #33872=LINE('',#237916,#51500); #33873=LINE('',#237917,#51501); #33874=LINE('',#237920,#51502); #33875=LINE('',#237922,#51503); #33876=LINE('',#237923,#51504); #33877=LINE('',#237926,#51505); #33878=LINE('',#237928,#51506); #33879=LINE('',#237929,#51507); #33880=LINE('',#237932,#51508); #33881=LINE('',#237934,#51509); #33882=LINE('',#237935,#51510); #33883=LINE('',#237938,#51511); #33884=LINE('',#237940,#51512); #33885=LINE('',#237941,#51513); #33886=LINE('',#237944,#51514); #33887=LINE('',#237946,#51515); #33888=LINE('',#237947,#51516); #33889=LINE('',#237950,#51517); #33890=LINE('',#237952,#51518); #33891=LINE('',#237953,#51519); #33892=LINE('',#237956,#51520); #33893=LINE('',#237958,#51521); #33894=LINE('',#237959,#51522); #33895=LINE('',#237964,#51523); #33896=LINE('',#237968,#51524); #33897=LINE('',#237970,#51525); #33898=LINE('',#237971,#51526); #33899=LINE('',#237974,#51527); #33900=LINE('',#237976,#51528); #33901=LINE('',#237977,#51529); #33902=LINE('',#237980,#51530); #33903=LINE('',#237982,#51531); #33904=LINE('',#237983,#51532); #33905=LINE('',#237986,#51533); #33906=LINE('',#237988,#51534); #33907=LINE('',#237989,#51535); #33908=LINE('',#237992,#51536); #33909=LINE('',#237994,#51537); #33910=LINE('',#237995,#51538); #33911=LINE('',#237998,#51539); #33912=LINE('',#238000,#51540); #33913=LINE('',#238001,#51541); #33914=LINE('',#238006,#51542); #33915=LINE('',#238012,#51543); #33916=LINE('',#238016,#51544); #33917=LINE('',#238018,#51545); #33918=LINE('',#238019,#51546); #33919=LINE('',#238024,#51547); #33920=LINE('',#238028,#51548); #33921=LINE('',#238030,#51549); #33922=LINE('',#238031,#51550); #33923=LINE('',#238034,#51551); #33924=LINE('',#238036,#51552); #33925=LINE('',#238037,#51553); #33926=LINE('',#238042,#51554); #33927=LINE('',#238046,#51555); #33928=LINE('',#238048,#51556); #33929=LINE('',#238049,#51557); #33930=LINE('',#238052,#51558); #33931=LINE('',#238054,#51559); #33932=LINE('',#238055,#51560); #33933=LINE('',#238058,#51561); #33934=LINE('',#238060,#51562); #33935=LINE('',#238061,#51563); #33936=LINE('',#238064,#51564); #33937=LINE('',#238066,#51565); #33938=LINE('',#238067,#51566); #33939=LINE('',#238070,#51567); #33940=LINE('',#238072,#51568); #33941=LINE('',#238073,#51569); #33942=LINE('',#238078,#51570); #33943=LINE('',#238082,#51571); #33944=LINE('',#238084,#51572); #33945=LINE('',#238085,#51573); #33946=LINE('',#238088,#51574); #33947=LINE('',#238090,#51575); #33948=LINE('',#238091,#51576); #33949=LINE('',#238094,#51577); #33950=LINE('',#238096,#51578); #33951=LINE('',#238097,#51579); #33952=LINE('',#238099,#51580); #33953=LINE('',#238100,#51581); #33954=LINE('',#238105,#51582); #33955=LINE('',#238107,#51583); #33956=LINE('',#238109,#51584); #33957=LINE('',#238111,#51585); #33958=LINE('',#238113,#51586); #33959=LINE('',#238117,#51587); #33960=LINE('',#238121,#51588); #33961=LINE('',#238123,#51589); #33962=LINE('',#238125,#51590); #33963=LINE('',#238129,#51591); #33964=LINE('',#238133,#51592); #33965=LINE('',#238135,#51593); #33966=LINE('',#238137,#51594); #33967=LINE('',#238141,#51595); #33968=LINE('',#238145,#51596); #33969=LINE('',#238147,#51597); #33970=LINE('',#238149,#51598); #33971=LINE('',#238153,#51599); #33972=LINE('',#238155,#51600); #33973=LINE('',#238157,#51601); #33974=LINE('',#238161,#51602); #33975=LINE('',#238163,#51603); #33976=LINE('',#238165,#51604); #33977=LINE('',#238169,#51605); #33978=LINE('',#238173,#51606); #33979=LINE('',#238175,#51607); #33980=LINE('',#238177,#51608); #33981=LINE('',#238181,#51609); #33982=LINE('',#238185,#51610); #33983=LINE('',#238187,#51611); #33984=LINE('',#238189,#51612); #33985=LINE('',#238193,#51613); #33986=LINE('',#238197,#51614); #33987=LINE('',#238198,#51615); #33988=LINE('',#238233,#51616); #33989=LINE('',#238236,#51617); #33990=LINE('',#238239,#51618); #33991=LINE('',#238241,#51619); #33992=LINE('',#238242,#51620); #33993=LINE('',#238248,#51621); #33994=LINE('',#238251,#51622); #33995=LINE('',#238255,#51623); #33996=LINE('',#238259,#51624); #33997=LINE('',#238263,#51625); #33998=LINE('',#238267,#51626); #33999=LINE('',#238277,#51627); #34000=LINE('',#238278,#51628); #34001=LINE('',#238284,#51629); #34002=LINE('',#238287,#51630); #34003=LINE('',#238290,#51631); #34004=LINE('',#238291,#51632); #34005=LINE('',#238293,#51633); #34006=LINE('',#238294,#51634); #34007=LINE('',#238300,#51635); #34008=LINE('',#238303,#51636); #34009=LINE('',#238306,#51637); #34010=LINE('',#238310,#51638); #34011=LINE('',#238314,#51639); #34012=LINE('',#238317,#51640); #34013=LINE('',#238320,#51641); #34014=LINE('',#238321,#51642); #34015=LINE('',#238326,#51643); #34016=LINE('',#238329,#51644); #34017=LINE('',#238332,#51645); #34018=LINE('',#238335,#51646); #34019=LINE('',#238338,#51647); #34020=LINE('',#238339,#51648); #34021=LINE('',#238344,#51649); #34022=LINE('',#238347,#51650); #34023=LINE('',#238349,#51651); #34024=LINE('',#238351,#51652); #34025=LINE('',#238353,#51653); #34026=LINE('',#238354,#51654); #34027=LINE('',#238357,#51655); #34028=LINE('',#238359,#51656); #34029=LINE('',#238360,#51657); #34030=LINE('',#238363,#51658); #34031=LINE('',#238367,#51659); #34032=LINE('',#238373,#51660); #34033=LINE('',#238382,#51661); #34034=LINE('',#238386,#51662); #34035=LINE('',#238393,#51663); #34036=LINE('',#238397,#51664); #34037=LINE('',#238401,#51665); #34038=LINE('',#238410,#51666); #34039=LINE('',#238413,#51667); #34040=LINE('',#238417,#51668); #34041=LINE('',#238421,#51669); #34042=LINE('',#238425,#51670); #34043=LINE('',#238429,#51671); #34044=LINE('',#238433,#51672); #34045=LINE('',#238437,#51673); #34046=LINE('',#238445,#51674); #34047=LINE('',#238448,#51675); #34048=LINE('',#238451,#51676); #34049=LINE('',#238452,#51677); #34050=LINE('',#238456,#51678); #34051=LINE('',#238459,#51679); #34052=LINE('',#238462,#51680); #34053=LINE('',#238464,#51681); #34054=LINE('',#238465,#51682); #34055=LINE('',#238466,#51683); #34056=LINE('',#238467,#51684); #34057=LINE('',#238469,#51685); #34058=LINE('',#238478,#51686); #34059=LINE('',#238479,#51687); #34060=LINE('',#238481,#51688); #34061=LINE('',#238484,#51689); #34062=LINE('',#238487,#51690); #34063=LINE('',#238489,#51691); #34064=LINE('',#238491,#51692); #34065=LINE('',#238496,#51693); #34066=LINE('',#238497,#51694); #34067=LINE('',#238501,#51695); #34068=LINE('',#238504,#51696); #34069=LINE('',#238505,#51697); #34070=LINE('',#238508,#51698); #34071=LINE('',#238511,#51699); #34072=LINE('',#238514,#51700); #34073=LINE('',#238515,#51701); #34074=LINE('',#238519,#51702); #34075=LINE('',#238522,#51703); #34076=LINE('',#238523,#51704); #34077=LINE('',#238526,#51705); #34078=LINE('',#238529,#51706); #34079=LINE('',#238533,#51707); #34080=LINE('',#238535,#51708); #34081=LINE('',#238539,#51709); #34082=LINE('',#238543,#51710); #34083=LINE('',#238552,#51711); #34084=LINE('',#238559,#51712); #34085=LINE('',#238564,#51713); #34086=LINE('',#238568,#51714); #34087=LINE('',#238571,#51715); #34088=LINE('',#238574,#51716); #34089=LINE('',#238577,#51717); #34090=LINE('',#238580,#51718); #34091=LINE('',#238583,#51719); #34092=LINE('',#238586,#51720); #34093=LINE('',#238591,#51721); #34094=LINE('',#238596,#51722); #34095=LINE('',#238598,#51723); #34096=LINE('',#238600,#51724); #34097=LINE('',#238601,#51725); #34098=LINE('',#238607,#51726); #34099=LINE('',#238610,#51727); #34100=LINE('',#238613,#51728); #34101=LINE('',#238616,#51729); #34102=LINE('',#238619,#51730); #34103=LINE('',#238622,#51731); #34104=LINE('',#238623,#51732); #34105=LINE('',#238626,#51733); #34106=LINE('',#238627,#51734); #34107=LINE('',#238631,#51735); #34108=LINE('',#238633,#51736); #34109=LINE('',#238635,#51737); #34110=LINE('',#238636,#51738); #34111=LINE('',#238639,#51739); #34112=LINE('',#238640,#51740); #34113=LINE('',#238641,#51741); #34114=LINE('',#238643,#51742); #34115=LINE('',#238644,#51743); #34116=LINE('',#238647,#51744); #34117=LINE('',#238651,#51745); #34118=LINE('',#238655,#51746); #34119=LINE('',#238657,#51747); #34120=LINE('',#238659,#51748); #34121=LINE('',#238663,#51749); #34122=LINE('',#238667,#51750); #34123=LINE('',#238669,#51751); #34124=LINE('',#238671,#51752); #34125=LINE('',#238675,#51753); #34126=LINE('',#238679,#51754); #34127=LINE('',#238681,#51755); #34128=LINE('',#238683,#51756); #34129=LINE('',#238686,#51757); #34130=LINE('',#238688,#51758); #34131=LINE('',#238690,#51759); #34132=LINE('',#238694,#51760); #34133=LINE('',#238696,#51761); #34134=LINE('',#238698,#51762); #34135=LINE('',#238702,#51763); #34136=LINE('',#238706,#51764); #34137=LINE('',#238708,#51765); #34138=LINE('',#238710,#51766); #34139=LINE('',#238714,#51767); #34140=LINE('',#238718,#51768); #34141=LINE('',#238720,#51769); #34142=LINE('',#238722,#51770); #34143=LINE('',#238726,#51771); #34144=LINE('',#238730,#51772); #34145=LINE('',#238732,#51773); #34146=LINE('',#238733,#51774); #34147=LINE('',#238736,#51775); #34148=LINE('',#238738,#51776); #34149=LINE('',#238739,#51777); #34150=LINE('',#238742,#51778); #34151=LINE('',#238743,#51779); #34152=LINE('',#238744,#51780); #34153=LINE('',#238745,#51781); #34154=LINE('',#238747,#51782); #34155=LINE('',#238749,#51783); #34156=LINE('',#238751,#51784); #34157=LINE('',#238753,#51785); #34158=LINE('',#238755,#51786); #34159=LINE('',#238756,#51787); #34160=LINE('',#238758,#51788); #34161=LINE('',#238760,#51789); #34162=LINE('',#238761,#51790); #34163=LINE('',#238763,#51791); #34164=LINE('',#238766,#51792); #34165=LINE('',#238767,#51793); #34166=LINE('',#238770,#51794); #34167=LINE('',#238771,#51795); #34168=LINE('',#238774,#51796); #34169=LINE('',#238775,#51797); #34170=LINE('',#238778,#51798); #34171=LINE('',#238780,#51799); #34172=LINE('',#238781,#51800); #34173=LINE('',#238783,#51801); #34174=LINE('',#238785,#51802); #34175=LINE('',#238786,#51803); #34176=LINE('',#238790,#51804); #34177=LINE('',#238791,#51805); #34178=LINE('',#238793,#51806); #34179=LINE('',#238795,#51807); #34180=LINE('',#238796,#51808); #34181=LINE('',#238798,#51809); #34182=LINE('',#238801,#51810); #34183=LINE('',#238802,#51811); #34184=LINE('',#238804,#51812); #34185=LINE('',#238806,#51813); #34186=LINE('',#238808,#51814); #34187=LINE('',#238809,#51815); #34188=LINE('',#238812,#51816); #34189=LINE('',#238813,#51817); #34190=LINE('',#238815,#51818); #34191=LINE('',#238817,#51819); #34192=LINE('',#238819,#51820); #34193=LINE('',#238820,#51821); #34194=LINE('',#238830,#51822); #34195=LINE('',#238832,#51823); #34196=LINE('',#238841,#51824); #34197=LINE('',#238845,#51825); #34198=LINE('',#238851,#51826); #34199=LINE('',#238907,#51827); #34200=LINE('',#238960,#51828); #34201=LINE('',#239013,#51829); #34202=LINE('',#239066,#51830); #34203=LINE('',#239119,#51831); #34204=LINE('',#239172,#51832); #34205=LINE('',#239225,#51833); #34206=LINE('',#239278,#51834); #34207=LINE('',#239333,#51835); #34208=LINE('',#239386,#51836); #34209=LINE('',#239439,#51837); #34210=LINE('',#239492,#51838); #34211=LINE('',#239545,#51839); #34212=LINE('',#239598,#51840); #34213=LINE('',#239651,#51841); #34214=LINE('',#239704,#51842); #34215=LINE('',#239742,#51843); #34216=LINE('',#239767,#51844); #34217=LINE('',#239808,#51845); #34218=LINE('',#239884,#51846); #34219=LINE('',#239962,#51847); #34220=LINE('',#240038,#51848); #34221=LINE('',#240114,#51849); #34222=LINE('',#240192,#51850); #34223=LINE('',#240268,#51851); #34224=LINE('',#240344,#51852); #34225=LINE('',#240420,#51853); #34226=LINE('',#240498,#51854); #34227=LINE('',#240574,#51855); #34228=LINE('',#240650,#51856); #34229=LINE('',#240728,#51857); #34230=LINE('',#240804,#51858); #34231=LINE('',#240881,#51859); #34232=LINE('',#240908,#51860); #34233=LINE('',#241072,#51861); #34234=LINE('',#241148,#51862); #34235=LINE('',#241215,#51863); #34236=LINE('',#241223,#51864); #34237=LINE('',#241232,#51865); #34238=LINE('',#241243,#51866); #34239=LINE('',#241246,#51867); #34240=LINE('',#241249,#51868); #34241=LINE('',#241252,#51869); #34242=LINE('',#241255,#51870); #34243=LINE('',#241258,#51871); #34244=LINE('',#241261,#51872); #34245=LINE('',#241264,#51873); #34246=LINE('',#241267,#51874); #34247=LINE('',#241270,#51875); #34248=LINE('',#241274,#51876); #34249=LINE('',#241275,#51877); #34250=LINE('',#241276,#51878); #34251=LINE('',#241278,#51879); #34252=LINE('',#241279,#51880); #34253=LINE('',#241281,#51881); #34254=LINE('',#241282,#51882); #34255=LINE('',#241284,#51883); #34256=LINE('',#241285,#51884); #34257=LINE('',#241287,#51885); #34258=LINE('',#241288,#51886); #34259=LINE('',#241290,#51887); #34260=LINE('',#241292,#51888); #34261=LINE('',#241293,#51889); #34262=LINE('',#242194,#51890); #34263=LINE('',#242197,#51891); #34264=LINE('',#242202,#51892); #34265=LINE('',#242208,#51893); #34266=LINE('',#242214,#51894); #34267=LINE('',#242220,#51895); #34268=LINE('',#242226,#51896); #34269=LINE('',#242230,#51897); #34270=LINE('',#242232,#51898); #34271=LINE('',#242233,#51899); #34272=LINE('',#242238,#51900); #34273=LINE('',#242244,#51901); #34274=LINE('',#242250,#51902); #34275=LINE('',#242256,#51903); #34276=LINE('',#242262,#51904); #34277=LINE('',#242268,#51905); #34278=LINE('',#242271,#51906); #34279=LINE('',#242272,#51907); #34280=LINE('',#242278,#51908); #34281=LINE('',#242281,#51909); #34282=LINE('',#242284,#51910); #34283=LINE('',#242286,#51911); #34284=LINE('',#242287,#51912); #34285=LINE('',#242292,#51913); #34286=LINE('',#242298,#51914); #34287=LINE('',#242304,#51915); #34288=LINE('',#242310,#51916); #34289=LINE('',#242316,#51917); #34290=LINE('',#242322,#51918); #34291=LINE('',#242328,#51919); #34292=LINE('',#242332,#51920); #34293=LINE('',#242334,#51921); #34294=LINE('',#242335,#51922); #34295=LINE('',#242340,#51923); #34296=LINE('',#242346,#51924); #34297=LINE('',#242352,#51925); #34298=LINE('',#242358,#51926); #34299=LINE('',#242364,#51927); #34300=LINE('',#242373,#51928); #34301=LINE('',#242375,#51929); #34302=LINE('',#242377,#51930); #34303=LINE('',#242378,#51931); #34304=LINE('',#242381,#51932); #34305=LINE('',#242383,#51933); #34306=LINE('',#242384,#51934); #34307=LINE('',#242387,#51935); #34308=LINE('',#242389,#51936); #34309=LINE('',#242390,#51937); #34310=LINE('',#242392,#51938); #34311=LINE('',#242393,#51939); #34312=LINE('',#242398,#51940); #34313=LINE('',#242400,#51941); #34314=LINE('',#242402,#51942); #34315=LINE('',#242403,#51943); #34316=LINE('',#242406,#51944); #34317=LINE('',#242408,#51945); #34318=LINE('',#242409,#51946); #34319=LINE('',#242412,#51947); #34320=LINE('',#242414,#51948); #34321=LINE('',#242415,#51949); #34322=LINE('',#242417,#51950); #34323=LINE('',#242418,#51951); #34324=LINE('',#242424,#51952); #34325=LINE('',#242427,#51953); #34326=LINE('',#242430,#51954); #34327=LINE('',#242432,#51955); #34328=LINE('',#242433,#51956); #34329=LINE('',#242436,#51957); #34330=LINE('',#242438,#51958); #34331=LINE('',#242439,#51959); #34332=LINE('',#242442,#51960); #34333=LINE('',#242444,#51961); #34334=LINE('',#242445,#51962); #34335=LINE('',#242450,#51963); #34336=LINE('',#242456,#51964); #34337=LINE('',#242462,#51965); #34338=LINE('',#242468,#51966); #34339=LINE('',#242472,#51967); #34340=LINE('',#242474,#51968); #34341=LINE('',#242475,#51969); #34342=LINE('',#242478,#51970); #34343=LINE('',#242480,#51971); #34344=LINE('',#242481,#51972); #34345=LINE('',#242484,#51973); #34346=LINE('',#242486,#51974); #34347=LINE('',#242487,#51975); #34348=LINE('',#242492,#51976); #34349=LINE('',#242498,#51977); #34350=LINE('',#242504,#51978); #34351=LINE('',#242510,#51979); #34352=LINE('',#242514,#51980); #34353=LINE('',#242516,#51981); #34354=LINE('',#242517,#51982); #34355=LINE('',#242522,#51983); #34356=LINE('',#242528,#51984); #34357=LINE('',#242534,#51985); #34358=LINE('',#242540,#51986); #34359=LINE('',#242544,#51987); #34360=LINE('',#242546,#51988); #34361=LINE('',#242547,#51989); #34362=LINE('',#242550,#51990); #34363=LINE('',#242552,#51991); #34364=LINE('',#242553,#51992); #34365=LINE('',#242556,#51993); #34366=LINE('',#242558,#51994); #34367=LINE('',#242559,#51995); #34368=LINE('',#242562,#51996); #34369=LINE('',#242564,#51997); #34370=LINE('',#242565,#51998); #34371=LINE('',#242568,#51999); #34372=LINE('',#242570,#52000); #34373=LINE('',#242571,#52001); #34374=LINE('',#242576,#52002); #34375=LINE('',#242582,#52003); #34376=LINE('',#242588,#52004); #34377=LINE('',#242594,#52005); #34378=LINE('',#242598,#52006); #34379=LINE('',#242600,#52007); #34380=LINE('',#242601,#52008); #34381=LINE('',#242606,#52009); #34382=LINE('',#242612,#52010); #34383=LINE('',#242621,#52011); #34384=LINE('',#242623,#52012); #34385=LINE('',#242625,#52013); #34386=LINE('',#242626,#52014); #34387=LINE('',#242631,#52015); #34388=LINE('',#242637,#52016); #34389=LINE('',#242643,#52017); #34390=LINE('',#242649,#52018); #34391=LINE('',#242653,#52019); #34392=LINE('',#242655,#52020); #34393=LINE('',#242656,#52021); #34394=LINE('',#242659,#52022); #34395=LINE('',#242661,#52023); #34396=LINE('',#242662,#52024); #34397=LINE('',#242665,#52025); #34398=LINE('',#242667,#52026); #34399=LINE('',#242668,#52027); #34400=LINE('',#242673,#52028); #34401=LINE('',#242679,#52029); #34402=LINE('',#242685,#52030); #34403=LINE('',#242691,#52031); #34404=LINE('',#242697,#52032); #34405=LINE('',#242701,#52033); #34406=LINE('',#242703,#52034); #34407=LINE('',#242704,#52035); #34408=LINE('',#242707,#52036); #34409=LINE('',#242709,#52037); #34410=LINE('',#242710,#52038); #34411=LINE('',#242713,#52039); #34412=LINE('',#242715,#52040); #34413=LINE('',#242716,#52041); #34414=LINE('',#242721,#52042); #34415=LINE('',#242727,#52043); #34416=LINE('',#242733,#52044); #34417=LINE('',#242742,#52045); #34418=LINE('',#242744,#52046); #34419=LINE('',#242746,#52047); #34420=LINE('',#242747,#52048); #34421=LINE('',#242750,#52049); #34422=LINE('',#242752,#52050); #34423=LINE('',#242753,#52051); #34424=LINE('',#242758,#52052); #34425=LINE('',#242764,#52053); #34426=LINE('',#242770,#52054); #34427=LINE('',#242776,#52055); #34428=LINE('',#242780,#52056); #34429=LINE('',#242782,#52057); #34430=LINE('',#242783,#52058); #34431=LINE('',#242788,#52059); #34432=LINE('',#242794,#52060); #34433=LINE('',#242800,#52061); #34434=LINE('',#242803,#52062); #34435=LINE('',#242804,#52063); #34436=LINE('',#242810,#52064); #34437=LINE('',#242813,#52065); #34438=LINE('',#242818,#52066); #34439=LINE('',#242824,#52067); #34440=LINE('',#242828,#52068); #34441=LINE('',#242830,#52069); #34442=LINE('',#242831,#52070); #34443=LINE('',#242836,#52071); #34444=LINE('',#242842,#52072); #34445=LINE('',#242848,#52073); #34446=LINE('',#242854,#52074); #34447=LINE('',#242858,#52075); #34448=LINE('',#242860,#52076); #34449=LINE('',#242861,#52077); #34450=LINE('',#242866,#52078); #34451=LINE('',#242872,#52079); #34452=LINE('',#242878,#52080); #34453=LINE('',#242884,#52081); #34454=LINE('',#242888,#52082); #34455=LINE('',#242890,#52083); #34456=LINE('',#242891,#52084); #34457=LINE('',#242894,#52085); #34458=LINE('',#242896,#52086); #34459=LINE('',#242897,#52087); #34460=LINE('',#242900,#52088); #34461=LINE('',#242902,#52089); #34462=LINE('',#242903,#52090); #34463=LINE('',#242908,#52091); #34464=LINE('',#242914,#52092); #34465=LINE('',#242920,#52093); #34466=LINE('',#242926,#52094); #34467=LINE('',#242929,#52095); #34468=LINE('',#242930,#52096); #34469=LINE('',#242937,#52097); #34470=LINE('',#242940,#52098); #34471=LINE('',#242943,#52099); #34472=LINE('',#242945,#52100); #34473=LINE('',#242946,#52101); #34474=LINE('',#242949,#52102); #34475=LINE('',#242951,#52103); #34476=LINE('',#242952,#52104); #34477=LINE('',#242955,#52105); #34478=LINE('',#242957,#52106); #34479=LINE('',#242958,#52107); #34480=LINE('',#242961,#52108); #34481=LINE('',#242963,#52109); #34482=LINE('',#242964,#52110); #34483=LINE('',#242969,#52111); #34484=LINE('',#242975,#52112); #34485=LINE('',#242981,#52113); #34486=LINE('',#242987,#52114); #34487=LINE('',#242991,#52115); #34488=LINE('',#242993,#52116); #34489=LINE('',#242994,#52117); #34490=LINE('',#242997,#52118); #34491=LINE('',#242999,#52119); #34492=LINE('',#243000,#52120); #34493=LINE('',#243003,#52121); #34494=LINE('',#243005,#52122); #34495=LINE('',#243006,#52123); #34496=LINE('',#243011,#52124); #34497=LINE('',#243017,#52125); #34498=LINE('',#243023,#52126); #34499=LINE('',#243029,#52127); #34500=LINE('',#243033,#52128); #34501=LINE('',#243035,#52129); #34502=LINE('',#243036,#52130); #34503=LINE('',#243041,#52131); #34504=LINE('',#243047,#52132); #34505=LINE('',#243053,#52133); #34506=LINE('',#243059,#52134); #34507=LINE('',#243063,#52135); #34508=LINE('',#243065,#52136); #34509=LINE('',#243066,#52137); #34510=LINE('',#243069,#52138); #34511=LINE('',#243071,#52139); #34512=LINE('',#243072,#52140); #34513=LINE('',#243075,#52141); #34514=LINE('',#243077,#52142); #34515=LINE('',#243078,#52143); #34516=LINE('',#243081,#52144); #34517=LINE('',#243083,#52145); #34518=LINE('',#243084,#52146); #34519=LINE('',#243087,#52147); #34520=LINE('',#243089,#52148); #34521=LINE('',#243090,#52149); #34522=LINE('',#243093,#52150); #34523=LINE('',#243095,#52151); #34524=LINE('',#243096,#52152); #34525=LINE('',#243101,#52153); #34526=LINE('',#243107,#52154); #34527=LINE('',#243113,#52155); #34528=LINE('',#243119,#52156); #34529=LINE('',#243123,#52157); #34530=LINE('',#243125,#52158); #34531=LINE('',#243126,#52159); #34532=LINE('',#243131,#52160); #34533=LINE('',#243137,#52161); #34534=LINE('',#243146,#52162); #34535=LINE('',#243148,#52163); #34536=LINE('',#243150,#52164); #34537=LINE('',#243151,#52165); #34538=LINE('',#243154,#52166); #34539=LINE('',#243156,#52167); #34540=LINE('',#243157,#52168); #34541=LINE('',#243160,#52169); #34542=LINE('',#243162,#52170); #34543=LINE('',#243163,#52171); #34544=LINE('',#243165,#52172); #34545=LINE('',#243166,#52173); #34546=LINE('',#243171,#52174); #34547=LINE('',#243173,#52175); #34548=LINE('',#243175,#52176); #34549=LINE('',#243176,#52177); #34550=LINE('',#243179,#52178); #34551=LINE('',#243181,#52179); #34552=LINE('',#243182,#52180); #34553=LINE('',#243185,#52181); #34554=LINE('',#243187,#52182); #34555=LINE('',#243188,#52183); #34556=LINE('',#243191,#52184); #34557=LINE('',#243193,#52185); #34558=LINE('',#243194,#52186); #34559=LINE('',#243197,#52187); #34560=LINE('',#243199,#52188); #34561=LINE('',#243200,#52189); #34562=LINE('',#243202,#52190); #34563=LINE('',#243203,#52191); #34564=LINE('',#243204,#52192); #34565=LINE('',#243209,#52193); #34566=LINE('',#243211,#52194); #34567=LINE('',#243213,#52195); #34568=LINE('',#243214,#52196); #34569=LINE('',#243217,#52197); #34570=LINE('',#243219,#52198); #34571=LINE('',#243220,#52199); #34572=LINE('',#243223,#52200); #34573=LINE('',#243225,#52201); #34574=LINE('',#243226,#52202); #34575=LINE('',#243228,#52203); #34576=LINE('',#243229,#52204); #34577=LINE('',#243230,#52205); #34578=LINE('',#243235,#52206); #34579=LINE('',#243237,#52207); #34580=LINE('',#243239,#52208); #34581=LINE('',#243240,#52209); #34582=LINE('',#243243,#52210); #34583=LINE('',#243245,#52211); #34584=LINE('',#243246,#52212); #34585=LINE('',#243249,#52213); #34586=LINE('',#243251,#52214); #34587=LINE('',#243252,#52215); #34588=LINE('',#243254,#52216); #34589=LINE('',#243255,#52217); #34590=LINE('',#243256,#52218); #34591=LINE('',#243261,#52219); #34592=LINE('',#243263,#52220); #34593=LINE('',#243265,#52221); #34594=LINE('',#243266,#52222); #34595=LINE('',#243269,#52223); #34596=LINE('',#243271,#52224); #34597=LINE('',#243272,#52225); #34598=LINE('',#243275,#52226); #34599=LINE('',#243277,#52227); #34600=LINE('',#243278,#52228); #34601=LINE('',#243279,#52229); #34602=LINE('',#243281,#52230); #34603=LINE('',#243282,#52231); #34604=LINE('',#243285,#52232); #34605=LINE('',#243287,#52233); #34606=LINE('',#243288,#52234); #34607=LINE('',#243291,#52235); #34608=LINE('',#243293,#52236); #34609=LINE('',#243294,#52237); #34610=LINE('',#243297,#52238); #34611=LINE('',#243299,#52239); #34612=LINE('',#243300,#52240); #34613=LINE('',#243303,#52241); #34614=LINE('',#243305,#52242); #34615=LINE('',#243306,#52243); #34616=LINE('',#243309,#52244); #34617=LINE('',#243311,#52245); #34618=LINE('',#243312,#52246); #34619=LINE('',#243314,#52247); #34620=LINE('',#243315,#52248); #34621=LINE('',#243318,#52249); #34622=LINE('',#243320,#52250); #34623=LINE('',#243321,#52251); #34624=LINE('',#243324,#52252); #34625=LINE('',#243326,#52253); #34626=LINE('',#243327,#52254); #34627=LINE('',#243330,#52255); #34628=LINE('',#243332,#52256); #34629=LINE('',#243333,#52257); #34630=LINE('',#243335,#52258); #34631=LINE('',#243336,#52259); #34632=LINE('',#243341,#52260); #34633=LINE('',#243343,#52261); #34634=LINE('',#243345,#52262); #34635=LINE('',#243346,#52263); #34636=LINE('',#243349,#52264); #34637=LINE('',#243351,#52265); #34638=LINE('',#243352,#52266); #34639=LINE('',#243355,#52267); #34640=LINE('',#243357,#52268); #34641=LINE('',#243358,#52269); #34642=LINE('',#243359,#52270); #34643=LINE('',#243361,#52271); #34644=LINE('',#243362,#52272); #34645=LINE('',#243363,#52273); #34646=LINE('',#243368,#52274); #34647=LINE('',#243370,#52275); #34648=LINE('',#243372,#52276); #34649=LINE('',#243373,#52277); #34650=LINE('',#243376,#52278); #34651=LINE('',#243378,#52279); #34652=LINE('',#243379,#52280); #34653=LINE('',#243382,#52281); #34654=LINE('',#243384,#52282); #34655=LINE('',#243385,#52283); #34656=LINE('',#243387,#52284); #34657=LINE('',#243388,#52285); #34658=LINE('',#243389,#52286); #34659=LINE('',#243394,#52287); #34660=LINE('',#243396,#52288); #34661=LINE('',#243398,#52289); #34662=LINE('',#243399,#52290); #34663=LINE('',#243402,#52291); #34664=LINE('',#243404,#52292); #34665=LINE('',#243405,#52293); #34666=LINE('',#243408,#52294); #34667=LINE('',#243410,#52295); #34668=LINE('',#243411,#52296); #34669=LINE('',#243414,#52297); #34670=LINE('',#243416,#52298); #34671=LINE('',#243417,#52299); #34672=LINE('',#243418,#52300); #34673=LINE('',#243421,#52301); #34674=LINE('',#243423,#52302); #34675=LINE('',#243424,#52303); #34676=LINE('',#243426,#52304); #34677=LINE('',#243427,#52305); #34678=LINE('',#243430,#52306); #34679=LINE('',#243432,#52307); #34680=LINE('',#243433,#52308); #34681=LINE('',#243436,#52309); #34682=LINE('',#243438,#52310); #34683=LINE('',#243439,#52311); #34684=LINE('',#243441,#52312); #34685=LINE('',#243442,#52313); #34686=LINE('',#243445,#52314); #34687=LINE('',#243447,#52315); #34688=LINE('',#243448,#52316); #34689=LINE('',#243451,#52317); #34690=LINE('',#243453,#52318); #34691=LINE('',#243454,#52319); #34692=LINE('',#243456,#52320); #34693=LINE('',#243457,#52321); #34694=LINE('',#243462,#52322); #34695=LINE('',#243464,#52323); #34696=LINE('',#243466,#52324); #34697=LINE('',#243467,#52325); #34698=LINE('',#243469,#52326); #34699=LINE('',#243470,#52327); #34700=LINE('',#243473,#52328); #34701=LINE('',#243475,#52329); #34702=LINE('',#243476,#52330); #34703=LINE('',#243478,#52331); #34704=LINE('',#243479,#52332); #34705=LINE('',#243480,#52333); #34706=LINE('',#243485,#52334); #34707=LINE('',#243487,#52335); #34708=LINE('',#243489,#52336); #34709=LINE('',#243490,#52337); #34710=LINE('',#243493,#52338); #34711=LINE('',#243495,#52339); #34712=LINE('',#243496,#52340); #34713=LINE('',#243499,#52341); #34714=LINE('',#243501,#52342); #34715=LINE('',#243502,#52343); #34716=LINE('',#243505,#52344); #34717=LINE('',#243507,#52345); #34718=LINE('',#243508,#52346); #34719=LINE('',#243511,#52347); #34720=LINE('',#243513,#52348); #34721=LINE('',#243514,#52349); #34722=LINE('',#243516,#52350); #34723=LINE('',#243517,#52351); #34724=LINE('',#243518,#52352); #34725=LINE('',#243521,#52353); #34726=LINE('',#243523,#52354); #34727=LINE('',#243524,#52355); #34728=LINE('',#243526,#52356); #34729=LINE('',#243527,#52357); #34730=LINE('',#243532,#52358); #34731=LINE('',#243534,#52359); #34732=LINE('',#243536,#52360); #34733=LINE('',#243537,#52361); #34734=LINE('',#243539,#52362); #34735=LINE('',#243540,#52363); #34736=LINE('',#243543,#52364); #34737=LINE('',#243545,#52365); #34738=LINE('',#243546,#52366); #34739=LINE('',#243548,#52367); #34740=LINE('',#243549,#52368); #34741=LINE('',#243550,#52369); #34742=LINE('',#243555,#52370); #34743=LINE('',#243557,#52371); #34744=LINE('',#243559,#52372); #34745=LINE('',#243560,#52373); #34746=LINE('',#243563,#52374); #34747=LINE('',#243565,#52375); #34748=LINE('',#243566,#52376); #34749=LINE('',#243569,#52377); #34750=LINE('',#243571,#52378); #34751=LINE('',#243572,#52379); #34752=LINE('',#243573,#52380); #34753=LINE('',#243575,#52381); #34754=LINE('',#243576,#52382); #34755=LINE('',#243577,#52383); #34756=LINE('',#243581,#52384); #34757=LINE('',#243583,#52385); #34758=LINE('',#243584,#52386); #34759=LINE('',#243586,#52387); #34760=LINE('',#243587,#52388); #34761=LINE('',#243590,#52389); #34762=LINE('',#243592,#52390); #34763=LINE('',#243593,#52391); #34764=LINE('',#243596,#52392); #34765=LINE('',#243598,#52393); #34766=LINE('',#243599,#52394); #34767=LINE('',#243602,#52395); #34768=LINE('',#243604,#52396); #34769=LINE('',#243605,#52397); #34770=LINE('',#243608,#52398); #34771=LINE('',#243610,#52399); #34772=LINE('',#243611,#52400); #34773=LINE('',#243612,#52401); #34774=LINE('',#243615,#52402); #34775=LINE('',#243617,#52403); #34776=LINE('',#243618,#52404); #34777=LINE('',#243621,#52405); #34778=LINE('',#243623,#52406); #34779=LINE('',#243624,#52407); #34780=LINE('',#243625,#52408); #34781=LINE('',#243628,#52409); #34782=LINE('',#243630,#52410); #34783=LINE('',#243631,#52411); #34784=LINE('',#243633,#52412); #34785=LINE('',#243634,#52413); #34786=LINE('',#243637,#52414); #34787=LINE('',#243639,#52415); #34788=LINE('',#243640,#52416); #34789=LINE('',#243642,#52417); #34790=LINE('',#243643,#52418); #34791=LINE('',#243648,#52419); #34792=LINE('',#243650,#52420); #34793=LINE('',#243652,#52421); #34794=LINE('',#243653,#52422); #34795=LINE('',#243655,#52423); #34796=LINE('',#243656,#52424); #34797=LINE('',#243657,#52425); #34798=LINE('',#243660,#52426); #34799=LINE('',#243662,#52427); #34800=LINE('',#243663,#52428); #34801=LINE('',#243665,#52429); #34802=LINE('',#243666,#52430); #34803=LINE('',#243671,#52431); #34804=LINE('',#243673,#52432); #34805=LINE('',#243675,#52433); #34806=LINE('',#243676,#52434); #34807=LINE('',#243679,#52435); #34808=LINE('',#243681,#52436); #34809=LINE('',#243682,#52437); #34810=LINE('',#243685,#52438); #34811=LINE('',#243687,#52439); #34812=LINE('',#243688,#52440); #34813=LINE('',#243691,#52441); #34814=LINE('',#243693,#52442); #34815=LINE('',#243694,#52443); #34816=LINE('',#243696,#52444); #34817=LINE('',#243697,#52445); #34818=LINE('',#243699,#52446); #34819=LINE('',#243700,#52447); #34820=LINE('',#243703,#52448); #34821=LINE('',#243705,#52449); #34822=LINE('',#243706,#52450); #34823=LINE('',#243709,#52451); #34824=LINE('',#243711,#52452); #34825=LINE('',#243712,#52453); #34826=LINE('',#243715,#52454); #34827=LINE('',#243717,#52455); #34828=LINE('',#243718,#52456); #34829=LINE('',#243721,#52457); #34830=LINE('',#243723,#52458); #34831=LINE('',#243724,#52459); #34832=LINE('',#243727,#52460); #34833=LINE('',#243729,#52461); #34834=LINE('',#243730,#52462); #34835=LINE('',#243731,#52463); #34836=LINE('',#243733,#52464); #34837=LINE('',#243734,#52465); #34838=LINE('',#243735,#52466); #34839=LINE('',#243740,#52467); #34840=LINE('',#243742,#52468); #34841=LINE('',#243744,#52469); #34842=LINE('',#243745,#52470); #34843=LINE('',#243748,#52471); #34844=LINE('',#243750,#52472); #34845=LINE('',#243751,#52473); #34846=LINE('',#243752,#52474); #34847=LINE('',#243755,#52475); #34848=LINE('',#243757,#52476); #34849=LINE('',#243758,#52477); #34850=LINE('',#243760,#52478); #34851=LINE('',#243761,#52479); #34852=LINE('',#243766,#52480); #34853=LINE('',#243768,#52481); #34854=LINE('',#243770,#52482); #34855=LINE('',#243771,#52483); #34856=LINE('',#243774,#52484); #34857=LINE('',#243776,#52485); #34858=LINE('',#243777,#52486); #34859=LINE('',#243780,#52487); #34860=LINE('',#243782,#52488); #34861=LINE('',#243783,#52489); #34862=LINE('',#243785,#52490); #34863=LINE('',#243786,#52491); #34864=LINE('',#243789,#52492); #34865=LINE('',#243791,#52493); #34866=LINE('',#243792,#52494); #34867=LINE('',#243793,#52495); #34868=LINE('',#243796,#52496); #34869=LINE('',#243798,#52497); #34870=LINE('',#243799,#52498); #34871=LINE('',#243802,#52499); #34872=LINE('',#243804,#52500); #34873=LINE('',#243805,#52501); #34874=LINE('',#243808,#52502); #34875=LINE('',#243810,#52503); #34876=LINE('',#243811,#52504); #34877=LINE('',#243814,#52505); #34878=LINE('',#243816,#52506); #34879=LINE('',#243817,#52507); #34880=LINE('',#243820,#52508); #34881=LINE('',#243822,#52509); #34882=LINE('',#243823,#52510); #34883=LINE('',#243826,#52511); #34884=LINE('',#243828,#52512); #34885=LINE('',#243829,#52513); #34886=LINE('',#243832,#52514); #34887=LINE('',#243834,#52515); #34888=LINE('',#243835,#52516); #34889=LINE('',#243838,#52517); #34890=LINE('',#243840,#52518); #34891=LINE('',#243841,#52519); #34892=LINE('',#243842,#52520); #34893=LINE('',#243845,#52521); #34894=LINE('',#243847,#52522); #34895=LINE('',#243848,#52523); #34896=LINE('',#243851,#52524); #34897=LINE('',#243853,#52525); #34898=LINE('',#243854,#52526); #34899=LINE('',#243856,#52527); #34900=LINE('',#243857,#52528); #34901=LINE('',#243860,#52529); #34902=LINE('',#243862,#52530); #34903=LINE('',#243863,#52531); #34904=LINE('',#243866,#52532); #34905=LINE('',#243868,#52533); #34906=LINE('',#243869,#52534); #34907=LINE('',#243872,#52535); #34908=LINE('',#243874,#52536); #34909=LINE('',#243875,#52537); #34910=LINE('',#243878,#52538); #34911=LINE('',#243880,#52539); #34912=LINE('',#243881,#52540); #34913=LINE('',#243884,#52541); #34914=LINE('',#243886,#52542); #34915=LINE('',#243887,#52543); #34916=LINE('',#243889,#52544); #34917=LINE('',#243890,#52545); #34918=LINE('',#243893,#52546); #34919=LINE('',#243895,#52547); #34920=LINE('',#243896,#52548); #34921=LINE('',#243899,#52549); #34922=LINE('',#243901,#52550); #34923=LINE('',#243902,#52551); #34924=LINE('',#243904,#52552); #34925=LINE('',#243905,#52553); #34926=LINE('',#243908,#52554); #34927=LINE('',#243910,#52555); #34928=LINE('',#243911,#52556); #34929=LINE('',#243914,#52557); #34930=LINE('',#243916,#52558); #34931=LINE('',#243917,#52559); #34932=LINE('',#243920,#52560); #34933=LINE('',#243922,#52561); #34934=LINE('',#243923,#52562); #34935=LINE('',#243926,#52563); #34936=LINE('',#243928,#52564); #34937=LINE('',#243929,#52565); #34938=LINE('',#243931,#52566); #34939=LINE('',#243932,#52567); #34940=LINE('',#243937,#52568); #34941=LINE('',#243939,#52569); #34942=LINE('',#243941,#52570); #34943=LINE('',#243942,#52571); #34944=LINE('',#243945,#52572); #34945=LINE('',#243947,#52573); #34946=LINE('',#243948,#52574); #34947=LINE('',#243950,#52575); #34948=LINE('',#243951,#52576); #34949=LINE('',#243953,#52577); #34950=LINE('',#243954,#52578); #34951=LINE('',#243959,#52579); #34952=LINE('',#243961,#52580); #34953=LINE('',#243963,#52581); #34954=LINE('',#243964,#52582); #34955=LINE('',#243967,#52583); #34956=LINE('',#243969,#52584); #34957=LINE('',#243970,#52585); #34958=LINE('',#243971,#52586); #34959=LINE('',#243974,#52587); #34960=LINE('',#243976,#52588); #34961=LINE('',#243977,#52589); #34962=LINE('',#243979,#52590); #34963=LINE('',#243980,#52591); #34964=LINE('',#243985,#52592); #34965=LINE('',#243987,#52593); #34966=LINE('',#243989,#52594); #34967=LINE('',#243990,#52595); #34968=LINE('',#243993,#52596); #34969=LINE('',#243995,#52597); #34970=LINE('',#243996,#52598); #34971=LINE('',#243999,#52599); #34972=LINE('',#244001,#52600); #34973=LINE('',#244002,#52601); #34974=LINE('',#244003,#52602); #34975=LINE('',#244005,#52603); #34976=LINE('',#244006,#52604); #34977=LINE('',#244009,#52605); #34978=LINE('',#244011,#52606); #34979=LINE('',#244012,#52607); #34980=LINE('',#244015,#52608); #34981=LINE('',#244017,#52609); #34982=LINE('',#244018,#52610); #34983=LINE('',#244020,#52611); #34984=LINE('',#244021,#52612); #34985=LINE('',#244024,#52613); #34986=LINE('',#244026,#52614); #34987=LINE('',#244027,#52615); #34988=LINE('',#244030,#52616); #34989=LINE('',#244032,#52617); #34990=LINE('',#244033,#52618); #34991=LINE('',#244035,#52619); #34992=LINE('',#244036,#52620); #34993=LINE('',#244039,#52621); #34994=LINE('',#244041,#52622); #34995=LINE('',#244042,#52623); #34996=LINE('',#244045,#52624); #34997=LINE('',#244047,#52625); #34998=LINE('',#244048,#52626); #34999=LINE('',#244050,#52627); #35000=LINE('',#244051,#52628); #35001=LINE('',#244053,#52629); #35002=LINE('',#244054,#52630); #35003=LINE('',#244059,#52631); #35004=LINE('',#244061,#52632); #35005=LINE('',#244063,#52633); #35006=LINE('',#244064,#52634); #35007=LINE('',#244067,#52635); #35008=LINE('',#244069,#52636); #35009=LINE('',#244070,#52637); #35010=LINE('',#244073,#52638); #35011=LINE('',#244075,#52639); #35012=LINE('',#244076,#52640); #35013=LINE('',#244079,#52641); #35014=LINE('',#244081,#52642); #35015=LINE('',#244082,#52643); #35016=LINE('',#244084,#52644); #35017=LINE('',#244085,#52645); #35018=LINE('',#244087,#52646); #35019=LINE('',#244088,#52647); #35020=LINE('',#244093,#52648); #35021=LINE('',#244095,#52649); #35022=LINE('',#244097,#52650); #35023=LINE('',#244098,#52651); #35024=LINE('',#244101,#52652); #35025=LINE('',#244103,#52653); #35026=LINE('',#244104,#52654); #35027=LINE('',#244107,#52655); #35028=LINE('',#244109,#52656); #35029=LINE('',#244110,#52657); #35030=LINE('',#244113,#52658); #35031=LINE('',#244115,#52659); #35032=LINE('',#244116,#52660); #35033=LINE('',#244119,#52661); #35034=LINE('',#244121,#52662); #35035=LINE('',#244122,#52663); #35036=LINE('',#244125,#52664); #35037=LINE('',#244127,#52665); #35038=LINE('',#244128,#52666); #35039=LINE('',#244131,#52667); #35040=LINE('',#244133,#52668); #35041=LINE('',#244134,#52669); #35042=LINE('',#244137,#52670); #35043=LINE('',#244139,#52671); #35044=LINE('',#244140,#52672); #35045=LINE('',#244143,#52673); #35046=LINE('',#244145,#52674); #35047=LINE('',#244146,#52675); #35048=LINE('',#244149,#52676); #35049=LINE('',#244151,#52677); #35050=LINE('',#244152,#52678); #35051=LINE('',#244155,#52679); #35052=LINE('',#244157,#52680); #35053=LINE('',#244158,#52681); #35054=LINE('',#244161,#52682); #35055=LINE('',#244163,#52683); #35056=LINE('',#244164,#52684); #35057=LINE('',#244167,#52685); #35058=LINE('',#244169,#52686); #35059=LINE('',#244170,#52687); #35060=LINE('',#244173,#52688); #35061=LINE('',#244175,#52689); #35062=LINE('',#244176,#52690); #35063=LINE('',#244179,#52691); #35064=LINE('',#244181,#52692); #35065=LINE('',#244182,#52693); #35066=LINE('',#244185,#52694); #35067=LINE('',#244187,#52695); #35068=LINE('',#244188,#52696); #35069=LINE('',#244191,#52697); #35070=LINE('',#244193,#52698); #35071=LINE('',#244194,#52699); #35072=LINE('',#244197,#52700); #35073=LINE('',#244199,#52701); #35074=LINE('',#244200,#52702); #35075=LINE('',#244201,#52703); #35076=LINE('',#244204,#52704); #35077=LINE('',#244206,#52705); #35078=LINE('',#244207,#52706); #35079=LINE('',#244208,#52707); #35080=LINE('',#244210,#52708); #35081=LINE('',#244211,#52709); #35082=LINE('',#244212,#52710); #35083=LINE('',#244217,#52711); #35084=LINE('',#244219,#52712); #35085=LINE('',#244221,#52713); #35086=LINE('',#244222,#52714); #35087=LINE('',#244224,#52715); #35088=LINE('',#244225,#52716); #35089=LINE('',#244228,#52717); #35090=LINE('',#244230,#52718); #35091=LINE('',#244231,#52719); #35092=LINE('',#244234,#52720); #35093=LINE('',#244236,#52721); #35094=LINE('',#244237,#52722); #35095=LINE('',#244240,#52723); #35096=LINE('',#244242,#52724); #35097=LINE('',#244243,#52725); #35098=LINE('',#244245,#52726); #35099=LINE('',#244246,#52727); #35100=LINE('',#244250,#52728); #35101=LINE('',#244252,#52729); #35102=LINE('',#244253,#52730); #35103=LINE('',#244256,#52731); #35104=LINE('',#244258,#52732); #35105=LINE('',#244259,#52733); #35106=LINE('',#244262,#52734); #35107=LINE('',#244264,#52735); #35108=LINE('',#244265,#52736); #35109=LINE('',#244267,#52737); #35110=LINE('',#244268,#52738); #35111=LINE('',#244273,#52739); #35112=LINE('',#244275,#52740); #35113=LINE('',#244277,#52741); #35114=LINE('',#244278,#52742); #35115=LINE('',#244280,#52743); #35116=LINE('',#244281,#52744); #35117=LINE('',#244284,#52745); #35118=LINE('',#244286,#52746); #35119=LINE('',#244287,#52747); #35120=LINE('',#244289,#52748); #35121=LINE('',#244290,#52749); #35122=LINE('',#244295,#52750); #35123=LINE('',#244297,#52751); #35124=LINE('',#244299,#52752); #35125=LINE('',#244300,#52753); #35126=LINE('',#244303,#52754); #35127=LINE('',#244305,#52755); #35128=LINE('',#244306,#52756); #35129=LINE('',#244309,#52757); #35130=LINE('',#244311,#52758); #35131=LINE('',#244312,#52759); #35132=LINE('',#244314,#52760); #35133=LINE('',#244315,#52761); #35134=LINE('',#244319,#52762); #35135=LINE('',#244321,#52763); #35136=LINE('',#244323,#52764); #35137=LINE('',#244324,#52765); #35138=LINE('',#244327,#52766); #35139=LINE('',#244329,#52767); #35140=LINE('',#244330,#52768); #35141=LINE('',#244333,#52769); #35142=LINE('',#244335,#52770); #35143=LINE('',#244336,#52771); #35144=LINE('',#244338,#52772); #35145=LINE('',#244339,#52773); #35146=LINE('',#244344,#52774); #35147=LINE('',#244346,#52775); #35148=LINE('',#244348,#52776); #35149=LINE('',#244349,#52777); #35150=LINE('',#244352,#52778); #35151=LINE('',#244354,#52779); #35152=LINE('',#244355,#52780); #35153=LINE('',#244358,#52781); #35154=LINE('',#244360,#52782); #35155=LINE('',#244361,#52783); #35156=LINE('',#244364,#52784); #35157=LINE('',#244366,#52785); #35158=LINE('',#244367,#52786); #35159=LINE('',#244370,#52787); #35160=LINE('',#244372,#52788); #35161=LINE('',#244373,#52789); #35162=LINE('',#244378,#52790); #35163=LINE('',#244382,#52791); #35164=LINE('',#244384,#52792); #35165=LINE('',#244385,#52793); #35166=LINE('',#244388,#52794); #35167=LINE('',#244390,#52795); #35168=LINE('',#244391,#52796); #35169=LINE('',#244394,#52797); #35170=LINE('',#244396,#52798); #35171=LINE('',#244397,#52799); #35172=LINE('',#244400,#52800); #35173=LINE('',#244402,#52801); #35174=LINE('',#244403,#52802); #35175=LINE('',#244408,#52803); #35176=LINE('',#244412,#52804); #35177=LINE('',#244414,#52805); #35178=LINE('',#244415,#52806); #35179=LINE('',#244418,#52807); #35180=LINE('',#244420,#52808); #35181=LINE('',#244421,#52809); #35182=LINE('',#244424,#52810); #35183=LINE('',#244426,#52811); #35184=LINE('',#244427,#52812); #35185=LINE('',#244432,#52813); #35186=LINE('',#244436,#52814); #35187=LINE('',#244438,#52815); #35188=LINE('',#244439,#52816); #35189=LINE('',#244442,#52817); #35190=LINE('',#244444,#52818); #35191=LINE('',#244445,#52819); #35192=LINE('',#244448,#52820); #35193=LINE('',#244450,#52821); #35194=LINE('',#244451,#52822); #35195=LINE('',#244454,#52823); #35196=LINE('',#244456,#52824); #35197=LINE('',#244457,#52825); #35198=LINE('',#244462,#52826); #35199=LINE('',#244466,#52827); #35200=LINE('',#244468,#52828); #35201=LINE('',#244469,#52829); #35202=LINE('',#244472,#52830); #35203=LINE('',#244474,#52831); #35204=LINE('',#244475,#52832); #35205=LINE('',#244478,#52833); #35206=LINE('',#244480,#52834); #35207=LINE('',#244481,#52835); #35208=LINE('',#244483,#52836); #35209=LINE('',#244484,#52837); #35210=LINE('',#244489,#52838); #35211=LINE('',#244491,#52839); #35212=LINE('',#244493,#52840); #35213=LINE('',#244494,#52841); #35214=LINE('',#244497,#52842); #35215=LINE('',#244499,#52843); #35216=LINE('',#244500,#52844); #35217=LINE('',#244503,#52845); #35218=LINE('',#244505,#52846); #35219=LINE('',#244506,#52847); #35220=LINE('',#244511,#52848); #35221=LINE('',#244517,#52849); #35222=LINE('',#244523,#52850); #35223=LINE('',#244529,#52851); #35224=LINE('',#244535,#52852); #35225=LINE('',#244538,#52853); #35226=LINE('',#244539,#52854); #35227=LINE('',#244545,#52855); #35228=LINE('',#244548,#52856); #35229=LINE('',#244553,#52857); #35230=LINE('',#244557,#52858); #35231=LINE('',#244559,#52859); #35232=LINE('',#244560,#52860); #35233=LINE('',#244565,#52861); #35234=LINE('',#244571,#52862); #35235=LINE('',#244577,#52863); #35236=LINE('',#244581,#52864); #35237=LINE('',#244583,#52865); #35238=LINE('',#244584,#52866); #35239=LINE('',#244587,#52867); #35240=LINE('',#244589,#52868); #35241=LINE('',#244590,#52869); #35242=LINE('',#244593,#52870); #35243=LINE('',#244595,#52871); #35244=LINE('',#244596,#52872); #35245=LINE('',#244599,#52873); #35246=LINE('',#244601,#52874); #35247=LINE('',#244602,#52875); #35248=LINE('',#244605,#52876); #35249=LINE('',#244607,#52877); #35250=LINE('',#244608,#52878); #35251=LINE('',#244611,#52879); #35252=LINE('',#244613,#52880); #35253=LINE('',#244614,#52881); #35254=LINE('',#244617,#52882); #35255=LINE('',#244619,#52883); #35256=LINE('',#244620,#52884); #35257=LINE('',#244623,#52885); #35258=LINE('',#244625,#52886); #35259=LINE('',#244626,#52887); #35260=LINE('',#244631,#52888); #35261=LINE('',#244637,#52889); #35262=LINE('',#244643,#52890); #35263=LINE('',#244649,#52891); #35264=LINE('',#244655,#52892); #35265=LINE('',#244659,#52893); #35266=LINE('',#244661,#52894); #35267=LINE('',#244662,#52895); #35268=LINE('',#244667,#52896); #35269=LINE('',#244673,#52897); #35270=LINE('',#244677,#52898); #35271=LINE('',#244679,#52899); #35272=LINE('',#244680,#52900); #35273=LINE('',#244683,#52901); #35274=LINE('',#244685,#52902); #35275=LINE('',#244686,#52903); #35276=LINE('',#244689,#52904); #35277=LINE('',#244691,#52905); #35278=LINE('',#244692,#52906); #35279=LINE('',#244695,#52907); #35280=LINE('',#244697,#52908); #35281=LINE('',#244698,#52909); #35282=LINE('',#244701,#52910); #35283=LINE('',#244703,#52911); #35284=LINE('',#244704,#52912); #35285=LINE('',#244707,#52913); #35286=LINE('',#244709,#52914); #35287=LINE('',#244710,#52915); #35288=LINE('',#244713,#52916); #35289=LINE('',#244715,#52917); #35290=LINE('',#244716,#52918); #35291=LINE('',#244721,#52919); #35292=LINE('',#244724,#52920); #35293=LINE('',#244725,#52921); #35294=LINE('',#244730,#52922); #35295=LINE('',#244732,#52923); #35296=LINE('',#244734,#52924); #35297=LINE('',#244735,#52925); #35298=LINE('',#244738,#52926); #35299=LINE('',#244740,#52927); #35300=LINE('',#244741,#52928); #35301=LINE('',#244744,#52929); #35302=LINE('',#244746,#52930); #35303=LINE('',#244747,#52931); #35304=LINE('',#244752,#52932); #35305=LINE('',#244756,#52933); #35306=LINE('',#244758,#52934); #35307=LINE('',#244759,#52935); #35308=LINE('',#244764,#52936); #35309=LINE('',#244768,#52937); #35310=LINE('',#244770,#52938); #35311=LINE('',#244771,#52939); #35312=LINE('',#244774,#52940); #35313=LINE('',#244776,#52941); #35314=LINE('',#244777,#52942); #35315=LINE('',#244780,#52943); #35316=LINE('',#244782,#52944); #35317=LINE('',#244783,#52945); #35318=LINE('',#244786,#52946); #35319=LINE('',#244788,#52947); #35320=LINE('',#244789,#52948); #35321=LINE('',#244791,#52949); #35322=LINE('',#244792,#52950); #35323=LINE('',#244799,#52951); #35324=LINE('',#244802,#52952); #35325=LINE('',#244807,#52953); #35326=LINE('',#244813,#52954); #35327=LINE('',#244819,#52955); #35328=LINE('',#244825,#52956); #35329=LINE('',#244831,#52957); #35330=LINE('',#244837,#52958); #35331=LINE('',#244843,#52959); #35332=LINE('',#244849,#52960); #35333=LINE('',#244852,#52961); #35334=LINE('',#244853,#52962); #35335=LINE('',#244859,#52963); #35336=LINE('',#244862,#52964); #35337=LINE('',#244867,#52965); #35338=LINE('',#244873,#52966); #35339=LINE('',#244879,#52967); #35340=LINE('',#244885,#52968); #35341=LINE('',#244891,#52969); #35342=LINE('',#244895,#52970); #35343=LINE('',#244897,#52971); #35344=LINE('',#244898,#52972); #35345=LINE('',#244903,#52973); #35346=LINE('',#244907,#52974); #35347=LINE('',#244909,#52975); #35348=LINE('',#244910,#52976); #35349=LINE('',#244913,#52977); #35350=LINE('',#244915,#52978); #35351=LINE('',#244916,#52979); #35352=LINE('',#244919,#52980); #35353=LINE('',#244921,#52981); #35354=LINE('',#244922,#52982); #35355=LINE('',#244925,#52983); #35356=LINE('',#244927,#52984); #35357=LINE('',#244928,#52985); #35358=LINE('',#244933,#52986); #35359=LINE('',#244937,#52987); #35360=LINE('',#244939,#52988); #35361=LINE('',#244940,#52989); #35362=LINE('',#244943,#52990); #35363=LINE('',#244945,#52991); #35364=LINE('',#244946,#52992); #35365=LINE('',#244949,#52993); #35366=LINE('',#244951,#52994); #35367=LINE('',#244952,#52995); #35368=LINE('',#244955,#52996); #35369=LINE('',#244957,#52997); #35370=LINE('',#244958,#52998); #35371=LINE('',#244961,#52999); #35372=LINE('',#244963,#53000); #35373=LINE('',#244964,#53001); #35374=LINE('',#244967,#53002); #35375=LINE('',#244969,#53003); #35376=LINE('',#244970,#53004); #35377=LINE('',#244973,#53005); #35378=LINE('',#244975,#53006); #35379=LINE('',#244976,#53007); #35380=LINE('',#244979,#53008); #35381=LINE('',#244981,#53009); #35382=LINE('',#244982,#53010); #35383=LINE('',#244985,#53011); #35384=LINE('',#244987,#53012); #35385=LINE('',#244988,#53013); #35386=LINE('',#244991,#53014); #35387=LINE('',#244993,#53015); #35388=LINE('',#244994,#53016); #35389=LINE('',#244997,#53017); #35390=LINE('',#244999,#53018); #35391=LINE('',#245000,#53019); #35392=LINE('',#245003,#53020); #35393=LINE('',#245005,#53021); #35394=LINE('',#245006,#53022); #35395=LINE('',#245009,#53023); #35396=LINE('',#245011,#53024); #35397=LINE('',#245012,#53025); #35398=LINE('',#245015,#53026); #35399=LINE('',#245017,#53027); #35400=LINE('',#245018,#53028); #35401=LINE('',#245021,#53029); #35402=LINE('',#245023,#53030); #35403=LINE('',#245024,#53031); #35404=LINE('',#245027,#53032); #35405=LINE('',#245029,#53033); #35406=LINE('',#245030,#53034); #35407=LINE('',#245035,#53035); #35408=LINE('',#245039,#53036); #35409=LINE('',#245041,#53037); #35410=LINE('',#245042,#53038); #35411=LINE('',#245047,#53039); #35412=LINE('',#245053,#53040); #35413=LINE('',#245057,#53041); #35414=LINE('',#245059,#53042); #35415=LINE('',#245060,#53043); #35416=LINE('',#245065,#53044); #35417=LINE('',#245069,#53045); #35418=LINE('',#245071,#53046); #35419=LINE('',#245072,#53047); #35420=LINE('',#245075,#53048); #35421=LINE('',#245077,#53049); #35422=LINE('',#245078,#53050); #35423=LINE('',#245088,#53051); #35424=LINE('',#245091,#53052); #35425=LINE('',#245094,#53053); #35426=LINE('',#245096,#53054); #35427=LINE('',#245097,#53055); #35428=LINE('',#245102,#53056); #35429=LINE('',#245106,#53057); #35430=LINE('',#245108,#53058); #35431=LINE('',#245109,#53059); #35432=LINE('',#245114,#53060); #35433=LINE('',#245118,#53061); #35434=LINE('',#245120,#53062); #35435=LINE('',#245121,#53063); #35436=LINE('',#245124,#53064); #35437=LINE('',#245126,#53065); #35438=LINE('',#245127,#53066); #35439=LINE('',#245132,#53067); #35440=LINE('',#245138,#53068); #35441=LINE('',#245144,#53069); #35442=LINE('',#245150,#53070); #35443=LINE('',#245156,#53071); #35444=LINE('',#245162,#53072); #35445=LINE('',#245166,#53073); #35446=LINE('',#245168,#53074); #35447=LINE('',#245169,#53075); #35448=LINE('',#245174,#53076); #35449=LINE('',#245180,#53077); #35450=LINE('',#245186,#53078); #35451=LINE('',#245192,#53079); #35452=LINE('',#245198,#53080); #35453=LINE('',#245202,#53081); #35454=LINE('',#245204,#53082); #35455=LINE('',#245205,#53083); #35456=LINE('',#245208,#53084); #35457=LINE('',#245210,#53085); #35458=LINE('',#245211,#53086); #35459=LINE('',#245214,#53087); #35460=LINE('',#245216,#53088); #35461=LINE('',#245217,#53089); #35462=LINE('',#245220,#53090); #35463=LINE('',#245222,#53091); #35464=LINE('',#245223,#53092); #35465=LINE('',#245226,#53093); #35466=LINE('',#245228,#53094); #35467=LINE('',#245229,#53095); #35468=LINE('',#245232,#53096); #35469=LINE('',#245234,#53097); #35470=LINE('',#245235,#53098); #35471=LINE('',#245238,#53099); #35472=LINE('',#245240,#53100); #35473=LINE('',#245241,#53101); #35474=LINE('',#245246,#53102); #35475=LINE('',#245250,#53103); #35476=LINE('',#245252,#53104); #35477=LINE('',#245253,#53105); #35478=LINE('',#245258,#53106); #35479=LINE('',#245262,#53107); #35480=LINE('',#245264,#53108); #35481=LINE('',#245265,#53109); #35482=LINE('',#245268,#53110); #35483=LINE('',#245270,#53111); #35484=LINE('',#245271,#53112); #35485=LINE('',#245276,#53113); #35486=LINE('',#245282,#53114); #35487=LINE('',#245288,#53115); #35488=LINE('',#245294,#53116); #35489=LINE('',#245300,#53117); #35490=LINE('',#245306,#53118); #35491=LINE('',#245312,#53119); #35492=LINE('',#245316,#53120); #35493=LINE('',#245318,#53121); #35494=LINE('',#245319,#53122); #35495=LINE('',#245324,#53123); #35496=LINE('',#245333,#53124); #35497=LINE('',#245335,#53125); #35498=LINE('',#245337,#53126); #35499=LINE('',#245338,#53127); #35500=LINE('',#245341,#53128); #35501=LINE('',#245343,#53129); #35502=LINE('',#245344,#53130); #35503=LINE('',#245347,#53131); #35504=LINE('',#245349,#53132); #35505=LINE('',#245350,#53133); #35506=LINE('',#245352,#53134); #35507=LINE('',#245353,#53135); #35508=LINE('',#245358,#53136); #35509=LINE('',#245360,#53137); #35510=LINE('',#245362,#53138); #35511=LINE('',#245363,#53139); #35512=LINE('',#245366,#53140); #35513=LINE('',#245368,#53141); #35514=LINE('',#245369,#53142); #35515=LINE('',#245372,#53143); #35516=LINE('',#245374,#53144); #35517=LINE('',#245375,#53145); #35518=LINE('',#245377,#53146); #35519=LINE('',#245378,#53147); #35520=LINE('',#245382,#53148); #35521=LINE('',#245384,#53149); #35522=LINE('',#245386,#53150); #35523=LINE('',#245387,#53151); #35524=LINE('',#245390,#53152); #35525=LINE('',#245392,#53153); #35526=LINE('',#245393,#53154); #35527=LINE('',#245396,#53155); #35528=LINE('',#245398,#53156); #35529=LINE('',#245399,#53157); #35530=LINE('',#245401,#53158); #35531=LINE('',#245402,#53159); #35532=LINE('',#245407,#53160); #35533=LINE('',#245409,#53161); #35534=LINE('',#245411,#53162); #35535=LINE('',#245412,#53163); #35536=LINE('',#245415,#53164); #35537=LINE('',#245417,#53165); #35538=LINE('',#245418,#53166); #35539=LINE('',#245423,#53167); #35540=LINE('',#245429,#53168); #35541=LINE('',#245435,#53169); #35542=LINE('',#245441,#53170); #35543=LINE('',#245447,#53171); #35544=LINE('',#245450,#53172); #35545=LINE('',#245451,#53173); #35546=LINE('',#245457,#53174); #35547=LINE('',#245460,#53175); #35548=LINE('',#245465,#53176); #35549=LINE('',#245471,#53177); #35550=LINE('',#245477,#53178); #35551=LINE('',#245483,#53179); #35552=LINE('',#245489,#53180); #35553=LINE('',#245493,#53181); #35554=LINE('',#245495,#53182); #35555=LINE('',#245496,#53183); #35556=LINE('',#245501,#53184); #35557=LINE('',#245507,#53185); #35558=LINE('',#245513,#53186); #35559=LINE('',#245519,#53187); #35560=LINE('',#245523,#53188); #35561=LINE('',#245525,#53189); #35562=LINE('',#245526,#53190); #35563=LINE('',#245529,#53191); #35564=LINE('',#245531,#53192); #35565=LINE('',#245532,#53193); #35566=LINE('',#245535,#53194); #35567=LINE('',#245537,#53195); #35568=LINE('',#245538,#53196); #35569=LINE('',#245543,#53197); #35570=LINE('',#245549,#53198); #35571=LINE('',#245555,#53199); #35572=LINE('',#245561,#53200); #35573=LINE('',#245565,#53201); #35574=LINE('',#245567,#53202); #35575=LINE('',#245568,#53203); #35576=LINE('',#245573,#53204); #35577=LINE('',#245579,#53205); #35578=LINE('',#245585,#53206); #35579=LINE('',#245591,#53207); #35580=LINE('',#245595,#53208); #35581=LINE('',#245597,#53209); #35582=LINE('',#245598,#53210); #35583=LINE('',#245601,#53211); #35584=LINE('',#245603,#53212); #35585=LINE('',#245604,#53213); #35586=LINE('',#245607,#53214); #35587=LINE('',#245609,#53215); #35588=LINE('',#245610,#53216); #35589=LINE('',#245613,#53217); #35590=LINE('',#245615,#53218); #35591=LINE('',#245616,#53219); #35592=LINE('',#245619,#53220); #35593=LINE('',#245621,#53221); #35594=LINE('',#245622,#53222); #35595=LINE('',#245625,#53223); #35596=LINE('',#245627,#53224); #35597=LINE('',#245628,#53225); #35598=LINE('',#245631,#53226); #35599=LINE('',#245633,#53227); #35600=LINE('',#245634,#53228); #35601=LINE('',#245637,#53229); #35602=LINE('',#245639,#53230); #35603=LINE('',#245640,#53231); #35604=LINE('',#245645,#53232); #35605=LINE('',#245651,#53233); #35606=LINE('',#245657,#53234); #35607=LINE('',#245666,#53235); #35608=LINE('',#245668,#53236); #35609=LINE('',#245670,#53237); #35610=LINE('',#245671,#53238); #35611=LINE('',#245676,#53239); #35612=LINE('',#245682,#53240); #35613=LINE('',#245688,#53241); #35614=LINE('',#245694,#53242); #35615=LINE('',#245698,#53243); #35616=LINE('',#245700,#53244); #35617=LINE('',#245701,#53245); #35618=LINE('',#245706,#53246); #35619=LINE('',#245712,#53247); #35620=LINE('',#245718,#53248); #35621=LINE('',#245724,#53249); #35622=LINE('',#245730,#53250); #35623=LINE('',#245736,#53251); #35624=LINE('',#245742,#53252); #35625=LINE('',#245748,#53253); #35626=LINE('',#245754,#53254); #35627=LINE('',#245760,#53255); #35628=LINE('',#245766,#53256); #35629=LINE('',#245772,#53257); #35630=LINE('',#245778,#53258); #35631=LINE('',#245784,#53259); #35632=LINE('',#245790,#53260); #35633=LINE('',#245796,#53261); #35634=LINE('',#245802,#53262); #35635=LINE('',#245808,#53263); #35636=LINE('',#245812,#53264); #35637=LINE('',#245814,#53265); #35638=LINE('',#245815,#53266); #35639=LINE('',#245820,#53267); #35640=LINE('',#245826,#53268); #35641=LINE('',#245832,#53269); #35642=LINE('',#245838,#53270); #35643=LINE('',#245842,#53271); #35644=LINE('',#245844,#53272); #35645=LINE('',#245845,#53273); #35646=LINE('',#245850,#53274); #35647=LINE('',#245856,#53275); #35648=LINE('',#245862,#53276); #35649=LINE('',#245868,#53277); #35650=LINE('',#245874,#53278); #35651=LINE('',#245880,#53279); #35652=LINE('',#245886,#53280); #35653=LINE('',#245892,#53281); #35654=LINE('',#245898,#53282); #35655=LINE('',#245904,#53283); #35656=LINE('',#245910,#53284); #35657=LINE('',#245916,#53285); #35658=LINE('',#245922,#53286); #35659=LINE('',#245928,#53287); #35660=LINE('',#245934,#53288); #35661=LINE('',#245945,#53289); #35662=LINE('',#245948,#53290); #35663=LINE('',#245953,#53291); #35664=LINE('',#245959,#53292); #35665=LINE('',#245963,#53293); #35666=LINE('',#245965,#53294); #35667=LINE('',#245966,#53295); #35668=LINE('',#245971,#53296); #35669=LINE('',#245977,#53297); #35670=LINE('',#245983,#53298); #35671=LINE('',#245989,#53299); #35672=LINE('',#245995,#53300); #35673=LINE('',#246001,#53301); #35674=LINE('',#246007,#53302); #35675=LINE('',#246013,#53303); #35676=LINE('',#246019,#53304); #35677=LINE('',#246025,#53305); #35678=LINE('',#246029,#53306); #35679=LINE('',#246031,#53307); #35680=LINE('',#246032,#53308); #35681=LINE('',#246037,#53309); #35682=LINE('',#246043,#53310); #35683=LINE('',#246049,#53311); #35684=LINE('',#246055,#53312); #35685=LINE('',#246059,#53313); #35686=LINE('',#246061,#53314); #35687=LINE('',#246062,#53315); #35688=LINE('',#246067,#53316); #35689=LINE('',#246073,#53317); #35690=LINE('',#246079,#53318); #35691=LINE('',#246085,#53319); #35692=LINE('',#246089,#53320); #35693=LINE('',#246091,#53321); #35694=LINE('',#246092,#53322); #35695=LINE('',#246097,#53323); #35696=LINE('',#246103,#53324); #35697=LINE('',#246109,#53325); #35698=LINE('',#246115,#53326); #35699=LINE('',#246121,#53327); #35700=LINE('',#246127,#53328); #35701=LINE('',#246133,#53329); #35702=LINE('',#246139,#53330); #35703=LINE('',#246145,#53331); #35704=LINE('',#246151,#53332); #35705=LINE('',#246157,#53333); #35706=LINE('',#246166,#53334); #35707=LINE('',#246168,#53335); #35708=LINE('',#246170,#53336); #35709=LINE('',#246171,#53337); #35710=LINE('',#246176,#53338); #35711=LINE('',#246182,#53339); #35712=LINE('',#246188,#53340); #35713=LINE('',#246194,#53341); #35714=LINE('',#246198,#53342); #35715=LINE('',#246200,#53343); #35716=LINE('',#246201,#53344); #35717=LINE('',#246206,#53345); #35718=LINE('',#246212,#53346); #35719=LINE('',#246218,#53347); #35720=LINE('',#246224,#53348); #35721=LINE('',#246233,#53349); #35722=LINE('',#246235,#53350); #35723=LINE('',#246237,#53351); #35724=LINE('',#246238,#53352); #35725=LINE('',#246243,#53353); #35726=LINE('',#246249,#53354); #35727=LINE('',#246255,#53355); #35728=LINE('',#246261,#53356); #35729=LINE('',#246265,#53357); #35730=LINE('',#246267,#53358); #35731=LINE('',#246268,#53359); #35732=LINE('',#246271,#53360); #35733=LINE('',#246273,#53361); #35734=LINE('',#246274,#53362); #35735=LINE('',#246277,#53363); #35736=LINE('',#246279,#53364); #35737=LINE('',#246280,#53365); #35738=LINE('',#246285,#53366); #35739=LINE('',#246291,#53367); #35740=LINE('',#246297,#53368); #35741=LINE('',#246303,#53369); #35742=LINE('',#246307,#53370); #35743=LINE('',#246309,#53371); #35744=LINE('',#246310,#53372); #35745=LINE('',#246315,#53373); #35746=LINE('',#246321,#53374); #35747=LINE('',#246327,#53375); #35748=LINE('',#246333,#53376); #35749=LINE('',#246337,#53377); #35750=LINE('',#246339,#53378); #35751=LINE('',#246340,#53379); #35752=LINE('',#246343,#53380); #35753=LINE('',#246345,#53381); #35754=LINE('',#246346,#53382); #35755=LINE('',#246351,#53383); #35756=LINE('',#246357,#53384); #35757=LINE('',#246363,#53385); #35758=LINE('',#246369,#53386); #35759=LINE('',#246373,#53387); #35760=LINE('',#246375,#53388); #35761=LINE('',#246376,#53389); #35762=LINE('',#246379,#53390); #35763=LINE('',#246381,#53391); #35764=LINE('',#246382,#53392); #35765=LINE('',#246387,#53393); #35766=LINE('',#246393,#53394); #35767=LINE('',#246399,#53395); #35768=LINE('',#246405,#53396); #35769=LINE('',#246409,#53397); #35770=LINE('',#246411,#53398); #35771=LINE('',#246412,#53399); #35772=LINE('',#246415,#53400); #35773=LINE('',#246417,#53401); #35774=LINE('',#246418,#53402); #35775=LINE('',#246423,#53403); #35776=LINE('',#246429,#53404); #35777=LINE('',#246435,#53405); #35778=LINE('',#246441,#53406); #35779=LINE('',#246445,#53407); #35780=LINE('',#246447,#53408); #35781=LINE('',#246448,#53409); #35782=LINE('',#246451,#53410); #35783=LINE('',#246453,#53411); #35784=LINE('',#246454,#53412); #35785=LINE('',#246459,#53413); #35786=LINE('',#246465,#53414); #35787=LINE('',#246471,#53415); #35788=LINE('',#246477,#53416); #35789=LINE('',#246481,#53417); #35790=LINE('',#246483,#53418); #35791=LINE('',#246484,#53419); #35792=LINE('',#246487,#53420); #35793=LINE('',#246489,#53421); #35794=LINE('',#246490,#53422); #35795=LINE('',#246495,#53423); #35796=LINE('',#246501,#53424); #35797=LINE('',#246507,#53425); #35798=LINE('',#246513,#53426); #35799=LINE('',#246519,#53427); #35800=LINE('',#246525,#53428); #35801=LINE('',#246531,#53429); #35802=LINE('',#246534,#53430); #35803=LINE('',#246535,#53431); #35804=LINE('',#246542,#53432); #35805=LINE('',#246545,#53433); #35806=LINE('',#246548,#53434); #35807=LINE('',#246550,#53435); #35808=LINE('',#246551,#53436); #35809=LINE('',#246556,#53437); #35810=LINE('',#246562,#53438); #35811=LINE('',#246568,#53439); #35812=LINE('',#246574,#53440); #35813=LINE('',#246580,#53441); #35814=LINE('',#246586,#53442); #35815=LINE('',#246590,#53443); #35816=LINE('',#246592,#53444); #35817=LINE('',#246593,#53445); #35818=LINE('',#246598,#53446); #35819=LINE('',#246604,#53447); #35820=LINE('',#246610,#53448); #35821=LINE('',#246616,#53449); #35822=LINE('',#246624,#53450); #35823=LINE('',#246626,#53451); #35824=LINE('',#246628,#53452); #35825=LINE('',#246629,#53453); #35826=LINE('',#246634,#53454); #35827=LINE('',#246640,#53455); #35828=LINE('',#246646,#53456); #35829=LINE('',#246652,#53457); #35830=LINE('',#246658,#53458); #35831=LINE('',#246664,#53459); #35832=LINE('',#246670,#53460); #35833=LINE('',#246674,#53461); #35834=LINE('',#246676,#53462); #35835=LINE('',#246677,#53463); #35836=LINE('',#246682,#53464); #35837=LINE('',#246688,#53465); #35838=LINE('',#246694,#53466); #35839=LINE('',#246700,#53467); #35840=LINE('',#246706,#53468); #35841=LINE('',#246712,#53469); #35842=LINE('',#246723,#53470); #35843=LINE('',#246726,#53471); #35844=LINE('',#246729,#53472); #35845=LINE('',#246731,#53473); #35846=LINE('',#246732,#53474); #35847=LINE('',#246737,#53475); #35848=LINE('',#246743,#53476); #35849=LINE('',#246749,#53477); #35850=LINE('',#246755,#53478); #35851=LINE('',#246761,#53479); #35852=LINE('',#246767,#53480); #35853=LINE('',#246771,#53481); #35854=LINE('',#246773,#53482); #35855=LINE('',#246774,#53483); #35856=LINE('',#246779,#53484); #35857=LINE('',#246785,#53485); #35858=LINE('',#246791,#53486); #35859=LINE('',#246797,#53487); #35860=LINE('',#246807,#53488); #35861=LINE('',#246810,#53489); #35862=LINE('',#246813,#53490); #35863=LINE('',#246815,#53491); #35864=LINE('',#246816,#53492); #35865=LINE('',#246821,#53493); #35866=LINE('',#246827,#53494); #35867=LINE('',#246833,#53495); #35868=LINE('',#246839,#53496); #35869=LINE('',#246845,#53497); #35870=LINE('',#246851,#53498); #35871=LINE('',#246857,#53499); #35872=LINE('',#246861,#53500); #35873=LINE('',#246863,#53501); #35874=LINE('',#246864,#53502); #35875=LINE('',#246869,#53503); #35876=LINE('',#246875,#53504); #35877=LINE('',#246881,#53505); #35878=LINE('',#246887,#53506); #35879=LINE('',#246893,#53507); #35880=LINE('',#246904,#53508); #35881=LINE('',#246907,#53509); #35882=LINE('',#246912,#53510); #35883=LINE('',#246916,#53511); #35884=LINE('',#246918,#53512); #35885=LINE('',#246919,#53513); #35886=LINE('',#246924,#53514); #35887=LINE('',#246930,#53515); #35888=LINE('',#246936,#53516); #35889=LINE('',#246942,#53517); #35890=LINE('',#246948,#53518); #35891=LINE('',#246954,#53519); #35892=LINE('',#246958,#53520); #35893=LINE('',#246960,#53521); #35894=LINE('',#246961,#53522); #35895=LINE('',#246966,#53523); #35896=LINE('',#246972,#53524); #35897=LINE('',#246978,#53525); #35898=LINE('',#246986,#53526); #35899=LINE('',#246988,#53527); #35900=LINE('',#246990,#53528); #35901=LINE('',#246991,#53529); #35902=LINE('',#246996,#53530); #35903=LINE('',#247002,#53531); #35904=LINE('',#247008,#53532); #35905=LINE('',#247014,#53533); #35906=LINE('',#247020,#53534); #35907=LINE('',#247026,#53535); #35908=LINE('',#247032,#53536); #35909=LINE('',#247036,#53537); #35910=LINE('',#247038,#53538); #35911=LINE('',#247039,#53539); #35912=LINE('',#247044,#53540); #35913=LINE('',#247050,#53541); #35914=LINE('',#247056,#53542); #35915=LINE('',#247062,#53543); #35916=LINE('',#247068,#53544); #35917=LINE('',#247074,#53545); #35918=LINE('',#247085,#53546); #35919=LINE('',#247088,#53547); #35920=LINE('',#247093,#53548); #35921=LINE('',#247099,#53549); #35922=LINE('',#247105,#53550); #35923=LINE('',#247109,#53551); #35924=LINE('',#247111,#53552); #35925=LINE('',#247112,#53553); #35926=LINE('',#247117,#53554); #35927=LINE('',#247123,#53555); #35928=LINE('',#247129,#53556); #35929=LINE('',#247135,#53557); #35930=LINE('',#247141,#53558); #35931=LINE('',#247147,#53559); #35932=LINE('',#247151,#53560); #35933=LINE('',#247153,#53561); #35934=LINE('',#247154,#53562); #35935=LINE('',#247159,#53563); #35936=LINE('',#247167,#53564); #35937=LINE('',#247169,#53565); #35938=LINE('',#247171,#53566); #35939=LINE('',#247172,#53567); #35940=LINE('',#247177,#53568); #35941=LINE('',#247183,#53569); #35942=LINE('',#247189,#53570); #35943=LINE('',#247195,#53571); #35944=LINE('',#247201,#53572); #35945=LINE('',#247207,#53573); #35946=LINE('',#247213,#53574); #35947=LINE('',#247217,#53575); #35948=LINE('',#247219,#53576); #35949=LINE('',#247220,#53577); #35950=LINE('',#247225,#53578); #35951=LINE('',#247231,#53579); #35952=LINE('',#247237,#53580); #35953=LINE('',#247243,#53581); #35954=LINE('',#247249,#53582); #35955=LINE('',#247255,#53583); #35956=LINE('',#247266,#53584); #35957=LINE('',#247269,#53585); #35958=LINE('',#247274,#53586); #35959=LINE('',#247280,#53587); #35960=LINE('',#247286,#53588); #35961=LINE('',#247292,#53589); #35962=LINE('',#247298,#53590); #35963=LINE('',#247304,#53591); #35964=LINE('',#247310,#53592); #35965=LINE('',#247316,#53593); #35966=LINE('',#247322,#53594); #35967=LINE('',#247328,#53595); #35968=LINE('',#247334,#53596); #35969=LINE('',#247340,#53597); #35970=LINE('',#247346,#53598); #35971=LINE('',#247352,#53599); #35972=LINE('',#247358,#53600); #35973=LINE('',#247364,#53601); #35974=LINE('',#247370,#53602); #35975=LINE('',#247374,#53603); #35976=LINE('',#247376,#53604); #35977=LINE('',#247377,#53605); #35978=LINE('',#247380,#53606); #35979=LINE('',#247382,#53607); #35980=LINE('',#247383,#53608); #35981=LINE('',#247386,#53609); #35982=LINE('',#247388,#53610); #35983=LINE('',#247389,#53611); #35984=LINE('',#247394,#53612); #35985=LINE('',#247400,#53613); #35986=LINE('',#247406,#53614); #35987=LINE('',#247412,#53615); #35988=LINE('',#247416,#53616); #35989=LINE('',#247418,#53617); #35990=LINE('',#247419,#53618); #35991=LINE('',#247422,#53619); #35992=LINE('',#247424,#53620); #35993=LINE('',#247425,#53621); #35994=LINE('',#247428,#53622); #35995=LINE('',#247430,#53623); #35996=LINE('',#247431,#53624); #35997=LINE('',#247436,#53625); #35998=LINE('',#247442,#53626); #35999=LINE('',#247453,#53627); #36000=LINE('',#247456,#53628); #36001=LINE('',#247461,#53629); #36002=LINE('',#247467,#53630); #36003=LINE('',#247473,#53631); #36004=LINE('',#247479,#53632); #36005=LINE('',#247485,#53633); #36006=LINE('',#247491,#53634); #36007=LINE('',#247497,#53635); #36008=LINE('',#247503,#53636); #36009=LINE('',#247509,#53637); #36010=LINE('',#247515,#53638); #36011=LINE('',#247521,#53639); #36012=LINE('',#247527,#53640); #36013=LINE('',#247533,#53641); #36014=LINE('',#247539,#53642); #36015=LINE('',#247545,#53643); #36016=LINE('',#247551,#53644); #36017=LINE('',#247557,#53645); #36018=LINE('',#247563,#53646); #36019=LINE('',#247569,#53647); #36020=LINE('',#247575,#53648); #36021=LINE('',#247581,#53649); #36022=LINE('',#247587,#53650); #36023=LINE('',#247593,#53651); #36024=LINE('',#247599,#53652); #36025=LINE('',#247605,#53653); #36026=LINE('',#247611,#53654); #36027=LINE('',#247617,#53655); #36028=LINE('',#247621,#53656); #36029=LINE('',#247623,#53657); #36030=LINE('',#247624,#53658); #36031=LINE('',#247629,#53659); #36032=LINE('',#247635,#53660); #36033=LINE('',#247641,#53661); #36034=LINE('',#247647,#53662); #36035=LINE('',#247653,#53663); #36036=LINE('',#247659,#53664); #36037=LINE('',#247665,#53665); #36038=LINE('',#247676,#53666); #36039=LINE('',#247679,#53667); #36040=LINE('',#247684,#53668); #36041=LINE('',#247690,#53669); #36042=LINE('',#247696,#53670); #36043=LINE('',#247702,#53671); #36044=LINE('',#247708,#53672); #36045=LINE('',#247714,#53673); #36046=LINE('',#247720,#53674); #36047=LINE('',#247726,#53675); #36048=LINE('',#247732,#53676); #36049=LINE('',#247738,#53677); #36050=LINE('',#247744,#53678); #36051=LINE('',#247750,#53679); #36052=LINE('',#247756,#53680); #36053=LINE('',#247760,#53681); #36054=LINE('',#247762,#53682); #36055=LINE('',#247763,#53683); #36056=LINE('',#247766,#53684); #36057=LINE('',#247768,#53685); #36058=LINE('',#247769,#53686); #36059=LINE('',#247774,#53687); #36060=LINE('',#247780,#53688); #36061=LINE('',#247786,#53689); #36062=LINE('',#247792,#53690); #36063=LINE('',#247796,#53691); #36064=LINE('',#247798,#53692); #36065=LINE('',#247799,#53693); #36066=LINE('',#247802,#53694); #36067=LINE('',#247804,#53695); #36068=LINE('',#247805,#53696); #36069=LINE('',#247810,#53697); #36070=LINE('',#247816,#53698); #36071=LINE('',#247822,#53699); #36072=LINE('',#247828,#53700); #36073=LINE('',#247834,#53701); #36074=LINE('',#247840,#53702); #36075=LINE('',#247851,#53703); #36076=LINE('',#247854,#53704); #36077=LINE('',#247859,#53705); #36078=LINE('',#247865,#53706); #36079=LINE('',#247871,#53707); #36080=LINE('',#247875,#53708); #36081=LINE('',#247877,#53709); #36082=LINE('',#247878,#53710); #36083=LINE('',#247883,#53711); #36084=LINE('',#247889,#53712); #36085=LINE('',#247895,#53713); #36086=LINE('',#247901,#53714); #36087=LINE('',#247904,#53715); #36088=LINE('',#247905,#53716); #36089=LINE('',#247912,#53717); #36090=LINE('',#247915,#53718); #36091=LINE('',#247920,#53719); #36092=LINE('',#247924,#53720); #36093=LINE('',#247926,#53721); #36094=LINE('',#247927,#53722); #36095=LINE('',#247932,#53723); #36096=LINE('',#247938,#53724); #36097=LINE('',#247944,#53725); #36098=LINE('',#247950,#53726); #36099=LINE('',#247954,#53727); #36100=LINE('',#247956,#53728); #36101=LINE('',#247957,#53729); #36102=LINE('',#247960,#53730); #36103=LINE('',#247962,#53731); #36104=LINE('',#247963,#53732); #36105=LINE('',#247966,#53733); #36106=LINE('',#247968,#53734); #36107=LINE('',#247969,#53735); #36108=LINE('',#247974,#53736); #36109=LINE('',#247980,#53737); #36110=LINE('',#247986,#53738); #36111=LINE('',#247992,#53739); #36112=LINE('',#247998,#53740); #36113=LINE('',#248002,#53741); #36114=LINE('',#248004,#53742); #36115=LINE('',#248005,#53743); #36116=LINE('',#248008,#53744); #36117=LINE('',#248010,#53745); #36118=LINE('',#248011,#53746); #36119=LINE('',#248014,#53747); #36120=LINE('',#248016,#53748); #36121=LINE('',#248017,#53749); #36122=LINE('',#248022,#53750); #36123=LINE('',#248031,#53751); #36124=LINE('',#248033,#53752); #36125=LINE('',#248035,#53753); #36126=LINE('',#248036,#53754); #36127=LINE('',#248039,#53755); #36128=LINE('',#248041,#53756); #36129=LINE('',#248042,#53757); #36130=LINE('',#248045,#53758); #36131=LINE('',#248047,#53759); #36132=LINE('',#248048,#53760); #36133=LINE('',#248051,#53761); #36134=LINE('',#248053,#53762); #36135=LINE('',#248054,#53763); #36136=LINE('',#248057,#53764); #36137=LINE('',#248059,#53765); #36138=LINE('',#248060,#53766); #36139=LINE('',#248063,#53767); #36140=LINE('',#248065,#53768); #36141=LINE('',#248066,#53769); #36142=LINE('',#248069,#53770); #36143=LINE('',#248071,#53771); #36144=LINE('',#248072,#53772); #36145=LINE('',#248074,#53773); #36146=LINE('',#248075,#53774); #36147=LINE('',#248076,#53775); #36148=LINE('',#248081,#53776); #36149=LINE('',#248083,#53777); #36150=LINE('',#248085,#53778); #36151=LINE('',#248086,#53779); #36152=LINE('',#248089,#53780); #36153=LINE('',#248091,#53781); #36154=LINE('',#248092,#53782); #36155=LINE('',#248095,#53783); #36156=LINE('',#248097,#53784); #36157=LINE('',#248098,#53785); #36158=LINE('',#248101,#53786); #36159=LINE('',#248103,#53787); #36160=LINE('',#248104,#53788); #36161=LINE('',#248107,#53789); #36162=LINE('',#248109,#53790); #36163=LINE('',#248110,#53791); #36164=LINE('',#248112,#53792); #36165=LINE('',#248113,#53793); #36166=LINE('',#248116,#53794); #36167=LINE('',#248118,#53795); #36168=LINE('',#248119,#53796); #36169=LINE('',#248121,#53797); #36170=LINE('',#248122,#53798); #36171=LINE('',#248127,#53799); #36172=LINE('',#248129,#53800); #36173=LINE('',#248131,#53801); #36174=LINE('',#248132,#53802); #36175=LINE('',#248135,#53803); #36176=LINE('',#248137,#53804); #36177=LINE('',#248138,#53805); #36178=LINE('',#248141,#53806); #36179=LINE('',#248143,#53807); #36180=LINE('',#248144,#53808); #36181=LINE('',#248146,#53809); #36182=LINE('',#248147,#53810); #36183=LINE('',#248151,#53811); #36184=LINE('',#248153,#53812); #36185=LINE('',#248155,#53813); #36186=LINE('',#248156,#53814); #36187=LINE('',#248159,#53815); #36188=LINE('',#248161,#53816); #36189=LINE('',#248162,#53817); #36190=LINE('',#248165,#53818); #36191=LINE('',#248167,#53819); #36192=LINE('',#248168,#53820); #36193=LINE('',#248170,#53821); #36194=LINE('',#248171,#53822); #36195=LINE('',#248176,#53823); #36196=LINE('',#248178,#53824); #36197=LINE('',#248180,#53825); #36198=LINE('',#248181,#53826); #36199=LINE('',#248184,#53827); #36200=LINE('',#248186,#53828); #36201=LINE('',#248187,#53829); #36202=LINE('',#248190,#53830); #36203=LINE('',#248192,#53831); #36204=LINE('',#248193,#53832); #36205=LINE('',#248195,#53833); #36206=LINE('',#248196,#53834); #36207=LINE('',#248201,#53835); #36208=LINE('',#248203,#53836); #36209=LINE('',#248205,#53837); #36210=LINE('',#248206,#53838); #36211=LINE('',#248209,#53839); #36212=LINE('',#248211,#53840); #36213=LINE('',#248212,#53841); #36214=LINE('',#248215,#53842); #36215=LINE('',#248217,#53843); #36216=LINE('',#248218,#53844); #36217=LINE('',#248220,#53845); #36218=LINE('',#248221,#53846); #36219=LINE('',#248226,#53847); #36220=LINE('',#248228,#53848); #36221=LINE('',#248230,#53849); #36222=LINE('',#248231,#53850); #36223=LINE('',#248236,#53851); #36224=LINE('',#248240,#53852); #36225=LINE('',#248242,#53853); #36226=LINE('',#248243,#53854); #36227=LINE('',#248246,#53855); #36228=LINE('',#248248,#53856); #36229=LINE('',#248249,#53857); #36230=LINE('',#248252,#53858); #36231=LINE('',#248254,#53859); #36232=LINE('',#248255,#53860); #36233=LINE('',#248258,#53861); #36234=LINE('',#248260,#53862); #36235=LINE('',#248261,#53863); #36236=LINE('',#248264,#53864); #36237=LINE('',#248266,#53865); #36238=LINE('',#248267,#53866); #36239=LINE('',#248270,#53867); #36240=LINE('',#248272,#53868); #36241=LINE('',#248273,#53869); #36242=LINE('',#248276,#53870); #36243=LINE('',#248278,#53871); #36244=LINE('',#248279,#53872); #36245=LINE('',#248282,#53873); #36246=LINE('',#248284,#53874); #36247=LINE('',#248285,#53875); #36248=LINE('',#248290,#53876); #36249=LINE('',#248294,#53877); #36250=LINE('',#248296,#53878); #36251=LINE('',#248297,#53879); #36252=LINE('',#248300,#53880); #36253=LINE('',#248302,#53881); #36254=LINE('',#248303,#53882); #36255=LINE('',#248308,#53883); #36256=LINE('',#248314,#53884); #36257=LINE('',#248318,#53885); #36258=LINE('',#248320,#53886); #36259=LINE('',#248321,#53887); #36260=LINE('',#248326,#53888); #36261=LINE('',#248330,#53889); #36262=LINE('',#248332,#53890); #36263=LINE('',#248333,#53891); #36264=LINE('',#248336,#53892); #36265=LINE('',#248338,#53893); #36266=LINE('',#248339,#53894); #36267=LINE('',#248342,#53895); #36268=LINE('',#248344,#53896); #36269=LINE('',#248345,#53897); #36270=LINE('',#248348,#53898); #36271=LINE('',#248350,#53899); #36272=LINE('',#248351,#53900); #36273=LINE('',#248354,#53901); #36274=LINE('',#248356,#53902); #36275=LINE('',#248357,#53903); #36276=LINE('',#248360,#53904); #36277=LINE('',#248362,#53905); #36278=LINE('',#248363,#53906); #36279=LINE('',#248366,#53907); #36280=LINE('',#248368,#53908); #36281=LINE('',#248369,#53909); #36282=LINE('',#248372,#53910); #36283=LINE('',#248374,#53911); #36284=LINE('',#248375,#53912); #36285=LINE('',#248380,#53913); #36286=LINE('',#248384,#53914); #36287=LINE('',#248386,#53915); #36288=LINE('',#248387,#53916); #36289=LINE('',#248390,#53917); #36290=LINE('',#248392,#53918); #36291=LINE('',#248393,#53919); #36292=LINE('',#248395,#53920); #36293=LINE('',#248396,#53921); #36294=LINE('',#248401,#53922); #36295=LINE('',#248403,#53923); #36296=LINE('',#248405,#53924); #36297=LINE('',#248406,#53925); #36298=LINE('',#248409,#53926); #36299=LINE('',#248411,#53927); #36300=LINE('',#248412,#53928); #36301=LINE('',#248415,#53929); #36302=LINE('',#248417,#53930); #36303=LINE('',#248418,#53931); #36304=LINE('',#248421,#53932); #36305=LINE('',#248423,#53933); #36306=LINE('',#248424,#53934); #36307=LINE('',#248427,#53935); #36308=LINE('',#248429,#53936); #36309=LINE('',#248430,#53937); #36310=LINE('',#248433,#53938); #36311=LINE('',#248435,#53939); #36312=LINE('',#248436,#53940); #36313=LINE('',#248439,#53941); #36314=LINE('',#248441,#53942); #36315=LINE('',#248442,#53943); #36316=LINE('',#248445,#53944); #36317=LINE('',#248447,#53945); #36318=LINE('',#248448,#53946); #36319=LINE('',#248453,#53947); #36320=LINE('',#248459,#53948); #36321=LINE('',#248463,#53949); #36322=LINE('',#248465,#53950); #36323=LINE('',#248466,#53951); #36324=LINE('',#248471,#53952); #36325=LINE('',#248477,#53953); #36326=LINE('',#248483,#53954); #36327=LINE('',#248487,#53955); #36328=LINE('',#248489,#53956); #36329=LINE('',#248490,#53957); #36330=LINE('',#248493,#53958); #36331=LINE('',#248495,#53959); #36332=LINE('',#248496,#53960); #36333=LINE('',#248499,#53961); #36334=LINE('',#248501,#53962); #36335=LINE('',#248502,#53963); #36336=LINE('',#248505,#53964); #36337=LINE('',#248507,#53965); #36338=LINE('',#248508,#53966); #36339=LINE('',#248511,#53967); #36340=LINE('',#248513,#53968); #36341=LINE('',#248514,#53969); #36342=LINE('',#248519,#53970); #36343=LINE('',#248523,#53971); #36344=LINE('',#248525,#53972); #36345=LINE('',#248526,#53973); #36346=LINE('',#248531,#53974); #36347=LINE('',#248537,#53975); #36348=LINE('',#248543,#53976); #36349=LINE('',#248547,#53977); #36350=LINE('',#248549,#53978); #36351=LINE('',#248550,#53979); #36352=LINE('',#248555,#53980); #36353=LINE('',#248559,#53981); #36354=LINE('',#248561,#53982); #36355=LINE('',#248562,#53983); #36356=LINE('',#248565,#53984); #36357=LINE('',#248567,#53985); #36358=LINE('',#248568,#53986); #36359=LINE('',#248571,#53987); #36360=LINE('',#248573,#53988); #36361=LINE('',#248574,#53989); #36362=LINE('',#248579,#53990); #36363=LINE('',#248583,#53991); #36364=LINE('',#248585,#53992); #36365=LINE('',#248586,#53993); #36366=LINE('',#248589,#53994); #36367=LINE('',#248591,#53995); #36368=LINE('',#248592,#53996); #36369=LINE('',#248595,#53997); #36370=LINE('',#248597,#53998); #36371=LINE('',#248598,#53999); #36372=LINE('',#248600,#54000); #36373=LINE('',#248601,#54001); #36374=LINE('',#248608,#54002); #36375=LINE('',#248611,#54003); #36376=LINE('',#248614,#54004); #36377=LINE('',#248616,#54005); #36378=LINE('',#248617,#54006); #36379=LINE('',#248620,#54007); #36380=LINE('',#248622,#54008); #36381=LINE('',#248623,#54009); #36382=LINE('',#248626,#54010); #36383=LINE('',#248628,#54011); #36384=LINE('',#248629,#54012); #36385=LINE('',#248632,#54013); #36386=LINE('',#248634,#54014); #36387=LINE('',#248635,#54015); #36388=LINE('',#248640,#54016); #36389=LINE('',#248646,#54017); #36390=LINE('',#248650,#54018); #36391=LINE('',#248652,#54019); #36392=LINE('',#248653,#54020); #36393=LINE('',#248656,#54021); #36394=LINE('',#248658,#54022); #36395=LINE('',#248659,#54023); #36396=LINE('',#248664,#54024); #36397=LINE('',#248668,#54025); #36398=LINE('',#248670,#54026); #36399=LINE('',#248671,#54027); #36400=LINE('',#248676,#54028); #36401=LINE('',#248682,#54029); #36402=LINE('',#248686,#54030); #36403=LINE('',#248688,#54031); #36404=LINE('',#248689,#54032); #36405=LINE('',#248692,#54033); #36406=LINE('',#248694,#54034); #36407=LINE('',#248695,#54035); #36408=LINE('',#248700,#54036); #36409=LINE('',#248706,#54037); #36410=LINE('',#248712,#54038); #36411=LINE('',#248716,#54039); #36412=LINE('',#248718,#54040); #36413=LINE('',#248719,#54041); #36414=LINE('',#248724,#54042); #36415=LINE('',#248728,#54043); #36416=LINE('',#248730,#54044); #36417=LINE('',#248731,#54045); #36418=LINE('',#248734,#54046); #36419=LINE('',#248736,#54047); #36420=LINE('',#248737,#54048); #36421=LINE('',#248742,#54049); #36422=LINE('',#248746,#54050); #36423=LINE('',#248748,#54051); #36424=LINE('',#248749,#54052); #36425=LINE('',#248752,#54053); #36426=LINE('',#248754,#54054); #36427=LINE('',#248755,#54055); #36428=LINE('',#248760,#54056); #36429=LINE('',#248764,#54057); #36430=LINE('',#248766,#54058); #36431=LINE('',#248767,#54059); #36432=LINE('',#248770,#54060); #36433=LINE('',#248772,#54061); #36434=LINE('',#248773,#54062); #36435=LINE('',#248778,#54063); #36436=LINE('',#248782,#54064); #36437=LINE('',#248784,#54065); #36438=LINE('',#248785,#54066); #36439=LINE('',#248788,#54067); #36440=LINE('',#248790,#54068); #36441=LINE('',#248791,#54069); #36442=LINE('',#248796,#54070); #36443=LINE('',#248800,#54071); #36444=LINE('',#248802,#54072); #36445=LINE('',#248803,#54073); #36446=LINE('',#248806,#54074); #36447=LINE('',#248808,#54075); #36448=LINE('',#248809,#54076); #36449=LINE('',#248814,#54077); #36450=LINE('',#248820,#54078); #36451=LINE('',#248824,#54079); #36452=LINE('',#248826,#54080); #36453=LINE('',#248827,#54081); #36454=LINE('',#248830,#54082); #36455=LINE('',#248832,#54083); #36456=LINE('',#248833,#54084); #36457=LINE('',#248843,#54085); #36458=LINE('',#248846,#54086); #36459=LINE('',#248851,#54087); #36460=LINE('',#248857,#54088); #36461=LINE('',#248861,#54089); #36462=LINE('',#248863,#54090); #36463=LINE('',#248864,#54091); #36464=LINE('',#248869,#54092); #36465=LINE('',#248875,#54093); #36466=LINE('',#248881,#54094); #36467=LINE('',#248887,#54095); #36468=LINE('',#248893,#54096); #36469=LINE('',#248901,#54097); #36470=LINE('',#248903,#54098); #36471=LINE('',#248905,#54099); #36472=LINE('',#248906,#54100); #36473=LINE('',#248911,#54101); #36474=LINE('',#248917,#54102); #36475=LINE('',#248923,#54103); #36476=LINE('',#248929,#54104); #36477=LINE('',#248935,#54105); #36478=LINE('',#248941,#54106); #36479=LINE('',#248947,#54107); #36480=LINE('',#248953,#54108); #36481=LINE('',#248959,#54109); #36482=LINE('',#248962,#54110); #36483=LINE('',#248963,#54111); #36484=LINE('',#248968,#54112); #36485=LINE('',#248970,#54113); #36486=LINE('',#248972,#54114); #36487=LINE('',#248973,#54115); #36488=LINE('',#248978,#54116); #36489=LINE('',#248984,#54117); #36490=LINE('',#248988,#54118); #36491=LINE('',#248990,#54119); #36492=LINE('',#248991,#54120); #36493=LINE('',#248996,#54121); #36494=LINE('',#249002,#54122); #36495=LINE('',#249006,#54123); #36496=LINE('',#249008,#54124); #36497=LINE('',#249009,#54125); #36498=LINE('',#249014,#54126); #36499=LINE('',#249018,#54127); #36500=LINE('',#249020,#54128); #36501=LINE('',#249021,#54129); #36502=LINE('',#249024,#54130); #36503=LINE('',#249026,#54131); #36504=LINE('',#249027,#54132); #36505=LINE('',#249030,#54133); #36506=LINE('',#249032,#54134); #36507=LINE('',#249033,#54135); #36508=LINE('',#249038,#54136); #36509=LINE('',#249042,#54137); #36510=LINE('',#249044,#54138); #36511=LINE('',#249045,#54139); #36512=LINE('',#249048,#54140); #36513=LINE('',#249050,#54141); #36514=LINE('',#249051,#54142); #36515=LINE('',#249054,#54143); #36516=LINE('',#249056,#54144); #36517=LINE('',#249057,#54145); #36518=LINE('',#249060,#54146); #36519=LINE('',#249062,#54147); #36520=LINE('',#249063,#54148); #36521=LINE('',#249068,#54149); #36522=LINE('',#249072,#54150); #36523=LINE('',#249074,#54151); #36524=LINE('',#249075,#54152); #36525=LINE('',#249078,#54153); #36526=LINE('',#249080,#54154); #36527=LINE('',#249081,#54155); #36528=LINE('',#249086,#54156); #36529=LINE('',#249092,#54157); #36530=LINE('',#249096,#54158); #36531=LINE('',#249098,#54159); #36532=LINE('',#249099,#54160); #36533=LINE('',#249102,#54161); #36534=LINE('',#249104,#54162); #36535=LINE('',#249105,#54163); #36536=LINE('',#249108,#54164); #36537=LINE('',#249110,#54165); #36538=LINE('',#249111,#54166); #36539=LINE('',#249114,#54167); #36540=LINE('',#249116,#54168); #36541=LINE('',#249117,#54169); #36542=LINE('',#249122,#54170); #36543=LINE('',#249128,#54171); #36544=LINE('',#249134,#54172); #36545=LINE('',#249138,#54173); #36546=LINE('',#249140,#54174); #36547=LINE('',#249141,#54175); #36548=LINE('',#249146,#54176); #36549=LINE('',#249152,#54177); #36550=LINE('',#249158,#54178); #36551=LINE('',#249162,#54179); #36552=LINE('',#249164,#54180); #36553=LINE('',#249165,#54181); #36554=LINE('',#249168,#54182); #36555=LINE('',#249170,#54183); #36556=LINE('',#249171,#54184); #36557=LINE('',#249174,#54185); #36558=LINE('',#249176,#54186); #36559=LINE('',#249177,#54187); #36560=LINE('',#249180,#54188); #36561=LINE('',#249182,#54189); #36562=LINE('',#249183,#54190); #36563=LINE('',#249186,#54191); #36564=LINE('',#249188,#54192); #36565=LINE('',#249189,#54193); #36566=LINE('',#249192,#54194); #36567=LINE('',#249194,#54195); #36568=LINE('',#249195,#54196); #36569=LINE('',#249198,#54197); #36570=LINE('',#249200,#54198); #36571=LINE('',#249201,#54199); #36572=LINE('',#249204,#54200); #36573=LINE('',#249206,#54201); #36574=LINE('',#249207,#54202); #36575=LINE('',#249210,#54203); #36576=LINE('',#249212,#54204); #36577=LINE('',#249213,#54205); #36578=LINE('',#249216,#54206); #36579=LINE('',#249218,#54207); #36580=LINE('',#249219,#54208); #36581=LINE('',#249224,#54209); #36582=LINE('',#249228,#54210); #36583=LINE('',#249230,#54211); #36584=LINE('',#249231,#54212); #36585=LINE('',#249234,#54213); #36586=LINE('',#249236,#54214); #36587=LINE('',#249237,#54215); #36588=LINE('',#249240,#54216); #36589=LINE('',#249242,#54217); #36590=LINE('',#249243,#54218); #36591=LINE('',#249251,#54219); #36592=LINE('',#249253,#54220); #36593=LINE('',#249255,#54221); #36594=LINE('',#249256,#54222); #36595=LINE('',#249259,#54223); #36596=LINE('',#249261,#54224); #36597=LINE('',#249262,#54225); #36598=LINE('',#249265,#54226); #36599=LINE('',#249267,#54227); #36600=LINE('',#249268,#54228); #36601=LINE('',#249273,#54229); #36602=LINE('',#249279,#54230); #36603=LINE('',#249285,#54231); #36604=LINE('',#249291,#54232); #36605=LINE('',#249295,#54233); #36606=LINE('',#249297,#54234); #36607=LINE('',#249298,#54235); #36608=LINE('',#249301,#54236); #36609=LINE('',#249303,#54237); #36610=LINE('',#249304,#54238); #36611=LINE('',#249307,#54239); #36612=LINE('',#249309,#54240); #36613=LINE('',#249310,#54241); #36614=LINE('',#249315,#54242); #36615=LINE('',#249321,#54243); #36616=LINE('',#249327,#54244); #36617=LINE('',#249333,#54245); #36618=LINE('',#249337,#54246); #36619=LINE('',#249339,#54247); #36620=LINE('',#249340,#54248); #36621=LINE('',#249343,#54249); #36622=LINE('',#249345,#54250); #36623=LINE('',#249346,#54251); #36624=LINE('',#249349,#54252); #36625=LINE('',#249351,#54253); #36626=LINE('',#249352,#54254); #36627=LINE('',#249355,#54255); #36628=LINE('',#249357,#54256); #36629=LINE('',#249358,#54257); #36630=LINE('',#249363,#54258); #36631=LINE('',#249369,#54259); #36632=LINE('',#249375,#54260); #36633=LINE('',#249381,#54261); #36634=LINE('',#249385,#54262); #36635=LINE('',#249387,#54263); #36636=LINE('',#249388,#54264); #36637=LINE('',#249393,#54265); #36638=LINE('',#249399,#54266); #36639=LINE('',#249405,#54267); #36640=LINE('',#249411,#54268); #36641=LINE('',#249414,#54269); #36642=LINE('',#249415,#54270); #36643=LINE('',#249422,#54271); #36644=LINE('',#249425,#54272); #36645=LINE('',#249428,#54273); #36646=LINE('',#249430,#54274); #36647=LINE('',#249431,#54275); #36648=LINE('',#249436,#54276); #36649=LINE('',#249442,#54277); #36650=LINE('',#249448,#54278); #36651=LINE('',#249454,#54279); #36652=LINE('',#249458,#54280); #36653=LINE('',#249460,#54281); #36654=LINE('',#249461,#54282); #36655=LINE('',#249464,#54283); #36656=LINE('',#249466,#54284); #36657=LINE('',#249467,#54285); #36658=LINE('',#249470,#54286); #36659=LINE('',#249472,#54287); #36660=LINE('',#249473,#54288); #36661=LINE('',#249478,#54289); #36662=LINE('',#249484,#54290); #36663=LINE('',#249490,#54291); #36664=LINE('',#249496,#54292); #36665=LINE('',#249500,#54293); #36666=LINE('',#249502,#54294); #36667=LINE('',#249503,#54295); #36668=LINE('',#249508,#54296); #36669=LINE('',#249514,#54297); #36670=LINE('',#249520,#54298); #36671=LINE('',#249526,#54299); #36672=LINE('',#249530,#54300); #36673=LINE('',#249532,#54301); #36674=LINE('',#249533,#54302); #36675=LINE('',#249536,#54303); #36676=LINE('',#249538,#54304); #36677=LINE('',#249539,#54305); #36678=LINE('',#249542,#54306); #36679=LINE('',#249544,#54307); #36680=LINE('',#249545,#54308); #36681=LINE('',#249548,#54309); #36682=LINE('',#249550,#54310); #36683=LINE('',#249551,#54311); #36684=LINE('',#249556,#54312); #36685=LINE('',#249562,#54313); #36686=LINE('',#249568,#54314); #36687=LINE('',#249574,#54315); #36688=LINE('',#249578,#54316); #36689=LINE('',#249580,#54317); #36690=LINE('',#249581,#54318); #36691=LINE('',#249584,#54319); #36692=LINE('',#249586,#54320); #36693=LINE('',#249587,#54321); #36694=LINE('',#249592,#54322); #36695=LINE('',#249598,#54323); #36696=LINE('',#249604,#54324); #36697=LINE('',#249610,#54325); #36698=LINE('',#249614,#54326); #36699=LINE('',#249616,#54327); #36700=LINE('',#249617,#54328); #36701=LINE('',#249620,#54329); #36702=LINE('',#249622,#54330); #36703=LINE('',#249623,#54331); #36704=LINE('',#249626,#54332); #36705=LINE('',#249628,#54333); #36706=LINE('',#249629,#54334); #36707=LINE('',#249634,#54335); #36708=LINE('',#249640,#54336); #36709=LINE('',#249651,#54337); #36710=LINE('',#249654,#54338); #36711=LINE('',#249659,#54339); #36712=LINE('',#249665,#54340); #36713=LINE('',#249671,#54341); #36714=LINE('',#249675,#54342); #36715=LINE('',#249677,#54343); #36716=LINE('',#249678,#54344); #36717=LINE('',#249683,#54345); #36718=LINE('',#249689,#54346); #36719=LINE('',#249695,#54347); #36720=LINE('',#249701,#54348); #36721=LINE('',#249704,#54349); #36722=LINE('',#249705,#54350); #36723=LINE('',#249710,#54351); #36724=LINE('',#249712,#54352); #36725=LINE('',#249714,#54353); #36726=LINE('',#249715,#54354); #36727=LINE('',#249718,#54355); #36728=LINE('',#249720,#54356); #36729=LINE('',#249721,#54357); #36730=LINE('',#249724,#54358); #36731=LINE('',#249726,#54359); #36732=LINE('',#249727,#54360); #36733=LINE('',#249730,#54361); #36734=LINE('',#249732,#54362); #36735=LINE('',#249733,#54363); #36736=LINE('',#249738,#54364); #36737=LINE('',#249744,#54365); #36738=LINE('',#249750,#54366); #36739=LINE('',#249756,#54367); #36740=LINE('',#249762,#54368); #36741=LINE('',#249768,#54369); #36742=LINE('',#249772,#54370); #36743=LINE('',#249774,#54371); #36744=LINE('',#249775,#54372); #36745=LINE('',#249780,#54373); #36746=LINE('',#249786,#54374); #36747=LINE('',#249792,#54375); #36748=LINE('',#249798,#54376); #36749=LINE('',#249804,#54377); #36750=LINE('',#249810,#54378); #36751=LINE('',#249814,#54379); #36752=LINE('',#249816,#54380); #36753=LINE('',#249817,#54381); #36754=LINE('',#249820,#54382); #36755=LINE('',#249822,#54383); #36756=LINE('',#249823,#54384); #36757=LINE('',#249826,#54385); #36758=LINE('',#249828,#54386); #36759=LINE('',#249829,#54387); #36760=LINE('',#249832,#54388); #36761=LINE('',#249834,#54389); #36762=LINE('',#249835,#54390); #36763=LINE('',#249838,#54391); #36764=LINE('',#249840,#54392); #36765=LINE('',#249841,#54393); #36766=LINE('',#249846,#54394); #36767=LINE('',#249852,#54395); #36768=LINE('',#249858,#54396); #36769=LINE('',#249864,#54397); #36770=LINE('',#249870,#54398); #36771=LINE('',#249876,#54399); #36772=LINE('',#249880,#54400); #36773=LINE('',#249882,#54401); #36774=LINE('',#249883,#54402); #36775=LINE('',#249888,#54403); #36776=LINE('',#249894,#54404); #36777=LINE('',#249900,#54405); #36778=LINE('',#249906,#54406); #36779=LINE('',#249912,#54407); #36780=LINE('',#249918,#54408); #36781=LINE('',#249921,#54409); #36782=LINE('',#249922,#54410); #36783=LINE('',#249929,#54411); #36784=LINE('',#249932,#54412); #36785=LINE('',#249935,#54413); #36786=LINE('',#249937,#54414); #36787=LINE('',#249938,#54415); #36788=LINE('',#249943,#54416); #36789=LINE('',#249949,#54417); #36790=LINE('',#249955,#54418); #36791=LINE('',#249961,#54419); #36792=LINE('',#249967,#54420); #36793=LINE('',#249973,#54421); #36794=LINE('',#249977,#54422); #36795=LINE('',#249979,#54423); #36796=LINE('',#249980,#54424); #36797=LINE('',#249985,#54425); #36798=LINE('',#249991,#54426); #36799=LINE('',#249997,#54427); #36800=LINE('',#250003,#54428); #36801=LINE('',#250013,#54429); #36802=LINE('',#250016,#54430); #36803=LINE('',#250019,#54431); #36804=LINE('',#250021,#54432); #36805=LINE('',#250022,#54433); #36806=LINE('',#250027,#54434); #36807=LINE('',#250033,#54435); #36808=LINE('',#250039,#54436); #36809=LINE('',#250045,#54437); #36810=LINE('',#250051,#54438); #36811=LINE('',#250057,#54439); #36812=LINE('',#250063,#54440); #36813=LINE('',#250067,#54441); #36814=LINE('',#250069,#54442); #36815=LINE('',#250070,#54443); #36816=LINE('',#250075,#54444); #36817=LINE('',#250081,#54445); #36818=LINE('',#250087,#54446); #36819=LINE('',#250093,#54447); #36820=LINE('',#250099,#54448); #36821=LINE('',#250110,#54449); #36822=LINE('',#250113,#54450); #36823=LINE('',#250118,#54451); #36824=LINE('',#250124,#54452); #36825=LINE('',#250130,#54453); #36826=LINE('',#250136,#54454); #36827=LINE('',#250142,#54455); #36828=LINE('',#250148,#54456); #36829=LINE('',#250154,#54457); #36830=LINE('',#250160,#54458); #36831=LINE('',#250164,#54459); #36832=LINE('',#250166,#54460); #36833=LINE('',#250167,#54461); #36834=LINE('',#250170,#54462); #36835=LINE('',#250172,#54463); #36836=LINE('',#250173,#54464); #36837=LINE('',#250176,#54465); #36838=LINE('',#250178,#54466); #36839=LINE('',#250179,#54467); #36840=LINE('',#250184,#54468); #36841=LINE('',#250190,#54469); #36842=LINE('',#250196,#54470); #36843=LINE('',#250202,#54471); #36844=LINE('',#250206,#54472); #36845=LINE('',#250208,#54473); #36846=LINE('',#250209,#54474); #36847=LINE('',#250212,#54475); #36848=LINE('',#250214,#54476); #36849=LINE('',#250215,#54477); #36850=LINE('',#250218,#54478); #36851=LINE('',#250220,#54479); #36852=LINE('',#250221,#54480); #36853=LINE('',#250226,#54481); #36854=LINE('',#250232,#54482); #36855=LINE('',#250238,#54483); #36856=LINE('',#250244,#54484); #36857=LINE('',#250250,#54485); #36858=LINE('',#250256,#54486); #36859=LINE('',#250262,#54487); #36860=LINE('',#250268,#54488); #36861=LINE('',#250274,#54489); #36862=LINE('',#250280,#54490); #36863=LINE('',#250286,#54491); #36864=LINE('',#250297,#54492); #36865=LINE('',#250300,#54493); #36866=LINE('',#250305,#54494); #36867=LINE('',#250311,#54495); #36868=LINE('',#250315,#54496); #36869=LINE('',#250317,#54497); #36870=LINE('',#250318,#54498); #36871=LINE('',#250323,#54499); #36872=LINE('',#250329,#54500); #36873=LINE('',#250335,#54501); #36874=LINE('',#250341,#54502); #36875=LINE('',#250347,#54503); #36876=LINE('',#250353,#54504); #36877=LINE('',#250357,#54505); #36878=LINE('',#250359,#54506); #36879=LINE('',#250360,#54507); #36880=LINE('',#250365,#54508); #36881=LINE('',#250371,#54509); #36882=LINE('',#250381,#54510); #36883=LINE('',#250384,#54511); #36884=LINE('',#250389,#54512); #36885=LINE('',#250393,#54513); #36886=LINE('',#250395,#54514); #36887=LINE('',#250396,#54515); #36888=LINE('',#250401,#54516); #36889=LINE('',#250407,#54517); #36890=LINE('',#250413,#54518); #36891=LINE('',#250419,#54519); #36892=LINE('',#250425,#54520); #36893=LINE('',#250431,#54521); #36894=LINE('',#250437,#54522); #36895=LINE('',#250441,#54523); #36896=LINE('',#250443,#54524); #36897=LINE('',#250444,#54525); #36898=LINE('',#250449,#54526); #36899=LINE('',#250455,#54527); #36900=LINE('',#250461,#54528); #36901=LINE('',#250467,#54529); #36902=LINE('',#250478,#54530); #36903=LINE('',#250481,#54531); #36904=LINE('',#250486,#54532); #36905=LINE('',#250490,#54533); #36906=LINE('',#250492,#54534); #36907=LINE('',#250493,#54535); #36908=LINE('',#250498,#54536); #36909=LINE('',#250504,#54537); #36910=LINE('',#250510,#54538); #36911=LINE('',#250516,#54539); #36912=LINE('',#250520,#54540); #36913=LINE('',#250522,#54541); #36914=LINE('',#250523,#54542); #36915=LINE('',#250526,#54543); #36916=LINE('',#250528,#54544); #36917=LINE('',#250529,#54545); #36918=LINE('',#250532,#54546); #36919=LINE('',#250534,#54547); #36920=LINE('',#250535,#54548); #36921=LINE('',#250540,#54549); #36922=LINE('',#250546,#54550); #36923=LINE('',#250552,#54551); #36924=LINE('',#250558,#54552); #36925=LINE('',#250564,#54553); #36926=LINE('',#250568,#54554); #36927=LINE('',#250570,#54555); #36928=LINE('',#250571,#54556); #36929=LINE('',#250574,#54557); #36930=LINE('',#250576,#54558); #36931=LINE('',#250577,#54559); #36932=LINE('',#250580,#54560); #36933=LINE('',#250582,#54561); #36934=LINE('',#250583,#54562); #36935=LINE('',#250588,#54563); #36936=LINE('',#250599,#54564); #36937=LINE('',#250603,#54565); #36938=LINE('',#250607,#54566); #36939=LINE('',#250610,#54567); #36940=LINE('',#250633,#54568); #36941=LINE('',#250634,#54569); #36942=LINE('',#250635,#54570); #36943=LINE('',#250639,#54571); #36944=LINE('',#250642,#54572); #36945=LINE('',#250643,#54573); #36946=LINE('',#250647,#54574); #36947=LINE('',#250650,#54575); #36948=LINE('',#250651,#54576); #36949=LINE('',#250655,#54577); #36950=LINE('',#250658,#54578); #36951=LINE('',#250659,#54579); #36952=LINE('',#250670,#54580); #36953=LINE('',#250672,#54581); #36954=LINE('',#250674,#54582); #36955=LINE('',#250676,#54583); #36956=LINE('',#250678,#54584); #36957=LINE('',#250680,#54585); #36958=LINE('',#250682,#54586); #36959=LINE('',#250684,#54587); #36960=LINE('',#250686,#54588); #36961=LINE('',#250688,#54589); #36962=LINE('',#250690,#54590); #36963=LINE('',#250692,#54591); #36964=LINE('',#250694,#54592); #36965=LINE('',#250696,#54593); #36966=LINE('',#250698,#54594); #36967=LINE('',#250700,#54595); #36968=LINE('',#250702,#54596); #36969=LINE('',#250704,#54597); #36970=LINE('',#250706,#54598); #36971=LINE('',#250708,#54599); #36972=LINE('',#250710,#54600); #36973=LINE('',#250712,#54601); #36974=LINE('',#250714,#54602); #36975=LINE('',#250716,#54603); #36976=LINE('',#250718,#54604); #36977=LINE('',#250720,#54605); #36978=LINE('',#250722,#54606); #36979=LINE('',#250724,#54607); #36980=LINE('',#250726,#54608); #36981=LINE('',#250728,#54609); #36982=LINE('',#250730,#54610); #36983=LINE('',#250732,#54611); #36984=LINE('',#250734,#54612); #36985=LINE('',#250736,#54613); #36986=LINE('',#250738,#54614); #36987=LINE('',#250740,#54615); #36988=LINE('',#250742,#54616); #36989=LINE('',#250744,#54617); #36990=LINE('',#250746,#54618); #36991=LINE('',#250748,#54619); #36992=LINE('',#250750,#54620); #36993=LINE('',#250752,#54621); #36994=LINE('',#250754,#54622); #36995=LINE('',#250756,#54623); #36996=LINE('',#250758,#54624); #36997=LINE('',#250760,#54625); #36998=LINE('',#250762,#54626); #36999=LINE('',#250764,#54627); #37000=LINE('',#250766,#54628); #37001=LINE('',#250768,#54629); #37002=LINE('',#250770,#54630); #37003=LINE('',#250772,#54631); #37004=LINE('',#250774,#54632); #37005=LINE('',#250776,#54633); #37006=LINE('',#250778,#54634); #37007=LINE('',#250780,#54635); #37008=LINE('',#250782,#54636); #37009=LINE('',#250784,#54637); #37010=LINE('',#250786,#54638); #37011=LINE('',#250788,#54639); #37012=LINE('',#250790,#54640); #37013=LINE('',#250792,#54641); #37014=LINE('',#250794,#54642); #37015=LINE('',#250796,#54643); #37016=LINE('',#250798,#54644); #37017=LINE('',#250800,#54645); #37018=LINE('',#250802,#54646); #37019=LINE('',#250804,#54647); #37020=LINE('',#250806,#54648); #37021=LINE('',#250808,#54649); #37022=LINE('',#250810,#54650); #37023=LINE('',#250812,#54651); #37024=LINE('',#250814,#54652); #37025=LINE('',#250816,#54653); #37026=LINE('',#250818,#54654); #37027=LINE('',#250820,#54655); #37028=LINE('',#250822,#54656); #37029=LINE('',#250824,#54657); #37030=LINE('',#250826,#54658); #37031=LINE('',#250828,#54659); #37032=LINE('',#250830,#54660); #37033=LINE('',#250832,#54661); #37034=LINE('',#250834,#54662); #37035=LINE('',#250836,#54663); #37036=LINE('',#250838,#54664); #37037=LINE('',#250840,#54665); #37038=LINE('',#250842,#54666); #37039=LINE('',#250844,#54667); #37040=LINE('',#250846,#54668); #37041=LINE('',#250848,#54669); #37042=LINE('',#250850,#54670); #37043=LINE('',#250852,#54671); #37044=LINE('',#250854,#54672); #37045=LINE('',#250856,#54673); #37046=LINE('',#250858,#54674); #37047=LINE('',#250860,#54675); #37048=LINE('',#250862,#54676); #37049=LINE('',#250864,#54677); #37050=LINE('',#250866,#54678); #37051=LINE('',#250868,#54679); #37052=LINE('',#250870,#54680); #37053=LINE('',#250872,#54681); #37054=LINE('',#250874,#54682); #37055=LINE('',#250876,#54683); #37056=LINE('',#250878,#54684); #37057=LINE('',#250880,#54685); #37058=LINE('',#250882,#54686); #37059=LINE('',#250884,#54687); #37060=LINE('',#250886,#54688); #37061=LINE('',#250888,#54689); #37062=LINE('',#250890,#54690); #37063=LINE('',#250892,#54691); #37064=LINE('',#250894,#54692); #37065=LINE('',#250896,#54693); #37066=LINE('',#250898,#54694); #37067=LINE('',#250900,#54695); #37068=LINE('',#250902,#54696); #37069=LINE('',#250904,#54697); #37070=LINE('',#250906,#54698); #37071=LINE('',#250908,#54699); #37072=LINE('',#250910,#54700); #37073=LINE('',#250912,#54701); #37074=LINE('',#250914,#54702); #37075=LINE('',#250916,#54703); #37076=LINE('',#250918,#54704); #37077=LINE('',#250920,#54705); #37078=LINE('',#250922,#54706); #37079=LINE('',#250924,#54707); #37080=LINE('',#250926,#54708); #37081=LINE('',#250928,#54709); #37082=LINE('',#250930,#54710); #37083=LINE('',#250931,#54711); #37084=LINE('',#250934,#54712); #37085=LINE('',#250936,#54713); #37086=LINE('',#250938,#54714); #37087=LINE('',#250939,#54715); #37088=LINE('',#250943,#54716); #37089=LINE('',#250945,#54717); #37090=LINE('',#250947,#54718); #37091=LINE('',#250948,#54719); #37092=LINE('',#250950,#54720); #37093=LINE('',#250952,#54721); #37094=LINE('',#250954,#54722); #37095=LINE('',#250956,#54723); #37096=LINE('',#250958,#54724); #37097=LINE('',#250960,#54725); #37098=LINE('',#250961,#54726); #37099=LINE('',#250965,#54727); #37100=LINE('',#250967,#54728); #37101=LINE('',#250969,#54729); #37102=LINE('',#250970,#54730); #37103=LINE('',#250972,#54731); #37104=LINE('',#250974,#54732); #37105=LINE('',#250976,#54733); #37106=LINE('',#250978,#54734); #37107=LINE('',#250980,#54735); #37108=LINE('',#250982,#54736); #37109=LINE('',#250983,#54737); #37110=LINE('',#250987,#54738); #37111=LINE('',#250989,#54739); #37112=LINE('',#250991,#54740); #37113=LINE('',#250992,#54741); #37114=LINE('',#250994,#54742); #37115=LINE('',#250996,#54743); #37116=LINE('',#250998,#54744); #37117=LINE('',#251000,#54745); #37118=LINE('',#251002,#54746); #37119=LINE('',#251004,#54747); #37120=LINE('',#251005,#54748); #37121=LINE('',#251009,#54749); #37122=LINE('',#251011,#54750); #37123=LINE('',#251013,#54751); #37124=LINE('',#251015,#54752); #37125=LINE('',#251017,#54753); #37126=LINE('',#251019,#54754); #37127=LINE('',#251021,#54755); #37128=LINE('',#251023,#54756); #37129=LINE('',#251025,#54757); #37130=LINE('',#251026,#54758); #37131=LINE('',#251027,#54759); #37132=LINE('',#251031,#54760); #37133=LINE('',#251033,#54761); #37134=LINE('',#251035,#54762); #37135=LINE('',#251036,#54763); #37136=LINE('',#251038,#54764); #37137=LINE('',#251040,#54765); #37138=LINE('',#251042,#54766); #37139=LINE('',#251044,#54767); #37140=LINE('',#251046,#54768); #37141=LINE('',#251048,#54769); #37142=LINE('',#251049,#54770); #37143=LINE('',#251053,#54771); #37144=LINE('',#251055,#54772); #37145=LINE('',#251057,#54773); #37146=LINE('',#251058,#54774); #37147=LINE('',#251060,#54775); #37148=LINE('',#251062,#54776); #37149=LINE('',#251064,#54777); #37150=LINE('',#251066,#54778); #37151=LINE('',#251068,#54779); #37152=LINE('',#251070,#54780); #37153=LINE('',#251071,#54781); #37154=LINE('',#251075,#54782); #37155=LINE('',#251077,#54783); #37156=LINE('',#251079,#54784); #37157=LINE('',#251080,#54785); #37158=LINE('',#251082,#54786); #37159=LINE('',#251084,#54787); #37160=LINE('',#251086,#54788); #37161=LINE('',#251088,#54789); #37162=LINE('',#251090,#54790); #37163=LINE('',#251092,#54791); #37164=LINE('',#251093,#54792); #37165=LINE('',#251097,#54793); #37166=LINE('',#251099,#54794); #37167=LINE('',#251101,#54795); #37168=LINE('',#251103,#54796); #37169=LINE('',#251105,#54797); #37170=LINE('',#251107,#54798); #37171=LINE('',#251109,#54799); #37172=LINE('',#251111,#54800); #37173=LINE('',#251113,#54801); #37174=LINE('',#251114,#54802); #37175=LINE('',#251115,#54803); #37176=LINE('',#251119,#54804); #37177=LINE('',#251121,#54805); #37178=LINE('',#251123,#54806); #37179=LINE('',#251124,#54807); #37180=LINE('',#251126,#54808); #37181=LINE('',#251128,#54809); #37182=LINE('',#251130,#54810); #37183=LINE('',#251132,#54811); #37184=LINE('',#251134,#54812); #37185=LINE('',#251136,#54813); #37186=LINE('',#251137,#54814); #37187=LINE('',#251141,#54815); #37188=LINE('',#251143,#54816); #37189=LINE('',#251145,#54817); #37190=LINE('',#251146,#54818); #37191=LINE('',#251148,#54819); #37192=LINE('',#251150,#54820); #37193=LINE('',#251152,#54821); #37194=LINE('',#251154,#54822); #37195=LINE('',#251156,#54823); #37196=LINE('',#251158,#54824); #37197=LINE('',#251159,#54825); #37198=LINE('',#251163,#54826); #37199=LINE('',#251165,#54827); #37200=LINE('',#251167,#54828); #37201=LINE('',#251169,#54829); #37202=LINE('',#251171,#54830); #37203=LINE('',#251173,#54831); #37204=LINE('',#251175,#54832); #37205=LINE('',#251177,#54833); #37206=LINE('',#251179,#54834); #37207=LINE('',#251180,#54835); #37208=LINE('',#251181,#54836); #37209=LINE('',#251185,#54837); #37210=LINE('',#251187,#54838); #37211=LINE('',#251189,#54839); #37212=LINE('',#251190,#54840); #37213=LINE('',#251192,#54841); #37214=LINE('',#251194,#54842); #37215=LINE('',#251196,#54843); #37216=LINE('',#251198,#54844); #37217=LINE('',#251200,#54845); #37218=LINE('',#251202,#54846); #37219=LINE('',#251203,#54847); #37220=LINE('',#251207,#54848); #37221=LINE('',#251209,#54849); #37222=LINE('',#251211,#54850); #37223=LINE('',#251213,#54851); #37224=LINE('',#251215,#54852); #37225=LINE('',#251217,#54853); #37226=LINE('',#251219,#54854); #37227=LINE('',#251221,#54855); #37228=LINE('',#251223,#54856); #37229=LINE('',#251224,#54857); #37230=LINE('',#251225,#54858); #37231=LINE('',#251229,#54859); #37232=LINE('',#251231,#54860); #37233=LINE('',#251233,#54861); #37234=LINE('',#251234,#54862); #37235=LINE('',#251236,#54863); #37236=LINE('',#251238,#54864); #37237=LINE('',#251240,#54865); #37238=LINE('',#251242,#54866); #37239=LINE('',#251244,#54867); #37240=LINE('',#251246,#54868); #37241=LINE('',#251247,#54869); #37242=LINE('',#251251,#54870); #37243=LINE('',#251253,#54871); #37244=LINE('',#251255,#54872); #37245=LINE('',#251257,#54873); #37246=LINE('',#251259,#54874); #37247=LINE('',#251261,#54875); #37248=LINE('',#251263,#54876); #37249=LINE('',#251265,#54877); #37250=LINE('',#251267,#54878); #37251=LINE('',#251268,#54879); #37252=LINE('',#251269,#54880); #37253=LINE('',#251273,#54881); #37254=LINE('',#251275,#54882); #37255=LINE('',#251277,#54883); #37256=LINE('',#251278,#54884); #37257=LINE('',#251280,#54885); #37258=LINE('',#251282,#54886); #37259=LINE('',#251283,#54887); #37260=LINE('',#251287,#54888); #37261=LINE('',#251289,#54889); #37262=LINE('',#251291,#54890); #37263=LINE('',#251292,#54891); #37264=LINE('',#251294,#54892); #37265=LINE('',#251296,#54893); #37266=LINE('',#251298,#54894); #37267=LINE('',#251300,#54895); #37268=LINE('',#251302,#54896); #37269=LINE('',#251304,#54897); #37270=LINE('',#251305,#54898); #37271=LINE('',#251309,#54899); #37272=LINE('',#251311,#54900); #37273=LINE('',#251313,#54901); #37274=LINE('',#251315,#54902); #37275=LINE('',#251317,#54903); #37276=LINE('',#251319,#54904); #37277=LINE('',#251321,#54905); #37278=LINE('',#251323,#54906); #37279=LINE('',#251325,#54907); #37280=LINE('',#251326,#54908); #37281=LINE('',#251327,#54909); #37282=LINE('',#251331,#54910); #37283=LINE('',#251333,#54911); #37284=LINE('',#251335,#54912); #37285=LINE('',#251336,#54913); #37286=LINE('',#251338,#54914); #37287=LINE('',#251340,#54915); #37288=LINE('',#251342,#54916); #37289=LINE('',#251344,#54917); #37290=LINE('',#251346,#54918); #37291=LINE('',#251348,#54919); #37292=LINE('',#251349,#54920); #37293=LINE('',#251353,#54921); #37294=LINE('',#251355,#54922); #37295=LINE('',#251357,#54923); #37296=LINE('',#251359,#54924); #37297=LINE('',#251361,#54925); #37298=LINE('',#251363,#54926); #37299=LINE('',#251365,#54927); #37300=LINE('',#251367,#54928); #37301=LINE('',#251369,#54929); #37302=LINE('',#251370,#54930); #37303=LINE('',#251371,#54931); #37304=LINE('',#251375,#54932); #37305=LINE('',#251377,#54933); #37306=LINE('',#251379,#54934); #37307=LINE('',#251380,#54935); #37308=LINE('',#251382,#54936); #37309=LINE('',#251384,#54937); #37310=LINE('',#251386,#54938); #37311=LINE('',#251388,#54939); #37312=LINE('',#251390,#54940); #37313=LINE('',#251392,#54941); #37314=LINE('',#251393,#54942); #37315=LINE('',#251397,#54943); #37316=LINE('',#251399,#54944); #37317=LINE('',#251401,#54945); #37318=LINE('',#251403,#54946); #37319=LINE('',#251405,#54947); #37320=LINE('',#251407,#54948); #37321=LINE('',#251409,#54949); #37322=LINE('',#251411,#54950); #37323=LINE('',#251413,#54951); #37324=LINE('',#251414,#54952); #37325=LINE('',#251415,#54953); #37326=LINE('',#251419,#54954); #37327=LINE('',#251421,#54955); #37328=LINE('',#251423,#54956); #37329=LINE('',#251425,#54957); #37330=LINE('',#251427,#54958); #37331=LINE('',#251429,#54959); #37332=LINE('',#251431,#54960); #37333=LINE('',#251433,#54961); #37334=LINE('',#251435,#54962); #37335=LINE('',#251436,#54963); #37336=LINE('',#251437,#54964); #37337=LINE('',#251441,#54965); #37338=LINE('',#251443,#54966); #37339=LINE('',#251445,#54967); #37340=LINE('',#251447,#54968); #37341=LINE('',#251449,#54969); #37342=LINE('',#251451,#54970); #37343=LINE('',#251453,#54971); #37344=LINE('',#251455,#54972); #37345=LINE('',#251457,#54973); #37346=LINE('',#251458,#54974); #37347=LINE('',#251459,#54975); #37348=LINE('',#251463,#54976); #37349=LINE('',#251465,#54977); #37350=LINE('',#251467,#54978); #37351=LINE('',#251468,#54979); #37352=LINE('',#251470,#54980); #37353=LINE('',#251472,#54981); #37354=LINE('',#251474,#54982); #37355=LINE('',#251476,#54983); #37356=LINE('',#251478,#54984); #37357=LINE('',#251480,#54985); #37358=LINE('',#251481,#54986); #37359=LINE('',#251485,#54987); #37360=LINE('',#251487,#54988); #37361=LINE('',#251489,#54989); #37362=LINE('',#251491,#54990); #37363=LINE('',#251493,#54991); #37364=LINE('',#251495,#54992); #37365=LINE('',#251497,#54993); #37366=LINE('',#251499,#54994); #37367=LINE('',#251501,#54995); #37368=LINE('',#251502,#54996); #37369=LINE('',#251503,#54997); #37370=LINE('',#251507,#54998); #37371=LINE('',#251509,#54999); #37372=LINE('',#251511,#55000); #37373=LINE('',#251512,#55001); #37374=LINE('',#251514,#55002); #37375=LINE('',#251516,#55003); #37376=LINE('',#251517,#55004); #37377=LINE('',#251521,#55005); #37378=LINE('',#251523,#55006); #37379=LINE('',#251525,#55007); #37380=LINE('',#251527,#55008); #37381=LINE('',#251529,#55009); #37382=LINE('',#251531,#55010); #37383=LINE('',#251533,#55011); #37384=LINE('',#251535,#55012); #37385=LINE('',#251537,#55013); #37386=LINE('',#251538,#55014); #37387=LINE('',#251539,#55015); #37388=LINE('',#251543,#55016); #37389=LINE('',#251545,#55017); #37390=LINE('',#251547,#55018); #37391=LINE('',#251548,#55019); #37392=LINE('',#251550,#55020); #37393=LINE('',#251552,#55021); #37394=LINE('',#251554,#55022); #37395=LINE('',#251556,#55023); #37396=LINE('',#251558,#55024); #37397=LINE('',#251560,#55025); #37398=LINE('',#251561,#55026); #37399=LINE('',#251565,#55027); #37400=LINE('',#251567,#55028); #37401=LINE('',#251569,#55029); #37402=LINE('',#251571,#55030); #37403=LINE('',#251573,#55031); #37404=LINE('',#251575,#55032); #37405=LINE('',#251577,#55033); #37406=LINE('',#251579,#55034); #37407=LINE('',#251581,#55035); #37408=LINE('',#251582,#55036); #37409=LINE('',#251583,#55037); #37410=LINE('',#251587,#55038); #37411=LINE('',#251589,#55039); #37412=LINE('',#251591,#55040); #37413=LINE('',#251593,#55041); #37414=LINE('',#251595,#55042); #37415=LINE('',#251597,#55043); #37416=LINE('',#251599,#55044); #37417=LINE('',#251601,#55045); #37418=LINE('',#251603,#55046); #37419=LINE('',#251604,#55047); #37420=LINE('',#251605,#55048); #37421=LINE('',#251609,#55049); #37422=LINE('',#251611,#55050); #37423=LINE('',#251613,#55051); #37424=LINE('',#251615,#55052); #37425=LINE('',#251617,#55053); #37426=LINE('',#251619,#55054); #37427=LINE('',#251621,#55055); #37428=LINE('',#251623,#55056); #37429=LINE('',#251625,#55057); #37430=LINE('',#251626,#55058); #37431=LINE('',#251627,#55059); #37432=LINE('',#251631,#55060); #37433=LINE('',#251632,#55061); #37434=LINE('',#251634,#55062); #37435=LINE('',#251636,#55063); #37436=LINE('',#251638,#55064); #37437=LINE('',#251640,#55065); #37438=LINE('',#251641,#55066); #37439=LINE('',#251643,#55067); #37440=LINE('',#251645,#55068); #37441=LINE('',#251646,#55069); #37442=LINE('',#251648,#55070); #37443=LINE('',#251650,#55071); #37444=LINE('',#251651,#55072); #37445=LINE('',#251653,#55073); #37446=LINE('',#251655,#55074); #37447=LINE('',#251656,#55075); #37448=LINE('',#251658,#55076); #37449=LINE('',#251660,#55077); #37450=LINE('',#251661,#55078); #37451=LINE('',#251663,#55079); #37452=LINE('',#251665,#55080); #37453=LINE('',#251666,#55081); #37454=LINE('',#251668,#55082); #37455=LINE('',#251670,#55083); #37456=LINE('',#251671,#55084); #37457=LINE('',#251673,#55085); #37458=LINE('',#251675,#55086); #37459=LINE('',#251676,#55087); #37460=LINE('',#251678,#55088); #37461=LINE('',#251680,#55089); #37462=LINE('',#251681,#55090); #37463=LINE('',#251683,#55091); #37464=LINE('',#251685,#55092); #37465=LINE('',#251686,#55093); #37466=LINE('',#251688,#55094); #37467=LINE('',#251690,#55095); #37468=LINE('',#251691,#55096); #37469=LINE('',#251693,#55097); #37470=LINE('',#251695,#55098); #37471=LINE('',#251696,#55099); #37472=LINE('',#251698,#55100); #37473=LINE('',#251700,#55101); #37474=LINE('',#251701,#55102); #37475=LINE('',#251703,#55103); #37476=LINE('',#251705,#55104); #37477=LINE('',#251706,#55105); #37478=LINE('',#251708,#55106); #37479=LINE('',#251710,#55107); #37480=LINE('',#251711,#55108); #37481=LINE('',#251713,#55109); #37482=LINE('',#251715,#55110); #37483=LINE('',#251716,#55111); #37484=LINE('',#251718,#55112); #37485=LINE('',#251720,#55113); #37486=LINE('',#251722,#55114); #37487=LINE('',#251724,#55115); #37488=LINE('',#251725,#55116); #37489=LINE('',#251727,#55117); #37490=LINE('',#251729,#55118); #37491=LINE('',#251730,#55119); #37492=LINE('',#251732,#55120); #37493=LINE('',#251734,#55121); #37494=LINE('',#251735,#55122); #37495=LINE('',#251737,#55123); #37496=LINE('',#251739,#55124); #37497=LINE('',#251740,#55125); #37498=LINE('',#251742,#55126); #37499=LINE('',#251744,#55127); #37500=LINE('',#251745,#55128); #37501=LINE('',#251747,#55129); #37502=LINE('',#251749,#55130); #37503=LINE('',#251750,#55131); #37504=LINE('',#251752,#55132); #37505=LINE('',#251754,#55133); #37506=LINE('',#251755,#55134); #37507=LINE('',#251757,#55135); #37508=LINE('',#251759,#55136); #37509=LINE('',#251760,#55137); #37510=LINE('',#251762,#55138); #37511=LINE('',#251764,#55139); #37512=LINE('',#251765,#55140); #37513=LINE('',#251767,#55141); #37514=LINE('',#251769,#55142); #37515=LINE('',#251770,#55143); #37516=LINE('',#251772,#55144); #37517=LINE('',#251774,#55145); #37518=LINE('',#251775,#55146); #37519=LINE('',#251777,#55147); #37520=LINE('',#251779,#55148); #37521=LINE('',#251780,#55149); #37522=LINE('',#251782,#55150); #37523=LINE('',#251784,#55151); #37524=LINE('',#251785,#55152); #37525=LINE('',#251787,#55153); #37526=LINE('',#251789,#55154); #37527=LINE('',#251790,#55155); #37528=LINE('',#251792,#55156); #37529=LINE('',#251794,#55157); #37530=LINE('',#251795,#55158); #37531=LINE('',#251796,#55159); #37532=LINE('',#251798,#55160); #37533=LINE('',#251802,#55161); #37534=LINE('',#251804,#55162); #37535=LINE('',#251806,#55163); #37536=LINE('',#251807,#55164); #37537=LINE('',#251808,#55165); #37538=LINE('',#251809,#55166); #37539=LINE('',#251814,#55167); #37540=LINE('',#251816,#55168); #37541=LINE('',#251818,#55169); #37542=LINE('',#251819,#55170); #37543=LINE('',#251820,#55171); #37544=LINE('',#251823,#55172); #37545=LINE('',#251824,#55173); #37546=LINE('',#251827,#55174); #37547=LINE('',#251828,#55175); #37548=LINE('',#251830,#55176); #37549=LINE('',#251835,#55177); #37550=LINE('',#251836,#55178); #37551=LINE('',#251839,#55179); #37552=LINE('',#251840,#55180); #37553=LINE('',#251842,#55181); #37554=LINE('',#251847,#55182); #37555=LINE('',#251848,#55183); #37556=LINE('',#251851,#55184); #37557=LINE('',#251852,#55185); #37558=LINE('',#251854,#55186); #37559=LINE('',#251859,#55187); #37560=LINE('',#251860,#55188); #37561=LINE('',#251863,#55189); #37562=LINE('',#251864,#55190); #37563=LINE('',#251866,#55191); #37564=LINE('',#251871,#55192); #37565=LINE('',#251872,#55193); #37566=LINE('',#251875,#55194); #37567=LINE('',#251876,#55195); #37568=LINE('',#251878,#55196); #37569=LINE('',#251883,#55197); #37570=LINE('',#251884,#55198); #37571=LINE('',#251887,#55199); #37572=LINE('',#251888,#55200); #37573=LINE('',#251890,#55201); #37574=LINE('',#251895,#55202); #37575=LINE('',#251896,#55203); #37576=LINE('',#251899,#55204); #37577=LINE('',#251900,#55205); #37578=LINE('',#251902,#55206); #37579=LINE('',#251907,#55207); #37580=LINE('',#251908,#55208); #37581=LINE('',#251911,#55209); #37582=LINE('',#251912,#55210); #37583=LINE('',#251914,#55211); #37584=LINE('',#251919,#55212); #37585=LINE('',#251920,#55213); #37586=LINE('',#251923,#55214); #37587=LINE('',#251924,#55215); #37588=LINE('',#251926,#55216); #37589=LINE('',#251931,#55217); #37590=LINE('',#251932,#55218); #37591=LINE('',#251935,#55219); #37592=LINE('',#251936,#55220); #37593=LINE('',#251938,#55221); #37594=LINE('',#251943,#55222); #37595=LINE('',#251944,#55223); #37596=LINE('',#251947,#55224); #37597=LINE('',#251948,#55225); #37598=LINE('',#251950,#55226); #37599=LINE('',#251955,#55227); #37600=LINE('',#251956,#55228); #37601=LINE('',#251959,#55229); #37602=LINE('',#251960,#55230); #37603=LINE('',#251962,#55231); #37604=LINE('',#251967,#55232); #37605=LINE('',#251968,#55233); #37606=LINE('',#251971,#55234); #37607=LINE('',#251972,#55235); #37608=LINE('',#251974,#55236); #37609=LINE('',#251979,#55237); #37610=LINE('',#251980,#55238); #37611=LINE('',#251983,#55239); #37612=LINE('',#251984,#55240); #37613=LINE('',#251986,#55241); #37614=LINE('',#251991,#55242); #37615=LINE('',#251992,#55243); #37616=LINE('',#251995,#55244); #37617=LINE('',#251996,#55245); #37618=LINE('',#251998,#55246); #37619=LINE('',#252003,#55247); #37620=LINE('',#252004,#55248); #37621=LINE('',#252007,#55249); #37622=LINE('',#252008,#55250); #37623=LINE('',#252010,#55251); #37624=LINE('',#252015,#55252); #37625=LINE('',#252016,#55253); #37626=LINE('',#252019,#55254); #37627=LINE('',#252020,#55255); #37628=LINE('',#252022,#55256); #37629=LINE('',#252027,#55257); #37630=LINE('',#252028,#55258); #37631=LINE('',#252031,#55259); #37632=LINE('',#252032,#55260); #37633=LINE('',#252034,#55261); #37634=LINE('',#252039,#55262); #37635=LINE('',#252040,#55263); #37636=LINE('',#252043,#55264); #37637=LINE('',#252044,#55265); #37638=LINE('',#252046,#55266); #37639=LINE('',#252051,#55267); #37640=LINE('',#252052,#55268); #37641=LINE('',#252055,#55269); #37642=LINE('',#252056,#55270); #37643=LINE('',#252058,#55271); #37644=LINE('',#252063,#55272); #37645=LINE('',#252064,#55273); #37646=LINE('',#252067,#55274); #37647=LINE('',#252068,#55275); #37648=LINE('',#252070,#55276); #37649=LINE('',#252075,#55277); #37650=LINE('',#252076,#55278); #37651=LINE('',#252079,#55279); #37652=LINE('',#252080,#55280); #37653=LINE('',#252082,#55281); #37654=LINE('',#252087,#55282); #37655=LINE('',#252088,#55283); #37656=LINE('',#252091,#55284); #37657=LINE('',#252092,#55285); #37658=LINE('',#252094,#55286); #37659=LINE('',#252099,#55287); #37660=LINE('',#252100,#55288); #37661=LINE('',#252103,#55289); #37662=LINE('',#252104,#55290); #37663=LINE('',#252106,#55291); #37664=LINE('',#252111,#55292); #37665=LINE('',#252112,#55293); #37666=LINE('',#252115,#55294); #37667=LINE('',#252116,#55295); #37668=LINE('',#252118,#55296); #37669=LINE('',#252123,#55297); #37670=LINE('',#252124,#55298); #37671=LINE('',#252127,#55299); #37672=LINE('',#252128,#55300); #37673=LINE('',#252130,#55301); #37674=LINE('',#252135,#55302); #37675=LINE('',#252136,#55303); #37676=LINE('',#252139,#55304); #37677=LINE('',#252140,#55305); #37678=LINE('',#252142,#55306); #37679=LINE('',#252147,#55307); #37680=LINE('',#252148,#55308); #37681=LINE('',#252151,#55309); #37682=LINE('',#252152,#55310); #37683=LINE('',#252154,#55311); #37684=LINE('',#252159,#55312); #37685=LINE('',#252160,#55313); #37686=LINE('',#252163,#55314); #37687=LINE('',#252164,#55315); #37688=LINE('',#252166,#55316); #37689=LINE('',#252171,#55317); #37690=LINE('',#252172,#55318); #37691=LINE('',#252175,#55319); #37692=LINE('',#252176,#55320); #37693=LINE('',#252178,#55321); #37694=LINE('',#252183,#55322); #37695=LINE('',#252184,#55323); #37696=LINE('',#252187,#55324); #37697=LINE('',#252188,#55325); #37698=LINE('',#252190,#55326); #37699=LINE('',#252195,#55327); #37700=LINE('',#252196,#55328); #37701=LINE('',#252199,#55329); #37702=LINE('',#252200,#55330); #37703=LINE('',#252202,#55331); #37704=LINE('',#252207,#55332); #37705=LINE('',#252208,#55333); #37706=LINE('',#252211,#55334); #37707=LINE('',#252212,#55335); #37708=LINE('',#252214,#55336); #37709=LINE('',#252219,#55337); #37710=LINE('',#252220,#55338); #37711=LINE('',#252223,#55339); #37712=LINE('',#252224,#55340); #37713=LINE('',#252226,#55341); #37714=LINE('',#252231,#55342); #37715=LINE('',#252232,#55343); #37716=LINE('',#252235,#55344); #37717=LINE('',#252236,#55345); #37718=LINE('',#252238,#55346); #37719=LINE('',#252243,#55347); #37720=LINE('',#252244,#55348); #37721=LINE('',#252247,#55349); #37722=LINE('',#252248,#55350); #37723=LINE('',#252250,#55351); #37724=LINE('',#252255,#55352); #37725=LINE('',#252256,#55353); #37726=LINE('',#252259,#55354); #37727=LINE('',#252260,#55355); #37728=LINE('',#252262,#55356); #37729=LINE('',#252267,#55357); #37730=LINE('',#252268,#55358); #37731=LINE('',#252271,#55359); #37732=LINE('',#252272,#55360); #37733=LINE('',#252274,#55361); #37734=LINE('',#252279,#55362); #37735=LINE('',#252280,#55363); #37736=LINE('',#252283,#55364); #37737=LINE('',#252284,#55365); #37738=LINE('',#252286,#55366); #37739=LINE('',#252291,#55367); #37740=LINE('',#252292,#55368); #37741=LINE('',#252295,#55369); #37742=LINE('',#252296,#55370); #37743=LINE('',#252298,#55371); #37744=LINE('',#252303,#55372); #37745=LINE('',#252304,#55373); #37746=LINE('',#252307,#55374); #37747=LINE('',#252308,#55375); #37748=LINE('',#252310,#55376); #37749=LINE('',#252315,#55377); #37750=LINE('',#252316,#55378); #37751=LINE('',#252319,#55379); #37752=LINE('',#252320,#55380); #37753=LINE('',#252322,#55381); #37754=LINE('',#252327,#55382); #37755=LINE('',#252328,#55383); #37756=LINE('',#252331,#55384); #37757=LINE('',#252332,#55385); #37758=LINE('',#252334,#55386); #37759=LINE('',#252339,#55387); #37760=LINE('',#252340,#55388); #37761=LINE('',#252343,#55389); #37762=LINE('',#252344,#55390); #37763=LINE('',#252346,#55391); #37764=LINE('',#252351,#55392); #37765=LINE('',#252352,#55393); #37766=LINE('',#252355,#55394); #37767=LINE('',#252356,#55395); #37768=LINE('',#252358,#55396); #37769=LINE('',#252363,#55397); #37770=LINE('',#252364,#55398); #37771=LINE('',#252367,#55399); #37772=LINE('',#252368,#55400); #37773=LINE('',#252370,#55401); #37774=LINE('',#252375,#55402); #37775=LINE('',#252376,#55403); #37776=LINE('',#252379,#55404); #37777=LINE('',#252380,#55405); #37778=LINE('',#252382,#55406); #37779=LINE('',#252387,#55407); #37780=LINE('',#252388,#55408); #37781=LINE('',#252391,#55409); #37782=LINE('',#252392,#55410); #37783=LINE('',#252394,#55411); #37784=LINE('',#252399,#55412); #37785=LINE('',#252400,#55413); #37786=LINE('',#252403,#55414); #37787=LINE('',#252404,#55415); #37788=LINE('',#252406,#55416); #37789=LINE('',#252411,#55417); #37790=LINE('',#252412,#55418); #37791=LINE('',#252415,#55419); #37792=LINE('',#252416,#55420); #37793=LINE('',#252418,#55421); #37794=LINE('',#252423,#55422); #37795=LINE('',#252424,#55423); #37796=LINE('',#252427,#55424); #37797=LINE('',#252428,#55425); #37798=LINE('',#252430,#55426); #37799=LINE('',#252435,#55427); #37800=LINE('',#252436,#55428); #37801=LINE('',#252439,#55429); #37802=LINE('',#252440,#55430); #37803=LINE('',#252442,#55431); #37804=LINE('',#252447,#55432); #37805=LINE('',#252448,#55433); #37806=LINE('',#252451,#55434); #37807=LINE('',#252452,#55435); #37808=LINE('',#252454,#55436); #37809=LINE('',#252459,#55437); #37810=LINE('',#252460,#55438); #37811=LINE('',#252463,#55439); #37812=LINE('',#252464,#55440); #37813=LINE('',#252466,#55441); #37814=LINE('',#252471,#55442); #37815=LINE('',#252472,#55443); #37816=LINE('',#252475,#55444); #37817=LINE('',#252476,#55445); #37818=LINE('',#252478,#55446); #37819=LINE('',#252483,#55447); #37820=LINE('',#252484,#55448); #37821=LINE('',#252487,#55449); #37822=LINE('',#252488,#55450); #37823=LINE('',#252490,#55451); #37824=LINE('',#252495,#55452); #37825=LINE('',#252496,#55453); #37826=LINE('',#252499,#55454); #37827=LINE('',#252500,#55455); #37828=LINE('',#252502,#55456); #37829=LINE('',#252507,#55457); #37830=LINE('',#252508,#55458); #37831=LINE('',#252511,#55459); #37832=LINE('',#252512,#55460); #37833=LINE('',#252514,#55461); #37834=LINE('',#252519,#55462); #37835=LINE('',#252520,#55463); #37836=LINE('',#252523,#55464); #37837=LINE('',#252524,#55465); #37838=LINE('',#252526,#55466); #37839=LINE('',#252531,#55467); #37840=LINE('',#252532,#55468); #37841=LINE('',#252535,#55469); #37842=LINE('',#252536,#55470); #37843=LINE('',#252538,#55471); #37844=LINE('',#252543,#55472); #37845=LINE('',#252544,#55473); #37846=LINE('',#252547,#55474); #37847=LINE('',#252548,#55475); #37848=LINE('',#252550,#55476); #37849=LINE('',#252555,#55477); #37850=LINE('',#252556,#55478); #37851=LINE('',#252559,#55479); #37852=LINE('',#252560,#55480); #37853=LINE('',#252562,#55481); #37854=LINE('',#252567,#55482); #37855=LINE('',#252568,#55483); #37856=LINE('',#252571,#55484); #37857=LINE('',#252572,#55485); #37858=LINE('',#252574,#55486); #37859=LINE('',#252579,#55487); #37860=LINE('',#252580,#55488); #37861=LINE('',#252583,#55489); #37862=LINE('',#252584,#55490); #37863=LINE('',#252586,#55491); #37864=LINE('',#252624,#55492); #37865=LINE('',#252626,#55493); #37866=LINE('',#252628,#55494); #37867=LINE('',#252629,#55495); #37868=LINE('',#252632,#55496); #37869=LINE('',#252634,#55497); #37870=LINE('',#252636,#55498); #37871=LINE('',#252637,#55499); #37872=LINE('',#252639,#55500); #37873=LINE('',#252640,#55501); #37874=LINE('',#252642,#55502); #37875=LINE('',#252644,#55503); #37876=LINE('',#252649,#55504); #37877=LINE('',#252650,#55505); #37878=LINE('',#252651,#55506); #37879=LINE('',#252654,#55507); #37880=LINE('',#252655,#55508); #37881=LINE('',#252658,#55509); #37882=LINE('',#252659,#55510); #37883=LINE('',#252661,#55511); #37884=LINE('',#252667,#55512); #37885=LINE('',#252669,#55513); #37886=LINE('',#252671,#55514); #37887=LINE('',#252672,#55515); #37888=LINE('',#252677,#55516); #37889=LINE('',#252681,#55517); #37890=LINE('',#252683,#55518); #37891=LINE('',#252684,#55519); #37892=LINE('',#252689,#55520); #37893=LINE('',#252695,#55521); #37894=LINE('',#252699,#55522); #37895=LINE('',#252701,#55523); #37896=LINE('',#252702,#55524); #37897=LINE('',#252707,#55525); #37898=LINE('',#252713,#55526); #37899=LINE('',#252719,#55527); #37900=LINE('',#252725,#55528); #37901=LINE('',#252728,#55529); #37902=LINE('',#252729,#55530); #37903=LINE('',#252735,#55531); #37904=LINE('',#252738,#55532); #37905=LINE('',#252743,#55533); #37906=LINE('',#252749,#55534); #37907=LINE('',#252755,#55535); #37908=LINE('',#252759,#55536); #37909=LINE('',#252761,#55537); #37910=LINE('',#252762,#55538); #37911=LINE('',#252767,#55539); #37912=LINE('',#252773,#55540); #37913=LINE('',#252777,#55541); #37914=LINE('',#252779,#55542); #37915=LINE('',#252780,#55543); #37916=LINE('',#252785,#55544); #37917=LINE('',#252789,#55545); #37918=LINE('',#252791,#55546); #37919=LINE('',#252792,#55547); #37920=LINE('',#252794,#55548); #37921=LINE('',#252795,#55549); #37922=LINE('',#252800,#55550); #37923=LINE('',#252802,#55551); #37924=LINE('',#252804,#55552); #37925=LINE('',#252806,#55553); #37926=LINE('',#252808,#55554); #37927=LINE('',#252810,#55555); #37928=LINE('',#252812,#55556); #37929=LINE('',#252814,#55557); #37930=LINE('',#252816,#55558); #37931=LINE('',#252818,#55559); #37932=LINE('',#252820,#55560); #37933=LINE('',#252821,#55561); #37934=LINE('',#252852,#55562); #37935=LINE('',#252856,#55563); #37936=LINE('',#252860,#55564); #37937=LINE('',#252864,#55565); #37938=LINE('',#252868,#55566); #37939=LINE('',#252870,#55567); #37940=LINE('',#252872,#55568); #37941=LINE('',#252874,#55569); #37942=LINE('',#252876,#55570); #37943=LINE('',#252878,#55571); #37944=LINE('',#252880,#55572); #37945=LINE('',#252882,#55573); #37946=LINE('',#252884,#55574); #37947=LINE('',#252886,#55575); #37948=LINE('',#252888,#55576); #37949=LINE('',#252890,#55577); #37950=LINE('',#252892,#55578); #37951=LINE('',#252894,#55579); #37952=LINE('',#252896,#55580); #37953=LINE('',#252898,#55581); #37954=LINE('',#252900,#55582); #37955=LINE('',#252902,#55583); #37956=LINE('',#252904,#55584); #37957=LINE('',#252906,#55585); #37958=LINE('',#252908,#55586); #37959=LINE('',#252910,#55587); #37960=LINE('',#252912,#55588); #37961=LINE('',#252914,#55589); #37962=LINE('',#252916,#55590); #37963=LINE('',#252918,#55591); #37964=LINE('',#252920,#55592); #37965=LINE('',#252922,#55593); #37966=LINE('',#252924,#55594); #37967=LINE('',#252926,#55595); #37968=LINE('',#252928,#55596); #37969=LINE('',#252930,#55597); #37970=LINE('',#252934,#55598); #37971=LINE('',#252938,#55599); #37972=LINE('',#252940,#55600); #37973=LINE('',#252942,#55601); #37974=LINE('',#252944,#55602); #37975=LINE('',#252946,#55603); #37976=LINE('',#252948,#55604); #37977=LINE('',#252950,#55605); #37978=LINE('',#252952,#55606); #37979=LINE('',#252954,#55607); #37980=LINE('',#252956,#55608); #37981=LINE('',#252958,#55609); #37982=LINE('',#252960,#55610); #37983=LINE('',#252962,#55611); #37984=LINE('',#252964,#55612); #37985=LINE('',#252966,#55613); #37986=LINE('',#252968,#55614); #37987=LINE('',#252970,#55615); #37988=LINE('',#252972,#55616); #37989=LINE('',#252974,#55617); #37990=LINE('',#252976,#55618); #37991=LINE('',#252978,#55619); #37992=LINE('',#252982,#55620); #37993=LINE('',#252986,#55621); #37994=LINE('',#252988,#55622); #37995=LINE('',#252990,#55623); #37996=LINE('',#252992,#55624); #37997=LINE('',#252993,#55625); #37998=LINE('',#253002,#55626); #37999=LINE('',#253016,#55627); #38000=LINE('',#253018,#55628); #38001=LINE('',#253022,#55629); #38002=LINE('',#253026,#55630); #38003=LINE('',#253028,#55631); #38004=LINE('',#253030,#55632); #38005=LINE('',#253032,#55633); #38006=LINE('',#253034,#55634); #38007=LINE('',#253036,#55635); #38008=LINE('',#253038,#55636); #38009=LINE('',#253050,#55637); #38010=LINE('',#253064,#55638); #38011=LINE('',#253066,#55639); #38012=LINE('',#253070,#55640); #38013=LINE('',#253072,#55641); #38014=LINE('',#253076,#55642); #38015=LINE('',#253084,#55643); #38016=LINE('',#253086,#55644); #38017=LINE('',#253088,#55645); #38018=LINE('',#253090,#55646); #38019=LINE('',#253094,#55647); #38020=LINE('',#253114,#55648); #38021=LINE('',#253120,#55649); #38022=LINE('',#253124,#55650); #38023=LINE('',#253126,#55651); #38024=LINE('',#253128,#55652); #38025=LINE('',#253130,#55653); #38026=LINE('',#253132,#55654); #38027=LINE('',#253134,#55655); #38028=LINE('',#253136,#55656); #38029=LINE('',#253138,#55657); #38030=LINE('',#253140,#55658); #38031=LINE('',#253142,#55659); #38032=LINE('',#253144,#55660); #38033=LINE('',#253146,#55661); #38034=LINE('',#253148,#55662); #38035=LINE('',#253150,#55663); #38036=LINE('',#253152,#55664); #38037=LINE('',#253153,#55665); #38038=LINE('',#253156,#55666); #38039=LINE('',#253158,#55667); #38040=LINE('',#253160,#55668); #38041=LINE('',#253162,#55669); #38042=LINE('',#253164,#55670); #38043=LINE('',#253166,#55671); #38044=LINE('',#253170,#55672); #38045=LINE('',#253174,#55673); #38046=LINE('',#253175,#55674); #38047=LINE('',#253188,#55675); #38048=LINE('',#253190,#55676); #38049=LINE('',#253192,#55677); #38050=LINE('',#253194,#55678); #38051=LINE('',#253196,#55679); #38052=LINE('',#253198,#55680); #38053=LINE('',#253200,#55681); #38054=LINE('',#253202,#55682); #38055=LINE('',#253210,#55683); #38056=LINE('',#253216,#55684); #38057=LINE('',#253220,#55685); #38058=LINE('',#253222,#55686); #38059=LINE('',#253224,#55687); #38060=LINE('',#253226,#55688); #38061=LINE('',#253228,#55689); #38062=LINE('',#253230,#55690); #38063=LINE('',#253232,#55691); #38064=LINE('',#253237,#55692); #38065=LINE('',#253240,#55693); #38066=LINE('',#253242,#55694); #38067=LINE('',#253244,#55695); #38068=LINE('',#253246,#55696); #38069=LINE('',#253248,#55697); #38070=LINE('',#253250,#55698); #38071=LINE('',#253252,#55699); #38072=LINE('',#253254,#55700); #38073=LINE('',#253256,#55701); #38074=LINE('',#253260,#55702); #38075=LINE('',#253262,#55703); #38076=LINE('',#253264,#55704); #38077=LINE('',#253266,#55705); #38078=LINE('',#253270,#55706); #38079=LINE('',#253272,#55707); #38080=LINE('',#253274,#55708); #38081=LINE('',#253278,#55709); #38082=LINE('',#253280,#55710); #38083=LINE('',#253282,#55711); #38084=LINE('',#253284,#55712); #38085=LINE('',#253288,#55713); #38086=LINE('',#253290,#55714); #38087=LINE('',#253292,#55715); #38088=LINE('',#253294,#55716); #38089=LINE('',#253296,#55717); #38090=LINE('',#253298,#55718); #38091=LINE('',#253300,#55719); #38092=LINE('',#253304,#55720); #38093=LINE('',#253306,#55721); #38094=LINE('',#253308,#55722); #38095=LINE('',#253310,#55723); #38096=LINE('',#253312,#55724); #38097=LINE('',#253316,#55725); #38098=LINE('',#253318,#55726); #38099=LINE('',#253320,#55727); #38100=LINE('',#253326,#55728); #38101=LINE('',#253328,#55729); #38102=LINE('',#253334,#55730); #38103=LINE('',#253340,#55731); #38104=LINE('',#253342,#55732); #38105=LINE('',#253348,#55733); #38106=LINE('',#253350,#55734); #38107=LINE('',#253352,#55735); #38108=LINE('',#253356,#55736); #38109=LINE('',#253358,#55737); #38110=LINE('',#253362,#55738); #38111=LINE('',#253364,#55739); #38112=LINE('',#253366,#55740); #38113=LINE('',#253370,#55741); #38114=LINE('',#253372,#55742); #38115=LINE('',#253374,#55743); #38116=LINE('',#253376,#55744); #38117=LINE('',#253380,#55745); #38118=LINE('',#253382,#55746); #38119=LINE('',#253384,#55747); #38120=LINE('',#253386,#55748); #38121=LINE('',#253390,#55749); #38122=LINE('',#253392,#55750); #38123=LINE('',#253394,#55751); #38124=LINE('',#253398,#55752); #38125=LINE('',#253400,#55753); #38126=LINE('',#253402,#55754); #38127=LINE('',#253404,#55755); #38128=LINE('',#253406,#55756); #38129=LINE('',#253408,#55757); #38130=LINE('',#253410,#55758); #38131=LINE('',#253414,#55759); #38132=LINE('',#253416,#55760); #38133=LINE('',#253422,#55761); #38134=LINE('',#253424,#55762); #38135=LINE('',#253428,#55763); #38136=LINE('',#253430,#55764); #38137=LINE('',#253434,#55765); #38138=LINE('',#253436,#55766); #38139=LINE('',#253438,#55767); #38140=LINE('',#253440,#55768); #38141=LINE('',#253446,#55769); #38142=LINE('',#253448,#55770); #38143=LINE('',#253449,#55771); #38144=LINE('',#253454,#55772); #38145=LINE('',#253458,#55773); #38146=LINE('',#253462,#55774); #38147=LINE('',#253466,#55775); #38148=LINE('',#253468,#55776); #38149=LINE('',#253472,#55777); #38150=LINE('',#253476,#55778); #38151=LINE('',#253480,#55779); #38152=LINE('',#253484,#55780); #38153=LINE('',#253486,#55781); #38154=LINE('',#253490,#55782); #38155=LINE('',#253494,#55783); #38156=LINE('',#253495,#55784); #38157=LINE('',#253500,#55785); #38158=LINE('',#253504,#55786); #38159=LINE('',#253506,#55787); #38160=LINE('',#253510,#55788); #38161=LINE('',#253514,#55789); #38162=LINE('',#253516,#55790); #38163=LINE('',#253520,#55791); #38164=LINE('',#253523,#55792); #38165=LINE('',#253526,#55793); #38166=LINE('',#253530,#55794); #38167=LINE('',#253534,#55795); #38168=LINE('',#253538,#55796); #38169=LINE('',#253542,#55797); #38170=LINE('',#253544,#55798); #38171=LINE('',#253548,#55799); #38172=LINE('',#253552,#55800); #38173=LINE('',#253554,#55801); #38174=LINE('',#253556,#55802); #38175=LINE('',#253558,#55803); #38176=LINE('',#253559,#55804); #38177=LINE('',#253562,#55805); #38178=LINE('',#253566,#55806); #38179=LINE('',#253568,#55807); #38180=LINE('',#253570,#55808); #38181=LINE('',#253572,#55809); #38182=LINE('',#253576,#55810); #38183=LINE('',#253578,#55811); #38184=LINE('',#253580,#55812); #38185=LINE('',#253584,#55813); #38186=LINE('',#253590,#55814); #38187=LINE('',#253596,#55815); #38188=LINE('',#253600,#55816); #38189=LINE('',#253602,#55817); #38190=LINE('',#253604,#55818); #38191=LINE('',#253608,#55819); #38192=LINE('',#253610,#55820); #38193=LINE('',#253612,#55821); #38194=LINE('',#253614,#55822); #38195=LINE('',#253616,#55823); #38196=LINE('',#253618,#55824); #38197=LINE('',#253620,#55825); #38198=LINE('',#253624,#55826); #38199=LINE('',#253632,#55827); #38200=LINE('',#253640,#55828); #38201=LINE('',#253642,#55829); #38202=LINE('',#253644,#55830); #38203=LINE('',#253646,#55831); #38204=LINE('',#253648,#55832); #38205=LINE('',#253654,#55833); #38206=LINE('',#253656,#55834); #38207=LINE('',#253676,#55835); #38208=LINE('',#253682,#55836); #38209=LINE('',#253684,#55837); #38210=LINE('',#253690,#55838); #38211=LINE('',#253692,#55839); #38212=LINE('',#253696,#55840); #38213=LINE('',#253698,#55841); #38214=LINE('',#253702,#55842); #38215=LINE('',#253704,#55843); #38216=LINE('',#253706,#55844); #38217=LINE('',#253710,#55845); #38218=LINE('',#253712,#55846); #38219=LINE('',#253714,#55847); #38220=LINE('',#253718,#55848); #38221=LINE('',#253720,#55849); #38222=LINE('',#253722,#55850); #38223=LINE('',#253726,#55851); #38224=LINE('',#253734,#55852); #38225=LINE('',#253736,#55853); #38226=LINE('',#253742,#55854); #38227=LINE('',#253746,#55855); #38228=LINE('',#253748,#55856); #38229=LINE('',#253754,#55857); #38230=LINE('',#253756,#55858); #38231=LINE('',#253757,#55859); #38232=LINE('',#253760,#55860); #38233=LINE('',#253762,#55861); #38234=LINE('',#253764,#55862); #38235=LINE('',#253766,#55863); #38236=LINE('',#253768,#55864); #38237=LINE('',#253772,#55865); #38238=LINE('',#253774,#55866); #38239=LINE('',#253778,#55867); #38240=LINE('',#253786,#55868); #38241=LINE('',#253790,#55869); #38242=LINE('',#253792,#55870); #38243=LINE('',#253794,#55871); #38244=LINE('',#253796,#55872); #38245=LINE('',#253798,#55873); #38246=LINE('',#253806,#55874); #38247=LINE('',#253812,#55875); #38248=LINE('',#253814,#55876); #38249=LINE('',#253816,#55877); #38250=LINE('',#253818,#55878); #38251=LINE('',#253820,#55879); #38252=LINE('',#253822,#55880); #38253=LINE('',#253823,#55881); #38254=LINE('',#253826,#55882); #38255=LINE('',#253828,#55883); #38256=LINE('',#253830,#55884); #38257=LINE('',#253832,#55885); #38258=LINE('',#253834,#55886); #38259=LINE('',#253836,#55887); #38260=LINE('',#253838,#55888); #38261=LINE('',#253840,#55889); #38262=LINE('',#253844,#55890); #38263=LINE('',#253846,#55891); #38264=LINE('',#253848,#55892); #38265=LINE('',#253850,#55893); #38266=LINE('',#253852,#55894); #38267=LINE('',#253856,#55895); #38268=LINE('',#253858,#55896); #38269=LINE('',#253860,#55897); #38270=LINE('',#253862,#55898); #38271=LINE('',#253864,#55899); #38272=LINE('',#253866,#55900); #38273=LINE('',#253868,#55901); #38274=LINE('',#253870,#55902); #38275=LINE('',#253872,#55903); #38276=LINE('',#253876,#55904); #38277=LINE('',#253882,#55905); #38278=LINE('',#253884,#55906); #38279=LINE('',#253888,#55907); #38280=LINE('',#253890,#55908); #38281=LINE('',#253892,#55909); #38282=LINE('',#253894,#55910); #38283=LINE('',#253896,#55911); #38284=LINE('',#253898,#55912); #38285=LINE('',#253902,#55913); #38286=LINE('',#253906,#55914); #38287=LINE('',#253912,#55915); #38288=LINE('',#253914,#55916); #38289=LINE('',#253916,#55917); #38290=LINE('',#253918,#55918); #38291=LINE('',#253920,#55919); #38292=LINE('',#253922,#55920); #38293=LINE('',#253924,#55921); #38294=LINE('',#253926,#55922); #38295=LINE('',#253928,#55923); #38296=LINE('',#253930,#55924); #38297=LINE('',#253934,#55925); #38298=LINE('',#253936,#55926); #38299=LINE('',#253938,#55927); #38300=LINE('',#253940,#55928); #38301=LINE('',#253942,#55929); #38302=LINE('',#253946,#55930); #38303=LINE('',#253952,#55931); #38304=LINE('',#253954,#55932); #38305=LINE('',#253958,#55933); #38306=LINE('',#253962,#55934); #38307=LINE('',#253964,#55935); #38308=LINE('',#253968,#55936); #38309=LINE('',#253972,#55937); #38310=LINE('',#253975,#55938); #38311=LINE('',#253978,#55939); #38312=LINE('',#253981,#55940); #38313=LINE('',#253984,#55941); #38314=LINE('',#253985,#55942); #38315=LINE('',#253988,#55943); #38316=LINE('',#253992,#55944); #38317=LINE('',#253993,#55945); #38318=LINE('',#253996,#55946); #38319=LINE('',#254000,#55947); #38320=LINE('',#254001,#55948); #38321=LINE('',#254004,#55949); #38322=LINE('',#254008,#55950); #38323=LINE('',#254009,#55951); #38324=LINE('',#254012,#55952); #38325=LINE('',#254013,#55953); #38326=LINE('',#254016,#55954); #38327=LINE('',#254020,#55955); #38328=LINE('',#254021,#55956); #38329=LINE('',#254024,#55957); #38330=LINE('',#254028,#55958); #38331=LINE('',#254029,#55959); #38332=LINE('',#254031,#55960); #38333=LINE('',#254036,#55961); #38334=LINE('',#254038,#55962); #38335=LINE('',#254040,#55963); #38336=LINE('',#254041,#55964); #38337=LINE('',#254044,#55965); #38338=LINE('',#254046,#55966); #38339=LINE('',#254047,#55967); #38340=LINE('',#254050,#55968); #38341=LINE('',#254052,#55969); #38342=LINE('',#254053,#55970); #38343=LINE('',#254056,#55971); #38344=LINE('',#254058,#55972); #38345=LINE('',#254059,#55973); #38346=LINE('',#254062,#55974); #38347=LINE('',#254064,#55975); #38348=LINE('',#254065,#55976); #38349=LINE('',#254068,#55977); #38350=LINE('',#254070,#55978); #38351=LINE('',#254071,#55979); #38352=LINE('',#254074,#55980); #38353=LINE('',#254076,#55981); #38354=LINE('',#254077,#55982); #38355=LINE('',#254080,#55983); #38356=LINE('',#254082,#55984); #38357=LINE('',#254083,#55985); #38358=LINE('',#254086,#55986); #38359=LINE('',#254088,#55987); #38360=LINE('',#254089,#55988); #38361=LINE('',#254092,#55989); #38362=LINE('',#254094,#55990); #38363=LINE('',#254095,#55991); #38364=LINE('',#254098,#55992); #38365=LINE('',#254100,#55993); #38366=LINE('',#254101,#55994); #38367=LINE('',#254104,#55995); #38368=LINE('',#254106,#55996); #38369=LINE('',#254107,#55997); #38370=LINE('',#254110,#55998); #38371=LINE('',#254112,#55999); #38372=LINE('',#254113,#56000); #38373=LINE('',#254119,#56001); #38374=LINE('',#254121,#56002); #38375=LINE('',#254122,#56003); #38376=LINE('',#254125,#56004); #38377=LINE('',#254129,#56005); #38378=LINE('',#254130,#56006); #38379=LINE('',#254133,#56007); #38380=LINE('',#254137,#56008); #38381=LINE('',#254138,#56009); #38382=LINE('',#254141,#56010); #38383=LINE('',#254142,#56011); #38384=LINE('',#254145,#56012); #38385=LINE('',#254146,#56013); #38386=LINE('',#254149,#56014); #38387=LINE('',#254150,#56015); #38388=LINE('',#254153,#56016); #38389=LINE('',#254154,#56017); #38390=LINE('',#254157,#56018); #38391=LINE('',#254161,#56019); #38392=LINE('',#254162,#56020); #38393=LINE('',#254165,#56021); #38394=LINE('',#254166,#56022); #38395=LINE('',#254169,#56023); #38396=LINE('',#254170,#56024); #38397=LINE('',#254173,#56025); #38398=LINE('',#254174,#56026); #38399=LINE('',#254177,#56027); #38400=LINE('',#254178,#56028); #38401=LINE('',#254181,#56029); #38402=LINE('',#254182,#56030); #38403=LINE('',#254185,#56031); #38404=LINE('',#254186,#56032); #38405=LINE('',#254189,#56033); #38406=LINE('',#254190,#56034); #38407=LINE('',#254193,#56035); #38408=LINE('',#254194,#56036); #38409=LINE('',#254197,#56037); #38410=LINE('',#254198,#56038); #38411=LINE('',#254201,#56039); #38412=LINE('',#254205,#56040); #38413=LINE('',#254209,#56041); #38414=LINE('',#254210,#56042); #38415=LINE('',#254213,#56043); #38416=LINE('',#254217,#56044); #38417=LINE('',#254218,#56045); #38418=LINE('',#254221,#56046); #38419=LINE('',#254225,#56047); #38420=LINE('',#254226,#56048); #38421=LINE('',#254229,#56049); #38422=LINE('',#254230,#56050); #38423=LINE('',#254233,#56051); #38424=LINE('',#254234,#56052); #38425=LINE('',#254237,#56053); #38426=LINE('',#254238,#56054); #38427=LINE('',#254240,#56055); #38428=LINE('',#254244,#56056); #38429=LINE('',#254246,#56057); #38430=LINE('',#254247,#56058); #38431=LINE('',#254250,#56059); #38432=LINE('',#254254,#56060); #38433=LINE('',#254255,#56061); #38434=LINE('',#254258,#56062); #38435=LINE('',#254259,#56063); #38436=LINE('',#254262,#56064); #38437=LINE('',#254266,#56065); #38438=LINE('',#254270,#56066); #38439=LINE('',#254271,#56067); #38440=LINE('',#254274,#56068); #38441=LINE('',#254278,#56069); #38442=LINE('',#254279,#56070); #38443=LINE('',#254282,#56071); #38444=LINE('',#254283,#56072); #38445=LINE('',#254286,#56073); #38446=LINE('',#254287,#56074); #38447=LINE('',#254290,#56075); #38448=LINE('',#254291,#56076); #38449=LINE('',#254294,#56077); #38450=LINE('',#254295,#56078); #38451=LINE('',#254298,#56079); #38452=LINE('',#254299,#56080); #38453=LINE('',#254302,#56081); #38454=LINE('',#254303,#56082); #38455=LINE('',#254306,#56083); #38456=LINE('',#254307,#56084); #38457=LINE('',#254310,#56085); #38458=LINE('',#254311,#56086); #38459=LINE('',#254314,#56087); #38460=LINE('',#254318,#56088); #38461=LINE('',#254319,#56089); #38462=LINE('',#254322,#56090); #38463=LINE('',#254323,#56091); #38464=LINE('',#254326,#56092); #38465=LINE('',#254327,#56093); #38466=LINE('',#254330,#56094); #38467=LINE('',#254331,#56095); #38468=LINE('',#254334,#56096); #38469=LINE('',#254335,#56097); #38470=LINE('',#254338,#56098); #38471=LINE('',#254342,#56099); #38472=LINE('',#254343,#56100); #38473=LINE('',#254346,#56101); #38474=LINE('',#254347,#56102); #38475=LINE('',#254350,#56103); #38476=LINE('',#254351,#56104); #38477=LINE('',#254354,#56105); #38478=LINE('',#254355,#56106); #38479=LINE('',#254358,#56107); #38480=LINE('',#254359,#56108); #38481=LINE('',#254362,#56109); #38482=LINE('',#254363,#56110); #38483=LINE('',#254365,#56111); #38484=LINE('',#254369,#56112); #38485=LINE('',#254371,#56113); #38486=LINE('',#254372,#56114); #38487=LINE('',#254375,#56115); #38488=LINE('',#254376,#56116); #38489=LINE('',#254379,#56117); #38490=LINE('',#254380,#56118); #38491=LINE('',#254383,#56119); #38492=LINE('',#254384,#56120); #38493=LINE('',#254387,#56121); #38494=LINE('',#254388,#56122); #38495=LINE('',#254391,#56123); #38496=LINE('',#254392,#56124); #38497=LINE('',#254395,#56125); #38498=LINE('',#254396,#56126); #38499=LINE('',#254399,#56127); #38500=LINE('',#254400,#56128); #38501=LINE('',#254403,#56129); #38502=LINE('',#254407,#56130); #38503=LINE('',#254411,#56131); #38504=LINE('',#254412,#56132); #38505=LINE('',#254415,#56133); #38506=LINE('',#254419,#56134); #38507=LINE('',#254423,#56135); #38508=LINE('',#254427,#56136); #38509=LINE('',#254428,#56137); #38510=LINE('',#254431,#56138); #38511=LINE('',#254432,#56139); #38512=LINE('',#254435,#56140); #38513=LINE('',#254436,#56141); #38514=LINE('',#254439,#56142); #38515=LINE('',#254440,#56143); #38516=LINE('',#254443,#56144); #38517=LINE('',#254444,#56145); #38518=LINE('',#254447,#56146); #38519=LINE('',#254451,#56147); #38520=LINE('',#254452,#56148); #38521=LINE('',#254455,#56149); #38522=LINE('',#254459,#56150); #38523=LINE('',#254463,#56151); #38524=LINE('',#254467,#56152); #38525=LINE('',#254468,#56153); #38526=LINE('',#254471,#56154); #38527=LINE('',#254475,#56155); #38528=LINE('',#254476,#56156); #38529=LINE('',#254479,#56157); #38530=LINE('',#254480,#56158); #38531=LINE('',#254483,#56159); #38532=LINE('',#254487,#56160); #38533=LINE('',#254488,#56161); #38534=LINE('',#254491,#56162); #38535=LINE('',#254492,#56163); #38536=LINE('',#254495,#56164); #38537=LINE('',#254496,#56165); #38538=LINE('',#254498,#56166); #38539=LINE('',#254502,#56167); #38540=LINE('',#254504,#56168); #38541=LINE('',#254505,#56169); #38542=LINE('',#254508,#56170); #38543=LINE('',#254509,#56171); #38544=LINE('',#254512,#56172); #38545=LINE('',#254513,#56173); #38546=LINE('',#254516,#56174); #38547=LINE('',#254517,#56175); #38548=LINE('',#254520,#56176); #38549=LINE('',#254524,#56177); #38550=LINE('',#254528,#56178); #38551=LINE('',#254529,#56179); #38552=LINE('',#254532,#56180); #38553=LINE('',#254533,#56181); #38554=LINE('',#254536,#56182); #38555=LINE('',#254540,#56183); #38556=LINE('',#254541,#56184); #38557=LINE('',#254544,#56185); #38558=LINE('',#254548,#56186); #38559=LINE('',#254552,#56187); #38560=LINE('',#254553,#56188); #38561=LINE('',#254556,#56189); #38562=LINE('',#254557,#56190); #38563=LINE('',#254560,#56191); #38564=LINE('',#254564,#56192); #38565=LINE('',#254568,#56193); #38566=LINE('',#254572,#56194); #38567=LINE('',#254573,#56195); #38568=LINE('',#254576,#56196); #38569=LINE('',#254580,#56197); #38570=LINE('',#254581,#56198); #38571=LINE('',#254584,#56199); #38572=LINE('',#254585,#56200); #38573=LINE('',#254588,#56201); #38574=LINE('',#254589,#56202); #38575=LINE('',#254592,#56203); #38576=LINE('',#254596,#56204); #38577=LINE('',#254597,#56205); #38578=LINE('',#254600,#56206); #38579=LINE('',#254601,#56207); #38580=LINE('',#254604,#56208); #38581=LINE('',#254605,#56209); #38582=LINE('',#254608,#56210); #38583=LINE('',#254612,#56211); #38584=LINE('',#254613,#56212); #38585=LINE('',#254616,#56213); #38586=LINE('',#254617,#56214); #38587=LINE('',#254620,#56215); #38588=LINE('',#254621,#56216); #38589=LINE('',#254624,#56217); #38590=LINE('',#254628,#56218); #38591=LINE('',#254629,#56219); #38592=LINE('',#254632,#56220); #38593=LINE('',#254633,#56221); #38594=LINE('',#254636,#56222); #38595=LINE('',#254640,#56223); #38596=LINE('',#254641,#56224); #38597=LINE('',#254644,#56225); #38598=LINE('',#254645,#56226); #38599=LINE('',#254648,#56227); #38600=LINE('',#254652,#56228); #38601=LINE('',#254656,#56229); #38602=LINE('',#254657,#56230); #38603=LINE('',#254660,#56231); #38604=LINE('',#254661,#56232); #38605=LINE('',#254664,#56233); #38606=LINE('',#254674,#56234); #38607=LINE('',#254677,#56235); #38608=LINE('',#254682,#56236); #38609=LINE('',#254688,#56237); #38610=LINE('',#254692,#56238); #38611=LINE('',#254694,#56239); #38612=LINE('',#254695,#56240); #38613=LINE('',#254700,#56241); #38614=LINE('',#254706,#56242); #38615=LINE('',#254712,#56243); #38616=LINE('',#254718,#56244); #38617=LINE('',#254725,#56245); #38618=LINE('',#254727,#56246); #38619=LINE('',#254728,#56247); #38620=LINE('',#254731,#56248); #38621=LINE('',#254735,#56249); #38622=LINE('',#254739,#56250); #38623=LINE('',#254743,#56251); #38624=LINE('',#254747,#56252); #38625=LINE('',#254751,#56253); #38626=LINE('',#254755,#56254); #38627=LINE('',#254759,#56255); #38628=LINE('',#254763,#56256); #38629=LINE('',#254766,#56257); #38630=LINE('',#254770,#56258); #38631=LINE('',#254772,#56259); #38632=LINE('',#254773,#56260); #38633=LINE('',#254776,#56261); #38634=LINE('',#254780,#56262); #38635=LINE('',#254784,#56263); #38636=LINE('',#254785,#56264); #38637=LINE('',#254788,#56265); #38638=LINE('',#254789,#56266); #38639=LINE('',#254792,#56267); #38640=LINE('',#254793,#56268); #38641=LINE('',#254796,#56269); #38642=LINE('',#254797,#56270); #38643=LINE('',#254800,#56271); #38644=LINE('',#254801,#56272); #38645=LINE('',#254804,#56273); #38646=LINE('',#254808,#56274); #38647=LINE('',#254812,#56275); #38648=LINE('',#254816,#56276); #38649=LINE('',#254817,#56277); #38650=LINE('',#254820,#56278); #38651=LINE('',#254824,#56279); #38652=LINE('',#254828,#56280); #38653=LINE('',#254832,#56281); #38654=LINE('',#254833,#56282); #38655=LINE('',#254836,#56283); #38656=LINE('',#254840,#56284); #38657=LINE('',#254841,#56285); #38658=LINE('',#254844,#56286); #38659=LINE('',#254845,#56287); #38660=LINE('',#254848,#56288); #38661=LINE('',#254849,#56289); #38662=LINE('',#254852,#56290); #38663=LINE('',#254853,#56291); #38664=LINE('',#254856,#56292); #38665=LINE('',#254857,#56293); #38666=LINE('',#254860,#56294); #38667=LINE('',#254861,#56295); #38668=LINE('',#254864,#56296); #38669=LINE('',#254865,#56297); #38670=LINE('',#254868,#56298); #38671=LINE('',#254872,#56299); #38672=LINE('',#254873,#56300); #38673=LINE('',#254876,#56301); #38674=LINE('',#254877,#56302); #38675=LINE('',#254880,#56303); #38676=LINE('',#254881,#56304); #38677=LINE('',#254884,#56305); #38678=LINE('',#254888,#56306); #38679=LINE('',#254889,#56307); #38680=LINE('',#254892,#56308); #38681=LINE('',#254896,#56309); #38682=LINE('',#254900,#56310); #38683=LINE('',#254901,#56311); #38684=LINE('',#254904,#56312); #38685=LINE('',#254908,#56313); #38686=LINE('',#254912,#56314); #38687=LINE('',#254913,#56315); #38688=LINE('',#254916,#56316); #38689=LINE('',#254920,#56317); #38690=LINE('',#254921,#56318); #38691=LINE('',#254924,#56319); #38692=LINE('',#254925,#56320); #38693=LINE('',#254928,#56321); #38694=LINE('',#254929,#56322); #38695=LINE('',#254932,#56323); #38696=LINE('',#254936,#56324); #38697=LINE('',#254937,#56325); #38698=LINE('',#254940,#56326); #38699=LINE('',#254941,#56327); #38700=LINE('',#254944,#56328); #38701=LINE('',#254945,#56329); #38702=LINE('',#254948,#56330); #38703=LINE('',#254949,#56331); #38704=LINE('',#254955,#56332); #38705=LINE('',#254957,#56333); #38706=LINE('',#254958,#56334); #38707=LINE('',#254961,#56335); #38708=LINE('',#254962,#56336); #38709=LINE('',#254965,#56337); #38710=LINE('',#254966,#56338); #38711=LINE('',#254969,#56339); #38712=LINE('',#254970,#56340); #38713=LINE('',#254973,#56341); #38714=LINE('',#254974,#56342); #38715=LINE('',#254977,#56343); #38716=LINE('',#254978,#56344); #38717=LINE('',#254981,#56345); #38718=LINE('',#254985,#56346); #38719=LINE('',#254986,#56347); #38720=LINE('',#254989,#56348); #38721=LINE('',#254993,#56349); #38722=LINE('',#254994,#56350); #38723=LINE('',#254997,#56351); #38724=LINE('',#254998,#56352); #38725=LINE('',#255001,#56353); #38726=LINE('',#255005,#56354); #38727=LINE('',#255006,#56355); #38728=LINE('',#255009,#56356); #38729=LINE('',#255013,#56357); #38730=LINE('',#255014,#56358); #38731=LINE('',#255017,#56359); #38732=LINE('',#255021,#56360); #38733=LINE('',#255022,#56361); #38734=LINE('',#255028,#56362); #38735=LINE('',#255031,#56363); #38736=LINE('',#255034,#56364); #38737=LINE('',#255035,#56365); #38738=LINE('',#255038,#56366); #38739=LINE('',#255042,#56367); #38740=LINE('',#255043,#56368); #38741=LINE('',#255046,#56369); #38742=LINE('',#255050,#56370); #38743=LINE('',#255051,#56371); #38744=LINE('',#255054,#56372); #38745=LINE('',#255055,#56373); #38746=LINE('',#255058,#56374); #38747=LINE('',#255062,#56375); #38748=LINE('',#255063,#56376); #38749=LINE('',#255066,#56377); #38750=LINE('',#255070,#56378); #38751=LINE('',#255071,#56379); #38752=LINE('',#255074,#56380); #38753=LINE('',#255075,#56381); #38754=LINE('',#255078,#56382); #38755=LINE('',#255081,#56383); #38756=LINE('',#255085,#56384); #38757=LINE('',#255088,#56385); #38758=LINE('',#255091,#56386); #38759=LINE('',#255092,#56387); #38760=LINE('',#255095,#56388); #38761=LINE('',#255096,#56389); #38762=LINE('',#255099,#56390); #38763=LINE('',#255103,#56391); #38764=LINE('',#255104,#56392); #38765=LINE('',#255107,#56393); #38766=LINE('',#255111,#56394); #38767=LINE('',#255112,#56395); #38768=LINE('',#255115,#56396); #38769=LINE('',#255116,#56397); #38770=LINE('',#255119,#56398); #38771=LINE('',#255123,#56399); #38772=LINE('',#255124,#56400); #38773=LINE('',#255127,#56401); #38774=LINE('',#255131,#56402); #38775=LINE('',#255132,#56403); #38776=LINE('',#255135,#56404); #38777=LINE('',#255139,#56405); #38778=LINE('',#255140,#56406); #38779=LINE('',#255143,#56407); #38780=LINE('',#255147,#56408); #38781=LINE('',#255148,#56409); #38782=LINE('',#255151,#56410); #38783=LINE('',#255152,#56411); #38784=LINE('',#255155,#56412); #38785=LINE('',#255159,#56413); #38786=LINE('',#255160,#56414); #38787=LINE('',#255163,#56415); #38788=LINE('',#255167,#56416); #38789=LINE('',#255168,#56417); #38790=LINE('',#255171,#56418); #38791=LINE('',#255174,#56419); #38792=LINE('',#255178,#56420); #38793=LINE('',#255180,#56421); #38794=LINE('',#255181,#56422); #38795=LINE('',#255184,#56423); #38796=LINE('',#255185,#56424); #38797=LINE('',#255188,#56425); #38798=LINE('',#255189,#56426); #38799=LINE('',#255192,#56427); #38800=LINE('',#255193,#56428); #38801=LINE('',#255196,#56429); #38802=LINE('',#255200,#56430); #38803=LINE('',#255204,#56431); #38804=LINE('',#255205,#56432); #38805=LINE('',#255208,#56433); #38806=LINE('',#255209,#56434); #38807=LINE('',#255212,#56435); #38808=LINE('',#255213,#56436); #38809=LINE('',#255216,#56437); #38810=LINE('',#255217,#56438); #38811=LINE('',#255220,#56439); #38812=LINE('',#255224,#56440); #38813=LINE('',#255225,#56441); #38814=LINE('',#255228,#56442); #38815=LINE('',#255229,#56443); #38816=LINE('',#255232,#56444); #38817=LINE('',#255236,#56445); #38818=LINE('',#255237,#56446); #38819=LINE('',#255240,#56447); #38820=LINE('',#255241,#56448); #38821=LINE('',#255244,#56449); #38822=LINE('',#255248,#56450); #38823=LINE('',#255252,#56451); #38824=LINE('',#255253,#56452); #38825=LINE('',#255256,#56453); #38826=LINE('',#255257,#56454); #38827=LINE('',#255260,#56455); #38828=LINE('',#255264,#56456); #38829=LINE('',#255265,#56457); #38830=LINE('',#255268,#56458); #38831=LINE('',#255269,#56459); #38832=LINE('',#255272,#56460); #38833=LINE('',#255273,#56461); #38834=LINE('',#255276,#56462); #38835=LINE('',#255277,#56463); #38836=LINE('',#255280,#56464); #38837=LINE('',#255281,#56465); #38838=LINE('',#255284,#56466); #38839=LINE('',#255285,#56467); #38840=LINE('',#255288,#56468); #38841=LINE('',#255289,#56469); #38842=LINE('',#255292,#56470); #38843=LINE('',#255296,#56471); #38844=LINE('',#255297,#56472); #38845=LINE('',#255300,#56473); #38846=LINE('',#255301,#56474); #38847=LINE('',#255304,#56475); #38848=LINE('',#255305,#56476); #38849=LINE('',#255308,#56477); #38850=LINE('',#255312,#56478); #38851=LINE('',#255313,#56479); #38852=LINE('',#255316,#56480); #38853=LINE('',#255317,#56481); #38854=LINE('',#255320,#56482); #38855=LINE('',#255321,#56483); #38856=LINE('',#255324,#56484); #38857=LINE('',#255325,#56485); #38858=LINE('',#255328,#56486); #38859=LINE('',#255332,#56487); #38860=LINE('',#255333,#56488); #38861=LINE('',#255336,#56489); #38862=LINE('',#255337,#56490); #38863=LINE('',#255340,#56491); #38864=LINE('',#255341,#56492); #38865=LINE('',#255344,#56493); #38866=LINE('',#255345,#56494); #38867=LINE('',#255348,#56495); #38868=LINE('',#255352,#56496); #38869=LINE('',#255353,#56497); #38870=LINE('',#255356,#56498); #38871=LINE('',#255357,#56499); #38872=LINE('',#255360,#56500); #38873=LINE('',#255361,#56501); #38874=LINE('',#255364,#56502); #38875=LINE('',#255368,#56503); #38876=LINE('',#255369,#56504); #38877=LINE('',#255372,#56505); #38878=LINE('',#255373,#56506); #38879=LINE('',#255376,#56507); #38880=LINE('',#255380,#56508); #38881=LINE('',#255381,#56509); #38882=LINE('',#255384,#56510); #38883=LINE('',#255385,#56511); #38884=LINE('',#255388,#56512); #38885=LINE('',#255389,#56513); #38886=LINE('',#255392,#56514); #38887=LINE('',#255396,#56515); #38888=LINE('',#255400,#56516); #38889=LINE('',#255401,#56517); #38890=LINE('',#255404,#56518); #38891=LINE('',#255405,#56519); #38892=LINE('',#255408,#56520); #38893=LINE('',#255412,#56521); #38894=LINE('',#255416,#56522); #38895=LINE('',#255417,#56523); #38896=LINE('',#255420,#56524); #38897=LINE('',#255424,#56525); #38898=LINE('',#255428,#56526); #38899=LINE('',#255429,#56527); #38900=LINE('',#255432,#56528); #38901=LINE('',#255433,#56529); #38902=LINE('',#255436,#56530); #38903=LINE('',#255440,#56531); #38904=LINE('',#255444,#56532); #38905=LINE('',#255445,#56533); #38906=LINE('',#255448,#56534); #38907=LINE('',#255449,#56535); #38908=LINE('',#255452,#56536); #38909=LINE('',#255453,#56537); #38910=LINE('',#255456,#56538); #38911=LINE('',#255460,#56539); #38912=LINE('',#255461,#56540); #38913=LINE('',#255464,#56541); #38914=LINE('',#255465,#56542); #38915=LINE('',#255468,#56543); #38916=LINE('',#255469,#56544); #38917=LINE('',#255472,#56545); #38918=LINE('',#255473,#56546); #38919=LINE('',#255476,#56547); #38920=LINE('',#255477,#56548); #38921=LINE('',#255480,#56549); #38922=LINE('',#255484,#56550); #38923=LINE('',#255485,#56551); #38924=LINE('',#255488,#56552); #38925=LINE('',#255489,#56553); #38926=LINE('',#255492,#56554); #38927=LINE('',#255493,#56555); #38928=LINE('',#255496,#56556); #38929=LINE('',#255497,#56557); #38930=LINE('',#255500,#56558); #38931=LINE('',#255501,#56559); #38932=LINE('',#255503,#56560); #38933=LINE('',#255507,#56561); #38934=LINE('',#255509,#56562); #38935=LINE('',#255510,#56563); #38936=LINE('',#255513,#56564); #38937=LINE('',#255517,#56565); #38938=LINE('',#255518,#56566); #38939=LINE('',#255521,#56567); #38940=LINE('',#255522,#56568); #38941=LINE('',#255525,#56569); #38942=LINE('',#255526,#56570); #38943=LINE('',#255529,#56571); #38944=LINE('',#255530,#56572); #38945=LINE('',#255533,#56573); #38946=LINE('',#255537,#56574); #38947=LINE('',#255538,#56575); #38948=LINE('',#255541,#56576); #38949=LINE('',#255542,#56577); #38950=LINE('',#255545,#56578); #38951=LINE('',#255546,#56579); #38952=LINE('',#255549,#56580); #38953=LINE('',#255553,#56581); #38954=LINE('',#255554,#56582); #38955=LINE('',#255557,#56583); #38956=LINE('',#255558,#56584); #38957=LINE('',#255561,#56585); #38958=LINE('',#255562,#56586); #38959=LINE('',#255565,#56587); #38960=LINE('',#255566,#56588); #38961=LINE('',#255569,#56589); #38962=LINE('',#255573,#56590); #38963=LINE('',#255574,#56591); #38964=LINE('',#255577,#56592); #38965=LINE('',#255578,#56593); #38966=LINE('',#255581,#56594); #38967=LINE('',#255582,#56595); #38968=LINE('',#255585,#56596); #38969=LINE('',#255586,#56597); #38970=LINE('',#255589,#56598); #38971=LINE('',#255590,#56599); #38972=LINE('',#255593,#56600); #38973=LINE('',#255594,#56601); #38974=LINE('',#255597,#56602); #38975=LINE('',#255598,#56603); #38976=LINE('',#255600,#56604); #38977=LINE('',#255605,#56605); #38978=LINE('',#255607,#56606); #38979=LINE('',#255609,#56607); #38980=LINE('',#255610,#56608); #38981=LINE('',#255613,#56609); #38982=LINE('',#255615,#56610); #38983=LINE('',#255616,#56611); #38984=LINE('',#255619,#56612); #38985=LINE('',#255621,#56613); #38986=LINE('',#255622,#56614); #38987=LINE('',#255625,#56615); #38988=LINE('',#255627,#56616); #38989=LINE('',#255628,#56617); #38990=LINE('',#255633,#56618); #38991=LINE('',#255639,#56619); #38992=LINE('',#255645,#56620); #38993=LINE('',#255651,#56621); #38994=LINE('',#255658,#56622); #38995=LINE('',#255661,#56623); #38996=LINE('',#255664,#56624); #38997=LINE('',#255665,#56625); #38998=LINE('',#255668,#56626); #38999=LINE('',#255672,#56627); #39000=LINE('',#255676,#56628); #39001=LINE('',#255677,#56629); #39002=LINE('',#255680,#56630); #39003=LINE('',#255681,#56631); #39004=LINE('',#255684,#56632); #39005=LINE('',#255685,#56633); #39006=LINE('',#255688,#56634); #39007=LINE('',#255689,#56635); #39008=LINE('',#255692,#56636); #39009=LINE('',#255693,#56637); #39010=LINE('',#255696,#56638); #39011=LINE('',#255697,#56639); #39012=LINE('',#255700,#56640); #39013=LINE('',#255701,#56641); #39014=LINE('',#255704,#56642); #39015=LINE('',#255708,#56643); #39016=LINE('',#255709,#56644); #39017=LINE('',#255712,#56645); #39018=LINE('',#255716,#56646); #39019=LINE('',#255720,#56647); #39020=LINE('',#255721,#56648); #39021=LINE('',#255724,#56649); #39022=LINE('',#255728,#56650); #39023=LINE('',#255732,#56651); #39024=LINE('',#255736,#56652); #39025=LINE('',#255737,#56653); #39026=LINE('',#255740,#56654); #39027=LINE('',#255741,#56655); #39028=LINE('',#255744,#56656); #39029=LINE('',#255745,#56657); #39030=LINE('',#255748,#56658); #39031=LINE('',#255749,#56659); #39032=LINE('',#255752,#56660); #39033=LINE('',#255753,#56661); #39034=LINE('',#255756,#56662); #39035=LINE('',#255757,#56663); #39036=LINE('',#255760,#56664); #39037=LINE('',#255761,#56665); #39038=LINE('',#255764,#56666); #39039=LINE('',#255765,#56667); #39040=LINE('',#255768,#56668); #39041=LINE('',#255772,#56669); #39042=LINE('',#255776,#56670); #39043=LINE('',#255783,#56671); #39044=LINE('',#255785,#56672); #39045=LINE('',#255786,#56673); #39046=LINE('',#255789,#56674); #39047=LINE('',#255790,#56675); #39048=LINE('',#255793,#56676); #39049=LINE('',#255794,#56677); #39050=LINE('',#255797,#56678); #39051=LINE('',#255801,#56679); #39052=LINE('',#255802,#56680); #39053=LINE('',#255805,#56681); #39054=LINE('',#255809,#56682); #39055=LINE('',#255810,#56683); #39056=LINE('',#255813,#56684); #39057=LINE('',#255814,#56685); #39058=LINE('',#255817,#56686); #39059=LINE('',#255818,#56687); #39060=LINE('',#255821,#56688); #39061=LINE('',#255822,#56689); #39062=LINE('',#255824,#56690); #39063=LINE('',#255831,#56691); #39064=LINE('',#255834,#56692); #39065=LINE('',#255837,#56693); #39066=LINE('',#255839,#56694); #39067=LINE('',#255840,#56695); #39068=LINE('',#255845,#56696); #39069=LINE('',#255851,#56697); #39070=LINE('',#255857,#56698); #39071=LINE('',#255863,#56699); #39072=LINE('',#255869,#56700); #39073=LINE('',#255875,#56701); #39074=LINE('',#255881,#56702); #39075=LINE('',#255888,#56703); #39076=LINE('',#255891,#56704); #39077=LINE('',#255894,#56705); #39078=LINE('',#255895,#56706); #39079=LINE('',#255898,#56707); #39080=LINE('',#255899,#56708); #39081=LINE('',#255902,#56709); #39082=LINE('',#255903,#56710); #39083=LINE('',#255906,#56711); #39084=LINE('',#255907,#56712); #39085=LINE('',#255910,#56713); #39086=LINE('',#255911,#56714); #39087=LINE('',#255914,#56715); #39088=LINE('',#255915,#56716); #39089=LINE('',#255918,#56717); #39090=LINE('',#255919,#56718); #39091=LINE('',#255922,#56719); #39092=LINE('',#255923,#56720); #39093=LINE('',#255926,#56721); #39094=LINE('',#255927,#56722); #39095=LINE('',#255930,#56723); #39096=LINE('',#255931,#56724); #39097=LINE('',#255934,#56725); #39098=LINE('',#255935,#56726); #39099=LINE('',#255938,#56727); #39100=LINE('',#255939,#56728); #39101=LINE('',#255942,#56729); #39102=LINE('',#255943,#56730); #39103=LINE('',#255946,#56731); #39104=LINE('',#255947,#56732); #39105=LINE('',#255950,#56733); #39106=LINE('',#255951,#56734); #39107=LINE('',#255954,#56735); #39108=LINE('',#255955,#56736); #39109=LINE('',#255958,#56737); #39110=LINE('',#255962,#56738); #39111=LINE('',#255963,#56739); #39112=LINE('',#255966,#56740); #39113=LINE('',#255970,#56741); #39114=LINE('',#255974,#56742); #39115=LINE('',#255975,#56743); #39116=LINE('',#255978,#56744); #39117=LINE('',#255982,#56745); #39118=LINE('',#255986,#56746); #39119=LINE('',#255990,#56747); #39120=LINE('',#255994,#56748); #39121=LINE('',#255998,#56749); #39122=LINE('',#256002,#56750); #39123=LINE('',#256006,#56751); #39124=LINE('',#256010,#56752); #39125=LINE('',#256014,#56753); #39126=LINE('',#256015,#56754); #39127=LINE('',#256018,#56755); #39128=LINE('',#256022,#56756); #39129=LINE('',#256023,#56757); #39130=LINE('',#256026,#56758); #39131=LINE('',#256027,#56759); #39132=LINE('',#256030,#56760); #39133=LINE('',#256031,#56761); #39134=LINE('',#256033,#56762); #39135=LINE('',#256037,#56763); #39136=LINE('',#256040,#56764); #39137=LINE('',#256043,#56765); #39138=LINE('',#256047,#56766); #39139=LINE('',#256051,#56767); #39140=LINE('',#256052,#56768); #39141=LINE('',#256055,#56769); #39142=LINE('',#256059,#56770); #39143=LINE('',#256060,#56771); #39144=LINE('',#256063,#56772); #39145=LINE('',#256064,#56773); #39146=LINE('',#256067,#56774); #39147=LINE('',#256071,#56775); #39148=LINE('',#256072,#56776); #39149=LINE('',#256075,#56777); #39150=LINE('',#256076,#56778); #39151=LINE('',#256079,#56779); #39152=LINE('',#256083,#56780); #39153=LINE('',#256087,#56781); #39154=LINE('',#256091,#56782); #39155=LINE('',#256095,#56783); #39156=LINE('',#256099,#56784); #39157=LINE('',#256103,#56785); #39158=LINE('',#256104,#56786); #39159=LINE('',#256107,#56787); #39160=LINE('',#256111,#56788); #39161=LINE('',#256115,#56789); #39162=LINE('',#256119,#56790); #39163=LINE('',#256123,#56791); #39164=LINE('',#256127,#56792); #39165=LINE('',#256128,#56793); #39166=LINE('',#256131,#56794); #39167=LINE('',#256132,#56795); #39168=LINE('',#256135,#56796); #39169=LINE('',#256136,#56797); #39170=LINE('',#256139,#56798); #39171=LINE('',#256140,#56799); #39172=LINE('',#256143,#56800); #39173=LINE('',#256144,#56801); #39174=LINE('',#256147,#56802); #39175=LINE('',#256148,#56803); #39176=LINE('',#256151,#56804); #39177=LINE('',#256152,#56805); #39178=LINE('',#256155,#56806); #39179=LINE('',#256159,#56807); #39180=LINE('',#256160,#56808); #39181=LINE('',#256163,#56809); #39182=LINE('',#256167,#56810); #39183=LINE('',#256168,#56811); #39184=LINE('',#256171,#56812); #39185=LINE('',#256172,#56813); #39186=LINE('',#256175,#56814); #39187=LINE('',#256179,#56815); #39188=LINE('',#256183,#56816); #39189=LINE('',#256187,#56817); #39190=LINE('',#256191,#56818); #39191=LINE('',#256195,#56819); #39192=LINE('',#256199,#56820); #39193=LINE('',#256200,#56821); #39194=LINE('',#256203,#56822); #39195=LINE('',#256210,#56823); #39196=LINE('',#256212,#56824); #39197=LINE('',#256213,#56825); #39198=LINE('',#256216,#56826); #39199=LINE('',#256217,#56827); #39200=LINE('',#256220,#56828); #39201=LINE('',#256221,#56829); #39202=LINE('',#256224,#56830); #39203=LINE('',#256225,#56831); #39204=LINE('',#256228,#56832); #39205=LINE('',#256229,#56833); #39206=LINE('',#256232,#56834); #39207=LINE('',#256233,#56835); #39208=LINE('',#256236,#56836); #39209=LINE('',#256240,#56837); #39210=LINE('',#256241,#56838); #39211=LINE('',#256244,#56839); #39212=LINE('',#256248,#56840); #39213=LINE('',#256249,#56841); #39214=LINE('',#256252,#56842); #39215=LINE('',#256253,#56843); #39216=LINE('',#256256,#56844); #39217=LINE('',#256257,#56845); #39218=LINE('',#256260,#56846); #39219=LINE('',#256261,#56847); #39220=LINE('',#256264,#56848); #39221=LINE('',#256265,#56849); #39222=LINE('',#256268,#56850); #39223=LINE('',#256269,#56851); #39224=LINE('',#256272,#56852); #39225=LINE('',#256273,#56853); #39226=LINE('',#256276,#56854); #39227=LINE('',#256277,#56855); #39228=LINE('',#256280,#56856); #39229=LINE('',#256281,#56857); #39230=LINE('',#256284,#56858); #39231=LINE('',#256285,#56859); #39232=LINE('',#256288,#56860); #39233=LINE('',#256289,#56861); #39234=LINE('',#256292,#56862); #39235=LINE('',#256293,#56863); #39236=LINE('',#256296,#56864); #39237=LINE('',#256297,#56865); #39238=LINE('',#256300,#56866); #39239=LINE('',#256301,#56867); #39240=LINE('',#256304,#56868); #39241=LINE('',#256305,#56869); #39242=LINE('',#256308,#56870); #39243=LINE('',#256309,#56871); #39244=LINE('',#256312,#56872); #39245=LINE('',#256313,#56873); #39246=LINE('',#256316,#56874); #39247=LINE('',#256317,#56875); #39248=LINE('',#256320,#56876); #39249=LINE('',#256321,#56877); #39250=LINE('',#256324,#56878); #39251=LINE('',#256325,#56879); #39252=LINE('',#256328,#56880); #39253=LINE('',#256329,#56881); #39254=LINE('',#256332,#56882); #39255=LINE('',#256336,#56883); #39256=LINE('',#256337,#56884); #39257=LINE('',#256340,#56885); #39258=LINE('',#256344,#56886); #39259=LINE('',#256345,#56887); #39260=LINE('',#256348,#56888); #39261=LINE('',#256349,#56889); #39262=LINE('',#256352,#56890); #39263=LINE('',#256353,#56891); #39264=LINE('',#256356,#56892); #39265=LINE('',#256357,#56893); #39266=LINE('',#256360,#56894); #39267=LINE('',#256361,#56895); #39268=LINE('',#256364,#56896); #39269=LINE('',#256365,#56897); #39270=LINE('',#256368,#56898); #39271=LINE('',#256369,#56899); #39272=LINE('',#256372,#56900); #39273=LINE('',#256373,#56901); #39274=LINE('',#256376,#56902); #39275=LINE('',#256377,#56903); #39276=LINE('',#256380,#56904); #39277=LINE('',#256381,#56905); #39278=LINE('',#256384,#56906); #39279=LINE('',#256385,#56907); #39280=LINE('',#256388,#56908); #39281=LINE('',#256389,#56909); #39282=LINE('',#256392,#56910); #39283=LINE('',#256393,#56911); #39284=LINE('',#256396,#56912); #39285=LINE('',#256397,#56913); #39286=LINE('',#256400,#56914); #39287=LINE('',#256401,#56915); #39288=LINE('',#256404,#56916); #39289=LINE('',#256405,#56917); #39290=LINE('',#256408,#56918); #39291=LINE('',#256409,#56919); #39292=LINE('',#256412,#56920); #39293=LINE('',#256413,#56921); #39294=LINE('',#256416,#56922); #39295=LINE('',#256417,#56923); #39296=LINE('',#256420,#56924); #39297=LINE('',#256421,#56925); #39298=LINE('',#256424,#56926); #39299=LINE('',#256425,#56927); #39300=LINE('',#256428,#56928); #39301=LINE('',#256429,#56929); #39302=LINE('',#256432,#56930); #39303=LINE('',#256433,#56931); #39304=LINE('',#256436,#56932); #39305=LINE('',#256437,#56933); #39306=LINE('',#256440,#56934); #39307=LINE('',#256441,#56935); #39308=LINE('',#256444,#56936); #39309=LINE('',#256445,#56937); #39310=LINE('',#256448,#56938); #39311=LINE('',#256449,#56939); #39312=LINE('',#256452,#56940); #39313=LINE('',#256453,#56941); #39314=LINE('',#256456,#56942); #39315=LINE('',#256457,#56943); #39316=LINE('',#256460,#56944); #39317=LINE('',#256461,#56945); #39318=LINE('',#256463,#56946); #39319=LINE('',#256467,#56947); #39320=LINE('',#256469,#56948); #39321=LINE('',#256470,#56949); #39322=LINE('',#256473,#56950); #39323=LINE('',#256477,#56951); #39324=LINE('',#256478,#56952); #39325=LINE('',#256481,#56953); #39326=LINE('',#256485,#56954); #39327=LINE('',#256486,#56955); #39328=LINE('',#256489,#56956); #39329=LINE('',#256493,#56957); #39330=LINE('',#256494,#56958); #39331=LINE('',#256505,#56959); #39332=LINE('',#256509,#56960); #39333=LINE('',#256513,#56961); #39334=LINE('',#256517,#56962); #39335=LINE('',#256521,#56963); #39336=LINE('',#256525,#56964); #39337=LINE('',#256529,#56965); #39338=LINE('',#256533,#56966); #39339=LINE('',#256537,#56967); #39340=LINE('',#256541,#56968); #39341=LINE('',#256545,#56969); #39342=LINE('',#256549,#56970); #39343=LINE('',#256553,#56971); #39344=LINE('',#256557,#56972); #39345=LINE('',#256561,#56973); #39346=LINE('',#256563,#56974); #39347=LINE('',#256565,#56975); #39348=LINE('',#256566,#56976); #39349=LINE('',#256570,#56977); #39350=LINE('',#256572,#56978); #39351=LINE('',#256574,#56979); #39352=LINE('',#256575,#56980); #39353=LINE('',#256579,#56981); #39354=LINE('',#256581,#56982); #39355=LINE('',#256583,#56983); #39356=LINE('',#256584,#56984); #39357=LINE('',#256588,#56985); #39358=LINE('',#256590,#56986); #39359=LINE('',#256592,#56987); #39360=LINE('',#256593,#56988); #39361=LINE('',#256596,#56989); #39362=LINE('',#256598,#56990); #39363=LINE('',#256599,#56991); #39364=LINE('',#256602,#56992); #39365=LINE('',#256604,#56993); #39366=LINE('',#256605,#56994); #39367=LINE('',#256608,#56995); #39368=LINE('',#256610,#56996); #39369=LINE('',#256611,#56997); #39370=LINE('',#256614,#56998); #39371=LINE('',#256616,#56999); #39372=LINE('',#256617,#57000); #39373=LINE('',#256621,#57001); #39374=LINE('',#256622,#57002); #39375=LINE('',#256623,#57003); #39376=LINE('',#256626,#57004); #39377=LINE('',#256627,#57005); #39378=LINE('',#256630,#57006); #39379=LINE('',#256631,#57007); #39380=LINE('',#256633,#57008); #39381=LINE('',#256637,#57009); #39382=LINE('',#256639,#57010); #39383=LINE('',#256641,#57011); #39384=LINE('',#256642,#57012); #39385=LINE('',#256645,#57013); #39386=LINE('',#256647,#57014); #39387=LINE('',#256648,#57015); #39388=LINE('',#256651,#57016); #39389=LINE('',#256653,#57017); #39390=LINE('',#256654,#57018); #39391=LINE('',#256657,#57019); #39392=LINE('',#256659,#57020); #39393=LINE('',#256660,#57021); #39394=LINE('',#256663,#57022); #39395=LINE('',#256665,#57023); #39396=LINE('',#256666,#57024); #39397=LINE('',#256670,#57025); #39398=LINE('',#256671,#57026); #39399=LINE('',#256672,#57027); #39400=LINE('',#256675,#57028); #39401=LINE('',#256676,#57029); #39402=LINE('',#256679,#57030); #39403=LINE('',#256680,#57031); #39404=LINE('',#256682,#57032); #39405=LINE('',#256686,#57033); #39406=LINE('',#256687,#57034); #39407=LINE('',#256688,#57035); #39408=LINE('',#256692,#57036); #39409=LINE('',#256694,#57037); #39410=LINE('',#256696,#57038); #39411=LINE('',#256697,#57039); #39412=LINE('',#256700,#57040); #39413=LINE('',#256702,#57041); #39414=LINE('',#256703,#57042); #39415=LINE('',#256706,#57043); #39416=LINE('',#256708,#57044); #39417=LINE('',#256709,#57045); #39418=LINE('',#256712,#57046); #39419=LINE('',#256714,#57047); #39420=LINE('',#256715,#57048); #39421=LINE('',#256719,#57049); #39422=LINE('',#256720,#57050); #39423=LINE('',#256721,#57051); #39424=LINE('',#256726,#57052); #39425=LINE('',#256728,#57053); #39426=LINE('',#256729,#57054); #39427=LINE('',#256731,#57055); #39428=LINE('',#256735,#57056); #39429=LINE('',#256738,#57057); #39430=LINE('',#256740,#57058); #39431=LINE('',#256744,#57059); #39432=LINE('',#256747,#57060); #39433=LINE('',#256749,#57061); #39434=LINE('',#256752,#57062); #39435=LINE('',#256755,#57063); #39436=LINE('',#256756,#57064); #39437=LINE('',#256759,#57065); #39438=LINE('',#256763,#57066); #39439=LINE('',#256766,#57067); #39440=LINE('',#256768,#57068); #39441=LINE('',#256772,#57069); #39442=LINE('',#256775,#57070); #39443=LINE('',#256777,#57071); #39444=LINE('',#256781,#57072); #39445=LINE('',#256784,#57073); #39446=LINE('',#256785,#57074); #39447=LINE('',#256789,#57075); #39448=LINE('',#256791,#57076); #39449=LINE('',#256793,#57077); #39450=LINE('',#256794,#57078); #39451=LINE('',#256797,#57079); #39452=LINE('',#256799,#57080); #39453=LINE('',#256800,#57081); #39454=LINE('',#256803,#57082); #39455=LINE('',#256805,#57083); #39456=LINE('',#256806,#57084); #39457=LINE('',#256809,#57085); #39458=LINE('',#256811,#57086); #39459=LINE('',#256812,#57087); #39460=LINE('',#256815,#57088); #39461=LINE('',#256817,#57089); #39462=LINE('',#256818,#57090); #39463=LINE('',#256822,#57091); #39464=LINE('',#256823,#57092); #39465=LINE('',#256824,#57093); #39466=LINE('',#256827,#57094); #39467=LINE('',#256828,#57095); #39468=LINE('',#256831,#57096); #39469=LINE('',#256832,#57097); #39470=LINE('',#256834,#57098); #39471=LINE('',#256837,#57099); #39472=LINE('',#256839,#57100); #39473=LINE('',#256840,#57101); #39474=LINE('',#256843,#57102); #39475=LINE('',#256845,#57103); #39476=LINE('',#256846,#57104); #39477=LINE('',#256849,#57105); #39478=LINE('',#256850,#57106); #39479=LINE('',#256853,#57107); #39480=LINE('',#256855,#57108); #39481=LINE('',#256856,#57109); #39482=LINE('',#256859,#57110); #39483=LINE('',#256860,#57111); #39484=LINE('',#256863,#57112); #39485=LINE('',#256865,#57113); #39486=LINE('',#256866,#57114); #39487=LINE('',#256869,#57115); #39488=LINE('',#256870,#57116); #39489=LINE('',#256873,#57117); #39490=LINE('',#256874,#57118); #39491=LINE('',#256876,#57119); #39492=LINE('',#256877,#57120); #39493=LINE('',#256878,#57121); #39494=LINE('',#256879,#57122); #39495=LINE('',#256914,#57123); #39496=LINE('',#256916,#57124); #39497=LINE('',#256918,#57125); #39498=LINE('',#256920,#57126); #39499=LINE('',#256923,#57127); #39500=LINE('',#256925,#57128); #39501=LINE('',#256927,#57129); #39502=LINE('',#256929,#57130); #39503=LINE('',#256932,#57131); #39504=LINE('',#256934,#57132); #39505=LINE('',#256936,#57133); #39506=LINE('',#256938,#57134); #39507=LINE('',#256953,#57135); #39508=LINE('',#256958,#57136); #39509=LINE('',#256960,#57137); #39510=LINE('',#256962,#57138); #39511=LINE('',#256963,#57139); #39512=LINE('',#256969,#57140); #39513=LINE('',#256972,#57141); #39514=LINE('',#256978,#57142); #39515=LINE('',#256981,#57143); #39516=LINE('',#256992,#57144); #39517=LINE('',#256993,#57145); #39518=LINE('',#257027,#57146); #39519=LINE('',#257030,#57147); #39520=LINE('',#257064,#57148); #39521=LINE('',#257067,#57149); #39522=LINE('',#257078,#57150); #39523=LINE('',#257079,#57151); #39524=LINE('',#257113,#57152); #39525=LINE('',#257116,#57153); #39526=LINE('',#257150,#57154); #39527=LINE('',#257153,#57155); #39528=LINE('',#257164,#57156); #39529=LINE('',#257165,#57157); #39530=LINE('',#257199,#57158); #39531=LINE('',#257202,#57159); #39532=LINE('',#257236,#57160); #39533=LINE('',#257239,#57161); #39534=LINE('',#257250,#57162); #39535=LINE('',#257251,#57163); #39536=LINE('',#257314,#57164); #39537=LINE('',#257325,#57165); #39538=LINE('',#257328,#57166); #39539=LINE('',#257330,#57167); #39540=LINE('',#257331,#57168); #39541=LINE('',#257334,#57169); #39542=LINE('',#257338,#57170); #39543=LINE('',#257339,#57171); #39544=LINE('',#257342,#57172); #39545=LINE('',#257349,#57173); #39546=LINE('',#257352,#57174); #39547=LINE('',#257361,#57175); #39548=LINE('',#257369,#57176); #39549=LINE('',#257378,#57177); #39550=LINE('',#257379,#57178); #39551=LINE('',#257384,#57179); #39552=LINE('',#257386,#57180); #39553=LINE('',#257391,#57181); #39554=LINE('',#257400,#57182); #39555=LINE('',#257409,#57183); #39556=LINE('',#257412,#57184); #39557=LINE('',#257415,#57185); #39558=LINE('',#257416,#57186); #39559=LINE('',#257419,#57187); #39560=LINE('',#257423,#57188); #39561=LINE('',#257424,#57189); #39562=LINE('',#257431,#57190); #39563=LINE('',#257445,#57191); #39564=LINE('',#257451,#57192); #39565=LINE('',#257453,#57193); #39566=LINE('',#257455,#57194); #39567=LINE('',#257458,#57195); #39568=LINE('',#257464,#57196); #39569=LINE('',#257466,#57197); #39570=LINE('',#257468,#57198); #39571=LINE('',#257469,#57199); #39572=LINE('',#257473,#57200); #39573=LINE('',#257475,#57201); #39574=LINE('',#257477,#57202); #39575=LINE('',#257478,#57203); #39576=LINE('',#257482,#57204); #39577=LINE('',#257484,#57205); #39578=LINE('',#257486,#57206); #39579=LINE('',#257488,#57207); #39580=LINE('',#257490,#57208); #39581=LINE('',#257491,#57209); #39582=LINE('',#257495,#57210); #39583=LINE('',#257497,#57211); #39584=LINE('',#257499,#57212); #39585=LINE('',#257501,#57213); #39586=LINE('',#257503,#57214); #39587=LINE('',#257504,#57215); #39588=LINE('',#257508,#57216); #39589=LINE('',#257510,#57217); #39590=LINE('',#257512,#57218); #39591=LINE('',#257513,#57219); #39592=LINE('',#257517,#57220); #39593=LINE('',#257519,#57221); #39594=LINE('',#257521,#57222); #39595=LINE('',#257523,#57223); #39596=LINE('',#257525,#57224); #39597=LINE('',#257526,#57225); #39598=LINE('',#257530,#57226); #39599=LINE('',#257532,#57227); #39600=LINE('',#257534,#57228); #39601=LINE('',#257535,#57229); #39602=LINE('',#257539,#57230); #39603=LINE('',#257541,#57231); #39604=LINE('',#257543,#57232); #39605=LINE('',#257544,#57233); #39606=LINE('',#257548,#57234); #39607=LINE('',#257550,#57235); #39608=LINE('',#257552,#57236); #39609=LINE('',#257554,#57237); #39610=LINE('',#257556,#57238); #39611=LINE('',#257557,#57239); #39612=LINE('',#257561,#57240); #39613=LINE('',#257563,#57241); #39614=LINE('',#257565,#57242); #39615=LINE('',#257566,#57243); #39616=LINE('',#257570,#57244); #39617=LINE('',#257572,#57245); #39618=LINE('',#257574,#57246); #39619=LINE('',#257576,#57247); #39620=LINE('',#257578,#57248); #39621=LINE('',#257579,#57249); #39622=LINE('',#257583,#57250); #39623=LINE('',#257585,#57251); #39624=LINE('',#257587,#57252); #39625=LINE('',#257588,#57253); #39626=LINE('',#257592,#57254); #39627=LINE('',#257594,#57255); #39628=LINE('',#257596,#57256); #39629=LINE('',#257597,#57257); #39630=LINE('',#257601,#57258); #39631=LINE('',#257603,#57259); #39632=LINE('',#257605,#57260); #39633=LINE('',#257606,#57261); #39634=LINE('',#257610,#57262); #39635=LINE('',#257612,#57263); #39636=LINE('',#257614,#57264); #39637=LINE('',#257615,#57265); #39638=LINE('',#257619,#57266); #39639=LINE('',#257621,#57267); #39640=LINE('',#257623,#57268); #39641=LINE('',#257624,#57269); #39642=LINE('',#257628,#57270); #39643=LINE('',#257630,#57271); #39644=LINE('',#257632,#57272); #39645=LINE('',#257633,#57273); #39646=LINE('',#257637,#57274); #39647=LINE('',#257639,#57275); #39648=LINE('',#257641,#57276); #39649=LINE('',#257643,#57277); #39650=LINE('',#257645,#57278); #39651=LINE('',#257647,#57279); #39652=LINE('',#257649,#57280); #39653=LINE('',#257651,#57281); #39654=LINE('',#257653,#57282); #39655=LINE('',#257655,#57283); #39656=LINE('',#257657,#57284); #39657=LINE('',#257659,#57285); #39658=LINE('',#257661,#57286); #39659=LINE('',#257663,#57287); #39660=LINE('',#257665,#57288); #39661=LINE('',#257667,#57289); #39662=LINE('',#257669,#57290); #39663=LINE('',#257671,#57291); #39664=LINE('',#257673,#57292); #39665=LINE('',#257675,#57293); #39666=LINE('',#257677,#57294); #39667=LINE('',#257679,#57295); #39668=LINE('',#257681,#57296); #39669=LINE('',#257683,#57297); #39670=LINE('',#257685,#57298); #39671=LINE('',#257687,#57299); #39672=LINE('',#257689,#57300); #39673=LINE('',#257691,#57301); #39674=LINE('',#257693,#57302); #39675=LINE('',#257695,#57303); #39676=LINE('',#257697,#57304); #39677=LINE('',#257699,#57305); #39678=LINE('',#257701,#57306); #39679=LINE('',#257703,#57307); #39680=LINE('',#257705,#57308); #39681=LINE('',#257707,#57309); #39682=LINE('',#257709,#57310); #39683=LINE('',#257711,#57311); #39684=LINE('',#257713,#57312); #39685=LINE('',#257715,#57313); #39686=LINE('',#257717,#57314); #39687=LINE('',#257719,#57315); #39688=LINE('',#257721,#57316); #39689=LINE('',#257722,#57317); #39690=LINE('',#257726,#57318); #39691=LINE('',#257728,#57319); #39692=LINE('',#257730,#57320); #39693=LINE('',#257732,#57321); #39694=LINE('',#257734,#57322); #39695=LINE('',#257735,#57323); #39696=LINE('',#257739,#57324); #39697=LINE('',#257741,#57325); #39698=LINE('',#257743,#57326); #39699=LINE('',#257744,#57327); #39700=LINE('',#257748,#57328); #39701=LINE('',#257750,#57329); #39702=LINE('',#257752,#57330); #39703=LINE('',#257756,#57331); #39704=LINE('',#257760,#57332); #39705=LINE('',#257762,#57333); #39706=LINE('',#257764,#57334); #39707=LINE('',#257768,#57335); #39708=LINE('',#257772,#57336); #39709=LINE('',#257774,#57337); #39710=LINE('',#257776,#57338); #39711=LINE('',#257780,#57339); #39712=LINE('',#257784,#57340); #39713=LINE('',#257786,#57341); #39714=LINE('',#257788,#57342); #39715=LINE('',#257789,#57343); #39716=LINE('',#257793,#57344); #39717=LINE('',#257795,#57345); #39718=LINE('',#257797,#57346); #39719=LINE('',#257799,#57347); #39720=LINE('',#257801,#57348); #39721=LINE('',#257802,#57349); #39722=LINE('',#257806,#57350); #39723=LINE('',#257808,#57351); #39724=LINE('',#257810,#57352); #39725=LINE('',#257812,#57353); #39726=LINE('',#257814,#57354); #39727=LINE('',#257815,#57355); #39728=LINE('',#257819,#57356); #39729=LINE('',#257821,#57357); #39730=LINE('',#257823,#57358); #39731=LINE('',#257825,#57359); #39732=LINE('',#257827,#57360); #39733=LINE('',#257828,#57361); #39734=LINE('',#257832,#57362); #39735=LINE('',#257834,#57363); #39736=LINE('',#257836,#57364); #39737=LINE('',#257838,#57365); #39738=LINE('',#257840,#57366); #39739=LINE('',#257841,#57367); #39740=LINE('',#257845,#57368); #39741=LINE('',#257847,#57369); #39742=LINE('',#257849,#57370); #39743=LINE('',#257850,#57371); #39744=LINE('',#257861,#57372); #39745=LINE('',#257882,#57373); #39746=LINE('',#257900,#57374); #39747=LINE('',#257918,#57375); #39748=LINE('',#257922,#57376); #39749=LINE('',#257924,#57377); #39750=LINE('',#257926,#57378); #39751=LINE('',#257928,#57379); #39752=LINE('',#257929,#57380); #39753=LINE('',#257934,#57381); #39754=LINE('',#257938,#57382); #39755=LINE('',#257940,#57383); #39756=LINE('',#257941,#57384); #39757=LINE('',#257946,#57385); #39758=LINE('',#257953,#57386); #39759=LINE('',#257957,#57387); #39760=LINE('',#257975,#57388); #39761=LINE('',#258031,#57389); #39762=LINE('',#258035,#57390); #39763=LINE('',#258037,#57391); #39764=LINE('',#258039,#57392); #39765=LINE('',#258041,#57393); #39766=LINE('',#258042,#57394); #39767=LINE('',#258047,#57395); #39768=LINE('',#258051,#57396); #39769=LINE('',#258053,#57397); #39770=LINE('',#258054,#57398); #39771=LINE('',#258059,#57399); #39772=LINE('',#258066,#57400); #39773=LINE('',#258070,#57401); #39774=LINE('',#258088,#57402); #39775=LINE('',#258144,#57403); #39776=LINE('',#258148,#57404); #39777=LINE('',#258150,#57405); #39778=LINE('',#258152,#57406); #39779=LINE('',#258154,#57407); #39780=LINE('',#258155,#57408); #39781=LINE('',#258160,#57409); #39782=LINE('',#258164,#57410); #39783=LINE('',#258166,#57411); #39784=LINE('',#258167,#57412); #39785=LINE('',#258172,#57413); #39786=LINE('',#258179,#57414); #39787=LINE('',#258183,#57415); #39788=LINE('',#258200,#57416); #39789=LINE('',#258255,#57417); #39790=LINE('',#258261,#57418); #39791=LINE('',#258270,#57419); #39792=LINE('',#258274,#57420); #39793=LINE('',#258283,#57421); #39794=LINE('',#258292,#57422); #39795=LINE('',#258296,#57423); #39796=LINE('',#258298,#57424); #39797=LINE('',#258306,#57425); #39798=LINE('',#258308,#57426); #39799=LINE('',#258315,#57427); #39800=LINE('',#258317,#57428); #39801=LINE('',#258319,#57429); #39802=LINE('',#258323,#57430); #39803=LINE('',#258327,#57431); #39804=LINE('',#258329,#57432); #39805=LINE('',#258331,#57433); #39806=LINE('',#258335,#57434); #39807=LINE('',#258341,#57435); #39808=LINE('',#258343,#57436); #39809=LINE('',#258345,#57437); #39810=LINE('',#258347,#57438); #39811=LINE('',#258348,#57439); #39812=LINE('',#258354,#57440); #39813=LINE('',#258358,#57441); #39814=LINE('',#258365,#57442); #39815=LINE('',#258376,#57443); #39816=LINE('',#258438,#57444); #39817=LINE('',#258442,#57445); #39818=LINE('',#258444,#57446); #39819=LINE('',#258446,#57447); #39820=LINE('',#258448,#57448); #39821=LINE('',#258449,#57449); #39822=LINE('',#258454,#57450); #39823=LINE('',#258458,#57451); #39824=LINE('',#258460,#57452); #39825=LINE('',#258461,#57453); #39826=LINE('',#258466,#57454); #39827=LINE('',#258473,#57455); #39828=LINE('',#258477,#57456); #39829=LINE('',#258494,#57457); #39830=LINE('',#258547,#57458); #39831=LINE('',#258551,#57459); #39832=LINE('',#258553,#57460); #39833=LINE('',#258555,#57461); #39834=LINE('',#258559,#57462); #39835=LINE('',#258562,#57463); #39836=LINE('',#258564,#57464); #39837=LINE('',#258566,#57465); #39838=LINE('',#258570,#57466); #39839=LINE('',#258577,#57467); #39840=LINE('',#258579,#57468); #39841=LINE('',#258581,#57469); #39842=LINE('',#258583,#57470); #39843=LINE('',#258584,#57471); #39844=LINE('',#258590,#57472); #39845=LINE('',#258594,#57473); #39846=LINE('',#258601,#57474); #39847=LINE('',#258611,#57475); #39848=LINE('',#258673,#57476); #39849=LINE('',#258677,#57477); #39850=LINE('',#258679,#57478); #39851=LINE('',#258681,#57479); #39852=LINE('',#258683,#57480); #39853=LINE('',#258684,#57481); #39854=LINE('',#258689,#57482); #39855=LINE('',#258693,#57483); #39856=LINE('',#258695,#57484); #39857=LINE('',#258696,#57485); #39858=LINE('',#258701,#57486); #39859=LINE('',#258708,#57487); #39860=LINE('',#258712,#57488); #39861=LINE('',#258729,#57489); #39862=LINE('',#258782,#57490); #39863=LINE('',#258786,#57491); #39864=LINE('',#258788,#57492); #39865=LINE('',#258790,#57493); #39866=LINE('',#258794,#57494); #39867=LINE('',#258797,#57495); #39868=LINE('',#258799,#57496); #39869=LINE('',#258801,#57497); #39870=LINE('',#258805,#57498); #39871=LINE('',#258809,#57499); #39872=LINE('',#258811,#57500); #39873=LINE('',#258813,#57501); #39874=LINE('',#258817,#57502); #39875=LINE('',#258824,#57503); #39876=LINE('',#258826,#57504); #39877=LINE('',#258828,#57505); #39878=LINE('',#258830,#57506); #39879=LINE('',#258831,#57507); #39880=LINE('',#258837,#57508); #39881=LINE('',#258841,#57509); #39882=LINE('',#258848,#57510); #39883=LINE('',#258858,#57511); #39884=LINE('',#258920,#57512); #39885=LINE('',#258924,#57513); #39886=LINE('',#258926,#57514); #39887=LINE('',#258928,#57515); #39888=LINE('',#258930,#57516); #39889=LINE('',#258931,#57517); #39890=LINE('',#258936,#57518); #39891=LINE('',#258940,#57519); #39892=LINE('',#258942,#57520); #39893=LINE('',#258943,#57521); #39894=LINE('',#258948,#57522); #39895=LINE('',#258955,#57523); #39896=LINE('',#258959,#57524); #39897=LINE('',#258977,#57525); #39898=LINE('',#259033,#57526); #39899=LINE('',#259037,#57527); #39900=LINE('',#259039,#57528); #39901=LINE('',#259041,#57529); #39902=LINE('',#259043,#57530); #39903=LINE('',#259044,#57531); #39904=LINE('',#259049,#57532); #39905=LINE('',#259053,#57533); #39906=LINE('',#259055,#57534); #39907=LINE('',#259056,#57535); #39908=LINE('',#259061,#57536); #39909=LINE('',#259068,#57537); #39910=LINE('',#259072,#57538); #39911=LINE('',#259089,#57539); #39912=LINE('',#259145,#57540); #39913=LINE('',#259147,#57541); #39914=LINE('',#259190,#57542); #39915=LINE('',#259192,#57543); #39916=LINE('',#259234,#57544); #39917=LINE('',#259236,#57545); #39918=LINE('',#259277,#57546); #39919=LINE('',#259279,#57547); #39920=LINE('',#259321,#57548); #39921=LINE('',#259323,#57549); #39922=LINE('',#259366,#57550); #39923=LINE('',#259368,#57551); #39924=LINE('',#259410,#57552); #39925=LINE('',#259412,#57553); #39926=LINE('',#259454,#57554); #39927=LINE('',#259456,#57555); #39928=LINE('',#259499,#57556); #39929=LINE('',#259501,#57557); #39930=LINE('',#259544,#57558); #39931=LINE('',#259546,#57559); #39932=LINE('',#259589,#57560); #39933=LINE('',#259591,#57561); #39934=LINE('',#259634,#57562); #39935=LINE('',#259636,#57563); #39936=LINE('',#259678,#57564); #39937=LINE('',#259680,#57565); #39938=LINE('',#259721,#57566); #39939=LINE('',#259723,#57567); #39940=LINE('',#259764,#57568); #39941=LINE('',#259766,#57569); #39942=LINE('',#259807,#57570); #39943=LINE('',#259809,#57571); #39944=LINE('',#259850,#57572); #39945=LINE('',#259852,#57573); #39946=LINE('',#259893,#57574); #39947=LINE('',#259895,#57575); #39948=LINE('',#259937,#57576); #39949=LINE('',#259939,#57577); #39950=LINE('',#259981,#57578); #39951=LINE('',#259983,#57579); #39952=LINE('',#260023,#57580); #39953=LINE('',#260047,#57581); #39954=LINE('',#260095,#57582); #39955=LINE('',#260143,#57583); #39956=LINE('',#260191,#57584); #39957=LINE('',#260245,#57585); #39958=LINE('',#260293,#57586); #39959=LINE('',#260341,#57587); #39960=LINE('',#260395,#57588); #39961=LINE('',#260443,#57589); #39962=LINE('',#260491,#57590); #39963=LINE('',#260539,#57591); #39964=LINE('',#260590,#57592); #39965=LINE('',#260638,#57593); #39966=LINE('',#260686,#57594); #39967=LINE('',#260740,#57595); #39968=LINE('',#260788,#57596); #39969=LINE('',#260836,#57597); #39970=LINE('',#260887,#57598); #39971=LINE('',#260908,#57599); #39972=LINE('',#260933,#57600); #39973=LINE('',#260957,#57601); #39974=LINE('',#260982,#57602); #39975=LINE('',#261007,#57603); #39976=LINE('',#261031,#57604); #39977=LINE('',#261056,#57605); #39978=LINE('',#261080,#57606); #39979=LINE('',#261105,#57607); #39980=LINE('',#261129,#57608); #39981=LINE('',#261154,#57609); #39982=LINE('',#261179,#57610); #39983=LINE('',#261203,#57611); #39984=LINE('',#261228,#57612); #39985=LINE('',#261253,#57613); #39986=LINE('',#261277,#57614); #39987=LINE('',#261305,#57615); #39988=LINE('',#261306,#57616); #39989=LINE('',#261310,#57617); #39990=LINE('',#261311,#57618); #39991=LINE('',#261312,#57619); #39992=LINE('',#261315,#57620); #39993=LINE('',#261316,#57621); #39994=LINE('',#262616,#57622); #39995=LINE('',#262618,#57623); #39996=LINE('',#262620,#57624); #39997=LINE('',#262621,#57625); #39998=LINE('',#262625,#57626); #39999=LINE('',#262627,#57627); #40000=LINE('',#262629,#57628); #40001=LINE('',#262630,#57629); #40002=LINE('',#262634,#57630); #40003=LINE('',#262636,#57631); #40004=LINE('',#262638,#57632); #40005=LINE('',#262639,#57633); #40006=LINE('',#262643,#57634); #40007=LINE('',#262645,#57635); #40008=LINE('',#262647,#57636); #40009=LINE('',#262648,#57637); #40010=LINE('',#262652,#57638); #40011=LINE('',#262654,#57639); #40012=LINE('',#262656,#57640); #40013=LINE('',#262657,#57641); #40014=LINE('',#262661,#57642); #40015=LINE('',#262663,#57643); #40016=LINE('',#262665,#57644); #40017=LINE('',#262666,#57645); #40018=LINE('',#262670,#57646); #40019=LINE('',#262672,#57647); #40020=LINE('',#262674,#57648); #40021=LINE('',#262676,#57649); #40022=LINE('',#262678,#57650); #40023=LINE('',#262679,#57651); #40024=LINE('',#262684,#57652); #40025=LINE('',#262686,#57653); #40026=LINE('',#262688,#57654); #40027=LINE('',#262690,#57655); #40028=LINE('',#262692,#57656); #40029=LINE('',#262693,#57657); #40030=LINE('',#262699,#57658); #40031=LINE('',#262704,#57659); #40032=LINE('',#262707,#57660); #40033=LINE('',#262709,#57661); #40034=LINE('',#262710,#57662); #40035=LINE('',#262712,#57663); #40036=LINE('',#262713,#57664); #40037=LINE('',#262715,#57665); #40038=LINE('',#262716,#57666); #40039=LINE('',#262718,#57667); #40040=LINE('',#262720,#57668); #40041=LINE('',#262722,#57669); #40042=LINE('',#262723,#57670); #40043=LINE('',#262725,#57671); #40044=LINE('',#262727,#57672); #40045=LINE('',#262729,#57673); #40046=LINE('',#262730,#57674); #40047=LINE('',#262732,#57675); #40048=LINE('',#262734,#57676); #40049=LINE('',#262736,#57677); #40050=LINE('',#262738,#57678); #40051=LINE('',#262740,#57679); #40052=LINE('',#262742,#57680); #40053=LINE('',#262744,#57681); #40054=LINE('',#262745,#57682); #40055=LINE('',#262747,#57683); #40056=LINE('',#262749,#57684); #40057=LINE('',#262751,#57685); #40058=LINE('',#262752,#57686); #40059=LINE('',#262754,#57687); #40060=LINE('',#262756,#57688); #40061=LINE('',#262758,#57689); #40062=LINE('',#262760,#57690); #40063=LINE('',#262762,#57691); #40064=LINE('',#262764,#57692); #40065=LINE('',#262766,#57693); #40066=LINE('',#262768,#57694); #40067=LINE('',#262770,#57695); #40068=LINE('',#262772,#57696); #40069=LINE('',#262781,#57697); #40070=LINE('',#262795,#57698); #40071=LINE('',#262811,#57699); #40072=LINE('',#262827,#57700); #40073=LINE('',#262842,#57701); #40074=LINE('',#262847,#57702); #40075=LINE('',#262849,#57703); #40076=LINE('',#262851,#57704); #40077=LINE('',#262852,#57705); #40078=LINE('',#262856,#57706); #40079=LINE('',#262858,#57707); #40080=LINE('',#262860,#57708); #40081=LINE('',#262861,#57709); #40082=LINE('',#262865,#57710); #40083=LINE('',#262867,#57711); #40084=LINE('',#262869,#57712); #40085=LINE('',#262870,#57713); #40086=LINE('',#262874,#57714); #40087=LINE('',#262876,#57715); #40088=LINE('',#262878,#57716); #40089=LINE('',#262879,#57717); #40090=LINE('',#262883,#57718); #40091=LINE('',#262885,#57719); #40092=LINE('',#262887,#57720); #40093=LINE('',#262888,#57721); #40094=LINE('',#262892,#57722); #40095=LINE('',#262894,#57723); #40096=LINE('',#262896,#57724); #40097=LINE('',#262897,#57725); #40098=LINE('',#262901,#57726); #40099=LINE('',#262903,#57727); #40100=LINE('',#262905,#57728); #40101=LINE('',#262907,#57729); #40102=LINE('',#262909,#57730); #40103=LINE('',#262910,#57731); #40104=LINE('',#262916,#57732); #40105=LINE('',#262918,#57733); #40106=LINE('',#262920,#57734); #40107=LINE('',#262922,#57735); #40108=LINE('',#262924,#57736); #40109=LINE('',#262925,#57737); #40110=LINE('',#262929,#57738); #40111=LINE('',#262930,#57739); #40112=LINE('',#262932,#57740); #40113=LINE('',#262933,#57741); #40114=LINE('',#262935,#57742); #40115=LINE('',#262936,#57743); #40116=LINE('',#262938,#57744); #40117=LINE('',#262940,#57745); #40118=LINE('',#262942,#57746); #40119=LINE('',#262944,#57747); #40120=LINE('',#262946,#57748); #40121=LINE('',#262947,#57749); #40122=LINE('',#262949,#57750); #40123=LINE('',#262951,#57751); #40124=LINE('',#262952,#57752); #40125=LINE('',#262954,#57753); #40126=LINE('',#262955,#57754); #40127=LINE('',#262957,#57755); #40128=LINE('',#262959,#57756); #40129=LINE('',#262961,#57757); #40130=LINE('',#262963,#57758); #40131=LINE('',#262965,#57759); #40132=LINE('',#262966,#57760); #40133=LINE('',#262968,#57761); #40134=LINE('',#262970,#57762); #40135=LINE('',#262972,#57763); #40136=LINE('',#262974,#57764); #40137=LINE('',#262976,#57765); #40138=LINE('',#262978,#57766); #40139=LINE('',#262980,#57767); #40140=LINE('',#262982,#57768); #40141=LINE('',#262984,#57769); #40142=LINE('',#262986,#57770); #40143=LINE('',#262988,#57771); #40144=LINE('',#262990,#57772); #40145=LINE('',#262992,#57773); #40146=LINE('',#262995,#57774); #40147=LINE('',#263001,#57775); #40148=LINE('',#263003,#57776); #40149=LINE('',#263005,#57777); #40150=LINE('',#263007,#57778); #40151=LINE('',#263009,#57779); #40152=LINE('',#263013,#57780); #40153=LINE('',#263017,#57781); #40154=LINE('',#263019,#57782); #40155=LINE('',#263021,#57783); #40156=LINE('',#263025,#57784); #40157=LINE('',#263027,#57785); #40158=LINE('',#263031,#57786); #40159=LINE('',#263033,#57787); #40160=LINE('',#263034,#57788); #40161=LINE('',#263046,#57789); #40162=LINE('',#263048,#57790); #40163=LINE('',#263050,#57791); #40164=LINE('',#263054,#57792); #40165=LINE('',#263056,#57793); #40166=LINE('',#263058,#57794); #40167=LINE('',#263062,#57795); #40168=LINE('',#263066,#57796); #40169=LINE('',#263070,#57797); #40170=LINE('',#263074,#57798); #40171=LINE('',#263078,#57799); #40172=LINE('',#263080,#57800); #40173=LINE('',#263082,#57801); #40174=LINE('',#263083,#57802); #40175=LINE('',#263085,#57803); #40176=LINE('',#263086,#57804); #40177=LINE('',#263090,#57805); #40178=LINE('',#263094,#57806); #40179=LINE('',#263096,#57807); #40180=LINE('',#263100,#57808); #40181=LINE('',#263102,#57809); #40182=LINE('',#263104,#57810); #40183=LINE('',#263108,#57811); #40184=LINE('',#263112,#57812); #40185=LINE('',#263114,#57813); #40186=LINE('',#263116,#57814); #40187=LINE('',#263118,#57815); #40188=LINE('',#263120,#57816); #40189=LINE('',#263125,#57817); #40190=LINE('',#263129,#57818); #40191=LINE('',#263131,#57819); #40192=LINE('',#263133,#57820); #40193=LINE('',#263139,#57821); #40194=LINE('',#263140,#57822); #40195=LINE('',#263143,#57823); #40196=LINE('',#263146,#57824); #40197=LINE('',#263149,#57825); #40198=LINE('',#263152,#57826); #40199=LINE('',#263157,#57827); #40200=LINE('',#263158,#57828); #40201=LINE('',#263161,#57829); #40202=LINE('',#263163,#57830); #40203=LINE('',#263164,#57831); #40204=LINE('',#263167,#57832); #40205=LINE('',#263169,#57833); #40206=LINE('',#263170,#57834); #40207=LINE('',#263173,#57835); #40208=LINE('',#263175,#57836); #40209=LINE('',#263176,#57837); #40210=LINE('',#263179,#57838); #40211=LINE('',#263181,#57839); #40212=LINE('',#263182,#57840); #40213=LINE('',#263187,#57841); #40214=LINE('',#263189,#57842); #40215=LINE('',#263190,#57843); #40216=LINE('',#263193,#57844); #40217=LINE('',#263197,#57845); #40218=LINE('',#263198,#57846); #40219=LINE('',#263203,#57847); #40220=LINE('',#263204,#57848); #40221=LINE('',#263205,#57849); #40222=LINE('',#263206,#57850); #40223=LINE('',#263208,#57851); #40224=LINE('',#263210,#57852); #40225=LINE('',#263212,#57853); #40226=LINE('',#263214,#57854); #40227=LINE('',#263216,#57855); #40228=LINE('',#263218,#57856); #40229=LINE('',#263220,#57857); #40230=LINE('',#263222,#57858); #40231=LINE('',#263224,#57859); #40232=LINE('',#263226,#57860); #40233=LINE('',#263228,#57861); #40234=LINE('',#263229,#57862); #40235=LINE('',#263235,#57863); #40236=LINE('',#263237,#57864); #40237=LINE('',#263239,#57865); #40238=LINE('',#263241,#57866); #40239=LINE('',#263244,#57867); #40240=LINE('',#263248,#57868); #40241=LINE('',#263249,#57869); #40242=LINE('',#263252,#57870); #40243=LINE('',#263253,#57871); #40244=LINE('',#263256,#57872); #40245=LINE('',#263257,#57873); #40246=LINE('',#263259,#57874); #40247=LINE('',#263261,#57875); #40248=LINE('',#263263,#57876); #40249=LINE('',#263265,#57877); #40250=LINE('',#263266,#57878); #40251=LINE('',#263271,#57879); #40252=LINE('',#263276,#57880); #40253=LINE('',#263281,#57881); #40254=LINE('',#263294,#57882); #40255=LINE('',#263300,#57883); #40256=LINE('',#263310,#57884); #40257=LINE('',#263320,#57885); #40258=LINE('',#263324,#57886); #40259=LINE('',#263333,#57887); #40260=LINE('',#263341,#57888); #40261=LINE('',#263343,#57889); #40262=LINE('',#263345,#57890); #40263=LINE('',#263347,#57891); #40264=LINE('',#263349,#57892); #40265=LINE('',#263351,#57893); #40266=LINE('',#263353,#57894); #40267=LINE('',#263355,#57895); #40268=LINE('',#263357,#57896); #40269=LINE('',#263359,#57897); #40270=LINE('',#263361,#57898); #40271=LINE('',#263362,#57899); #40272=LINE('',#263366,#57900); #40273=LINE('',#263367,#57901); #40274=LINE('',#263370,#57902); #40275=LINE('',#263371,#57903); #40276=LINE('',#263374,#57904); #40277=LINE('',#263375,#57905); #40278=LINE('',#263379,#57906); #40279=LINE('',#263381,#57907); #40280=LINE('',#263383,#57908); #40281=LINE('',#263384,#57909); #40282=LINE('',#263386,#57910); #40283=LINE('',#263387,#57911); #40284=LINE('',#263391,#57912); #40285=LINE('',#263393,#57913); #40286=LINE('',#263395,#57914); #40287=LINE('',#263396,#57915); #40288=LINE('',#263400,#57916); #40289=LINE('',#263402,#57917); #40290=LINE('',#263404,#57918); #40291=LINE('',#263405,#57919); #40292=LINE('',#263407,#57920); #40293=LINE('',#263408,#57921); #40294=LINE('',#263409,#57922); #40295=LINE('',#263411,#57923); #40296=LINE('',#263412,#57924); #40297=LINE('',#263414,#57925); #40298=LINE('',#263415,#57926); #40299=LINE('',#263417,#57927); #40300=LINE('',#263418,#57928); #40301=LINE('',#263420,#57929); #40302=LINE('',#263421,#57930); #40303=LINE('',#263424,#57931); #40304=LINE('',#263426,#57932); #40305=LINE('',#263427,#57933); #40306=LINE('',#263430,#57934); #40307=LINE('',#263432,#57935); #40308=LINE('',#263433,#57936); #40309=LINE('',#263435,#57937); #40310=LINE('',#263436,#57938); #40311=LINE('',#263439,#57939); #40312=LINE('',#263451,#57940); #40313=LINE('',#263457,#57941); #40314=LINE('',#263465,#57942); #40315=LINE('',#263471,#57943); #40316=LINE('',#263475,#57944); #40317=LINE('',#263479,#57945); #40318=LINE('',#263483,#57946); #40319=LINE('',#263487,#57947); #40320=LINE('',#263494,#57948); #40321=LINE('',#263498,#57949); #40322=LINE('',#263501,#57950); #40323=LINE('',#263505,#57951); #40324=LINE('',#263508,#57952); #40325=LINE('',#263510,#57953); #40326=LINE('',#263511,#57954); #40327=LINE('',#263513,#57955); #40328=LINE('',#263514,#57956); #40329=LINE('',#263518,#57957); #40330=LINE('',#263520,#57958); #40331=LINE('',#263523,#57959); #40332=LINE('',#263525,#57960); #40333=VECTOR('',#168558,10.); #40334=VECTOR('',#168561,10.); #40335=VECTOR('',#168564,10.); #40336=VECTOR('',#168565,10.); #40337=VECTOR('',#168566,10.); #40338=VECTOR('',#168567,10.); #40339=VECTOR('',#168568,10.); #40340=VECTOR('',#168569,10.); #40341=VECTOR('',#168570,10.); #40342=VECTOR('',#168573,10.); #40343=VECTOR('',#168574,10.); #40344=VECTOR('',#168575,10.); #40345=VECTOR('',#168576,10.); #40346=VECTOR('',#168577,10.); #40347=VECTOR('',#168578,10.); #40348=VECTOR('',#168579,10.); #40349=VECTOR('',#168580,10.); #40350=VECTOR('',#168581,10.); #40351=VECTOR('',#168582,10.); #40352=VECTOR('',#168583,10.); #40353=VECTOR('',#168584,10.); #40354=VECTOR('',#168585,10.); #40355=VECTOR('',#168592,10.); #40356=VECTOR('',#168595,10.); #40357=VECTOR('',#168600,10.); #40358=VECTOR('',#168603,10.); #40359=VECTOR('',#168606,10.); #40360=VECTOR('',#168607,10.); #40361=VECTOR('',#168610,10.); #40362=VECTOR('',#168615,10.); #40363=VECTOR('',#168616,10.); #40364=VECTOR('',#168617,10.); #40365=VECTOR('',#168618,10.); #40366=VECTOR('',#168623,10.); #40367=VECTOR('',#168626,10.); #40368=VECTOR('',#168629,10.); #40369=VECTOR('',#168634,10.); #40370=VECTOR('',#168637,10.); #40371=VECTOR('',#168640,10.); #40372=VECTOR('',#168641,10.); #40373=VECTOR('',#168642,10.); #40374=VECTOR('',#168645,10.); #40375=VECTOR('',#168646,10.); #40376=VECTOR('',#168647,10.); #40377=VECTOR('',#168656,10.); #40378=VECTOR('',#168659,10.); #40379=VECTOR('',#168662,10.); #40380=VECTOR('',#168669,10.); #40381=VECTOR('',#168672,10.); #40382=VECTOR('',#168675,10.); #40383=VECTOR('',#168678,10.); #40384=VECTOR('',#168681,10.); #40385=VECTOR('',#168688,10.); #40386=VECTOR('',#168695,10.); #40387=VECTOR('',#168698,10.); #40388=VECTOR('',#168701,10.); #40389=VECTOR('',#168714,10.); #40390=VECTOR('',#168717,10.); #40391=VECTOR('',#168720,10.); #40392=VECTOR('',#168727,10.); #40393=VECTOR('',#168734,10.); #40394=VECTOR('',#168735,10.); #40395=VECTOR('',#168738,10.); #40396=VECTOR('',#168739,10.); #40397=VECTOR('',#168744,10.); #40398=VECTOR('',#168745,10.); #40399=VECTOR('',#168748,10.); #40400=VECTOR('',#168749,10.); #40401=VECTOR('',#168752,10.); #40402=VECTOR('',#168757,10.); #40403=VECTOR('',#168760,10.); #40404=VECTOR('',#168763,10.); #40405=VECTOR('',#168770,10.); #40406=VECTOR('',#168771,10.); #40407=VECTOR('',#168776,10.); #40408=VECTOR('',#168779,10.); #40409=VECTOR('',#168782,10.); #40410=VECTOR('',#168785,10.); #40411=VECTOR('',#168788,10.); #40412=VECTOR('',#168791,10.); #40413=VECTOR('',#168794,10.); #40414=VECTOR('',#168797,10.); #40415=VECTOR('',#168800,10.); #40416=VECTOR('',#168803,10.); #40417=VECTOR('',#168808,10.); #40418=VECTOR('',#168811,10.); #40419=VECTOR('',#168814,10.); #40420=VECTOR('',#168815,10.); #40421=VECTOR('',#168818,10.); #40422=VECTOR('',#168823,10.); #40423=VECTOR('',#168826,10.); #40424=VECTOR('',#168829,10.); #40425=VECTOR('',#168832,10.); #40426=VECTOR('',#168833,10.); #40427=VECTOR('',#168838,10.); #40428=VECTOR('',#168843,10.); #40429=VECTOR('',#168848,10.); #40430=VECTOR('',#168851,10.); #40431=VECTOR('',#168856,10.); #40432=VECTOR('',#168861,10.); #40433=VECTOR('',#168868,10.); #40434=VECTOR('',#168869,10.); #40435=VECTOR('',#168870,10.); #40436=VECTOR('',#168871,10.); #40437=VECTOR('',#168876,10.); #40438=VECTOR('',#168883,10.); #40439=VECTOR('',#168886,10.); #40440=VECTOR('',#168889,10.); #40441=VECTOR('',#168890,10.); #40442=VECTOR('',#168891,10.); #40443=VECTOR('',#168896,10.); #40444=VECTOR('',#168899,10.); #40445=VECTOR('',#168902,10.); #40446=VECTOR('',#168903,10.); #40447=VECTOR('',#168904,10.); #40448=VECTOR('',#168909,10.); #40449=VECTOR('',#168912,10.); #40450=VECTOR('',#168915,10.); #40451=VECTOR('',#168916,10.); #40452=VECTOR('',#168919,10.); #40453=VECTOR('',#168924,10.); #40454=VECTOR('',#168925,10.); #40455=VECTOR('',#168930,10.); #40456=VECTOR('',#168933,10.); #40457=VECTOR('',#168934,10.); #40458=VECTOR('',#168941,10.); #40459=VECTOR('',#168942,10.); #40460=VECTOR('',#168943,10.); #40461=VECTOR('',#168946,10.); #40462=VECTOR('',#168949,10.); #40463=VECTOR('',#168950,10.); #40464=VECTOR('',#168951,10.); #40465=VECTOR('',#168954,10.); #40466=VECTOR('',#168955,10.); #40467=VECTOR('',#168956,10.); #40468=VECTOR('',#168959,10.); #40469=VECTOR('',#168962,10.); #40470=VECTOR('',#168963,10.); #40471=VECTOR('',#168964,10.); #40472=VECTOR('',#168967,10.); #40473=VECTOR('',#168970,10.); #40474=VECTOR('',#168971,10.); #40475=VECTOR('',#168972,10.); #40476=VECTOR('',#168975,10.); #40477=VECTOR('',#168976,10.); #40478=VECTOR('',#168977,10.); #40479=VECTOR('',#168980,10.); #40480=VECTOR('',#168983,10.); #40481=VECTOR('',#168984,10.); #40482=VECTOR('',#168985,10.); #40483=VECTOR('',#168988,10.); #40484=VECTOR('',#168989,10.); #40485=VECTOR('',#168990,10.); #40486=VECTOR('',#168993,10.); #40487=VECTOR('',#168996,10.); #40488=VECTOR('',#168997,10.); #40489=VECTOR('',#168998,10.); #40490=VECTOR('',#169001,10.); #40491=VECTOR('',#169004,10.); #40492=VECTOR('',#169005,10.); #40493=VECTOR('',#169006,10.); #40494=VECTOR('',#169009,10.); #40495=VECTOR('',#169012,10.); #40496=VECTOR('',#169013,10.); #40497=VECTOR('',#169014,10.); #40498=VECTOR('',#169017,10.); #40499=VECTOR('',#169020,10.); #40500=VECTOR('',#169021,10.); #40501=VECTOR('',#169022,10.); #40502=VECTOR('',#169023,10.); #40503=VECTOR('',#169024,10.); #40504=VECTOR('',#169027,10.); #40505=VECTOR('',#169030,10.); #40506=VECTOR('',#169031,10.); #40507=VECTOR('',#169032,10.); #40508=VECTOR('',#169035,10.); #40509=VECTOR('',#169038,10.); #40510=VECTOR('',#169039,10.); #40511=VECTOR('',#169040,10.); #40512=VECTOR('',#169043,10.); #40513=VECTOR('',#169046,10.); #40514=VECTOR('',#169047,10.); #40515=VECTOR('',#169048,10.); #40516=VECTOR('',#169051,10.); #40517=VECTOR('',#169052,10.); #40518=VECTOR('',#169053,10.); #40519=VECTOR('',#169058,10.); #40520=VECTOR('',#169063,1.6); #40521=VECTOR('',#169072,1.6); #40522=VECTOR('',#169083,0.850000000000001); #40523=VECTOR('',#169088,0.85); #40524=VECTOR('',#169091,10.); #40525=VECTOR('',#169092,10.); #40526=VECTOR('',#169093,10.); #40527=VECTOR('',#169096,10.); #40528=VECTOR('',#169097,10.); #40529=VECTOR('',#169100,10.); #40530=VECTOR('',#169101,10.); #40531=VECTOR('',#169104,10.); #40532=VECTOR('',#169105,10.); #40533=VECTOR('',#169108,10.); #40534=VECTOR('',#169109,10.); #40535=VECTOR('',#169112,10.); #40536=VECTOR('',#169113,10.); #40537=VECTOR('',#169114,10.); #40538=VECTOR('',#169119,10.); #40539=VECTOR('',#169122,10.); #40540=VECTOR('',#169123,10.); #40541=VECTOR('',#169128,10.); #40542=VECTOR('',#169131,10.); #40543=VECTOR('',#169132,10.); #40544=VECTOR('',#169135,10.); #40545=VECTOR('',#169136,10.); #40546=VECTOR('',#169141,10.); #40547=VECTOR('',#169144,10.); #40548=VECTOR('',#169145,10.); #40549=VECTOR('',#169148,10.); #40550=VECTOR('',#169151,10.); #40551=VECTOR('',#169154,10.); #40552=VECTOR('',#169155,10.); #40553=VECTOR('',#169158,10.); #40554=VECTOR('',#169159,10.); #40555=VECTOR('',#169160,10.); #40556=VECTOR('',#169165,10.); #40557=VECTOR('',#169168,10.); #40558=VECTOR('',#169171,10.); #40559=VECTOR('',#169172,10.); #40560=VECTOR('',#169173,10.); #40561=VECTOR('',#169176,10.); #40562=VECTOR('',#169177,10.); #40563=VECTOR('',#169178,10.); #40564=VECTOR('',#169183,10.); #40565=VECTOR('',#169186,10.); #40566=VECTOR('',#169187,10.); #40567=VECTOR('',#169194,10.); #40568=VECTOR('',#169197,10.); #40569=VECTOR('',#169200,10.); #40570=VECTOR('',#169201,10.); #40571=VECTOR('',#169204,10.); #40572=VECTOR('',#169205,10.); #40573=VECTOR('',#169206,10.); #40574=VECTOR('',#169211,10.); #40575=VECTOR('',#169214,10.); #40576=VECTOR('',#169217,10.); #40577=VECTOR('',#169218,10.); #40578=VECTOR('',#169219,10.); #40579=VECTOR('',#169222,10.); #40580=VECTOR('',#169223,10.); #40581=VECTOR('',#169224,10.); #40582=VECTOR('',#169229,10.); #40583=VECTOR('',#169232,10.); #40584=VECTOR('',#169233,10.); #40585=VECTOR('',#169240,10.); #40586=VECTOR('',#169241,10.); #40587=VECTOR('',#169242,10.); #40588=VECTOR('',#169247,10.); #40589=VECTOR('',#169250,10.); #40590=VECTOR('',#169253,10.); #40591=VECTOR('',#169254,10.); #40592=VECTOR('',#169255,10.); #40593=VECTOR('',#169258,10.); #40594=VECTOR('',#169259,10.); #40595=VECTOR('',#169260,10.); #40596=VECTOR('',#169265,10.); #40597=VECTOR('',#169268,10.); #40598=VECTOR('',#169269,10.); #40599=VECTOR('',#169276,10.); #40600=VECTOR('',#169279,10.); #40601=VECTOR('',#169282,10.); #40602=VECTOR('',#169285,10.); #40603=VECTOR('',#169288,10.); #40604=VECTOR('',#169291,10.); #40605=VECTOR('',#169294,10.); #40606=VECTOR('',#169297,10.); #40607=VECTOR('',#169306,10.); #40608=VECTOR('',#169309,10.); #40609=VECTOR('',#169314,10.); #40610=VECTOR('',#169319,10.); #40611=VECTOR('',#169320,10.); #40612=VECTOR('',#169321,10.); #40613=VECTOR('',#169324,10.); #40614=VECTOR('',#169325,10.); #40615=VECTOR('',#169326,10.); #40616=VECTOR('',#169329,10.); #40617=VECTOR('',#169330,10.); #40618=VECTOR('',#169331,10.); #40619=VECTOR('',#169334,10.); #40620=VECTOR('',#169335,10.); #40621=VECTOR('',#169336,10.); #40622=VECTOR('',#169345,10.); #40623=VECTOR('',#169346,10.); #40624=VECTOR('',#169347,10.); #40625=VECTOR('',#169348,10.); #40626=VECTOR('',#169353,10.); #40627=VECTOR('',#169360,10.); #40628=VECTOR('',#169367,10.); #40629=VECTOR('',#169374,10.); #40630=VECTOR('',#169379,10.); #40631=VECTOR('',#169380,10.); #40632=VECTOR('',#169381,10.); #40633=VECTOR('',#169384,10.); #40634=VECTOR('',#169385,10.); #40635=VECTOR('',#169386,10.); #40636=VECTOR('',#169389,10.); #40637=VECTOR('',#169390,10.); #40638=VECTOR('',#169391,10.); #40639=VECTOR('',#169394,10.); #40640=VECTOR('',#169395,10.); #40641=VECTOR('',#169396,10.); #40642=VECTOR('',#169399,10.); #40643=VECTOR('',#169400,10.); #40644=VECTOR('',#169401,10.); #40645=VECTOR('',#169404,10.); #40646=VECTOR('',#169405,10.); #40647=VECTOR('',#169406,10.); #40648=VECTOR('',#169409,10.); #40649=VECTOR('',#169410,10.); #40650=VECTOR('',#169411,10.); #40651=VECTOR('',#169414,10.); #40652=VECTOR('',#169415,10.); #40653=VECTOR('',#169416,10.); #40654=VECTOR('',#169419,10.); #40655=VECTOR('',#169420,10.); #40656=VECTOR('',#169421,10.); #40657=VECTOR('',#169424,10.); #40658=VECTOR('',#169425,10.); #40659=VECTOR('',#169426,10.); #40660=VECTOR('',#169429,10.); #40661=VECTOR('',#169430,10.); #40662=VECTOR('',#169431,10.); #40663=VECTOR('',#169434,10.); #40664=VECTOR('',#169435,10.); #40665=VECTOR('',#169436,10.); #40666=VECTOR('',#169439,10.); #40667=VECTOR('',#169440,10.); #40668=VECTOR('',#169441,10.); #40669=VECTOR('',#169446,10.); #40670=VECTOR('',#169451,10.); #40671=VECTOR('',#169452,10.); #40672=VECTOR('',#169453,10.); #40673=VECTOR('',#169456,10.); #40674=VECTOR('',#169457,10.); #40675=VECTOR('',#169458,10.); #40676=VECTOR('',#169461,10.); #40677=VECTOR('',#169462,10.); #40678=VECTOR('',#169463,10.); #40679=VECTOR('',#169466,10.); #40680=VECTOR('',#169467,10.); #40681=VECTOR('',#169468,10.); #40682=VECTOR('',#169471,10.); #40683=VECTOR('',#169472,10.); #40684=VECTOR('',#169473,10.); #40685=VECTOR('',#169476,10.); #40686=VECTOR('',#169477,10.); #40687=VECTOR('',#169478,10.); #40688=VECTOR('',#169481,10.); #40689=VECTOR('',#169482,10.); #40690=VECTOR('',#169483,10.); #40691=VECTOR('',#169488,10.); #40692=VECTOR('',#169493,10.); #40693=VECTOR('',#169494,10.); #40694=VECTOR('',#169495,10.); #40695=VECTOR('',#169500,10.); #40696=VECTOR('',#169505,10.); #40697=VECTOR('',#169506,10.); #40698=VECTOR('',#169507,10.); #40699=VECTOR('',#169510,10.); #40700=VECTOR('',#169511,10.); #40701=VECTOR('',#169512,10.); #40702=VECTOR('',#169515,10.); #40703=VECTOR('',#169516,10.); #40704=VECTOR('',#169517,10.); #40705=VECTOR('',#169520,10.); #40706=VECTOR('',#169521,10.); #40707=VECTOR('',#169522,10.); #40708=VECTOR('',#169527,10.); #40709=VECTOR('',#169532,10.); #40710=VECTOR('',#169533,10.); #40711=VECTOR('',#169534,10.); #40712=VECTOR('',#169537,10.); #40713=VECTOR('',#169538,10.); #40714=VECTOR('',#169539,10.); #40715=VECTOR('',#169542,10.); #40716=VECTOR('',#169543,10.); #40717=VECTOR('',#169544,10.); #40718=VECTOR('',#169547,10.); #40719=VECTOR('',#169548,10.); #40720=VECTOR('',#169549,10.); #40721=VECTOR('',#169552,10.); #40722=VECTOR('',#169553,10.); #40723=VECTOR('',#169554,10.); #40724=VECTOR('',#169557,10.); #40725=VECTOR('',#169558,10.); #40726=VECTOR('',#169559,10.); #40727=VECTOR('',#169562,10.); #40728=VECTOR('',#169563,10.); #40729=VECTOR('',#169564,10.); #40730=VECTOR('',#169567,10.); #40731=VECTOR('',#169568,10.); #40732=VECTOR('',#169569,10.); #40733=VECTOR('',#169572,10.); #40734=VECTOR('',#169573,10.); #40735=VECTOR('',#169574,10.); #40736=VECTOR('',#169577,10.); #40737=VECTOR('',#169578,10.); #40738=VECTOR('',#169579,10.); #40739=VECTOR('',#169582,10.); #40740=VECTOR('',#169583,10.); #40741=VECTOR('',#169584,10.); #40742=VECTOR('',#169587,10.); #40743=VECTOR('',#169588,10.); #40744=VECTOR('',#169589,10.); #40745=VECTOR('',#169592,10.); #40746=VECTOR('',#169593,10.); #40747=VECTOR('',#169594,10.); #40748=VECTOR('',#169597,10.); #40749=VECTOR('',#169598,10.); #40750=VECTOR('',#169599,10.); #40751=VECTOR('',#169602,10.); #40752=VECTOR('',#169603,10.); #40753=VECTOR('',#169604,10.); #40754=VECTOR('',#169607,10.); #40755=VECTOR('',#169608,10.); #40756=VECTOR('',#169609,10.); #40757=VECTOR('',#169612,10.); #40758=VECTOR('',#169613,10.); #40759=VECTOR('',#169614,10.); #40760=VECTOR('',#169617,10.); #40761=VECTOR('',#169618,10.); #40762=VECTOR('',#169619,10.); #40763=VECTOR('',#169622,10.); #40764=VECTOR('',#169623,10.); #40765=VECTOR('',#169624,10.); #40766=VECTOR('',#169627,10.); #40767=VECTOR('',#169628,10.); #40768=VECTOR('',#169629,10.); #40769=VECTOR('',#169632,10.); #40770=VECTOR('',#169633,10.); #40771=VECTOR('',#169634,10.); #40772=VECTOR('',#169637,10.); #40773=VECTOR('',#169638,10.); #40774=VECTOR('',#169639,10.); #40775=VECTOR('',#169644,10.); #40776=VECTOR('',#169649,10.); #40777=VECTOR('',#169650,10.); #40778=VECTOR('',#169651,10.); #40779=VECTOR('',#169654,10.); #40780=VECTOR('',#169655,10.); #40781=VECTOR('',#169656,10.); #40782=VECTOR('',#169661,10.); #40783=VECTOR('',#169668,10.); #40784=VECTOR('',#169673,10.); #40785=VECTOR('',#169674,10.); #40786=VECTOR('',#169675,10.); #40787=VECTOR('',#169678,10.); #40788=VECTOR('',#169679,10.); #40789=VECTOR('',#169680,10.); #40790=VECTOR('',#169683,10.); #40791=VECTOR('',#169684,10.); #40792=VECTOR('',#169685,10.); #40793=VECTOR('',#169688,10.); #40794=VECTOR('',#169689,10.); #40795=VECTOR('',#169690,10.); #40796=VECTOR('',#169693,10.); #40797=VECTOR('',#169694,10.); #40798=VECTOR('',#169695,10.); #40799=VECTOR('',#169698,10.); #40800=VECTOR('',#169699,10.); #40801=VECTOR('',#169700,10.); #40802=VECTOR('',#169703,10.); #40803=VECTOR('',#169704,10.); #40804=VECTOR('',#169705,10.); #40805=VECTOR('',#169708,10.); #40806=VECTOR('',#169709,10.); #40807=VECTOR('',#169710,10.); #40808=VECTOR('',#169713,10.); #40809=VECTOR('',#169714,10.); #40810=VECTOR('',#169715,10.); #40811=VECTOR('',#169718,10.); #40812=VECTOR('',#169719,10.); #40813=VECTOR('',#169720,10.); #40814=VECTOR('',#169723,10.); #40815=VECTOR('',#169724,10.); #40816=VECTOR('',#169725,10.); #40817=VECTOR('',#169728,10.); #40818=VECTOR('',#169729,10.); #40819=VECTOR('',#169730,10.); #40820=VECTOR('',#169733,10.); #40821=VECTOR('',#169734,10.); #40822=VECTOR('',#169735,10.); #40823=VECTOR('',#169738,10.); #40824=VECTOR('',#169739,10.); #40825=VECTOR('',#169740,10.); #40826=VECTOR('',#169743,10.); #40827=VECTOR('',#169744,10.); #40828=VECTOR('',#169745,10.); #40829=VECTOR('',#169748,10.); #40830=VECTOR('',#169749,10.); #40831=VECTOR('',#169750,10.); #40832=VECTOR('',#169753,10.); #40833=VECTOR('',#169754,10.); #40834=VECTOR('',#169755,10.); #40835=VECTOR('',#169758,10.); #40836=VECTOR('',#169759,10.); #40837=VECTOR('',#169760,10.); #40838=VECTOR('',#169763,10.); #40839=VECTOR('',#169764,10.); #40840=VECTOR('',#169765,10.); #40841=VECTOR('',#169768,10.); #40842=VECTOR('',#169769,10.); #40843=VECTOR('',#169770,10.); #40844=VECTOR('',#169773,10.); #40845=VECTOR('',#169774,10.); #40846=VECTOR('',#169775,10.); #40847=VECTOR('',#169778,10.); #40848=VECTOR('',#169779,10.); #40849=VECTOR('',#169780,10.); #40850=VECTOR('',#169783,10.); #40851=VECTOR('',#169784,10.); #40852=VECTOR('',#169785,10.); #40853=VECTOR('',#169788,10.); #40854=VECTOR('',#169789,10.); #40855=VECTOR('',#169790,10.); #40856=VECTOR('',#169795,10.); #40857=VECTOR('',#169800,10.); #40858=VECTOR('',#169801,10.); #40859=VECTOR('',#169802,10.); #40860=VECTOR('',#169805,10.); #40861=VECTOR('',#169806,10.); #40862=VECTOR('',#169807,10.); #40863=VECTOR('',#169810,10.); #40864=VECTOR('',#169811,10.); #40865=VECTOR('',#169812,10.); #40866=VECTOR('',#169817,10.); #40867=VECTOR('',#169822,10.); #40868=VECTOR('',#169823,10.); #40869=VECTOR('',#169824,10.); #40870=VECTOR('',#169829,10.); #40871=VECTOR('',#169834,10.); #40872=VECTOR('',#169835,10.); #40873=VECTOR('',#169836,10.); #40874=VECTOR('',#169839,10.); #40875=VECTOR('',#169840,10.); #40876=VECTOR('',#169841,10.); #40877=VECTOR('',#169844,10.); #40878=VECTOR('',#169845,10.); #40879=VECTOR('',#169846,10.); #40880=VECTOR('',#169849,10.); #40881=VECTOR('',#169850,10.); #40882=VECTOR('',#169851,10.); #40883=VECTOR('',#169854,10.); #40884=VECTOR('',#169855,10.); #40885=VECTOR('',#169856,10.); #40886=VECTOR('',#169859,10.); #40887=VECTOR('',#169860,10.); #40888=VECTOR('',#169861,10.); #40889=VECTOR('',#169864,10.); #40890=VECTOR('',#169865,10.); #40891=VECTOR('',#169866,10.); #40892=VECTOR('',#169869,10.); #40893=VECTOR('',#169870,10.); #40894=VECTOR('',#169871,10.); #40895=VECTOR('',#169874,10.); #40896=VECTOR('',#169875,10.); #40897=VECTOR('',#169876,10.); #40898=VECTOR('',#169879,10.); #40899=VECTOR('',#169880,10.); #40900=VECTOR('',#169881,10.); #40901=VECTOR('',#169884,10.); #40902=VECTOR('',#169885,10.); #40903=VECTOR('',#169886,10.); #40904=VECTOR('',#169889,10.); #40905=VECTOR('',#169890,10.); #40906=VECTOR('',#169891,10.); #40907=VECTOR('',#169894,10.); #40908=VECTOR('',#169895,10.); #40909=VECTOR('',#169896,10.); #40910=VECTOR('',#169901,10.); #40911=VECTOR('',#169906,10.); #40912=VECTOR('',#169907,10.); #40913=VECTOR('',#169908,10.); #40914=VECTOR('',#169911,10.); #40915=VECTOR('',#169912,10.); #40916=VECTOR('',#169913,10.); #40917=VECTOR('',#169916,10.); #40918=VECTOR('',#169917,10.); #40919=VECTOR('',#169918,10.); #40920=VECTOR('',#169923,10.); #40921=VECTOR('',#169930,10.); #40922=VECTOR('',#169945,10.); #40923=VECTOR('',#169948,10.); #40924=VECTOR('',#169951,10.); #40925=VECTOR('',#169952,10.); #40926=VECTOR('',#169953,10.); #40927=VECTOR('',#169956,10.); #40928=VECTOR('',#169957,10.); #40929=VECTOR('',#169958,10.); #40930=VECTOR('',#169961,10.); #40931=VECTOR('',#169962,10.); #40932=VECTOR('',#169963,10.); #40933=VECTOR('',#169966,10.); #40934=VECTOR('',#169967,10.); #40935=VECTOR('',#169968,10.); #40936=VECTOR('',#169971,10.); #40937=VECTOR('',#169972,10.); #40938=VECTOR('',#169973,10.); #40939=VECTOR('',#169976,10.); #40940=VECTOR('',#169977,10.); #40941=VECTOR('',#169978,10.); #40942=VECTOR('',#169981,10.); #40943=VECTOR('',#169982,10.); #40944=VECTOR('',#169983,10.); #40945=VECTOR('',#169988,10.); #40946=VECTOR('',#169995,10.); #40947=VECTOR('',#170006,10.); #40948=VECTOR('',#170007,10.); #40949=VECTOR('',#170008,10.); #40950=VECTOR('',#170009,10.); #40951=VECTOR('',#170012,10.); #40952=VECTOR('',#170013,10.); #40953=VECTOR('',#170014,10.); #40954=VECTOR('',#170017,10.); #40955=VECTOR('',#170018,10.); #40956=VECTOR('',#170019,10.); #40957=VECTOR('',#170022,10.); #40958=VECTOR('',#170023,10.); #40959=VECTOR('',#170024,10.); #40960=VECTOR('',#170027,10.); #40961=VECTOR('',#170028,10.); #40962=VECTOR('',#170029,10.); #40963=VECTOR('',#170032,10.); #40964=VECTOR('',#170033,10.); #40965=VECTOR('',#170034,10.); #40966=VECTOR('',#170037,10.); #40967=VECTOR('',#170038,10.); #40968=VECTOR('',#170039,10.); #40969=VECTOR('',#170042,10.); #40970=VECTOR('',#170043,10.); #40971=VECTOR('',#170044,10.); #40972=VECTOR('',#170047,10.); #40973=VECTOR('',#170048,10.); #40974=VECTOR('',#170049,10.); #40975=VECTOR('',#170052,10.); #40976=VECTOR('',#170053,10.); #40977=VECTOR('',#170054,10.); #40978=VECTOR('',#170057,10.); #40979=VECTOR('',#170058,10.); #40980=VECTOR('',#170059,10.); #40981=VECTOR('',#170062,10.); #40982=VECTOR('',#170063,10.); #40983=VECTOR('',#170064,10.); #40984=VECTOR('',#170067,10.); #40985=VECTOR('',#170068,10.); #40986=VECTOR('',#170069,10.); #40987=VECTOR('',#170072,10.); #40988=VECTOR('',#170073,10.); #40989=VECTOR('',#170074,10.); #40990=VECTOR('',#170077,10.); #40991=VECTOR('',#170078,10.); #40992=VECTOR('',#170079,10.); #40993=VECTOR('',#170082,10.); #40994=VECTOR('',#170083,10.); #40995=VECTOR('',#170084,10.); #40996=VECTOR('',#170087,10.); #40997=VECTOR('',#170088,10.); #40998=VECTOR('',#170089,10.); #40999=VECTOR('',#170092,10.); #41000=VECTOR('',#170093,10.); #41001=VECTOR('',#170094,10.); #41002=VECTOR('',#170097,10.); #41003=VECTOR('',#170098,10.); #41004=VECTOR('',#170099,10.); #41005=VECTOR('',#170104,10.); #41006=VECTOR('',#170109,10.); #41007=VECTOR('',#170110,10.); #41008=VECTOR('',#170111,10.); #41009=VECTOR('',#170114,10.); #41010=VECTOR('',#170115,10.); #41011=VECTOR('',#170116,10.); #41012=VECTOR('',#170119,10.); #41013=VECTOR('',#170120,10.); #41014=VECTOR('',#170121,10.); #41015=VECTOR('',#170126,10.); #41016=VECTOR('',#170133,10.); #41017=VECTOR('',#170138,10.); #41018=VECTOR('',#170139,10.); #41019=VECTOR('',#170140,10.); #41020=VECTOR('',#170143,10.); #41021=VECTOR('',#170144,10.); #41022=VECTOR('',#170145,10.); #41023=VECTOR('',#170148,10.); #41024=VECTOR('',#170149,10.); #41025=VECTOR('',#170150,10.); #41026=VECTOR('',#170153,10.); #41027=VECTOR('',#170154,10.); #41028=VECTOR('',#170155,10.); #41029=VECTOR('',#170158,10.); #41030=VECTOR('',#170159,10.); #41031=VECTOR('',#170160,10.); #41032=VECTOR('',#170163,10.); #41033=VECTOR('',#170164,10.); #41034=VECTOR('',#170165,10.); #41035=VECTOR('',#170168,10.); #41036=VECTOR('',#170169,10.); #41037=VECTOR('',#170170,10.); #41038=VECTOR('',#170173,10.); #41039=VECTOR('',#170174,10.); #41040=VECTOR('',#170175,10.); #41041=VECTOR('',#170178,10.); #41042=VECTOR('',#170179,10.); #41043=VECTOR('',#170180,10.); #41044=VECTOR('',#170183,10.); #41045=VECTOR('',#170184,10.); #41046=VECTOR('',#170185,10.); #41047=VECTOR('',#170188,10.); #41048=VECTOR('',#170189,10.); #41049=VECTOR('',#170190,10.); #41050=VECTOR('',#170193,10.); #41051=VECTOR('',#170194,10.); #41052=VECTOR('',#170195,10.); #41053=VECTOR('',#170198,10.); #41054=VECTOR('',#170199,10.); #41055=VECTOR('',#170200,10.); #41056=VECTOR('',#170203,10.); #41057=VECTOR('',#170204,10.); #41058=VECTOR('',#170205,10.); #41059=VECTOR('',#170208,10.); #41060=VECTOR('',#170209,10.); #41061=VECTOR('',#170210,10.); #41062=VECTOR('',#170213,10.); #41063=VECTOR('',#170214,10.); #41064=VECTOR('',#170215,10.); #41065=VECTOR('',#170218,10.); #41066=VECTOR('',#170219,10.); #41067=VECTOR('',#170220,10.); #41068=VECTOR('',#170223,10.); #41069=VECTOR('',#170224,10.); #41070=VECTOR('',#170225,10.); #41071=VECTOR('',#170228,10.); #41072=VECTOR('',#170229,10.); #41073=VECTOR('',#170230,10.); #41074=VECTOR('',#170233,10.); #41075=VECTOR('',#170234,10.); #41076=VECTOR('',#170235,10.); #41077=VECTOR('',#170238,10.); #41078=VECTOR('',#170239,10.); #41079=VECTOR('',#170240,10.); #41080=VECTOR('',#170243,10.); #41081=VECTOR('',#170244,10.); #41082=VECTOR('',#170245,10.); #41083=VECTOR('',#170248,10.); #41084=VECTOR('',#170249,10.); #41085=VECTOR('',#170250,10.); #41086=VECTOR('',#170253,10.); #41087=VECTOR('',#170254,10.); #41088=VECTOR('',#170255,10.); #41089=VECTOR('',#170258,10.); #41090=VECTOR('',#170259,10.); #41091=VECTOR('',#170260,10.); #41092=VECTOR('',#170265,10.); #41093=VECTOR('',#170270,10.); #41094=VECTOR('',#170271,10.); #41095=VECTOR('',#170272,10.); #41096=VECTOR('',#170275,10.); #41097=VECTOR('',#170276,10.); #41098=VECTOR('',#170277,10.); #41099=VECTOR('',#170280,10.); #41100=VECTOR('',#170281,10.); #41101=VECTOR('',#170282,10.); #41102=VECTOR('',#170285,10.); #41103=VECTOR('',#170286,10.); #41104=VECTOR('',#170287,10.); #41105=VECTOR('',#170290,10.); #41106=VECTOR('',#170291,10.); #41107=VECTOR('',#170292,10.); #41108=VECTOR('',#170295,10.); #41109=VECTOR('',#170296,10.); #41110=VECTOR('',#170297,10.); #41111=VECTOR('',#170300,10.); #41112=VECTOR('',#170301,10.); #41113=VECTOR('',#170302,10.); #41114=VECTOR('',#170305,10.); #41115=VECTOR('',#170306,10.); #41116=VECTOR('',#170307,10.); #41117=VECTOR('',#170310,10.); #41118=VECTOR('',#170311,10.); #41119=VECTOR('',#170312,10.); #41120=VECTOR('',#170315,10.); #41121=VECTOR('',#170316,10.); #41122=VECTOR('',#170317,10.); #41123=VECTOR('',#170320,10.); #41124=VECTOR('',#170321,10.); #41125=VECTOR('',#170322,10.); #41126=VECTOR('',#170325,10.); #41127=VECTOR('',#170326,10.); #41128=VECTOR('',#170327,10.); #41129=VECTOR('',#170330,10.); #41130=VECTOR('',#170331,10.); #41131=VECTOR('',#170332,10.); #41132=VECTOR('',#170335,10.); #41133=VECTOR('',#170336,10.); #41134=VECTOR('',#170337,10.); #41135=VECTOR('',#170340,10.); #41136=VECTOR('',#170341,10.); #41137=VECTOR('',#170342,10.); #41138=VECTOR('',#170345,10.); #41139=VECTOR('',#170346,10.); #41140=VECTOR('',#170347,10.); #41141=VECTOR('',#170350,10.); #41142=VECTOR('',#170351,10.); #41143=VECTOR('',#170352,10.); #41144=VECTOR('',#170355,10.); #41145=VECTOR('',#170356,10.); #41146=VECTOR('',#170357,10.); #41147=VECTOR('',#170360,10.); #41148=VECTOR('',#170361,10.); #41149=VECTOR('',#170362,10.); #41150=VECTOR('',#170365,10.); #41151=VECTOR('',#170366,10.); #41152=VECTOR('',#170367,10.); #41153=VECTOR('',#170370,10.); #41154=VECTOR('',#170371,10.); #41155=VECTOR('',#170372,10.); #41156=VECTOR('',#170375,10.); #41157=VECTOR('',#170376,10.); #41158=VECTOR('',#170377,10.); #41159=VECTOR('',#170380,10.); #41160=VECTOR('',#170381,10.); #41161=VECTOR('',#170382,10.); #41162=VECTOR('',#170385,10.); #41163=VECTOR('',#170386,10.); #41164=VECTOR('',#170387,10.); #41165=VECTOR('',#170390,10.); #41166=VECTOR('',#170391,10.); #41167=VECTOR('',#170392,10.); #41168=VECTOR('',#170397,10.); #41169=VECTOR('',#170404,10.); #41170=VECTOR('',#170409,10.); #41171=VECTOR('',#170410,10.); #41172=VECTOR('',#170411,10.); #41173=VECTOR('',#170414,10.); #41174=VECTOR('',#170415,10.); #41175=VECTOR('',#170416,10.); #41176=VECTOR('',#170421,10.); #41177=VECTOR('',#170428,10.); #41178=VECTOR('',#170435,10.); #41179=VECTOR('',#170442,10.); #41180=VECTOR('',#170447,10.); #41181=VECTOR('',#170448,10.); #41182=VECTOR('',#170449,10.); #41183=VECTOR('',#170452,10.); #41184=VECTOR('',#170453,10.); #41185=VECTOR('',#170454,10.); #41186=VECTOR('',#170457,10.); #41187=VECTOR('',#170458,10.); #41188=VECTOR('',#170459,10.); #41189=VECTOR('',#170462,10.); #41190=VECTOR('',#170463,10.); #41191=VECTOR('',#170464,10.); #41192=VECTOR('',#170467,10.); #41193=VECTOR('',#170468,10.); #41194=VECTOR('',#170469,10.); #41195=VECTOR('',#170472,10.); #41196=VECTOR('',#170473,10.); #41197=VECTOR('',#170474,10.); #41198=VECTOR('',#170477,10.); #41199=VECTOR('',#170478,10.); #41200=VECTOR('',#170483,10.); #41201=VECTOR('',#170484,10.); #41202=VECTOR('',#170485,10.); #41203=VECTOR('',#170486,10.); #41204=VECTOR('',#170491,10.); #41205=VECTOR('',#170496,10.); #41206=VECTOR('',#170497,10.); #41207=VECTOR('',#170498,10.); #41208=VECTOR('',#170501,10.); #41209=VECTOR('',#170502,10.); #41210=VECTOR('',#170503,10.); #41211=VECTOR('',#170506,10.); #41212=VECTOR('',#170507,10.); #41213=VECTOR('',#170512,10.); #41214=VECTOR('',#170513,10.); #41215=VECTOR('',#170514,10.); #41216=VECTOR('',#170515,10.); #41217=VECTOR('',#170518,10.); #41218=VECTOR('',#170519,10.); #41219=VECTOR('',#170520,10.); #41220=VECTOR('',#170523,10.); #41221=VECTOR('',#170524,10.); #41222=VECTOR('',#170525,10.); #41223=VECTOR('',#170528,10.); #41224=VECTOR('',#170529,10.); #41225=VECTOR('',#170530,10.); #41226=VECTOR('',#170535,10.); #41227=VECTOR('',#170540,10.); #41228=VECTOR('',#170541,10.); #41229=VECTOR('',#170542,10.); #41230=VECTOR('',#170547,10.); #41231=VECTOR('',#170552,10.); #41232=VECTOR('',#170553,10.); #41233=VECTOR('',#170554,10.); #41234=VECTOR('',#170557,10.); #41235=VECTOR('',#170558,10.); #41236=VECTOR('',#170559,10.); #41237=VECTOR('',#170562,10.); #41238=VECTOR('',#170563,10.); #41239=VECTOR('',#170564,10.); #41240=VECTOR('',#170567,10.); #41241=VECTOR('',#170568,10.); #41242=VECTOR('',#170569,10.); #41243=VECTOR('',#170572,10.); #41244=VECTOR('',#170573,10.); #41245=VECTOR('',#170574,10.); #41246=VECTOR('',#170579,10.); #41247=VECTOR('',#170584,10.); #41248=VECTOR('',#170585,10.); #41249=VECTOR('',#170586,10.); #41250=VECTOR('',#170589,10.); #41251=VECTOR('',#170590,10.); #41252=VECTOR('',#170591,10.); #41253=VECTOR('',#170596,10.); #41254=VECTOR('',#170601,10.); #41255=VECTOR('',#170602,10.); #41256=VECTOR('',#170603,10.); #41257=VECTOR('',#170608,10.); #41258=VECTOR('',#170613,10.); #41259=VECTOR('',#170614,10.); #41260=VECTOR('',#170615,10.); #41261=VECTOR('',#170618,10.); #41262=VECTOR('',#170619,10.); #41263=VECTOR('',#170620,10.); #41264=VECTOR('',#170623,10.); #41265=VECTOR('',#170624,10.); #41266=VECTOR('',#170625,10.); #41267=VECTOR('',#170628,10.); #41268=VECTOR('',#170629,10.); #41269=VECTOR('',#170630,10.); #41270=VECTOR('',#170633,10.); #41271=VECTOR('',#170634,10.); #41272=VECTOR('',#170635,10.); #41273=VECTOR('',#170638,10.); #41274=VECTOR('',#170639,10.); #41275=VECTOR('',#170640,10.); #41276=VECTOR('',#170643,10.); #41277=VECTOR('',#170644,10.); #41278=VECTOR('',#170645,10.); #41279=VECTOR('',#170648,10.); #41280=VECTOR('',#170649,10.); #41281=VECTOR('',#170650,10.); #41282=VECTOR('',#170653,10.); #41283=VECTOR('',#170654,10.); #41284=VECTOR('',#170655,10.); #41285=VECTOR('',#170660,10.); #41286=VECTOR('',#170665,10.); #41287=VECTOR('',#170666,10.); #41288=VECTOR('',#170667,10.); #41289=VECTOR('',#170670,10.); #41290=VECTOR('',#170671,10.); #41291=VECTOR('',#170672,10.); #41292=VECTOR('',#170675,10.); #41293=VECTOR('',#170676,10.); #41294=VECTOR('',#170677,10.); #41295=VECTOR('',#170680,10.); #41296=VECTOR('',#170681,10.); #41297=VECTOR('',#170682,10.); #41298=VECTOR('',#170685,10.); #41299=VECTOR('',#170686,10.); #41300=VECTOR('',#170687,10.); #41301=VECTOR('',#170690,10.); #41302=VECTOR('',#170691,10.); #41303=VECTOR('',#170692,10.); #41304=VECTOR('',#170695,10.); #41305=VECTOR('',#170696,10.); #41306=VECTOR('',#170697,10.); #41307=VECTOR('',#170700,10.); #41308=VECTOR('',#170701,10.); #41309=VECTOR('',#170702,10.); #41310=VECTOR('',#170707,10.); #41311=VECTOR('',#170714,10.); #41312=VECTOR('',#170719,10.); #41313=VECTOR('',#170720,10.); #41314=VECTOR('',#170721,10.); #41315=VECTOR('',#170724,10.); #41316=VECTOR('',#170725,10.); #41317=VECTOR('',#170726,10.); #41318=VECTOR('',#170729,10.); #41319=VECTOR('',#170730,10.); #41320=VECTOR('',#170731,10.); #41321=VECTOR('',#170734,10.); #41322=VECTOR('',#170735,10.); #41323=VECTOR('',#170736,10.); #41324=VECTOR('',#170741,10.); #41325=VECTOR('',#170748,10.); #41326=VECTOR('',#170753,10.); #41327=VECTOR('',#170754,10.); #41328=VECTOR('',#170755,10.); #41329=VECTOR('',#170758,10.); #41330=VECTOR('',#170759,10.); #41331=VECTOR('',#170760,10.); #41332=VECTOR('',#170763,10.); #41333=VECTOR('',#170764,10.); #41334=VECTOR('',#170765,10.); #41335=VECTOR('',#170768,10.); #41336=VECTOR('',#170769,10.); #41337=VECTOR('',#170770,10.); #41338=VECTOR('',#170775,10.); #41339=VECTOR('',#170780,10.); #41340=VECTOR('',#170781,10.); #41341=VECTOR('',#170782,10.); #41342=VECTOR('',#170785,10.); #41343=VECTOR('',#170786,10.); #41344=VECTOR('',#170787,10.); #41345=VECTOR('',#170790,10.); #41346=VECTOR('',#170791,10.); #41347=VECTOR('',#170792,10.); #41348=VECTOR('',#170795,10.); #41349=VECTOR('',#170796,10.); #41350=VECTOR('',#170797,10.); #41351=VECTOR('',#170800,10.); #41352=VECTOR('',#170801,10.); #41353=VECTOR('',#170802,10.); #41354=VECTOR('',#170805,10.); #41355=VECTOR('',#170806,10.); #41356=VECTOR('',#170807,10.); #41357=VECTOR('',#170810,10.); #41358=VECTOR('',#170811,10.); #41359=VECTOR('',#170812,10.); #41360=VECTOR('',#170815,10.); #41361=VECTOR('',#170816,10.); #41362=VECTOR('',#170817,10.); #41363=VECTOR('',#170820,10.); #41364=VECTOR('',#170821,10.); #41365=VECTOR('',#170822,10.); #41366=VECTOR('',#170825,10.); #41367=VECTOR('',#170826,10.); #41368=VECTOR('',#170827,10.); #41369=VECTOR('',#170832,10.); #41370=VECTOR('',#170837,10.); #41371=VECTOR('',#170838,10.); #41372=VECTOR('',#170839,10.); #41373=VECTOR('',#170842,10.); #41374=VECTOR('',#170843,10.); #41375=VECTOR('',#170844,10.); #41376=VECTOR('',#170847,10.); #41377=VECTOR('',#170848,10.); #41378=VECTOR('',#170849,10.); #41379=VECTOR('',#170854,10.); #41380=VECTOR('',#170859,10.); #41381=VECTOR('',#170860,10.); #41382=VECTOR('',#170861,10.); #41383=VECTOR('',#170866,10.); #41384=VECTOR('',#170871,10.); #41385=VECTOR('',#170872,10.); #41386=VECTOR('',#170873,10.); #41387=VECTOR('',#170876,10.); #41388=VECTOR('',#170877,10.); #41389=VECTOR('',#170878,10.); #41390=VECTOR('',#170881,10.); #41391=VECTOR('',#170882,10.); #41392=VECTOR('',#170883,10.); #41393=VECTOR('',#170886,10.); #41394=VECTOR('',#170887,10.); #41395=VECTOR('',#170888,10.); #41396=VECTOR('',#170891,10.); #41397=VECTOR('',#170892,10.); #41398=VECTOR('',#170893,10.); #41399=VECTOR('',#170896,10.); #41400=VECTOR('',#170897,10.); #41401=VECTOR('',#170898,10.); #41402=VECTOR('',#170901,10.); #41403=VECTOR('',#170902,10.); #41404=VECTOR('',#170903,10.); #41405=VECTOR('',#170906,10.); #41406=VECTOR('',#170907,10.); #41407=VECTOR('',#170908,10.); #41408=VECTOR('',#170911,10.); #41409=VECTOR('',#170912,10.); #41410=VECTOR('',#170913,10.); #41411=VECTOR('',#170918,10.); #41412=VECTOR('',#170923,10.); #41413=VECTOR('',#170924,10.); #41414=VECTOR('',#170925,10.); #41415=VECTOR('',#170928,10.); #41416=VECTOR('',#170929,10.); #41417=VECTOR('',#170930,10.); #41418=VECTOR('',#170933,10.); #41419=VECTOR('',#170934,10.); #41420=VECTOR('',#170935,10.); #41421=VECTOR('',#170938,10.); #41422=VECTOR('',#170939,10.); #41423=VECTOR('',#170940,10.); #41424=VECTOR('',#170943,10.); #41425=VECTOR('',#170944,10.); #41426=VECTOR('',#170945,10.); #41427=VECTOR('',#170948,10.); #41428=VECTOR('',#170949,10.); #41429=VECTOR('',#170950,10.); #41430=VECTOR('',#170953,10.); #41431=VECTOR('',#170954,10.); #41432=VECTOR('',#170955,10.); #41433=VECTOR('',#170958,10.); #41434=VECTOR('',#170959,10.); #41435=VECTOR('',#170960,10.); #41436=VECTOR('',#170963,10.); #41437=VECTOR('',#170964,10.); #41438=VECTOR('',#170965,10.); #41439=VECTOR('',#170968,10.); #41440=VECTOR('',#170969,10.); #41441=VECTOR('',#170970,10.); #41442=VECTOR('',#170975,10.); #41443=VECTOR('',#170982,10.); #41444=VECTOR('',#170989,10.); #41445=VECTOR('',#170994,10.); #41446=VECTOR('',#170995,10.); #41447=VECTOR('',#170996,10.); #41448=VECTOR('',#170999,10.); #41449=VECTOR('',#171000,10.); #41450=VECTOR('',#171001,10.); #41451=VECTOR('',#171004,10.); #41452=VECTOR('',#171005,10.); #41453=VECTOR('',#171006,10.); #41454=VECTOR('',#171009,10.); #41455=VECTOR('',#171010,10.); #41456=VECTOR('',#171011,10.); #41457=VECTOR('',#171014,10.); #41458=VECTOR('',#171015,10.); #41459=VECTOR('',#171022,10.); #41460=VECTOR('',#171025,10.); #41461=VECTOR('',#171028,10.); #41462=VECTOR('',#171029,10.); #41463=VECTOR('',#171030,10.); #41464=VECTOR('',#171033,10.); #41465=VECTOR('',#171034,10.); #41466=VECTOR('',#171035,10.); #41467=VECTOR('',#171038,10.); #41468=VECTOR('',#171039,10.); #41469=VECTOR('',#171040,10.); #41470=VECTOR('',#171043,10.); #41471=VECTOR('',#171044,10.); #41472=VECTOR('',#171045,10.); #41473=VECTOR('',#171048,10.); #41474=VECTOR('',#171049,10.); #41475=VECTOR('',#171050,10.); #41476=VECTOR('',#171053,10.); #41477=VECTOR('',#171054,10.); #41478=VECTOR('',#171055,10.); #41479=VECTOR('',#171060,10.); #41480=VECTOR('',#171065,10.); #41481=VECTOR('',#171066,10.); #41482=VECTOR('',#171067,10.); #41483=VECTOR('',#171070,10.); #41484=VECTOR('',#171071,10.); #41485=VECTOR('',#171072,10.); #41486=VECTOR('',#171075,10.); #41487=VECTOR('',#171076,10.); #41488=VECTOR('',#171077,10.); #41489=VECTOR('',#171082,10.); #41490=VECTOR('',#171089,10.); #41491=VECTOR('',#171094,10.); #41492=VECTOR('',#171095,10.); #41493=VECTOR('',#171096,10.); #41494=VECTOR('',#171099,10.); #41495=VECTOR('',#171100,10.); #41496=VECTOR('',#171101,10.); #41497=VECTOR('',#171104,10.); #41498=VECTOR('',#171105,10.); #41499=VECTOR('',#171106,10.); #41500=VECTOR('',#171109,10.); #41501=VECTOR('',#171110,10.); #41502=VECTOR('',#171111,10.); #41503=VECTOR('',#171114,10.); #41504=VECTOR('',#171115,10.); #41505=VECTOR('',#171116,10.); #41506=VECTOR('',#171119,10.); #41507=VECTOR('',#171120,10.); #41508=VECTOR('',#171121,10.); #41509=VECTOR('',#171124,10.); #41510=VECTOR('',#171125,10.); #41511=VECTOR('',#171126,10.); #41512=VECTOR('',#171131,10.); #41513=VECTOR('',#171136,10.); #41514=VECTOR('',#171137,10.); #41515=VECTOR('',#171138,10.); #41516=VECTOR('',#171141,10.); #41517=VECTOR('',#171142,10.); #41518=VECTOR('',#171143,10.); #41519=VECTOR('',#171146,10.); #41520=VECTOR('',#171147,10.); #41521=VECTOR('',#171148,10.); #41522=VECTOR('',#171151,10.); #41523=VECTOR('',#171152,10.); #41524=VECTOR('',#171153,10.); #41525=VECTOR('',#171156,10.); #41526=VECTOR('',#171157,10.); #41527=VECTOR('',#171158,10.); #41528=VECTOR('',#171161,10.); #41529=VECTOR('',#171162,10.); #41530=VECTOR('',#171163,10.); #41531=VECTOR('',#171166,10.); #41532=VECTOR('',#171167,10.); #41533=VECTOR('',#171168,10.); #41534=VECTOR('',#171171,10.); #41535=VECTOR('',#171172,10.); #41536=VECTOR('',#171173,10.); #41537=VECTOR('',#171176,10.); #41538=VECTOR('',#171177,10.); #41539=VECTOR('',#171178,10.); #41540=VECTOR('',#171181,10.); #41541=VECTOR('',#171182,10.); #41542=VECTOR('',#171183,10.); #41543=VECTOR('',#171186,10.); #41544=VECTOR('',#171187,10.); #41545=VECTOR('',#171188,10.); #41546=VECTOR('',#171191,10.); #41547=VECTOR('',#171192,10.); #41548=VECTOR('',#171193,10.); #41549=VECTOR('',#171196,10.); #41550=VECTOR('',#171197,10.); #41551=VECTOR('',#171198,10.); #41552=VECTOR('',#171203,10.); #41553=VECTOR('',#171208,10.); #41554=VECTOR('',#171209,10.); #41555=VECTOR('',#171210,10.); #41556=VECTOR('',#171215,10.); #41557=VECTOR('',#171222,10.); #41558=VECTOR('',#171229,10.); #41559=VECTOR('',#171234,10.); #41560=VECTOR('',#171235,10.); #41561=VECTOR('',#171236,10.); #41562=VECTOR('',#171241,10.); #41563=VECTOR('',#171248,10.); #41564=VECTOR('',#171255,10.); #41565=VECTOR('',#171262,10.); #41566=VECTOR('',#171267,10.); #41567=VECTOR('',#171268,10.); #41568=VECTOR('',#171269,10.); #41569=VECTOR('',#171274,10.); #41570=VECTOR('',#171281,10.); #41571=VECTOR('',#171286,10.); #41572=VECTOR('',#171287,10.); #41573=VECTOR('',#171288,10.); #41574=VECTOR('',#171291,10.); #41575=VECTOR('',#171292,10.); #41576=VECTOR('',#171293,10.); #41577=VECTOR('',#171296,10.); #41578=VECTOR('',#171297,10.); #41579=VECTOR('',#171298,10.); #41580=VECTOR('',#171303,10.); #41581=VECTOR('',#171308,10.); #41582=VECTOR('',#171309,10.); #41583=VECTOR('',#171310,10.); #41584=VECTOR('',#171313,10.); #41585=VECTOR('',#171314,10.); #41586=VECTOR('',#171315,10.); #41587=VECTOR('',#171318,10.); #41588=VECTOR('',#171319,10.); #41589=VECTOR('',#171320,10.); #41590=VECTOR('',#171323,10.); #41591=VECTOR('',#171324,10.); #41592=VECTOR('',#171325,10.); #41593=VECTOR('',#171328,10.); #41594=VECTOR('',#171329,10.); #41595=VECTOR('',#171330,10.); #41596=VECTOR('',#171333,10.); #41597=VECTOR('',#171334,10.); #41598=VECTOR('',#171335,10.); #41599=VECTOR('',#171340,10.); #41600=VECTOR('',#171345,10.); #41601=VECTOR('',#171346,10.); #41602=VECTOR('',#171347,10.); #41603=VECTOR('',#171350,10.); #41604=VECTOR('',#171351,10.); #41605=VECTOR('',#171352,10.); #41606=VECTOR('',#171355,10.); #41607=VECTOR('',#171356,10.); #41608=VECTOR('',#171357,10.); #41609=VECTOR('',#171360,10.); #41610=VECTOR('',#171361,10.); #41611=VECTOR('',#171362,10.); #41612=VECTOR('',#171365,10.); #41613=VECTOR('',#171366,10.); #41614=VECTOR('',#171367,10.); #41615=VECTOR('',#171370,10.); #41616=VECTOR('',#171371,10.); #41617=VECTOR('',#171372,10.); #41618=VECTOR('',#171375,10.); #41619=VECTOR('',#171376,10.); #41620=VECTOR('',#171377,10.); #41621=VECTOR('',#171380,10.); #41622=VECTOR('',#171381,10.); #41623=VECTOR('',#171382,10.); #41624=VECTOR('',#171385,10.); #41625=VECTOR('',#171386,10.); #41626=VECTOR('',#171387,10.); #41627=VECTOR('',#171392,10.); #41628=VECTOR('',#171399,10.); #41629=VECTOR('',#171404,10.); #41630=VECTOR('',#171405,10.); #41631=VECTOR('',#171406,10.); #41632=VECTOR('',#171409,10.); #41633=VECTOR('',#171410,10.); #41634=VECTOR('',#171411,10.); #41635=VECTOR('',#171416,10.); #41636=VECTOR('',#171421,10.); #41637=VECTOR('',#171422,10.); #41638=VECTOR('',#171423,10.); #41639=VECTOR('',#171426,10.); #41640=VECTOR('',#171427,10.); #41641=VECTOR('',#171428,10.); #41642=VECTOR('',#171431,10.); #41643=VECTOR('',#171432,10.); #41644=VECTOR('',#171433,10.); #41645=VECTOR('',#171436,10.); #41646=VECTOR('',#171437,10.); #41647=VECTOR('',#171438,10.); #41648=VECTOR('',#171443,10.); #41649=VECTOR('',#171450,10.); #41650=VECTOR('',#171457,10.); #41651=VECTOR('',#171462,10.); #41652=VECTOR('',#171463,10.); #41653=VECTOR('',#171464,10.); #41654=VECTOR('',#171467,10.); #41655=VECTOR('',#171468,10.); #41656=VECTOR('',#171469,10.); #41657=VECTOR('',#171472,10.); #41658=VECTOR('',#171473,10.); #41659=VECTOR('',#171474,10.); #41660=VECTOR('',#171477,10.); #41661=VECTOR('',#171478,10.); #41662=VECTOR('',#171479,10.); #41663=VECTOR('',#171482,10.); #41664=VECTOR('',#171483,10.); #41665=VECTOR('',#171484,10.); #41666=VECTOR('',#171487,10.); #41667=VECTOR('',#171488,10.); #41668=VECTOR('',#171489,10.); #41669=VECTOR('',#171492,10.); #41670=VECTOR('',#171493,10.); #41671=VECTOR('',#171494,10.); #41672=VECTOR('',#171497,10.); #41673=VECTOR('',#171498,10.); #41674=VECTOR('',#171499,10.); #41675=VECTOR('',#171502,10.); #41676=VECTOR('',#171503,10.); #41677=VECTOR('',#171504,10.); #41678=VECTOR('',#171507,10.); #41679=VECTOR('',#171508,10.); #41680=VECTOR('',#171509,10.); #41681=VECTOR('',#171514,10.); #41682=VECTOR('',#171519,10.); #41683=VECTOR('',#171520,10.); #41684=VECTOR('',#171521,10.); #41685=VECTOR('',#171526,10.); #41686=VECTOR('',#171533,10.); #41687=VECTOR('',#171540,10.); #41688=VECTOR('',#171545,10.); #41689=VECTOR('',#171546,10.); #41690=VECTOR('',#171547,10.); #41691=VECTOR('',#171552,10.); #41692=VECTOR('',#171559,10.); #41693=VECTOR('',#171566,10.); #41694=VECTOR('',#171573,10.); #41695=VECTOR('',#171578,10.); #41696=VECTOR('',#171579,10.); #41697=VECTOR('',#171580,10.); #41698=VECTOR('',#171585,10.); #41699=VECTOR('',#171590,10.); #41700=VECTOR('',#171591,10.); #41701=VECTOR('',#171592,10.); #41702=VECTOR('',#171595,10.); #41703=VECTOR('',#171596,10.); #41704=VECTOR('',#171597,10.); #41705=VECTOR('',#171600,10.); #41706=VECTOR('',#171601,10.); #41707=VECTOR('',#171602,10.); #41708=VECTOR('',#171605,10.); #41709=VECTOR('',#171606,10.); #41710=VECTOR('',#171607,10.); #41711=VECTOR('',#171610,10.); #41712=VECTOR('',#171611,10.); #41713=VECTOR('',#171612,10.); #41714=VECTOR('',#171615,10.); #41715=VECTOR('',#171616,10.); #41716=VECTOR('',#171617,10.); #41717=VECTOR('',#171620,10.); #41718=VECTOR('',#171621,10.); #41719=VECTOR('',#171622,10.); #41720=VECTOR('',#171633,10.); #41721=VECTOR('',#171634,10.); #41722=VECTOR('',#171635,10.); #41723=VECTOR('',#171636,10.); #41724=VECTOR('',#171639,10.); #41725=VECTOR('',#171640,10.); #41726=VECTOR('',#171641,10.); #41727=VECTOR('',#171646,10.); #41728=VECTOR('',#171653,10.); #41729=VECTOR('',#171660,10.); #41730=VECTOR('',#171665,10.); #41731=VECTOR('',#171666,10.); #41732=VECTOR('',#171667,10.); #41733=VECTOR('',#171672,10.); #41734=VECTOR('',#171679,10.); #41735=VECTOR('',#171686,10.); #41736=VECTOR('',#171693,10.); #41737=VECTOR('',#171698,10.); #41738=VECTOR('',#171699,10.); #41739=VECTOR('',#171700,10.); #41740=VECTOR('',#171705,10.); #41741=VECTOR('',#171710,10.); #41742=VECTOR('',#171711,10.); #41743=VECTOR('',#171712,10.); #41744=VECTOR('',#171715,10.); #41745=VECTOR('',#171716,10.); #41746=VECTOR('',#171717,10.); #41747=VECTOR('',#171720,10.); #41748=VECTOR('',#171721,10.); #41749=VECTOR('',#171722,10.); #41750=VECTOR('',#171725,10.); #41751=VECTOR('',#171726,10.); #41752=VECTOR('',#171727,10.); #41753=VECTOR('',#171730,10.); #41754=VECTOR('',#171731,10.); #41755=VECTOR('',#171732,10.); #41756=VECTOR('',#171735,10.); #41757=VECTOR('',#171736,10.); #41758=VECTOR('',#171737,10.); #41759=VECTOR('',#171740,10.); #41760=VECTOR('',#171741,10.); #41761=VECTOR('',#171742,10.); #41762=VECTOR('',#171745,10.); #41763=VECTOR('',#171746,10.); #41764=VECTOR('',#171747,10.); #41765=VECTOR('',#171750,10.); #41766=VECTOR('',#171751,10.); #41767=VECTOR('',#171752,10.); #41768=VECTOR('',#171755,10.); #41769=VECTOR('',#171756,10.); #41770=VECTOR('',#171757,10.); #41771=VECTOR('',#171760,10.); #41772=VECTOR('',#171761,10.); #41773=VECTOR('',#171762,10.); #41774=VECTOR('',#171765,10.); #41775=VECTOR('',#171766,10.); #41776=VECTOR('',#171767,10.); #41777=VECTOR('',#171770,10.); #41778=VECTOR('',#171771,10.); #41779=VECTOR('',#171772,10.); #41780=VECTOR('',#171775,10.); #41781=VECTOR('',#171776,10.); #41782=VECTOR('',#171777,10.); #41783=VECTOR('',#171780,10.); #41784=VECTOR('',#171781,10.); #41785=VECTOR('',#171782,10.); #41786=VECTOR('',#171785,10.); #41787=VECTOR('',#171786,10.); #41788=VECTOR('',#171787,10.); #41789=VECTOR('',#171790,10.); #41790=VECTOR('',#171791,10.); #41791=VECTOR('',#171792,10.); #41792=VECTOR('',#171795,10.); #41793=VECTOR('',#171796,10.); #41794=VECTOR('',#171797,10.); #41795=VECTOR('',#171800,10.); #41796=VECTOR('',#171801,10.); #41797=VECTOR('',#171802,10.); #41798=VECTOR('',#171805,10.); #41799=VECTOR('',#171806,10.); #41800=VECTOR('',#171807,10.); #41801=VECTOR('',#171810,10.); #41802=VECTOR('',#171811,10.); #41803=VECTOR('',#171812,10.); #41804=VECTOR('',#171815,10.); #41805=VECTOR('',#171816,10.); #41806=VECTOR('',#171817,10.); #41807=VECTOR('',#171822,10.); #41808=VECTOR('',#171827,10.); #41809=VECTOR('',#171828,10.); #41810=VECTOR('',#171829,10.); #41811=VECTOR('',#171832,10.); #41812=VECTOR('',#171833,10.); #41813=VECTOR('',#171834,10.); #41814=VECTOR('',#171837,10.); #41815=VECTOR('',#171838,10.); #41816=VECTOR('',#171839,10.); #41817=VECTOR('',#171842,10.); #41818=VECTOR('',#171843,10.); #41819=VECTOR('',#171844,10.); #41820=VECTOR('',#171847,10.); #41821=VECTOR('',#171848,10.); #41822=VECTOR('',#171849,10.); #41823=VECTOR('',#171852,10.); #41824=VECTOR('',#171853,10.); #41825=VECTOR('',#171854,10.); #41826=VECTOR('',#171857,10.); #41827=VECTOR('',#171858,10.); #41828=VECTOR('',#171859,10.); #41829=VECTOR('',#171862,10.); #41830=VECTOR('',#171863,10.); #41831=VECTOR('',#171864,10.); #41832=VECTOR('',#171867,10.); #41833=VECTOR('',#171868,10.); #41834=VECTOR('',#171869,10.); #41835=VECTOR('',#171874,10.); #41836=VECTOR('',#171879,10.); #41837=VECTOR('',#171880,10.); #41838=VECTOR('',#171881,10.); #41839=VECTOR('',#171884,10.); #41840=VECTOR('',#171885,10.); #41841=VECTOR('',#171886,10.); #41842=VECTOR('',#171889,10.); #41843=VECTOR('',#171890,10.); #41844=VECTOR('',#171891,10.); #41845=VECTOR('',#171894,10.); #41846=VECTOR('',#171895,10.); #41847=VECTOR('',#171896,10.); #41848=VECTOR('',#171899,10.); #41849=VECTOR('',#171900,10.); #41850=VECTOR('',#171901,10.); #41851=VECTOR('',#171904,10.); #41852=VECTOR('',#171905,10.); #41853=VECTOR('',#171906,10.); #41854=VECTOR('',#171909,10.); #41855=VECTOR('',#171910,10.); #41856=VECTOR('',#171911,10.); #41857=VECTOR('',#171914,10.); #41858=VECTOR('',#171915,10.); #41859=VECTOR('',#171916,10.); #41860=VECTOR('',#171919,10.); #41861=VECTOR('',#171920,10.); #41862=VECTOR('',#171921,10.); #41863=VECTOR('',#171924,10.); #41864=VECTOR('',#171925,10.); #41865=VECTOR('',#171926,10.); #41866=VECTOR('',#171929,10.); #41867=VECTOR('',#171930,10.); #41868=VECTOR('',#171931,10.); #41869=VECTOR('',#171934,10.); #41870=VECTOR('',#171935,10.); #41871=VECTOR('',#171936,10.); #41872=VECTOR('',#171939,10.); #41873=VECTOR('',#171940,10.); #41874=VECTOR('',#171941,10.); #41875=VECTOR('',#171944,10.); #41876=VECTOR('',#171945,10.); #41877=VECTOR('',#171946,10.); #41878=VECTOR('',#171949,10.); #41879=VECTOR('',#171950,10.); #41880=VECTOR('',#171951,10.); #41881=VECTOR('',#171954,10.); #41882=VECTOR('',#171955,10.); #41883=VECTOR('',#171956,10.); #41884=VECTOR('',#171959,10.); #41885=VECTOR('',#171960,10.); #41886=VECTOR('',#171961,10.); #41887=VECTOR('',#171966,10.); #41888=VECTOR('',#171973,10.); #41889=VECTOR('',#171980,10.); #41890=VECTOR('',#171987,10.); #41891=VECTOR('',#171992,10.); #41892=VECTOR('',#171993,10.); #41893=VECTOR('',#171994,10.); #41894=VECTOR('',#171999,10.); #41895=VECTOR('',#172006,10.); #41896=VECTOR('',#172013,10.); #41897=VECTOR('',#172020,10.); #41898=VECTOR('',#172027,10.); #41899=VECTOR('',#172032,10.); #41900=VECTOR('',#172033,10.); #41901=VECTOR('',#172034,10.); #41902=VECTOR('',#172039,10.); #41903=VECTOR('',#172044,10.); #41904=VECTOR('',#172045,10.); #41905=VECTOR('',#172046,10.); #41906=VECTOR('',#172049,10.); #41907=VECTOR('',#172050,10.); #41908=VECTOR('',#172051,10.); #41909=VECTOR('',#172054,10.); #41910=VECTOR('',#172055,10.); #41911=VECTOR('',#172056,10.); #41912=VECTOR('',#172059,10.); #41913=VECTOR('',#172060,10.); #41914=VECTOR('',#172061,10.); #41915=VECTOR('',#172064,10.); #41916=VECTOR('',#172065,10.); #41917=VECTOR('',#172066,10.); #41918=VECTOR('',#172069,10.); #41919=VECTOR('',#172070,10.); #41920=VECTOR('',#172071,10.); #41921=VECTOR('',#172074,10.); #41922=VECTOR('',#172075,10.); #41923=VECTOR('',#172076,10.); #41924=VECTOR('',#172079,10.); #41925=VECTOR('',#172080,10.); #41926=VECTOR('',#172081,10.); #41927=VECTOR('',#172084,10.); #41928=VECTOR('',#172085,10.); #41929=VECTOR('',#172086,10.); #41930=VECTOR('',#172089,10.); #41931=VECTOR('',#172090,10.); #41932=VECTOR('',#172091,10.); #41933=VECTOR('',#172094,10.); #41934=VECTOR('',#172095,10.); #41935=VECTOR('',#172096,10.); #41936=VECTOR('',#172099,10.); #41937=VECTOR('',#172100,10.); #41938=VECTOR('',#172101,10.); #41939=VECTOR('',#172104,10.); #41940=VECTOR('',#172105,10.); #41941=VECTOR('',#172106,10.); #41942=VECTOR('',#172109,10.); #41943=VECTOR('',#172110,10.); #41944=VECTOR('',#172111,10.); #41945=VECTOR('',#172114,10.); #41946=VECTOR('',#172115,10.); #41947=VECTOR('',#172116,10.); #41948=VECTOR('',#172119,10.); #41949=VECTOR('',#172120,10.); #41950=VECTOR('',#172121,10.); #41951=VECTOR('',#172124,10.); #41952=VECTOR('',#172125,10.); #41953=VECTOR('',#172126,10.); #41954=VECTOR('',#172129,10.); #41955=VECTOR('',#172130,10.); #41956=VECTOR('',#172131,10.); #41957=VECTOR('',#172134,10.); #41958=VECTOR('',#172135,10.); #41959=VECTOR('',#172136,10.); #41960=VECTOR('',#172139,10.); #41961=VECTOR('',#172140,10.); #41962=VECTOR('',#172141,10.); #41963=VECTOR('',#172144,10.); #41964=VECTOR('',#172145,10.); #41965=VECTOR('',#172146,10.); #41966=VECTOR('',#172149,10.); #41967=VECTOR('',#172150,10.); #41968=VECTOR('',#172151,10.); #41969=VECTOR('',#172154,10.); #41970=VECTOR('',#172155,10.); #41971=VECTOR('',#172156,10.); #41972=VECTOR('',#172159,10.); #41973=VECTOR('',#172160,10.); #41974=VECTOR('',#172161,10.); #41975=VECTOR('',#172166,10.); #41976=VECTOR('',#172171,10.); #41977=VECTOR('',#172172,10.); #41978=VECTOR('',#172173,10.); #41979=VECTOR('',#172176,10.); #41980=VECTOR('',#172177,10.); #41981=VECTOR('',#172178,10.); #41982=VECTOR('',#172181,10.); #41983=VECTOR('',#172182,10.); #41984=VECTOR('',#172183,10.); #41985=VECTOR('',#172186,10.); #41986=VECTOR('',#172187,10.); #41987=VECTOR('',#172188,10.); #41988=VECTOR('',#172191,10.); #41989=VECTOR('',#172192,10.); #41990=VECTOR('',#172193,10.); #41991=VECTOR('',#172196,10.); #41992=VECTOR('',#172197,10.); #41993=VECTOR('',#172198,10.); #41994=VECTOR('',#172201,10.); #41995=VECTOR('',#172202,10.); #41996=VECTOR('',#172203,10.); #41997=VECTOR('',#172206,10.); #41998=VECTOR('',#172207,10.); #41999=VECTOR('',#172208,10.); #42000=VECTOR('',#172211,10.); #42001=VECTOR('',#172212,10.); #42002=VECTOR('',#172213,10.); #42003=VECTOR('',#172216,10.); #42004=VECTOR('',#172217,10.); #42005=VECTOR('',#172218,10.); #42006=VECTOR('',#172221,10.); #42007=VECTOR('',#172222,10.); #42008=VECTOR('',#172223,10.); #42009=VECTOR('',#172226,10.); #42010=VECTOR('',#172227,10.); #42011=VECTOR('',#172228,10.); #42012=VECTOR('',#172233,10.); #42013=VECTOR('',#172240,10.); #42014=VECTOR('',#172245,10.); #42015=VECTOR('',#172246,10.); #42016=VECTOR('',#172247,10.); #42017=VECTOR('',#172250,10.); #42018=VECTOR('',#172251,10.); #42019=VECTOR('',#172252,10.); #42020=VECTOR('',#172255,10.); #42021=VECTOR('',#172256,10.); #42022=VECTOR('',#172257,10.); #42023=VECTOR('',#172260,10.); #42024=VECTOR('',#172261,10.); #42025=VECTOR('',#172262,10.); #42026=VECTOR('',#172267,10.); #42027=VECTOR('',#172272,10.); #42028=VECTOR('',#172273,10.); #42029=VECTOR('',#172274,10.); #42030=VECTOR('',#172279,10.); #42031=VECTOR('',#172284,10.); #42032=VECTOR('',#172285,10.); #42033=VECTOR('',#172286,10.); #42034=VECTOR('',#172289,10.); #42035=VECTOR('',#172290,10.); #42036=VECTOR('',#172291,10.); #42037=VECTOR('',#172294,10.); #42038=VECTOR('',#172295,10.); #42039=VECTOR('',#172296,10.); #42040=VECTOR('',#172299,10.); #42041=VECTOR('',#172300,10.); #42042=VECTOR('',#172307,10.); #42043=VECTOR('',#172310,10.); #42044=VECTOR('',#172315,10.); #42045=VECTOR('',#172320,10.); #42046=VECTOR('',#172321,10.); #42047=VECTOR('',#172322,10.); #42048=VECTOR('',#172325,10.); #42049=VECTOR('',#172326,10.); #42050=VECTOR('',#172327,10.); #42051=VECTOR('',#172330,10.); #42052=VECTOR('',#172331,10.); #42053=VECTOR('',#172332,10.); #42054=VECTOR('',#172335,10.); #42055=VECTOR('',#172336,10.); #42056=VECTOR('',#172337,10.); #42057=VECTOR('',#172342,10.); #42058=VECTOR('',#172347,10.); #42059=VECTOR('',#172348,10.); #42060=VECTOR('',#172349,10.); #42061=VECTOR('',#172352,10.); #42062=VECTOR('',#172353,10.); #42063=VECTOR('',#172354,10.); #42064=VECTOR('',#172359,10.); #42065=VECTOR('',#172364,10.); #42066=VECTOR('',#172365,10.); #42067=VECTOR('',#172366,10.); #42068=VECTOR('',#172369,10.); #42069=VECTOR('',#172370,10.); #42070=VECTOR('',#172371,10.); #42071=VECTOR('',#172374,10.); #42072=VECTOR('',#172375,10.); #42073=VECTOR('',#172376,10.); #42074=VECTOR('',#172381,10.); #42075=VECTOR('',#172386,10.); #42076=VECTOR('',#172387,10.); #42077=VECTOR('',#172388,10.); #42078=VECTOR('',#172391,10.); #42079=VECTOR('',#172392,10.); #42080=VECTOR('',#172393,10.); #42081=VECTOR('',#172396,10.); #42082=VECTOR('',#172397,10.); #42083=VECTOR('',#172398,10.); #42084=VECTOR('',#172403,10.); #42085=VECTOR('',#172408,10.); #42086=VECTOR('',#172409,10.); #42087=VECTOR('',#172410,10.); #42088=VECTOR('',#172413,10.); #42089=VECTOR('',#172414,10.); #42090=VECTOR('',#172415,10.); #42091=VECTOR('',#172418,10.); #42092=VECTOR('',#172419,10.); #42093=VECTOR('',#172420,10.); #42094=VECTOR('',#172423,10.); #42095=VECTOR('',#172424,10.); #42096=VECTOR('',#172425,10.); #42097=VECTOR('',#172428,10.); #42098=VECTOR('',#172429,10.); #42099=VECTOR('',#172430,10.); #42100=VECTOR('',#172435,10.); #42101=VECTOR('',#172440,10.); #42102=VECTOR('',#172441,10.); #42103=VECTOR('',#172442,10.); #42104=VECTOR('',#172445,10.); #42105=VECTOR('',#172446,10.); #42106=VECTOR('',#172447,10.); #42107=VECTOR('',#172452,10.); #42108=VECTOR('',#172459,10.); #42109=VECTOR('',#172464,10.); #42110=VECTOR('',#172465,10.); #42111=VECTOR('',#172466,10.); #42112=VECTOR('',#172471,10.); #42113=VECTOR('',#172478,10.); #42114=VECTOR('',#172485,10.); #42115=VECTOR('',#172490,10.); #42116=VECTOR('',#172491,10.); #42117=VECTOR('',#172492,10.); #42118=VECTOR('',#172497,10.); #42119=VECTOR('',#172502,10.); #42120=VECTOR('',#172503,10.); #42121=VECTOR('',#172504,10.); #42122=VECTOR('',#172507,10.); #42123=VECTOR('',#172508,10.); #42124=VECTOR('',#172509,10.); #42125=VECTOR('',#172512,10.); #42126=VECTOR('',#172513,10.); #42127=VECTOR('',#172514,10.); #42128=VECTOR('',#172517,10.); #42129=VECTOR('',#172518,10.); #42130=VECTOR('',#172519,10.); #42131=VECTOR('',#172522,10.); #42132=VECTOR('',#172523,10.); #42133=VECTOR('',#172524,10.); #42134=VECTOR('',#172527,10.); #42135=VECTOR('',#172528,10.); #42136=VECTOR('',#172529,10.); #42137=VECTOR('',#172534,10.); #42138=VECTOR('',#172539,10.); #42139=VECTOR('',#172540,10.); #42140=VECTOR('',#172541,10.); #42141=VECTOR('',#172546,10.); #42142=VECTOR('',#172551,10.); #42143=VECTOR('',#172552,10.); #42144=VECTOR('',#172553,10.); #42145=VECTOR('',#172556,10.); #42146=VECTOR('',#172557,10.); #42147=VECTOR('',#172558,10.); #42148=VECTOR('',#172563,10.); #42149=VECTOR('',#172568,10.); #42150=VECTOR('',#172569,10.); #42151=VECTOR('',#172570,10.); #42152=VECTOR('',#172575,10.); #42153=VECTOR('',#172580,10.); #42154=VECTOR('',#172581,10.); #42155=VECTOR('',#172582,10.); #42156=VECTOR('',#172585,10.); #42157=VECTOR('',#172586,10.); #42158=VECTOR('',#172587,10.); #42159=VECTOR('',#172590,10.); #42160=VECTOR('',#172591,10.); #42161=VECTOR('',#172592,10.); #42162=VECTOR('',#172595,10.); #42163=VECTOR('',#172596,10.); #42164=VECTOR('',#172597,10.); #42165=VECTOR('',#172600,10.); #42166=VECTOR('',#172601,10.); #42167=VECTOR('',#172602,10.); #42168=VECTOR('',#172607,10.); #42169=VECTOR('',#172614,10.); #42170=VECTOR('',#172621,10.); #42171=VECTOR('',#172626,10.); #42172=VECTOR('',#172627,10.); #42173=VECTOR('',#172628,10.); #42174=VECTOR('',#172631,10.); #42175=VECTOR('',#172632,10.); #42176=VECTOR('',#172633,10.); #42177=VECTOR('',#172638,10.); #42178=VECTOR('',#172645,10.); #42179=VECTOR('',#172650,10.); #42180=VECTOR('',#172651,10.); #42181=VECTOR('',#172652,10.); #42182=VECTOR('',#172655,10.); #42183=VECTOR('',#172656,10.); #42184=VECTOR('',#172657,10.); #42185=VECTOR('',#172660,10.); #42186=VECTOR('',#172661,10.); #42187=VECTOR('',#172666,10.); #42188=VECTOR('',#172667,10.); #42189=VECTOR('',#172668,10.); #42190=VECTOR('',#172669,10.); #42191=VECTOR('',#172672,10.); #42192=VECTOR('',#172673,10.); #42193=VECTOR('',#172674,10.); #42194=VECTOR('',#172677,10.); #42195=VECTOR('',#172678,10.); #42196=VECTOR('',#172679,10.); #42197=VECTOR('',#172684,10.); #42198=VECTOR('',#172689,10.); #42199=VECTOR('',#172690,10.); #42200=VECTOR('',#172691,10.); #42201=VECTOR('',#172694,10.); #42202=VECTOR('',#172695,10.); #42203=VECTOR('',#172696,10.); #42204=VECTOR('',#172699,10.); #42205=VECTOR('',#172700,10.); #42206=VECTOR('',#172701,10.); #42207=VECTOR('',#172704,10.); #42208=VECTOR('',#172705,10.); #42209=VECTOR('',#172706,10.); #42210=VECTOR('',#172709,10.); #42211=VECTOR('',#172710,10.); #42212=VECTOR('',#172711,10.); #42213=VECTOR('',#172714,10.); #42214=VECTOR('',#172715,10.); #42215=VECTOR('',#172716,10.); #42216=VECTOR('',#172719,10.); #42217=VECTOR('',#172720,10.); #42218=VECTOR('',#172721,10.); #42219=VECTOR('',#172724,10.); #42220=VECTOR('',#172725,10.); #42221=VECTOR('',#172726,10.); #42222=VECTOR('',#172729,10.); #42223=VECTOR('',#172730,10.); #42224=VECTOR('',#172731,10.); #42225=VECTOR('',#172736,10.); #42226=VECTOR('',#172741,10.); #42227=VECTOR('',#172742,10.); #42228=VECTOR('',#172743,10.); #42229=VECTOR('',#172746,10.); #42230=VECTOR('',#172747,10.); #42231=VECTOR('',#172748,10.); #42232=VECTOR('',#172751,10.); #42233=VECTOR('',#172752,10.); #42234=VECTOR('',#172753,10.); #42235=VECTOR('',#172758,10.); #42236=VECTOR('',#172765,10.); #42237=VECTOR('',#172770,10.); #42238=VECTOR('',#172771,10.); #42239=VECTOR('',#172772,10.); #42240=VECTOR('',#172775,10.); #42241=VECTOR('',#172776,10.); #42242=VECTOR('',#172777,10.); #42243=VECTOR('',#172780,10.); #42244=VECTOR('',#172781,10.); #42245=VECTOR('',#172782,10.); #42246=VECTOR('',#172787,10.); #42247=VECTOR('',#172792,10.); #42248=VECTOR('',#172793,10.); #42249=VECTOR('',#172794,10.); #42250=VECTOR('',#172797,10.); #42251=VECTOR('',#172798,10.); #42252=VECTOR('',#172799,10.); #42253=VECTOR('',#172804,10.); #42254=VECTOR('',#172809,10.); #42255=VECTOR('',#172810,10.); #42256=VECTOR('',#172811,10.); #42257=VECTOR('',#172814,10.); #42258=VECTOR('',#172815,10.); #42259=VECTOR('',#172816,10.); #42260=VECTOR('',#172821,10.); #42261=VECTOR('',#172826,10.); #42262=VECTOR('',#172827,10.); #42263=VECTOR('',#172828,10.); #42264=VECTOR('',#172831,10.); #42265=VECTOR('',#172832,10.); #42266=VECTOR('',#172833,10.); #42267=VECTOR('',#172836,10.); #42268=VECTOR('',#172837,10.); #42269=VECTOR('',#172838,10.); #42270=VECTOR('',#172841,10.); #42271=VECTOR('',#172842,10.); #42272=VECTOR('',#172843,10.); #42273=VECTOR('',#172846,10.); #42274=VECTOR('',#172847,10.); #42275=VECTOR('',#172848,10.); #42276=VECTOR('',#172851,10.); #42277=VECTOR('',#172852,10.); #42278=VECTOR('',#172853,10.); #42279=VECTOR('',#172856,10.); #42280=VECTOR('',#172857,10.); #42281=VECTOR('',#172858,10.); #42282=VECTOR('',#172861,10.); #42283=VECTOR('',#172862,10.); #42284=VECTOR('',#172863,10.); #42285=VECTOR('',#172868,10.); #42286=VECTOR('',#172875,10.); #42287=VECTOR('',#172880,10.); #42288=VECTOR('',#172881,10.); #42289=VECTOR('',#172882,10.); #42290=VECTOR('',#172887,10.); #42291=VECTOR('',#172894,10.); #42292=VECTOR('',#172901,10.); #42293=VECTOR('',#172908,10.); #42294=VECTOR('',#172913,10.); #42295=VECTOR('',#172914,10.); #42296=VECTOR('',#172915,10.); #42297=VECTOR('',#172918,10.); #42298=VECTOR('',#172919,10.); #42299=VECTOR('',#172920,10.); #42300=VECTOR('',#172923,10.); #42301=VECTOR('',#172924,10.); #42302=VECTOR('',#172925,10.); #42303=VECTOR('',#172928,10.); #42304=VECTOR('',#172929,10.); #42305=VECTOR('',#172930,10.); #42306=VECTOR('',#172933,10.); #42307=VECTOR('',#172934,10.); #42308=VECTOR('',#172935,10.); #42309=VECTOR('',#172938,10.); #42310=VECTOR('',#172939,10.); #42311=VECTOR('',#172940,10.); #42312=VECTOR('',#172943,10.); #42313=VECTOR('',#172944,10.); #42314=VECTOR('',#172945,10.); #42315=VECTOR('',#172948,10.); #42316=VECTOR('',#172949,10.); #42317=VECTOR('',#172950,10.); #42318=VECTOR('',#172955,10.); #42319=VECTOR('',#172960,10.); #42320=VECTOR('',#172961,10.); #42321=VECTOR('',#172962,10.); #42322=VECTOR('',#172965,10.); #42323=VECTOR('',#172966,10.); #42324=VECTOR('',#172967,10.); #42325=VECTOR('',#172972,10.); #42326=VECTOR('',#172979,10.); #42327=VECTOR('',#172984,10.); #42328=VECTOR('',#172985,10.); #42329=VECTOR('',#172986,10.); #42330=VECTOR('',#172989,10.); #42331=VECTOR('',#172990,10.); #42332=VECTOR('',#172991,10.); #42333=VECTOR('',#172994,10.); #42334=VECTOR('',#172995,10.); #42335=VECTOR('',#172996,10.); #42336=VECTOR('',#172999,10.); #42337=VECTOR('',#173000,10.); #42338=VECTOR('',#173001,10.); #42339=VECTOR('',#173004,10.); #42340=VECTOR('',#173005,10.); #42341=VECTOR('',#173006,10.); #42342=VECTOR('',#173009,10.); #42343=VECTOR('',#173010,10.); #42344=VECTOR('',#173011,10.); #42345=VECTOR('',#173014,10.); #42346=VECTOR('',#173015,10.); #42347=VECTOR('',#173016,10.); #42348=VECTOR('',#173019,10.); #42349=VECTOR('',#173020,10.); #42350=VECTOR('',#173021,10.); #42351=VECTOR('',#173024,10.); #42352=VECTOR('',#173025,10.); #42353=VECTOR('',#173026,10.); #42354=VECTOR('',#173029,10.); #42355=VECTOR('',#173030,10.); #42356=VECTOR('',#173031,10.); #42357=VECTOR('',#173034,10.); #42358=VECTOR('',#173035,10.); #42359=VECTOR('',#173036,10.); #42360=VECTOR('',#173041,10.); #42361=VECTOR('',#173048,10.); #42362=VECTOR('',#173053,10.); #42363=VECTOR('',#173054,10.); #42364=VECTOR('',#173055,10.); #42365=VECTOR('',#173058,10.); #42366=VECTOR('',#173059,10.); #42367=VECTOR('',#173060,10.); #42368=VECTOR('',#173063,10.); #42369=VECTOR('',#173064,10.); #42370=VECTOR('',#173065,10.); #42371=VECTOR('',#173068,10.); #42372=VECTOR('',#173069,10.); #42373=VECTOR('',#173070,10.); #42374=VECTOR('',#173073,10.); #42375=VECTOR('',#173074,10.); #42376=VECTOR('',#173075,10.); #42377=VECTOR('',#173078,10.); #42378=VECTOR('',#173079,10.); #42379=VECTOR('',#173080,10.); #42380=VECTOR('',#173083,10.); #42381=VECTOR('',#173084,10.); #42382=VECTOR('',#173085,10.); #42383=VECTOR('',#173090,10.); #42384=VECTOR('',#173097,10.); #42385=VECTOR('',#173102,10.); #42386=VECTOR('',#173103,10.); #42387=VECTOR('',#173104,10.); #42388=VECTOR('',#173107,10.); #42389=VECTOR('',#173108,10.); #42390=VECTOR('',#173109,10.); #42391=VECTOR('',#173112,10.); #42392=VECTOR('',#173113,10.); #42393=VECTOR('',#173114,10.); #42394=VECTOR('',#173117,10.); #42395=VECTOR('',#173118,10.); #42396=VECTOR('',#173119,10.); #42397=VECTOR('',#173122,10.); #42398=VECTOR('',#173123,10.); #42399=VECTOR('',#173124,10.); #42400=VECTOR('',#173127,10.); #42401=VECTOR('',#173128,10.); #42402=VECTOR('',#173129,10.); #42403=VECTOR('',#173132,10.); #42404=VECTOR('',#173133,10.); #42405=VECTOR('',#173134,10.); #42406=VECTOR('',#173139,10.); #42407=VECTOR('',#173144,10.); #42408=VECTOR('',#173145,10.); #42409=VECTOR('',#173146,10.); #42410=VECTOR('',#173149,10.); #42411=VECTOR('',#173150,10.); #42412=VECTOR('',#173151,10.); #42413=VECTOR('',#173154,10.); #42414=VECTOR('',#173155,10.); #42415=VECTOR('',#173156,10.); #42416=VECTOR('',#173159,10.); #42417=VECTOR('',#173160,10.); #42418=VECTOR('',#173161,10.); #42419=VECTOR('',#173166,10.); #42420=VECTOR('',#173173,10.); #42421=VECTOR('',#173178,10.); #42422=VECTOR('',#173179,10.); #42423=VECTOR('',#173180,10.); #42424=VECTOR('',#173185,10.); #42425=VECTOR('',#173192,10.); #42426=VECTOR('',#173197,10.); #42427=VECTOR('',#173198,10.); #42428=VECTOR('',#173199,10.); #42429=VECTOR('',#173202,10.); #42430=VECTOR('',#173203,10.); #42431=VECTOR('',#173204,10.); #42432=VECTOR('',#173207,10.); #42433=VECTOR('',#173208,10.); #42434=VECTOR('',#173209,10.); #42435=VECTOR('',#173212,10.); #42436=VECTOR('',#173213,10.); #42437=VECTOR('',#173214,10.); #42438=VECTOR('',#173219,10.); #42439=VECTOR('',#173226,10.); #42440=VECTOR('',#173231,10.); #42441=VECTOR('',#173232,10.); #42442=VECTOR('',#173233,10.); #42443=VECTOR('',#173236,10.); #42444=VECTOR('',#173237,10.); #42445=VECTOR('',#173238,10.); #42446=VECTOR('',#173241,10.); #42447=VECTOR('',#173242,10.); #42448=VECTOR('',#173243,10.); #42449=VECTOR('',#173246,10.); #42450=VECTOR('',#173247,10.); #42451=VECTOR('',#173248,10.); #42452=VECTOR('',#173251,10.); #42453=VECTOR('',#173252,10.); #42454=VECTOR('',#173253,10.); #42455=VECTOR('',#173258,10.); #42456=VECTOR('',#173263,10.); #42457=VECTOR('',#173264,10.); #42458=VECTOR('',#173265,10.); #42459=VECTOR('',#173270,10.); #42460=VECTOR('',#173277,10.); #42461=VECTOR('',#173282,10.); #42462=VECTOR('',#173283,10.); #42463=VECTOR('',#173284,10.); #42464=VECTOR('',#173287,10.); #42465=VECTOR('',#173288,10.); #42466=VECTOR('',#173289,10.); #42467=VECTOR('',#173292,10.); #42468=VECTOR('',#173293,10.); #42469=VECTOR('',#173294,10.); #42470=VECTOR('',#173297,10.); #42471=VECTOR('',#173298,10.); #42472=VECTOR('',#173299,10.); #42473=VECTOR('',#173302,10.); #42474=VECTOR('',#173303,10.); #42475=VECTOR('',#173304,10.); #42476=VECTOR('',#173307,10.); #42477=VECTOR('',#173308,10.); #42478=VECTOR('',#173309,10.); #42479=VECTOR('',#173314,10.); #42480=VECTOR('',#173319,10.); #42481=VECTOR('',#173320,10.); #42482=VECTOR('',#173321,10.); #42483=VECTOR('',#173324,10.); #42484=VECTOR('',#173325,10.); #42485=VECTOR('',#173326,10.); #42486=VECTOR('',#173329,10.); #42487=VECTOR('',#173330,10.); #42488=VECTOR('',#173331,10.); #42489=VECTOR('',#173334,10.); #42490=VECTOR('',#173335,10.); #42491=VECTOR('',#173336,10.); #42492=VECTOR('',#173341,10.); #42493=VECTOR('',#173346,10.); #42494=VECTOR('',#173347,10.); #42495=VECTOR('',#173348,10.); #42496=VECTOR('',#173351,10.); #42497=VECTOR('',#173352,10.); #42498=VECTOR('',#173353,10.); #42499=VECTOR('',#173356,10.); #42500=VECTOR('',#173357,10.); #42501=VECTOR('',#173358,10.); #42502=VECTOR('',#173361,10.); #42503=VECTOR('',#173362,10.); #42504=VECTOR('',#173363,10.); #42505=VECTOR('',#173368,10.); #42506=VECTOR('',#173373,10.); #42507=VECTOR('',#173374,10.); #42508=VECTOR('',#173375,10.); #42509=VECTOR('',#173378,10.); #42510=VECTOR('',#173379,10.); #42511=VECTOR('',#173380,10.); #42512=VECTOR('',#173383,10.); #42513=VECTOR('',#173384,10.); #42514=VECTOR('',#173385,10.); #42515=VECTOR('',#173388,10.); #42516=VECTOR('',#173389,10.); #42517=VECTOR('',#173390,10.); #42518=VECTOR('',#173393,10.); #42519=VECTOR('',#173394,10.); #42520=VECTOR('',#173395,10.); #42521=VECTOR('',#173398,10.); #42522=VECTOR('',#173399,10.); #42523=VECTOR('',#173400,10.); #42524=VECTOR('',#173405,10.); #42525=VECTOR('',#173410,10.); #42526=VECTOR('',#173411,10.); #42527=VECTOR('',#173412,10.); #42528=VECTOR('',#173415,10.); #42529=VECTOR('',#173416,10.); #42530=VECTOR('',#173417,10.); #42531=VECTOR('',#173422,10.); #42532=VECTOR('',#173429,10.); #42533=VECTOR('',#173434,10.); #42534=VECTOR('',#173435,10.); #42535=VECTOR('',#173436,10.); #42536=VECTOR('',#173439,10.); #42537=VECTOR('',#173440,10.); #42538=VECTOR('',#173441,10.); #42539=VECTOR('',#173444,10.); #42540=VECTOR('',#173445,10.); #42541=VECTOR('',#173446,10.); #42542=VECTOR('',#173449,10.); #42543=VECTOR('',#173450,10.); #42544=VECTOR('',#173451,10.); #42545=VECTOR('',#173454,10.); #42546=VECTOR('',#173455,10.); #42547=VECTOR('',#173456,10.); #42548=VECTOR('',#173459,10.); #42549=VECTOR('',#173460,10.); #42550=VECTOR('',#173461,10.); #42551=VECTOR('',#173464,10.); #42552=VECTOR('',#173465,10.); #42553=VECTOR('',#173466,10.); #42554=VECTOR('',#173469,10.); #42555=VECTOR('',#173470,10.); #42556=VECTOR('',#173471,10.); #42557=VECTOR('',#173474,10.); #42558=VECTOR('',#173475,10.); #42559=VECTOR('',#173476,10.); #42560=VECTOR('',#173479,10.); #42561=VECTOR('',#173480,10.); #42562=VECTOR('',#173481,10.); #42563=VECTOR('',#173484,10.); #42564=VECTOR('',#173485,10.); #42565=VECTOR('',#173486,10.); #42566=VECTOR('',#173489,10.); #42567=VECTOR('',#173490,10.); #42568=VECTOR('',#173491,10.); #42569=VECTOR('',#173502,10.); #42570=VECTOR('',#173503,10.); #42571=VECTOR('',#173504,10.); #42572=VECTOR('',#173505,10.); #42573=VECTOR('',#173510,10.); #42574=VECTOR('',#173517,10.); #42575=VECTOR('',#173522,10.); #42576=VECTOR('',#173523,10.); #42577=VECTOR('',#173524,10.); #42578=VECTOR('',#173529,10.); #42579=VECTOR('',#173536,10.); #42580=VECTOR('',#173541,10.); #42581=VECTOR('',#173542,10.); #42582=VECTOR('',#173547,10.); #42583=VECTOR('',#173550,10.); #42584=VECTOR('',#173553,10.); #42585=VECTOR('',#173554,10.); #42586=VECTOR('',#173555,10.); #42587=VECTOR('',#173558,10.); #42588=VECTOR('',#173559,10.); #42589=VECTOR('',#173560,10.); #42590=VECTOR('',#173563,10.); #42591=VECTOR('',#173564,10.); #42592=VECTOR('',#173565,10.); #42593=VECTOR('',#173570,10.); #42594=VECTOR('',#173577,10.); #42595=VECTOR('',#173584,10.); #42596=VECTOR('',#173589,10.); #42597=VECTOR('',#173590,10.); #42598=VECTOR('',#173591,10.); #42599=VECTOR('',#173594,10.); #42600=VECTOR('',#173595,10.); #42601=VECTOR('',#173598,10.); #42602=VECTOR('',#173599,10.); #42603=VECTOR('',#173600,10.); #42604=VECTOR('',#173601,10.); #42605=VECTOR('',#173604,10.); #42606=VECTOR('',#173605,10.); #42607=VECTOR('',#173606,10.); #42608=VECTOR('',#173611,10.); #42609=VECTOR('',#173618,10.); #42610=VECTOR('',#173625,10.); #42611=VECTOR('',#173630,10.); #42612=VECTOR('',#173631,10.); #42613=VECTOR('',#173632,10.); #42614=VECTOR('',#173635,10.); #42615=VECTOR('',#173636,10.); #42616=VECTOR('',#173637,10.); #42617=VECTOR('',#173640,10.); #42618=VECTOR('',#173641,10.); #42619=VECTOR('',#173642,10.); #42620=VECTOR('',#173647,10.); #42621=VECTOR('',#173654,10.); #42622=VECTOR('',#173661,10.); #42623=VECTOR('',#173668,10.); #42624=VECTOR('',#173673,10.); #42625=VECTOR('',#173674,10.); #42626=VECTOR('',#173675,10.); #42627=VECTOR('',#173680,10.); #42628=VECTOR('',#173687,10.); #42629=VECTOR('',#173694,10.); #42630=VECTOR('',#173699,10.); #42631=VECTOR('',#173700,10.); #42632=VECTOR('',#173701,10.); #42633=VECTOR('',#173704,10.); #42634=VECTOR('',#173705,10.); #42635=VECTOR('',#173706,10.); #42636=VECTOR('',#173709,10.); #42637=VECTOR('',#173710,10.); #42638=VECTOR('',#173711,10.); #42639=VECTOR('',#173714,10.); #42640=VECTOR('',#173715,10.); #42641=VECTOR('',#173716,10.); #42642=VECTOR('',#173719,10.); #42643=VECTOR('',#173720,10.); #42644=VECTOR('',#173721,10.); #42645=VECTOR('',#173726,10.); #42646=VECTOR('',#173731,10.); #42647=VECTOR('',#173732,10.); #42648=VECTOR('',#173733,10.); #42649=VECTOR('',#173736,10.); #42650=VECTOR('',#173737,10.); #42651=VECTOR('',#173738,10.); #42652=VECTOR('',#173741,10.); #42653=VECTOR('',#173742,10.); #42654=VECTOR('',#173743,10.); #42655=VECTOR('',#173746,10.); #42656=VECTOR('',#173747,10.); #42657=VECTOR('',#173748,10.); #42658=VECTOR('',#173751,10.); #42659=VECTOR('',#173752,10.); #42660=VECTOR('',#173753,10.); #42661=VECTOR('',#173756,10.); #42662=VECTOR('',#173757,10.); #42663=VECTOR('',#173758,10.); #42664=VECTOR('',#173761,10.); #42665=VECTOR('',#173762,10.); #42666=VECTOR('',#173763,10.); #42667=VECTOR('',#173766,10.); #42668=VECTOR('',#173767,10.); #42669=VECTOR('',#173768,10.); #42670=VECTOR('',#173771,10.); #42671=VECTOR('',#173772,10.); #42672=VECTOR('',#173773,10.); #42673=VECTOR('',#173776,10.); #42674=VECTOR('',#173777,10.); #42675=VECTOR('',#173778,10.); #42676=VECTOR('',#173781,10.); #42677=VECTOR('',#173782,10.); #42678=VECTOR('',#173783,10.); #42679=VECTOR('',#173786,10.); #42680=VECTOR('',#173787,10.); #42681=VECTOR('',#173788,10.); #42682=VECTOR('',#173791,10.); #42683=VECTOR('',#173792,10.); #42684=VECTOR('',#173793,10.); #42685=VECTOR('',#173796,10.); #42686=VECTOR('',#173797,10.); #42687=VECTOR('',#173798,10.); #42688=VECTOR('',#173801,10.); #42689=VECTOR('',#173802,10.); #42690=VECTOR('',#173803,10.); #42691=VECTOR('',#173806,10.); #42692=VECTOR('',#173807,10.); #42693=VECTOR('',#173808,10.); #42694=VECTOR('',#173811,10.); #42695=VECTOR('',#173812,10.); #42696=VECTOR('',#173813,10.); #42697=VECTOR('',#173816,10.); #42698=VECTOR('',#173817,10.); #42699=VECTOR('',#173818,10.); #42700=VECTOR('',#173823,10.); #42701=VECTOR('',#173828,10.); #42702=VECTOR('',#173829,10.); #42703=VECTOR('',#173830,10.); #42704=VECTOR('',#173833,10.); #42705=VECTOR('',#173834,10.); #42706=VECTOR('',#173835,10.); #42707=VECTOR('',#173838,10.); #42708=VECTOR('',#173839,10.); #42709=VECTOR('',#173840,10.); #42710=VECTOR('',#173845,10.); #42711=VECTOR('',#173850,10.); #42712=VECTOR('',#173851,10.); #42713=VECTOR('',#173852,10.); #42714=VECTOR('',#173855,10.); #42715=VECTOR('',#173856,10.); #42716=VECTOR('',#173857,10.); #42717=VECTOR('',#173860,10.); #42718=VECTOR('',#173861,10.); #42719=VECTOR('',#173862,10.); #42720=VECTOR('',#173865,10.); #42721=VECTOR('',#173866,10.); #42722=VECTOR('',#173867,10.); #42723=VECTOR('',#173870,10.); #42724=VECTOR('',#173871,10.); #42725=VECTOR('',#173872,10.); #42726=VECTOR('',#173875,10.); #42727=VECTOR('',#173876,10.); #42728=VECTOR('',#173877,10.); #42729=VECTOR('',#173880,10.); #42730=VECTOR('',#173881,10.); #42731=VECTOR('',#173882,10.); #42732=VECTOR('',#173885,10.); #42733=VECTOR('',#173886,10.); #42734=VECTOR('',#173887,10.); #42735=VECTOR('',#173890,10.); #42736=VECTOR('',#173891,10.); #42737=VECTOR('',#173892,10.); #42738=VECTOR('',#173895,10.); #42739=VECTOR('',#173896,10.); #42740=VECTOR('',#173897,10.); #42741=VECTOR('',#173900,10.); #42742=VECTOR('',#173901,10.); #42743=VECTOR('',#173902,10.); #42744=VECTOR('',#173905,10.); #42745=VECTOR('',#173906,10.); #42746=VECTOR('',#173907,10.); #42747=VECTOR('',#173910,10.); #42748=VECTOR('',#173911,10.); #42749=VECTOR('',#173912,10.); #42750=VECTOR('',#173915,10.); #42751=VECTOR('',#173916,10.); #42752=VECTOR('',#173917,10.); #42753=VECTOR('',#173920,10.); #42754=VECTOR('',#173921,10.); #42755=VECTOR('',#173922,10.); #42756=VECTOR('',#173925,10.); #42757=VECTOR('',#173926,10.); #42758=VECTOR('',#173927,10.); #42759=VECTOR('',#173930,10.); #42760=VECTOR('',#173931,10.); #42761=VECTOR('',#173936,10.); #42762=VECTOR('',#173937,10.); #42763=VECTOR('',#173938,10.); #42764=VECTOR('',#173939,10.); #42765=VECTOR('',#173942,10.); #42766=VECTOR('',#173943,10.); #42767=VECTOR('',#173944,10.); #42768=VECTOR('',#173947,10.); #42769=VECTOR('',#173948,10.); #42770=VECTOR('',#173949,10.); #42771=VECTOR('',#173952,10.); #42772=VECTOR('',#173953,10.); #42773=VECTOR('',#173954,10.); #42774=VECTOR('',#173957,10.); #42775=VECTOR('',#173958,10.); #42776=VECTOR('',#173959,10.); #42777=VECTOR('',#173962,10.); #42778=VECTOR('',#173963,10.); #42779=VECTOR('',#173964,10.); #42780=VECTOR('',#173967,10.); #42781=VECTOR('',#173968,10.); #42782=VECTOR('',#173969,10.); #42783=VECTOR('',#173972,10.); #42784=VECTOR('',#173973,10.); #42785=VECTOR('',#173974,10.); #42786=VECTOR('',#173977,10.); #42787=VECTOR('',#173978,10.); #42788=VECTOR('',#173979,10.); #42789=VECTOR('',#173982,10.); #42790=VECTOR('',#173983,10.); #42791=VECTOR('',#173984,10.); #42792=VECTOR('',#173987,10.); #42793=VECTOR('',#173988,10.); #42794=VECTOR('',#173989,10.); #42795=VECTOR('',#174000,10.); #42796=VECTOR('',#174001,10.); #42797=VECTOR('',#174002,10.); #42798=VECTOR('',#174003,10.); #42799=VECTOR('',#174006,10.); #42800=VECTOR('',#174007,10.); #42801=VECTOR('',#174008,10.); #42802=VECTOR('',#174011,10.); #42803=VECTOR('',#174012,10.); #42804=VECTOR('',#174013,10.); #42805=VECTOR('',#174016,10.); #42806=VECTOR('',#174017,10.); #42807=VECTOR('',#174024,10.); #42808=VECTOR('',#174027,10.); #42809=VECTOR('',#174030,10.); #42810=VECTOR('',#174031,10.); #42811=VECTOR('',#174032,10.); #42812=VECTOR('',#174037,10.); #42813=VECTOR('',#174044,10.); #42814=VECTOR('',#174051,10.); #42815=VECTOR('',#174058,10.); #42816=VECTOR('',#174065,10.); #42817=VECTOR('',#174072,10.); #42818=VECTOR('',#174079,10.); #42819=VECTOR('',#174086,10.); #42820=VECTOR('',#174097,10.); #42821=VECTOR('',#174098,10.); #42822=VECTOR('',#174099,10.); #42823=VECTOR('',#174100,10.); #42824=VECTOR('',#174103,10.); #42825=VECTOR('',#174104,10.); #42826=VECTOR('',#174105,10.); #42827=VECTOR('',#174108,10.); #42828=VECTOR('',#174109,10.); #42829=VECTOR('',#174110,10.); #42830=VECTOR('',#174113,10.); #42831=VECTOR('',#174114,10.); #42832=VECTOR('',#174115,10.); #42833=VECTOR('',#174120,10.); #42834=VECTOR('',#174125,10.); #42835=VECTOR('',#174126,10.); #42836=VECTOR('',#174127,10.); #42837=VECTOR('',#174130,10.); #42838=VECTOR('',#174131,10.); #42839=VECTOR('',#174132,10.); #42840=VECTOR('',#174135,10.); #42841=VECTOR('',#174136,10.); #42842=VECTOR('',#174137,10.); #42843=VECTOR('',#174140,10.); #42844=VECTOR('',#174141,10.); #42845=VECTOR('',#174142,10.); #42846=VECTOR('',#174145,10.); #42847=VECTOR('',#174146,10.); #42848=VECTOR('',#174147,10.); #42849=VECTOR('',#174150,10.); #42850=VECTOR('',#174151,10.); #42851=VECTOR('',#174152,10.); #42852=VECTOR('',#174157,10.); #42853=VECTOR('',#174164,10.); #42854=VECTOR('',#174169,10.); #42855=VECTOR('',#174170,10.); #42856=VECTOR('',#174171,10.); #42857=VECTOR('',#174174,10.); #42858=VECTOR('',#174175,10.); #42859=VECTOR('',#174176,10.); #42860=VECTOR('',#174179,10.); #42861=VECTOR('',#174180,10.); #42862=VECTOR('',#174181,10.); #42863=VECTOR('',#174184,10.); #42864=VECTOR('',#174185,10.); #42865=VECTOR('',#174186,10.); #42866=VECTOR('',#174189,10.); #42867=VECTOR('',#174190,10.); #42868=VECTOR('',#174191,10.); #42869=VECTOR('',#174194,10.); #42870=VECTOR('',#174195,10.); #42871=VECTOR('',#174196,10.); #42872=VECTOR('',#174201,10.); #42873=VECTOR('',#174208,10.); #42874=VECTOR('',#174215,10.); #42875=VECTOR('',#174220,10.); #42876=VECTOR('',#174221,10.); #42877=VECTOR('',#174222,10.); #42878=VECTOR('',#174227,10.); #42879=VECTOR('',#174234,10.); #42880=VECTOR('',#174241,10.); #42881=VECTOR('',#174246,10.); #42882=VECTOR('',#174247,10.); #42883=VECTOR('',#174248,10.); #42884=VECTOR('',#174251,10.); #42885=VECTOR('',#174252,10.); #42886=VECTOR('',#174253,10.); #42887=VECTOR('',#174258,10.); #42888=VECTOR('',#174265,10.); #42889=VECTOR('',#174272,10.); #42890=VECTOR('',#174279,10.); #42891=VECTOR('',#174286,10.); #42892=VECTOR('',#174293,10.); #42893=VECTOR('',#174298,10.); #42894=VECTOR('',#174299,10.); #42895=VECTOR('',#174304,10.); #42896=VECTOR('',#174305,10.); #42897=VECTOR('',#174306,10.); #42898=VECTOR('',#174307,10.); #42899=VECTOR('',#174310,10.); #42900=VECTOR('',#174311,10.); #42901=VECTOR('',#174312,10.); #42902=VECTOR('',#174315,10.); #42903=VECTOR('',#174316,10.); #42904=VECTOR('',#174317,10.); #42905=VECTOR('',#174320,10.); #42906=VECTOR('',#174321,10.); #42907=VECTOR('',#174322,10.); #42908=VECTOR('',#174325,10.); #42909=VECTOR('',#174326,10.); #42910=VECTOR('',#174327,10.); #42911=VECTOR('',#174330,10.); #42912=VECTOR('',#174331,10.); #42913=VECTOR('',#174332,10.); #42914=VECTOR('',#174335,10.); #42915=VECTOR('',#174336,10.); #42916=VECTOR('',#174337,10.); #42917=VECTOR('',#174340,10.); #42918=VECTOR('',#174341,10.); #42919=VECTOR('',#174346,10.); #42920=VECTOR('',#174347,10.); #42921=VECTOR('',#174348,10.); #42922=VECTOR('',#174349,10.); #42923=VECTOR('',#174352,10.); #42924=VECTOR('',#174353,10.); #42925=VECTOR('',#174354,10.); #42926=VECTOR('',#174359,10.); #42927=VECTOR('',#174366,10.); #42928=VECTOR('',#174371,10.); #42929=VECTOR('',#174372,10.); #42930=VECTOR('',#174373,10.); #42931=VECTOR('',#174378,10.); #42932=VECTOR('',#174385,10.); #42933=VECTOR('',#174390,10.); #42934=VECTOR('',#174391,10.); #42935=VECTOR('',#174392,10.); #42936=VECTOR('',#174397,10.); #42937=VECTOR('',#174404,10.); #42938=VECTOR('',#174409,10.); #42939=VECTOR('',#174410,10.); #42940=VECTOR('',#174411,10.); #42941=VECTOR('',#174414,10.); #42942=VECTOR('',#174415,10.); #42943=VECTOR('',#174416,10.); #42944=VECTOR('',#174419,10.); #42945=VECTOR('',#174420,10.); #42946=VECTOR('',#174421,10.); #42947=VECTOR('',#174426,10.); #42948=VECTOR('',#174431,10.); #42949=VECTOR('',#174432,10.); #42950=VECTOR('',#174437,10.); #42951=VECTOR('',#174438,10.); #42952=VECTOR('',#174439,10.); #42953=VECTOR('',#174440,10.); #42954=VECTOR('',#174443,10.); #42955=VECTOR('',#174444,10.); #42956=VECTOR('',#174445,10.); #42957=VECTOR('',#174448,10.); #42958=VECTOR('',#174449,10.); #42959=VECTOR('',#174450,10.); #42960=VECTOR('',#174453,10.); #42961=VECTOR('',#174454,10.); #42962=VECTOR('',#174455,10.); #42963=VECTOR('',#174458,10.); #42964=VECTOR('',#174459,10.); #42965=VECTOR('',#174460,10.); #42966=VECTOR('',#174463,10.); #42967=VECTOR('',#174464,10.); #42968=VECTOR('',#174465,10.); #42969=VECTOR('',#174468,10.); #42970=VECTOR('',#174469,10.); #42971=VECTOR('',#174470,10.); #42972=VECTOR('',#174473,10.); #42973=VECTOR('',#174474,10.); #42974=VECTOR('',#174475,10.); #42975=VECTOR('',#174480,10.); #42976=VECTOR('',#174485,10.); #42977=VECTOR('',#174486,10.); #42978=VECTOR('',#174487,10.); #42979=VECTOR('',#174492,10.); #42980=VECTOR('',#174497,10.); #42981=VECTOR('',#174498,10.); #42982=VECTOR('',#174499,10.); #42983=VECTOR('',#174502,10.); #42984=VECTOR('',#174503,10.); #42985=VECTOR('',#174504,10.); #42986=VECTOR('',#174507,10.); #42987=VECTOR('',#174508,10.); #42988=VECTOR('',#174509,10.); #42989=VECTOR('',#174512,10.); #42990=VECTOR('',#174513,10.); #42991=VECTOR('',#174514,10.); #42992=VECTOR('',#174517,10.); #42993=VECTOR('',#174518,10.); #42994=VECTOR('',#174519,10.); #42995=VECTOR('',#174522,10.); #42996=VECTOR('',#174523,10.); #42997=VECTOR('',#174524,10.); #42998=VECTOR('',#174527,10.); #42999=VECTOR('',#174528,10.); #43000=VECTOR('',#174529,10.); #43001=VECTOR('',#174532,10.); #43002=VECTOR('',#174533,10.); #43003=VECTOR('',#174534,10.); #43004=VECTOR('',#174539,10.); #43005=VECTOR('',#174546,10.); #43006=VECTOR('',#174553,10.); #43007=VECTOR('',#174558,10.); #43008=VECTOR('',#174559,10.); #43009=VECTOR('',#174560,10.); #43010=VECTOR('',#174563,10.); #43011=VECTOR('',#174564,10.); #43012=VECTOR('',#174565,10.); #43013=VECTOR('',#174568,10.); #43014=VECTOR('',#174569,10.); #43015=VECTOR('',#174570,10.); #43016=VECTOR('',#174573,10.); #43017=VECTOR('',#174574,10.); #43018=VECTOR('',#174575,10.); #43019=VECTOR('',#174578,10.); #43020=VECTOR('',#174579,10.); #43021=VECTOR('',#174580,10.); #43022=VECTOR('',#174583,10.); #43023=VECTOR('',#174584,10.); #43024=VECTOR('',#174585,10.); #43025=VECTOR('',#174588,10.); #43026=VECTOR('',#174589,10.); #43027=VECTOR('',#174590,10.); #43028=VECTOR('',#174593,10.); #43029=VECTOR('',#174594,10.); #43030=VECTOR('',#174595,10.); #43031=VECTOR('',#174598,10.); #43032=VECTOR('',#174599,10.); #43033=VECTOR('',#174600,10.); #43034=VECTOR('',#174603,10.); #43035=VECTOR('',#174604,10.); #43036=VECTOR('',#174605,10.); #43037=VECTOR('',#174608,10.); #43038=VECTOR('',#174609,10.); #43039=VECTOR('',#174610,10.); #43040=VECTOR('',#174613,10.); #43041=VECTOR('',#174614,10.); #43042=VECTOR('',#174615,10.); #43043=VECTOR('',#174618,10.); #43044=VECTOR('',#174619,10.); #43045=VECTOR('',#174620,10.); #43046=VECTOR('',#174623,10.); #43047=VECTOR('',#174624,10.); #43048=VECTOR('',#174625,10.); #43049=VECTOR('',#174628,10.); #43050=VECTOR('',#174629,10.); #43051=VECTOR('',#174630,10.); #43052=VECTOR('',#174633,10.); #43053=VECTOR('',#174634,10.); #43054=VECTOR('',#174635,10.); #43055=VECTOR('',#174638,10.); #43056=VECTOR('',#174639,10.); #43057=VECTOR('',#174640,10.); #43058=VECTOR('',#174643,10.); #43059=VECTOR('',#174644,10.); #43060=VECTOR('',#174645,10.); #43061=VECTOR('',#174650,10.); #43062=VECTOR('',#174655,10.); #43063=VECTOR('',#174656,10.); #43064=VECTOR('',#174657,10.); #43065=VECTOR('',#174660,10.); #43066=VECTOR('',#174661,10.); #43067=VECTOR('',#174662,10.); #43068=VECTOR('',#174665,10.); #43069=VECTOR('',#174666,10.); #43070=VECTOR('',#174667,10.); #43071=VECTOR('',#174672,10.); #43072=VECTOR('',#174677,10.); #43073=VECTOR('',#174678,10.); #43074=VECTOR('',#174679,10.); #43075=VECTOR('',#174682,10.); #43076=VECTOR('',#174683,10.); #43077=VECTOR('',#174684,10.); #43078=VECTOR('',#174687,10.); #43079=VECTOR('',#174688,10.); #43080=VECTOR('',#174689,10.); #43081=VECTOR('',#174692,10.); #43082=VECTOR('',#174693,10.); #43083=VECTOR('',#174694,10.); #43084=VECTOR('',#174697,10.); #43085=VECTOR('',#174698,10.); #43086=VECTOR('',#174699,10.); #43087=VECTOR('',#174702,10.); #43088=VECTOR('',#174703,10.); #43089=VECTOR('',#174704,10.); #43090=VECTOR('',#174707,10.); #43091=VECTOR('',#174708,10.); #43092=VECTOR('',#174709,10.); #43093=VECTOR('',#174712,10.); #43094=VECTOR('',#174713,10.); #43095=VECTOR('',#174714,10.); #43096=VECTOR('',#174717,10.); #43097=VECTOR('',#174718,10.); #43098=VECTOR('',#174719,10.); #43099=VECTOR('',#174722,10.); #43100=VECTOR('',#174723,10.); #43101=VECTOR('',#174724,10.); #43102=VECTOR('',#174727,10.); #43103=VECTOR('',#174728,10.); #43104=VECTOR('',#174729,10.); #43105=VECTOR('',#174732,10.); #43106=VECTOR('',#174733,10.); #43107=VECTOR('',#174734,10.); #43108=VECTOR('',#174737,10.); #43109=VECTOR('',#174738,10.); #43110=VECTOR('',#174739,10.); #43111=VECTOR('',#174742,10.); #43112=VECTOR('',#174743,10.); #43113=VECTOR('',#174744,10.); #43114=VECTOR('',#174747,10.); #43115=VECTOR('',#174748,10.); #43116=VECTOR('',#174749,10.); #43117=VECTOR('',#174752,10.); #43118=VECTOR('',#174753,10.); #43119=VECTOR('',#174754,10.); #43120=VECTOR('',#174757,10.); #43121=VECTOR('',#174758,10.); #43122=VECTOR('',#174759,10.); #43123=VECTOR('',#174762,10.); #43124=VECTOR('',#174763,10.); #43125=VECTOR('',#174764,10.); #43126=VECTOR('',#174767,10.); #43127=VECTOR('',#174768,10.); #43128=VECTOR('',#174769,10.); #43129=VECTOR('',#174772,10.); #43130=VECTOR('',#174773,10.); #43131=VECTOR('',#174774,10.); #43132=VECTOR('',#174777,10.); #43133=VECTOR('',#174778,10.); #43134=VECTOR('',#174779,10.); #43135=VECTOR('',#174782,10.); #43136=VECTOR('',#174783,10.); #43137=VECTOR('',#174784,10.); #43138=VECTOR('',#174787,10.); #43139=VECTOR('',#174788,10.); #43140=VECTOR('',#174789,10.); #43141=VECTOR('',#174792,10.); #43142=VECTOR('',#174793,10.); #43143=VECTOR('',#174794,10.); #43144=VECTOR('',#174797,10.); #43145=VECTOR('',#174798,10.); #43146=VECTOR('',#174799,10.); #43147=VECTOR('',#174804,10.); #43148=VECTOR('',#174809,10.); #43149=VECTOR('',#174810,10.); #43150=VECTOR('',#174811,10.); #43151=VECTOR('',#174816,10.); #43152=VECTOR('',#174823,10.); #43153=VECTOR('',#174828,10.); #43154=VECTOR('',#174829,10.); #43155=VECTOR('',#174830,10.); #43156=VECTOR('',#174833,10.); #43157=VECTOR('',#174834,10.); #43158=VECTOR('',#174835,10.); #43159=VECTOR('',#174838,10.); #43160=VECTOR('',#174839,10.); #43161=VECTOR('',#174840,10.); #43162=VECTOR('',#174843,10.); #43163=VECTOR('',#174844,10.); #43164=VECTOR('',#174845,10.); #43165=VECTOR('',#174848,10.); #43166=VECTOR('',#174849,10.); #43167=VECTOR('',#174850,10.); #43168=VECTOR('',#174853,10.); #43169=VECTOR('',#174854,10.); #43170=VECTOR('',#174855,10.); #43171=VECTOR('',#174858,10.); #43172=VECTOR('',#174859,10.); #43173=VECTOR('',#174860,10.); #43174=VECTOR('',#174863,10.); #43175=VECTOR('',#174864,10.); #43176=VECTOR('',#174865,10.); #43177=VECTOR('',#174868,10.); #43178=VECTOR('',#174869,10.); #43179=VECTOR('',#174870,10.); #43180=VECTOR('',#174873,10.); #43181=VECTOR('',#174874,10.); #43182=VECTOR('',#174875,10.); #43183=VECTOR('',#174878,10.); #43184=VECTOR('',#174879,10.); #43185=VECTOR('',#174880,10.); #43186=VECTOR('',#174885,10.); #43187=VECTOR('',#174890,10.); #43188=VECTOR('',#174891,10.); #43189=VECTOR('',#174892,10.); #43190=VECTOR('',#174895,10.); #43191=VECTOR('',#174896,10.); #43192=VECTOR('',#174897,10.); #43193=VECTOR('',#174900,10.); #43194=VECTOR('',#174901,10.); #43195=VECTOR('',#174902,10.); #43196=VECTOR('',#174905,10.); #43197=VECTOR('',#174906,10.); #43198=VECTOR('',#174907,10.); #43199=VECTOR('',#174910,10.); #43200=VECTOR('',#174911,10.); #43201=VECTOR('',#174912,10.); #43202=VECTOR('',#174915,10.); #43203=VECTOR('',#174916,10.); #43204=VECTOR('',#174917,10.); #43205=VECTOR('',#174920,10.); #43206=VECTOR('',#174921,10.); #43207=VECTOR('',#174922,10.); #43208=VECTOR('',#174925,10.); #43209=VECTOR('',#174926,10.); #43210=VECTOR('',#174927,10.); #43211=VECTOR('',#174930,10.); #43212=VECTOR('',#174931,10.); #43213=VECTOR('',#174932,10.); #43214=VECTOR('',#174935,10.); #43215=VECTOR('',#174936,10.); #43216=VECTOR('',#174937,10.); #43217=VECTOR('',#174940,10.); #43218=VECTOR('',#174941,10.); #43219=VECTOR('',#174942,10.); #43220=VECTOR('',#174947,10.); #43221=VECTOR('',#174952,10.); #43222=VECTOR('',#174953,10.); #43223=VECTOR('',#174954,10.); #43224=VECTOR('',#174957,10.); #43225=VECTOR('',#174958,10.); #43226=VECTOR('',#174959,10.); #43227=VECTOR('',#174964,10.); #43228=VECTOR('',#174969,10.); #43229=VECTOR('',#174970,10.); #43230=VECTOR('',#174971,10.); #43231=VECTOR('',#174974,10.); #43232=VECTOR('',#174975,10.); #43233=VECTOR('',#174976,10.); #43234=VECTOR('',#174979,10.); #43235=VECTOR('',#174980,10.); #43236=VECTOR('',#174981,10.); #43237=VECTOR('',#174984,10.); #43238=VECTOR('',#174985,10.); #43239=VECTOR('',#174986,10.); #43240=VECTOR('',#174989,10.); #43241=VECTOR('',#174990,10.); #43242=VECTOR('',#174991,10.); #43243=VECTOR('',#174994,10.); #43244=VECTOR('',#174995,10.); #43245=VECTOR('',#174996,10.); #43246=VECTOR('',#174999,10.); #43247=VECTOR('',#175000,10.); #43248=VECTOR('',#175001,10.); #43249=VECTOR('',#175004,10.); #43250=VECTOR('',#175005,10.); #43251=VECTOR('',#175006,10.); #43252=VECTOR('',#175009,10.); #43253=VECTOR('',#175010,10.); #43254=VECTOR('',#175011,10.); #43255=VECTOR('',#175014,10.); #43256=VECTOR('',#175015,10.); #43257=VECTOR('',#175016,10.); #43258=VECTOR('',#175019,10.); #43259=VECTOR('',#175020,10.); #43260=VECTOR('',#175021,10.); #43261=VECTOR('',#175024,10.); #43262=VECTOR('',#175025,10.); #43263=VECTOR('',#175026,10.); #43264=VECTOR('',#175029,10.); #43265=VECTOR('',#175030,10.); #43266=VECTOR('',#175031,10.); #43267=VECTOR('',#175034,10.); #43268=VECTOR('',#175035,10.); #43269=VECTOR('',#175036,10.); #43270=VECTOR('',#175039,10.); #43271=VECTOR('',#175040,10.); #43272=VECTOR('',#175041,10.); #43273=VECTOR('',#175044,10.); #43274=VECTOR('',#175045,10.); #43275=VECTOR('',#175046,10.); #43276=VECTOR('',#175049,10.); #43277=VECTOR('',#175050,10.); #43278=VECTOR('',#175051,10.); #43279=VECTOR('',#175054,10.); #43280=VECTOR('',#175055,10.); #43281=VECTOR('',#175056,10.); #43282=VECTOR('',#175059,10.); #43283=VECTOR('',#175060,10.); #43284=VECTOR('',#175061,10.); #43285=VECTOR('',#175064,10.); #43286=VECTOR('',#175065,10.); #43287=VECTOR('',#175066,10.); #43288=VECTOR('',#175069,10.); #43289=VECTOR('',#175070,10.); #43290=VECTOR('',#175071,10.); #43291=VECTOR('',#175074,10.); #43292=VECTOR('',#175075,10.); #43293=VECTOR('',#175076,10.); #43294=VECTOR('',#175079,10.); #43295=VECTOR('',#175080,10.); #43296=VECTOR('',#175081,10.); #43297=VECTOR('',#175084,10.); #43298=VECTOR('',#175085,10.); #43299=VECTOR('',#175086,10.); #43300=VECTOR('',#175089,10.); #43301=VECTOR('',#175090,10.); #43302=VECTOR('',#175091,10.); #43303=VECTOR('',#175096,10.); #43304=VECTOR('',#175101,10.); #43305=VECTOR('',#175102,10.); #43306=VECTOR('',#175103,10.); #43307=VECTOR('',#175108,10.); #43308=VECTOR('',#175115,10.); #43309=VECTOR('',#175120,10.); #43310=VECTOR('',#175121,10.); #43311=VECTOR('',#175122,10.); #43312=VECTOR('',#175127,10.); #43313=VECTOR('',#175132,10.); #43314=VECTOR('',#175133,10.); #43315=VECTOR('',#175134,10.); #43316=VECTOR('',#175137,10.); #43317=VECTOR('',#175138,10.); #43318=VECTOR('',#175139,10.); #43319=VECTOR('',#175142,10.); #43320=VECTOR('',#175143,10.); #43321=VECTOR('',#175144,10.); #43322=VECTOR('',#175147,10.); #43323=VECTOR('',#175148,10.); #43324=VECTOR('',#175149,10.); #43325=VECTOR('',#175152,10.); #43326=VECTOR('',#175153,10.); #43327=VECTOR('',#175154,10.); #43328=VECTOR('',#175157,10.); #43329=VECTOR('',#175158,10.); #43330=VECTOR('',#175159,10.); #43331=VECTOR('',#175162,10.); #43332=VECTOR('',#175163,10.); #43333=VECTOR('',#175164,10.); #43334=VECTOR('',#175167,10.); #43335=VECTOR('',#175168,10.); #43336=VECTOR('',#175169,10.); #43337=VECTOR('',#175172,10.); #43338=VECTOR('',#175173,10.); #43339=VECTOR('',#175174,10.); #43340=VECTOR('',#175177,10.); #43341=VECTOR('',#175178,10.); #43342=VECTOR('',#175179,10.); #43343=VECTOR('',#175182,10.); #43344=VECTOR('',#175183,10.); #43345=VECTOR('',#175184,10.); #43346=VECTOR('',#175187,10.); #43347=VECTOR('',#175188,10.); #43348=VECTOR('',#175189,10.); #43349=VECTOR('',#175192,10.); #43350=VECTOR('',#175193,10.); #43351=VECTOR('',#175194,10.); #43352=VECTOR('',#175197,10.); #43353=VECTOR('',#175198,10.); #43354=VECTOR('',#175199,10.); #43355=VECTOR('',#175202,10.); #43356=VECTOR('',#175203,10.); #43357=VECTOR('',#175204,10.); #43358=VECTOR('',#175207,10.); #43359=VECTOR('',#175208,10.); #43360=VECTOR('',#175209,10.); #43361=VECTOR('',#175212,10.); #43362=VECTOR('',#175213,10.); #43363=VECTOR('',#175214,10.); #43364=VECTOR('',#175217,10.); #43365=VECTOR('',#175218,10.); #43366=VECTOR('',#175219,10.); #43367=VECTOR('',#175222,10.); #43368=VECTOR('',#175223,10.); #43369=VECTOR('',#175224,10.); #43370=VECTOR('',#175229,10.); #43371=VECTOR('',#175234,10.); #43372=VECTOR('',#175235,10.); #43373=VECTOR('',#175236,10.); #43374=VECTOR('',#175241,10.); #43375=VECTOR('',#175246,10.); #43376=VECTOR('',#175247,10.); #43377=VECTOR('',#175248,10.); #43378=VECTOR('',#175251,10.); #43379=VECTOR('',#175252,10.); #43380=VECTOR('',#175253,10.); #43381=VECTOR('',#175256,10.); #43382=VECTOR('',#175257,10.); #43383=VECTOR('',#175258,10.); #43384=VECTOR('',#175261,10.); #43385=VECTOR('',#175262,10.); #43386=VECTOR('',#175263,10.); #43387=VECTOR('',#175266,10.); #43388=VECTOR('',#175267,10.); #43389=VECTOR('',#175268,10.); #43390=VECTOR('',#175271,10.); #43391=VECTOR('',#175272,10.); #43392=VECTOR('',#175273,10.); #43393=VECTOR('',#175276,10.); #43394=VECTOR('',#175277,10.); #43395=VECTOR('',#175278,10.); #43396=VECTOR('',#175281,10.); #43397=VECTOR('',#175282,10.); #43398=VECTOR('',#175283,10.); #43399=VECTOR('',#175286,10.); #43400=VECTOR('',#175287,10.); #43401=VECTOR('',#175288,10.); #43402=VECTOR('',#175291,10.); #43403=VECTOR('',#175292,10.); #43404=VECTOR('',#175293,10.); #43405=VECTOR('',#175296,10.); #43406=VECTOR('',#175297,10.); #43407=VECTOR('',#175298,10.); #43408=VECTOR('',#175301,10.); #43409=VECTOR('',#175302,10.); #43410=VECTOR('',#175303,10.); #43411=VECTOR('',#175306,10.); #43412=VECTOR('',#175307,10.); #43413=VECTOR('',#175308,10.); #43414=VECTOR('',#175313,10.); #43415=VECTOR('',#175318,10.); #43416=VECTOR('',#175319,10.); #43417=VECTOR('',#175320,10.); #43418=VECTOR('',#175323,10.); #43419=VECTOR('',#175324,10.); #43420=VECTOR('',#175329,10.); #43421=VECTOR('',#175330,10.); #43422=VECTOR('',#175331,10.); #43423=VECTOR('',#175332,10.); #43424=VECTOR('',#175335,10.); #43425=VECTOR('',#175336,10.); #43426=VECTOR('',#175337,10.); #43427=VECTOR('',#175340,10.); #43428=VECTOR('',#175341,10.); #43429=VECTOR('',#175342,10.); #43430=VECTOR('',#175345,10.); #43431=VECTOR('',#175346,10.); #43432=VECTOR('',#175347,10.); #43433=VECTOR('',#175350,10.); #43434=VECTOR('',#175351,10.); #43435=VECTOR('',#175352,10.); #43436=VECTOR('',#175355,10.); #43437=VECTOR('',#175356,10.); #43438=VECTOR('',#175357,10.); #43439=VECTOR('',#175360,10.); #43440=VECTOR('',#175361,10.); #43441=VECTOR('',#175362,10.); #43442=VECTOR('',#175365,10.); #43443=VECTOR('',#175366,10.); #43444=VECTOR('',#175367,10.); #43445=VECTOR('',#175370,10.); #43446=VECTOR('',#175371,10.); #43447=VECTOR('',#175372,10.); #43448=VECTOR('',#175375,10.); #43449=VECTOR('',#175376,10.); #43450=VECTOR('',#175377,10.); #43451=VECTOR('',#175380,10.); #43452=VECTOR('',#175381,10.); #43453=VECTOR('',#175382,10.); #43454=VECTOR('',#175385,10.); #43455=VECTOR('',#175386,10.); #43456=VECTOR('',#175387,10.); #43457=VECTOR('',#175390,10.); #43458=VECTOR('',#175391,10.); #43459=VECTOR('',#175392,10.); #43460=VECTOR('',#175395,10.); #43461=VECTOR('',#175396,10.); #43462=VECTOR('',#175397,10.); #43463=VECTOR('',#175402,10.); #43464=VECTOR('',#175407,10.); #43465=VECTOR('',#175408,10.); #43466=VECTOR('',#175409,10.); #43467=VECTOR('',#175412,10.); #43468=VECTOR('',#175413,10.); #43469=VECTOR('',#175414,10.); #43470=VECTOR('',#175417,10.); #43471=VECTOR('',#175418,10.); #43472=VECTOR('',#175419,10.); #43473=VECTOR('',#175422,10.); #43474=VECTOR('',#175423,10.); #43475=VECTOR('',#175424,10.); #43476=VECTOR('',#175427,10.); #43477=VECTOR('',#175428,10.); #43478=VECTOR('',#175429,10.); #43479=VECTOR('',#175432,10.); #43480=VECTOR('',#175433,10.); #43481=VECTOR('',#175434,10.); #43482=VECTOR('',#175437,10.); #43483=VECTOR('',#175438,10.); #43484=VECTOR('',#175439,10.); #43485=VECTOR('',#175442,10.); #43486=VECTOR('',#175443,10.); #43487=VECTOR('',#175444,10.); #43488=VECTOR('',#175447,10.); #43489=VECTOR('',#175448,10.); #43490=VECTOR('',#175449,10.); #43491=VECTOR('',#175452,10.); #43492=VECTOR('',#175453,10.); #43493=VECTOR('',#175454,10.); #43494=VECTOR('',#175457,10.); #43495=VECTOR('',#175458,10.); #43496=VECTOR('',#175459,10.); #43497=VECTOR('',#175462,10.); #43498=VECTOR('',#175463,10.); #43499=VECTOR('',#175464,10.); #43500=VECTOR('',#175469,10.); #43501=VECTOR('',#175474,10.); #43502=VECTOR('',#175475,10.); #43503=VECTOR('',#175476,10.); #43504=VECTOR('',#175479,10.); #43505=VECTOR('',#175480,10.); #43506=VECTOR('',#175481,10.); #43507=VECTOR('',#175484,10.); #43508=VECTOR('',#175485,10.); #43509=VECTOR('',#175486,10.); #43510=VECTOR('',#175489,10.); #43511=VECTOR('',#175490,10.); #43512=VECTOR('',#175491,10.); #43513=VECTOR('',#175494,10.); #43514=VECTOR('',#175495,10.); #43515=VECTOR('',#175496,10.); #43516=VECTOR('',#175499,10.); #43517=VECTOR('',#175500,10.); #43518=VECTOR('',#175501,10.); #43519=VECTOR('',#175504,10.); #43520=VECTOR('',#175505,10.); #43521=VECTOR('',#175506,10.); #43522=VECTOR('',#175509,10.); #43523=VECTOR('',#175510,10.); #43524=VECTOR('',#175511,10.); #43525=VECTOR('',#175514,10.); #43526=VECTOR('',#175515,10.); #43527=VECTOR('',#175516,10.); #43528=VECTOR('',#175519,10.); #43529=VECTOR('',#175520,10.); #43530=VECTOR('',#175521,10.); #43531=VECTOR('',#175524,10.); #43532=VECTOR('',#175525,10.); #43533=VECTOR('',#175526,10.); #43534=VECTOR('',#175531,10.); #43535=VECTOR('',#175536,10.); #43536=VECTOR('',#175537,10.); #43537=VECTOR('',#175538,10.); #43538=VECTOR('',#175543,10.); #43539=VECTOR('',#175548,10.); #43540=VECTOR('',#175549,10.); #43541=VECTOR('',#175550,10.); #43542=VECTOR('',#175553,10.); #43543=VECTOR('',#175554,10.); #43544=VECTOR('',#175555,10.); #43545=VECTOR('',#175558,10.); #43546=VECTOR('',#175559,10.); #43547=VECTOR('',#175560,10.); #43548=VECTOR('',#175563,10.); #43549=VECTOR('',#175564,10.); #43550=VECTOR('',#175565,10.); #43551=VECTOR('',#175568,10.); #43552=VECTOR('',#175569,10.); #43553=VECTOR('',#175570,10.); #43554=VECTOR('',#175575,10.); #43555=VECTOR('',#175580,10.); #43556=VECTOR('',#175581,10.); #43557=VECTOR('',#175582,10.); #43558=VECTOR('',#175585,10.); #43559=VECTOR('',#175586,10.); #43560=VECTOR('',#175587,10.); #43561=VECTOR('',#175590,10.); #43562=VECTOR('',#175591,10.); #43563=VECTOR('',#175592,10.); #43564=VECTOR('',#175595,10.); #43565=VECTOR('',#175596,10.); #43566=VECTOR('',#175597,10.); #43567=VECTOR('',#175600,10.); #43568=VECTOR('',#175601,10.); #43569=VECTOR('',#175602,10.); #43570=VECTOR('',#175605,10.); #43571=VECTOR('',#175606,10.); #43572=VECTOR('',#175607,10.); #43573=VECTOR('',#175610,10.); #43574=VECTOR('',#175611,10.); #43575=VECTOR('',#175612,10.); #43576=VECTOR('',#175615,10.); #43577=VECTOR('',#175616,10.); #43578=VECTOR('',#175617,10.); #43579=VECTOR('',#175620,10.); #43580=VECTOR('',#175621,10.); #43581=VECTOR('',#175622,10.); #43582=VECTOR('',#175625,10.); #43583=VECTOR('',#175626,10.); #43584=VECTOR('',#175627,10.); #43585=VECTOR('',#175630,10.); #43586=VECTOR('',#175631,10.); #43587=VECTOR('',#175632,10.); #43588=VECTOR('',#175635,10.); #43589=VECTOR('',#175636,10.); #43590=VECTOR('',#175637,10.); #43591=VECTOR('',#175640,10.); #43592=VECTOR('',#175641,10.); #43593=VECTOR('',#175642,10.); #43594=VECTOR('',#175645,10.); #43595=VECTOR('',#175646,10.); #43596=VECTOR('',#175647,10.); #43597=VECTOR('',#175650,10.); #43598=VECTOR('',#175651,10.); #43599=VECTOR('',#175652,10.); #43600=VECTOR('',#175655,10.); #43601=VECTOR('',#175656,10.); #43602=VECTOR('',#175657,10.); #43603=VECTOR('',#175660,10.); #43604=VECTOR('',#175661,10.); #43605=VECTOR('',#175662,10.); #43606=VECTOR('',#175665,10.); #43607=VECTOR('',#175666,10.); #43608=VECTOR('',#175667,10.); #43609=VECTOR('',#175670,10.); #43610=VECTOR('',#175671,10.); #43611=VECTOR('',#175672,10.); #43612=VECTOR('',#175675,10.); #43613=VECTOR('',#175676,10.); #43614=VECTOR('',#175677,10.); #43615=VECTOR('',#175680,10.); #43616=VECTOR('',#175681,10.); #43617=VECTOR('',#175682,10.); #43618=VECTOR('',#175685,10.); #43619=VECTOR('',#175686,10.); #43620=VECTOR('',#175687,10.); #43621=VECTOR('',#175690,10.); #43622=VECTOR('',#175691,10.); #43623=VECTOR('',#175692,10.); #43624=VECTOR('',#175695,10.); #43625=VECTOR('',#175696,10.); #43626=VECTOR('',#175697,10.); #43627=VECTOR('',#175700,10.); #43628=VECTOR('',#175701,10.); #43629=VECTOR('',#175702,10.); #43630=VECTOR('',#175705,10.); #43631=VECTOR('',#175706,10.); #43632=VECTOR('',#175707,10.); #43633=VECTOR('',#175712,10.); #43634=VECTOR('',#175717,10.); #43635=VECTOR('',#175718,10.); #43636=VECTOR('',#175719,10.); #43637=VECTOR('',#175724,10.); #43638=VECTOR('',#175729,10.); #43639=VECTOR('',#175730,10.); #43640=VECTOR('',#175731,10.); #43641=VECTOR('',#175734,10.); #43642=VECTOR('',#175735,10.); #43643=VECTOR('',#175736,10.); #43644=VECTOR('',#175739,10.); #43645=VECTOR('',#175740,10.); #43646=VECTOR('',#175741,10.); #43647=VECTOR('',#175744,10.); #43648=VECTOR('',#175745,10.); #43649=VECTOR('',#175746,10.); #43650=VECTOR('',#175749,10.); #43651=VECTOR('',#175750,10.); #43652=VECTOR('',#175751,10.); #43653=VECTOR('',#175754,10.); #43654=VECTOR('',#175755,10.); #43655=VECTOR('',#175756,10.); #43656=VECTOR('',#175759,10.); #43657=VECTOR('',#175760,10.); #43658=VECTOR('',#175761,10.); #43659=VECTOR('',#175764,10.); #43660=VECTOR('',#175765,10.); #43661=VECTOR('',#175766,10.); #43662=VECTOR('',#175769,10.); #43663=VECTOR('',#175770,10.); #43664=VECTOR('',#175771,10.); #43665=VECTOR('',#175774,10.); #43666=VECTOR('',#175775,10.); #43667=VECTOR('',#175776,10.); #43668=VECTOR('',#175779,10.); #43669=VECTOR('',#175780,10.); #43670=VECTOR('',#175781,10.); #43671=VECTOR('',#175784,10.); #43672=VECTOR('',#175785,10.); #43673=VECTOR('',#175786,10.); #43674=VECTOR('',#175789,10.); #43675=VECTOR('',#175790,10.); #43676=VECTOR('',#175791,10.); #43677=VECTOR('',#175794,10.); #43678=VECTOR('',#175795,10.); #43679=VECTOR('',#175796,10.); #43680=VECTOR('',#175799,10.); #43681=VECTOR('',#175800,10.); #43682=VECTOR('',#175801,10.); #43683=VECTOR('',#175804,10.); #43684=VECTOR('',#175805,10.); #43685=VECTOR('',#175806,10.); #43686=VECTOR('',#175809,10.); #43687=VECTOR('',#175810,10.); #43688=VECTOR('',#175811,10.); #43689=VECTOR('',#175814,10.); #43690=VECTOR('',#175815,10.); #43691=VECTOR('',#175816,10.); #43692=VECTOR('',#175819,10.); #43693=VECTOR('',#175820,10.); #43694=VECTOR('',#175821,10.); #43695=VECTOR('',#175824,10.); #43696=VECTOR('',#175825,10.); #43697=VECTOR('',#175826,10.); #43698=VECTOR('',#175829,10.); #43699=VECTOR('',#175830,10.); #43700=VECTOR('',#175831,10.); #43701=VECTOR('',#175834,10.); #43702=VECTOR('',#175835,10.); #43703=VECTOR('',#175836,10.); #43704=VECTOR('',#175839,10.); #43705=VECTOR('',#175840,10.); #43706=VECTOR('',#175841,10.); #43707=VECTOR('',#175844,10.); #43708=VECTOR('',#175845,10.); #43709=VECTOR('',#175846,10.); #43710=VECTOR('',#175851,10.); #43711=VECTOR('',#175856,10.); #43712=VECTOR('',#175857,10.); #43713=VECTOR('',#175858,10.); #43714=VECTOR('',#175861,10.); #43715=VECTOR('',#175862,10.); #43716=VECTOR('',#175863,10.); #43717=VECTOR('',#175866,10.); #43718=VECTOR('',#175867,10.); #43719=VECTOR('',#175868,10.); #43720=VECTOR('',#175871,10.); #43721=VECTOR('',#175872,10.); #43722=VECTOR('',#175873,10.); #43723=VECTOR('',#175876,10.); #43724=VECTOR('',#175877,10.); #43725=VECTOR('',#175878,10.); #43726=VECTOR('',#175883,10.); #43727=VECTOR('',#175890,10.); #43728=VECTOR('',#175895,10.); #43729=VECTOR('',#175896,10.); #43730=VECTOR('',#175897,10.); #43731=VECTOR('',#175900,10.); #43732=VECTOR('',#175901,10.); #43733=VECTOR('',#175902,10.); #43734=VECTOR('',#175905,10.); #43735=VECTOR('',#175906,10.); #43736=VECTOR('',#175907,10.); #43737=VECTOR('',#175910,10.); #43738=VECTOR('',#175911,10.); #43739=VECTOR('',#175912,10.); #43740=VECTOR('',#175915,10.); #43741=VECTOR('',#175916,10.); #43742=VECTOR('',#175917,10.); #43743=VECTOR('',#175920,10.); #43744=VECTOR('',#175921,10.); #43745=VECTOR('',#175922,10.); #43746=VECTOR('',#175925,10.); #43747=VECTOR('',#175926,10.); #43748=VECTOR('',#175927,10.); #43749=VECTOR('',#175930,10.); #43750=VECTOR('',#175931,10.); #43751=VECTOR('',#175932,10.); #43752=VECTOR('',#175935,10.); #43753=VECTOR('',#175936,10.); #43754=VECTOR('',#175937,10.); #43755=VECTOR('',#175940,10.); #43756=VECTOR('',#175941,10.); #43757=VECTOR('',#175942,10.); #43758=VECTOR('',#175945,10.); #43759=VECTOR('',#175946,10.); #43760=VECTOR('',#175947,10.); #43761=VECTOR('',#175950,10.); #43762=VECTOR('',#175951,10.); #43763=VECTOR('',#175952,10.); #43764=VECTOR('',#175955,10.); #43765=VECTOR('',#175956,10.); #43766=VECTOR('',#175957,10.); #43767=VECTOR('',#175960,10.); #43768=VECTOR('',#175961,10.); #43769=VECTOR('',#175962,10.); #43770=VECTOR('',#175965,10.); #43771=VECTOR('',#175966,10.); #43772=VECTOR('',#175967,10.); #43773=VECTOR('',#175970,10.); #43774=VECTOR('',#175971,10.); #43775=VECTOR('',#175972,10.); #43776=VECTOR('',#175975,10.); #43777=VECTOR('',#175976,10.); #43778=VECTOR('',#175977,10.); #43779=VECTOR('',#175980,10.); #43780=VECTOR('',#175981,10.); #43781=VECTOR('',#175982,10.); #43782=VECTOR('',#175985,10.); #43783=VECTOR('',#175986,10.); #43784=VECTOR('',#175987,10.); #43785=VECTOR('',#175990,10.); #43786=VECTOR('',#175991,10.); #43787=VECTOR('',#175992,10.); #43788=VECTOR('',#175995,10.); #43789=VECTOR('',#175996,10.); #43790=VECTOR('',#175997,10.); #43791=VECTOR('',#176000,10.); #43792=VECTOR('',#176001,10.); #43793=VECTOR('',#176002,10.); #43794=VECTOR('',#176005,10.); #43795=VECTOR('',#176006,10.); #43796=VECTOR('',#176007,10.); #43797=VECTOR('',#176010,10.); #43798=VECTOR('',#176011,10.); #43799=VECTOR('',#176012,10.); #43800=VECTOR('',#176015,10.); #43801=VECTOR('',#176016,10.); #43802=VECTOR('',#176017,10.); #43803=VECTOR('',#176020,10.); #43804=VECTOR('',#176021,10.); #43805=VECTOR('',#176022,10.); #43806=VECTOR('',#176025,10.); #43807=VECTOR('',#176026,10.); #43808=VECTOR('',#176027,10.); #43809=VECTOR('',#176032,10.); #43810=VECTOR('',#176037,10.); #43811=VECTOR('',#176038,10.); #43812=VECTOR('',#176039,10.); #43813=VECTOR('',#176044,10.); #43814=VECTOR('',#176051,10.); #43815=VECTOR('',#176058,10.); #43816=VECTOR('',#176063,10.); #43817=VECTOR('',#176064,10.); #43818=VECTOR('',#176065,10.); #43819=VECTOR('',#176068,10.); #43820=VECTOR('',#176069,10.); #43821=VECTOR('',#176070,10.); #43822=VECTOR('',#176073,10.); #43823=VECTOR('',#176074,10.); #43824=VECTOR('',#176075,10.); #43825=VECTOR('',#176078,10.); #43826=VECTOR('',#176079,10.); #43827=VECTOR('',#176080,10.); #43828=VECTOR('',#176083,10.); #43829=VECTOR('',#176084,10.); #43830=VECTOR('',#176085,10.); #43831=VECTOR('',#176088,10.); #43832=VECTOR('',#176089,10.); #43833=VECTOR('',#176090,10.); #43834=VECTOR('',#176093,10.); #43835=VECTOR('',#176094,10.); #43836=VECTOR('',#176095,10.); #43837=VECTOR('',#176100,10.); #43838=VECTOR('',#176105,10.); #43839=VECTOR('',#176106,10.); #43840=VECTOR('',#176107,10.); #43841=VECTOR('',#176110,10.); #43842=VECTOR('',#176111,10.); #43843=VECTOR('',#176112,10.); #43844=VECTOR('',#176115,10.); #43845=VECTOR('',#176116,10.); #43846=VECTOR('',#176117,10.); #43847=VECTOR('',#176120,10.); #43848=VECTOR('',#176121,10.); #43849=VECTOR('',#176122,10.); #43850=VECTOR('',#176125,10.); #43851=VECTOR('',#176126,10.); #43852=VECTOR('',#176127,10.); #43853=VECTOR('',#176130,10.); #43854=VECTOR('',#176131,10.); #43855=VECTOR('',#176132,10.); #43856=VECTOR('',#176135,10.); #43857=VECTOR('',#176136,10.); #43858=VECTOR('',#176137,10.); #43859=VECTOR('',#176140,10.); #43860=VECTOR('',#176141,10.); #43861=VECTOR('',#176142,10.); #43862=VECTOR('',#176145,10.); #43863=VECTOR('',#176146,10.); #43864=VECTOR('',#176147,10.); #43865=VECTOR('',#176150,10.); #43866=VECTOR('',#176151,10.); #43867=VECTOR('',#176152,10.); #43868=VECTOR('',#176155,10.); #43869=VECTOR('',#176156,10.); #43870=VECTOR('',#176157,10.); #43871=VECTOR('',#176160,10.); #43872=VECTOR('',#176161,10.); #43873=VECTOR('',#176162,10.); #43874=VECTOR('',#176165,10.); #43875=VECTOR('',#176166,10.); #43876=VECTOR('',#176167,10.); #43877=VECTOR('',#176170,10.); #43878=VECTOR('',#176171,10.); #43879=VECTOR('',#176172,10.); #43880=VECTOR('',#176177,10.); #43881=VECTOR('',#176182,10.); #43882=VECTOR('',#176183,10.); #43883=VECTOR('',#176184,10.); #43884=VECTOR('',#176187,10.); #43885=VECTOR('',#176188,10.); #43886=VECTOR('',#176189,10.); #43887=VECTOR('',#176192,10.); #43888=VECTOR('',#176193,10.); #43889=VECTOR('',#176194,10.); #43890=VECTOR('',#176199,10.); #43891=VECTOR('',#176206,10.); #43892=VECTOR('',#176211,10.); #43893=VECTOR('',#176212,10.); #43894=VECTOR('',#176213,10.); #43895=VECTOR('',#176216,10.); #43896=VECTOR('',#176217,10.); #43897=VECTOR('',#176218,10.); #43898=VECTOR('',#176221,10.); #43899=VECTOR('',#176222,10.); #43900=VECTOR('',#176223,10.); #43901=VECTOR('',#176226,10.); #43902=VECTOR('',#176227,10.); #43903=VECTOR('',#176228,10.); #43904=VECTOR('',#176231,10.); #43905=VECTOR('',#176232,10.); #43906=VECTOR('',#176233,10.); #43907=VECTOR('',#176238,10.); #43908=VECTOR('',#176243,10.); #43909=VECTOR('',#176244,10.); #43910=VECTOR('',#176245,10.); #43911=VECTOR('',#176248,10.); #43912=VECTOR('',#176249,10.); #43913=VECTOR('',#176250,10.); #43914=VECTOR('',#176253,10.); #43915=VECTOR('',#176254,10.); #43916=VECTOR('',#176255,10.); #43917=VECTOR('',#176258,10.); #43918=VECTOR('',#176259,10.); #43919=VECTOR('',#176266,10.); #43920=VECTOR('',#176269,10.); #43921=VECTOR('',#176274,10.); #43922=VECTOR('',#176281,10.); #43923=VECTOR('',#176288,10.); #43924=VECTOR('',#176293,10.); #43925=VECTOR('',#176294,10.); #43926=VECTOR('',#176295,10.); #43927=VECTOR('',#176300,10.); #43928=VECTOR('',#176307,10.); #43929=VECTOR('',#176314,10.); #43930=VECTOR('',#176321,10.); #43931=VECTOR('',#176328,10.); #43932=VECTOR('',#176333,10.); #43933=VECTOR('',#176334,10.); #43934=VECTOR('',#176335,10.); #43935=VECTOR('',#176340,10.); #43936=VECTOR('',#176345,10.); #43937=VECTOR('',#176346,10.); #43938=VECTOR('',#176347,10.); #43939=VECTOR('',#176350,10.); #43940=VECTOR('',#176351,10.); #43941=VECTOR('',#176352,10.); #43942=VECTOR('',#176355,10.); #43943=VECTOR('',#176356,10.); #43944=VECTOR('',#176357,10.); #43945=VECTOR('',#176360,10.); #43946=VECTOR('',#176361,10.); #43947=VECTOR('',#176362,10.); #43948=VECTOR('',#176365,10.); #43949=VECTOR('',#176366,10.); #43950=VECTOR('',#176367,10.); #43951=VECTOR('',#176370,10.); #43952=VECTOR('',#176371,10.); #43953=VECTOR('',#176372,10.); #43954=VECTOR('',#176375,10.); #43955=VECTOR('',#176376,10.); #43956=VECTOR('',#176377,10.); #43957=VECTOR('',#176380,10.); #43958=VECTOR('',#176381,10.); #43959=VECTOR('',#176382,10.); #43960=VECTOR('',#176385,10.); #43961=VECTOR('',#176386,10.); #43962=VECTOR('',#176387,10.); #43963=VECTOR('',#176390,10.); #43964=VECTOR('',#176391,10.); #43965=VECTOR('',#176392,10.); #43966=VECTOR('',#176395,10.); #43967=VECTOR('',#176396,10.); #43968=VECTOR('',#176397,10.); #43969=VECTOR('',#176400,10.); #43970=VECTOR('',#176401,10.); #43971=VECTOR('',#176402,10.); #43972=VECTOR('',#176405,10.); #43973=VECTOR('',#176406,10.); #43974=VECTOR('',#176407,10.); #43975=VECTOR('',#176410,10.); #43976=VECTOR('',#176411,10.); #43977=VECTOR('',#176412,10.); #43978=VECTOR('',#176415,10.); #43979=VECTOR('',#176416,10.); #43980=VECTOR('',#176417,10.); #43981=VECTOR('',#176420,10.); #43982=VECTOR('',#176421,10.); #43983=VECTOR('',#176422,10.); #43984=VECTOR('',#176425,10.); #43985=VECTOR('',#176426,10.); #43986=VECTOR('',#176427,10.); #43987=VECTOR('',#176430,10.); #43988=VECTOR('',#176431,10.); #43989=VECTOR('',#176432,10.); #43990=VECTOR('',#176435,10.); #43991=VECTOR('',#176436,10.); #43992=VECTOR('',#176437,10.); #43993=VECTOR('',#176440,10.); #43994=VECTOR('',#176441,10.); #43995=VECTOR('',#176442,10.); #43996=VECTOR('',#176445,10.); #43997=VECTOR('',#176446,10.); #43998=VECTOR('',#176447,10.); #43999=VECTOR('',#176450,10.); #44000=VECTOR('',#176451,10.); #44001=VECTOR('',#176452,10.); #44002=VECTOR('',#176455,10.); #44003=VECTOR('',#176456,10.); #44004=VECTOR('',#176457,10.); #44005=VECTOR('',#176460,10.); #44006=VECTOR('',#176461,10.); #44007=VECTOR('',#176462,10.); #44008=VECTOR('',#176467,10.); #44009=VECTOR('',#176472,10.); #44010=VECTOR('',#176473,10.); #44011=VECTOR('',#176474,10.); #44012=VECTOR('',#176477,10.); #44013=VECTOR('',#176478,10.); #44014=VECTOR('',#176479,10.); #44015=VECTOR('',#176482,10.); #44016=VECTOR('',#176483,10.); #44017=VECTOR('',#176484,10.); #44018=VECTOR('',#176487,10.); #44019=VECTOR('',#176488,10.); #44020=VECTOR('',#176489,10.); #44021=VECTOR('',#176492,10.); #44022=VECTOR('',#176493,10.); #44023=VECTOR('',#176494,10.); #44024=VECTOR('',#176497,10.); #44025=VECTOR('',#176498,10.); #44026=VECTOR('',#176499,10.); #44027=VECTOR('',#176502,10.); #44028=VECTOR('',#176503,10.); #44029=VECTOR('',#176504,10.); #44030=VECTOR('',#176507,10.); #44031=VECTOR('',#176508,10.); #44032=VECTOR('',#176509,10.); #44033=VECTOR('',#176512,10.); #44034=VECTOR('',#176513,10.); #44035=VECTOR('',#176514,10.); #44036=VECTOR('',#176517,10.); #44037=VECTOR('',#176518,10.); #44038=VECTOR('',#176519,10.); #44039=VECTOR('',#176522,10.); #44040=VECTOR('',#176523,10.); #44041=VECTOR('',#176524,10.); #44042=VECTOR('',#176527,10.); #44043=VECTOR('',#176528,10.); #44044=VECTOR('',#176529,10.); #44045=VECTOR('',#176532,10.); #44046=VECTOR('',#176533,10.); #44047=VECTOR('',#176534,10.); #44048=VECTOR('',#176537,10.); #44049=VECTOR('',#176538,10.); #44050=VECTOR('',#176539,10.); #44051=VECTOR('',#176542,10.); #44052=VECTOR('',#176543,10.); #44053=VECTOR('',#176544,10.); #44054=VECTOR('',#176547,10.); #44055=VECTOR('',#176548,10.); #44056=VECTOR('',#176549,10.); #44057=VECTOR('',#176552,10.); #44058=VECTOR('',#176553,10.); #44059=VECTOR('',#176554,10.); #44060=VECTOR('',#176557,10.); #44061=VECTOR('',#176558,10.); #44062=VECTOR('',#176559,10.); #44063=VECTOR('',#176562,10.); #44064=VECTOR('',#176563,10.); #44065=VECTOR('',#176564,10.); #44066=VECTOR('',#176567,10.); #44067=VECTOR('',#176568,10.); #44068=VECTOR('',#176569,10.); #44069=VECTOR('',#176572,10.); #44070=VECTOR('',#176573,10.); #44071=VECTOR('',#176574,10.); #44072=VECTOR('',#176577,10.); #44073=VECTOR('',#176578,10.); #44074=VECTOR('',#176579,10.); #44075=VECTOR('',#176582,10.); #44076=VECTOR('',#176583,10.); #44077=VECTOR('',#176584,10.); #44078=VECTOR('',#176587,10.); #44079=VECTOR('',#176588,10.); #44080=VECTOR('',#176589,10.); #44081=VECTOR('',#176592,10.); #44082=VECTOR('',#176593,10.); #44083=VECTOR('',#176594,10.); #44084=VECTOR('',#176599,10.); #44085=VECTOR('',#176604,10.); #44086=VECTOR('',#176605,10.); #44087=VECTOR('',#176606,10.); #44088=VECTOR('',#176611,10.); #44089=VECTOR('',#176618,10.); #44090=VECTOR('',#176625,10.); #44091=VECTOR('',#176630,10.); #44092=VECTOR('',#176631,10.); #44093=VECTOR('',#176632,10.); #44094=VECTOR('',#176637,10.); #44095=VECTOR('',#176644,10.); #44096=VECTOR('',#176651,10.); #44097=VECTOR('',#176658,10.); #44098=VECTOR('',#176663,10.); #44099=VECTOR('',#176664,10.); #44100=VECTOR('',#176665,10.); #44101=VECTOR('',#176670,10.); #44102=VECTOR('',#176675,10.); #44103=VECTOR('',#176676,10.); #44104=VECTOR('',#176677,10.); #44105=VECTOR('',#176680,10.); #44106=VECTOR('',#176681,10.); #44107=VECTOR('',#176682,10.); #44108=VECTOR('',#176685,10.); #44109=VECTOR('',#176686,10.); #44110=VECTOR('',#176687,10.); #44111=VECTOR('',#176690,10.); #44112=VECTOR('',#176691,10.); #44113=VECTOR('',#176692,10.); #44114=VECTOR('',#176695,10.); #44115=VECTOR('',#176696,10.); #44116=VECTOR('',#176697,10.); #44117=VECTOR('',#176700,10.); #44118=VECTOR('',#176701,10.); #44119=VECTOR('',#176702,10.); #44120=VECTOR('',#176705,10.); #44121=VECTOR('',#176706,10.); #44122=VECTOR('',#176707,10.); #44123=VECTOR('',#176710,10.); #44124=VECTOR('',#176711,10.); #44125=VECTOR('',#176712,10.); #44126=VECTOR('',#176715,10.); #44127=VECTOR('',#176716,10.); #44128=VECTOR('',#176717,10.); #44129=VECTOR('',#176720,10.); #44130=VECTOR('',#176721,10.); #44131=VECTOR('',#176722,10.); #44132=VECTOR('',#176725,10.); #44133=VECTOR('',#176726,10.); #44134=VECTOR('',#176727,10.); #44135=VECTOR('',#176730,10.); #44136=VECTOR('',#176731,10.); #44137=VECTOR('',#176732,10.); #44138=VECTOR('',#176735,10.); #44139=VECTOR('',#176736,10.); #44140=VECTOR('',#176737,10.); #44141=VECTOR('',#176740,10.); #44142=VECTOR('',#176741,10.); #44143=VECTOR('',#176742,10.); #44144=VECTOR('',#176745,10.); #44145=VECTOR('',#176746,10.); #44146=VECTOR('',#176747,10.); #44147=VECTOR('',#176750,10.); #44148=VECTOR('',#176751,10.); #44149=VECTOR('',#176752,10.); #44150=VECTOR('',#176755,10.); #44151=VECTOR('',#176756,10.); #44152=VECTOR('',#176757,10.); #44153=VECTOR('',#176760,10.); #44154=VECTOR('',#176761,10.); #44155=VECTOR('',#176762,10.); #44156=VECTOR('',#176765,10.); #44157=VECTOR('',#176766,10.); #44158=VECTOR('',#176767,10.); #44159=VECTOR('',#176770,10.); #44160=VECTOR('',#176771,10.); #44161=VECTOR('',#176772,10.); #44162=VECTOR('',#176775,10.); #44163=VECTOR('',#176776,10.); #44164=VECTOR('',#176777,10.); #44165=VECTOR('',#176780,10.); #44166=VECTOR('',#176781,10.); #44167=VECTOR('',#176782,10.); #44168=VECTOR('',#176785,10.); #44169=VECTOR('',#176786,10.); #44170=VECTOR('',#176787,10.); #44171=VECTOR('',#176792,10.); #44172=VECTOR('',#176797,10.); #44173=VECTOR('',#176798,10.); #44174=VECTOR('',#176799,10.); #44175=VECTOR('',#176802,10.); #44176=VECTOR('',#176803,10.); #44177=VECTOR('',#176804,10.); #44178=VECTOR('',#176807,10.); #44179=VECTOR('',#176808,10.); #44180=VECTOR('',#176809,10.); #44181=VECTOR('',#176812,10.); #44182=VECTOR('',#176813,10.); #44183=VECTOR('',#176814,10.); #44184=VECTOR('',#176817,10.); #44185=VECTOR('',#176818,10.); #44186=VECTOR('',#176819,10.); #44187=VECTOR('',#176822,10.); #44188=VECTOR('',#176823,10.); #44189=VECTOR('',#176824,10.); #44190=VECTOR('',#176827,10.); #44191=VECTOR('',#176828,10.); #44192=VECTOR('',#176829,10.); #44193=VECTOR('',#176832,10.); #44194=VECTOR('',#176833,10.); #44195=VECTOR('',#176834,10.); #44196=VECTOR('',#176837,10.); #44197=VECTOR('',#176838,10.); #44198=VECTOR('',#176839,10.); #44199=VECTOR('',#176842,10.); #44200=VECTOR('',#176843,10.); #44201=VECTOR('',#176844,10.); #44202=VECTOR('',#176847,10.); #44203=VECTOR('',#176848,10.); #44204=VECTOR('',#176849,10.); #44205=VECTOR('',#176852,10.); #44206=VECTOR('',#176853,10.); #44207=VECTOR('',#176854,10.); #44208=VECTOR('',#176857,10.); #44209=VECTOR('',#176858,10.); #44210=VECTOR('',#176859,10.); #44211=VECTOR('',#176862,10.); #44212=VECTOR('',#176863,10.); #44213=VECTOR('',#176864,10.); #44214=VECTOR('',#176867,10.); #44215=VECTOR('',#176868,10.); #44216=VECTOR('',#176869,10.); #44217=VECTOR('',#176872,10.); #44218=VECTOR('',#176873,10.); #44219=VECTOR('',#176874,10.); #44220=VECTOR('',#176877,10.); #44221=VECTOR('',#176878,10.); #44222=VECTOR('',#176879,10.); #44223=VECTOR('',#176882,10.); #44224=VECTOR('',#176883,10.); #44225=VECTOR('',#176884,10.); #44226=VECTOR('',#176887,10.); #44227=VECTOR('',#176888,10.); #44228=VECTOR('',#176889,10.); #44229=VECTOR('',#176892,10.); #44230=VECTOR('',#176893,10.); #44231=VECTOR('',#176894,10.); #44232=VECTOR('',#176897,10.); #44233=VECTOR('',#176898,10.); #44234=VECTOR('',#176899,10.); #44235=VECTOR('',#176902,10.); #44236=VECTOR('',#176903,10.); #44237=VECTOR('',#176904,10.); #44238=VECTOR('',#176907,10.); #44239=VECTOR('',#176908,10.); #44240=VECTOR('',#176909,10.); #44241=VECTOR('',#176912,10.); #44242=VECTOR('',#176913,10.); #44243=VECTOR('',#176914,10.); #44244=VECTOR('',#176917,10.); #44245=VECTOR('',#176918,10.); #44246=VECTOR('',#176919,10.); #44247=VECTOR('',#176924,10.); #44248=VECTOR('',#176929,10.); #44249=VECTOR('',#176930,10.); #44250=VECTOR('',#176937,10.); #44251=VECTOR('',#176940,10.); #44252=VECTOR('',#176943,10.); #44253=VECTOR('',#176944,10.); #44254=VECTOR('',#176945,10.); #44255=VECTOR('',#176948,10.); #44256=VECTOR('',#176949,10.); #44257=VECTOR('',#176950,10.); #44258=VECTOR('',#176953,10.); #44259=VECTOR('',#176954,10.); #44260=VECTOR('',#176955,10.); #44261=VECTOR('',#176958,10.); #44262=VECTOR('',#176959,10.); #44263=VECTOR('',#176960,10.); #44264=VECTOR('',#176963,10.); #44265=VECTOR('',#176964,10.); #44266=VECTOR('',#176965,10.); #44267=VECTOR('',#176968,10.); #44268=VECTOR('',#176969,10.); #44269=VECTOR('',#176970,10.); #44270=VECTOR('',#176973,10.); #44271=VECTOR('',#176974,10.); #44272=VECTOR('',#176975,10.); #44273=VECTOR('',#176980,10.); #44274=VECTOR('',#176987,10.); #44275=VECTOR('',#176994,10.); #44276=VECTOR('',#177001,10.); #44277=VECTOR('',#177006,10.); #44278=VECTOR('',#177007,10.); #44279=VECTOR('',#177008,10.); #44280=VECTOR('',#177011,10.); #44281=VECTOR('',#177012,10.); #44282=VECTOR('',#177013,10.); #44283=VECTOR('',#177016,10.); #44284=VECTOR('',#177017,10.); #44285=VECTOR('',#177018,10.); #44286=VECTOR('',#177023,10.); #44287=VECTOR('',#177028,10.); #44288=VECTOR('',#177029,10.); #44289=VECTOR('',#177030,10.); #44290=VECTOR('',#177035,10.); #44291=VECTOR('',#177042,10.); #44292=VECTOR('',#177047,10.); #44293=VECTOR('',#177048,10.); #44294=VECTOR('',#177049,10.); #44295=VECTOR('',#177054,10.); #44296=VECTOR('',#177059,10.); #44297=VECTOR('',#177060,10.); #44298=VECTOR('',#177061,10.); #44299=VECTOR('',#177066,10.); #44300=VECTOR('',#177073,10.); #44301=VECTOR('',#177078,10.); #44302=VECTOR('',#177079,10.); #44303=VECTOR('',#177080,10.); #44304=VECTOR('',#177083,10.); #44305=VECTOR('',#177084,10.); #44306=VECTOR('',#177085,10.); #44307=VECTOR('',#177090,10.); #44308=VECTOR('',#177095,10.); #44309=VECTOR('',#177096,10.); #44310=VECTOR('',#177097,10.); #44311=VECTOR('',#177100,10.); #44312=VECTOR('',#177101,10.); #44313=VECTOR('',#177102,10.); #44314=VECTOR('',#177105,10.); #44315=VECTOR('',#177106,10.); #44316=VECTOR('',#177107,10.); #44317=VECTOR('',#177110,10.); #44318=VECTOR('',#177111,10.); #44319=VECTOR('',#177112,10.); #44320=VECTOR('',#177115,10.); #44321=VECTOR('',#177116,10.); #44322=VECTOR('',#177117,10.); #44323=VECTOR('',#177120,10.); #44324=VECTOR('',#177121,10.); #44325=VECTOR('',#177122,10.); #44326=VECTOR('',#177127,10.); #44327=VECTOR('',#177132,10.); #44328=VECTOR('',#177133,10.); #44329=VECTOR('',#177134,10.); #44330=VECTOR('',#177137,10.); #44331=VECTOR('',#177138,10.); #44332=VECTOR('',#177139,10.); #44333=VECTOR('',#177142,10.); #44334=VECTOR('',#177143,10.); #44335=VECTOR('',#177144,10.); #44336=VECTOR('',#177147,10.); #44337=VECTOR('',#177148,10.); #44338=VECTOR('',#177149,10.); #44339=VECTOR('',#177152,10.); #44340=VECTOR('',#177153,10.); #44341=VECTOR('',#177154,10.); #44342=VECTOR('',#177159,10.); #44343=VECTOR('',#177166,10.); #44344=VECTOR('',#177173,10.); #44345=VECTOR('',#177178,10.); #44346=VECTOR('',#177179,10.); #44347=VECTOR('',#177180,10.); #44348=VECTOR('',#177183,10.); #44349=VECTOR('',#177184,10.); #44350=VECTOR('',#177185,10.); #44351=VECTOR('',#177188,10.); #44352=VECTOR('',#177189,10.); #44353=VECTOR('',#177190,10.); #44354=VECTOR('',#177195,10.); #44355=VECTOR('',#177200,10.); #44356=VECTOR('',#177201,10.); #44357=VECTOR('',#177202,10.); #44358=VECTOR('',#177205,10.); #44359=VECTOR('',#177206,10.); #44360=VECTOR('',#177207,10.); #44361=VECTOR('',#177212,10.); #44362=VECTOR('',#177217,10.); #44363=VECTOR('',#177218,10.); #44364=VECTOR('',#177219,10.); #44365=VECTOR('',#177222,10.); #44366=VECTOR('',#177223,10.); #44367=VECTOR('',#177224,10.); #44368=VECTOR('',#177227,10.); #44369=VECTOR('',#177228,10.); #44370=VECTOR('',#177229,10.); #44371=VECTOR('',#177234,10.); #44372=VECTOR('',#177241,10.); #44373=VECTOR('',#177246,10.); #44374=VECTOR('',#177247,10.); #44375=VECTOR('',#177248,10.); #44376=VECTOR('',#177253,10.); #44377=VECTOR('',#177258,10.); #44378=VECTOR('',#177259,10.); #44379=VECTOR('',#177260,10.); #44380=VECTOR('',#177263,10.); #44381=VECTOR('',#177264,10.); #44382=VECTOR('',#177265,10.); #44383=VECTOR('',#177268,10.); #44384=VECTOR('',#177269,10.); #44385=VECTOR('',#177270,10.); #44386=VECTOR('',#177275,10.); #44387=VECTOR('',#177280,10.); #44388=VECTOR('',#177281,10.); #44389=VECTOR('',#177282,10.); #44390=VECTOR('',#177285,10.); #44391=VECTOR('',#177286,10.); #44392=VECTOR('',#177287,10.); #44393=VECTOR('',#177290,10.); #44394=VECTOR('',#177291,10.); #44395=VECTOR('',#177292,10.); #44396=VECTOR('',#177295,10.); #44397=VECTOR('',#177296,10.); #44398=VECTOR('',#177297,10.); #44399=VECTOR('',#177300,10.); #44400=VECTOR('',#177301,10.); #44401=VECTOR('',#177302,10.); #44402=VECTOR('',#177305,10.); #44403=VECTOR('',#177306,10.); #44404=VECTOR('',#177307,10.); #44405=VECTOR('',#177310,10.); #44406=VECTOR('',#177311,10.); #44407=VECTOR('',#177312,10.); #44408=VECTOR('',#177315,10.); #44409=VECTOR('',#177316,10.); #44410=VECTOR('',#177317,10.); #44411=VECTOR('',#177320,10.); #44412=VECTOR('',#177321,10.); #44413=VECTOR('',#177322,10.); #44414=VECTOR('',#177325,10.); #44415=VECTOR('',#177326,10.); #44416=VECTOR('',#177327,10.); #44417=VECTOR('',#177330,10.); #44418=VECTOR('',#177331,10.); #44419=VECTOR('',#177332,10.); #44420=VECTOR('',#177335,10.); #44421=VECTOR('',#177336,10.); #44422=VECTOR('',#177337,10.); #44423=VECTOR('',#177340,10.); #44424=VECTOR('',#177341,10.); #44425=VECTOR('',#177342,10.); #44426=VECTOR('',#177345,10.); #44427=VECTOR('',#177346,10.); #44428=VECTOR('',#177347,10.); #44429=VECTOR('',#177352,10.); #44430=VECTOR('',#177357,10.); #44431=VECTOR('',#177358,10.); #44432=VECTOR('',#177359,10.); #44433=VECTOR('',#177364,10.); #44434=VECTOR('',#177371,10.); #44435=VECTOR('',#177378,10.); #44436=VECTOR('',#177385,10.); #44437=VECTOR('',#177390,10.); #44438=VECTOR('',#177391,10.); #44439=VECTOR('',#177392,10.); #44440=VECTOR('',#177395,10.); #44441=VECTOR('',#177396,10.); #44442=VECTOR('',#177397,10.); #44443=VECTOR('',#177400,10.); #44444=VECTOR('',#177401,10.); #44445=VECTOR('',#177402,10.); #44446=VECTOR('',#177405,10.); #44447=VECTOR('',#177406,10.); #44448=VECTOR('',#177407,10.); #44449=VECTOR('',#177410,10.); #44450=VECTOR('',#177411,10.); #44451=VECTOR('',#177412,10.); #44452=VECTOR('',#177417,10.); #44453=VECTOR('',#177422,10.); #44454=VECTOR('',#177423,10.); #44455=VECTOR('',#177424,10.); #44456=VECTOR('',#177427,10.); #44457=VECTOR('',#177428,10.); #44458=VECTOR('',#177429,10.); #44459=VECTOR('',#177432,10.); #44460=VECTOR('',#177433,10.); #44461=VECTOR('',#177434,10.); #44462=VECTOR('',#177439,10.); #44463=VECTOR('',#177444,10.); #44464=VECTOR('',#177445,10.); #44465=VECTOR('',#177446,10.); #44466=VECTOR('',#177449,10.); #44467=VECTOR('',#177450,10.); #44468=VECTOR('',#177451,10.); #44469=VECTOR('',#177454,10.); #44470=VECTOR('',#177455,10.); #44471=VECTOR('',#177456,10.); #44472=VECTOR('',#177461,10.); #44473=VECTOR('',#177468,10.); #44474=VECTOR('',#177475,10.); #44475=VECTOR('',#177482,10.); #44476=VECTOR('',#177487,10.); #44477=VECTOR('',#177488,10.); #44478=VECTOR('',#177489,10.); #44479=VECTOR('',#177492,10.); #44480=VECTOR('',#177493,10.); #44481=VECTOR('',#177494,10.); #44482=VECTOR('',#177497,10.); #44483=VECTOR('',#177498,10.); #44484=VECTOR('',#177499,10.); #44485=VECTOR('',#177502,10.); #44486=VECTOR('',#177503,10.); #44487=VECTOR('',#177504,10.); #44488=VECTOR('',#177509,10.); #44489=VECTOR('',#177516,10.); #44490=VECTOR('',#177521,10.); #44491=VECTOR('',#177522,10.); #44492=VECTOR('',#177523,10.); #44493=VECTOR('',#177528,10.); #44494=VECTOR('',#177533,10.); #44495=VECTOR('',#177534,10.); #44496=VECTOR('',#177535,10.); #44497=VECTOR('',#177538,10.); #44498=VECTOR('',#177539,10.); #44499=VECTOR('',#177540,10.); #44500=VECTOR('',#177543,10.); #44501=VECTOR('',#177544,10.); #44502=VECTOR('',#177545,10.); #44503=VECTOR('',#177548,10.); #44504=VECTOR('',#177549,10.); #44505=VECTOR('',#177550,10.); #44506=VECTOR('',#177553,10.); #44507=VECTOR('',#177554,10.); #44508=VECTOR('',#177555,10.); #44509=VECTOR('',#177558,10.); #44510=VECTOR('',#177559,10.); #44511=VECTOR('',#177560,10.); #44512=VECTOR('',#177563,10.); #44513=VECTOR('',#177564,10.); #44514=VECTOR('',#177565,10.); #44515=VECTOR('',#177568,10.); #44516=VECTOR('',#177569,10.); #44517=VECTOR('',#177570,10.); #44518=VECTOR('',#177575,10.); #44519=VECTOR('',#177580,10.); #44520=VECTOR('',#177581,10.); #44521=VECTOR('',#177582,10.); #44522=VECTOR('',#177585,10.); #44523=VECTOR('',#177586,10.); #44524=VECTOR('',#177587,10.); #44525=VECTOR('',#177590,10.); #44526=VECTOR('',#177591,10.); #44527=VECTOR('',#177592,10.); #44528=VECTOR('',#177595,10.); #44529=VECTOR('',#177596,10.); #44530=VECTOR('',#177597,10.); #44531=VECTOR('',#177602,10.); #44532=VECTOR('',#177607,10.); #44533=VECTOR('',#177608,10.); #44534=VECTOR('',#177609,10.); #44535=VECTOR('',#177612,10.); #44536=VECTOR('',#177613,10.); #44537=VECTOR('',#177614,10.); #44538=VECTOR('',#177617,10.); #44539=VECTOR('',#177618,10.); #44540=VECTOR('',#177619,10.); #44541=VECTOR('',#177622,10.); #44542=VECTOR('',#177623,10.); #44543=VECTOR('',#177624,10.); #44544=VECTOR('',#177627,10.); #44545=VECTOR('',#177628,10.); #44546=VECTOR('',#177629,10.); #44547=VECTOR('',#177632,10.); #44548=VECTOR('',#177633,10.); #44549=VECTOR('',#177634,10.); #44550=VECTOR('',#177637,10.); #44551=VECTOR('',#177638,10.); #44552=VECTOR('',#177639,10.); #44553=VECTOR('',#177642,10.); #44554=VECTOR('',#177643,10.); #44555=VECTOR('',#177644,10.); #44556=VECTOR('',#177647,10.); #44557=VECTOR('',#177648,10.); #44558=VECTOR('',#177649,10.); #44559=VECTOR('',#177652,10.); #44560=VECTOR('',#177653,10.); #44561=VECTOR('',#177654,10.); #44562=VECTOR('',#177657,10.); #44563=VECTOR('',#177658,10.); #44564=VECTOR('',#177665,10.); #44565=VECTOR('',#177668,10.); #44566=VECTOR('',#177671,10.); #44567=VECTOR('',#177672,10.); #44568=VECTOR('',#177673,10.); #44569=VECTOR('',#177676,10.); #44570=VECTOR('',#177677,10.); #44571=VECTOR('',#177678,10.); #44572=VECTOR('',#177681,10.); #44573=VECTOR('',#177682,10.); #44574=VECTOR('',#177683,10.); #44575=VECTOR('',#177686,10.); #44576=VECTOR('',#177687,10.); #44577=VECTOR('',#177688,10.); #44578=VECTOR('',#177691,10.); #44579=VECTOR('',#177692,10.); #44580=VECTOR('',#177693,10.); #44581=VECTOR('',#177696,10.); #44582=VECTOR('',#177697,10.); #44583=VECTOR('',#177698,10.); #44584=VECTOR('',#177701,10.); #44585=VECTOR('',#177702,10.); #44586=VECTOR('',#177703,10.); #44587=VECTOR('',#177706,10.); #44588=VECTOR('',#177707,10.); #44589=VECTOR('',#177708,10.); #44590=VECTOR('',#177711,10.); #44591=VECTOR('',#177712,10.); #44592=VECTOR('',#177713,10.); #44593=VECTOR('',#177716,10.); #44594=VECTOR('',#177717,10.); #44595=VECTOR('',#177718,10.); #44596=VECTOR('',#177721,10.); #44597=VECTOR('',#177722,10.); #44598=VECTOR('',#177723,10.); #44599=VECTOR('',#177728,10.); #44600=VECTOR('',#177735,10.); #44601=VECTOR('',#177740,10.); #44602=VECTOR('',#177741,10.); #44603=VECTOR('',#177742,10.); #44604=VECTOR('',#177745,10.); #44605=VECTOR('',#177746,10.); #44606=VECTOR('',#177747,10.); #44607=VECTOR('',#177750,10.); #44608=VECTOR('',#177751,10.); #44609=VECTOR('',#177752,10.); #44610=VECTOR('',#177755,10.); #44611=VECTOR('',#177756,10.); #44612=VECTOR('',#177757,10.); #44613=VECTOR('',#177760,10.); #44614=VECTOR('',#177761,10.); #44615=VECTOR('',#177762,10.); #44616=VECTOR('',#177765,10.); #44617=VECTOR('',#177766,10.); #44618=VECTOR('',#177767,10.); #44619=VECTOR('',#177770,10.); #44620=VECTOR('',#177771,10.); #44621=VECTOR('',#177772,10.); #44622=VECTOR('',#177775,10.); #44623=VECTOR('',#177776,10.); #44624=VECTOR('',#177777,10.); #44625=VECTOR('',#177782,10.); #44626=VECTOR('',#177787,10.); #44627=VECTOR('',#177788,10.); #44628=VECTOR('',#177789,10.); #44629=VECTOR('',#177792,10.); #44630=VECTOR('',#177793,10.); #44631=VECTOR('',#177794,10.); #44632=VECTOR('',#177797,10.); #44633=VECTOR('',#177798,10.); #44634=VECTOR('',#177799,10.); #44635=VECTOR('',#177802,10.); #44636=VECTOR('',#177803,10.); #44637=VECTOR('',#177804,10.); #44638=VECTOR('',#177807,10.); #44639=VECTOR('',#177808,10.); #44640=VECTOR('',#177809,10.); #44641=VECTOR('',#177812,10.); #44642=VECTOR('',#177813,10.); #44643=VECTOR('',#177814,10.); #44644=VECTOR('',#177817,10.); #44645=VECTOR('',#177818,10.); #44646=VECTOR('',#177819,10.); #44647=VECTOR('',#177822,10.); #44648=VECTOR('',#177823,10.); #44649=VECTOR('',#177824,10.); #44650=VECTOR('',#177827,10.); #44651=VECTOR('',#177828,10.); #44652=VECTOR('',#177829,10.); #44653=VECTOR('',#177832,10.); #44654=VECTOR('',#177833,10.); #44655=VECTOR('',#177834,10.); #44656=VECTOR('',#177837,10.); #44657=VECTOR('',#177838,10.); #44658=VECTOR('',#177839,10.); #44659=VECTOR('',#177842,10.); #44660=VECTOR('',#177843,10.); #44661=VECTOR('',#177844,10.); #44662=VECTOR('',#177847,10.); #44663=VECTOR('',#177848,10.); #44664=VECTOR('',#177849,10.); #44665=VECTOR('',#177854,10.); #44666=VECTOR('',#177859,10.); #44667=VECTOR('',#177860,10.); #44668=VECTOR('',#177861,10.); #44669=VECTOR('',#177864,10.); #44670=VECTOR('',#177865,10.); #44671=VECTOR('',#177866,10.); #44672=VECTOR('',#177871,10.); #44673=VECTOR('',#177876,10.); #44674=VECTOR('',#177877,10.); #44675=VECTOR('',#177878,10.); #44676=VECTOR('',#177883,10.); #44677=VECTOR('',#177890,10.); #44678=VECTOR('',#177895,10.); #44679=VECTOR('',#177896,10.); #44680=VECTOR('',#177897,10.); #44681=VECTOR('',#177900,10.); #44682=VECTOR('',#177901,10.); #44683=VECTOR('',#177902,10.); #44684=VECTOR('',#177905,10.); #44685=VECTOR('',#177906,10.); #44686=VECTOR('',#177907,10.); #44687=VECTOR('',#177910,10.); #44688=VECTOR('',#177911,10.); #44689=VECTOR('',#177912,10.); #44690=VECTOR('',#177915,10.); #44691=VECTOR('',#177916,10.); #44692=VECTOR('',#177917,10.); #44693=VECTOR('',#177920,10.); #44694=VECTOR('',#177921,10.); #44695=VECTOR('',#177922,10.); #44696=VECTOR('',#177925,10.); #44697=VECTOR('',#177926,10.); #44698=VECTOR('',#177927,10.); #44699=VECTOR('',#177930,10.); #44700=VECTOR('',#177931,10.); #44701=VECTOR('',#177932,10.); #44702=VECTOR('',#177935,10.); #44703=VECTOR('',#177936,10.); #44704=VECTOR('',#177937,10.); #44705=VECTOR('',#177940,10.); #44706=VECTOR('',#177941,10.); #44707=VECTOR('',#177942,10.); #44708=VECTOR('',#177945,10.); #44709=VECTOR('',#177946,10.); #44710=VECTOR('',#177947,10.); #44711=VECTOR('',#177950,10.); #44712=VECTOR('',#177951,10.); #44713=VECTOR('',#177952,10.); #44714=VECTOR('',#177957,10.); #44715=VECTOR('',#177962,10.); #44716=VECTOR('',#177963,10.); #44717=VECTOR('',#177964,10.); #44718=VECTOR('',#177969,10.); #44719=VECTOR('',#177974,10.); #44720=VECTOR('',#177975,10.); #44721=VECTOR('',#177976,10.); #44722=VECTOR('',#177979,10.); #44723=VECTOR('',#177980,10.); #44724=VECTOR('',#177981,10.); #44725=VECTOR('',#177984,10.); #44726=VECTOR('',#177985,10.); #44727=VECTOR('',#177986,10.); #44728=VECTOR('',#177989,10.); #44729=VECTOR('',#177990,10.); #44730=VECTOR('',#177991,10.); #44731=VECTOR('',#177994,10.); #44732=VECTOR('',#177995,10.); #44733=VECTOR('',#177996,10.); #44734=VECTOR('',#177999,10.); #44735=VECTOR('',#178000,10.); #44736=VECTOR('',#178001,10.); #44737=VECTOR('',#178004,10.); #44738=VECTOR('',#178005,10.); #44739=VECTOR('',#178006,10.); #44740=VECTOR('',#178011,10.); #44741=VECTOR('',#178016,10.); #44742=VECTOR('',#178017,10.); #44743=VECTOR('',#178018,10.); #44744=VECTOR('',#178021,10.); #44745=VECTOR('',#178022,10.); #44746=VECTOR('',#178023,10.); #44747=VECTOR('',#178028,10.); #44748=VECTOR('',#178035,10.); #44749=VECTOR('',#178040,10.); #44750=VECTOR('',#178041,10.); #44751=VECTOR('',#178042,10.); #44752=VECTOR('',#178045,10.); #44753=VECTOR('',#178046,10.); #44754=VECTOR('',#178047,10.); #44755=VECTOR('',#178050,10.); #44756=VECTOR('',#178051,10.); #44757=VECTOR('',#178052,10.); #44758=VECTOR('',#178055,10.); #44759=VECTOR('',#178056,10.); #44760=VECTOR('',#178057,10.); #44761=VECTOR('',#178060,10.); #44762=VECTOR('',#178061,10.); #44763=VECTOR('',#178062,10.); #44764=VECTOR('',#178065,10.); #44765=VECTOR('',#178066,10.); #44766=VECTOR('',#178067,10.); #44767=VECTOR('',#178070,10.); #44768=VECTOR('',#178071,10.); #44769=VECTOR('',#178072,10.); #44770=VECTOR('',#178075,10.); #44771=VECTOR('',#178076,10.); #44772=VECTOR('',#178077,10.); #44773=VECTOR('',#178080,10.); #44774=VECTOR('',#178081,10.); #44775=VECTOR('',#178082,10.); #44776=VECTOR('',#178085,10.); #44777=VECTOR('',#178086,10.); #44778=VECTOR('',#178087,10.); #44779=VECTOR('',#178092,10.); #44780=VECTOR('',#178097,10.); #44781=VECTOR('',#178098,10.); #44782=VECTOR('',#178099,10.); #44783=VECTOR('',#178102,10.); #44784=VECTOR('',#178103,10.); #44785=VECTOR('',#178104,10.); #44786=VECTOR('',#178107,10.); #44787=VECTOR('',#178108,10.); #44788=VECTOR('',#178109,10.); #44789=VECTOR('',#178114,10.); #44790=VECTOR('',#178119,10.); #44791=VECTOR('',#178120,10.); #44792=VECTOR('',#178121,10.); #44793=VECTOR('',#178126,10.); #44794=VECTOR('',#178133,10.); #44795=VECTOR('',#178138,10.); #44796=VECTOR('',#178139,10.); #44797=VECTOR('',#178140,10.); #44798=VECTOR('',#178143,10.); #44799=VECTOR('',#178144,10.); #44800=VECTOR('',#178145,10.); #44801=VECTOR('',#178150,10.); #44802=VECTOR('',#178155,10.); #44803=VECTOR('',#178156,10.); #44804=VECTOR('',#178157,10.); #44805=VECTOR('',#178162,10.); #44806=VECTOR('',#178169,10.); #44807=VECTOR('',#178176,10.); #44808=VECTOR('',#178181,10.); #44809=VECTOR('',#178182,10.); #44810=VECTOR('',#178183,10.); #44811=VECTOR('',#178186,10.); #44812=VECTOR('',#178187,10.); #44813=VECTOR('',#178188,10.); #44814=VECTOR('',#178191,10.); #44815=VECTOR('',#178192,10.); #44816=VECTOR('',#178193,10.); #44817=VECTOR('',#178196,10.); #44818=VECTOR('',#178197,10.); #44819=VECTOR('',#178198,10.); #44820=VECTOR('',#178201,10.); #44821=VECTOR('',#178202,10.); #44822=VECTOR('',#178203,10.); #44823=VECTOR('',#178206,10.); #44824=VECTOR('',#178207,10.); #44825=VECTOR('',#178208,10.); #44826=VECTOR('',#178211,10.); #44827=VECTOR('',#178212,10.); #44828=VECTOR('',#178213,10.); #44829=VECTOR('',#178216,10.); #44830=VECTOR('',#178217,10.); #44831=VECTOR('',#178218,10.); #44832=VECTOR('',#178221,10.); #44833=VECTOR('',#178222,10.); #44834=VECTOR('',#178223,10.); #44835=VECTOR('',#178226,10.); #44836=VECTOR('',#178227,10.); #44837=VECTOR('',#178228,10.); #44838=VECTOR('',#178231,10.); #44839=VECTOR('',#178232,10.); #44840=VECTOR('',#178233,10.); #44841=VECTOR('',#178236,10.); #44842=VECTOR('',#178237,10.); #44843=VECTOR('',#178238,10.); #44844=VECTOR('',#178241,10.); #44845=VECTOR('',#178242,10.); #44846=VECTOR('',#178243,10.); #44847=VECTOR('',#178246,10.); #44848=VECTOR('',#178247,10.); #44849=VECTOR('',#178248,10.); #44850=VECTOR('',#178251,10.); #44851=VECTOR('',#178252,10.); #44852=VECTOR('',#178253,10.); #44853=VECTOR('',#178256,10.); #44854=VECTOR('',#178257,10.); #44855=VECTOR('',#178258,10.); #44856=VECTOR('',#178261,10.); #44857=VECTOR('',#178262,10.); #44858=VECTOR('',#178263,10.); #44859=VECTOR('',#178266,10.); #44860=VECTOR('',#178267,10.); #44861=VECTOR('',#178268,10.); #44862=VECTOR('',#178271,10.); #44863=VECTOR('',#178272,10.); #44864=VECTOR('',#178273,10.); #44865=VECTOR('',#178276,10.); #44866=VECTOR('',#178277,10.); #44867=VECTOR('',#178278,10.); #44868=VECTOR('',#178283,10.); #44869=VECTOR('',#178288,10.); #44870=VECTOR('',#178289,10.); #44871=VECTOR('',#178290,10.); #44872=VECTOR('',#178293,10.); #44873=VECTOR('',#178294,10.); #44874=VECTOR('',#178295,10.); #44875=VECTOR('',#178300,10.); #44876=VECTOR('',#178305,10.); #44877=VECTOR('',#178306,10.); #44878=VECTOR('',#178307,10.); #44879=VECTOR('',#178310,10.); #44880=VECTOR('',#178311,10.); #44881=VECTOR('',#178312,10.); #44882=VECTOR('',#178317,10.); #44883=VECTOR('',#178322,10.); #44884=VECTOR('',#178323,10.); #44885=VECTOR('',#178324,10.); #44886=VECTOR('',#178327,10.); #44887=VECTOR('',#178328,10.); #44888=VECTOR('',#178329,10.); #44889=VECTOR('',#178332,10.); #44890=VECTOR('',#178333,10.); #44891=VECTOR('',#178334,10.); #44892=VECTOR('',#178337,10.); #44893=VECTOR('',#178338,10.); #44894=VECTOR('',#178339,10.); #44895=VECTOR('',#178342,10.); #44896=VECTOR('',#178343,10.); #44897=VECTOR('',#178344,10.); #44898=VECTOR('',#178347,10.); #44899=VECTOR('',#178348,10.); #44900=VECTOR('',#178349,10.); #44901=VECTOR('',#178352,10.); #44902=VECTOR('',#178353,10.); #44903=VECTOR('',#178354,10.); #44904=VECTOR('',#178357,10.); #44905=VECTOR('',#178358,10.); #44906=VECTOR('',#178359,10.); #44907=VECTOR('',#178364,10.); #44908=VECTOR('',#178369,10.); #44909=VECTOR('',#178370,10.); #44910=VECTOR('',#178371,10.); #44911=VECTOR('',#178376,10.); #44912=VECTOR('',#178383,10.); #44913=VECTOR('',#178388,10.); #44914=VECTOR('',#178389,10.); #44915=VECTOR('',#178390,10.); #44916=VECTOR('',#178393,10.); #44917=VECTOR('',#178394,10.); #44918=VECTOR('',#178395,10.); #44919=VECTOR('',#178398,10.); #44920=VECTOR('',#178399,10.); #44921=VECTOR('',#178400,10.); #44922=VECTOR('',#178403,10.); #44923=VECTOR('',#178404,10.); #44924=VECTOR('',#178405,10.); #44925=VECTOR('',#178408,10.); #44926=VECTOR('',#178409,10.); #44927=VECTOR('',#178410,10.); #44928=VECTOR('',#178413,10.); #44929=VECTOR('',#178414,10.); #44930=VECTOR('',#178415,10.); #44931=VECTOR('',#178418,10.); #44932=VECTOR('',#178419,10.); #44933=VECTOR('',#178420,10.); #44934=VECTOR('',#178425,10.); #44935=VECTOR('',#178430,10.); #44936=VECTOR('',#178431,10.); #44937=VECTOR('',#178432,10.); #44938=VECTOR('',#178435,10.); #44939=VECTOR('',#178436,10.); #44940=VECTOR('',#178437,10.); #44941=VECTOR('',#178442,10.); #44942=VECTOR('',#178447,10.); #44943=VECTOR('',#178448,10.); #44944=VECTOR('',#178449,10.); #44945=VECTOR('',#178452,10.); #44946=VECTOR('',#178453,10.); #44947=VECTOR('',#178454,10.); #44948=VECTOR('',#178457,10.); #44949=VECTOR('',#178458,10.); #44950=VECTOR('',#178459,10.); #44951=VECTOR('',#178462,10.); #44952=VECTOR('',#178463,10.); #44953=VECTOR('',#178464,10.); #44954=VECTOR('',#178467,10.); #44955=VECTOR('',#178468,10.); #44956=VECTOR('',#178469,10.); #44957=VECTOR('',#178472,10.); #44958=VECTOR('',#178473,10.); #44959=VECTOR('',#178474,10.); #44960=VECTOR('',#178477,10.); #44961=VECTOR('',#178478,10.); #44962=VECTOR('',#178479,10.); #44963=VECTOR('',#178482,10.); #44964=VECTOR('',#178483,10.); #44965=VECTOR('',#178484,10.); #44966=VECTOR('',#178489,10.); #44967=VECTOR('',#178496,10.); #44968=VECTOR('',#178501,10.); #44969=VECTOR('',#178502,10.); #44970=VECTOR('',#178503,10.); #44971=VECTOR('',#178506,10.); #44972=VECTOR('',#178507,10.); #44973=VECTOR('',#178508,10.); #44974=VECTOR('',#178513,10.); #44975=VECTOR('',#178518,10.); #44976=VECTOR('',#178519,10.); #44977=VECTOR('',#178520,10.); #44978=VECTOR('',#178523,10.); #44979=VECTOR('',#178524,10.); #44980=VECTOR('',#178525,10.); #44981=VECTOR('',#178530,10.); #44982=VECTOR('',#178535,10.); #44983=VECTOR('',#178536,10.); #44984=VECTOR('',#178537,10.); #44985=VECTOR('',#178540,10.); #44986=VECTOR('',#178541,10.); #44987=VECTOR('',#178546,10.); #44988=VECTOR('',#178547,10.); #44989=VECTOR('',#178548,10.); #44990=VECTOR('',#178549,10.); #44991=VECTOR('',#178554,10.); #44992=VECTOR('',#178559,10.); #44993=VECTOR('',#178560,10.); #44994=VECTOR('',#178561,10.); #44995=VECTOR('',#178564,10.); #44996=VECTOR('',#178565,10.); #44997=VECTOR('',#178566,10.); #44998=VECTOR('',#178569,10.); #44999=VECTOR('',#178570,10.); #45000=VECTOR('',#178571,10.); #45001=VECTOR('',#178574,10.); #45002=VECTOR('',#178575,10.); #45003=VECTOR('',#178576,10.); #45004=VECTOR('',#178579,10.); #45005=VECTOR('',#178580,10.); #45006=VECTOR('',#178581,10.); #45007=VECTOR('',#178584,10.); #45008=VECTOR('',#178585,10.); #45009=VECTOR('',#178586,10.); #45010=VECTOR('',#178591,10.); #45011=VECTOR('',#178596,10.); #45012=VECTOR('',#178597,10.); #45013=VECTOR('',#178598,10.); #45014=VECTOR('',#178601,10.); #45015=VECTOR('',#178602,10.); #45016=VECTOR('',#178603,10.); #45017=VECTOR('',#178606,10.); #45018=VECTOR('',#178607,10.); #45019=VECTOR('',#178608,10.); #45020=VECTOR('',#178611,10.); #45021=VECTOR('',#178612,10.); #45022=VECTOR('',#178613,10.); #45023=VECTOR('',#178616,10.); #45024=VECTOR('',#178617,10.); #45025=VECTOR('',#178618,10.); #45026=VECTOR('',#178621,10.); #45027=VECTOR('',#178622,10.); #45028=VECTOR('',#178623,10.); #45029=VECTOR('',#178626,10.); #45030=VECTOR('',#178627,10.); #45031=VECTOR('',#178628,10.); #45032=VECTOR('',#178631,10.); #45033=VECTOR('',#178632,10.); #45034=VECTOR('',#178633,10.); #45035=VECTOR('',#178636,10.); #45036=VECTOR('',#178637,10.); #45037=VECTOR('',#178638,10.); #45038=VECTOR('',#178641,10.); #45039=VECTOR('',#178642,10.); #45040=VECTOR('',#178643,10.); #45041=VECTOR('',#178648,10.); #45042=VECTOR('',#178653,10.); #45043=VECTOR('',#178654,10.); #45044=VECTOR('',#178655,10.); #45045=VECTOR('',#178658,10.); #45046=VECTOR('',#178659,10.); #45047=VECTOR('',#178660,10.); #45048=VECTOR('',#178663,10.); #45049=VECTOR('',#178664,10.); #45050=VECTOR('',#178665,10.); #45051=VECTOR('',#178668,10.); #45052=VECTOR('',#178669,10.); #45053=VECTOR('',#178670,10.); #45054=VECTOR('',#178673,10.); #45055=VECTOR('',#178674,10.); #45056=VECTOR('',#178675,10.); #45057=VECTOR('',#178678,10.); #45058=VECTOR('',#178679,10.); #45059=VECTOR('',#178680,10.); #45060=VECTOR('',#178683,10.); #45061=VECTOR('',#178684,10.); #45062=VECTOR('',#178685,10.); #45063=VECTOR('',#178688,10.); #45064=VECTOR('',#178689,10.); #45065=VECTOR('',#178690,10.); #45066=VECTOR('',#178693,10.); #45067=VECTOR('',#178694,10.); #45068=VECTOR('',#178695,10.); #45069=VECTOR('',#178700,10.); #45070=VECTOR('',#178705,10.); #45071=VECTOR('',#178706,10.); #45072=VECTOR('',#178707,10.); #45073=VECTOR('',#178712,10.); #45074=VECTOR('',#178719,10.); #45075=VECTOR('',#178724,10.); #45076=VECTOR('',#178725,10.); #45077=VECTOR('',#178726,10.); #45078=VECTOR('',#178729,10.); #45079=VECTOR('',#178730,10.); #45080=VECTOR('',#178731,10.); #45081=VECTOR('',#178734,10.); #45082=VECTOR('',#178735,10.); #45083=VECTOR('',#178736,10.); #45084=VECTOR('',#178739,10.); #45085=VECTOR('',#178740,10.); #45086=VECTOR('',#178741,10.); #45087=VECTOR('',#178744,10.); #45088=VECTOR('',#178745,10.); #45089=VECTOR('',#178746,10.); #45090=VECTOR('',#178749,10.); #45091=VECTOR('',#178750,10.); #45092=VECTOR('',#178751,10.); #45093=VECTOR('',#178756,10.); #45094=VECTOR('',#178761,10.); #45095=VECTOR('',#178762,10.); #45096=VECTOR('',#178763,10.); #45097=VECTOR('',#178766,10.); #45098=VECTOR('',#178767,10.); #45099=VECTOR('',#178768,10.); #45100=VECTOR('',#178771,10.); #45101=VECTOR('',#178772,10.); #45102=VECTOR('',#178773,10.); #45103=VECTOR('',#178776,10.); #45104=VECTOR('',#178777,10.); #45105=VECTOR('',#178778,10.); #45106=VECTOR('',#178781,10.); #45107=VECTOR('',#178782,10.); #45108=VECTOR('',#178783,10.); #45109=VECTOR('',#178786,10.); #45110=VECTOR('',#178787,10.); #45111=VECTOR('',#178788,10.); #45112=VECTOR('',#178791,10.); #45113=VECTOR('',#178792,10.); #45114=VECTOR('',#178793,10.); #45115=VECTOR('',#178796,10.); #45116=VECTOR('',#178797,10.); #45117=VECTOR('',#178798,10.); #45118=VECTOR('',#178803,10.); #45119=VECTOR('',#178808,10.); #45120=VECTOR('',#178809,10.); #45121=VECTOR('',#178810,10.); #45122=VECTOR('',#178813,10.); #45123=VECTOR('',#178814,10.); #45124=VECTOR('',#178815,10.); #45125=VECTOR('',#178818,10.); #45126=VECTOR('',#178819,10.); #45127=VECTOR('',#178820,10.); #45128=VECTOR('',#178823,10.); #45129=VECTOR('',#178824,10.); #45130=VECTOR('',#178825,10.); #45131=VECTOR('',#178830,10.); #45132=VECTOR('',#178835,10.); #45133=VECTOR('',#178836,10.); #45134=VECTOR('',#178837,10.); #45135=VECTOR('',#178840,10.); #45136=VECTOR('',#178841,10.); #45137=VECTOR('',#178842,10.); #45138=VECTOR('',#178845,10.); #45139=VECTOR('',#178846,10.); #45140=VECTOR('',#178847,10.); #45141=VECTOR('',#178852,10.); #45142=VECTOR('',#178857,10.); #45143=VECTOR('',#178858,10.); #45144=VECTOR('',#178859,10.); #45145=VECTOR('',#178862,10.); #45146=VECTOR('',#178863,10.); #45147=VECTOR('',#178864,10.); #45148=VECTOR('',#178869,10.); #45149=VECTOR('',#178876,10.); #45150=VECTOR('',#178881,10.); #45151=VECTOR('',#178882,10.); #45152=VECTOR('',#178883,10.); #45153=VECTOR('',#178886,10.); #45154=VECTOR('',#178887,10.); #45155=VECTOR('',#178888,10.); #45156=VECTOR('',#178891,10.); #45157=VECTOR('',#178892,10.); #45158=VECTOR('',#178893,10.); #45159=VECTOR('',#178896,10.); #45160=VECTOR('',#178897,10.); #45161=VECTOR('',#178898,10.); #45162=VECTOR('',#178901,10.); #45163=VECTOR('',#178902,10.); #45164=VECTOR('',#178903,10.); #45165=VECTOR('',#178906,10.); #45166=VECTOR('',#178907,10.); #45167=VECTOR('',#178908,10.); #45168=VECTOR('',#178911,10.); #45169=VECTOR('',#178912,10.); #45170=VECTOR('',#178913,10.); #45171=VECTOR('',#178916,10.); #45172=VECTOR('',#178917,10.); #45173=VECTOR('',#178918,10.); #45174=VECTOR('',#178921,10.); #45175=VECTOR('',#178922,10.); #45176=VECTOR('',#178923,10.); #45177=VECTOR('',#178928,10.); #45178=VECTOR('',#178933,10.); #45179=VECTOR('',#178934,10.); #45180=VECTOR('',#178935,10.); #45181=VECTOR('',#178940,10.); #45182=VECTOR('',#178945,10.); #45183=VECTOR('',#178946,10.); #45184=VECTOR('',#178947,10.); #45185=VECTOR('',#178950,10.); #45186=VECTOR('',#178951,10.); #45187=VECTOR('',#178952,10.); #45188=VECTOR('',#178955,10.); #45189=VECTOR('',#178956,10.); #45190=VECTOR('',#178957,10.); #45191=VECTOR('',#178960,10.); #45192=VECTOR('',#178961,10.); #45193=VECTOR('',#178962,10.); #45194=VECTOR('',#178965,10.); #45195=VECTOR('',#178966,10.); #45196=VECTOR('',#178967,10.); #45197=VECTOR('',#178970,10.); #45198=VECTOR('',#178971,10.); #45199=VECTOR('',#178972,10.); #45200=VECTOR('',#178975,10.); #45201=VECTOR('',#178976,10.); #45202=VECTOR('',#178977,10.); #45203=VECTOR('',#178982,10.); #45204=VECTOR('',#178987,10.); #45205=VECTOR('',#178988,10.); #45206=VECTOR('',#178989,10.); #45207=VECTOR('',#178992,10.); #45208=VECTOR('',#178993,10.); #45209=VECTOR('',#178994,10.); #45210=VECTOR('',#178997,10.); #45211=VECTOR('',#178998,10.); #45212=VECTOR('',#178999,10.); #45213=VECTOR('',#179002,10.); #45214=VECTOR('',#179003,10.); #45215=VECTOR('',#179004,10.); #45216=VECTOR('',#179009,10.); #45217=VECTOR('',#179014,10.); #45218=VECTOR('',#179015,10.); #45219=VECTOR('',#179016,10.); #45220=VECTOR('',#179019,10.); #45221=VECTOR('',#179020,10.); #45222=VECTOR('',#179021,10.); #45223=VECTOR('',#179026,10.); #45224=VECTOR('',#179031,10.); #45225=VECTOR('',#179032,10.); #45226=VECTOR('',#179033,10.); #45227=VECTOR('',#179038,10.); #45228=VECTOR('',#179043,10.); #45229=VECTOR('',#179044,10.); #45230=VECTOR('',#179045,10.); #45231=VECTOR('',#179048,10.); #45232=VECTOR('',#179049,10.); #45233=VECTOR('',#179050,10.); #45234=VECTOR('',#179053,10.); #45235=VECTOR('',#179054,10.); #45236=VECTOR('',#179055,10.); #45237=VECTOR('',#179058,10.); #45238=VECTOR('',#179059,10.); #45239=VECTOR('',#179060,10.); #45240=VECTOR('',#179063,10.); #45241=VECTOR('',#179064,10.); #45242=VECTOR('',#179065,10.); #45243=VECTOR('',#179068,10.); #45244=VECTOR('',#179069,10.); #45245=VECTOR('',#179070,10.); #45246=VECTOR('',#179073,10.); #45247=VECTOR('',#179074,10.); #45248=VECTOR('',#179075,10.); #45249=VECTOR('',#179078,10.); #45250=VECTOR('',#179079,10.); #45251=VECTOR('',#179080,10.); #45252=VECTOR('',#179083,10.); #45253=VECTOR('',#179084,10.); #45254=VECTOR('',#179085,10.); #45255=VECTOR('',#179088,10.); #45256=VECTOR('',#179089,10.); #45257=VECTOR('',#179090,10.); #45258=VECTOR('',#179095,10.); #45259=VECTOR('',#179100,10.); #45260=VECTOR('',#179101,10.); #45261=VECTOR('',#179102,10.); #45262=VECTOR('',#179105,10.); #45263=VECTOR('',#179106,10.); #45264=VECTOR('',#179107,10.); #45265=VECTOR('',#179110,10.); #45266=VECTOR('',#179111,10.); #45267=VECTOR('',#179112,10.); #45268=VECTOR('',#179115,10.); #45269=VECTOR('',#179116,10.); #45270=VECTOR('',#179117,10.); #45271=VECTOR('',#179120,10.); #45272=VECTOR('',#179121,10.); #45273=VECTOR('',#179122,10.); #45274=VECTOR('',#179125,10.); #45275=VECTOR('',#179126,10.); #45276=VECTOR('',#179127,10.); #45277=VECTOR('',#179130,10.); #45278=VECTOR('',#179131,10.); #45279=VECTOR('',#179132,10.); #45280=VECTOR('',#179135,10.); #45281=VECTOR('',#179136,10.); #45282=VECTOR('',#179137,10.); #45283=VECTOR('',#179140,10.); #45284=VECTOR('',#179141,10.); #45285=VECTOR('',#179142,10.); #45286=VECTOR('',#179145,10.); #45287=VECTOR('',#179146,10.); #45288=VECTOR('',#179147,10.); #45289=VECTOR('',#179150,10.); #45290=VECTOR('',#179151,10.); #45291=VECTOR('',#179152,10.); #45292=VECTOR('',#179155,10.); #45293=VECTOR('',#179156,10.); #45294=VECTOR('',#179161,10.); #45295=VECTOR('',#179162,10.); #45296=VECTOR('',#179163,10.); #45297=VECTOR('',#179164,10.); #45298=VECTOR('',#179169,10.); #45299=VECTOR('',#179176,10.); #45300=VECTOR('',#179183,10.); #45301=VECTOR('',#179188,10.); #45302=VECTOR('',#179189,10.); #45303=VECTOR('',#179190,10.); #45304=VECTOR('',#179193,10.); #45305=VECTOR('',#179194,10.); #45306=VECTOR('',#179197,10.); #45307=VECTOR('',#179198,10.); #45308=VECTOR('',#179199,10.); #45309=VECTOR('',#179200,10.); #45310=VECTOR('',#179203,10.); #45311=VECTOR('',#179204,10.); #45312=VECTOR('',#179205,10.); #45313=VECTOR('',#179210,10.); #45314=VECTOR('',#179215,10.); #45315=VECTOR('',#179216,10.); #45316=VECTOR('',#179217,10.); #45317=VECTOR('',#179222,10.); #45318=VECTOR('',#179229,10.); #45319=VECTOR('',#179234,10.); #45320=VECTOR('',#179235,10.); #45321=VECTOR('',#179236,10.); #45322=VECTOR('',#179239,10.); #45323=VECTOR('',#179240,10.); #45324=VECTOR('',#179241,10.); #45325=VECTOR('',#179244,10.); #45326=VECTOR('',#179245,10.); #45327=VECTOR('',#179246,10.); #45328=VECTOR('',#179251,10.); #45329=VECTOR('',#179256,10.); #45330=VECTOR('',#179257,10.); #45331=VECTOR('',#179258,10.); #45332=VECTOR('',#179261,10.); #45333=VECTOR('',#179262,10.); #45334=VECTOR('',#179263,10.); #45335=VECTOR('',#179268,10.); #45336=VECTOR('',#179275,10.); #45337=VECTOR('',#179280,10.); #45338=VECTOR('',#179281,10.); #45339=VECTOR('',#179282,10.); #45340=VECTOR('',#179287,10.); #45341=VECTOR('',#179294,10.); #45342=VECTOR('',#179301,10.); #45343=VECTOR('',#179308,10.); #45344=VECTOR('',#179315,10.); #45345=VECTOR('',#179320,10.); #45346=VECTOR('',#179321,10.); #45347=VECTOR('',#179322,10.); #45348=VECTOR('',#179325,10.); #45349=VECTOR('',#179326,10.); #45350=VECTOR('',#179327,10.); #45351=VECTOR('',#179330,10.); #45352=VECTOR('',#179331,10.); #45353=VECTOR('',#179332,10.); #45354=VECTOR('',#179335,10.); #45355=VECTOR('',#179336,10.); #45356=VECTOR('',#179337,10.); #45357=VECTOR('',#179342,10.); #45358=VECTOR('',#179347,10.); #45359=VECTOR('',#179348,10.); #45360=VECTOR('',#179349,10.); #45361=VECTOR('',#179352,10.); #45362=VECTOR('',#179353,10.); #45363=VECTOR('',#179354,10.); #45364=VECTOR('',#179357,10.); #45365=VECTOR('',#179358,10.); #45366=VECTOR('',#179359,10.); #45367=VECTOR('',#179364,10.); #45368=VECTOR('',#179371,10.); #45369=VECTOR('',#179376,10.); #45370=VECTOR('',#179377,10.); #45371=VECTOR('',#179378,10.); #45372=VECTOR('',#179381,10.); #45373=VECTOR('',#179382,10.); #45374=VECTOR('',#179383,10.); #45375=VECTOR('',#179388,10.); #45376=VECTOR('',#179393,10.); #45377=VECTOR('',#179394,10.); #45378=VECTOR('',#179395,10.); #45379=VECTOR('',#179400,10.); #45380=VECTOR('',#179405,10.); #45381=VECTOR('',#179406,10.); #45382=VECTOR('',#179407,10.); #45383=VECTOR('',#179410,10.); #45384=VECTOR('',#179411,10.); #45385=VECTOR('',#179412,10.); #45386=VECTOR('',#179415,10.); #45387=VECTOR('',#179416,10.); #45388=VECTOR('',#179417,10.); #45389=VECTOR('',#179422,10.); #45390=VECTOR('',#179427,10.); #45391=VECTOR('',#179428,10.); #45392=VECTOR('',#179429,10.); #45393=VECTOR('',#179432,10.); #45394=VECTOR('',#179433,10.); #45395=VECTOR('',#179434,10.); #45396=VECTOR('',#179437,10.); #45397=VECTOR('',#179438,10.); #45398=VECTOR('',#179439,10.); #45399=VECTOR('',#179442,10.); #45400=VECTOR('',#179443,10.); #45401=VECTOR('',#179444,10.); #45402=VECTOR('',#179447,10.); #45403=VECTOR('',#179448,10.); #45404=VECTOR('',#179449,10.); #45405=VECTOR('',#179452,10.); #45406=VECTOR('',#179453,10.); #45407=VECTOR('',#179454,10.); #45408=VECTOR('',#179457,10.); #45409=VECTOR('',#179458,10.); #45410=VECTOR('',#179459,10.); #45411=VECTOR('',#179462,10.); #45412=VECTOR('',#179463,10.); #45413=VECTOR('',#179464,10.); #45414=VECTOR('',#179469,10.); #45415=VECTOR('',#179474,10.); #45416=VECTOR('',#179475,10.); #45417=VECTOR('',#179476,10.); #45418=VECTOR('',#179479,10.); #45419=VECTOR('',#179480,10.); #45420=VECTOR('',#179481,10.); #45421=VECTOR('',#179484,10.); #45422=VECTOR('',#179485,10.); #45423=VECTOR('',#179486,10.); #45424=VECTOR('',#179489,10.); #45425=VECTOR('',#179490,10.); #45426=VECTOR('',#179491,10.); #45427=VECTOR('',#179494,10.); #45428=VECTOR('',#179495,10.); #45429=VECTOR('',#179496,10.); #45430=VECTOR('',#179499,10.); #45431=VECTOR('',#179500,10.); #45432=VECTOR('',#179501,10.); #45433=VECTOR('',#179504,10.); #45434=VECTOR('',#179505,10.); #45435=VECTOR('',#179506,10.); #45436=VECTOR('',#179509,10.); #45437=VECTOR('',#179510,10.); #45438=VECTOR('',#179511,10.); #45439=VECTOR('',#179516,10.); #45440=VECTOR('',#179523,10.); #45441=VECTOR('',#179530,10.); #45442=VECTOR('',#179535,10.); #45443=VECTOR('',#179536,10.); #45444=VECTOR('',#179537,10.); #45445=VECTOR('',#179540,10.); #45446=VECTOR('',#179541,10.); #45447=VECTOR('',#179542,10.); #45448=VECTOR('',#179547,10.); #45449=VECTOR('',#179554,10.); #45450=VECTOR('',#179559,10.); #45451=VECTOR('',#179560,10.); #45452=VECTOR('',#179561,10.); #45453=VECTOR('',#179564,10.); #45454=VECTOR('',#179565,10.); #45455=VECTOR('',#179566,10.); #45456=VECTOR('',#179571,10.); #45457=VECTOR('',#179578,10.); #45458=VECTOR('',#179583,10.); #45459=VECTOR('',#179584,10.); #45460=VECTOR('',#179585,10.); #45461=VECTOR('',#179590,10.); #45462=VECTOR('',#179595,10.); #45463=VECTOR('',#179596,10.); #45464=VECTOR('',#179597,10.); #45465=VECTOR('',#179602,10.); #45466=VECTOR('',#179607,10.); #45467=VECTOR('',#179608,10.); #45468=VECTOR('',#179609,10.); #45469=VECTOR('',#179612,10.); #45470=VECTOR('',#179613,10.); #45471=VECTOR('',#179614,10.); #45472=VECTOR('',#179617,10.); #45473=VECTOR('',#179618,10.); #45474=VECTOR('',#179619,10.); #45475=VECTOR('',#179622,10.); #45476=VECTOR('',#179623,10.); #45477=VECTOR('',#179624,10.); #45478=VECTOR('',#179629,10.); #45479=VECTOR('',#179634,10.); #45480=VECTOR('',#179635,10.); #45481=VECTOR('',#179636,10.); #45482=VECTOR('',#179641,10.); #45483=VECTOR('',#179648,10.); #45484=VECTOR('',#179653,10.); #45485=VECTOR('',#179654,10.); #45486=VECTOR('',#179655,10.); #45487=VECTOR('',#179658,10.); #45488=VECTOR('',#179659,10.); #45489=VECTOR('',#179664,10.); #45490=VECTOR('',#179665,10.); #45491=VECTOR('',#179666,10.); #45492=VECTOR('',#179667,10.); #45493=VECTOR('',#179672,10.); #45494=VECTOR('',#179677,10.); #45495=VECTOR('',#179678,10.); #45496=VECTOR('',#179679,10.); #45497=VECTOR('',#179682,10.); #45498=VECTOR('',#179683,10.); #45499=VECTOR('',#179684,10.); #45500=VECTOR('',#179687,10.); #45501=VECTOR('',#179688,10.); #45502=VECTOR('',#179689,10.); #45503=VECTOR('',#179692,10.); #45504=VECTOR('',#179693,10.); #45505=VECTOR('',#179694,10.); #45506=VECTOR('',#179699,10.); #45507=VECTOR('',#179704,10.); #45508=VECTOR('',#179705,10.); #45509=VECTOR('',#179706,10.); #45510=VECTOR('',#179709,10.); #45511=VECTOR('',#179710,10.); #45512=VECTOR('',#179711,10.); #45513=VECTOR('',#179716,10.); #45514=VECTOR('',#179721,10.); #45515=VECTOR('',#179722,10.); #45516=VECTOR('',#179723,10.); #45517=VECTOR('',#179726,10.); #45518=VECTOR('',#179727,10.); #45519=VECTOR('',#179734,10.); #45520=VECTOR('',#179737,10.); #45521=VECTOR('',#179740,10.); #45522=VECTOR('',#179741,10.); #45523=VECTOR('',#179742,10.); #45524=VECTOR('',#179745,10.); #45525=VECTOR('',#179746,10.); #45526=VECTOR('',#179747,10.); #45527=VECTOR('',#179750,10.); #45528=VECTOR('',#179751,10.); #45529=VECTOR('',#179752,10.); #45530=VECTOR('',#179755,10.); #45531=VECTOR('',#179756,10.); #45532=VECTOR('',#179757,10.); #45533=VECTOR('',#179760,10.); #45534=VECTOR('',#179761,10.); #45535=VECTOR('',#179762,10.); #45536=VECTOR('',#179765,10.); #45537=VECTOR('',#179766,10.); #45538=VECTOR('',#179767,10.); #45539=VECTOR('',#179770,10.); #45540=VECTOR('',#179771,10.); #45541=VECTOR('',#179774,10.); #45542=VECTOR('',#179775,10.); #45543=VECTOR('',#179776,10.); #45544=VECTOR('',#179777,10.); #45545=VECTOR('',#179780,10.); #45546=VECTOR('',#179781,10.); #45547=VECTOR('',#179782,10.); #45548=VECTOR('',#179785,10.); #45549=VECTOR('',#179786,10.); #45550=VECTOR('',#179787,10.); #45551=VECTOR('',#179790,10.); #45552=VECTOR('',#179791,10.); #45553=VECTOR('',#179792,10.); #45554=VECTOR('',#179795,10.); #45555=VECTOR('',#179796,10.); #45556=VECTOR('',#179797,10.); #45557=VECTOR('',#179800,10.); #45558=VECTOR('',#179801,10.); #45559=VECTOR('',#179802,10.); #45560=VECTOR('',#179805,10.); #45561=VECTOR('',#179806,10.); #45562=VECTOR('',#179807,10.); #45563=VECTOR('',#179810,10.); #45564=VECTOR('',#179811,10.); #45565=VECTOR('',#179812,10.); #45566=VECTOR('',#179815,10.); #45567=VECTOR('',#179816,10.); #45568=VECTOR('',#179817,10.); #45569=VECTOR('',#179820,10.); #45570=VECTOR('',#179821,10.); #45571=VECTOR('',#179822,10.); #45572=VECTOR('',#179825,10.); #45573=VECTOR('',#179826,10.); #45574=VECTOR('',#179827,10.); #45575=VECTOR('',#179830,10.); #45576=VECTOR('',#179831,10.); #45577=VECTOR('',#179832,10.); #45578=VECTOR('',#179835,10.); #45579=VECTOR('',#179836,10.); #45580=VECTOR('',#179837,10.); #45581=VECTOR('',#179840,10.); #45582=VECTOR('',#179841,10.); #45583=VECTOR('',#179842,10.); #45584=VECTOR('',#179845,10.); #45585=VECTOR('',#179846,10.); #45586=VECTOR('',#179847,10.); #45587=VECTOR('',#179850,10.); #45588=VECTOR('',#179851,10.); #45589=VECTOR('',#179852,10.); #45590=VECTOR('',#179855,10.); #45591=VECTOR('',#179856,10.); #45592=VECTOR('',#179857,10.); #45593=VECTOR('',#179860,10.); #45594=VECTOR('',#179861,10.); #45595=VECTOR('',#179862,10.); #45596=VECTOR('',#179865,10.); #45597=VECTOR('',#179866,10.); #45598=VECTOR('',#179867,10.); #45599=VECTOR('',#179870,10.); #45600=VECTOR('',#179871,10.); #45601=VECTOR('',#179872,10.); #45602=VECTOR('',#179875,10.); #45603=VECTOR('',#179876,10.); #45604=VECTOR('',#179877,10.); #45605=VECTOR('',#179882,10.); #45606=VECTOR('',#179887,10.); #45607=VECTOR('',#179888,10.); #45608=VECTOR('',#179889,10.); #45609=VECTOR('',#179892,10.); #45610=VECTOR('',#179893,10.); #45611=VECTOR('',#179894,10.); #45612=VECTOR('',#179897,10.); #45613=VECTOR('',#179898,10.); #45614=VECTOR('',#179899,10.); #45615=VECTOR('',#179902,10.); #45616=VECTOR('',#179903,10.); #45617=VECTOR('',#179904,10.); #45618=VECTOR('',#179909,10.); #45619=VECTOR('',#179914,10.); #45620=VECTOR('',#179915,10.); #45621=VECTOR('',#179916,10.); #45622=VECTOR('',#179919,10.); #45623=VECTOR('',#179920,10.); #45624=VECTOR('',#179921,10.); #45625=VECTOR('',#179924,10.); #45626=VECTOR('',#179925,10.); #45627=VECTOR('',#179926,10.); #45628=VECTOR('',#179929,10.); #45629=VECTOR('',#179930,10.); #45630=VECTOR('',#179931,10.); #45631=VECTOR('',#179934,10.); #45632=VECTOR('',#179935,10.); #45633=VECTOR('',#179936,10.); #45634=VECTOR('',#179939,10.); #45635=VECTOR('',#179940,10.); #45636=VECTOR('',#179941,10.); #45637=VECTOR('',#179944,10.); #45638=VECTOR('',#179945,10.); #45639=VECTOR('',#179946,10.); #45640=VECTOR('',#179949,10.); #45641=VECTOR('',#179950,10.); #45642=VECTOR('',#179951,10.); #45643=VECTOR('',#179954,10.); #45644=VECTOR('',#179955,10.); #45645=VECTOR('',#179956,10.); #45646=VECTOR('',#179959,10.); #45647=VECTOR('',#179960,10.); #45648=VECTOR('',#179961,10.); #45649=VECTOR('',#179964,10.); #45650=VECTOR('',#179965,10.); #45651=VECTOR('',#179966,10.); #45652=VECTOR('',#179969,10.); #45653=VECTOR('',#179970,10.); #45654=VECTOR('',#179971,10.); #45655=VECTOR('',#179974,10.); #45656=VECTOR('',#179975,10.); #45657=VECTOR('',#179976,10.); #45658=VECTOR('',#179979,10.); #45659=VECTOR('',#179980,10.); #45660=VECTOR('',#179981,10.); #45661=VECTOR('',#179984,10.); #45662=VECTOR('',#179985,10.); #45663=VECTOR('',#179986,10.); #45664=VECTOR('',#179989,10.); #45665=VECTOR('',#179990,10.); #45666=VECTOR('',#179991,10.); #45667=VECTOR('',#179994,10.); #45668=VECTOR('',#179995,10.); #45669=VECTOR('',#179996,10.); #45670=VECTOR('',#179999,10.); #45671=VECTOR('',#180000,10.); #45672=VECTOR('',#180001,10.); #45673=VECTOR('',#180004,10.); #45674=VECTOR('',#180005,10.); #45675=VECTOR('',#180006,10.); #45676=VECTOR('',#180009,10.); #45677=VECTOR('',#180010,10.); #45678=VECTOR('',#180011,10.); #45679=VECTOR('',#180014,10.); #45680=VECTOR('',#180015,10.); #45681=VECTOR('',#180016,10.); #45682=VECTOR('',#180019,10.); #45683=VECTOR('',#180020,10.); #45684=VECTOR('',#180021,10.); #45685=VECTOR('',#180024,10.); #45686=VECTOR('',#180025,10.); #45687=VECTOR('',#180026,10.); #45688=VECTOR('',#180029,10.); #45689=VECTOR('',#180030,10.); #45690=VECTOR('',#180031,10.); #45691=VECTOR('',#180036,10.); #45692=VECTOR('',#180041,10.); #45693=VECTOR('',#180042,10.); #45694=VECTOR('',#180043,10.); #45695=VECTOR('',#180046,10.); #45696=VECTOR('',#180047,10.); #45697=VECTOR('',#180048,10.); #45698=VECTOR('',#180051,10.); #45699=VECTOR('',#180052,10.); #45700=VECTOR('',#180053,10.); #45701=VECTOR('',#180056,10.); #45702=VECTOR('',#180057,10.); #45703=VECTOR('',#180058,10.); #45704=VECTOR('',#180061,10.); #45705=VECTOR('',#180062,10.); #45706=VECTOR('',#180063,10.); #45707=VECTOR('',#180066,10.); #45708=VECTOR('',#180067,10.); #45709=VECTOR('',#180068,10.); #45710=VECTOR('',#180071,10.); #45711=VECTOR('',#180072,10.); #45712=VECTOR('',#180073,10.); #45713=VECTOR('',#180076,10.); #45714=VECTOR('',#180077,10.); #45715=VECTOR('',#180078,10.); #45716=VECTOR('',#180081,10.); #45717=VECTOR('',#180082,10.); #45718=VECTOR('',#180083,10.); #45719=VECTOR('',#180086,10.); #45720=VECTOR('',#180087,10.); #45721=VECTOR('',#180088,10.); #45722=VECTOR('',#180091,10.); #45723=VECTOR('',#180092,10.); #45724=VECTOR('',#180093,10.); #45725=VECTOR('',#180096,10.); #45726=VECTOR('',#180097,10.); #45727=VECTOR('',#180098,10.); #45728=VECTOR('',#180101,10.); #45729=VECTOR('',#180102,10.); #45730=VECTOR('',#180103,10.); #45731=VECTOR('',#180106,10.); #45732=VECTOR('',#180107,10.); #45733=VECTOR('',#180108,10.); #45734=VECTOR('',#180111,10.); #45735=VECTOR('',#180112,10.); #45736=VECTOR('',#180113,10.); #45737=VECTOR('',#180116,10.); #45738=VECTOR('',#180117,10.); #45739=VECTOR('',#180118,10.); #45740=VECTOR('',#180121,10.); #45741=VECTOR('',#180122,10.); #45742=VECTOR('',#180123,10.); #45743=VECTOR('',#180126,10.); #45744=VECTOR('',#180127,10.); #45745=VECTOR('',#180128,10.); #45746=VECTOR('',#180131,10.); #45747=VECTOR('',#180132,10.); #45748=VECTOR('',#180133,10.); #45749=VECTOR('',#180136,10.); #45750=VECTOR('',#180137,10.); #45751=VECTOR('',#180138,10.); #45752=VECTOR('',#180141,10.); #45753=VECTOR('',#180142,10.); #45754=VECTOR('',#180143,10.); #45755=VECTOR('',#180146,10.); #45756=VECTOR('',#180147,10.); #45757=VECTOR('',#180148,10.); #45758=VECTOR('',#180151,10.); #45759=VECTOR('',#180152,10.); #45760=VECTOR('',#180153,10.); #45761=VECTOR('',#180156,10.); #45762=VECTOR('',#180157,10.); #45763=VECTOR('',#180158,10.); #45764=VECTOR('',#180161,10.); #45765=VECTOR('',#180162,10.); #45766=VECTOR('',#180163,10.); #45767=VECTOR('',#180168,10.); #45768=VECTOR('',#180173,10.); #45769=VECTOR('',#180174,10.); #45770=VECTOR('',#180175,10.); #45771=VECTOR('',#180178,10.); #45772=VECTOR('',#180179,10.); #45773=VECTOR('',#180180,10.); #45774=VECTOR('',#180183,10.); #45775=VECTOR('',#180184,10.); #45776=VECTOR('',#180185,10.); #45777=VECTOR('',#180190,10.); #45778=VECTOR('',#180197,10.); #45779=VECTOR('',#180204,10.); #45780=VECTOR('',#180209,10.); #45781=VECTOR('',#180210,10.); #45782=VECTOR('',#180211,10.); #45783=VECTOR('',#180214,10.); #45784=VECTOR('',#180215,10.); #45785=VECTOR('',#180216,10.); #45786=VECTOR('',#180219,10.); #45787=VECTOR('',#180220,10.); #45788=VECTOR('',#180221,10.); #45789=VECTOR('',#180224,10.); #45790=VECTOR('',#180225,10.); #45791=VECTOR('',#180226,10.); #45792=VECTOR('',#180229,10.); #45793=VECTOR('',#180230,10.); #45794=VECTOR('',#180231,10.); #45795=VECTOR('',#180234,10.); #45796=VECTOR('',#180235,10.); #45797=VECTOR('',#180236,10.); #45798=VECTOR('',#180239,10.); #45799=VECTOR('',#180240,10.); #45800=VECTOR('',#180241,10.); #45801=VECTOR('',#180244,10.); #45802=VECTOR('',#180245,10.); #45803=VECTOR('',#180246,10.); #45804=VECTOR('',#180249,10.); #45805=VECTOR('',#180250,10.); #45806=VECTOR('',#180251,10.); #45807=VECTOR('',#180254,10.); #45808=VECTOR('',#180255,10.); #45809=VECTOR('',#180256,10.); #45810=VECTOR('',#180259,10.); #45811=VECTOR('',#180260,10.); #45812=VECTOR('',#180261,10.); #45813=VECTOR('',#180264,10.); #45814=VECTOR('',#180265,10.); #45815=VECTOR('',#180266,10.); #45816=VECTOR('',#180269,10.); #45817=VECTOR('',#180270,10.); #45818=VECTOR('',#180271,10.); #45819=VECTOR('',#180274,10.); #45820=VECTOR('',#180275,10.); #45821=VECTOR('',#180276,10.); #45822=VECTOR('',#180279,10.); #45823=VECTOR('',#180280,10.); #45824=VECTOR('',#180281,10.); #45825=VECTOR('',#180284,10.); #45826=VECTOR('',#180285,10.); #45827=VECTOR('',#180286,10.); #45828=VECTOR('',#180289,10.); #45829=VECTOR('',#180290,10.); #45830=VECTOR('',#180291,10.); #45831=VECTOR('',#180294,10.); #45832=VECTOR('',#180295,10.); #45833=VECTOR('',#180296,10.); #45834=VECTOR('',#180299,10.); #45835=VECTOR('',#180300,10.); #45836=VECTOR('',#180301,10.); #45837=VECTOR('',#180304,10.); #45838=VECTOR('',#180305,10.); #45839=VECTOR('',#180306,10.); #45840=VECTOR('',#180309,10.); #45841=VECTOR('',#180310,10.); #45842=VECTOR('',#180311,10.); #45843=VECTOR('',#180314,10.); #45844=VECTOR('',#180315,10.); #45845=VECTOR('',#180316,10.); #45846=VECTOR('',#180319,10.); #45847=VECTOR('',#180320,10.); #45848=VECTOR('',#180321,10.); #45849=VECTOR('',#180326,10.); #45850=VECTOR('',#180331,10.); #45851=VECTOR('',#180332,10.); #45852=VECTOR('',#180333,10.); #45853=VECTOR('',#180336,10.); #45854=VECTOR('',#180337,10.); #45855=VECTOR('',#180338,10.); #45856=VECTOR('',#180341,10.); #45857=VECTOR('',#180342,10.); #45858=VECTOR('',#180343,10.); #45859=VECTOR('',#180346,10.); #45860=VECTOR('',#180347,10.); #45861=VECTOR('',#180348,10.); #45862=VECTOR('',#180351,10.); #45863=VECTOR('',#180352,10.); #45864=VECTOR('',#180353,10.); #45865=VECTOR('',#180356,10.); #45866=VECTOR('',#180357,10.); #45867=VECTOR('',#180358,10.); #45868=VECTOR('',#180361,10.); #45869=VECTOR('',#180362,10.); #45870=VECTOR('',#180363,10.); #45871=VECTOR('',#180366,10.); #45872=VECTOR('',#180367,10.); #45873=VECTOR('',#180368,10.); #45874=VECTOR('',#180371,10.); #45875=VECTOR('',#180372,10.); #45876=VECTOR('',#180373,10.); #45877=VECTOR('',#180376,10.); #45878=VECTOR('',#180377,10.); #45879=VECTOR('',#180378,10.); #45880=VECTOR('',#180381,10.); #45881=VECTOR('',#180382,10.); #45882=VECTOR('',#180383,10.); #45883=VECTOR('',#180386,10.); #45884=VECTOR('',#180387,10.); #45885=VECTOR('',#180388,10.); #45886=VECTOR('',#180391,10.); #45887=VECTOR('',#180392,10.); #45888=VECTOR('',#180393,10.); #45889=VECTOR('',#180396,10.); #45890=VECTOR('',#180397,10.); #45891=VECTOR('',#180398,10.); #45892=VECTOR('',#180401,10.); #45893=VECTOR('',#180402,10.); #45894=VECTOR('',#180403,10.); #45895=VECTOR('',#180406,10.); #45896=VECTOR('',#180407,10.); #45897=VECTOR('',#180408,10.); #45898=VECTOR('',#180411,10.); #45899=VECTOR('',#180412,10.); #45900=VECTOR('',#180413,10.); #45901=VECTOR('',#180416,10.); #45902=VECTOR('',#180417,10.); #45903=VECTOR('',#180418,10.); #45904=VECTOR('',#180421,10.); #45905=VECTOR('',#180422,10.); #45906=VECTOR('',#180423,10.); #45907=VECTOR('',#180426,10.); #45908=VECTOR('',#180427,10.); #45909=VECTOR('',#180428,10.); #45910=VECTOR('',#180431,10.); #45911=VECTOR('',#180432,10.); #45912=VECTOR('',#180433,10.); #45913=VECTOR('',#180436,10.); #45914=VECTOR('',#180437,10.); #45915=VECTOR('',#180438,10.); #45916=VECTOR('',#180441,10.); #45917=VECTOR('',#180442,10.); #45918=VECTOR('',#180443,10.); #45919=VECTOR('',#180446,10.); #45920=VECTOR('',#180447,10.); #45921=VECTOR('',#180448,10.); #45922=VECTOR('',#180453,10.); #45923=VECTOR('',#180458,10.); #45924=VECTOR('',#180459,10.); #45925=VECTOR('',#180460,10.); #45926=VECTOR('',#180463,10.); #45927=VECTOR('',#180464,10.); #45928=VECTOR('',#180465,10.); #45929=VECTOR('',#180468,10.); #45930=VECTOR('',#180469,10.); #45931=VECTOR('',#180470,10.); #45932=VECTOR('',#180475,10.); #45933=VECTOR('',#180480,10.); #45934=VECTOR('',#180481,10.); #45935=VECTOR('',#180482,10.); #45936=VECTOR('',#180485,10.); #45937=VECTOR('',#180486,10.); #45938=VECTOR('',#180491,10.); #45939=VECTOR('',#180492,10.); #45940=VECTOR('',#180493,10.); #45941=VECTOR('',#180494,10.); #45942=VECTOR('',#180497,10.); #45943=VECTOR('',#180498,10.); #45944=VECTOR('',#180499,10.); #45945=VECTOR('',#180502,10.); #45946=VECTOR('',#180503,10.); #45947=VECTOR('',#180504,10.); #45948=VECTOR('',#180509,10.); #45949=VECTOR('',#180516,10.); #45950=VECTOR('',#180523,10.); #45951=VECTOR('',#180530,10.); #45952=VECTOR('',#180537,10.); #45953=VECTOR('',#180542,10.); #45954=VECTOR('',#180543,10.); #45955=VECTOR('',#180548,10.); #45956=VECTOR('',#180551,10.); #45957=VECTOR('',#180556,10.); #45958=VECTOR('',#180563,10.); #45959=VECTOR('',#180568,10.); #45960=VECTOR('',#180569,10.); #45961=VECTOR('',#180570,10.); #45962=VECTOR('',#180573,10.); #45963=VECTOR('',#180574,10.); #45964=VECTOR('',#180575,10.); #45965=VECTOR('',#180578,10.); #45966=VECTOR('',#180579,10.); #45967=VECTOR('',#180580,10.); #45968=VECTOR('',#180583,10.); #45969=VECTOR('',#180584,10.); #45970=VECTOR('',#180585,10.); #45971=VECTOR('',#180588,10.); #45972=VECTOR('',#180589,10.); #45973=VECTOR('',#180590,10.); #45974=VECTOR('',#180593,10.); #45975=VECTOR('',#180594,10.); #45976=VECTOR('',#180595,10.); #45977=VECTOR('',#180598,10.); #45978=VECTOR('',#180599,10.); #45979=VECTOR('',#180600,10.); #45980=VECTOR('',#180603,10.); #45981=VECTOR('',#180604,10.); #45982=VECTOR('',#180605,10.); #45983=VECTOR('',#180610,10.); #45984=VECTOR('',#180617,10.); #45985=VECTOR('',#180624,10.); #45986=VECTOR('',#180631,10.); #45987=VECTOR('',#180638,10.); #45988=VECTOR('',#180643,10.); #45989=VECTOR('',#180644,10.); #45990=VECTOR('',#180645,10.); #45991=VECTOR('',#180650,10.); #45992=VECTOR('',#180657,10.); #45993=VECTOR('',#180664,10.); #45994=VECTOR('',#180669,10.); #45995=VECTOR('',#180670,10.); #45996=VECTOR('',#180671,10.); #45997=VECTOR('',#180674,10.); #45998=VECTOR('',#180675,10.); #45999=VECTOR('',#180676,10.); #46000=VECTOR('',#180679,10.); #46001=VECTOR('',#180680,10.); #46002=VECTOR('',#180681,10.); #46003=VECTOR('',#180684,10.); #46004=VECTOR('',#180685,10.); #46005=VECTOR('',#180686,10.); #46006=VECTOR('',#180689,10.); #46007=VECTOR('',#180690,10.); #46008=VECTOR('',#180691,10.); #46009=VECTOR('',#180696,10.); #46010=VECTOR('',#180703,10.); #46011=VECTOR('',#180710,10.); #46012=VECTOR('',#180715,10.); #46013=VECTOR('',#180716,10.); #46014=VECTOR('',#180723,10.); #46015=VECTOR('',#180726,10.); #46016=VECTOR('',#180731,10.); #46017=VECTOR('',#180738,10.); #46018=VECTOR('',#180743,10.); #46019=VECTOR('',#180744,10.); #46020=VECTOR('',#180745,10.); #46021=VECTOR('',#180748,10.); #46022=VECTOR('',#180749,10.); #46023=VECTOR('',#180752,10.); #46024=VECTOR('',#180753,10.); #46025=VECTOR('',#180754,10.); #46026=VECTOR('',#180755,10.); #46027=VECTOR('',#180758,10.); #46028=VECTOR('',#180759,10.); #46029=VECTOR('',#180760,10.); #46030=VECTOR('',#180763,10.); #46031=VECTOR('',#180764,10.); #46032=VECTOR('',#180765,10.); #46033=VECTOR('',#180768,10.); #46034=VECTOR('',#180769,10.); #46035=VECTOR('',#180770,10.); #46036=VECTOR('',#180775,10.); #46037=VECTOR('',#180780,10.); #46038=VECTOR('',#180781,10.); #46039=VECTOR('',#180782,10.); #46040=VECTOR('',#180785,10.); #46041=VECTOR('',#180786,10.); #46042=VECTOR('',#180787,10.); #46043=VECTOR('',#180790,10.); #46044=VECTOR('',#180791,10.); #46045=VECTOR('',#180792,10.); #46046=VECTOR('',#180795,10.); #46047=VECTOR('',#180796,10.); #46048=VECTOR('',#180797,10.); #46049=VECTOR('',#180800,10.); #46050=VECTOR('',#180801,10.); #46051=VECTOR('',#180802,10.); #46052=VECTOR('',#180805,10.); #46053=VECTOR('',#180806,10.); #46054=VECTOR('',#180807,10.); #46055=VECTOR('',#180810,10.); #46056=VECTOR('',#180811,10.); #46057=VECTOR('',#180812,10.); #46058=VECTOR('',#180815,10.); #46059=VECTOR('',#180816,10.); #46060=VECTOR('',#180817,10.); #46061=VECTOR('',#180820,10.); #46062=VECTOR('',#180821,10.); #46063=VECTOR('',#180822,10.); #46064=VECTOR('',#180825,10.); #46065=VECTOR('',#180826,10.); #46066=VECTOR('',#180827,10.); #46067=VECTOR('',#180830,10.); #46068=VECTOR('',#180831,10.); #46069=VECTOR('',#180832,10.); #46070=VECTOR('',#180835,10.); #46071=VECTOR('',#180836,10.); #46072=VECTOR('',#180837,10.); #46073=VECTOR('',#180840,10.); #46074=VECTOR('',#180841,10.); #46075=VECTOR('',#180842,10.); #46076=VECTOR('',#180845,10.); #46077=VECTOR('',#180846,10.); #46078=VECTOR('',#180847,10.); #46079=VECTOR('',#180852,10.); #46080=VECTOR('',#180859,10.); #46081=VECTOR('',#180866,10.); #46082=VECTOR('',#180873,10.); #46083=VECTOR('',#180880,10.); #46084=VECTOR('',#180887,10.); #46085=VECTOR('',#180894,10.); #46086=VECTOR('',#180899,10.); #46087=VECTOR('',#180900,10.); #46088=VECTOR('',#180901,10.); #46089=VECTOR('',#180904,10.); #46090=VECTOR('',#180905,10.); #46091=VECTOR('',#180906,10.); #46092=VECTOR('',#180909,10.); #46093=VECTOR('',#180910,10.); #46094=VECTOR('',#180911,10.); #46095=VECTOR('',#180914,10.); #46096=VECTOR('',#180915,10.); #46097=VECTOR('',#180916,10.); #46098=VECTOR('',#180919,10.); #46099=VECTOR('',#180920,10.); #46100=VECTOR('',#180921,10.); #46101=VECTOR('',#180924,10.); #46102=VECTOR('',#180925,10.); #46103=VECTOR('',#180926,10.); #46104=VECTOR('',#180929,10.); #46105=VECTOR('',#180930,10.); #46106=VECTOR('',#180931,10.); #46107=VECTOR('',#180934,10.); #46108=VECTOR('',#180935,10.); #46109=VECTOR('',#180936,10.); #46110=VECTOR('',#180941,10.); #46111=VECTOR('',#180946,10.); #46112=VECTOR('',#180947,10.); #46113=VECTOR('',#180948,10.); #46114=VECTOR('',#180951,10.); #46115=VECTOR('',#180952,10.); #46116=VECTOR('',#180953,10.); #46117=VECTOR('',#180956,10.); #46118=VECTOR('',#180957,10.); #46119=VECTOR('',#180958,10.); #46120=VECTOR('',#180961,10.); #46121=VECTOR('',#180962,10.); #46122=VECTOR('',#180963,10.); #46123=VECTOR('',#180966,10.); #46124=VECTOR('',#180967,10.); #46125=VECTOR('',#180968,10.); #46126=VECTOR('',#180971,10.); #46127=VECTOR('',#180972,10.); #46128=VECTOR('',#180973,10.); #46129=VECTOR('',#180976,10.); #46130=VECTOR('',#180977,10.); #46131=VECTOR('',#180978,10.); #46132=VECTOR('',#180981,10.); #46133=VECTOR('',#180982,10.); #46134=VECTOR('',#180983,10.); #46135=VECTOR('',#180986,10.); #46136=VECTOR('',#180987,10.); #46137=VECTOR('',#180988,10.); #46138=VECTOR('',#180991,10.); #46139=VECTOR('',#180992,10.); #46140=VECTOR('',#180993,10.); #46141=VECTOR('',#180996,10.); #46142=VECTOR('',#180997,10.); #46143=VECTOR('',#180998,10.); #46144=VECTOR('',#181001,10.); #46145=VECTOR('',#181002,10.); #46146=VECTOR('',#181003,10.); #46147=VECTOR('',#181006,10.); #46148=VECTOR('',#181007,10.); #46149=VECTOR('',#181008,10.); #46150=VECTOR('',#181011,10.); #46151=VECTOR('',#181012,10.); #46152=VECTOR('',#181013,10.); #46153=VECTOR('',#181018,10.); #46154=VECTOR('',#181023,10.); #46155=VECTOR('',#181024,10.); #46156=VECTOR('',#181025,10.); #46157=VECTOR('',#181028,10.); #46158=VECTOR('',#181029,10.); #46159=VECTOR('',#181030,10.); #46160=VECTOR('',#181035,10.); #46161=VECTOR('',#181040,10.); #46162=VECTOR('',#181041,10.); #46163=VECTOR('',#181042,10.); #46164=VECTOR('',#181045,10.); #46165=VECTOR('',#181046,10.); #46166=VECTOR('',#181047,10.); #46167=VECTOR('',#181050,10.); #46168=VECTOR('',#181051,10.); #46169=VECTOR('',#181058,10.); #46170=VECTOR('',#181061,10.); #46171=VECTOR('',#181064,10.); #46172=VECTOR('',#181065,10.); #46173=VECTOR('',#181066,10.); #46174=VECTOR('',#181071,10.); #46175=VECTOR('',#181078,10.); #46176=VECTOR('',#181083,10.); #46177=VECTOR('',#181084,10.); #46178=VECTOR('',#181085,10.); #46179=VECTOR('',#181088,10.); #46180=VECTOR('',#181089,10.); #46181=VECTOR('',#181090,10.); #46182=VECTOR('',#181093,10.); #46183=VECTOR('',#181094,10.); #46184=VECTOR('',#181095,10.); #46185=VECTOR('',#181098,10.); #46186=VECTOR('',#181099,10.); #46187=VECTOR('',#181100,10.); #46188=VECTOR('',#181103,10.); #46189=VECTOR('',#181104,10.); #46190=VECTOR('',#181105,10.); #46191=VECTOR('',#181108,10.); #46192=VECTOR('',#181109,10.); #46193=VECTOR('',#181110,10.); #46194=VECTOR('',#181113,10.); #46195=VECTOR('',#181114,10.); #46196=VECTOR('',#181115,10.); #46197=VECTOR('',#181118,10.); #46198=VECTOR('',#181119,10.); #46199=VECTOR('',#181120,10.); #46200=VECTOR('',#181123,10.); #46201=VECTOR('',#181124,10.); #46202=VECTOR('',#181125,10.); #46203=VECTOR('',#181130,10.); #46204=VECTOR('',#181135,10.); #46205=VECTOR('',#181136,10.); #46206=VECTOR('',#181137,10.); #46207=VECTOR('',#181140,10.); #46208=VECTOR('',#181141,10.); #46209=VECTOR('',#181142,10.); #46210=VECTOR('',#181145,10.); #46211=VECTOR('',#181146,10.); #46212=VECTOR('',#181147,10.); #46213=VECTOR('',#181150,10.); #46214=VECTOR('',#181151,10.); #46215=VECTOR('',#181152,10.); #46216=VECTOR('',#181155,10.); #46217=VECTOR('',#181156,10.); #46218=VECTOR('',#181157,10.); #46219=VECTOR('',#181160,10.); #46220=VECTOR('',#181161,10.); #46221=VECTOR('',#181162,10.); #46222=VECTOR('',#181167,10.); #46223=VECTOR('',#181172,10.); #46224=VECTOR('',#181173,10.); #46225=VECTOR('',#181174,10.); #46226=VECTOR('',#181177,10.); #46227=VECTOR('',#181178,10.); #46228=VECTOR('',#181179,10.); #46229=VECTOR('',#181182,10.); #46230=VECTOR('',#181183,10.); #46231=VECTOR('',#181184,10.); #46232=VECTOR('',#181189,10.); #46233=VECTOR('',#181196,10.); #46234=VECTOR('',#181201,10.); #46235=VECTOR('',#181202,10.); #46236=VECTOR('',#181203,10.); #46237=VECTOR('',#181206,10.); #46238=VECTOR('',#181207,10.); #46239=VECTOR('',#181208,10.); #46240=VECTOR('',#181211,10.); #46241=VECTOR('',#181212,10.); #46242=VECTOR('',#181213,10.); #46243=VECTOR('',#181216,10.); #46244=VECTOR('',#181217,10.); #46245=VECTOR('',#181218,10.); #46246=VECTOR('',#181221,10.); #46247=VECTOR('',#181222,10.); #46248=VECTOR('',#181223,10.); #46249=VECTOR('',#181226,10.); #46250=VECTOR('',#181227,10.); #46251=VECTOR('',#181228,10.); #46252=VECTOR('',#181231,10.); #46253=VECTOR('',#181232,10.); #46254=VECTOR('',#181233,10.); #46255=VECTOR('',#181236,10.); #46256=VECTOR('',#181237,10.); #46257=VECTOR('',#181238,10.); #46258=VECTOR('',#181241,10.); #46259=VECTOR('',#181242,10.); #46260=VECTOR('',#181243,10.); #46261=VECTOR('',#181246,10.); #46262=VECTOR('',#181247,10.); #46263=VECTOR('',#181248,10.); #46264=VECTOR('',#181251,10.); #46265=VECTOR('',#181252,10.); #46266=VECTOR('',#181253,10.); #46267=VECTOR('',#181256,10.); #46268=VECTOR('',#181257,10.); #46269=VECTOR('',#181258,10.); #46270=VECTOR('',#181261,10.); #46271=VECTOR('',#181262,10.); #46272=VECTOR('',#181263,10.); #46273=VECTOR('',#181266,10.); #46274=VECTOR('',#181267,10.); #46275=VECTOR('',#181268,10.); #46276=VECTOR('',#181271,10.); #46277=VECTOR('',#181272,10.); #46278=VECTOR('',#181273,10.); #46279=VECTOR('',#181276,10.); #46280=VECTOR('',#181277,10.); #46281=VECTOR('',#181278,10.); #46282=VECTOR('',#181281,10.); #46283=VECTOR('',#181282,10.); #46284=VECTOR('',#181283,10.); #46285=VECTOR('',#181286,10.); #46286=VECTOR('',#181287,10.); #46287=VECTOR('',#181288,10.); #46288=VECTOR('',#181291,10.); #46289=VECTOR('',#181292,10.); #46290=VECTOR('',#181293,10.); #46291=VECTOR('',#181296,10.); #46292=VECTOR('',#181297,10.); #46293=VECTOR('',#181298,10.); #46294=VECTOR('',#181301,10.); #46295=VECTOR('',#181302,10.); #46296=VECTOR('',#181303,10.); #46297=VECTOR('',#181306,10.); #46298=VECTOR('',#181307,10.); #46299=VECTOR('',#181308,10.); #46300=VECTOR('',#181311,10.); #46301=VECTOR('',#181312,10.); #46302=VECTOR('',#181313,10.); #46303=VECTOR('',#181316,10.); #46304=VECTOR('',#181317,10.); #46305=VECTOR('',#181318,10.); #46306=VECTOR('',#181321,10.); #46307=VECTOR('',#181322,10.); #46308=VECTOR('',#181323,10.); #46309=VECTOR('',#181328,10.); #46310=VECTOR('',#181333,10.); #46311=VECTOR('',#181334,10.); #46312=VECTOR('',#181335,10.); #46313=VECTOR('',#181340,10.); #46314=VECTOR('',#181347,10.); #46315=VECTOR('',#181354,10.); #46316=VECTOR('',#181359,10.); #46317=VECTOR('',#181360,10.); #46318=VECTOR('',#181361,10.); #46319=VECTOR('',#181366,10.); #46320=VECTOR('',#181373,10.); #46321=VECTOR('',#181380,10.); #46322=VECTOR('',#181387,10.); #46323=VECTOR('',#181392,10.); #46324=VECTOR('',#181393,10.); #46325=VECTOR('',#181394,10.); #46326=VECTOR('',#181399,10.); #46327=VECTOR('',#181404,10.); #46328=VECTOR('',#181405,10.); #46329=VECTOR('',#181406,10.); #46330=VECTOR('',#181409,10.); #46331=VECTOR('',#181410,10.); #46332=VECTOR('',#181411,10.); #46333=VECTOR('',#181414,10.); #46334=VECTOR('',#181415,10.); #46335=VECTOR('',#181416,10.); #46336=VECTOR('',#181419,10.); #46337=VECTOR('',#181420,10.); #46338=VECTOR('',#181421,10.); #46339=VECTOR('',#181424,10.); #46340=VECTOR('',#181425,10.); #46341=VECTOR('',#181426,10.); #46342=VECTOR('',#181429,10.); #46343=VECTOR('',#181430,10.); #46344=VECTOR('',#181431,10.); #46345=VECTOR('',#181434,10.); #46346=VECTOR('',#181435,10.); #46347=VECTOR('',#181436,10.); #46348=VECTOR('',#181439,10.); #46349=VECTOR('',#181440,10.); #46350=VECTOR('',#181441,10.); #46351=VECTOR('',#181444,10.); #46352=VECTOR('',#181445,10.); #46353=VECTOR('',#181446,10.); #46354=VECTOR('',#181449,10.); #46355=VECTOR('',#181450,10.); #46356=VECTOR('',#181451,10.); #46357=VECTOR('',#181454,10.); #46358=VECTOR('',#181455,10.); #46359=VECTOR('',#181456,10.); #46360=VECTOR('',#181459,10.); #46361=VECTOR('',#181460,10.); #46362=VECTOR('',#181461,10.); #46363=VECTOR('',#181464,10.); #46364=VECTOR('',#181465,10.); #46365=VECTOR('',#181466,10.); #46366=VECTOR('',#181469,10.); #46367=VECTOR('',#181470,10.); #46368=VECTOR('',#181471,10.); #46369=VECTOR('',#181474,10.); #46370=VECTOR('',#181475,10.); #46371=VECTOR('',#181476,10.); #46372=VECTOR('',#181479,10.); #46373=VECTOR('',#181480,10.); #46374=VECTOR('',#181481,10.); #46375=VECTOR('',#181484,10.); #46376=VECTOR('',#181485,10.); #46377=VECTOR('',#181486,10.); #46378=VECTOR('',#181489,10.); #46379=VECTOR('',#181490,10.); #46380=VECTOR('',#181491,10.); #46381=VECTOR('',#181494,10.); #46382=VECTOR('',#181495,10.); #46383=VECTOR('',#181496,10.); #46384=VECTOR('',#181499,10.); #46385=VECTOR('',#181500,10.); #46386=VECTOR('',#181501,10.); #46387=VECTOR('',#181504,10.); #46388=VECTOR('',#181505,10.); #46389=VECTOR('',#181506,10.); #46390=VECTOR('',#181509,10.); #46391=VECTOR('',#181510,10.); #46392=VECTOR('',#181511,10.); #46393=VECTOR('',#181516,10.); #46394=VECTOR('',#181521,10.); #46395=VECTOR('',#181522,10.); #46396=VECTOR('',#181523,10.); #46397=VECTOR('',#181526,10.); #46398=VECTOR('',#181527,10.); #46399=VECTOR('',#181528,10.); #46400=VECTOR('',#181531,10.); #46401=VECTOR('',#181532,10.); #46402=VECTOR('',#181533,10.); #46403=VECTOR('',#181536,10.); #46404=VECTOR('',#181537,10.); #46405=VECTOR('',#181538,10.); #46406=VECTOR('',#181541,10.); #46407=VECTOR('',#181542,10.); #46408=VECTOR('',#181543,10.); #46409=VECTOR('',#181548,10.); #46410=VECTOR('',#181553,10.); #46411=VECTOR('',#181554,10.); #46412=VECTOR('',#181555,10.); #46413=VECTOR('',#181558,10.); #46414=VECTOR('',#181559,10.); #46415=VECTOR('',#181560,10.); #46416=VECTOR('',#181563,10.); #46417=VECTOR('',#181564,10.); #46418=VECTOR('',#181565,10.); #46419=VECTOR('',#181568,10.); #46420=VECTOR('',#181569,10.); #46421=VECTOR('',#181570,10.); #46422=VECTOR('',#181573,10.); #46423=VECTOR('',#181574,10.); #46424=VECTOR('',#181575,10.); #46425=VECTOR('',#181578,10.); #46426=VECTOR('',#181579,10.); #46427=VECTOR('',#181580,10.); #46428=VECTOR('',#181583,10.); #46429=VECTOR('',#181584,10.); #46430=VECTOR('',#181585,10.); #46431=VECTOR('',#181588,10.); #46432=VECTOR('',#181589,10.); #46433=VECTOR('',#181590,10.); #46434=VECTOR('',#181593,10.); #46435=VECTOR('',#181594,10.); #46436=VECTOR('',#181595,10.); #46437=VECTOR('',#181598,10.); #46438=VECTOR('',#181599,10.); #46439=VECTOR('',#181600,10.); #46440=VECTOR('',#181603,10.); #46441=VECTOR('',#181604,10.); #46442=VECTOR('',#181605,10.); #46443=VECTOR('',#181608,10.); #46444=VECTOR('',#181609,10.); #46445=VECTOR('',#181610,10.); #46446=VECTOR('',#181613,10.); #46447=VECTOR('',#181614,10.); #46448=VECTOR('',#181615,10.); #46449=VECTOR('',#181618,10.); #46450=VECTOR('',#181619,10.); #46451=VECTOR('',#181620,10.); #46452=VECTOR('',#181623,10.); #46453=VECTOR('',#181624,10.); #46454=VECTOR('',#181625,10.); #46455=VECTOR('',#181628,10.); #46456=VECTOR('',#181629,10.); #46457=VECTOR('',#181630,10.); #46458=VECTOR('',#181633,10.); #46459=VECTOR('',#181634,10.); #46460=VECTOR('',#181635,10.); #46461=VECTOR('',#181638,10.); #46462=VECTOR('',#181639,10.); #46463=VECTOR('',#181640,10.); #46464=VECTOR('',#181645,10.); #46465=VECTOR('',#181652,10.); #46466=VECTOR('',#181657,10.); #46467=VECTOR('',#181658,10.); #46468=VECTOR('',#181659,10.); #46469=VECTOR('',#181664,10.); #46470=VECTOR('',#181671,10.); #46471=VECTOR('',#181678,10.); #46472=VECTOR('',#181685,10.); #46473=VECTOR('',#181690,10.); #46474=VECTOR('',#181691,10.); #46475=VECTOR('',#181692,10.); #46476=VECTOR('',#181697,10.); #46477=VECTOR('',#181704,10.); #46478=VECTOR('',#181711,10.); #46479=VECTOR('',#181726,10.); #46480=VECTOR('',#181729,10.); #46481=VECTOR('',#181734,10.); #46482=VECTOR('',#181741,10.); #46483=VECTOR('',#181748,10.); #46484=VECTOR('',#181755,10.); #46485=VECTOR('',#181762,10.); #46486=VECTOR('',#181775,10.); #46487=VECTOR('',#181778,10.); #46488=VECTOR('',#181783,10.); #46489=VECTOR('',#181790,10.); #46490=VECTOR('',#181797,10.); #46491=VECTOR('',#181804,10.); #46492=VECTOR('',#181819,10.); #46493=VECTOR('',#181822,10.); #46494=VECTOR('',#181827,10.); #46495=VECTOR('',#181832,10.); #46496=VECTOR('',#181833,10.); #46497=VECTOR('',#181834,10.); #46498=VECTOR('',#181839,10.); #46499=VECTOR('',#181846,10.); #46500=VECTOR('',#181851,10.); #46501=VECTOR('',#181852,10.); #46502=VECTOR('',#181853,10.); #46503=VECTOR('',#181856,10.); #46504=VECTOR('',#181857,10.); #46505=VECTOR('',#181858,10.); #46506=VECTOR('',#181861,10.); #46507=VECTOR('',#181862,10.); #46508=VECTOR('',#181863,10.); #46509=VECTOR('',#181868,10.); #46510=VECTOR('',#181873,10.); #46511=VECTOR('',#181874,10.); #46512=VECTOR('',#181875,10.); #46513=VECTOR('',#181878,10.); #46514=VECTOR('',#181879,10.); #46515=VECTOR('',#181880,10.); #46516=VECTOR('',#181885,10.); #46517=VECTOR('',#181892,10.); #46518=VECTOR('',#181899,10.); #46519=VECTOR('',#181904,10.); #46520=VECTOR('',#181905,10.); #46521=VECTOR('',#181906,10.); #46522=VECTOR('',#181911,10.); #46523=VECTOR('',#181918,10.); #46524=VECTOR('',#181925,10.); #46525=VECTOR('',#181930,10.); #46526=VECTOR('',#181931,10.); #46527=VECTOR('',#181932,10.); #46528=VECTOR('',#181935,10.); #46529=VECTOR('',#181936,10.); #46530=VECTOR('',#181937,10.); #46531=VECTOR('',#181940,10.); #46532=VECTOR('',#181941,10.); #46533=VECTOR('',#181942,10.); #46534=VECTOR('',#181947,10.); #46535=VECTOR('',#181952,10.); #46536=VECTOR('',#181953,10.); #46537=VECTOR('',#181954,10.); #46538=VECTOR('',#181959,10.); #46539=VECTOR('',#181964,10.); #46540=VECTOR('',#181965,10.); #46541=VECTOR('',#181966,10.); #46542=VECTOR('',#181969,10.); #46543=VECTOR('',#181970,10.); #46544=VECTOR('',#181971,10.); #46545=VECTOR('',#181974,10.); #46546=VECTOR('',#181975,10.); #46547=VECTOR('',#181976,10.); #46548=VECTOR('',#181979,10.); #46549=VECTOR('',#181980,10.); #46550=VECTOR('',#181981,10.); #46551=VECTOR('',#181984,10.); #46552=VECTOR('',#181985,10.); #46553=VECTOR('',#181992,10.); #46554=VECTOR('',#181995,10.); #46555=VECTOR('',#182000,10.); #46556=VECTOR('',#182005,10.); #46557=VECTOR('',#182006,10.); #46558=VECTOR('',#182007,10.); #46559=VECTOR('',#182010,10.); #46560=VECTOR('',#182011,10.); #46561=VECTOR('',#182012,10.); #46562=VECTOR('',#182015,10.); #46563=VECTOR('',#182016,10.); #46564=VECTOR('',#182017,10.); #46565=VECTOR('',#182020,10.); #46566=VECTOR('',#182021,10.); #46567=VECTOR('',#182022,10.); #46568=VECTOR('',#182025,10.); #46569=VECTOR('',#182026,10.); #46570=VECTOR('',#182027,10.); #46571=VECTOR('',#182030,10.); #46572=VECTOR('',#182031,10.); #46573=VECTOR('',#182032,10.); #46574=VECTOR('',#182035,10.); #46575=VECTOR('',#182036,10.); #46576=VECTOR('',#182037,10.); #46577=VECTOR('',#182040,10.); #46578=VECTOR('',#182041,10.); #46579=VECTOR('',#182042,10.); #46580=VECTOR('',#182045,10.); #46581=VECTOR('',#182046,10.); #46582=VECTOR('',#182047,10.); #46583=VECTOR('',#182050,10.); #46584=VECTOR('',#182051,10.); #46585=VECTOR('',#182052,10.); #46586=VECTOR('',#182055,10.); #46587=VECTOR('',#182056,10.); #46588=VECTOR('',#182059,10.); #46589=VECTOR('',#182060,10.); #46590=VECTOR('',#182061,10.); #46591=VECTOR('',#182062,10.); #46592=VECTOR('',#182065,10.); #46593=VECTOR('',#182066,10.); #46594=VECTOR('',#182067,10.); #46595=VECTOR('',#182070,10.); #46596=VECTOR('',#182071,10.); #46597=VECTOR('',#182072,10.); #46598=VECTOR('',#182075,10.); #46599=VECTOR('',#182076,10.); #46600=VECTOR('',#182077,10.); #46601=VECTOR('',#182080,10.); #46602=VECTOR('',#182081,10.); #46603=VECTOR('',#182082,10.); #46604=VECTOR('',#182085,10.); #46605=VECTOR('',#182086,10.); #46606=VECTOR('',#182087,10.); #46607=VECTOR('',#182090,10.); #46608=VECTOR('',#182091,10.); #46609=VECTOR('',#182092,10.); #46610=VECTOR('',#182095,10.); #46611=VECTOR('',#182096,10.); #46612=VECTOR('',#182097,10.); #46613=VECTOR('',#182100,10.); #46614=VECTOR('',#182101,10.); #46615=VECTOR('',#182102,10.); #46616=VECTOR('',#182105,10.); #46617=VECTOR('',#182106,10.); #46618=VECTOR('',#182107,10.); #46619=VECTOR('',#182110,10.); #46620=VECTOR('',#182111,10.); #46621=VECTOR('',#182112,10.); #46622=VECTOR('',#182115,10.); #46623=VECTOR('',#182116,10.); #46624=VECTOR('',#182117,10.); #46625=VECTOR('',#182120,10.); #46626=VECTOR('',#182121,10.); #46627=VECTOR('',#182122,10.); #46628=VECTOR('',#182125,10.); #46629=VECTOR('',#182126,10.); #46630=VECTOR('',#182127,10.); #46631=VECTOR('',#182130,10.); #46632=VECTOR('',#182131,10.); #46633=VECTOR('',#182132,10.); #46634=VECTOR('',#182135,10.); #46635=VECTOR('',#182136,10.); #46636=VECTOR('',#182137,10.); #46637=VECTOR('',#182140,10.); #46638=VECTOR('',#182141,10.); #46639=VECTOR('',#182142,10.); #46640=VECTOR('',#182145,10.); #46641=VECTOR('',#182146,10.); #46642=VECTOR('',#182147,10.); #46643=VECTOR('',#182150,10.); #46644=VECTOR('',#182151,10.); #46645=VECTOR('',#182152,10.); #46646=VECTOR('',#182155,10.); #46647=VECTOR('',#182156,10.); #46648=VECTOR('',#182157,10.); #46649=VECTOR('',#182160,10.); #46650=VECTOR('',#182161,10.); #46651=VECTOR('',#182162,10.); #46652=VECTOR('',#182165,10.); #46653=VECTOR('',#182166,10.); #46654=VECTOR('',#182167,10.); #46655=VECTOR('',#182170,10.); #46656=VECTOR('',#182171,10.); #46657=VECTOR('',#182172,10.); #46658=VECTOR('',#182177,10.); #46659=VECTOR('',#182182,10.); #46660=VECTOR('',#182183,10.); #46661=VECTOR('',#182184,10.); #46662=VECTOR('',#182187,10.); #46663=VECTOR('',#182188,10.); #46664=VECTOR('',#182189,10.); #46665=VECTOR('',#182192,10.); #46666=VECTOR('',#182193,10.); #46667=VECTOR('',#182194,10.); #46668=VECTOR('',#182197,10.); #46669=VECTOR('',#182198,10.); #46670=VECTOR('',#182199,10.); #46671=VECTOR('',#182202,10.); #46672=VECTOR('',#182203,10.); #46673=VECTOR('',#182204,10.); #46674=VECTOR('',#182207,10.); #46675=VECTOR('',#182208,10.); #46676=VECTOR('',#182209,10.); #46677=VECTOR('',#182212,10.); #46678=VECTOR('',#182213,10.); #46679=VECTOR('',#182214,10.); #46680=VECTOR('',#182217,10.); #46681=VECTOR('',#182218,10.); #46682=VECTOR('',#182219,10.); #46683=VECTOR('',#182222,10.); #46684=VECTOR('',#182223,10.); #46685=VECTOR('',#182224,10.); #46686=VECTOR('',#182227,10.); #46687=VECTOR('',#182228,10.); #46688=VECTOR('',#182229,10.); #46689=VECTOR('',#182232,10.); #46690=VECTOR('',#182233,10.); #46691=VECTOR('',#182234,10.); #46692=VECTOR('',#182237,10.); #46693=VECTOR('',#182238,10.); #46694=VECTOR('',#182239,10.); #46695=VECTOR('',#182242,10.); #46696=VECTOR('',#182243,10.); #46697=VECTOR('',#182244,10.); #46698=VECTOR('',#182247,10.); #46699=VECTOR('',#182248,10.); #46700=VECTOR('',#182249,10.); #46701=VECTOR('',#182252,10.); #46702=VECTOR('',#182253,10.); #46703=VECTOR('',#182254,10.); #46704=VECTOR('',#182257,10.); #46705=VECTOR('',#182258,10.); #46706=VECTOR('',#182259,10.); #46707=VECTOR('',#182262,10.); #46708=VECTOR('',#182263,10.); #46709=VECTOR('',#182264,10.); #46710=VECTOR('',#182267,10.); #46711=VECTOR('',#182268,10.); #46712=VECTOR('',#182269,10.); #46713=VECTOR('',#182272,10.); #46714=VECTOR('',#182273,10.); #46715=VECTOR('',#182274,10.); #46716=VECTOR('',#182277,10.); #46717=VECTOR('',#182278,10.); #46718=VECTOR('',#182279,10.); #46719=VECTOR('',#182282,10.); #46720=VECTOR('',#182283,10.); #46721=VECTOR('',#182284,10.); #46722=VECTOR('',#182287,10.); #46723=VECTOR('',#182288,10.); #46724=VECTOR('',#182289,10.); #46725=VECTOR('',#182292,10.); #46726=VECTOR('',#182293,10.); #46727=VECTOR('',#182294,10.); #46728=VECTOR('',#182299,10.); #46729=VECTOR('',#182304,10.); #46730=VECTOR('',#182305,10.); #46731=VECTOR('',#182306,10.); #46732=VECTOR('',#182309,10.); #46733=VECTOR('',#182310,10.); #46734=VECTOR('',#182311,10.); #46735=VECTOR('',#182314,10.); #46736=VECTOR('',#182315,10.); #46737=VECTOR('',#182316,10.); #46738=VECTOR('',#182319,10.); #46739=VECTOR('',#182320,10.); #46740=VECTOR('',#182321,10.); #46741=VECTOR('',#182326,10.); #46742=VECTOR('',#182333,10.); #46743=VECTOR('',#182338,10.); #46744=VECTOR('',#182339,10.); #46745=VECTOR('',#182340,10.); #46746=VECTOR('',#182343,10.); #46747=VECTOR('',#182344,10.); #46748=VECTOR('',#182345,10.); #46749=VECTOR('',#182348,10.); #46750=VECTOR('',#182349,10.); #46751=VECTOR('',#182350,10.); #46752=VECTOR('',#182353,10.); #46753=VECTOR('',#182354,10.); #46754=VECTOR('',#182355,10.); #46755=VECTOR('',#182358,10.); #46756=VECTOR('',#182359,10.); #46757=VECTOR('',#182360,10.); #46758=VECTOR('',#182363,10.); #46759=VECTOR('',#182364,10.); #46760=VECTOR('',#182365,10.); #46761=VECTOR('',#182368,10.); #46762=VECTOR('',#182369,10.); #46763=VECTOR('',#182370,10.); #46764=VECTOR('',#182373,10.); #46765=VECTOR('',#182374,10.); #46766=VECTOR('',#182375,10.); #46767=VECTOR('',#182378,10.); #46768=VECTOR('',#182379,10.); #46769=VECTOR('',#182380,10.); #46770=VECTOR('',#182383,10.); #46771=VECTOR('',#182384,10.); #46772=VECTOR('',#182385,10.); #46773=VECTOR('',#182388,10.); #46774=VECTOR('',#182389,10.); #46775=VECTOR('',#182390,10.); #46776=VECTOR('',#182393,10.); #46777=VECTOR('',#182394,10.); #46778=VECTOR('',#182395,10.); #46779=VECTOR('',#182398,10.); #46780=VECTOR('',#182399,10.); #46781=VECTOR('',#182400,10.); #46782=VECTOR('',#182403,10.); #46783=VECTOR('',#182404,10.); #46784=VECTOR('',#182405,10.); #46785=VECTOR('',#182408,10.); #46786=VECTOR('',#182409,10.); #46787=VECTOR('',#182410,10.); #46788=VECTOR('',#182413,10.); #46789=VECTOR('',#182414,10.); #46790=VECTOR('',#182415,10.); #46791=VECTOR('',#182418,10.); #46792=VECTOR('',#182419,10.); #46793=VECTOR('',#182420,10.); #46794=VECTOR('',#182423,10.); #46795=VECTOR('',#182424,10.); #46796=VECTOR('',#182425,10.); #46797=VECTOR('',#182428,10.); #46798=VECTOR('',#182429,10.); #46799=VECTOR('',#182430,10.); #46800=VECTOR('',#182433,10.); #46801=VECTOR('',#182434,10.); #46802=VECTOR('',#182435,10.); #46803=VECTOR('',#182438,10.); #46804=VECTOR('',#182439,10.); #46805=VECTOR('',#182440,10.); #46806=VECTOR('',#182443,10.); #46807=VECTOR('',#182444,10.); #46808=VECTOR('',#182445,10.); #46809=VECTOR('',#182448,10.); #46810=VECTOR('',#182449,10.); #46811=VECTOR('',#182450,10.); #46812=VECTOR('',#182453,10.); #46813=VECTOR('',#182454,10.); #46814=VECTOR('',#182455,10.); #46815=VECTOR('',#182460,10.); #46816=VECTOR('',#182465,10.); #46817=VECTOR('',#182466,10.); #46818=VECTOR('',#182467,10.); #46819=VECTOR('',#182470,10.); #46820=VECTOR('',#182471,10.); #46821=VECTOR('',#182472,10.); #46822=VECTOR('',#182475,10.); #46823=VECTOR('',#182476,10.); #46824=VECTOR('',#182477,10.); #46825=VECTOR('',#182480,10.); #46826=VECTOR('',#182481,10.); #46827=VECTOR('',#182482,10.); #46828=VECTOR('',#182485,10.); #46829=VECTOR('',#182486,10.); #46830=VECTOR('',#182487,10.); #46831=VECTOR('',#182490,10.); #46832=VECTOR('',#182491,10.); #46833=VECTOR('',#182492,10.); #46834=VECTOR('',#182495,10.); #46835=VECTOR('',#182496,10.); #46836=VECTOR('',#182497,10.); #46837=VECTOR('',#182500,10.); #46838=VECTOR('',#182501,10.); #46839=VECTOR('',#182502,10.); #46840=VECTOR('',#182505,10.); #46841=VECTOR('',#182506,10.); #46842=VECTOR('',#182507,10.); #46843=VECTOR('',#182510,10.); #46844=VECTOR('',#182511,10.); #46845=VECTOR('',#182512,10.); #46846=VECTOR('',#182515,10.); #46847=VECTOR('',#182516,10.); #46848=VECTOR('',#182517,10.); #46849=VECTOR('',#182520,10.); #46850=VECTOR('',#182521,10.); #46851=VECTOR('',#182522,10.); #46852=VECTOR('',#182525,10.); #46853=VECTOR('',#182526,10.); #46854=VECTOR('',#182527,10.); #46855=VECTOR('',#182530,10.); #46856=VECTOR('',#182531,10.); #46857=VECTOR('',#182532,10.); #46858=VECTOR('',#182535,10.); #46859=VECTOR('',#182536,10.); #46860=VECTOR('',#182537,10.); #46861=VECTOR('',#182540,10.); #46862=VECTOR('',#182541,10.); #46863=VECTOR('',#182542,10.); #46864=VECTOR('',#182545,10.); #46865=VECTOR('',#182546,10.); #46866=VECTOR('',#182547,10.); #46867=VECTOR('',#182550,10.); #46868=VECTOR('',#182551,10.); #46869=VECTOR('',#182552,10.); #46870=VECTOR('',#182555,10.); #46871=VECTOR('',#182556,10.); #46872=VECTOR('',#182557,10.); #46873=VECTOR('',#182560,10.); #46874=VECTOR('',#182561,10.); #46875=VECTOR('',#182562,10.); #46876=VECTOR('',#182565,10.); #46877=VECTOR('',#182566,10.); #46878=VECTOR('',#182567,10.); #46879=VECTOR('',#182570,10.); #46880=VECTOR('',#182571,10.); #46881=VECTOR('',#182572,10.); #46882=VECTOR('',#182575,10.); #46883=VECTOR('',#182576,10.); #46884=VECTOR('',#182577,10.); #46885=VECTOR('',#182582,10.); #46886=VECTOR('',#182589,10.); #46887=VECTOR('',#182594,10.); #46888=VECTOR('',#182595,10.); #46889=VECTOR('',#182596,10.); #46890=VECTOR('',#182599,10.); #46891=VECTOR('',#182600,10.); #46892=VECTOR('',#182601,10.); #46893=VECTOR('',#182604,10.); #46894=VECTOR('',#182605,10.); #46895=VECTOR('',#182606,10.); #46896=VECTOR('',#182609,10.); #46897=VECTOR('',#182610,10.); #46898=VECTOR('',#182611,10.); #46899=VECTOR('',#182614,10.); #46900=VECTOR('',#182615,10.); #46901=VECTOR('',#182616,10.); #46902=VECTOR('',#182621,10.); #46903=VECTOR('',#182626,10.); #46904=VECTOR('',#182627,10.); #46905=VECTOR('',#182628,10.); #46906=VECTOR('',#182631,10.); #46907=VECTOR('',#182632,10.); #46908=VECTOR('',#182633,10.); #46909=VECTOR('',#182636,10.); #46910=VECTOR('',#182637,10.); #46911=VECTOR('',#182638,10.); #46912=VECTOR('',#182641,10.); #46913=VECTOR('',#182642,10.); #46914=VECTOR('',#182643,10.); #46915=VECTOR('',#182646,10.); #46916=VECTOR('',#182647,10.); #46917=VECTOR('',#182648,10.); #46918=VECTOR('',#182651,10.); #46919=VECTOR('',#182652,10.); #46920=VECTOR('',#182653,10.); #46921=VECTOR('',#182656,10.); #46922=VECTOR('',#182657,10.); #46923=VECTOR('',#182658,10.); #46924=VECTOR('',#182661,10.); #46925=VECTOR('',#182662,10.); #46926=VECTOR('',#182663,10.); #46927=VECTOR('',#182668,10.); #46928=VECTOR('',#182675,10.); #46929=VECTOR('',#182680,10.); #46930=VECTOR('',#182681,10.); #46931=VECTOR('',#182682,10.); #46932=VECTOR('',#182685,10.); #46933=VECTOR('',#182686,10.); #46934=VECTOR('',#182687,10.); #46935=VECTOR('',#182690,10.); #46936=VECTOR('',#182691,10.); #46937=VECTOR('',#182692,10.); #46938=VECTOR('',#182695,10.); #46939=VECTOR('',#182696,10.); #46940=VECTOR('',#182697,10.); #46941=VECTOR('',#182700,10.); #46942=VECTOR('',#182701,10.); #46943=VECTOR('',#182702,10.); #46944=VECTOR('',#182705,10.); #46945=VECTOR('',#182706,10.); #46946=VECTOR('',#182707,10.); #46947=VECTOR('',#182710,10.); #46948=VECTOR('',#182711,10.); #46949=VECTOR('',#182712,10.); #46950=VECTOR('',#182715,10.); #46951=VECTOR('',#182716,10.); #46952=VECTOR('',#182717,10.); #46953=VECTOR('',#182720,10.); #46954=VECTOR('',#182721,10.); #46955=VECTOR('',#182722,10.); #46956=VECTOR('',#182725,10.); #46957=VECTOR('',#182726,10.); #46958=VECTOR('',#182727,10.); #46959=VECTOR('',#182730,10.); #46960=VECTOR('',#182731,10.); #46961=VECTOR('',#182732,10.); #46962=VECTOR('',#182735,10.); #46963=VECTOR('',#182736,10.); #46964=VECTOR('',#182737,10.); #46965=VECTOR('',#182740,10.); #46966=VECTOR('',#182741,10.); #46967=VECTOR('',#182742,10.); #46968=VECTOR('',#182747,10.); #46969=VECTOR('',#182752,10.); #46970=VECTOR('',#182753,10.); #46971=VECTOR('',#182754,10.); #46972=VECTOR('',#182757,10.); #46973=VECTOR('',#182758,10.); #46974=VECTOR('',#182759,10.); #46975=VECTOR('',#182762,10.); #46976=VECTOR('',#182763,10.); #46977=VECTOR('',#182764,10.); #46978=VECTOR('',#182767,10.); #46979=VECTOR('',#182768,10.); #46980=VECTOR('',#182769,10.); #46981=VECTOR('',#182772,10.); #46982=VECTOR('',#182773,10.); #46983=VECTOR('',#182774,10.); #46984=VECTOR('',#182777,10.); #46985=VECTOR('',#182778,10.); #46986=VECTOR('',#182779,10.); #46987=VECTOR('',#182782,10.); #46988=VECTOR('',#182783,10.); #46989=VECTOR('',#182784,10.); #46990=VECTOR('',#182787,10.); #46991=VECTOR('',#182788,10.); #46992=VECTOR('',#182789,10.); #46993=VECTOR('',#182792,10.); #46994=VECTOR('',#182793,10.); #46995=VECTOR('',#182800,10.); #46996=VECTOR('',#182803,10.); #46997=VECTOR('',#182806,10.); #46998=VECTOR('',#182807,10.); #46999=VECTOR('',#182808,10.); #47000=VECTOR('',#182813,10.); #47001=VECTOR('',#182820,10.); #47002=VECTOR('',#182827,10.); #47003=VECTOR('',#182832,10.); #47004=VECTOR('',#182833,10.); #47005=VECTOR('',#182834,10.); #47006=VECTOR('',#182837,10.); #47007=VECTOR('',#182838,10.); #47008=VECTOR('',#182839,10.); #47009=VECTOR('',#182842,10.); #47010=VECTOR('',#182843,10.); #47011=VECTOR('',#182844,10.); #47012=VECTOR('',#182847,10.); #47013=VECTOR('',#182848,10.); #47014=VECTOR('',#182849,10.); #47015=VECTOR('',#182852,10.); #47016=VECTOR('',#182853,10.); #47017=VECTOR('',#182854,10.); #47018=VECTOR('',#182859,10.); #47019=VECTOR('',#182866,10.); #47020=VECTOR('',#182871,10.); #47021=VECTOR('',#182872,10.); #47022=VECTOR('',#182873,10.); #47023=VECTOR('',#182876,10.); #47024=VECTOR('',#182877,10.); #47025=VECTOR('',#182878,10.); #47026=VECTOR('',#182881,10.); #47027=VECTOR('',#182882,10.); #47028=VECTOR('',#182883,10.); #47029=VECTOR('',#182886,10.); #47030=VECTOR('',#182887,10.); #47031=VECTOR('',#182888,10.); #47032=VECTOR('',#182891,10.); #47033=VECTOR('',#182892,10.); #47034=VECTOR('',#182893,10.); #47035=VECTOR('',#182896,10.); #47036=VECTOR('',#182897,10.); #47037=VECTOR('',#182898,10.); #47038=VECTOR('',#182901,10.); #47039=VECTOR('',#182902,10.); #47040=VECTOR('',#182903,10.); #47041=VECTOR('',#182906,10.); #47042=VECTOR('',#182907,10.); #47043=VECTOR('',#182908,10.); #47044=VECTOR('',#182913,10.); #47045=VECTOR('',#182918,10.); #47046=VECTOR('',#182919,10.); #47047=VECTOR('',#182920,10.); #47048=VECTOR('',#182925,10.); #47049=VECTOR('',#182930,10.); #47050=VECTOR('',#182931,10.); #47051=VECTOR('',#182932,10.); #47052=VECTOR('',#182935,10.); #47053=VECTOR('',#182936,10.); #47054=VECTOR('',#182937,10.); #47055=VECTOR('',#182940,10.); #47056=VECTOR('',#182941,10.); #47057=VECTOR('',#182942,10.); #47058=VECTOR('',#182947,10.); #47059=VECTOR('',#182952,10.); #47060=VECTOR('',#182953,10.); #47061=VECTOR('',#182954,10.); #47062=VECTOR('',#182957,10.); #47063=VECTOR('',#182958,10.); #47064=VECTOR('',#182959,10.); #47065=VECTOR('',#182962,10.); #47066=VECTOR('',#182963,10.); #47067=VECTOR('',#182964,10.); #47068=VECTOR('',#182967,10.); #47069=VECTOR('',#182968,10.); #47070=VECTOR('',#182969,10.); #47071=VECTOR('',#182972,10.); #47072=VECTOR('',#182973,10.); #47073=VECTOR('',#182974,10.); #47074=VECTOR('',#182979,10.); #47075=VECTOR('',#182986,10.); #47076=VECTOR('',#182991,10.); #47077=VECTOR('',#182992,10.); #47078=VECTOR('',#182993,10.); #47079=VECTOR('',#182996,10.); #47080=VECTOR('',#182997,10.); #47081=VECTOR('',#182998,10.); #47082=VECTOR('',#183001,10.); #47083=VECTOR('',#183002,10.); #47084=VECTOR('',#183003,10.); #47085=VECTOR('',#183006,10.); #47086=VECTOR('',#183007,10.); #47087=VECTOR('',#183008,10.); #47088=VECTOR('',#183011,10.); #47089=VECTOR('',#183012,10.); #47090=VECTOR('',#183013,10.); #47091=VECTOR('',#183016,10.); #47092=VECTOR('',#183017,10.); #47093=VECTOR('',#183018,10.); #47094=VECTOR('',#183023,10.); #47095=VECTOR('',#183028,10.); #47096=VECTOR('',#183029,10.); #47097=VECTOR('',#183030,10.); #47098=VECTOR('',#183033,10.); #47099=VECTOR('',#183034,10.); #47100=VECTOR('',#183035,10.); #47101=VECTOR('',#183040,10.); #47102=VECTOR('',#183045,10.); #47103=VECTOR('',#183046,10.); #47104=VECTOR('',#183047,10.); #47105=VECTOR('',#183050,10.); #47106=VECTOR('',#183051,10.); #47107=VECTOR('',#183052,10.); #47108=VECTOR('',#183055,10.); #47109=VECTOR('',#183056,10.); #47110=VECTOR('',#183057,10.); #47111=VECTOR('',#183060,10.); #47112=VECTOR('',#183061,10.); #47113=VECTOR('',#183062,10.); #47114=VECTOR('',#183065,10.); #47115=VECTOR('',#183066,10.); #47116=VECTOR('',#183067,10.); #47117=VECTOR('',#183070,10.); #47118=VECTOR('',#183071,10.); #47119=VECTOR('',#183072,10.); #47120=VECTOR('',#183075,10.); #47121=VECTOR('',#183076,10.); #47122=VECTOR('',#183077,10.); #47123=VECTOR('',#183080,10.); #47124=VECTOR('',#183081,10.); #47125=VECTOR('',#183082,10.); #47126=VECTOR('',#183085,10.); #47127=VECTOR('',#183086,10.); #47128=VECTOR('',#183087,10.); #47129=VECTOR('',#183090,10.); #47130=VECTOR('',#183091,10.); #47131=VECTOR('',#183092,10.); #47132=VECTOR('',#183095,10.); #47133=VECTOR('',#183096,10.); #47134=VECTOR('',#183097,10.); #47135=VECTOR('',#183100,10.); #47136=VECTOR('',#183101,10.); #47137=VECTOR('',#183102,10.); #47138=VECTOR('',#183105,10.); #47139=VECTOR('',#183106,10.); #47140=VECTOR('',#183107,10.); #47141=VECTOR('',#183110,10.); #47142=VECTOR('',#183111,10.); #47143=VECTOR('',#183112,10.); #47144=VECTOR('',#183115,10.); #47145=VECTOR('',#183116,10.); #47146=VECTOR('',#183117,10.); #47147=VECTOR('',#183120,10.); #47148=VECTOR('',#183121,10.); #47149=VECTOR('',#183122,10.); #47150=VECTOR('',#183125,10.); #47151=VECTOR('',#183126,10.); #47152=VECTOR('',#183127,10.); #47153=VECTOR('',#183130,10.); #47154=VECTOR('',#183131,10.); #47155=VECTOR('',#183132,10.); #47156=VECTOR('',#183135,10.); #47157=VECTOR('',#183136,10.); #47158=VECTOR('',#183137,10.); #47159=VECTOR('',#183140,10.); #47160=VECTOR('',#183141,10.); #47161=VECTOR('',#183142,10.); #47162=VECTOR('',#183145,10.); #47163=VECTOR('',#183146,10.); #47164=VECTOR('',#183147,10.); #47165=VECTOR('',#183150,10.); #47166=VECTOR('',#183151,10.); #47167=VECTOR('',#183152,10.); #47168=VECTOR('',#183155,10.); #47169=VECTOR('',#183156,10.); #47170=VECTOR('',#183157,10.); #47171=VECTOR('',#183160,10.); #47172=VECTOR('',#183161,10.); #47173=VECTOR('',#183162,10.); #47174=VECTOR('',#183167,10.); #47175=VECTOR('',#183174,10.); #47176=VECTOR('',#183179,10.); #47177=VECTOR('',#183180,10.); #47178=VECTOR('',#183181,10.); #47179=VECTOR('',#183184,10.); #47180=VECTOR('',#183185,10.); #47181=VECTOR('',#183186,10.); #47182=VECTOR('',#183189,10.); #47183=VECTOR('',#183190,10.); #47184=VECTOR('',#183191,10.); #47185=VECTOR('',#183194,10.); #47186=VECTOR('',#183195,10.); #47187=VECTOR('',#183196,10.); #47188=VECTOR('',#183199,10.); #47189=VECTOR('',#183200,10.); #47190=VECTOR('',#183201,10.); #47191=VECTOR('',#183204,10.); #47192=VECTOR('',#183205,10.); #47193=VECTOR('',#183206,10.); #47194=VECTOR('',#183209,10.); #47195=VECTOR('',#183210,10.); #47196=VECTOR('',#183211,10.); #47197=VECTOR('',#183214,10.); #47198=VECTOR('',#183215,10.); #47199=VECTOR('',#183216,10.); #47200=VECTOR('',#183219,10.); #47201=VECTOR('',#183220,10.); #47202=VECTOR('',#183221,10.); #47203=VECTOR('',#183224,10.); #47204=VECTOR('',#183225,10.); #47205=VECTOR('',#183226,10.); #47206=VECTOR('',#183231,10.); #47207=VECTOR('',#183236,10.); #47208=VECTOR('',#183237,10.); #47209=VECTOR('',#183238,10.); #47210=VECTOR('',#183241,10.); #47211=VECTOR('',#183242,10.); #47212=VECTOR('',#183243,10.); #47213=VECTOR('',#183246,10.); #47214=VECTOR('',#183247,10.); #47215=VECTOR('',#183248,10.); #47216=VECTOR('',#183251,10.); #47217=VECTOR('',#183252,10.); #47218=VECTOR('',#183253,10.); #47219=VECTOR('',#183256,10.); #47220=VECTOR('',#183257,10.); #47221=VECTOR('',#183258,10.); #47222=VECTOR('',#183261,10.); #47223=VECTOR('',#183262,10.); #47224=VECTOR('',#183263,10.); #47225=VECTOR('',#183266,10.); #47226=VECTOR('',#183267,10.); #47227=VECTOR('',#183268,10.); #47228=VECTOR('',#183271,10.); #47229=VECTOR('',#183272,10.); #47230=VECTOR('',#183273,10.); #47231=VECTOR('',#183276,10.); #47232=VECTOR('',#183277,10.); #47233=VECTOR('',#183278,10.); #47234=VECTOR('',#183281,10.); #47235=VECTOR('',#183282,10.); #47236=VECTOR('',#183283,10.); #47237=VECTOR('',#183286,10.); #47238=VECTOR('',#183287,10.); #47239=VECTOR('',#183288,10.); #47240=VECTOR('',#183293,10.); #47241=VECTOR('',#183300,10.); #47242=VECTOR('',#183305,10.); #47243=VECTOR('',#183306,10.); #47244=VECTOR('',#183307,10.); #47245=VECTOR('',#183310,10.); #47246=VECTOR('',#183311,10.); #47247=VECTOR('',#183312,10.); #47248=VECTOR('',#183315,10.); #47249=VECTOR('',#183316,10.); #47250=VECTOR('',#183317,10.); #47251=VECTOR('',#183320,10.); #47252=VECTOR('',#183321,10.); #47253=VECTOR('',#183322,10.); #47254=VECTOR('',#183327,10.); #47255=VECTOR('',#183332,10.); #47256=VECTOR('',#183333,10.); #47257=VECTOR('',#183334,10.); #47258=VECTOR('',#183337,10.); #47259=VECTOR('',#183338,10.); #47260=VECTOR('',#183339,10.); #47261=VECTOR('',#183342,10.); #47262=VECTOR('',#183343,10.); #47263=VECTOR('',#183344,10.); #47264=VECTOR('',#183347,10.); #47265=VECTOR('',#183348,10.); #47266=VECTOR('',#183349,10.); #47267=VECTOR('',#183352,10.); #47268=VECTOR('',#183353,10.); #47269=VECTOR('',#183354,10.); #47270=VECTOR('',#183357,10.); #47271=VECTOR('',#183358,10.); #47272=VECTOR('',#183359,10.); #47273=VECTOR('',#183362,10.); #47274=VECTOR('',#183363,10.); #47275=VECTOR('',#183364,10.); #47276=VECTOR('',#183367,10.); #47277=VECTOR('',#183368,10.); #47278=VECTOR('',#183369,10.); #47279=VECTOR('',#183374,10.); #47280=VECTOR('',#183379,10.); #47281=VECTOR('',#183380,10.); #47282=VECTOR('',#183381,10.); #47283=VECTOR('',#183386,10.); #47284=VECTOR('',#183391,10.); #47285=VECTOR('',#183392,10.); #47286=VECTOR('',#183393,10.); #47287=VECTOR('',#183396,10.); #47288=VECTOR('',#183397,10.); #47289=VECTOR('',#183398,10.); #47290=VECTOR('',#183401,10.); #47291=VECTOR('',#183402,10.); #47292=VECTOR('',#183403,10.); #47293=VECTOR('',#183406,10.); #47294=VECTOR('',#183407,10.); #47295=VECTOR('',#183408,10.); #47296=VECTOR('',#183411,10.); #47297=VECTOR('',#183412,10.); #47298=VECTOR('',#183413,10.); #47299=VECTOR('',#183416,10.); #47300=VECTOR('',#183417,10.); #47301=VECTOR('',#183418,10.); #47302=VECTOR('',#183421,10.); #47303=VECTOR('',#183422,10.); #47304=VECTOR('',#183423,10.); #47305=VECTOR('',#183426,10.); #47306=VECTOR('',#183427,10.); #47307=VECTOR('',#183428,10.); #47308=VECTOR('',#183431,10.); #47309=VECTOR('',#183432,10.); #47310=VECTOR('',#183433,10.); #47311=VECTOR('',#183436,10.); #47312=VECTOR('',#183437,10.); #47313=VECTOR('',#183438,10.); #47314=VECTOR('',#183441,10.); #47315=VECTOR('',#183442,10.); #47316=VECTOR('',#183443,10.); #47317=VECTOR('',#183448,10.); #47318=VECTOR('',#183453,10.); #47319=VECTOR('',#183454,10.); #47320=VECTOR('',#183455,10.); #47321=VECTOR('',#183458,10.); #47322=VECTOR('',#183459,10.); #47323=VECTOR('',#183460,10.); #47324=VECTOR('',#183465,10.); #47325=VECTOR('',#183472,10.); #47326=VECTOR('',#183479,10.); #47327=VECTOR('',#183484,10.); #47328=VECTOR('',#183485,10.); #47329=VECTOR('',#183486,10.); #47330=VECTOR('',#183489,10.); #47331=VECTOR('',#183490,10.); #47332=VECTOR('',#183491,10.); #47333=VECTOR('',#183494,10.); #47334=VECTOR('',#183495,10.); #47335=VECTOR('',#183496,10.); #47336=VECTOR('',#183501,10.); #47337=VECTOR('',#183516,10.); #47338=VECTOR('',#183519,10.); #47339=VECTOR('',#183524,10.); #47340=VECTOR('',#183531,10.); #47341=VECTOR('',#183538,10.); #47342=VECTOR('',#183545,10.); #47343=VECTOR('',#183550,10.); #47344=VECTOR('',#183551,10.); #47345=VECTOR('',#183552,10.); #47346=VECTOR('',#183557,10.); #47347=VECTOR('',#183564,10.); #47348=VECTOR('',#183571,10.); #47349=VECTOR('',#183578,10.); #47350=VECTOR('',#183585,10.); #47351=VECTOR('',#183590,10.); #47352=VECTOR('',#183591,10.); #47353=VECTOR('',#183592,10.); #47354=VECTOR('',#183597,10.); #47355=VECTOR('',#183602,10.); #47356=VECTOR('',#183603,10.); #47357=VECTOR('',#183604,10.); #47358=VECTOR('',#183607,10.); #47359=VECTOR('',#183608,10.); #47360=VECTOR('',#183609,10.); #47361=VECTOR('',#183612,10.); #47362=VECTOR('',#183613,10.); #47363=VECTOR('',#183614,10.); #47364=VECTOR('',#183619,10.); #47365=VECTOR('',#183626,10.); #47366=VECTOR('',#183633,10.); #47367=VECTOR('',#183640,10.); #47368=VECTOR('',#183647,10.); #47369=VECTOR('',#183654,10.); #47370=VECTOR('',#183659,10.); #47371=VECTOR('',#183660,10.); #47372=VECTOR('',#183661,10.); #47373=VECTOR('',#183664,10.); #47374=VECTOR('',#183665,10.); #47375=VECTOR('',#183666,10.); #47376=VECTOR('',#183671,10.); #47377=VECTOR('',#183676,10.); #47378=VECTOR('',#183677,10.); #47379=VECTOR('',#183678,10.); #47380=VECTOR('',#183683,10.); #47381=VECTOR('',#183690,10.); #47382=VECTOR('',#183697,10.); #47383=VECTOR('',#183704,10.); #47384=VECTOR('',#183709,10.); #47385=VECTOR('',#183710,10.); #47386=VECTOR('',#183711,10.); #47387=VECTOR('',#183716,10.); #47388=VECTOR('',#183721,10.); #47389=VECTOR('',#183722,10.); #47390=VECTOR('',#183723,10.); #47391=VECTOR('',#183728,10.); #47392=VECTOR('',#183735,10.); #47393=VECTOR('',#183740,10.); #47394=VECTOR('',#183741,10.); #47395=VECTOR('',#183742,10.); #47396=VECTOR('',#183747,10.); #47397=VECTOR('',#183760,10.); #47398=VECTOR('',#183761,10.); #47399=VECTOR('',#183762,10.); #47400=VECTOR('',#183763,10.); #47401=VECTOR('',#183768,10.); #47402=VECTOR('',#183775,10.); #47403=VECTOR('',#183780,10.); #47404=VECTOR('',#183781,10.); #47405=VECTOR('',#183782,10.); #47406=VECTOR('',#183785,10.); #47407=VECTOR('',#183786,10.); #47408=VECTOR('',#183787,10.); #47409=VECTOR('',#183790,10.); #47410=VECTOR('',#183791,10.); #47411=VECTOR('',#183792,10.); #47412=VECTOR('',#183795,10.); #47413=VECTOR('',#183796,10.); #47414=VECTOR('',#183797,10.); #47415=VECTOR('',#183800,10.); #47416=VECTOR('',#183801,10.); #47417=VECTOR('',#183802,10.); #47418=VECTOR('',#183805,10.); #47419=VECTOR('',#183806,10.); #47420=VECTOR('',#183807,10.); #47421=VECTOR('',#183810,10.); #47422=VECTOR('',#183811,10.); #47423=VECTOR('',#183812,10.); #47424=VECTOR('',#183815,10.); #47425=VECTOR('',#183816,10.); #47426=VECTOR('',#183817,10.); #47427=VECTOR('',#183820,10.); #47428=VECTOR('',#183821,10.); #47429=VECTOR('',#183822,10.); #47430=VECTOR('',#183827,10.); #47431=VECTOR('',#183832,10.); #47432=VECTOR('',#183833,10.); #47433=VECTOR('',#183834,10.); #47434=VECTOR('',#183837,10.); #47435=VECTOR('',#183838,10.); #47436=VECTOR('',#183839,10.); #47437=VECTOR('',#183842,10.); #47438=VECTOR('',#183843,10.); #47439=VECTOR('',#183844,10.); #47440=VECTOR('',#183847,10.); #47441=VECTOR('',#183848,10.); #47442=VECTOR('',#183849,10.); #47443=VECTOR('',#183852,10.); #47444=VECTOR('',#183853,10.); #47445=VECTOR('',#183854,10.); #47446=VECTOR('',#183857,10.); #47447=VECTOR('',#183858,10.); #47448=VECTOR('',#183859,10.); #47449=VECTOR('',#183862,10.); #47450=VECTOR('',#183863,10.); #47451=VECTOR('',#183864,10.); #47452=VECTOR('',#183867,10.); #47453=VECTOR('',#183868,10.); #47454=VECTOR('',#183869,10.); #47455=VECTOR('',#183872,10.); #47456=VECTOR('',#183873,10.); #47457=VECTOR('',#183874,10.); #47458=VECTOR('',#183877,10.); #47459=VECTOR('',#183878,10.); #47460=VECTOR('',#183881,10.); #47461=VECTOR('',#183882,10.); #47462=VECTOR('',#183883,10.); #47463=VECTOR('',#183884,10.); #47464=VECTOR('',#183887,10.); #47465=VECTOR('',#183888,10.); #47466=VECTOR('',#183889,10.); #47467=VECTOR('',#183894,10.); #47468=VECTOR('',#183899,10.); #47469=VECTOR('',#183900,10.); #47470=VECTOR('',#183901,10.); #47471=VECTOR('',#183904,10.); #47472=VECTOR('',#183905,10.); #47473=VECTOR('',#183906,10.); #47474=VECTOR('',#183909,10.); #47475=VECTOR('',#183910,10.); #47476=VECTOR('',#183911,10.); #47477=VECTOR('',#183914,10.); #47478=VECTOR('',#183915,10.); #47479=VECTOR('',#183916,10.); #47480=VECTOR('',#183919,10.); #47481=VECTOR('',#183920,10.); #47482=VECTOR('',#183921,10.); #47483=VECTOR('',#183924,10.); #47484=VECTOR('',#183925,10.); #47485=VECTOR('',#183926,10.); #47486=VECTOR('',#183929,10.); #47487=VECTOR('',#183930,10.); #47488=VECTOR('',#183931,10.); #47489=VECTOR('',#183934,10.); #47490=VECTOR('',#183935,10.); #47491=VECTOR('',#183936,10.); #47492=VECTOR('',#183941,10.); #47493=VECTOR('',#183946,10.); #47494=VECTOR('',#183947,10.); #47495=VECTOR('',#183948,10.); #47496=VECTOR('',#183951,10.); #47497=VECTOR('',#183952,10.); #47498=VECTOR('',#183953,10.); #47499=VECTOR('',#183956,10.); #47500=VECTOR('',#183957,10.); #47501=VECTOR('',#183958,10.); #47502=VECTOR('',#183963,10.); #47503=VECTOR('',#183970,10.); #47504=VECTOR('',#183975,10.); #47505=VECTOR('',#183976,10.); #47506=VECTOR('',#183977,10.); #47507=VECTOR('',#183982,10.); #47508=VECTOR('',#183987,10.); #47509=VECTOR('',#183988,10.); #47510=VECTOR('',#183989,10.); #47511=VECTOR('',#183992,10.); #47512=VECTOR('',#183993,10.); #47513=VECTOR('',#183994,10.); #47514=VECTOR('',#183997,10.); #47515=VECTOR('',#183998,10.); #47516=VECTOR('',#183999,10.); #47517=VECTOR('',#184004,10.); #47518=VECTOR('',#184009,10.); #47519=VECTOR('',#184010,10.); #47520=VECTOR('',#184011,10.); #47521=VECTOR('',#184014,10.); #47522=VECTOR('',#184015,10.); #47523=VECTOR('',#184016,10.); #47524=VECTOR('',#184019,10.); #47525=VECTOR('',#184020,10.); #47526=VECTOR('',#184021,10.); #47527=VECTOR('',#184024,10.); #47528=VECTOR('',#184025,10.); #47529=VECTOR('',#184026,10.); #47530=VECTOR('',#184031,10.); #47531=VECTOR('',#184038,10.); #47532=VECTOR('',#184043,10.); #47533=VECTOR('',#184044,10.); #47534=VECTOR('',#184045,10.); #47535=VECTOR('',#184048,10.); #47536=VECTOR('',#184049,10.); #47537=VECTOR('',#184050,10.); #47538=VECTOR('',#184053,10.); #47539=VECTOR('',#184054,10.); #47540=VECTOR('',#184055,10.); #47541=VECTOR('',#184058,10.); #47542=VECTOR('',#184059,10.); #47543=VECTOR('',#184060,10.); #47544=VECTOR('',#184063,10.); #47545=VECTOR('',#184064,10.); #47546=VECTOR('',#184065,10.); #47547=VECTOR('',#184068,10.); #47548=VECTOR('',#184069,10.); #47549=VECTOR('',#184070,10.); #47550=VECTOR('',#184075,10.); #47551=VECTOR('',#184080,10.); #47552=VECTOR('',#184081,10.); #47553=VECTOR('',#184082,10.); #47554=VECTOR('',#184085,10.); #47555=VECTOR('',#184086,10.); #47556=VECTOR('',#184087,10.); #47557=VECTOR('',#184090,10.); #47558=VECTOR('',#184091,10.); #47559=VECTOR('',#184092,10.); #47560=VECTOR('',#184095,10.); #47561=VECTOR('',#184096,10.); #47562=VECTOR('',#184097,10.); #47563=VECTOR('',#184102,10.); #47564=VECTOR('',#184107,10.); #47565=VECTOR('',#184108,10.); #47566=VECTOR('',#184109,10.); #47567=VECTOR('',#184114,10.); #47568=VECTOR('',#184119,10.); #47569=VECTOR('',#184120,10.); #47570=VECTOR('',#184121,10.); #47571=VECTOR('',#184124,10.); #47572=VECTOR('',#184125,10.); #47573=VECTOR('',#184126,10.); #47574=VECTOR('',#184131,10.); #47575=VECTOR('',#184138,10.); #47576=VECTOR('',#184143,10.); #47577=VECTOR('',#184144,10.); #47578=VECTOR('',#184145,10.); #47579=VECTOR('',#184148,10.); #47580=VECTOR('',#184149,10.); #47581=VECTOR('',#184150,10.); #47582=VECTOR('',#184153,10.); #47583=VECTOR('',#184154,10.); #47584=VECTOR('',#184155,10.); #47585=VECTOR('',#184158,10.); #47586=VECTOR('',#184159,10.); #47587=VECTOR('',#184160,10.); #47588=VECTOR('',#184165,10.); #47589=VECTOR('',#184170,10.); #47590=VECTOR('',#184171,10.); #47591=VECTOR('',#184172,10.); #47592=VECTOR('',#184175,10.); #47593=VECTOR('',#184176,10.); #47594=VECTOR('',#184177,10.); #47595=VECTOR('',#184180,10.); #47596=VECTOR('',#184181,10.); #47597=VECTOR('',#184182,10.); #47598=VECTOR('',#184185,10.); #47599=VECTOR('',#184186,10.); #47600=VECTOR('',#184187,10.); #47601=VECTOR('',#184190,10.); #47602=VECTOR('',#184191,10.); #47603=VECTOR('',#184192,10.); #47604=VECTOR('',#184195,10.); #47605=VECTOR('',#184196,10.); #47606=VECTOR('',#184197,10.); #47607=VECTOR('',#184200,10.); #47608=VECTOR('',#184201,10.); #47609=VECTOR('',#184202,10.); #47610=VECTOR('',#184205,10.); #47611=VECTOR('',#184206,10.); #47612=VECTOR('',#184207,10.); #47613=VECTOR('',#184210,10.); #47614=VECTOR('',#184211,10.); #47615=VECTOR('',#184212,10.); #47616=VECTOR('',#184215,10.); #47617=VECTOR('',#184216,10.); #47618=VECTOR('',#184217,10.); #47619=VECTOR('',#184220,10.); #47620=VECTOR('',#184221,10.); #47621=VECTOR('',#184222,10.); #47622=VECTOR('',#184225,10.); #47623=VECTOR('',#184226,10.); #47624=VECTOR('',#184227,10.); #47625=VECTOR('',#184230,10.); #47626=VECTOR('',#184231,10.); #47627=VECTOR('',#184232,10.); #47628=VECTOR('',#184235,10.); #47629=VECTOR('',#184236,10.); #47630=VECTOR('',#184237,10.); #47631=VECTOR('',#184240,10.); #47632=VECTOR('',#184241,10.); #47633=VECTOR('',#184242,10.); #47634=VECTOR('',#184245,10.); #47635=VECTOR('',#184246,10.); #47636=VECTOR('',#184247,10.); #47637=VECTOR('',#184252,10.); #47638=VECTOR('',#184257,10.); #47639=VECTOR('',#184258,10.); #47640=VECTOR('',#184259,10.); #47641=VECTOR('',#184264,10.); #47642=VECTOR('',#184269,10.); #47643=VECTOR('',#184270,10.); #47644=VECTOR('',#184271,10.); #47645=VECTOR('',#184274,10.); #47646=VECTOR('',#184275,10.); #47647=VECTOR('',#184276,10.); #47648=VECTOR('',#184279,10.); #47649=VECTOR('',#184280,10.); #47650=VECTOR('',#184281,10.); #47651=VECTOR('',#184284,10.); #47652=VECTOR('',#184285,10.); #47653=VECTOR('',#184286,10.); #47654=VECTOR('',#184291,10.); #47655=VECTOR('',#184298,10.); #47656=VECTOR('',#184303,10.); #47657=VECTOR('',#184304,10.); #47658=VECTOR('',#184305,10.); #47659=VECTOR('',#184308,10.); #47660=VECTOR('',#184309,10.); #47661=VECTOR('',#184310,10.); #47662=VECTOR('',#184313,10.); #47663=VECTOR('',#184314,10.); #47664=VECTOR('',#184315,10.); #47665=VECTOR('',#184318,10.); #47666=VECTOR('',#184319,10.); #47667=VECTOR('',#184320,10.); #47668=VECTOR('',#184323,10.); #47669=VECTOR('',#184324,10.); #47670=VECTOR('',#184325,10.); #47671=VECTOR('',#184330,10.); #47672=VECTOR('',#184335,10.); #47673=VECTOR('',#184336,10.); #47674=VECTOR('',#184337,10.); #47675=VECTOR('',#184340,10.); #47676=VECTOR('',#184341,10.); #47677=VECTOR('',#184342,10.); #47678=VECTOR('',#184345,10.); #47679=VECTOR('',#184346,10.); #47680=VECTOR('',#184347,10.); #47681=VECTOR('',#184350,10.); #47682=VECTOR('',#184351,10.); #47683=VECTOR('',#184352,10.); #47684=VECTOR('',#184357,10.); #47685=VECTOR('',#184364,10.); #47686=VECTOR('',#184369,10.); #47687=VECTOR('',#184370,10.); #47688=VECTOR('',#184371,10.); #47689=VECTOR('',#184374,10.); #47690=VECTOR('',#184375,10.); #47691=VECTOR('',#184376,10.); #47692=VECTOR('',#184379,10.); #47693=VECTOR('',#184380,10.); #47694=VECTOR('',#184381,10.); #47695=VECTOR('',#184384,10.); #47696=VECTOR('',#184385,10.); #47697=VECTOR('',#184386,10.); #47698=VECTOR('',#184391,10.); #47699=VECTOR('',#184396,10.); #47700=VECTOR('',#184397,10.); #47701=VECTOR('',#184398,10.); #47702=VECTOR('',#184403,10.); #47703=VECTOR('',#184408,10.); #47704=VECTOR('',#184409,10.); #47705=VECTOR('',#184410,10.); #47706=VECTOR('',#184413,10.); #47707=VECTOR('',#184414,10.); #47708=VECTOR('',#184415,10.); #47709=VECTOR('',#184418,10.); #47710=VECTOR('',#184419,10.); #47711=VECTOR('',#184420,10.); #47712=VECTOR('',#184423,10.); #47713=VECTOR('',#184424,10.); #47714=VECTOR('',#184425,10.); #47715=VECTOR('',#184428,10.); #47716=VECTOR('',#184429,10.); #47717=VECTOR('',#184430,10.); #47718=VECTOR('',#184435,10.); #47719=VECTOR('',#184442,10.); #47720=VECTOR('',#184447,10.); #47721=VECTOR('',#184448,10.); #47722=VECTOR('',#184449,10.); #47723=VECTOR('',#184452,10.); #47724=VECTOR('',#184453,10.); #47725=VECTOR('',#184454,10.); #47726=VECTOR('',#184457,10.); #47727=VECTOR('',#184458,10.); #47728=VECTOR('',#184459,10.); #47729=VECTOR('',#184462,10.); #47730=VECTOR('',#184463,10.); #47731=VECTOR('',#184464,10.); #47732=VECTOR('',#184467,10.); #47733=VECTOR('',#184468,10.); #47734=VECTOR('',#184469,10.); #47735=VECTOR('',#184472,10.); #47736=VECTOR('',#184473,10.); #47737=VECTOR('',#184474,10.); #47738=VECTOR('',#184477,10.); #47739=VECTOR('',#184478,10.); #47740=VECTOR('',#184479,10.); #47741=VECTOR('',#184482,10.); #47742=VECTOR('',#184483,10.); #47743=VECTOR('',#184484,10.); #47744=VECTOR('',#184487,10.); #47745=VECTOR('',#184488,10.); #47746=VECTOR('',#184489,10.); #47747=VECTOR('',#184492,10.); #47748=VECTOR('',#184493,10.); #47749=VECTOR('',#184494,10.); #47750=VECTOR('',#184499,10.); #47751=VECTOR('',#184506,10.); #47752=VECTOR('',#184511,10.); #47753=VECTOR('',#184512,10.); #47754=VECTOR('',#184513,10.); #47755=VECTOR('',#184516,10.); #47756=VECTOR('',#184517,10.); #47757=VECTOR('',#184518,10.); #47758=VECTOR('',#184521,10.); #47759=VECTOR('',#184522,10.); #47760=VECTOR('',#184523,10.); #47761=VECTOR('',#184526,10.); #47762=VECTOR('',#184527,10.); #47763=VECTOR('',#184528,10.); #47764=VECTOR('',#184531,10.); #47765=VECTOR('',#184532,10.); #47766=VECTOR('',#184533,10.); #47767=VECTOR('',#184536,10.); #47768=VECTOR('',#184537,10.); #47769=VECTOR('',#184538,10.); #47770=VECTOR('',#184541,10.); #47771=VECTOR('',#184542,10.); #47772=VECTOR('',#184549,10.); #47773=VECTOR('',#184552,10.); #47774=VECTOR('',#184557,10.); #47775=VECTOR('',#184562,10.); #47776=VECTOR('',#184563,10.); #47777=VECTOR('',#184564,10.); #47778=VECTOR('',#184569,10.); #47779=VECTOR('',#184580,10.); #47780=VECTOR('',#184581,10.); #47781=VECTOR('',#184582,10.); #47782=VECTOR('',#184583,10.); #47783=VECTOR('',#184588,10.); #47784=VECTOR('',#184593,10.); #47785=VECTOR('',#184594,10.); #47786=VECTOR('',#184595,10.); #47787=VECTOR('',#184598,10.); #47788=VECTOR('',#184599,10.); #47789=VECTOR('',#184600,10.); #47790=VECTOR('',#184603,10.); #47791=VECTOR('',#184604,10.); #47792=VECTOR('',#184605,10.); #47793=VECTOR('',#184608,10.); #47794=VECTOR('',#184609,10.); #47795=VECTOR('',#184610,10.); #47796=VECTOR('',#184615,10.); #47797=VECTOR('',#184620,10.); #47798=VECTOR('',#184621,10.); #47799=VECTOR('',#184622,10.); #47800=VECTOR('',#184625,10.); #47801=VECTOR('',#184626,10.); #47802=VECTOR('',#184627,10.); #47803=VECTOR('',#184630,10.); #47804=VECTOR('',#184631,10.); #47805=VECTOR('',#184632,10.); #47806=VECTOR('',#184635,10.); #47807=VECTOR('',#184636,10.); #47808=VECTOR('',#184637,10.); #47809=VECTOR('',#184640,10.); #47810=VECTOR('',#184641,10.); #47811=VECTOR('',#184642,10.); #47812=VECTOR('',#184647,10.); #47813=VECTOR('',#184652,10.); #47814=VECTOR('',#184653,10.); #47815=VECTOR('',#184654,10.); #47816=VECTOR('',#184657,10.); #47817=VECTOR('',#184658,10.); #47818=VECTOR('',#184659,10.); #47819=VECTOR('',#184662,10.); #47820=VECTOR('',#184663,10.); #47821=VECTOR('',#184664,10.); #47822=VECTOR('',#184667,10.); #47823=VECTOR('',#184668,10.); #47824=VECTOR('',#184669,10.); #47825=VECTOR('',#184672,10.); #47826=VECTOR('',#184673,10.); #47827=VECTOR('',#184674,10.); #47828=VECTOR('',#184677,10.); #47829=VECTOR('',#184678,10.); #47830=VECTOR('',#184679,10.); #47831=VECTOR('',#184682,10.); #47832=VECTOR('',#184683,10.); #47833=VECTOR('',#184684,10.); #47834=VECTOR('',#184687,10.); #47835=VECTOR('',#184688,10.); #47836=VECTOR('',#184689,10.); #47837=VECTOR('',#184692,10.); #47838=VECTOR('',#184693,10.); #47839=VECTOR('',#184694,10.); #47840=VECTOR('',#184697,10.); #47841=VECTOR('',#184698,10.); #47842=VECTOR('',#184699,10.); #47843=VECTOR('',#184702,10.); #47844=VECTOR('',#184703,10.); #47845=VECTOR('',#184704,10.); #47846=VECTOR('',#184707,10.); #47847=VECTOR('',#184708,10.); #47848=VECTOR('',#184709,10.); #47849=VECTOR('',#184714,10.); #47850=VECTOR('',#184721,10.); #47851=VECTOR('',#184726,10.); #47852=VECTOR('',#184727,10.); #47853=VECTOR('',#184728,10.); #47854=VECTOR('',#184731,10.); #47855=VECTOR('',#184732,10.); #47856=VECTOR('',#184733,10.); #47857=VECTOR('',#184736,10.); #47858=VECTOR('',#184737,10.); #47859=VECTOR('',#184738,10.); #47860=VECTOR('',#184741,10.); #47861=VECTOR('',#184742,10.); #47862=VECTOR('',#184743,10.); #47863=VECTOR('',#184748,10.); #47864=VECTOR('',#184755,10.); #47865=VECTOR('',#184762,10.); #47866=VECTOR('',#184769,10.); #47867=VECTOR('',#184776,10.); #47868=VECTOR('',#184783,10.); #47869=VECTOR('',#184788,10.); #47870=VECTOR('',#184789,10.); #47871=VECTOR('',#184790,10.); #47872=VECTOR('',#184793,10.); #47873=VECTOR('',#184794,10.); #47874=VECTOR('',#184795,10.); #47875=VECTOR('',#184798,10.); #47876=VECTOR('',#184799,10.); #47877=VECTOR('',#184800,10.); #47878=VECTOR('',#184803,10.); #47879=VECTOR('',#184804,10.); #47880=VECTOR('',#184805,10.); #47881=VECTOR('',#184810,10.); #47882=VECTOR('',#184817,10.); #47883=VECTOR('',#184822,10.); #47884=VECTOR('',#184823,10.); #47885=VECTOR('',#184824,10.); #47886=VECTOR('',#184827,10.); #47887=VECTOR('',#184828,10.); #47888=VECTOR('',#184829,10.); #47889=VECTOR('',#184832,10.); #47890=VECTOR('',#184833,10.); #47891=VECTOR('',#184834,10.); #47892=VECTOR('',#184837,10.); #47893=VECTOR('',#184838,10.); #47894=VECTOR('',#184839,10.); #47895=VECTOR('',#184842,10.); #47896=VECTOR('',#184843,10.); #47897=VECTOR('',#184844,10.); #47898=VECTOR('',#184847,10.); #47899=VECTOR('',#184848,10.); #47900=VECTOR('',#184849,10.); #47901=VECTOR('',#184852,10.); #47902=VECTOR('',#184853,10.); #47903=VECTOR('',#184854,10.); #47904=VECTOR('',#184857,10.); #47905=VECTOR('',#184858,10.); #47906=VECTOR('',#184859,10.); #47907=VECTOR('',#184862,10.); #47908=VECTOR('',#184863,10.); #47909=VECTOR('',#184864,10.); #47910=VECTOR('',#184867,10.); #47911=VECTOR('',#184868,10.); #47912=VECTOR('',#184869,10.); #47913=VECTOR('',#184872,10.); #47914=VECTOR('',#184873,10.); #47915=VECTOR('',#184874,10.); #47916=VECTOR('',#184877,10.); #47917=VECTOR('',#184878,10.); #47918=VECTOR('',#184879,10.); #47919=VECTOR('',#184882,10.); #47920=VECTOR('',#184883,10.); #47921=VECTOR('',#184884,10.); #47922=VECTOR('',#184887,10.); #47923=VECTOR('',#184888,10.); #47924=VECTOR('',#184893,10.); #47925=VECTOR('',#184894,10.); #47926=VECTOR('',#184895,10.); #47927=VECTOR('',#184896,10.); #47928=VECTOR('',#184899,10.); #47929=VECTOR('',#184900,10.); #47930=VECTOR('',#184901,10.); #47931=VECTOR('',#184904,10.); #47932=VECTOR('',#184905,10.); #47933=VECTOR('',#184906,10.); #47934=VECTOR('',#184909,10.); #47935=VECTOR('',#184910,10.); #47936=VECTOR('',#184911,10.); #47937=VECTOR('',#184914,10.); #47938=VECTOR('',#184915,10.); #47939=VECTOR('',#184916,10.); #47940=VECTOR('',#184919,10.); #47941=VECTOR('',#184920,10.); #47942=VECTOR('',#184921,10.); #47943=VECTOR('',#184934,10.); #47944=VECTOR('',#184937,10.); #47945=VECTOR('',#184942,10.); #47946=VECTOR('',#184947,10.); #47947=VECTOR('',#184948,10.); #47948=VECTOR('',#184949,10.); #47949=VECTOR('',#184952,10.); #47950=VECTOR('',#184953,10.); #47951=VECTOR('',#184954,10.); #47952=VECTOR('',#184957,10.); #47953=VECTOR('',#184958,10.); #47954=VECTOR('',#184959,10.); #47955=VECTOR('',#184962,10.); #47956=VECTOR('',#184963,10.); #47957=VECTOR('',#184964,10.); #47958=VECTOR('',#184967,10.); #47959=VECTOR('',#184968,10.); #47960=VECTOR('',#184969,10.); #47961=VECTOR('',#184972,10.); #47962=VECTOR('',#184973,10.); #47963=VECTOR('',#184974,10.); #47964=VECTOR('',#184977,10.); #47965=VECTOR('',#184978,10.); #47966=VECTOR('',#184979,10.); #47967=VECTOR('',#184982,10.); #47968=VECTOR('',#184983,10.); #47969=VECTOR('',#184984,10.); #47970=VECTOR('',#184987,10.); #47971=VECTOR('',#184988,10.); #47972=VECTOR('',#184989,10.); #47973=VECTOR('',#184992,10.); #47974=VECTOR('',#184993,10.); #47975=VECTOR('',#184994,10.); #47976=VECTOR('',#184997,10.); #47977=VECTOR('',#184998,10.); #47978=VECTOR('',#184999,10.); #47979=VECTOR('',#185002,10.); #47980=VECTOR('',#185003,10.); #47981=VECTOR('',#185004,10.); #47982=VECTOR('',#185007,10.); #47983=VECTOR('',#185008,10.); #47984=VECTOR('',#185009,10.); #47985=VECTOR('',#185012,10.); #47986=VECTOR('',#185013,10.); #47987=VECTOR('',#185014,10.); #47988=VECTOR('',#185017,10.); #47989=VECTOR('',#185018,10.); #47990=VECTOR('',#185019,10.); #47991=VECTOR('',#185022,10.); #47992=VECTOR('',#185023,10.); #47993=VECTOR('',#185024,10.); #47994=VECTOR('',#185027,10.); #47995=VECTOR('',#185028,10.); #47996=VECTOR('',#185029,10.); #47997=VECTOR('',#185032,10.); #47998=VECTOR('',#185033,10.); #47999=VECTOR('',#185034,10.); #48000=VECTOR('',#185037,10.); #48001=VECTOR('',#185038,10.); #48002=VECTOR('',#185039,10.); #48003=VECTOR('',#185042,10.); #48004=VECTOR('',#185043,10.); #48005=VECTOR('',#185044,10.); #48006=VECTOR('',#185047,10.); #48007=VECTOR('',#185048,10.); #48008=VECTOR('',#185049,10.); #48009=VECTOR('',#185052,10.); #48010=VECTOR('',#185053,10.); #48011=VECTOR('',#185054,10.); #48012=VECTOR('',#185057,10.); #48013=VECTOR('',#185058,10.); #48014=VECTOR('',#185059,10.); #48015=VECTOR('',#185064,10.); #48016=VECTOR('',#185069,10.); #48017=VECTOR('',#185070,10.); #48018=VECTOR('',#185071,10.); #48019=VECTOR('',#185074,10.); #48020=VECTOR('',#185075,10.); #48021=VECTOR('',#185076,10.); #48022=VECTOR('',#185079,10.); #48023=VECTOR('',#185080,10.); #48024=VECTOR('',#185081,10.); #48025=VECTOR('',#185084,10.); #48026=VECTOR('',#185085,10.); #48027=VECTOR('',#185086,10.); #48028=VECTOR('',#185089,10.); #48029=VECTOR('',#185090,10.); #48030=VECTOR('',#185091,10.); #48031=VECTOR('',#185094,10.); #48032=VECTOR('',#185095,10.); #48033=VECTOR('',#185096,10.); #48034=VECTOR('',#185099,10.); #48035=VECTOR('',#185100,10.); #48036=VECTOR('',#185101,10.); #48037=VECTOR('',#185104,10.); #48038=VECTOR('',#185105,10.); #48039=VECTOR('',#185106,10.); #48040=VECTOR('',#185109,10.); #48041=VECTOR('',#185110,10.); #48042=VECTOR('',#185111,10.); #48043=VECTOR('',#185114,10.); #48044=VECTOR('',#185115,10.); #48045=VECTOR('',#185116,10.); #48046=VECTOR('',#185119,10.); #48047=VECTOR('',#185120,10.); #48048=VECTOR('',#185121,10.); #48049=VECTOR('',#185124,10.); #48050=VECTOR('',#185125,10.); #48051=VECTOR('',#185126,10.); #48052=VECTOR('',#185129,10.); #48053=VECTOR('',#185130,10.); #48054=VECTOR('',#185131,10.); #48055=VECTOR('',#185134,10.); #48056=VECTOR('',#185135,10.); #48057=VECTOR('',#185136,10.); #48058=VECTOR('',#185139,10.); #48059=VECTOR('',#185140,10.); #48060=VECTOR('',#185141,10.); #48061=VECTOR('',#185144,10.); #48062=VECTOR('',#185145,10.); #48063=VECTOR('',#185146,10.); #48064=VECTOR('',#185149,10.); #48065=VECTOR('',#185150,10.); #48066=VECTOR('',#185151,10.); #48067=VECTOR('',#185154,10.); #48068=VECTOR('',#185155,10.); #48069=VECTOR('',#185156,10.); #48070=VECTOR('',#185159,10.); #48071=VECTOR('',#185160,10.); #48072=VECTOR('',#185161,10.); #48073=VECTOR('',#185164,10.); #48074=VECTOR('',#185165,10.); #48075=VECTOR('',#185166,10.); #48076=VECTOR('',#185171,10.); #48077=VECTOR('',#185176,10.); #48078=VECTOR('',#185177,10.); #48079=VECTOR('',#185178,10.); #48080=VECTOR('',#185181,10.); #48081=VECTOR('',#185182,10.); #48082=VECTOR('',#185183,10.); #48083=VECTOR('',#185186,10.); #48084=VECTOR('',#185187,10.); #48085=VECTOR('',#185188,10.); #48086=VECTOR('',#185193,10.); #48087=VECTOR('',#185198,10.); #48088=VECTOR('',#185199,10.); #48089=VECTOR('',#185200,10.); #48090=VECTOR('',#185205,10.); #48091=VECTOR('',#185212,10.); #48092=VECTOR('',#185217,10.); #48093=VECTOR('',#185218,10.); #48094=VECTOR('',#185219,10.); #48095=VECTOR('',#185222,10.); #48096=VECTOR('',#185223,10.); #48097=VECTOR('',#185224,10.); #48098=VECTOR('',#185227,10.); #48099=VECTOR('',#185228,10.); #48100=VECTOR('',#185229,10.); #48101=VECTOR('',#185232,10.); #48102=VECTOR('',#185233,10.); #48103=VECTOR('',#185234,10.); #48104=VECTOR('',#185237,10.); #48105=VECTOR('',#185238,10.); #48106=VECTOR('',#185239,10.); #48107=VECTOR('',#185244,10.); #48108=VECTOR('',#185251,10.); #48109=VECTOR('',#185256,10.); #48110=VECTOR('',#185257,10.); #48111=VECTOR('',#185258,10.); #48112=VECTOR('',#185261,10.); #48113=VECTOR('',#185262,10.); #48114=VECTOR('',#185263,10.); #48115=VECTOR('',#185266,10.); #48116=VECTOR('',#185267,10.); #48117=VECTOR('',#185268,10.); #48118=VECTOR('',#185271,10.); #48119=VECTOR('',#185272,10.); #48120=VECTOR('',#185273,10.); #48121=VECTOR('',#185278,10.); #48122=VECTOR('',#185285,10.); #48123=VECTOR('',#185290,10.); #48124=VECTOR('',#185291,10.); #48125=VECTOR('',#185292,10.); #48126=VECTOR('',#185295,10.); #48127=VECTOR('',#185296,10.); #48128=VECTOR('',#185297,10.); #48129=VECTOR('',#185300,10.); #48130=VECTOR('',#185301,10.); #48131=VECTOR('',#185302,10.); #48132=VECTOR('',#185305,10.); #48133=VECTOR('',#185306,10.); #48134=VECTOR('',#185307,10.); #48135=VECTOR('',#185310,10.); #48136=VECTOR('',#185311,10.); #48137=VECTOR('',#185312,10.); #48138=VECTOR('',#185315,10.); #48139=VECTOR('',#185316,10.); #48140=VECTOR('',#185317,10.); #48141=VECTOR('',#185320,10.); #48142=VECTOR('',#185321,10.); #48143=VECTOR('',#185322,10.); #48144=VECTOR('',#185325,10.); #48145=VECTOR('',#185326,10.); #48146=VECTOR('',#185327,10.); #48147=VECTOR('',#185330,10.); #48148=VECTOR('',#185331,10.); #48149=VECTOR('',#185332,10.); #48150=VECTOR('',#185335,10.); #48151=VECTOR('',#185336,10.); #48152=VECTOR('',#185337,10.); #48153=VECTOR('',#185340,10.); #48154=VECTOR('',#185341,10.); #48155=VECTOR('',#185342,10.); #48156=VECTOR('',#185345,10.); #48157=VECTOR('',#185346,10.); #48158=VECTOR('',#185347,10.); #48159=VECTOR('',#185350,10.); #48160=VECTOR('',#185351,10.); #48161=VECTOR('',#185352,10.); #48162=VECTOR('',#185357,10.); #48163=VECTOR('',#185362,10.); #48164=VECTOR('',#185363,10.); #48165=VECTOR('',#185364,10.); #48166=VECTOR('',#185367,10.); #48167=VECTOR('',#185368,10.); #48168=VECTOR('',#185369,10.); #48169=VECTOR('',#185372,10.); #48170=VECTOR('',#185373,10.); #48171=VECTOR('',#185374,10.); #48172=VECTOR('',#185377,10.); #48173=VECTOR('',#185378,10.); #48174=VECTOR('',#185379,10.); #48175=VECTOR('',#185382,10.); #48176=VECTOR('',#185383,10.); #48177=VECTOR('',#185384,10.); #48178=VECTOR('',#185387,10.); #48179=VECTOR('',#185388,10.); #48180=VECTOR('',#185389,10.); #48181=VECTOR('',#185392,10.); #48182=VECTOR('',#185393,10.); #48183=VECTOR('',#185394,10.); #48184=VECTOR('',#185397,10.); #48185=VECTOR('',#185398,10.); #48186=VECTOR('',#185399,10.); #48187=VECTOR('',#185402,10.); #48188=VECTOR('',#185403,10.); #48189=VECTOR('',#185404,10.); #48190=VECTOR('',#185407,10.); #48191=VECTOR('',#185408,10.); #48192=VECTOR('',#185409,10.); #48193=VECTOR('',#185412,10.); #48194=VECTOR('',#185413,10.); #48195=VECTOR('',#185414,10.); #48196=VECTOR('',#185417,10.); #48197=VECTOR('',#185418,10.); #48198=VECTOR('',#185419,10.); #48199=VECTOR('',#185422,10.); #48200=VECTOR('',#185423,10.); #48201=VECTOR('',#185424,10.); #48202=VECTOR('',#185427,10.); #48203=VECTOR('',#185428,10.); #48204=VECTOR('',#185429,10.); #48205=VECTOR('',#185432,10.); #48206=VECTOR('',#185433,10.); #48207=VECTOR('',#185434,10.); #48208=VECTOR('',#185437,10.); #48209=VECTOR('',#185438,10.); #48210=VECTOR('',#185439,10.); #48211=VECTOR('',#185444,10.); #48212=VECTOR('',#185449,10.); #48213=VECTOR('',#185450,10.); #48214=VECTOR('',#185451,10.); #48215=VECTOR('',#185454,10.); #48216=VECTOR('',#185455,10.); #48217=VECTOR('',#185456,10.); #48218=VECTOR('',#185459,10.); #48219=VECTOR('',#185460,10.); #48220=VECTOR('',#185461,10.); #48221=VECTOR('',#185464,10.); #48222=VECTOR('',#185465,10.); #48223=VECTOR('',#185466,10.); #48224=VECTOR('',#185469,10.); #48225=VECTOR('',#185470,10.); #48226=VECTOR('',#185471,10.); #48227=VECTOR('',#185474,10.); #48228=VECTOR('',#185475,10.); #48229=VECTOR('',#185476,10.); #48230=VECTOR('',#185479,10.); #48231=VECTOR('',#185480,10.); #48232=VECTOR('',#185481,10.); #48233=VECTOR('',#185484,10.); #48234=VECTOR('',#185485,10.); #48235=VECTOR('',#185486,10.); #48236=VECTOR('',#185491,10.); #48237=VECTOR('',#185496,10.); #48238=VECTOR('',#185497,10.); #48239=VECTOR('',#185498,10.); #48240=VECTOR('',#185501,10.); #48241=VECTOR('',#185502,10.); #48242=VECTOR('',#185503,10.); #48243=VECTOR('',#185506,10.); #48244=VECTOR('',#185507,10.); #48245=VECTOR('',#185508,10.); #48246=VECTOR('',#185511,10.); #48247=VECTOR('',#185512,10.); #48248=VECTOR('',#185513,10.); #48249=VECTOR('',#185516,10.); #48250=VECTOR('',#185517,10.); #48251=VECTOR('',#185518,10.); #48252=VECTOR('',#185523,10.); #48253=VECTOR('',#185528,10.); #48254=VECTOR('',#185529,10.); #48255=VECTOR('',#185530,10.); #48256=VECTOR('',#185533,10.); #48257=VECTOR('',#185534,10.); #48258=VECTOR('',#185535,10.); #48259=VECTOR('',#185538,10.); #48260=VECTOR('',#185539,10.); #48261=VECTOR('',#185540,10.); #48262=VECTOR('',#185543,10.); #48263=VECTOR('',#185544,10.); #48264=VECTOR('',#185545,10.); #48265=VECTOR('',#185548,10.); #48266=VECTOR('',#185549,10.); #48267=VECTOR('',#185550,10.); #48268=VECTOR('',#185553,10.); #48269=VECTOR('',#185554,10.); #48270=VECTOR('',#185561,10.); #48271=VECTOR('',#185564,10.); #48272=VECTOR('',#185567,10.); #48273=VECTOR('',#185568,10.); #48274=VECTOR('',#185569,10.); #48275=VECTOR('',#185572,10.); #48276=VECTOR('',#185573,10.); #48277=VECTOR('',#185574,10.); #48278=VECTOR('',#185577,10.); #48279=VECTOR('',#185578,10.); #48280=VECTOR('',#185579,10.); #48281=VECTOR('',#185582,10.); #48282=VECTOR('',#185583,10.); #48283=VECTOR('',#185584,10.); #48284=VECTOR('',#185587,10.); #48285=VECTOR('',#185588,10.); #48286=VECTOR('',#185589,10.); #48287=VECTOR('',#185594,10.); #48288=VECTOR('',#185601,10.); #48289=VECTOR('',#185606,10.); #48290=VECTOR('',#185607,10.); #48291=VECTOR('',#185608,10.); #48292=VECTOR('',#185611,10.); #48293=VECTOR('',#185612,10.); #48294=VECTOR('',#185613,10.); #48295=VECTOR('',#185616,10.); #48296=VECTOR('',#185617,10.); #48297=VECTOR('',#185618,10.); #48298=VECTOR('',#185621,10.); #48299=VECTOR('',#185622,10.); #48300=VECTOR('',#185627,10.); #48301=VECTOR('',#185630,10.); #48302=VECTOR('',#185633,10.); #48303=VECTOR('',#185634,10.); #48304=VECTOR('',#185635,10.); #48305=VECTOR('',#185638,10.); #48306=VECTOR('',#185639,10.); #48307=VECTOR('',#185640,10.); #48308=VECTOR('',#185643,10.); #48309=VECTOR('',#185644,10.); #48310=VECTOR('',#185645,10.); #48311=VECTOR('',#185648,10.); #48312=VECTOR('',#185649,10.); #48313=VECTOR('',#185650,10.); #48314=VECTOR('',#185653,10.); #48315=VECTOR('',#185654,10.); #48316=VECTOR('',#185655,10.); #48317=VECTOR('',#185658,10.); #48318=VECTOR('',#185659,10.); #48319=VECTOR('',#185660,10.); #48320=VECTOR('',#185663,10.); #48321=VECTOR('',#185664,10.); #48322=VECTOR('',#185665,10.); #48323=VECTOR('',#185668,10.); #48324=VECTOR('',#185669,10.); #48325=VECTOR('',#185670,10.); #48326=VECTOR('',#185673,10.); #48327=VECTOR('',#185674,10.); #48328=VECTOR('',#185675,10.); #48329=VECTOR('',#185678,10.); #48330=VECTOR('',#185679,10.); #48331=VECTOR('',#185680,10.); #48332=VECTOR('',#185683,10.); #48333=VECTOR('',#185684,10.); #48334=VECTOR('',#185685,10.); #48335=VECTOR('',#185690,10.); #48336=VECTOR('',#185695,10.); #48337=VECTOR('',#185696,10.); #48338=VECTOR('',#185697,10.); #48339=VECTOR('',#185700,10.); #48340=VECTOR('',#185701,10.); #48341=VECTOR('',#185702,10.); #48342=VECTOR('',#185705,10.); #48343=VECTOR('',#185706,10.); #48344=VECTOR('',#185707,10.); #48345=VECTOR('',#185710,10.); #48346=VECTOR('',#185711,10.); #48347=VECTOR('',#185712,10.); #48348=VECTOR('',#185715,10.); #48349=VECTOR('',#185716,10.); #48350=VECTOR('',#185717,10.); #48351=VECTOR('',#185720,10.); #48352=VECTOR('',#185721,10.); #48353=VECTOR('',#185722,10.); #48354=VECTOR('',#185727,10.); #48355=VECTOR('',#185732,10.); #48356=VECTOR('',#185733,10.); #48357=VECTOR('',#185734,10.); #48358=VECTOR('',#185737,10.); #48359=VECTOR('',#185738,10.); #48360=VECTOR('',#185739,10.); #48361=VECTOR('',#185742,10.); #48362=VECTOR('',#185743,10.); #48363=VECTOR('',#185744,10.); #48364=VECTOR('',#185747,10.); #48365=VECTOR('',#185748,10.); #48366=VECTOR('',#185749,10.); #48367=VECTOR('',#185752,10.); #48368=VECTOR('',#185753,10.); #48369=VECTOR('',#185754,10.); #48370=VECTOR('',#185757,10.); #48371=VECTOR('',#185758,10.); #48372=VECTOR('',#185759,10.); #48373=VECTOR('',#185762,10.); #48374=VECTOR('',#185763,10.); #48375=VECTOR('',#185764,10.); #48376=VECTOR('',#185767,10.); #48377=VECTOR('',#185768,10.); #48378=VECTOR('',#185769,10.); #48379=VECTOR('',#185772,10.); #48380=VECTOR('',#185773,10.); #48381=VECTOR('',#185774,10.); #48382=VECTOR('',#185777,10.); #48383=VECTOR('',#185778,10.); #48384=VECTOR('',#185779,10.); #48385=VECTOR('',#185782,10.); #48386=VECTOR('',#185783,10.); #48387=VECTOR('',#185784,10.); #48388=VECTOR('',#185787,10.); #48389=VECTOR('',#185788,10.); #48390=VECTOR('',#185789,10.); #48391=VECTOR('',#185792,10.); #48392=VECTOR('',#185793,10.); #48393=VECTOR('',#185794,10.); #48394=VECTOR('',#185797,10.); #48395=VECTOR('',#185798,10.); #48396=VECTOR('',#185799,10.); #48397=VECTOR('',#185802,10.); #48398=VECTOR('',#185803,10.); #48399=VECTOR('',#185804,10.); #48400=VECTOR('',#185807,10.); #48401=VECTOR('',#185808,10.); #48402=VECTOR('',#185809,10.); #48403=VECTOR('',#185812,10.); #48404=VECTOR('',#185813,10.); #48405=VECTOR('',#185814,10.); #48406=VECTOR('',#185817,10.); #48407=VECTOR('',#185818,10.); #48408=VECTOR('',#185819,10.); #48409=VECTOR('',#185822,10.); #48410=VECTOR('',#185823,10.); #48411=VECTOR('',#185824,10.); #48412=VECTOR('',#185827,10.); #48413=VECTOR('',#185828,10.); #48414=VECTOR('',#185829,10.); #48415=VECTOR('',#185832,10.); #48416=VECTOR('',#185833,10.); #48417=VECTOR('',#185834,10.); #48418=VECTOR('',#185837,10.); #48419=VECTOR('',#185838,10.); #48420=VECTOR('',#185839,10.); #48421=VECTOR('',#185842,10.); #48422=VECTOR('',#185843,10.); #48423=VECTOR('',#185844,10.); #48424=VECTOR('',#185847,10.); #48425=VECTOR('',#185848,10.); #48426=VECTOR('',#185849,10.); #48427=VECTOR('',#185854,10.); #48428=VECTOR('',#185859,10.); #48429=VECTOR('',#185860,10.); #48430=VECTOR('',#185861,10.); #48431=VECTOR('',#185864,10.); #48432=VECTOR('',#185865,10.); #48433=VECTOR('',#185866,10.); #48434=VECTOR('',#185869,10.); #48435=VECTOR('',#185870,10.); #48436=VECTOR('',#185871,10.); #48437=VECTOR('',#185874,10.); #48438=VECTOR('',#185875,10.); #48439=VECTOR('',#185876,10.); #48440=VECTOR('',#185879,10.); #48441=VECTOR('',#185880,10.); #48442=VECTOR('',#185881,10.); #48443=VECTOR('',#185884,10.); #48444=VECTOR('',#185885,10.); #48445=VECTOR('',#185886,10.); #48446=VECTOR('',#185889,10.); #48447=VECTOR('',#185890,10.); #48448=VECTOR('',#185891,10.); #48449=VECTOR('',#185894,10.); #48450=VECTOR('',#185895,10.); #48451=VECTOR('',#185896,10.); #48452=VECTOR('',#185899,10.); #48453=VECTOR('',#185900,10.); #48454=VECTOR('',#185901,10.); #48455=VECTOR('',#185904,10.); #48456=VECTOR('',#185905,10.); #48457=VECTOR('',#185906,10.); #48458=VECTOR('',#185909,10.); #48459=VECTOR('',#185910,10.); #48460=VECTOR('',#185911,10.); #48461=VECTOR('',#185914,10.); #48462=VECTOR('',#185915,10.); #48463=VECTOR('',#185916,10.); #48464=VECTOR('',#185919,10.); #48465=VECTOR('',#185920,10.); #48466=VECTOR('',#185921,10.); #48467=VECTOR('',#185924,10.); #48468=VECTOR('',#185925,10.); #48469=VECTOR('',#185926,10.); #48470=VECTOR('',#185929,10.); #48471=VECTOR('',#185930,10.); #48472=VECTOR('',#185931,10.); #48473=VECTOR('',#185934,10.); #48474=VECTOR('',#185935,10.); #48475=VECTOR('',#185936,10.); #48476=VECTOR('',#185939,10.); #48477=VECTOR('',#185940,10.); #48478=VECTOR('',#185941,10.); #48479=VECTOR('',#185944,10.); #48480=VECTOR('',#185945,10.); #48481=VECTOR('',#185946,10.); #48482=VECTOR('',#185949,10.); #48483=VECTOR('',#185950,10.); #48484=VECTOR('',#185951,10.); #48485=VECTOR('',#185954,10.); #48486=VECTOR('',#185955,10.); #48487=VECTOR('',#185956,10.); #48488=VECTOR('',#185959,10.); #48489=VECTOR('',#185960,10.); #48490=VECTOR('',#185961,10.); #48491=VECTOR('',#185964,10.); #48492=VECTOR('',#185965,10.); #48493=VECTOR('',#185966,10.); #48494=VECTOR('',#185971,10.); #48495=VECTOR('',#185976,10.); #48496=VECTOR('',#185977,10.); #48497=VECTOR('',#185978,10.); #48498=VECTOR('',#185981,10.); #48499=VECTOR('',#185982,10.); #48500=VECTOR('',#185983,10.); #48501=VECTOR('',#185986,10.); #48502=VECTOR('',#185987,10.); #48503=VECTOR('',#185988,10.); #48504=VECTOR('',#185991,10.); #48505=VECTOR('',#185992,10.); #48506=VECTOR('',#185993,10.); #48507=VECTOR('',#185996,10.); #48508=VECTOR('',#185997,10.); #48509=VECTOR('',#185998,10.); #48510=VECTOR('',#186001,10.); #48511=VECTOR('',#186002,10.); #48512=VECTOR('',#186003,10.); #48513=VECTOR('',#186006,10.); #48514=VECTOR('',#186007,10.); #48515=VECTOR('',#186008,10.); #48516=VECTOR('',#186013,10.); #48517=VECTOR('',#186020,10.); #48518=VECTOR('',#186025,10.); #48519=VECTOR('',#186026,10.); #48520=VECTOR('',#186027,10.); #48521=VECTOR('',#186030,10.); #48522=VECTOR('',#186031,10.); #48523=VECTOR('',#186032,10.); #48524=VECTOR('',#186035,10.); #48525=VECTOR('',#186036,10.); #48526=VECTOR('',#186037,10.); #48527=VECTOR('',#186040,10.); #48528=VECTOR('',#186041,10.); #48529=VECTOR('',#186042,10.); #48530=VECTOR('',#186045,10.); #48531=VECTOR('',#186046,10.); #48532=VECTOR('',#186047,10.); #48533=VECTOR('',#186050,10.); #48534=VECTOR('',#186051,10.); #48535=VECTOR('',#186052,10.); #48536=VECTOR('',#186055,10.); #48537=VECTOR('',#186056,10.); #48538=VECTOR('',#186057,10.); #48539=VECTOR('',#186062,10.); #48540=VECTOR('',#186067,10.); #48541=VECTOR('',#186068,10.); #48542=VECTOR('',#186069,10.); #48543=VECTOR('',#186074,10.); #48544=VECTOR('',#186079,10.); #48545=VECTOR('',#186080,10.); #48546=VECTOR('',#186081,10.); #48547=VECTOR('',#186084,10.); #48548=VECTOR('',#186085,10.); #48549=VECTOR('',#186086,10.); #48550=VECTOR('',#186089,10.); #48551=VECTOR('',#186090,10.); #48552=VECTOR('',#186091,10.); #48553=VECTOR('',#186094,10.); #48554=VECTOR('',#186095,10.); #48555=VECTOR('',#186096,10.); #48556=VECTOR('',#186099,10.); #48557=VECTOR('',#186100,10.); #48558=VECTOR('',#186101,10.); #48559=VECTOR('',#186104,10.); #48560=VECTOR('',#186105,10.); #48561=VECTOR('',#186106,10.); #48562=VECTOR('',#186109,10.); #48563=VECTOR('',#186110,10.); #48564=VECTOR('',#186111,10.); #48565=VECTOR('',#186114,10.); #48566=VECTOR('',#186115,10.); #48567=VECTOR('',#186116,10.); #48568=VECTOR('',#186119,10.); #48569=VECTOR('',#186120,10.); #48570=VECTOR('',#186121,10.); #48571=VECTOR('',#186124,10.); #48572=VECTOR('',#186125,10.); #48573=VECTOR('',#186126,10.); #48574=VECTOR('',#186131,10.); #48575=VECTOR('',#186136,10.); #48576=VECTOR('',#186137,10.); #48577=VECTOR('',#186138,10.); #48578=VECTOR('',#186141,10.); #48579=VECTOR('',#186142,10.); #48580=VECTOR('',#186143,10.); #48581=VECTOR('',#186146,10.); #48582=VECTOR('',#186147,10.); #48583=VECTOR('',#186148,10.); #48584=VECTOR('',#186151,10.); #48585=VECTOR('',#186152,10.); #48586=VECTOR('',#186153,10.); #48587=VECTOR('',#186158,10.); #48588=VECTOR('',#186163,10.); #48589=VECTOR('',#186164,10.); #48590=VECTOR('',#186165,10.); #48591=VECTOR('',#186168,10.); #48592=VECTOR('',#186169,10.); #48593=VECTOR('',#186170,10.); #48594=VECTOR('',#186173,10.); #48595=VECTOR('',#186174,10.); #48596=VECTOR('',#186175,10.); #48597=VECTOR('',#186178,10.); #48598=VECTOR('',#186179,10.); #48599=VECTOR('',#186180,10.); #48600=VECTOR('',#186183,10.); #48601=VECTOR('',#186184,10.); #48602=VECTOR('',#186185,10.); #48603=VECTOR('',#186188,10.); #48604=VECTOR('',#186189,10.); #48605=VECTOR('',#186190,10.); #48606=VECTOR('',#186195,10.); #48607=VECTOR('',#186200,10.); #48608=VECTOR('',#186201,10.); #48609=VECTOR('',#186202,10.); #48610=VECTOR('',#186205,10.); #48611=VECTOR('',#186206,10.); #48612=VECTOR('',#186207,10.); #48613=VECTOR('',#186210,10.); #48614=VECTOR('',#186211,10.); #48615=VECTOR('',#186212,10.); #48616=VECTOR('',#186215,10.); #48617=VECTOR('',#186216,10.); #48618=VECTOR('',#186217,10.); #48619=VECTOR('',#186222,10.); #48620=VECTOR('',#186229,10.); #48621=VECTOR('',#186234,10.); #48622=VECTOR('',#186235,10.); #48623=VECTOR('',#186236,10.); #48624=VECTOR('',#186239,10.); #48625=VECTOR('',#186240,10.); #48626=VECTOR('',#186241,10.); #48627=VECTOR('',#186244,10.); #48628=VECTOR('',#186245,10.); #48629=VECTOR('',#186246,10.); #48630=VECTOR('',#186251,10.); #48631=VECTOR('',#186258,10.); #48632=VECTOR('',#186263,10.); #48633=VECTOR('',#186264,10.); #48634=VECTOR('',#186265,10.); #48635=VECTOR('',#186268,10.); #48636=VECTOR('',#186269,10.); #48637=VECTOR('',#186270,10.); #48638=VECTOR('',#186275,10.); #48639=VECTOR('',#186280,10.); #48640=VECTOR('',#186281,10.); #48641=VECTOR('',#186282,10.); #48642=VECTOR('',#186285,10.); #48643=VECTOR('',#186286,10.); #48644=VECTOR('',#186287,10.); #48645=VECTOR('',#186290,10.); #48646=VECTOR('',#186291,10.); #48647=VECTOR('',#186292,10.); #48648=VECTOR('',#186295,10.); #48649=VECTOR('',#186296,10.); #48650=VECTOR('',#186297,10.); #48651=VECTOR('',#186300,10.); #48652=VECTOR('',#186301,10.); #48653=VECTOR('',#186302,10.); #48654=VECTOR('',#186305,10.); #48655=VECTOR('',#186306,10.); #48656=VECTOR('',#186307,10.); #48657=VECTOR('',#186310,10.); #48658=VECTOR('',#186311,10.); #48659=VECTOR('',#186312,10.); #48660=VECTOR('',#186315,10.); #48661=VECTOR('',#186316,10.); #48662=VECTOR('',#186317,10.); #48663=VECTOR('',#186320,10.); #48664=VECTOR('',#186321,10.); #48665=VECTOR('',#186322,10.); #48666=VECTOR('',#186325,10.); #48667=VECTOR('',#186326,10.); #48668=VECTOR('',#186327,10.); #48669=VECTOR('',#186330,10.); #48670=VECTOR('',#186331,10.); #48671=VECTOR('',#186332,10.); #48672=VECTOR('',#186335,10.); #48673=VECTOR('',#186336,10.); #48674=VECTOR('',#186337,10.); #48675=VECTOR('',#186340,10.); #48676=VECTOR('',#186341,10.); #48677=VECTOR('',#186348,10.); #48678=VECTOR('',#186351,10.); #48679=VECTOR('',#186356,10.); #48680=VECTOR('',#186363,10.); #48681=VECTOR('',#186370,10.); #48682=VECTOR('',#186375,10.); #48683=VECTOR('',#186376,10.); #48684=VECTOR('',#186377,10.); #48685=VECTOR('',#186380,10.); #48686=VECTOR('',#186381,10.); #48687=VECTOR('',#186382,10.); #48688=VECTOR('',#186385,10.); #48689=VECTOR('',#186386,10.); #48690=VECTOR('',#186391,10.); #48691=VECTOR('',#186394,10.); #48692=VECTOR('',#186397,10.); #48693=VECTOR('',#186398,10.); #48694=VECTOR('',#186399,10.); #48695=VECTOR('',#186402,10.); #48696=VECTOR('',#186403,10.); #48697=VECTOR('',#186404,10.); #48698=VECTOR('',#186407,10.); #48699=VECTOR('',#186408,10.); #48700=VECTOR('',#186409,10.); #48701=VECTOR('',#186412,10.); #48702=VECTOR('',#186413,10.); #48703=VECTOR('',#186414,10.); #48704=VECTOR('',#186419,10.); #48705=VECTOR('',#186426,10.); #48706=VECTOR('',#186437,10.); #48707=VECTOR('',#186438,10.); #48708=VECTOR('',#186439,10.); #48709=VECTOR('',#186440,10.); #48710=VECTOR('',#186443,10.); #48711=VECTOR('',#186444,10.); #48712=VECTOR('',#186445,10.); #48713=VECTOR('',#186448,10.); #48714=VECTOR('',#186449,10.); #48715=VECTOR('',#186450,10.); #48716=VECTOR('',#186453,10.); #48717=VECTOR('',#186454,10.); #48718=VECTOR('',#186455,10.); #48719=VECTOR('',#186458,10.); #48720=VECTOR('',#186459,10.); #48721=VECTOR('',#186460,10.); #48722=VECTOR('',#186463,10.); #48723=VECTOR('',#186464,10.); #48724=VECTOR('',#186465,10.); #48725=VECTOR('',#186470,10.); #48726=VECTOR('',#186475,10.); #48727=VECTOR('',#186476,10.); #48728=VECTOR('',#186477,10.); #48729=VECTOR('',#186482,10.); #48730=VECTOR('',#186489,10.); #48731=VECTOR('',#186496,10.); #48732=VECTOR('',#186503,10.); #48733=VECTOR('',#186508,10.); #48734=VECTOR('',#186509,10.); #48735=VECTOR('',#186510,10.); #48736=VECTOR('',#186515,10.); #48737=VECTOR('',#186522,10.); #48738=VECTOR('',#186529,10.); #48739=VECTOR('',#186536,10.); #48740=VECTOR('',#186541,10.); #48741=VECTOR('',#186542,10.); #48742=VECTOR('',#186543,10.); #48743=VECTOR('',#186546,10.); #48744=VECTOR('',#186547,10.); #48745=VECTOR('',#186548,10.); #48746=VECTOR('',#186553,10.); #48747=VECTOR('',#186558,10.); #48748=VECTOR('',#186559,10.); #48749=VECTOR('',#186560,10.); #48750=VECTOR('',#186563,10.); #48751=VECTOR('',#186564,10.); #48752=VECTOR('',#186565,10.); #48753=VECTOR('',#186568,10.); #48754=VECTOR('',#186569,10.); #48755=VECTOR('',#186570,10.); #48756=VECTOR('',#186573,10.); #48757=VECTOR('',#186574,10.); #48758=VECTOR('',#186575,10.); #48759=VECTOR('',#186578,10.); #48760=VECTOR('',#186579,10.); #48761=VECTOR('',#186580,10.); #48762=VECTOR('',#186583,10.); #48763=VECTOR('',#186584,10.); #48764=VECTOR('',#186585,10.); #48765=VECTOR('',#186588,10.); #48766=VECTOR('',#186589,10.); #48767=VECTOR('',#186590,10.); #48768=VECTOR('',#186595,10.); #48769=VECTOR('',#186600,10.); #48770=VECTOR('',#186601,10.); #48771=VECTOR('',#186602,10.); #48772=VECTOR('',#186605,10.); #48773=VECTOR('',#186606,10.); #48774=VECTOR('',#186607,10.); #48775=VECTOR('',#186610,10.); #48776=VECTOR('',#186611,10.); #48777=VECTOR('',#186612,10.); #48778=VECTOR('',#186615,10.); #48779=VECTOR('',#186616,10.); #48780=VECTOR('',#186617,10.); #48781=VECTOR('',#186620,10.); #48782=VECTOR('',#186621,10.); #48783=VECTOR('',#186622,10.); #48784=VECTOR('',#186625,10.); #48785=VECTOR('',#186626,10.); #48786=VECTOR('',#186627,10.); #48787=VECTOR('',#186630,10.); #48788=VECTOR('',#186631,10.); #48789=VECTOR('',#186632,10.); #48790=VECTOR('',#186635,10.); #48791=VECTOR('',#186636,10.); #48792=VECTOR('',#186637,10.); #48793=VECTOR('',#186642,10.); #48794=VECTOR('',#186647,10.); #48795=VECTOR('',#186648,10.); #48796=VECTOR('',#186649,10.); #48797=VECTOR('',#186654,10.); #48798=VECTOR('',#186659,10.); #48799=VECTOR('',#186660,10.); #48800=VECTOR('',#186661,10.); #48801=VECTOR('',#186664,10.); #48802=VECTOR('',#186665,10.); #48803=VECTOR('',#186666,10.); #48804=VECTOR('',#186671,10.); #48805=VECTOR('',#186676,10.); #48806=VECTOR('',#186677,10.); #48807=VECTOR('',#186678,10.); #48808=VECTOR('',#186681,10.); #48809=VECTOR('',#186682,10.); #48810=VECTOR('',#186683,10.); #48811=VECTOR('',#186686,10.); #48812=VECTOR('',#186687,10.); #48813=VECTOR('',#186688,10.); #48814=VECTOR('',#186691,10.); #48815=VECTOR('',#186692,10.); #48816=VECTOR('',#186693,10.); #48817=VECTOR('',#186696,10.); #48818=VECTOR('',#186697,10.); #48819=VECTOR('',#186698,10.); #48820=VECTOR('',#186701,10.); #48821=VECTOR('',#186702,10.); #48822=VECTOR('',#186703,10.); #48823=VECTOR('',#186706,10.); #48824=VECTOR('',#186707,10.); #48825=VECTOR('',#186708,10.); #48826=VECTOR('',#186711,10.); #48827=VECTOR('',#186712,10.); #48828=VECTOR('',#186713,10.); #48829=VECTOR('',#186716,10.); #48830=VECTOR('',#186717,10.); #48831=VECTOR('',#186718,10.); #48832=VECTOR('',#186721,10.); #48833=VECTOR('',#186722,10.); #48834=VECTOR('',#186723,10.); #48835=VECTOR('',#186726,10.); #48836=VECTOR('',#186727,10.); #48837=VECTOR('',#186728,10.); #48838=VECTOR('',#186731,10.); #48839=VECTOR('',#186732,10.); #48840=VECTOR('',#186733,10.); #48841=VECTOR('',#186736,10.); #48842=VECTOR('',#186737,10.); #48843=VECTOR('',#186738,10.); #48844=VECTOR('',#186741,10.); #48845=VECTOR('',#186742,10.); #48846=VECTOR('',#186743,10.); #48847=VECTOR('',#186746,10.); #48848=VECTOR('',#186747,10.); #48849=VECTOR('',#186748,10.); #48850=VECTOR('',#186751,10.); #48851=VECTOR('',#186752,10.); #48852=VECTOR('',#186753,10.); #48853=VECTOR('',#186756,10.); #48854=VECTOR('',#186757,10.); #48855=VECTOR('',#186758,10.); #48856=VECTOR('',#186761,10.); #48857=VECTOR('',#186762,10.); #48858=VECTOR('',#186769,10.); #48859=VECTOR('',#186772,10.); #48860=VECTOR('',#186777,10.); #48861=VECTOR('',#186784,10.); #48862=VECTOR('',#186789,10.); #48863=VECTOR('',#186790,10.); #48864=VECTOR('',#186791,10.); #48865=VECTOR('',#186796,10.); #48866=VECTOR('',#186801,10.); #48867=VECTOR('',#186802,10.); #48868=VECTOR('',#186803,10.); #48869=VECTOR('',#186806,10.); #48870=VECTOR('',#186807,10.); #48871=VECTOR('',#186808,10.); #48872=VECTOR('',#186811,10.); #48873=VECTOR('',#186812,10.); #48874=VECTOR('',#186813,10.); #48875=VECTOR('',#186816,10.); #48876=VECTOR('',#186817,10.); #48877=VECTOR('',#186818,10.); #48878=VECTOR('',#186821,10.); #48879=VECTOR('',#186822,10.); #48880=VECTOR('',#186823,10.); #48881=VECTOR('',#186826,10.); #48882=VECTOR('',#186827,10.); #48883=VECTOR('',#186828,10.); #48884=VECTOR('',#186833,10.); #48885=VECTOR('',#186840,10.); #48886=VECTOR('',#186845,10.); #48887=VECTOR('',#186846,10.); #48888=VECTOR('',#186847,10.); #48889=VECTOR('',#186850,10.); #48890=VECTOR('',#186851,10.); #48891=VECTOR('',#186852,10.); #48892=VECTOR('',#186855,10.); #48893=VECTOR('',#186856,10.); #48894=VECTOR('',#186857,10.); #48895=VECTOR('',#186860,10.); #48896=VECTOR('',#186861,10.); #48897=VECTOR('',#186862,10.); #48898=VECTOR('',#186865,10.); #48899=VECTOR('',#186866,10.); #48900=VECTOR('',#186867,10.); #48901=VECTOR('',#186870,10.); #48902=VECTOR('',#186871,10.); #48903=VECTOR('',#186872,10.); #48904=VECTOR('',#186875,10.); #48905=VECTOR('',#186876,10.); #48906=VECTOR('',#186877,10.); #48907=VECTOR('',#186880,10.); #48908=VECTOR('',#186881,10.); #48909=VECTOR('',#186882,10.); #48910=VECTOR('',#186885,10.); #48911=VECTOR('',#186886,10.); #48912=VECTOR('',#186887,10.); #48913=VECTOR('',#186890,10.); #48914=VECTOR('',#186891,10.); #48915=VECTOR('',#186892,10.); #48916=VECTOR('',#186897,10.); #48917=VECTOR('',#186904,10.); #48918=VECTOR('',#186911,10.); #48919=VECTOR('',#186916,10.); #48920=VECTOR('',#186917,10.); #48921=VECTOR('',#186918,10.); #48922=VECTOR('',#186923,10.); #48923=VECTOR('',#186930,10.); #48924=VECTOR('',#186935,10.); #48925=VECTOR('',#186936,10.); #48926=VECTOR('',#186937,10.); #48927=VECTOR('',#186940,10.); #48928=VECTOR('',#186941,10.); #48929=VECTOR('',#186942,10.); #48930=VECTOR('',#186945,10.); #48931=VECTOR('',#186946,10.); #48932=VECTOR('',#186947,10.); #48933=VECTOR('',#186952,10.); #48934=VECTOR('',#186959,10.); #48935=VECTOR('',#186966,10.); #48936=VECTOR('',#186973,10.); #48937=VECTOR('',#186980,10.); #48938=VECTOR('',#186987,10.); #48939=VECTOR('',#186994,10.); #48940=VECTOR('',#186999,10.); #48941=VECTOR('',#187000,10.); #48942=VECTOR('',#187001,10.); #48943=VECTOR('',#187004,10.); #48944=VECTOR('',#187005,10.); #48945=VECTOR('',#187006,10.); #48946=VECTOR('',#187011,10.); #48947=VECTOR('',#187016,10.); #48948=VECTOR('',#187017,10.); #48949=VECTOR('',#187018,10.); #48950=VECTOR('',#187023,10.); #48951=VECTOR('',#187030,10.); #48952=VECTOR('',#187037,10.); #48953=VECTOR('',#187044,10.); #48954=VECTOR('',#187051,10.); #48955=VECTOR('',#187056,10.); #48956=VECTOR('',#187057,10.); #48957=VECTOR('',#187058,10.); #48958=VECTOR('',#187061,10.); #48959=VECTOR('',#187062,10.); #48960=VECTOR('',#187063,10.); #48961=VECTOR('',#187068,10.); #48962=VECTOR('',#187073,10.); #48963=VECTOR('',#187074,10.); #48964=VECTOR('',#187075,10.); #48965=VECTOR('',#187078,10.); #48966=VECTOR('',#187079,10.); #48967=VECTOR('',#187080,10.); #48968=VECTOR('',#187083,10.); #48969=VECTOR('',#187084,10.); #48970=VECTOR('',#187085,10.); #48971=VECTOR('',#187088,10.); #48972=VECTOR('',#187089,10.); #48973=VECTOR('',#187090,10.); #48974=VECTOR('',#187093,10.); #48975=VECTOR('',#187094,10.); #48976=VECTOR('',#187095,10.); #48977=VECTOR('',#187098,10.); #48978=VECTOR('',#187099,10.); #48979=VECTOR('',#187100,10.); #48980=VECTOR('',#187103,10.); #48981=VECTOR('',#187104,10.); #48982=VECTOR('',#187105,10.); #48983=VECTOR('',#187110,10.); #48984=VECTOR('',#187115,10.); #48985=VECTOR('',#187116,10.); #48986=VECTOR('',#187117,10.); #48987=VECTOR('',#187120,10.); #48988=VECTOR('',#187121,10.); #48989=VECTOR('',#187122,10.); #48990=VECTOR('',#187125,10.); #48991=VECTOR('',#187126,10.); #48992=VECTOR('',#187127,10.); #48993=VECTOR('',#187130,10.); #48994=VECTOR('',#187131,10.); #48995=VECTOR('',#187132,10.); #48996=VECTOR('',#187135,10.); #48997=VECTOR('',#187136,10.); #48998=VECTOR('',#187137,10.); #48999=VECTOR('',#187140,10.); #49000=VECTOR('',#187141,10.); #49001=VECTOR('',#187142,10.); #49002=VECTOR('',#187147,10.); #49003=VECTOR('',#187152,10.); #49004=VECTOR('',#187153,10.); #49005=VECTOR('',#187154,10.); #49006=VECTOR('',#187157,10.); #49007=VECTOR('',#187158,10.); #49008=VECTOR('',#187159,10.); #49009=VECTOR('',#187162,10.); #49010=VECTOR('',#187163,10.); #49011=VECTOR('',#187164,10.); #49012=VECTOR('',#187167,10.); #49013=VECTOR('',#187168,10.); #49014=VECTOR('',#187169,10.); #49015=VECTOR('',#187172,10.); #49016=VECTOR('',#187173,10.); #49017=VECTOR('',#187174,10.); #49018=VECTOR('',#187177,10.); #49019=VECTOR('',#187178,10.); #49020=VECTOR('',#187179,10.); #49021=VECTOR('',#187182,10.); #49022=VECTOR('',#187183,10.); #49023=VECTOR('',#187184,10.); #49024=VECTOR('',#187187,10.); #49025=VECTOR('',#187188,10.); #49026=VECTOR('',#187189,10.); #49027=VECTOR('',#187194,10.); #49028=VECTOR('',#187199,10.); #49029=VECTOR('',#187200,10.); #49030=VECTOR('',#187201,10.); #49031=VECTOR('',#187206,10.); #49032=VECTOR('',#187211,10.); #49033=VECTOR('',#187212,10.); #49034=VECTOR('',#187213,10.); #49035=VECTOR('',#187216,10.); #49036=VECTOR('',#187217,10.); #49037=VECTOR('',#187218,10.); #49038=VECTOR('',#187221,10.); #49039=VECTOR('',#187222,10.); #49040=VECTOR('',#187223,10.); #49041=VECTOR('',#187226,10.); #49042=VECTOR('',#187227,10.); #49043=VECTOR('',#187228,10.); #49044=VECTOR('',#187231,10.); #49045=VECTOR('',#187232,10.); #49046=VECTOR('',#187233,10.); #49047=VECTOR('',#187236,10.); #49048=VECTOR('',#187237,10.); #49049=VECTOR('',#187238,10.); #49050=VECTOR('',#187241,10.); #49051=VECTOR('',#187242,10.); #49052=VECTOR('',#187243,10.); #49053=VECTOR('',#187246,10.); #49054=VECTOR('',#187247,10.); #49055=VECTOR('',#187248,10.); #49056=VECTOR('',#187251,10.); #49057=VECTOR('',#187252,10.); #49058=VECTOR('',#187253,10.); #49059=VECTOR('',#187256,10.); #49060=VECTOR('',#187257,10.); #49061=VECTOR('',#187258,10.); #49062=VECTOR('',#187261,10.); #49063=VECTOR('',#187262,10.); #49064=VECTOR('',#187263,10.); #49065=VECTOR('',#187266,10.); #49066=VECTOR('',#187267,10.); #49067=VECTOR('',#187268,10.); #49068=VECTOR('',#187271,10.); #49069=VECTOR('',#187272,10.); #49070=VECTOR('',#187273,10.); #49071=VECTOR('',#187278,10.); #49072=VECTOR('',#187285,10.); #49073=VECTOR('',#187290,10.); #49074=VECTOR('',#187291,10.); #49075=VECTOR('',#187292,10.); #49076=VECTOR('',#187295,10.); #49077=VECTOR('',#187296,10.); #49078=VECTOR('',#187297,10.); #49079=VECTOR('',#187300,10.); #49080=VECTOR('',#187301,10.); #49081=VECTOR('',#187302,10.); #49082=VECTOR('',#187305,10.); #49083=VECTOR('',#187306,10.); #49084=VECTOR('',#187307,10.); #49085=VECTOR('',#187310,10.); #49086=VECTOR('',#187311,10.); #49087=VECTOR('',#187312,10.); #49088=VECTOR('',#187317,10.); #49089=VECTOR('',#187322,10.); #49090=VECTOR('',#187323,10.); #49091=VECTOR('',#187324,10.); #49092=VECTOR('',#187329,10.); #49093=VECTOR('',#187334,10.); #49094=VECTOR('',#187335,10.); #49095=VECTOR('',#187336,10.); #49096=VECTOR('',#187339,10.); #49097=VECTOR('',#187340,10.); #49098=VECTOR('',#187341,10.); #49099=VECTOR('',#187346,10.); #49100=VECTOR('',#187359,10.); #49101=VECTOR('',#187360,10.); #49102=VECTOR('',#187361,10.); #49103=VECTOR('',#187362,10.); #49104=VECTOR('',#187365,10.); #49105=VECTOR('',#187366,10.); #49106=VECTOR('',#187367,10.); #49107=VECTOR('',#187370,10.); #49108=VECTOR('',#187371,10.); #49109=VECTOR('',#187372,10.); #49110=VECTOR('',#187375,10.); #49111=VECTOR('',#187376,10.); #49112=VECTOR('',#187381,10.); #49113=VECTOR('',#187382,10.); #49114=VECTOR('',#187383,10.); #49115=VECTOR('',#187384,10.); #49116=VECTOR('',#187387,10.); #49117=VECTOR('',#187388,10.); #49118=VECTOR('',#187389,10.); #49119=VECTOR('',#187392,10.); #49120=VECTOR('',#187393,10.); #49121=VECTOR('',#187394,10.); #49122=VECTOR('',#187397,10.); #49123=VECTOR('',#187398,10.); #49124=VECTOR('',#187399,10.); #49125=VECTOR('',#187402,10.); #49126=VECTOR('',#187403,10.); #49127=VECTOR('',#187404,10.); #49128=VECTOR('',#187409,10.); #49129=VECTOR('',#187416,10.); #49130=VECTOR('',#187423,10.); #49131=VECTOR('',#187428,10.); #49132=VECTOR('',#187429,10.); #49133=VECTOR('',#187430,10.); #49134=VECTOR('',#187433,10.); #49135=VECTOR('',#187434,10.); #49136=VECTOR('',#187437,10.); #49137=VECTOR('',#187438,10.); #49138=VECTOR('',#187439,10.); #49139=VECTOR('',#187440,10.); #49140=VECTOR('',#187443,10.); #49141=VECTOR('',#187444,10.); #49142=VECTOR('',#187445,10.); #49143=VECTOR('',#187448,10.); #49144=VECTOR('',#187449,10.); #49145=VECTOR('',#187450,10.); #49146=VECTOR('',#187453,10.); #49147=VECTOR('',#187454,10.); #49148=VECTOR('',#187455,10.); #49149=VECTOR('',#187460,10.); #49150=VECTOR('',#187465,10.); #49151=VECTOR('',#187466,10.); #49152=VECTOR('',#187467,10.); #49153=VECTOR('',#187470,10.); #49154=VECTOR('',#187471,10.); #49155=VECTOR('',#187472,10.); #49156=VECTOR('',#187475,10.); #49157=VECTOR('',#187476,10.); #49158=VECTOR('',#187477,10.); #49159=VECTOR('',#187480,10.); #49160=VECTOR('',#187481,10.); #49161=VECTOR('',#187482,10.); #49162=VECTOR('',#187485,10.); #49163=VECTOR('',#187486,10.); #49164=VECTOR('',#187487,10.); #49165=VECTOR('',#187490,10.); #49166=VECTOR('',#187491,10.); #49167=VECTOR('',#187492,10.); #49168=VECTOR('',#187495,10.); #49169=VECTOR('',#187496,10.); #49170=VECTOR('',#187497,10.); #49171=VECTOR('',#187500,10.); #49172=VECTOR('',#187501,10.); #49173=VECTOR('',#187502,10.); #49174=VECTOR('',#187507,10.); #49175=VECTOR('',#187512,10.); #49176=VECTOR('',#187513,10.); #49177=VECTOR('',#187514,10.); #49178=VECTOR('',#187517,10.); #49179=VECTOR('',#187518,10.); #49180=VECTOR('',#187519,10.); #49181=VECTOR('',#187522,10.); #49182=VECTOR('',#187523,10.); #49183=VECTOR('',#187524,10.); #49184=VECTOR('',#187527,10.); #49185=VECTOR('',#187528,10.); #49186=VECTOR('',#187529,10.); #49187=VECTOR('',#187532,10.); #49188=VECTOR('',#187533,10.); #49189=VECTOR('',#187534,10.); #49190=VECTOR('',#187537,10.); #49191=VECTOR('',#187538,10.); #49192=VECTOR('',#187539,10.); #49193=VECTOR('',#187542,10.); #49194=VECTOR('',#187543,10.); #49195=VECTOR('',#187544,10.); #49196=VECTOR('',#187547,10.); #49197=VECTOR('',#187548,10.); #49198=VECTOR('',#187549,10.); #49199=VECTOR('',#187554,10.); #49200=VECTOR('',#187559,10.); #49201=VECTOR('',#187560,10.); #49202=VECTOR('',#187561,10.); #49203=VECTOR('',#187564,10.); #49204=VECTOR('',#187565,10.); #49205=VECTOR('',#187566,10.); #49206=VECTOR('',#187571,10.); #49207=VECTOR('',#187576,10.); #49208=VECTOR('',#187577,10.); #49209=VECTOR('',#187578,10.); #49210=VECTOR('',#187581,10.); #49211=VECTOR('',#187582,10.); #49212=VECTOR('',#187583,10.); #49213=VECTOR('',#187588,10.); #49214=VECTOR('',#187593,10.); #49215=VECTOR('',#187594,10.); #49216=VECTOR('',#187595,10.); #49217=VECTOR('',#187598,10.); #49218=VECTOR('',#187599,10.); #49219=VECTOR('',#187600,10.); #49220=VECTOR('',#187603,10.); #49221=VECTOR('',#187604,10.); #49222=VECTOR('',#187605,10.); #49223=VECTOR('',#187610,10.); #49224=VECTOR('',#187617,10.); #49225=VECTOR('',#187622,10.); #49226=VECTOR('',#187623,10.); #49227=VECTOR('',#187624,10.); #49228=VECTOR('',#187627,10.); #49229=VECTOR('',#187628,10.); #49230=VECTOR('',#187629,10.); #49231=VECTOR('',#187632,10.); #49232=VECTOR('',#187633,10.); #49233=VECTOR('',#187634,10.); #49234=VECTOR('',#187637,10.); #49235=VECTOR('',#187638,10.); #49236=VECTOR('',#187639,10.); #49237=VECTOR('',#187642,10.); #49238=VECTOR('',#187643,10.); #49239=VECTOR('',#187644,10.); #49240=VECTOR('',#187647,10.); #49241=VECTOR('',#187648,10.); #49242=VECTOR('',#187649,10.); #49243=VECTOR('',#187652,10.); #49244=VECTOR('',#187653,10.); #49245=VECTOR('',#187654,10.); #49246=VECTOR('',#187657,10.); #49247=VECTOR('',#187658,10.); #49248=VECTOR('',#187659,10.); #49249=VECTOR('',#187664,10.); #49250=VECTOR('',#187669,10.); #49251=VECTOR('',#187670,10.); #49252=VECTOR('',#187671,10.); #49253=VECTOR('',#187676,10.); #49254=VECTOR('',#187683,10.); #49255=VECTOR('',#187690,10.); #49256=VECTOR('',#187695,10.); #49257=VECTOR('',#187696,10.); #49258=VECTOR('',#187697,10.); #49259=VECTOR('',#187700,10.); #49260=VECTOR('',#187701,10.); #49261=VECTOR('',#187702,10.); #49262=VECTOR('',#187705,10.); #49263=VECTOR('',#187706,10.); #49264=VECTOR('',#187707,10.); #49265=VECTOR('',#187710,10.); #49266=VECTOR('',#187711,10.); #49267=VECTOR('',#187712,10.); #49268=VECTOR('',#187715,10.); #49269=VECTOR('',#187716,10.); #49270=VECTOR('',#187717,10.); #49271=VECTOR('',#187722,10.); #49272=VECTOR('',#187727,10.); #49273=VECTOR('',#187728,10.); #49274=VECTOR('',#187729,10.); #49275=VECTOR('',#187732,10.); #49276=VECTOR('',#187733,10.); #49277=VECTOR('',#187734,10.); #49278=VECTOR('',#187737,10.); #49279=VECTOR('',#187738,10.); #49280=VECTOR('',#187739,10.); #49281=VECTOR('',#187744,10.); #49282=VECTOR('',#187749,10.); #49283=VECTOR('',#187750,10.); #49284=VECTOR('',#187751,10.); #49285=VECTOR('',#187756,10.); #49286=VECTOR('',#187761,10.); #49287=VECTOR('',#187762,10.); #49288=VECTOR('',#187763,10.); #49289=VECTOR('',#187766,10.); #49290=VECTOR('',#187767,10.); #49291=VECTOR('',#187768,10.); #49292=VECTOR('',#187771,10.); #49293=VECTOR('',#187772,10.); #49294=VECTOR('',#187773,10.); #49295=VECTOR('',#187776,10.); #49296=VECTOR('',#187777,10.); #49297=VECTOR('',#187778,10.); #49298=VECTOR('',#187781,10.); #49299=VECTOR('',#187782,10.); #49300=VECTOR('',#187783,10.); #49301=VECTOR('',#187786,10.); #49302=VECTOR('',#187787,10.); #49303=VECTOR('',#187788,10.); #49304=VECTOR('',#187791,10.); #49305=VECTOR('',#187792,10.); #49306=VECTOR('',#187793,10.); #49307=VECTOR('',#187796,10.); #49308=VECTOR('',#187797,10.); #49309=VECTOR('',#187798,10.); #49310=VECTOR('',#187803,10.); #49311=VECTOR('',#187808,10.); #49312=VECTOR('',#187809,10.); #49313=VECTOR('',#187810,10.); #49314=VECTOR('',#187815,10.); #49315=VECTOR('',#187820,10.); #49316=VECTOR('',#187821,10.); #49317=VECTOR('',#187822,10.); #49318=VECTOR('',#187825,10.); #49319=VECTOR('',#187826,10.); #49320=VECTOR('',#187827,10.); #49321=VECTOR('',#187830,10.); #49322=VECTOR('',#187831,10.); #49323=VECTOR('',#187832,10.); #49324=VECTOR('',#187835,10.); #49325=VECTOR('',#187836,10.); #49326=VECTOR('',#187837,10.); #49327=VECTOR('',#187842,10.); #49328=VECTOR('',#187847,10.); #49329=VECTOR('',#187848,10.); #49330=VECTOR('',#187849,10.); #49331=VECTOR('',#187854,10.); #49332=VECTOR('',#187859,10.); #49333=VECTOR('',#187860,10.); #49334=VECTOR('',#187861,10.); #49335=VECTOR('',#187866,10.); #49336=VECTOR('',#187873,10.); #49337=VECTOR('',#187878,10.); #49338=VECTOR('',#187879,10.); #49339=VECTOR('',#187880,10.); #49340=VECTOR('',#187883,10.); #49341=VECTOR('',#187884,10.); #49342=VECTOR('',#187885,10.); #49343=VECTOR('',#187888,10.); #49344=VECTOR('',#187889,10.); #49345=VECTOR('',#187890,10.); #49346=VECTOR('',#187893,10.); #49347=VECTOR('',#187894,10.); #49348=VECTOR('',#187895,10.); #49349=VECTOR('',#187898,10.); #49350=VECTOR('',#187899,10.); #49351=VECTOR('',#187900,10.); #49352=VECTOR('',#187903,10.); #49353=VECTOR('',#187904,10.); #49354=VECTOR('',#187905,10.); #49355=VECTOR('',#187908,10.); #49356=VECTOR('',#187909,10.); #49357=VECTOR('',#187910,10.); #49358=VECTOR('',#187913,10.); #49359=VECTOR('',#187914,10.); #49360=VECTOR('',#187915,10.); #49361=VECTOR('',#187918,10.); #49362=VECTOR('',#187919,10.); #49363=VECTOR('',#187920,10.); #49364=VECTOR('',#187925,10.); #49365=VECTOR('',#187930,10.); #49366=VECTOR('',#187931,10.); #49367=VECTOR('',#187932,10.); #49368=VECTOR('',#187935,10.); #49369=VECTOR('',#187936,10.); #49370=VECTOR('',#187937,10.); #49371=VECTOR('',#187940,10.); #49372=VECTOR('',#187941,10.); #49373=VECTOR('',#187942,10.); #49374=VECTOR('',#187945,10.); #49375=VECTOR('',#187946,10.); #49376=VECTOR('',#187947,10.); #49377=VECTOR('',#187952,10.); #49378=VECTOR('',#187959,10.); #49379=VECTOR('',#187964,10.); #49380=VECTOR('',#187965,10.); #49381=VECTOR('',#187966,10.); #49382=VECTOR('',#187971,10.); #49383=VECTOR('',#187978,10.); #49384=VECTOR('',#187985,10.); #49385=VECTOR('',#187990,10.); #49386=VECTOR('',#187991,10.); #49387=VECTOR('',#187992,10.); #49388=VECTOR('',#187995,10.); #49389=VECTOR('',#187996,10.); #49390=VECTOR('',#187997,10.); #49391=VECTOR('',#188000,10.); #49392=VECTOR('',#188001,10.); #49393=VECTOR('',#188002,10.); #49394=VECTOR('',#188005,10.); #49395=VECTOR('',#188006,10.); #49396=VECTOR('',#188007,10.); #49397=VECTOR('',#188010,10.); #49398=VECTOR('',#188011,10.); #49399=VECTOR('',#188012,10.); #49400=VECTOR('',#188015,10.); #49401=VECTOR('',#188016,10.); #49402=VECTOR('',#188017,10.); #49403=VECTOR('',#188020,10.); #49404=VECTOR('',#188021,10.); #49405=VECTOR('',#188022,10.); #49406=VECTOR('',#188025,10.); #49407=VECTOR('',#188026,10.); #49408=VECTOR('',#188027,10.); #49409=VECTOR('',#188030,10.); #49410=VECTOR('',#188031,10.); #49411=VECTOR('',#188036,10.); #49412=VECTOR('',#188037,10.); #49413=VECTOR('',#188038,10.); #49414=VECTOR('',#188039,10.); #49415=VECTOR('',#188042,10.); #49416=VECTOR('',#188043,10.); #49417=VECTOR('',#188044,10.); #49418=VECTOR('',#188047,10.); #49419=VECTOR('',#188048,10.); #49420=VECTOR('',#188049,10.); #49421=VECTOR('',#188052,10.); #49422=VECTOR('',#188053,10.); #49423=VECTOR('',#188058,10.); #49424=VECTOR('',#188059,10.); #49425=VECTOR('',#188060,10.); #49426=VECTOR('',#188061,10.); #49427=VECTOR('',#188064,10.); #49428=VECTOR('',#188065,10.); #49429=VECTOR('',#188066,10.); #49430=VECTOR('',#188069,10.); #49431=VECTOR('',#188070,10.); #49432=VECTOR('',#188071,10.); #49433=VECTOR('',#188074,10.); #49434=VECTOR('',#188075,10.); #49435=VECTOR('',#188076,10.); #49436=VECTOR('',#188079,10.); #49437=VECTOR('',#188080,10.); #49438=VECTOR('',#188081,10.); #49439=VECTOR('',#188084,10.); #49440=VECTOR('',#188085,10.); #49441=VECTOR('',#188086,10.); #49442=VECTOR('',#188089,10.); #49443=VECTOR('',#188090,10.); #49444=VECTOR('',#188091,10.); #49445=VECTOR('',#188094,10.); #49446=VECTOR('',#188095,10.); #49447=VECTOR('',#188096,10.); #49448=VECTOR('',#188099,10.); #49449=VECTOR('',#188100,10.); #49450=VECTOR('',#188101,10.); #49451=VECTOR('',#188106,10.); #49452=VECTOR('',#188111,10.); #49453=VECTOR('',#188112,10.); #49454=VECTOR('',#188113,10.); #49455=VECTOR('',#188116,10.); #49456=VECTOR('',#188117,10.); #49457=VECTOR('',#188118,10.); #49458=VECTOR('',#188121,10.); #49459=VECTOR('',#188122,10.); #49460=VECTOR('',#188123,10.); #49461=VECTOR('',#188128,10.); #49462=VECTOR('',#188135,10.); #49463=VECTOR('',#188146,10.); #49464=VECTOR('',#188147,10.); #49465=VECTOR('',#188148,10.); #49466=VECTOR('',#188149,10.); #49467=VECTOR('',#188152,10.); #49468=VECTOR('',#188153,10.); #49469=VECTOR('',#188154,10.); #49470=VECTOR('',#188157,10.); #49471=VECTOR('',#188158,10.); #49472=VECTOR('',#188159,10.); #49473=VECTOR('',#188162,10.); #49474=VECTOR('',#188163,10.); #49475=VECTOR('',#188164,10.); #49476=VECTOR('',#188167,10.); #49477=VECTOR('',#188168,10.); #49478=VECTOR('',#188169,10.); #49479=VECTOR('',#188172,10.); #49480=VECTOR('',#188173,10.); #49481=VECTOR('',#188174,10.); #49482=VECTOR('',#188177,10.); #49483=VECTOR('',#188178,10.); #49484=VECTOR('',#188179,10.); #49485=VECTOR('',#188182,10.); #49486=VECTOR('',#188183,10.); #49487=VECTOR('',#188184,10.); #49488=VECTOR('',#188187,10.); #49489=VECTOR('',#188188,10.); #49490=VECTOR('',#188189,10.); #49491=VECTOR('',#188192,10.); #49492=VECTOR('',#188193,10.); #49493=VECTOR('',#188194,10.); #49494=VECTOR('',#188197,10.); #49495=VECTOR('',#188198,10.); #49496=VECTOR('',#188199,10.); #49497=VECTOR('',#188202,10.); #49498=VECTOR('',#188203,10.); #49499=VECTOR('',#188204,10.); #49500=VECTOR('',#188207,10.); #49501=VECTOR('',#188208,10.); #49502=VECTOR('',#188209,10.); #49503=VECTOR('',#188212,10.); #49504=VECTOR('',#188213,10.); #49505=VECTOR('',#188214,10.); #49506=VECTOR('',#188217,10.); #49507=VECTOR('',#188218,10.); #49508=VECTOR('',#188219,10.); #49509=VECTOR('',#188222,10.); #49510=VECTOR('',#188223,10.); #49511=VECTOR('',#188224,10.); #49512=VECTOR('',#188229,10.); #49513=VECTOR('',#188234,10.); #49514=VECTOR('',#188235,10.); #49515=VECTOR('',#188236,10.); #49516=VECTOR('',#188239,10.); #49517=VECTOR('',#188240,10.); #49518=VECTOR('',#188241,10.); #49519=VECTOR('',#188246,10.); #49520=VECTOR('',#188251,10.); #49521=VECTOR('',#188252,10.); #49522=VECTOR('',#188253,10.); #49523=VECTOR('',#188256,10.); #49524=VECTOR('',#188257,10.); #49525=VECTOR('',#188258,10.); #49526=VECTOR('',#188261,10.); #49527=VECTOR('',#188262,10.); #49528=VECTOR('',#188263,10.); #49529=VECTOR('',#188266,10.); #49530=VECTOR('',#188267,10.); #49531=VECTOR('',#188268,10.); #49532=VECTOR('',#188271,10.); #49533=VECTOR('',#188272,10.); #49534=VECTOR('',#188273,10.); #49535=VECTOR('',#188276,10.); #49536=VECTOR('',#188277,10.); #49537=VECTOR('',#188278,10.); #49538=VECTOR('',#188281,10.); #49539=VECTOR('',#188282,10.); #49540=VECTOR('',#188283,10.); #49541=VECTOR('',#188286,10.); #49542=VECTOR('',#188287,10.); #49543=VECTOR('',#188288,10.); #49544=VECTOR('',#188291,10.); #49545=VECTOR('',#188292,10.); #49546=VECTOR('',#188293,10.); #49547=VECTOR('',#188296,10.); #49548=VECTOR('',#188297,10.); #49549=VECTOR('',#188298,10.); #49550=VECTOR('',#188301,10.); #49551=VECTOR('',#188302,10.); #49552=VECTOR('',#188303,10.); #49553=VECTOR('',#188306,10.); #49554=VECTOR('',#188307,10.); #49555=VECTOR('',#188308,10.); #49556=VECTOR('',#188311,10.); #49557=VECTOR('',#188312,10.); #49558=VECTOR('',#188313,10.); #49559=VECTOR('',#188316,10.); #49560=VECTOR('',#188317,10.); #49561=VECTOR('',#188318,10.); #49562=VECTOR('',#188321,10.); #49563=VECTOR('',#188322,10.); #49564=VECTOR('',#188323,10.); #49565=VECTOR('',#188326,10.); #49566=VECTOR('',#188327,10.); #49567=VECTOR('',#188328,10.); #49568=VECTOR('',#188331,10.); #49569=VECTOR('',#188332,10.); #49570=VECTOR('',#188333,10.); #49571=VECTOR('',#188336,10.); #49572=VECTOR('',#188337,10.); #49573=VECTOR('',#188338,10.); #49574=VECTOR('',#188341,10.); #49575=VECTOR('',#188342,10.); #49576=VECTOR('',#188343,10.); #49577=VECTOR('',#188346,10.); #49578=VECTOR('',#188347,10.); #49579=VECTOR('',#188348,10.); #49580=VECTOR('',#188351,10.); #49581=VECTOR('',#188352,10.); #49582=VECTOR('',#188353,10.); #49583=VECTOR('',#188356,10.); #49584=VECTOR('',#188357,10.); #49585=VECTOR('',#188358,10.); #49586=VECTOR('',#188361,10.); #49587=VECTOR('',#188362,10.); #49588=VECTOR('',#188363,10.); #49589=VECTOR('',#188366,10.); #49590=VECTOR('',#188367,10.); #49591=VECTOR('',#188368,10.); #49592=VECTOR('',#188371,10.); #49593=VECTOR('',#188372,10.); #49594=VECTOR('',#188373,10.); #49595=VECTOR('',#188376,10.); #49596=VECTOR('',#188377,10.); #49597=VECTOR('',#188378,10.); #49598=VECTOR('',#188383,10.); #49599=VECTOR('',#188388,10.); #49600=VECTOR('',#188389,10.); #49601=VECTOR('',#188390,10.); #49602=VECTOR('',#188393,10.); #49603=VECTOR('',#188394,10.); #49604=VECTOR('',#188395,10.); #49605=VECTOR('',#188398,10.); #49606=VECTOR('',#188399,10.); #49607=VECTOR('',#188400,10.); #49608=VECTOR('',#188403,10.); #49609=VECTOR('',#188404,10.); #49610=VECTOR('',#188405,10.); #49611=VECTOR('',#188408,10.); #49612=VECTOR('',#188409,10.); #49613=VECTOR('',#188410,10.); #49614=VECTOR('',#188413,10.); #49615=VECTOR('',#188414,10.); #49616=VECTOR('',#188415,10.); #49617=VECTOR('',#188418,10.); #49618=VECTOR('',#188419,10.); #49619=VECTOR('',#188420,10.); #49620=VECTOR('',#188423,10.); #49621=VECTOR('',#188424,10.); #49622=VECTOR('',#188425,10.); #49623=VECTOR('',#188428,10.); #49624=VECTOR('',#188429,10.); #49625=VECTOR('',#188430,10.); #49626=VECTOR('',#188433,10.); #49627=VECTOR('',#188434,10.); #49628=VECTOR('',#188435,10.); #49629=VECTOR('',#188438,10.); #49630=VECTOR('',#188439,10.); #49631=VECTOR('',#188440,10.); #49632=VECTOR('',#188443,10.); #49633=VECTOR('',#188444,10.); #49634=VECTOR('',#188445,10.); #49635=VECTOR('',#188448,10.); #49636=VECTOR('',#188449,10.); #49637=VECTOR('',#188450,10.); #49638=VECTOR('',#188453,10.); #49639=VECTOR('',#188454,10.); #49640=VECTOR('',#188455,10.); #49641=VECTOR('',#188458,10.); #49642=VECTOR('',#188459,10.); #49643=VECTOR('',#188460,10.); #49644=VECTOR('',#188463,10.); #49645=VECTOR('',#188464,10.); #49646=VECTOR('',#188465,10.); #49647=VECTOR('',#188468,10.); #49648=VECTOR('',#188469,10.); #49649=VECTOR('',#188470,10.); #49650=VECTOR('',#188473,10.); #49651=VECTOR('',#188474,10.); #49652=VECTOR('',#188475,10.); #49653=VECTOR('',#188478,10.); #49654=VECTOR('',#188479,10.); #49655=VECTOR('',#188480,10.); #49656=VECTOR('',#188483,10.); #49657=VECTOR('',#188484,10.); #49658=VECTOR('',#188485,10.); #49659=VECTOR('',#188488,10.); #49660=VECTOR('',#188489,10.); #49661=VECTOR('',#188490,10.); #49662=VECTOR('',#188493,10.); #49663=VECTOR('',#188494,10.); #49664=VECTOR('',#188495,10.); #49665=VECTOR('',#188498,10.); #49666=VECTOR('',#188499,10.); #49667=VECTOR('',#188500,10.); #49668=VECTOR('',#188503,10.); #49669=VECTOR('',#188504,10.); #49670=VECTOR('',#188505,10.); #49671=VECTOR('',#188508,10.); #49672=VECTOR('',#188509,10.); #49673=VECTOR('',#188510,10.); #49674=VECTOR('',#188515,10.); #49675=VECTOR('',#188520,10.); #49676=VECTOR('',#188521,10.); #49677=VECTOR('',#188522,10.); #49678=VECTOR('',#188525,10.); #49679=VECTOR('',#188526,10.); #49680=VECTOR('',#188527,10.); #49681=VECTOR('',#188532,10.); #49682=VECTOR('',#188539,10.); #49683=VECTOR('',#188546,10.); #49684=VECTOR('',#188553,10.); #49685=VECTOR('',#188558,10.); #49686=VECTOR('',#188559,10.); #49687=VECTOR('',#188560,10.); #49688=VECTOR('',#188563,10.); #49689=VECTOR('',#188564,10.); #49690=VECTOR('',#188565,10.); #49691=VECTOR('',#188568,10.); #49692=VECTOR('',#188569,10.); #49693=VECTOR('',#188570,10.); #49694=VECTOR('',#188573,10.); #49695=VECTOR('',#188574,10.); #49696=VECTOR('',#188575,10.); #49697=VECTOR('',#188578,10.); #49698=VECTOR('',#188579,10.); #49699=VECTOR('',#188580,10.); #49700=VECTOR('',#188583,10.); #49701=VECTOR('',#188584,10.); #49702=VECTOR('',#188585,10.); #49703=VECTOR('',#188588,10.); #49704=VECTOR('',#188589,10.); #49705=VECTOR('',#188590,10.); #49706=VECTOR('',#188593,10.); #49707=VECTOR('',#188594,10.); #49708=VECTOR('',#188595,10.); #49709=VECTOR('',#188598,10.); #49710=VECTOR('',#188599,10.); #49711=VECTOR('',#188604,10.); #49712=VECTOR('',#188605,10.); #49713=VECTOR('',#188606,10.); #49714=VECTOR('',#188607,10.); #49715=VECTOR('',#188612,10.); #49716=VECTOR('',#188619,10.); #49717=VECTOR('',#188626,10.); #49718=VECTOR('',#188631,10.); #49719=VECTOR('',#188632,10.); #49720=VECTOR('',#188635,10.); #49721=VECTOR('',#188636,10.); #49722=VECTOR('',#188637,10.); #49723=VECTOR('',#188638,10.); #49724=VECTOR('',#188641,10.); #49725=VECTOR('',#188642,10.); #49726=VECTOR('',#188643,10.); #49727=VECTOR('',#188646,10.); #49728=VECTOR('',#188647,10.); #49729=VECTOR('',#188648,10.); #49730=VECTOR('',#188651,10.); #49731=VECTOR('',#188652,10.); #49732=VECTOR('',#188653,10.); #49733=VECTOR('',#188656,10.); #49734=VECTOR('',#188657,10.); #49735=VECTOR('',#188658,10.); #49736=VECTOR('',#188661,10.); #49737=VECTOR('',#188662,10.); #49738=VECTOR('',#188663,10.); #49739=VECTOR('',#188666,10.); #49740=VECTOR('',#188667,10.); #49741=VECTOR('',#188668,10.); #49742=VECTOR('',#188671,10.); #49743=VECTOR('',#188672,10.); #49744=VECTOR('',#188673,10.); #49745=VECTOR('',#188676,10.); #49746=VECTOR('',#188677,10.); #49747=VECTOR('',#188678,10.); #49748=VECTOR('',#188681,10.); #49749=VECTOR('',#188682,10.); #49750=VECTOR('',#188683,10.); #49751=VECTOR('',#188686,10.); #49752=VECTOR('',#188687,10.); #49753=VECTOR('',#188688,10.); #49754=VECTOR('',#188691,10.); #49755=VECTOR('',#188692,10.); #49756=VECTOR('',#188693,10.); #49757=VECTOR('',#188696,10.); #49758=VECTOR('',#188697,10.); #49759=VECTOR('',#188698,10.); #49760=VECTOR('',#188701,10.); #49761=VECTOR('',#188702,10.); #49762=VECTOR('',#188703,10.); #49763=VECTOR('',#188706,10.); #49764=VECTOR('',#188707,10.); #49765=VECTOR('',#188708,10.); #49766=VECTOR('',#188711,10.); #49767=VECTOR('',#188712,10.); #49768=VECTOR('',#188713,10.); #49769=VECTOR('',#188716,10.); #49770=VECTOR('',#188717,10.); #49771=VECTOR('',#188718,10.); #49772=VECTOR('',#188721,10.); #49773=VECTOR('',#188722,10.); #49774=VECTOR('',#188723,10.); #49775=VECTOR('',#188728,10.); #49776=VECTOR('',#188735,10.); #49777=VECTOR('',#188740,10.); #49778=VECTOR('',#188741,10.); #49779=VECTOR('',#188742,10.); #49780=VECTOR('',#188747,10.); #49781=VECTOR('',#188754,10.); #49782=VECTOR('',#188761,10.); #49783=VECTOR('',#188768,10.); #49784=VECTOR('',#188775,10.); #49785=VECTOR('',#188780,10.); #49786=VECTOR('',#188781,10.); #49787=VECTOR('',#188782,10.); #49788=VECTOR('',#188785,10.); #49789=VECTOR('',#188786,10.); #49790=VECTOR('',#188787,10.); #49791=VECTOR('',#188790,10.); #49792=VECTOR('',#188791,10.); #49793=VECTOR('',#188792,10.); #49794=VECTOR('',#188795,10.); #49795=VECTOR('',#188796,10.); #49796=VECTOR('',#188797,10.); #49797=VECTOR('',#188800,10.); #49798=VECTOR('',#188801,10.); #49799=VECTOR('',#188802,10.); #49800=VECTOR('',#188805,10.); #49801=VECTOR('',#188806,10.); #49802=VECTOR('',#188807,10.); #49803=VECTOR('',#188810,10.); #49804=VECTOR('',#188811,10.); #49805=VECTOR('',#188812,10.); #49806=VECTOR('',#188815,10.); #49807=VECTOR('',#188816,10.); #49808=VECTOR('',#188817,10.); #49809=VECTOR('',#188820,10.); #49810=VECTOR('',#188821,10.); #49811=VECTOR('',#188822,10.); #49812=VECTOR('',#188825,10.); #49813=VECTOR('',#188826,10.); #49814=VECTOR('',#188827,10.); #49815=VECTOR('',#188830,10.); #49816=VECTOR('',#188831,10.); #49817=VECTOR('',#188832,10.); #49818=VECTOR('',#188837,10.); #49819=VECTOR('',#188842,10.); #49820=VECTOR('',#188843,10.); #49821=VECTOR('',#188844,10.); #49822=VECTOR('',#188847,10.); #49823=VECTOR('',#188848,10.); #49824=VECTOR('',#188849,10.); #49825=VECTOR('',#188852,10.); #49826=VECTOR('',#188853,10.); #49827=VECTOR('',#188854,10.); #49828=VECTOR('',#188857,10.); #49829=VECTOR('',#188858,10.); #49830=VECTOR('',#188859,10.); #49831=VECTOR('',#188862,10.); #49832=VECTOR('',#188863,10.); #49833=VECTOR('',#188864,10.); #49834=VECTOR('',#188867,10.); #49835=VECTOR('',#188868,10.); #49836=VECTOR('',#188869,10.); #49837=VECTOR('',#188872,10.); #49838=VECTOR('',#188873,10.); #49839=VECTOR('',#188874,10.); #49840=VECTOR('',#188877,10.); #49841=VECTOR('',#188878,10.); #49842=VECTOR('',#188879,10.); #49843=VECTOR('',#188882,10.); #49844=VECTOR('',#188883,10.); #49845=VECTOR('',#188884,10.); #49846=VECTOR('',#188889,10.); #49847=VECTOR('',#188894,10.); #49848=VECTOR('',#188895,10.); #49849=VECTOR('',#188896,10.); #49850=VECTOR('',#188899,10.); #49851=VECTOR('',#188900,10.); #49852=VECTOR('',#188901,10.); #49853=VECTOR('',#188904,10.); #49854=VECTOR('',#188905,10.); #49855=VECTOR('',#188906,10.); #49856=VECTOR('',#188909,10.); #49857=VECTOR('',#188910,10.); #49858=VECTOR('',#188911,10.); #49859=VECTOR('',#188914,10.); #49860=VECTOR('',#188915,10.); #49861=VECTOR('',#188916,10.); #49862=VECTOR('',#188921,10.); #49863=VECTOR('',#188928,10.); #49864=VECTOR('',#188933,10.); #49865=VECTOR('',#188934,10.); #49866=VECTOR('',#188935,10.); #49867=VECTOR('',#188938,10.); #49868=VECTOR('',#188939,10.); #49869=VECTOR('',#188940,10.); #49870=VECTOR('',#188943,10.); #49871=VECTOR('',#188944,10.); #49872=VECTOR('',#188945,10.); #49873=VECTOR('',#188948,10.); #49874=VECTOR('',#188949,10.); #49875=VECTOR('',#188950,10.); #49876=VECTOR('',#188953,10.); #49877=VECTOR('',#188954,10.); #49878=VECTOR('',#188955,10.); #49879=VECTOR('',#188958,10.); #49880=VECTOR('',#188959,10.); #49881=VECTOR('',#188960,10.); #49882=VECTOR('',#188963,10.); #49883=VECTOR('',#188964,10.); #49884=VECTOR('',#188965,10.); #49885=VECTOR('',#188968,10.); #49886=VECTOR('',#188969,10.); #49887=VECTOR('',#188970,10.); #49888=VECTOR('',#188973,10.); #49889=VECTOR('',#188974,10.); #49890=VECTOR('',#188975,10.); #49891=VECTOR('',#188978,10.); #49892=VECTOR('',#188979,10.); #49893=VECTOR('',#188980,10.); #49894=VECTOR('',#188983,10.); #49895=VECTOR('',#188984,10.); #49896=VECTOR('',#188985,10.); #49897=VECTOR('',#188988,10.); #49898=VECTOR('',#188989,10.); #49899=VECTOR('',#188990,10.); #49900=VECTOR('',#188993,10.); #49901=VECTOR('',#188994,10.); #49902=VECTOR('',#188995,10.); #49903=VECTOR('',#188998,10.); #49904=VECTOR('',#188999,10.); #49905=VECTOR('',#189000,10.); #49906=VECTOR('',#189003,10.); #49907=VECTOR('',#189004,10.); #49908=VECTOR('',#189005,10.); #49909=VECTOR('',#189008,10.); #49910=VECTOR('',#189009,10.); #49911=VECTOR('',#189010,10.); #49912=VECTOR('',#189013,10.); #49913=VECTOR('',#189014,10.); #49914=VECTOR('',#189015,10.); #49915=VECTOR('',#189018,10.); #49916=VECTOR('',#189019,10.); #49917=VECTOR('',#189020,10.); #49918=VECTOR('',#189023,10.); #49919=VECTOR('',#189024,10.); #49920=VECTOR('',#189025,10.); #49921=VECTOR('',#189028,10.); #49922=VECTOR('',#189029,10.); #49923=VECTOR('',#189030,10.); #49924=VECTOR('',#189033,10.); #49925=VECTOR('',#189034,10.); #49926=VECTOR('',#189035,10.); #49927=VECTOR('',#189038,10.); #49928=VECTOR('',#189039,10.); #49929=VECTOR('',#189040,10.); #49930=VECTOR('',#189045,10.); #49931=VECTOR('',#189052,10.); #49932=VECTOR('',#189059,10.); #49933=VECTOR('',#189066,10.); #49934=VECTOR('',#189071,10.); #49935=VECTOR('',#189072,10.); #49936=VECTOR('',#189073,10.); #49937=VECTOR('',#189076,10.); #49938=VECTOR('',#189077,10.); #49939=VECTOR('',#189078,10.); #49940=VECTOR('',#189081,10.); #49941=VECTOR('',#189082,10.); #49942=VECTOR('',#189083,10.); #49943=VECTOR('',#189086,10.); #49944=VECTOR('',#189087,10.); #49945=VECTOR('',#189088,10.); #49946=VECTOR('',#189091,10.); #49947=VECTOR('',#189092,10.); #49948=VECTOR('',#189093,10.); #49949=VECTOR('',#189096,10.); #49950=VECTOR('',#189097,10.); #49951=VECTOR('',#189098,10.); #49952=VECTOR('',#189101,10.); #49953=VECTOR('',#189102,10.); #49954=VECTOR('',#189103,10.); #49955=VECTOR('',#189106,10.); #49956=VECTOR('',#189107,10.); #49957=VECTOR('',#189108,10.); #49958=VECTOR('',#189111,10.); #49959=VECTOR('',#189112,10.); #49960=VECTOR('',#189113,10.); #49961=VECTOR('',#189116,10.); #49962=VECTOR('',#189117,10.); #49963=VECTOR('',#189118,10.); #49964=VECTOR('',#189123,10.); #49965=VECTOR('',#189128,10.); #49966=VECTOR('',#189129,10.); #49967=VECTOR('',#189130,10.); #49968=VECTOR('',#189133,10.); #49969=VECTOR('',#189134,10.); #49970=VECTOR('',#189135,10.); #49971=VECTOR('',#189138,10.); #49972=VECTOR('',#189139,10.); #49973=VECTOR('',#189140,10.); #49974=VECTOR('',#189143,10.); #49975=VECTOR('',#189144,10.); #49976=VECTOR('',#189145,10.); #49977=VECTOR('',#189148,10.); #49978=VECTOR('',#189149,10.); #49979=VECTOR('',#189150,10.); #49980=VECTOR('',#189153,10.); #49981=VECTOR('',#189154,10.); #49982=VECTOR('',#189155,10.); #49983=VECTOR('',#189158,10.); #49984=VECTOR('',#189159,10.); #49985=VECTOR('',#189160,10.); #49986=VECTOR('',#189163,10.); #49987=VECTOR('',#189164,10.); #49988=VECTOR('',#189165,10.); #49989=VECTOR('',#189168,10.); #49990=VECTOR('',#189169,10.); #49991=VECTOR('',#189170,10.); #49992=VECTOR('',#189173,10.); #49993=VECTOR('',#189174,10.); #49994=VECTOR('',#189175,10.); #49995=VECTOR('',#189180,10.); #49996=VECTOR('',#189185,10.); #49997=VECTOR('',#189186,10.); #49998=VECTOR('',#189187,10.); #49999=VECTOR('',#189190,10.); #50000=VECTOR('',#189191,10.); #50001=VECTOR('',#189192,10.); #50002=VECTOR('',#189195,10.); #50003=VECTOR('',#189196,10.); #50004=VECTOR('',#189197,10.); #50005=VECTOR('',#189202,10.); #50006=VECTOR('',#189207,10.); #50007=VECTOR('',#189208,10.); #50008=VECTOR('',#189209,10.); #50009=VECTOR('',#189214,10.); #50010=VECTOR('',#189221,10.); #50011=VECTOR('',#189226,10.); #50012=VECTOR('',#189227,10.); #50013=VECTOR('',#189232,10.); #50014=VECTOR('',#189233,10.); #50015=VECTOR('',#189234,10.); #50016=VECTOR('',#189235,10.); #50017=VECTOR('',#189238,10.); #50018=VECTOR('',#189239,10.); #50019=VECTOR('',#189240,10.); #50020=VECTOR('',#189243,10.); #50021=VECTOR('',#189244,10.); #50022=VECTOR('',#189245,10.); #50023=VECTOR('',#189248,10.); #50024=VECTOR('',#189249,10.); #50025=VECTOR('',#189250,10.); #50026=VECTOR('',#189253,10.); #50027=VECTOR('',#189254,10.); #50028=VECTOR('',#189255,10.); #50029=VECTOR('',#189258,10.); #50030=VECTOR('',#189259,10.); #50031=VECTOR('',#189260,10.); #50032=VECTOR('',#189263,10.); #50033=VECTOR('',#189264,10.); #50034=VECTOR('',#189265,10.); #50035=VECTOR('',#189270,10.); #50036=VECTOR('',#189277,10.); #50037=VECTOR('',#189282,10.); #50038=VECTOR('',#189283,10.); #50039=VECTOR('',#189284,10.); #50040=VECTOR('',#189289,10.); #50041=VECTOR('',#189296,10.); #50042=VECTOR('',#189303,10.); #50043=VECTOR('',#189308,10.); #50044=VECTOR('',#189309,10.); #50045=VECTOR('',#189310,10.); #50046=VECTOR('',#189315,10.); #50047=VECTOR('',#189320,10.); #50048=VECTOR('',#189321,10.); #50049=VECTOR('',#189322,10.); #50050=VECTOR('',#189325,10.); #50051=VECTOR('',#189326,10.); #50052=VECTOR('',#189327,10.); #50053=VECTOR('',#189332,10.); #50054=VECTOR('',#189337,10.); #50055=VECTOR('',#189338,10.); #50056=VECTOR('',#189339,10.); #50057=VECTOR('',#189344,10.); #50058=VECTOR('',#189351,10.); #50059=VECTOR('',#189358,10.); #50060=VECTOR('',#189363,10.); #50061=VECTOR('',#189364,10.); #50062=VECTOR('',#189365,10.); #50063=VECTOR('',#189370,10.); #50064=VECTOR('',#189377,10.); #50065=VECTOR('',#189384,10.); #50066=VECTOR('',#189389,10.); #50067=VECTOR('',#189390,10.); #50068=VECTOR('',#189391,10.); #50069=VECTOR('',#189394,10.); #50070=VECTOR('',#189395,10.); #50071=VECTOR('',#189396,10.); #50072=VECTOR('',#189399,10.); #50073=VECTOR('',#189400,10.); #50074=VECTOR('',#189401,10.); #50075=VECTOR('',#189404,10.); #50076=VECTOR('',#189405,10.); #50077=VECTOR('',#189406,10.); #50078=VECTOR('',#189411,10.); #50079=VECTOR('',#189418,10.); #50080=VECTOR('',#189423,10.); #50081=VECTOR('',#189424,10.); #50082=VECTOR('',#189425,10.); #50083=VECTOR('',#189430,10.); #50084=VECTOR('',#189437,10.); #50085=VECTOR('',#189444,10.); #50086=VECTOR('',#189449,10.); #50087=VECTOR('',#189450,10.); #50088=VECTOR('',#189451,10.); #50089=VECTOR('',#189454,10.); #50090=VECTOR('',#189455,10.); #50091=VECTOR('',#189456,10.); #50092=VECTOR('',#189459,10.); #50093=VECTOR('',#189460,10.); #50094=VECTOR('',#189461,10.); #50095=VECTOR('',#189466,10.); #50096=VECTOR('',#189471,10.); #50097=VECTOR('',#189472,10.); #50098=VECTOR('',#189473,10.); #50099=VECTOR('',#189476,10.); #50100=VECTOR('',#189477,10.); #50101=VECTOR('',#189478,10.); #50102=VECTOR('',#189483,10.); #50103=VECTOR('',#189488,10.); #50104=VECTOR('',#189489,10.); #50105=VECTOR('',#189490,10.); #50106=VECTOR('',#189501,10.); #50107=VECTOR('',#189502,10.); #50108=VECTOR('',#189503,10.); #50109=VECTOR('',#189504,10.); #50110=VECTOR('',#189509,10.); #50111=VECTOR('',#189514,10.); #50112=VECTOR('',#189515,10.); #50113=VECTOR('',#189516,10.); #50114=VECTOR('',#189519,10.); #50115=VECTOR('',#189520,10.); #50116=VECTOR('',#189521,10.); #50117=VECTOR('',#189524,10.); #50118=VECTOR('',#189525,10.); #50119=VECTOR('',#189526,10.); #50120=VECTOR('',#189531,10.); #50121=VECTOR('',#189538,10.); #50122=VECTOR('',#189543,10.); #50123=VECTOR('',#189544,10.); #50124=VECTOR('',#189545,10.); #50125=VECTOR('',#189548,10.); #50126=VECTOR('',#189549,10.); #50127=VECTOR('',#189550,10.); #50128=VECTOR('',#189555,10.); #50129=VECTOR('',#189562,10.); #50130=VECTOR('',#189567,10.); #50131=VECTOR('',#189568,10.); #50132=VECTOR('',#189569,10.); #50133=VECTOR('',#189574,10.); #50134=VECTOR('',#189579,10.); #50135=VECTOR('',#189580,10.); #50136=VECTOR('',#189581,10.); #50137=VECTOR('',#189584,10.); #50138=VECTOR('',#189585,10.); #50139=VECTOR('',#189586,10.); #50140=VECTOR('',#189589,10.); #50141=VECTOR('',#189590,10.); #50142=VECTOR('',#189591,10.); #50143=VECTOR('',#189594,10.); #50144=VECTOR('',#189595,10.); #50145=VECTOR('',#189596,10.); #50146=VECTOR('',#189601,10.); #50147=VECTOR('',#189608,10.); #50148=VECTOR('',#189613,10.); #50149=VECTOR('',#189614,10.); #50150=VECTOR('',#189615,10.); #50151=VECTOR('',#189618,10.); #50152=VECTOR('',#189619,10.); #50153=VECTOR('',#189620,10.); #50154=VECTOR('',#189633,10.); #50155=VECTOR('',#189636,10.); #50156=VECTOR('',#189641,10.); #50157=VECTOR('',#189646,10.); #50158=VECTOR('',#189647,10.); #50159=VECTOR('',#189648,10.); #50160=VECTOR('',#189651,10.); #50161=VECTOR('',#189652,10.); #50162=VECTOR('',#189653,10.); #50163=VECTOR('',#189656,10.); #50164=VECTOR('',#189657,10.); #50165=VECTOR('',#189658,10.); #50166=VECTOR('',#189661,10.); #50167=VECTOR('',#189662,10.); #50168=VECTOR('',#189663,10.); #50169=VECTOR('',#189666,10.); #50170=VECTOR('',#189667,10.); #50171=VECTOR('',#189668,10.); #50172=VECTOR('',#189671,10.); #50173=VECTOR('',#189672,10.); #50174=VECTOR('',#189673,10.); #50175=VECTOR('',#189676,10.); #50176=VECTOR('',#189677,10.); #50177=VECTOR('',#189678,10.); #50178=VECTOR('',#189681,10.); #50179=VECTOR('',#189682,10.); #50180=VECTOR('',#189683,10.); #50181=VECTOR('',#189688,10.); #50182=VECTOR('',#189693,10.); #50183=VECTOR('',#189694,10.); #50184=VECTOR('',#189695,10.); #50185=VECTOR('',#189698,10.); #50186=VECTOR('',#189699,10.); #50187=VECTOR('',#189700,10.); #50188=VECTOR('',#189703,10.); #50189=VECTOR('',#189704,10.); #50190=VECTOR('',#189705,10.); #50191=VECTOR('',#189708,10.); #50192=VECTOR('',#189709,10.); #50193=VECTOR('',#189710,10.); #50194=VECTOR('',#189713,10.); #50195=VECTOR('',#189714,10.); #50196=VECTOR('',#189715,10.); #50197=VECTOR('',#189718,10.); #50198=VECTOR('',#189719,10.); #50199=VECTOR('',#189720,10.); #50200=VECTOR('',#189723,10.); #50201=VECTOR('',#189724,10.); #50202=VECTOR('',#189725,10.); #50203=VECTOR('',#189730,10.); #50204=VECTOR('',#189737,10.); #50205=VECTOR('',#189742,10.); #50206=VECTOR('',#189743,10.); #50207=VECTOR('',#189744,10.); #50208=VECTOR('',#189749,10.); #50209=VECTOR('',#189756,10.); #50210=VECTOR('',#189763,10.); #50211=VECTOR('',#189768,10.); #50212=VECTOR('',#189769,10.); #50213=VECTOR('',#189770,10.); #50214=VECTOR('',#189775,10.); #50215=VECTOR('',#189782,10.); #50216=VECTOR('',#189789,10.); #50217=VECTOR('',#189796,10.); #50218=VECTOR('',#189801,10.); #50219=VECTOR('',#189802,10.); #50220=VECTOR('',#189803,10.); #50221=VECTOR('',#189808,10.); #50222=VECTOR('',#189813,10.); #50223=VECTOR('',#189814,10.); #50224=VECTOR('',#189815,10.); #50225=VECTOR('',#189818,10.); #50226=VECTOR('',#189819,10.); #50227=VECTOR('',#189820,10.); #50228=VECTOR('',#189823,10.); #50229=VECTOR('',#189824,10.); #50230=VECTOR('',#189825,10.); #50231=VECTOR('',#189828,10.); #50232=VECTOR('',#189829,10.); #50233=VECTOR('',#189830,10.); #50234=VECTOR('',#189833,10.); #50235=VECTOR('',#189834,10.); #50236=VECTOR('',#189835,10.); #50237=VECTOR('',#189838,10.); #50238=VECTOR('',#189839,10.); #50239=VECTOR('',#189840,10.); #50240=VECTOR('',#189843,10.); #50241=VECTOR('',#189844,10.); #50242=VECTOR('',#189845,10.); #50243=VECTOR('',#189848,10.); #50244=VECTOR('',#189849,10.); #50245=VECTOR('',#189850,10.); #50246=VECTOR('',#189853,10.); #50247=VECTOR('',#189854,10.); #50248=VECTOR('',#189855,10.); #50249=VECTOR('',#189858,10.); #50250=VECTOR('',#189859,10.); #50251=VECTOR('',#189860,10.); #50252=VECTOR('',#189863,10.); #50253=VECTOR('',#189864,10.); #50254=VECTOR('',#189865,10.); #50255=VECTOR('',#189870,10.); #50256=VECTOR('',#189875,10.); #50257=VECTOR('',#189876,10.); #50258=VECTOR('',#189877,10.); #50259=VECTOR('',#189880,10.); #50260=VECTOR('',#189881,10.); #50261=VECTOR('',#189882,10.); #50262=VECTOR('',#189887,10.); #50263=VECTOR('',#189892,10.); #50264=VECTOR('',#189893,10.); #50265=VECTOR('',#189894,10.); #50266=VECTOR('',#189897,10.); #50267=VECTOR('',#189898,10.); #50268=VECTOR('',#189899,10.); #50269=VECTOR('',#189902,10.); #50270=VECTOR('',#189903,10.); #50271=VECTOR('',#189904,10.); #50272=VECTOR('',#189907,10.); #50273=VECTOR('',#189908,10.); #50274=VECTOR('',#189909,10.); #50275=VECTOR('',#189912,10.); #50276=VECTOR('',#189913,10.); #50277=VECTOR('',#189914,10.); #50278=VECTOR('',#189917,10.); #50279=VECTOR('',#189918,10.); #50280=VECTOR('',#189919,10.); #50281=VECTOR('',#189924,10.); #50282=VECTOR('',#189931,10.); #50283=VECTOR('',#189938,10.); #50284=VECTOR('',#189943,10.); #50285=VECTOR('',#189944,10.); #50286=VECTOR('',#189945,10.); #50287=VECTOR('',#189948,10.); #50288=VECTOR('',#189949,10.); #50289=VECTOR('',#189950,10.); #50290=VECTOR('',#189953,10.); #50291=VECTOR('',#189954,10.); #50292=VECTOR('',#189955,10.); #50293=VECTOR('',#189958,10.); #50294=VECTOR('',#189959,10.); #50295=VECTOR('',#189960,10.); #50296=VECTOR('',#189963,10.); #50297=VECTOR('',#189964,10.); #50298=VECTOR('',#189965,10.); #50299=VECTOR('',#189968,10.); #50300=VECTOR('',#189969,10.); #50301=VECTOR('',#189970,10.); #50302=VECTOR('',#189973,10.); #50303=VECTOR('',#189974,10.); #50304=VECTOR('',#189975,10.); #50305=VECTOR('',#189978,10.); #50306=VECTOR('',#189979,10.); #50307=VECTOR('',#189980,10.); #50308=VECTOR('',#189985,10.); #50309=VECTOR('',#189990,10.); #50310=VECTOR('',#189991,10.); #50311=VECTOR('',#189992,10.); #50312=VECTOR('',#189995,10.); #50313=VECTOR('',#189996,10.); #50314=VECTOR('',#189997,10.); #50315=VECTOR('',#190000,10.); #50316=VECTOR('',#190001,10.); #50317=VECTOR('',#190002,10.); #50318=VECTOR('',#190005,10.); #50319=VECTOR('',#190006,10.); #50320=VECTOR('',#190007,10.); #50321=VECTOR('',#190010,10.); #50322=VECTOR('',#190011,10.); #50323=VECTOR('',#190012,10.); #50324=VECTOR('',#190017,10.); #50325=VECTOR('',#190022,10.); #50326=VECTOR('',#190023,10.); #50327=VECTOR('',#190024,10.); #50328=VECTOR('',#190027,10.); #50329=VECTOR('',#190028,10.); #50330=VECTOR('',#190029,10.); #50331=VECTOR('',#190032,10.); #50332=VECTOR('',#190033,10.); #50333=VECTOR('',#190034,10.); #50334=VECTOR('',#190037,10.); #50335=VECTOR('',#190038,10.); #50336=VECTOR('',#190039,10.); #50337=VECTOR('',#190042,10.); #50338=VECTOR('',#190043,10.); #50339=VECTOR('',#190044,10.); #50340=VECTOR('',#190049,10.); #50341=VECTOR('',#190054,10.); #50342=VECTOR('',#190055,10.); #50343=VECTOR('',#190056,10.); #50344=VECTOR('',#190061,10.); #50345=VECTOR('',#190068,10.); #50346=VECTOR('',#190075,10.); #50347=VECTOR('',#190082,10.); #50348=VECTOR('',#190087,10.); #50349=VECTOR('',#190088,10.); #50350=VECTOR('',#190089,10.); #50351=VECTOR('',#190094,10.); #50352=VECTOR('',#190101,10.); #50353=VECTOR('',#190108,10.); #50354=VECTOR('',#190115,10.); #50355=VECTOR('',#190122,10.); #50356=VECTOR('',#190127,10.); #50357=VECTOR('',#190128,10.); #50358=VECTOR('',#190129,10.); #50359=VECTOR('',#190134,10.); #50360=VECTOR('',#190139,10.); #50361=VECTOR('',#190140,10.); #50362=VECTOR('',#190141,10.); #50363=VECTOR('',#190144,10.); #50364=VECTOR('',#190145,10.); #50365=VECTOR('',#190146,10.); #50366=VECTOR('',#190149,10.); #50367=VECTOR('',#190150,10.); #50368=VECTOR('',#190151,10.); #50369=VECTOR('',#190154,10.); #50370=VECTOR('',#190155,10.); #50371=VECTOR('',#190156,10.); #50372=VECTOR('',#190159,10.); #50373=VECTOR('',#190160,10.); #50374=VECTOR('',#190161,10.); #50375=VECTOR('',#190164,10.); #50376=VECTOR('',#190165,10.); #50377=VECTOR('',#190166,10.); #50378=VECTOR('',#190169,10.); #50379=VECTOR('',#190170,10.); #50380=VECTOR('',#190171,10.); #50381=VECTOR('',#190174,10.); #50382=VECTOR('',#190175,10.); #50383=VECTOR('',#190176,10.); #50384=VECTOR('',#190179,10.); #50385=VECTOR('',#190180,10.); #50386=VECTOR('',#190181,10.); #50387=VECTOR('',#190184,10.); #50388=VECTOR('',#190185,10.); #50389=VECTOR('',#190186,10.); #50390=VECTOR('',#190189,10.); #50391=VECTOR('',#190190,10.); #50392=VECTOR('',#190191,10.); #50393=VECTOR('',#190194,10.); #50394=VECTOR('',#190195,10.); #50395=VECTOR('',#190196,10.); #50396=VECTOR('',#190199,10.); #50397=VECTOR('',#190200,10.); #50398=VECTOR('',#190201,10.); #50399=VECTOR('',#190204,10.); #50400=VECTOR('',#190205,10.); #50401=VECTOR('',#190206,10.); #50402=VECTOR('',#190209,10.); #50403=VECTOR('',#190210,10.); #50404=VECTOR('',#190211,10.); #50405=VECTOR('',#190214,10.); #50406=VECTOR('',#190215,10.); #50407=VECTOR('',#190216,10.); #50408=VECTOR('',#190221,10.); #50409=VECTOR('',#190226,10.); #50410=VECTOR('',#190227,10.); #50411=VECTOR('',#190228,10.); #50412=VECTOR('',#190231,10.); #50413=VECTOR('',#190232,10.); #50414=VECTOR('',#190233,10.); #50415=VECTOR('',#190236,10.); #50416=VECTOR('',#190237,10.); #50417=VECTOR('',#190238,10.); #50418=VECTOR('',#190243,10.); #50419=VECTOR('',#190250,10.); #50420=VECTOR('',#190255,10.); #50421=VECTOR('',#190256,10.); #50422=VECTOR('',#190257,10.); #50423=VECTOR('',#190260,10.); #50424=VECTOR('',#190261,10.); #50425=VECTOR('',#190268,10.); #50426=VECTOR('',#190271,10.); #50427=VECTOR('',#190276,10.); #50428=VECTOR('',#190283,10.); #50429=VECTOR('',#190288,10.); #50430=VECTOR('',#190289,10.); #50431=VECTOR('',#190290,10.); #50432=VECTOR('',#190293,10.); #50433=VECTOR('',#190294,10.); #50434=VECTOR('',#190295,10.); #50435=VECTOR('',#190298,10.); #50436=VECTOR('',#190299,10.); #50437=VECTOR('',#190300,10.); #50438=VECTOR('',#190303,10.); #50439=VECTOR('',#190304,10.); #50440=VECTOR('',#190305,10.); #50441=VECTOR('',#190308,10.); #50442=VECTOR('',#190309,10.); #50443=VECTOR('',#190312,10.); #50444=VECTOR('',#190313,10.); #50445=VECTOR('',#190314,10.); #50446=VECTOR('',#190315,10.); #50447=VECTOR('',#190318,10.); #50448=VECTOR('',#190319,10.); #50449=VECTOR('',#190320,10.); #50450=VECTOR('',#190325,10.); #50451=VECTOR('',#190332,10.); #50452=VECTOR('',#190339,10.); #50453=VECTOR('',#190344,10.); #50454=VECTOR('',#190345,10.); #50455=VECTOR('',#190346,10.); #50456=VECTOR('',#190349,10.); #50457=VECTOR('',#190350,10.); #50458=VECTOR('',#190353,10.); #50459=VECTOR('',#190354,10.); #50460=VECTOR('',#190355,10.); #50461=VECTOR('',#190356,10.); #50462=VECTOR('',#190359,10.); #50463=VECTOR('',#190360,10.); #50464=VECTOR('',#190361,10.); #50465=VECTOR('',#190364,10.); #50466=VECTOR('',#190365,10.); #50467=VECTOR('',#190366,10.); #50468=VECTOR('',#190369,10.); #50469=VECTOR('',#190370,10.); #50470=VECTOR('',#190371,10.); #50471=VECTOR('',#190374,10.); #50472=VECTOR('',#190375,10.); #50473=VECTOR('',#190376,10.); #50474=VECTOR('',#190381,10.); #50475=VECTOR('',#190388,10.); #50476=VECTOR('',#190395,10.); #50477=VECTOR('',#190402,10.); #50478=VECTOR('',#190407,10.); #50479=VECTOR('',#190408,10.); #50480=VECTOR('',#190409,10.); #50481=VECTOR('',#190414,10.); #50482=VECTOR('',#190421,10.); #50483=VECTOR('',#190428,10.); #50484=VECTOR('',#190433,10.); #50485=VECTOR('',#190434,10.); #50486=VECTOR('',#190435,10.); #50487=VECTOR('',#190438,10.); #50488=VECTOR('',#190439,10.); #50489=VECTOR('',#190440,10.); #50490=VECTOR('',#190443,10.); #50491=VECTOR('',#190444,10.); #50492=VECTOR('',#190445,10.); #50493=VECTOR('',#190448,10.); #50494=VECTOR('',#190449,10.); #50495=VECTOR('',#190450,10.); #50496=VECTOR('',#190453,10.); #50497=VECTOR('',#190454,10.); #50498=VECTOR('',#190455,10.); #50499=VECTOR('',#190458,10.); #50500=VECTOR('',#190459,10.); #50501=VECTOR('',#190460,10.); #50502=VECTOR('',#190463,10.); #50503=VECTOR('',#190464,10.); #50504=VECTOR('',#190465,10.); #50505=VECTOR('',#190468,10.); #50506=VECTOR('',#190469,10.); #50507=VECTOR('',#190470,10.); #50508=VECTOR('',#190473,10.); #50509=VECTOR('',#190474,10.); #50510=VECTOR('',#190475,10.); #50511=VECTOR('',#190478,10.); #50512=VECTOR('',#190479,10.); #50513=VECTOR('',#190480,10.); #50514=VECTOR('',#190483,10.); #50515=VECTOR('',#190484,10.); #50516=VECTOR('',#190485,10.); #50517=VECTOR('',#190488,10.); #50518=VECTOR('',#190489,10.); #50519=VECTOR('',#190490,10.); #50520=VECTOR('',#190493,10.); #50521=VECTOR('',#190494,10.); #50522=VECTOR('',#190495,10.); #50523=VECTOR('',#190498,10.); #50524=VECTOR('',#190499,10.); #50525=VECTOR('',#190500,10.); #50526=VECTOR('',#190503,10.); #50527=VECTOR('',#190504,10.); #50528=VECTOR('',#190505,10.); #50529=VECTOR('',#190508,10.); #50530=VECTOR('',#190509,10.); #50531=VECTOR('',#190510,10.); #50532=VECTOR('',#190513,10.); #50533=VECTOR('',#190514,10.); #50534=VECTOR('',#190515,10.); #50535=VECTOR('',#190518,10.); #50536=VECTOR('',#190519,10.); #50537=VECTOR('',#190520,10.); #50538=VECTOR('',#190523,10.); #50539=VECTOR('',#190524,10.); #50540=VECTOR('',#190525,10.); #50541=VECTOR('',#190528,10.); #50542=VECTOR('',#190529,10.); #50543=VECTOR('',#190530,10.); #50544=VECTOR('',#190533,10.); #50545=VECTOR('',#190534,10.); #50546=VECTOR('',#190535,10.); #50547=VECTOR('',#190538,10.); #50548=VECTOR('',#190539,10.); #50549=VECTOR('',#190540,10.); #50550=VECTOR('',#190543,10.); #50551=VECTOR('',#190544,10.); #50552=VECTOR('',#190545,10.); #50553=VECTOR('',#190548,10.); #50554=VECTOR('',#190549,10.); #50555=VECTOR('',#190550,10.); #50556=VECTOR('',#190553,10.); #50557=VECTOR('',#190554,10.); #50558=VECTOR('',#190555,10.); #50559=VECTOR('',#190558,10.); #50560=VECTOR('',#190559,10.); #50561=VECTOR('',#190560,10.); #50562=VECTOR('',#190565,10.); #50563=VECTOR('',#190570,10.); #50564=VECTOR('',#190571,10.); #50565=VECTOR('',#190572,10.); #50566=VECTOR('',#190575,10.); #50567=VECTOR('',#190576,10.); #50568=VECTOR('',#190577,10.); #50569=VECTOR('',#190582,10.); #50570=VECTOR('',#190587,10.); #50571=VECTOR('',#190588,10.); #50572=VECTOR('',#190589,10.); #50573=VECTOR('',#190592,10.); #50574=VECTOR('',#190593,10.); #50575=VECTOR('',#190594,10.); #50576=VECTOR('',#190597,10.); #50577=VECTOR('',#190598,10.); #50578=VECTOR('',#190599,10.); #50579=VECTOR('',#190602,10.); #50580=VECTOR('',#190603,10.); #50581=VECTOR('',#190604,10.); #50582=VECTOR('',#190607,10.); #50583=VECTOR('',#190608,10.); #50584=VECTOR('',#190609,10.); #50585=VECTOR('',#190612,10.); #50586=VECTOR('',#190613,10.); #50587=VECTOR('',#190614,10.); #50588=VECTOR('',#190617,10.); #50589=VECTOR('',#190618,10.); #50590=VECTOR('',#190619,10.); #50591=VECTOR('',#190622,10.); #50592=VECTOR('',#190623,10.); #50593=VECTOR('',#190624,10.); #50594=VECTOR('',#190627,10.); #50595=VECTOR('',#190628,10.); #50596=VECTOR('',#190629,10.); #50597=VECTOR('',#190632,10.); #50598=VECTOR('',#190633,10.); #50599=VECTOR('',#190634,10.); #50600=VECTOR('',#190637,10.); #50601=VECTOR('',#190638,10.); #50602=VECTOR('',#190639,10.); #50603=VECTOR('',#190642,10.); #50604=VECTOR('',#190643,10.); #50605=VECTOR('',#190644,10.); #50606=VECTOR('',#190647,10.); #50607=VECTOR('',#190648,10.); #50608=VECTOR('',#190649,10.); #50609=VECTOR('',#190652,10.); #50610=VECTOR('',#190653,10.); #50611=VECTOR('',#190654,10.); #50612=VECTOR('',#190657,10.); #50613=VECTOR('',#190658,10.); #50614=VECTOR('',#190659,10.); #50615=VECTOR('',#190662,10.); #50616=VECTOR('',#190663,10.); #50617=VECTOR('',#190664,10.); #50618=VECTOR('',#190667,10.); #50619=VECTOR('',#190668,10.); #50620=VECTOR('',#190669,10.); #50621=VECTOR('',#190672,10.); #50622=VECTOR('',#190673,10.); #50623=VECTOR('',#190674,10.); #50624=VECTOR('',#190677,10.); #50625=VECTOR('',#190678,10.); #50626=VECTOR('',#190679,10.); #50627=VECTOR('',#190682,10.); #50628=VECTOR('',#190683,10.); #50629=VECTOR('',#190684,10.); #50630=VECTOR('',#190687,10.); #50631=VECTOR('',#190688,10.); #50632=VECTOR('',#190689,10.); #50633=VECTOR('',#190692,10.); #50634=VECTOR('',#190693,10.); #50635=VECTOR('',#190694,10.); #50636=VECTOR('',#190697,10.); #50637=VECTOR('',#190698,10.); #50638=VECTOR('',#190703,10.); #50639=VECTOR('',#190704,10.); #50640=VECTOR('',#190705,10.); #50641=VECTOR('',#190706,10.); #50642=VECTOR('',#190709,10.); #50643=VECTOR('',#190710,10.); #50644=VECTOR('',#190711,10.); #50645=VECTOR('',#190714,10.); #50646=VECTOR('',#190715,10.); #50647=VECTOR('',#190716,10.); #50648=VECTOR('',#190719,10.); #50649=VECTOR('',#190720,10.); #50650=VECTOR('',#190721,10.); #50651=VECTOR('',#190724,10.); #50652=VECTOR('',#190725,10.); #50653=VECTOR('',#190726,10.); #50654=VECTOR('',#190729,10.); #50655=VECTOR('',#190730,10.); #50656=VECTOR('',#190731,10.); #50657=VECTOR('',#190734,10.); #50658=VECTOR('',#190735,10.); #50659=VECTOR('',#190736,10.); #50660=VECTOR('',#190739,10.); #50661=VECTOR('',#190740,10.); #50662=VECTOR('',#190741,10.); #50663=VECTOR('',#190744,10.); #50664=VECTOR('',#190745,10.); #50665=VECTOR('',#190746,10.); #50666=VECTOR('',#190749,10.); #50667=VECTOR('',#190750,10.); #50668=VECTOR('',#190751,10.); #50669=VECTOR('',#190754,10.); #50670=VECTOR('',#190755,10.); #50671=VECTOR('',#190756,10.); #50672=VECTOR('',#190759,10.); #50673=VECTOR('',#190760,10.); #50674=VECTOR('',#190761,10.); #50675=VECTOR('',#190764,10.); #50676=VECTOR('',#190765,10.); #50677=VECTOR('',#190766,10.); #50678=VECTOR('',#190769,10.); #50679=VECTOR('',#190770,10.); #50680=VECTOR('',#190771,10.); #50681=VECTOR('',#190774,10.); #50682=VECTOR('',#190775,10.); #50683=VECTOR('',#190776,10.); #50684=VECTOR('',#190779,10.); #50685=VECTOR('',#190780,10.); #50686=VECTOR('',#190781,10.); #50687=VECTOR('',#190784,10.); #50688=VECTOR('',#190785,10.); #50689=VECTOR('',#190786,10.); #50690=VECTOR('',#190789,10.); #50691=VECTOR('',#190790,10.); #50692=VECTOR('',#190791,10.); #50693=VECTOR('',#190794,10.); #50694=VECTOR('',#190795,10.); #50695=VECTOR('',#190796,10.); #50696=VECTOR('',#190801,10.); #50697=VECTOR('',#190808,10.); #50698=VECTOR('',#190813,10.); #50699=VECTOR('',#190814,10.); #50700=VECTOR('',#190815,10.); #50701=VECTOR('',#190818,10.); #50702=VECTOR('',#190819,10.); #50703=VECTOR('',#190820,10.); #50704=VECTOR('',#190823,10.); #50705=VECTOR('',#190824,10.); #50706=VECTOR('',#190825,10.); #50707=VECTOR('',#190828,10.); #50708=VECTOR('',#190829,10.); #50709=VECTOR('',#190830,10.); #50710=VECTOR('',#190833,10.); #50711=VECTOR('',#190834,10.); #50712=VECTOR('',#190835,10.); #50713=VECTOR('',#190838,10.); #50714=VECTOR('',#190839,10.); #50715=VECTOR('',#190840,10.); #50716=VECTOR('',#190843,10.); #50717=VECTOR('',#190844,10.); #50718=VECTOR('',#190845,10.); #50719=VECTOR('',#190848,10.); #50720=VECTOR('',#190849,10.); #50721=VECTOR('',#190850,10.); #50722=VECTOR('',#190853,10.); #50723=VECTOR('',#190854,10.); #50724=VECTOR('',#190855,10.); #50725=VECTOR('',#190858,10.); #50726=VECTOR('',#190859,10.); #50727=VECTOR('',#190860,10.); #50728=VECTOR('',#190863,10.); #50729=VECTOR('',#190864,10.); #50730=VECTOR('',#190865,10.); #50731=VECTOR('',#190868,10.); #50732=VECTOR('',#190869,10.); #50733=VECTOR('',#190870,10.); #50734=VECTOR('',#190873,10.); #50735=VECTOR('',#190874,10.); #50736=VECTOR('',#190875,10.); #50737=VECTOR('',#190878,10.); #50738=VECTOR('',#190879,10.); #50739=VECTOR('',#190880,10.); #50740=VECTOR('',#190883,10.); #50741=VECTOR('',#190884,10.); #50742=VECTOR('',#190885,10.); #50743=VECTOR('',#190888,10.); #50744=VECTOR('',#190889,10.); #50745=VECTOR('',#190890,10.); #50746=VECTOR('',#190893,10.); #50747=VECTOR('',#190894,10.); #50748=VECTOR('',#190895,10.); #50749=VECTOR('',#190898,10.); #50750=VECTOR('',#190899,10.); #50751=VECTOR('',#190900,10.); #50752=VECTOR('',#190903,10.); #50753=VECTOR('',#190904,10.); #50754=VECTOR('',#190905,10.); #50755=VECTOR('',#190908,10.); #50756=VECTOR('',#190909,10.); #50757=VECTOR('',#190910,10.); #50758=VECTOR('',#190913,10.); #50759=VECTOR('',#190914,10.); #50760=VECTOR('',#190915,10.); #50761=VECTOR('',#190918,10.); #50762=VECTOR('',#190919,10.); #50763=VECTOR('',#190920,10.); #50764=VECTOR('',#190923,10.); #50765=VECTOR('',#190924,10.); #50766=VECTOR('',#190925,10.); #50767=VECTOR('',#190930,10.); #50768=VECTOR('',#190935,10.); #50769=VECTOR('',#190936,10.); #50770=VECTOR('',#190937,10.); #50771=VECTOR('',#190940,10.); #50772=VECTOR('',#190941,10.); #50773=VECTOR('',#190942,10.); #50774=VECTOR('',#190945,10.); #50775=VECTOR('',#190946,10.); #50776=VECTOR('',#190947,10.); #50777=VECTOR('',#190952,10.); #50778=VECTOR('',#190957,10.); #50779=VECTOR('',#190958,10.); #50780=VECTOR('',#190959,10.); #50781=VECTOR('',#190962,10.); #50782=VECTOR('',#190963,10.); #50783=VECTOR('',#190964,10.); #50784=VECTOR('',#190967,10.); #50785=VECTOR('',#190968,10.); #50786=VECTOR('',#190969,10.); #50787=VECTOR('',#190974,10.); #50788=VECTOR('',#190979,10.); #50789=VECTOR('',#190980,10.); #50790=VECTOR('',#190981,10.); #50791=VECTOR('',#190984,10.); #50792=VECTOR('',#190985,10.); #50793=VECTOR('',#190986,10.); #50794=VECTOR('',#190989,10.); #50795=VECTOR('',#190990,10.); #50796=VECTOR('',#190991,10.); #50797=VECTOR('',#190994,10.); #50798=VECTOR('',#190995,10.); #50799=VECTOR('',#190996,10.); #50800=VECTOR('',#190999,10.); #50801=VECTOR('',#191000,10.); #50802=VECTOR('',#191001,10.); #50803=VECTOR('',#191004,10.); #50804=VECTOR('',#191005,10.); #50805=VECTOR('',#191006,10.); #50806=VECTOR('',#191009,10.); #50807=VECTOR('',#191010,10.); #50808=VECTOR('',#191011,10.); #50809=VECTOR('',#191014,10.); #50810=VECTOR('',#191015,10.); #50811=VECTOR('',#191016,10.); #50812=VECTOR('',#191021,10.); #50813=VECTOR('',#191026,10.); #50814=VECTOR('',#191027,10.); #50815=VECTOR('',#191028,10.); #50816=VECTOR('',#191031,10.); #50817=VECTOR('',#191032,10.); #50818=VECTOR('',#191033,10.); #50819=VECTOR('',#191036,10.); #50820=VECTOR('',#191037,10.); #50821=VECTOR('',#191038,10.); #50822=VECTOR('',#191041,10.); #50823=VECTOR('',#191042,10.); #50824=VECTOR('',#191043,10.); #50825=VECTOR('',#191046,10.); #50826=VECTOR('',#191047,10.); #50827=VECTOR('',#191048,10.); #50828=VECTOR('',#191051,10.); #50829=VECTOR('',#191052,10.); #50830=VECTOR('',#191053,10.); #50831=VECTOR('',#191058,10.); #50832=VECTOR('',#191063,10.); #50833=VECTOR('',#191064,10.); #50834=VECTOR('',#191065,10.); #50835=VECTOR('',#191068,10.); #50836=VECTOR('',#191069,10.); #50837=VECTOR('',#191070,10.); #50838=VECTOR('',#191073,10.); #50839=VECTOR('',#191074,10.); #50840=VECTOR('',#191075,10.); #50841=VECTOR('',#191078,10.); #50842=VECTOR('',#191079,10.); #50843=VECTOR('',#191080,10.); #50844=VECTOR('',#191083,10.); #50845=VECTOR('',#191084,10.); #50846=VECTOR('',#191085,10.); #50847=VECTOR('',#191088,10.); #50848=VECTOR('',#191089,10.); #50849=VECTOR('',#191090,10.); #50850=VECTOR('',#191093,10.); #50851=VECTOR('',#191094,10.); #50852=VECTOR('',#191095,10.); #50853=VECTOR('',#191098,10.); #50854=VECTOR('',#191099,10.); #50855=VECTOR('',#191100,10.); #50856=VECTOR('',#191103,10.); #50857=VECTOR('',#191104,10.); #50858=VECTOR('',#191105,10.); #50859=VECTOR('',#191110,10.); #50860=VECTOR('',#191117,10.); #50861=VECTOR('',#191124,10.); #50862=VECTOR('',#191131,10.); #50863=VECTOR('',#191136,10.); #50864=VECTOR('',#191137,10.); #50865=VECTOR('',#191138,10.); #50866=VECTOR('',#191141,10.); #50867=VECTOR('',#191142,10.); #50868=VECTOR('',#191143,10.); #50869=VECTOR('',#191146,10.); #50870=VECTOR('',#191147,10.); #50871=VECTOR('',#191148,10.); #50872=VECTOR('',#191151,10.); #50873=VECTOR('',#191152,10.); #50874=VECTOR('',#191153,10.); #50875=VECTOR('',#191156,10.); #50876=VECTOR('',#191157,10.); #50877=VECTOR('',#191158,10.); #50878=VECTOR('',#191161,10.); #50879=VECTOR('',#191162,10.); #50880=VECTOR('',#191163,10.); #50881=VECTOR('',#191166,10.); #50882=VECTOR('',#191167,10.); #50883=VECTOR('',#191168,10.); #50884=VECTOR('',#191171,10.); #50885=VECTOR('',#191172,10.); #50886=VECTOR('',#191173,10.); #50887=VECTOR('',#191176,10.); #50888=VECTOR('',#191177,10.); #50889=VECTOR('',#191178,10.); #50890=VECTOR('',#191181,10.); #50891=VECTOR('',#191182,10.); #50892=VECTOR('',#191183,10.); #50893=VECTOR('',#191186,10.); #50894=VECTOR('',#191187,10.); #50895=VECTOR('',#191188,10.); #50896=VECTOR('',#191191,10.); #50897=VECTOR('',#191192,10.); #50898=VECTOR('',#191193,10.); #50899=VECTOR('',#191196,10.); #50900=VECTOR('',#191197,10.); #50901=VECTOR('',#191198,10.); #50902=VECTOR('',#191201,10.); #50903=VECTOR('',#191202,10.); #50904=VECTOR('',#191203,10.); #50905=VECTOR('',#191206,10.); #50906=VECTOR('',#191207,10.); #50907=VECTOR('',#191208,10.); #50908=VECTOR('',#191211,10.); #50909=VECTOR('',#191212,10.); #50910=VECTOR('',#191213,10.); #50911=VECTOR('',#191216,10.); #50912=VECTOR('',#191217,10.); #50913=VECTOR('',#191218,10.); #50914=VECTOR('',#191221,10.); #50915=VECTOR('',#191222,10.); #50916=VECTOR('',#191223,10.); #50917=VECTOR('',#191226,10.); #50918=VECTOR('',#191227,10.); #50919=VECTOR('',#191228,10.); #50920=VECTOR('',#191231,10.); #50921=VECTOR('',#191232,10.); #50922=VECTOR('',#191233,10.); #50923=VECTOR('',#191236,10.); #50924=VECTOR('',#191237,10.); #50925=VECTOR('',#191238,10.); #50926=VECTOR('',#191241,10.); #50927=VECTOR('',#191242,10.); #50928=VECTOR('',#191243,10.); #50929=VECTOR('',#191246,10.); #50930=VECTOR('',#191247,10.); #50931=VECTOR('',#191248,10.); #50932=VECTOR('',#191251,10.); #50933=VECTOR('',#191252,10.); #50934=VECTOR('',#191253,10.); #50935=VECTOR('',#191256,10.); #50936=VECTOR('',#191257,10.); #50937=VECTOR('',#191258,10.); #50938=VECTOR('',#191263,10.); #50939=VECTOR('',#191268,10.); #50940=VECTOR('',#191269,10.); #50941=VECTOR('',#191270,10.); #50942=VECTOR('',#191273,10.); #50943=VECTOR('',#191274,10.); #50944=VECTOR('',#191275,10.); #50945=VECTOR('',#191280,10.); #50946=VECTOR('',#191285,10.); #50947=VECTOR('',#191286,10.); #50948=VECTOR('',#191287,10.); #50949=VECTOR('',#191290,10.); #50950=VECTOR('',#191291,10.); #50951=VECTOR('',#191292,10.); #50952=VECTOR('',#191295,10.); #50953=VECTOR('',#191296,10.); #50954=VECTOR('',#191297,10.); #50955=VECTOR('',#191300,10.); #50956=VECTOR('',#191301,10.); #50957=VECTOR('',#191302,10.); #50958=VECTOR('',#191305,10.); #50959=VECTOR('',#191306,10.); #50960=VECTOR('',#191307,10.); #50961=VECTOR('',#191310,10.); #50962=VECTOR('',#191311,10.); #50963=VECTOR('',#191312,10.); #50964=VECTOR('',#191315,10.); #50965=VECTOR('',#191316,10.); #50966=VECTOR('',#191317,10.); #50967=VECTOR('',#191320,10.); #50968=VECTOR('',#191321,10.); #50969=VECTOR('',#191322,10.); #50970=VECTOR('',#191325,10.); #50971=VECTOR('',#191326,10.); #50972=VECTOR('',#191327,10.); #50973=VECTOR('',#191330,10.); #50974=VECTOR('',#191331,10.); #50975=VECTOR('',#191332,10.); #50976=VECTOR('',#191335,10.); #50977=VECTOR('',#191336,10.); #50978=VECTOR('',#191337,10.); #50979=VECTOR('',#191340,10.); #50980=VECTOR('',#191341,10.); #50981=VECTOR('',#191342,10.); #50982=VECTOR('',#191345,10.); #50983=VECTOR('',#191346,10.); #50984=VECTOR('',#191347,10.); #50985=VECTOR('',#191350,10.); #50986=VECTOR('',#191351,10.); #50987=VECTOR('',#191352,10.); #50988=VECTOR('',#191355,10.); #50989=VECTOR('',#191356,10.); #50990=VECTOR('',#191357,10.); #50991=VECTOR('',#191360,10.); #50992=VECTOR('',#191361,10.); #50993=VECTOR('',#191362,10.); #50994=VECTOR('',#191365,10.); #50995=VECTOR('',#191366,10.); #50996=VECTOR('',#191367,10.); #50997=VECTOR('',#191370,10.); #50998=VECTOR('',#191371,10.); #50999=VECTOR('',#191372,10.); #51000=VECTOR('',#191375,10.); #51001=VECTOR('',#191376,10.); #51002=VECTOR('',#191377,10.); #51003=VECTOR('',#191380,10.); #51004=VECTOR('',#191381,10.); #51005=VECTOR('',#191382,10.); #51006=VECTOR('',#191385,10.); #51007=VECTOR('',#191386,10.); #51008=VECTOR('',#191387,10.); #51009=VECTOR('',#191390,10.); #51010=VECTOR('',#191391,10.); #51011=VECTOR('',#191392,10.); #51012=VECTOR('',#191395,10.); #51013=VECTOR('',#191396,10.); #51014=VECTOR('',#191397,10.); #51015=VECTOR('',#191400,10.); #51016=VECTOR('',#191401,10.); #51017=VECTOR('',#191402,10.); #51018=VECTOR('',#191405,10.); #51019=VECTOR('',#191406,10.); #51020=VECTOR('',#191407,10.); #51021=VECTOR('',#191410,10.); #51022=VECTOR('',#191411,10.); #51023=VECTOR('',#191412,10.); #51024=VECTOR('',#191415,10.); #51025=VECTOR('',#191416,10.); #51026=VECTOR('',#191417,10.); #51027=VECTOR('',#191422,10.); #51028=VECTOR('',#191429,10.); #51029=VECTOR('',#191434,10.); #51030=VECTOR('',#191435,10.); #51031=VECTOR('',#191436,10.); #51032=VECTOR('',#191439,10.); #51033=VECTOR('',#191440,10.); #51034=VECTOR('',#191441,10.); #51035=VECTOR('',#191444,10.); #51036=VECTOR('',#191445,10.); #51037=VECTOR('',#191446,10.); #51038=VECTOR('',#191449,10.); #51039=VECTOR('',#191450,10.); #51040=VECTOR('',#191451,10.); #51041=VECTOR('',#191454,10.); #51042=VECTOR('',#191455,10.); #51043=VECTOR('',#191456,10.); #51044=VECTOR('',#191459,10.); #51045=VECTOR('',#191460,10.); #51046=VECTOR('',#191461,10.); #51047=VECTOR('',#191464,10.); #51048=VECTOR('',#191465,10.); #51049=VECTOR('',#191466,10.); #51050=VECTOR('',#191469,10.); #51051=VECTOR('',#191470,10.); #51052=VECTOR('',#191471,10.); #51053=VECTOR('',#191474,10.); #51054=VECTOR('',#191475,10.); #51055=VECTOR('',#191476,10.); #51056=VECTOR('',#191479,10.); #51057=VECTOR('',#191480,10.); #51058=VECTOR('',#191481,10.); #51059=VECTOR('',#191484,10.); #51060=VECTOR('',#191485,10.); #51061=VECTOR('',#191486,10.); #51062=VECTOR('',#191489,10.); #51063=VECTOR('',#191490,10.); #51064=VECTOR('',#191491,10.); #51065=VECTOR('',#191494,10.); #51066=VECTOR('',#191495,10.); #51067=VECTOR('',#191496,10.); #51068=VECTOR('',#191499,10.); #51069=VECTOR('',#191500,10.); #51070=VECTOR('',#191501,10.); #51071=VECTOR('',#191504,10.); #51072=VECTOR('',#191505,10.); #51073=VECTOR('',#191506,10.); #51074=VECTOR('',#191509,10.); #51075=VECTOR('',#191510,10.); #51076=VECTOR('',#191511,10.); #51077=VECTOR('',#191514,10.); #51078=VECTOR('',#191515,10.); #51079=VECTOR('',#191516,10.); #51080=VECTOR('',#191519,10.); #51081=VECTOR('',#191520,10.); #51082=VECTOR('',#191521,10.); #51083=VECTOR('',#191524,10.); #51084=VECTOR('',#191525,10.); #51085=VECTOR('',#191526,10.); #51086=VECTOR('',#191529,10.); #51087=VECTOR('',#191530,10.); #51088=VECTOR('',#191531,10.); #51089=VECTOR('',#191534,10.); #51090=VECTOR('',#191535,10.); #51091=VECTOR('',#191536,10.); #51092=VECTOR('',#191539,10.); #51093=VECTOR('',#191540,10.); #51094=VECTOR('',#191541,10.); #51095=VECTOR('',#191544,10.); #51096=VECTOR('',#191545,10.); #51097=VECTOR('',#191546,10.); #51098=VECTOR('',#191549,10.); #51099=VECTOR('',#191550,10.); #51100=VECTOR('',#191551,10.); #51101=VECTOR('',#191554,10.); #51102=VECTOR('',#191555,10.); #51103=VECTOR('',#191556,10.); #51104=VECTOR('',#191559,10.); #51105=VECTOR('',#191560,10.); #51106=VECTOR('',#191561,10.); #51107=VECTOR('',#191566,10.); #51108=VECTOR('',#191571,10.); #51109=VECTOR('',#191572,10.); #51110=VECTOR('',#191573,10.); #51111=VECTOR('',#191576,10.); #51112=VECTOR('',#191577,10.); #51113=VECTOR('',#191578,10.); #51114=VECTOR('',#191581,10.); #51115=VECTOR('',#191582,10.); #51116=VECTOR('',#191583,10.); #51117=VECTOR('',#191586,10.); #51118=VECTOR('',#191587,10.); #51119=VECTOR('',#191588,10.); #51120=VECTOR('',#191593,10.); #51121=VECTOR('',#191598,10.); #51122=VECTOR('',#191599,10.); #51123=VECTOR('',#191600,10.); #51124=VECTOR('',#191603,10.); #51125=VECTOR('',#191604,10.); #51126=VECTOR('',#191605,10.); #51127=VECTOR('',#191608,10.); #51128=VECTOR('',#191609,10.); #51129=VECTOR('',#191610,10.); #51130=VECTOR('',#191613,10.); #51131=VECTOR('',#191614,10.); #51132=VECTOR('',#191615,10.); #51133=VECTOR('',#191618,10.); #51134=VECTOR('',#191619,10.); #51135=VECTOR('',#191620,10.); #51136=VECTOR('',#191623,10.); #51137=VECTOR('',#191624,10.); #51138=VECTOR('',#191625,10.); #51139=VECTOR('',#191628,10.); #51140=VECTOR('',#191629,10.); #51141=VECTOR('',#191636,10.); #51142=VECTOR('',#191639,10.); #51143=VECTOR('',#191644,10.); #51144=VECTOR('',#191651,10.); #51145=VECTOR('',#191658,10.); #51146=VECTOR('',#191665,10.); #51147=VECTOR('',#191672,10.); #51148=VECTOR('',#191679,10.); #51149=VECTOR('',#191684,10.); #51150=VECTOR('',#191685,10.); #51151=VECTOR('',#191686,10.); #51152=VECTOR('',#191691,10.); #51153=VECTOR('',#191698,10.); #51154=VECTOR('',#191709,10.); #51155=VECTOR('',#191710,10.); #51156=VECTOR('',#191711,10.); #51157=VECTOR('',#191712,10.); #51158=VECTOR('',#191717,10.); #51159=VECTOR('',#191724,10.); #51160=VECTOR('',#191731,10.); #51161=VECTOR('',#191738,10.); #51162=VECTOR('',#191745,10.); #51163=VECTOR('',#191752,10.); #51164=VECTOR('',#191759,10.); #51165=VECTOR('',#191766,10.); #51166=VECTOR('',#191781,10.); #51167=VECTOR('',#191784,10.); #51168=VECTOR('',#191789,10.); #51169=VECTOR('',#191794,10.); #51170=VECTOR('',#191795,10.); #51171=VECTOR('',#191796,10.); #51172=VECTOR('',#191801,10.); #51173=VECTOR('',#191806,10.); #51174=VECTOR('',#191807,10.); #51175=VECTOR('',#191808,10.); #51176=VECTOR('',#191813,10.); #51177=VECTOR('',#191818,10.); #51178=VECTOR('',#191819,10.); #51179=VECTOR('',#191820,10.); #51180=VECTOR('',#191823,10.); #51181=VECTOR('',#191824,10.); #51182=VECTOR('',#191825,10.); #51183=VECTOR('',#191828,10.); #51184=VECTOR('',#191829,10.); #51185=VECTOR('',#191830,10.); #51186=VECTOR('',#191835,10.); #51187=VECTOR('',#191840,10.); #51188=VECTOR('',#191841,10.); #51189=VECTOR('',#191842,10.); #51190=VECTOR('',#191845,10.); #51191=VECTOR('',#191846,10.); #51192=VECTOR('',#191847,10.); #51193=VECTOR('',#191850,10.); #51194=VECTOR('',#191851,10.); #51195=VECTOR('',#191852,10.); #51196=VECTOR('',#191857,10.); #51197=VECTOR('',#191864,10.); #51198=VECTOR('',#191869,10.); #51199=VECTOR('',#191870,10.); #51200=VECTOR('',#191871,10.); #51201=VECTOR('',#191874,10.); #51202=VECTOR('',#191875,10.); #51203=VECTOR('',#191876,10.); #51204=VECTOR('',#191881,10.); #51205=VECTOR('',#191886,10.); #51206=VECTOR('',#191887,10.); #51207=VECTOR('',#191888,10.); #51208=VECTOR('',#191891,10.); #51209=VECTOR('',#191892,10.); #51210=VECTOR('',#191893,10.); #51211=VECTOR('',#191896,10.); #51212=VECTOR('',#191897,10.); #51213=VECTOR('',#191898,10.); #51214=VECTOR('',#191901,10.); #51215=VECTOR('',#191902,10.); #51216=VECTOR('',#191903,10.); #51217=VECTOR('',#191906,10.); #51218=VECTOR('',#191907,10.); #51219=VECTOR('',#191908,10.); #51220=VECTOR('',#191911,10.); #51221=VECTOR('',#191912,10.); #51222=VECTOR('',#191913,10.); #51223=VECTOR('',#191918,10.); #51224=VECTOR('',#191925,10.); #51225=VECTOR('',#191932,10.); #51226=VECTOR('',#191939,10.); #51227=VECTOR('',#191944,10.); #51228=VECTOR('',#191945,10.); #51229=VECTOR('',#191946,10.); #51230=VECTOR('',#191951,10.); #51231=VECTOR('',#191956,10.); #51232=VECTOR('',#191957,10.); #51233=VECTOR('',#191958,10.); #51234=VECTOR('',#191963,10.); #51235=VECTOR('',#191968,10.); #51236=VECTOR('',#191969,10.); #51237=VECTOR('',#191970,10.); #51238=VECTOR('',#191975,10.); #51239=VECTOR('',#191980,10.); #51240=VECTOR('',#191981,10.); #51241=VECTOR('',#191982,10.); #51242=VECTOR('',#191985,10.); #51243=VECTOR('',#191986,10.); #51244=VECTOR('',#191987,10.); #51245=VECTOR('',#191992,10.); #51246=VECTOR('',#191997,10.); #51247=VECTOR('',#191998,10.); #51248=VECTOR('',#191999,10.); #51249=VECTOR('',#192004,10.); #51250=VECTOR('',#192009,10.); #51251=VECTOR('',#192010,10.); #51252=VECTOR('',#192011,10.); #51253=VECTOR('',#192016,10.); #51254=VECTOR('',#192021,10.); #51255=VECTOR('',#192022,10.); #51256=VECTOR('',#192023,10.); #51257=VECTOR('',#192026,10.); #51258=VECTOR('',#192027,10.); #51259=VECTOR('',#192028,10.); #51260=VECTOR('',#192031,10.); #51261=VECTOR('',#192032,10.); #51262=VECTOR('',#192037,10.); #51263=VECTOR('',#192038,10.); #51264=VECTOR('',#192039,10.); #51265=VECTOR('',#192040,10.); #51266=VECTOR('',#192043,10.); #51267=VECTOR('',#192044,10.); #51268=VECTOR('',#192045,10.); #51269=VECTOR('',#192048,10.); #51270=VECTOR('',#192049,10.); #51271=VECTOR('',#192050,10.); #51272=VECTOR('',#192053,10.); #51273=VECTOR('',#192054,10.); #51274=VECTOR('',#192055,10.); #51275=VECTOR('',#192058,10.); #51276=VECTOR('',#192059,10.); #51277=VECTOR('',#192060,10.); #51278=VECTOR('',#192063,10.); #51279=VECTOR('',#192064,10.); #51280=VECTOR('',#192065,10.); #51281=VECTOR('',#192068,10.); #51282=VECTOR('',#192069,10.); #51283=VECTOR('',#192070,10.); #51284=VECTOR('',#192073,10.); #51285=VECTOR('',#192074,10.); #51286=VECTOR('',#192075,10.); #51287=VECTOR('',#192078,10.); #51288=VECTOR('',#192079,10.); #51289=VECTOR('',#192080,10.); #51290=VECTOR('',#192083,10.); #51291=VECTOR('',#192084,10.); #51292=VECTOR('',#192085,10.); #51293=VECTOR('',#192088,10.); #51294=VECTOR('',#192089,10.); #51295=VECTOR('',#192090,10.); #51296=VECTOR('',#192093,10.); #51297=VECTOR('',#192094,10.); #51298=VECTOR('',#192095,10.); #51299=VECTOR('',#192098,10.); #51300=VECTOR('',#192099,10.); #51301=VECTOR('',#192100,10.); #51302=VECTOR('',#192103,10.); #51303=VECTOR('',#192104,10.); #51304=VECTOR('',#192105,10.); #51305=VECTOR('',#192110,10.); #51306=VECTOR('',#192117,10.); #51307=VECTOR('',#192122,10.); #51308=VECTOR('',#192123,10.); #51309=VECTOR('',#192124,10.); #51310=VECTOR('',#192127,10.); #51311=VECTOR('',#192128,10.); #51312=VECTOR('',#192129,10.); #51313=VECTOR('',#192132,10.); #51314=VECTOR('',#192133,10.); #51315=VECTOR('',#192134,10.); #51316=VECTOR('',#192137,10.); #51317=VECTOR('',#192138,10.); #51318=VECTOR('',#192139,10.); #51319=VECTOR('',#192142,10.); #51320=VECTOR('',#192143,10.); #51321=VECTOR('',#192144,10.); #51322=VECTOR('',#192147,10.); #51323=VECTOR('',#192148,10.); #51324=VECTOR('',#192149,10.); #51325=VECTOR('',#192152,10.); #51326=VECTOR('',#192153,10.); #51327=VECTOR('',#192154,10.); #51328=VECTOR('',#192157,10.); #51329=VECTOR('',#192158,10.); #51330=VECTOR('',#192159,10.); #51331=VECTOR('',#192162,10.); #51332=VECTOR('',#192163,10.); #51333=VECTOR('',#192164,10.); #51334=VECTOR('',#192167,10.); #51335=VECTOR('',#192168,10.); #51336=VECTOR('',#192169,10.); #51337=VECTOR('',#192172,10.); #51338=VECTOR('',#192173,10.); #51339=VECTOR('',#192174,10.); #51340=VECTOR('',#192177,10.); #51341=VECTOR('',#192178,10.); #51342=VECTOR('',#192179,10.); #51343=VECTOR('',#192182,10.); #51344=VECTOR('',#192183,10.); #51345=VECTOR('',#192184,10.); #51346=VECTOR('',#192187,10.); #51347=VECTOR('',#192188,10.); #51348=VECTOR('',#192189,10.); #51349=VECTOR('',#192192,10.); #51350=VECTOR('',#192193,10.); #51351=VECTOR('',#192194,10.); #51352=VECTOR('',#192197,10.); #51353=VECTOR('',#192198,10.); #51354=VECTOR('',#192199,10.); #51355=VECTOR('',#192204,10.); #51356=VECTOR('',#192209,10.); #51357=VECTOR('',#192210,10.); #51358=VECTOR('',#192211,10.); #51359=VECTOR('',#192214,10.); #51360=VECTOR('',#192215,10.); #51361=VECTOR('',#192216,10.); #51362=VECTOR('',#192219,10.); #51363=VECTOR('',#192220,10.); #51364=VECTOR('',#192221,10.); #51365=VECTOR('',#192224,10.); #51366=VECTOR('',#192225,10.); #51367=VECTOR('',#192226,10.); #51368=VECTOR('',#192229,10.); #51369=VECTOR('',#192230,10.); #51370=VECTOR('',#192231,10.); #51371=VECTOR('',#192234,10.); #51372=VECTOR('',#192235,10.); #51373=VECTOR('',#192236,10.); #51374=VECTOR('',#192239,10.); #51375=VECTOR('',#192240,10.); #51376=VECTOR('',#192241,10.); #51377=VECTOR('',#192246,10.); #51378=VECTOR('',#192251,10.); #51379=VECTOR('',#192252,10.); #51380=VECTOR('',#192253,10.); #51381=VECTOR('',#192258,10.); #51382=VECTOR('',#192265,10.); #51383=VECTOR('',#192270,10.); #51384=VECTOR('',#192271,10.); #51385=VECTOR('',#192272,10.); #51386=VECTOR('',#192275,10.); #51387=VECTOR('',#192276,10.); #51388=VECTOR('',#192277,10.); #51389=VECTOR('',#192280,10.); #51390=VECTOR('',#192281,10.); #51391=VECTOR('',#192282,10.); #51392=VECTOR('',#192285,10.); #51393=VECTOR('',#192286,10.); #51394=VECTOR('',#192287,10.); #51395=VECTOR('',#192290,10.); #51396=VECTOR('',#192291,10.); #51397=VECTOR('',#192292,10.); #51398=VECTOR('',#192295,10.); #51399=VECTOR('',#192296,10.); #51400=VECTOR('',#192297,10.); #51401=VECTOR('',#192300,10.); #51402=VECTOR('',#192301,10.); #51403=VECTOR('',#192302,10.); #51404=VECTOR('',#192305,10.); #51405=VECTOR('',#192306,10.); #51406=VECTOR('',#192307,10.); #51407=VECTOR('',#192310,10.); #51408=VECTOR('',#192311,10.); #51409=VECTOR('',#192312,10.); #51410=VECTOR('',#192315,10.); #51411=VECTOR('',#192316,10.); #51412=VECTOR('',#192317,10.); #51413=VECTOR('',#192320,10.); #51414=VECTOR('',#192321,10.); #51415=VECTOR('',#192322,10.); #51416=VECTOR('',#192325,10.); #51417=VECTOR('',#192326,10.); #51418=VECTOR('',#192327,10.); #51419=VECTOR('',#192330,10.); #51420=VECTOR('',#192331,10.); #51421=VECTOR('',#192332,10.); #51422=VECTOR('',#192335,10.); #51423=VECTOR('',#192336,10.); #51424=VECTOR('',#192337,10.); #51425=VECTOR('',#192340,10.); #51426=VECTOR('',#192341,10.); #51427=VECTOR('',#192342,10.); #51428=VECTOR('',#192345,10.); #51429=VECTOR('',#192346,10.); #51430=VECTOR('',#192347,10.); #51431=VECTOR('',#192350,10.); #51432=VECTOR('',#192351,10.); #51433=VECTOR('',#192352,10.); #51434=VECTOR('',#192355,10.); #51435=VECTOR('',#192356,10.); #51436=VECTOR('',#192357,10.); #51437=VECTOR('',#192360,10.); #51438=VECTOR('',#192361,10.); #51439=VECTOR('',#192362,10.); #51440=VECTOR('',#192365,10.); #51441=VECTOR('',#192366,10.); #51442=VECTOR('',#192367,10.); #51443=VECTOR('',#192370,10.); #51444=VECTOR('',#192371,10.); #51445=VECTOR('',#192372,10.); #51446=VECTOR('',#192375,10.); #51447=VECTOR('',#192376,10.); #51448=VECTOR('',#192377,10.); #51449=VECTOR('',#192380,10.); #51450=VECTOR('',#192381,10.); #51451=VECTOR('',#192382,10.); #51452=VECTOR('',#192385,10.); #51453=VECTOR('',#192386,10.); #51454=VECTOR('',#192387,10.); #51455=VECTOR('',#192392,10.); #51456=VECTOR('',#192397,10.); #51457=VECTOR('',#192398,10.); #51458=VECTOR('',#192399,10.); #51459=VECTOR('',#192402,10.); #51460=VECTOR('',#192403,10.); #51461=VECTOR('',#192404,10.); #51462=VECTOR('',#192407,10.); #51463=VECTOR('',#192408,10.); #51464=VECTOR('',#192409,10.); #51465=VECTOR('',#192412,10.); #51466=VECTOR('',#192413,10.); #51467=VECTOR('',#192414,10.); #51468=VECTOR('',#192419,10.); #51469=VECTOR('',#192424,10.); #51470=VECTOR('',#192425,10.); #51471=VECTOR('',#192426,10.); #51472=VECTOR('',#192429,10.); #51473=VECTOR('',#192430,10.); #51474=VECTOR('',#192431,10.); #51475=VECTOR('',#192434,10.); #51476=VECTOR('',#192435,10.); #51477=VECTOR('',#192436,10.); #51478=VECTOR('',#192439,10.); #51479=VECTOR('',#192440,10.); #51480=VECTOR('',#192441,10.); #51481=VECTOR('',#192444,10.); #51482=VECTOR('',#192445,10.); #51483=VECTOR('',#192446,10.); #51484=VECTOR('',#192449,10.); #51485=VECTOR('',#192450,10.); #51486=VECTOR('',#192451,10.); #51487=VECTOR('',#192454,10.); #51488=VECTOR('',#192455,10.); #51489=VECTOR('',#192456,10.); #51490=VECTOR('',#192459,10.); #51491=VECTOR('',#192460,10.); #51492=VECTOR('',#192461,10.); #51493=VECTOR('',#192464,10.); #51494=VECTOR('',#192465,10.); #51495=VECTOR('',#192466,10.); #51496=VECTOR('',#192469,10.); #51497=VECTOR('',#192470,10.); #51498=VECTOR('',#192471,10.); #51499=VECTOR('',#192474,10.); #51500=VECTOR('',#192475,10.); #51501=VECTOR('',#192476,10.); #51502=VECTOR('',#192479,10.); #51503=VECTOR('',#192480,10.); #51504=VECTOR('',#192481,10.); #51505=VECTOR('',#192484,10.); #51506=VECTOR('',#192485,10.); #51507=VECTOR('',#192486,10.); #51508=VECTOR('',#192489,10.); #51509=VECTOR('',#192490,10.); #51510=VECTOR('',#192491,10.); #51511=VECTOR('',#192494,10.); #51512=VECTOR('',#192495,10.); #51513=VECTOR('',#192496,10.); #51514=VECTOR('',#192499,10.); #51515=VECTOR('',#192500,10.); #51516=VECTOR('',#192501,10.); #51517=VECTOR('',#192504,10.); #51518=VECTOR('',#192505,10.); #51519=VECTOR('',#192506,10.); #51520=VECTOR('',#192509,10.); #51521=VECTOR('',#192510,10.); #51522=VECTOR('',#192511,10.); #51523=VECTOR('',#192516,10.); #51524=VECTOR('',#192521,10.); #51525=VECTOR('',#192522,10.); #51526=VECTOR('',#192523,10.); #51527=VECTOR('',#192526,10.); #51528=VECTOR('',#192527,10.); #51529=VECTOR('',#192528,10.); #51530=VECTOR('',#192531,10.); #51531=VECTOR('',#192532,10.); #51532=VECTOR('',#192533,10.); #51533=VECTOR('',#192536,10.); #51534=VECTOR('',#192537,10.); #51535=VECTOR('',#192538,10.); #51536=VECTOR('',#192541,10.); #51537=VECTOR('',#192542,10.); #51538=VECTOR('',#192543,10.); #51539=VECTOR('',#192546,10.); #51540=VECTOR('',#192547,10.); #51541=VECTOR('',#192548,10.); #51542=VECTOR('',#192553,10.); #51543=VECTOR('',#192560,10.); #51544=VECTOR('',#192565,10.); #51545=VECTOR('',#192566,10.); #51546=VECTOR('',#192567,10.); #51547=VECTOR('',#192572,10.); #51548=VECTOR('',#192577,10.); #51549=VECTOR('',#192578,10.); #51550=VECTOR('',#192579,10.); #51551=VECTOR('',#192582,10.); #51552=VECTOR('',#192583,10.); #51553=VECTOR('',#192584,10.); #51554=VECTOR('',#192589,10.); #51555=VECTOR('',#192594,10.); #51556=VECTOR('',#192595,10.); #51557=VECTOR('',#192596,10.); #51558=VECTOR('',#192599,10.); #51559=VECTOR('',#192600,10.); #51560=VECTOR('',#192601,10.); #51561=VECTOR('',#192604,10.); #51562=VECTOR('',#192605,10.); #51563=VECTOR('',#192606,10.); #51564=VECTOR('',#192609,10.); #51565=VECTOR('',#192610,10.); #51566=VECTOR('',#192611,10.); #51567=VECTOR('',#192614,10.); #51568=VECTOR('',#192615,10.); #51569=VECTOR('',#192616,10.); #51570=VECTOR('',#192621,10.); #51571=VECTOR('',#192626,10.); #51572=VECTOR('',#192627,10.); #51573=VECTOR('',#192628,10.); #51574=VECTOR('',#192631,10.); #51575=VECTOR('',#192632,10.); #51576=VECTOR('',#192633,10.); #51577=VECTOR('',#192636,10.); #51578=VECTOR('',#192637,10.); #51579=VECTOR('',#192638,10.); #51580=VECTOR('',#192641,10.); #51581=VECTOR('',#192642,10.); #51582=VECTOR('',#192647,10.); #51583=VECTOR('',#192648,10.); #51584=VECTOR('',#192649,10.); #51585=VECTOR('',#192650,10.); #51586=VECTOR('',#192651,10.); #51587=VECTOR('',#192654,10.); #51588=VECTOR('',#192657,10.); #51589=VECTOR('',#192658,10.); #51590=VECTOR('',#192659,10.); #51591=VECTOR('',#192662,10.); #51592=VECTOR('',#192665,10.); #51593=VECTOR('',#192666,10.); #51594=VECTOR('',#192667,10.); #51595=VECTOR('',#192670,10.); #51596=VECTOR('',#192673,10.); #51597=VECTOR('',#192674,10.); #51598=VECTOR('',#192675,10.); #51599=VECTOR('',#192678,10.); #51600=VECTOR('',#192679,10.); #51601=VECTOR('',#192680,10.); #51602=VECTOR('',#192683,10.); #51603=VECTOR('',#192684,10.); #51604=VECTOR('',#192685,10.); #51605=VECTOR('',#192688,10.); #51606=VECTOR('',#192691,10.); #51607=VECTOR('',#192692,10.); #51608=VECTOR('',#192693,10.); #51609=VECTOR('',#192696,10.); #51610=VECTOR('',#192699,10.); #51611=VECTOR('',#192700,10.); #51612=VECTOR('',#192701,10.); #51613=VECTOR('',#192704,10.); #51614=VECTOR('',#192707,10.); #51615=VECTOR('',#192708,10.); #51616=VECTOR('',#192763,10.); #51617=VECTOR('',#192766,10.); #51618=VECTOR('',#192769,10.); #51619=VECTOR('',#192770,10.); #51620=VECTOR('',#192771,10.); #51621=VECTOR('',#192776,10.); #51622=VECTOR('',#192779,10.); #51623=VECTOR('',#192784,10.); #51624=VECTOR('',#192787,10.); #51625=VECTOR('',#192790,10.); #51626=VECTOR('',#192793,10.); #51627=VECTOR('',#192804,10.); #51628=VECTOR('',#192805,10.); #51629=VECTOR('',#192810,10.); #51630=VECTOR('',#192813,10.); #51631=VECTOR('',#192816,10.); #51632=VECTOR('',#192817,10.); #51633=VECTOR('',#192818,10.); #51634=VECTOR('',#192819,10.); #51635=VECTOR('',#192824,10.); #51636=VECTOR('',#192827,10.); #51637=VECTOR('',#192830,10.); #51638=VECTOR('',#192833,10.); #51639=VECTOR('',#192836,10.); #51640=VECTOR('',#192839,10.); #51641=VECTOR('',#192842,10.); #51642=VECTOR('',#192843,10.); #51643=VECTOR('',#192848,10.); #51644=VECTOR('',#192851,10.); #51645=VECTOR('',#192854,10.); #51646=VECTOR('',#192857,10.); #51647=VECTOR('',#192860,10.); #51648=VECTOR('',#192861,10.); #51649=VECTOR('',#192866,10.); #51650=VECTOR('',#192869,10.); #51651=VECTOR('',#192872,10.); #51652=VECTOR('',#192873,10.); #51653=VECTOR('',#192874,10.); #51654=VECTOR('',#192875,10.); #51655=VECTOR('',#192878,10.); #51656=VECTOR('',#192879,10.); #51657=VECTOR('',#192880,10.); #51658=VECTOR('',#192883,10.); #51659=VECTOR('',#192886,10.); #51660=VECTOR('',#192893,10.); #51661=VECTOR('',#192902,10.); #51662=VECTOR('',#192905,10.); #51663=VECTOR('',#192912,10.); #51664=VECTOR('',#192915,10.); #51665=VECTOR('',#192918,10.); #51666=VECTOR('',#192929,10.); #51667=VECTOR('',#192932,10.); #51668=VECTOR('',#192935,10.); #51669=VECTOR('',#192938,10.); #51670=VECTOR('',#192941,10.); #51671=VECTOR('',#192944,10.); #51672=VECTOR('',#192947,10.); #51673=VECTOR('',#192950,10.); #51674=VECTOR('',#192959,10.); #51675=VECTOR('',#192962,10.); #51676=VECTOR('',#192965,10.); #51677=VECTOR('',#192966,10.); #51678=VECTOR('',#192971,10.); #51679=VECTOR('',#192974,10.); #51680=VECTOR('',#192977,10.); #51681=VECTOR('',#192980,10.); #51682=VECTOR('',#192981,10.); #51683=VECTOR('',#192982,10.); #51684=VECTOR('',#192983,10.); #51685=VECTOR('',#192986,10.); #51686=VECTOR('',#192997,10.); #51687=VECTOR('',#192998,10.); #51688=VECTOR('',#193001,10.); #51689=VECTOR('',#193006,10.); #51690=VECTOR('',#193011,10.); #51691=VECTOR('',#193014,10.); #51692=VECTOR('',#193017,10.); #51693=VECTOR('',#193024,10.); #51694=VECTOR('',#193025,10.); #51695=VECTOR('',#193030,10.); #51696=VECTOR('',#193033,10.); #51697=VECTOR('',#193034,10.); #51698=VECTOR('',#193037,10.); #51699=VECTOR('',#193040,10.); #51700=VECTOR('',#193043,10.); #51701=VECTOR('',#193044,10.); #51702=VECTOR('',#193049,10.); #51703=VECTOR('',#193052,10.); #51704=VECTOR('',#193053,10.); #51705=VECTOR('',#193056,10.); #51706=VECTOR('',#193059,10.); #51707=VECTOR('',#193064,10.); #51708=VECTOR('',#193067,10.); #51709=VECTOR('',#193072,10.); #51710=VECTOR('',#193077,10.); #51711=VECTOR('',#193090,0.7); #51712=VECTOR('',#193099,0.699999999999998); #51713=VECTOR('',#193106,0.549999999999999); #51714=VECTOR('',#193113,0.499999999999999); #51715=VECTOR('',#193116,0.55); #51716=VECTOR('',#193121,0.5); #51717=VECTOR('',#193124,0.55); #51718=VECTOR('',#193129,0.5); #51719=VECTOR('',#193132,0.55); #51720=VECTOR('',#193137,0.5); #51721=VECTOR('',#193142,1.975); #51722=VECTOR('',#193147,10.); #51723=VECTOR('',#193148,10.); #51724=VECTOR('',#193149,10.); #51725=VECTOR('',#193150,10.); #51726=VECTOR('',#193157,1.85); #51727=VECTOR('',#193160,1.975); #51728=VECTOR('',#193165,1.85); #51729=VECTOR('',#193168,1.975); #51730=VECTOR('',#193173,1.85); #51731=VECTOR('',#193176,10.); #51732=VECTOR('',#193177,10.); #51733=VECTOR('',#193180,10.); #51734=VECTOR('',#193181,10.); #51735=VECTOR('',#193184,10.); #51736=VECTOR('',#193185,10.); #51737=VECTOR('',#193186,10.); #51738=VECTOR('',#193187,10.); #51739=VECTOR('',#193190,10.); #51740=VECTOR('',#193191,10.); #51741=VECTOR('',#193192,10.); #51742=VECTOR('',#193193,10.); #51743=VECTOR('',#193194,10.); #51744=VECTOR('',#193197,10.); #51745=VECTOR('',#193200,10.); #51746=VECTOR('',#193203,10.); #51747=VECTOR('',#193204,10.); #51748=VECTOR('',#193205,10.); #51749=VECTOR('',#193208,10.); #51750=VECTOR('',#193211,10.); #51751=VECTOR('',#193212,10.); #51752=VECTOR('',#193213,10.); #51753=VECTOR('',#193216,10.); #51754=VECTOR('',#193219,10.); #51755=VECTOR('',#193220,10.); #51756=VECTOR('',#193221,10.); #51757=VECTOR('',#193224,10.); #51758=VECTOR('',#193225,10.); #51759=VECTOR('',#193226,10.); #51760=VECTOR('',#193229,10.); #51761=VECTOR('',#193230,10.); #51762=VECTOR('',#193231,10.); #51763=VECTOR('',#193234,10.); #51764=VECTOR('',#193237,10.); #51765=VECTOR('',#193238,10.); #51766=VECTOR('',#193239,10.); #51767=VECTOR('',#193242,10.); #51768=VECTOR('',#193245,10.); #51769=VECTOR('',#193246,10.); #51770=VECTOR('',#193247,10.); #51771=VECTOR('',#193250,10.); #51772=VECTOR('',#193253,10.); #51773=VECTOR('',#193254,10.); #51774=VECTOR('',#193255,10.); #51775=VECTOR('',#193258,10.); #51776=VECTOR('',#193259,10.); #51777=VECTOR('',#193260,10.); #51778=VECTOR('',#193263,10.); #51779=VECTOR('',#193264,10.); #51780=VECTOR('',#193265,10.); #51781=VECTOR('',#193266,10.); #51782=VECTOR('',#193269,10.); #51783=VECTOR('',#193272,10.); #51784=VECTOR('',#193275,10.); #51785=VECTOR('',#193278,10.); #51786=VECTOR('',#193281,10.); #51787=VECTOR('',#193282,10.); #51788=VECTOR('',#193285,10.); #51789=VECTOR('',#193288,10.); #51790=VECTOR('',#193289,10.); #51791=VECTOR('',#193292,10.); #51792=VECTOR('',#193297,10.); #51793=VECTOR('',#193298,10.); #51794=VECTOR('',#193303,10.); #51795=VECTOR('',#193304,10.); #51796=VECTOR('',#193309,10.); #51797=VECTOR('',#193310,10.); #51798=VECTOR('',#193315,10.); #51799=VECTOR('',#193318,10.); #51800=VECTOR('',#193319,10.); #51801=VECTOR('',#193322,10.); #51802=VECTOR('',#193325,10.); #51803=VECTOR('',#193326,10.); #51804=VECTOR('',#193333,10.); #51805=VECTOR('',#193334,10.); #51806=VECTOR('',#193337,10.); #51807=VECTOR('',#193340,10.); #51808=VECTOR('',#193341,10.); #51809=VECTOR('',#193344,10.); #51810=VECTOR('',#193349,10.); #51811=VECTOR('',#193350,10.); #51812=VECTOR('',#193353,10.); #51813=VECTOR('',#193356,10.); #51814=VECTOR('',#193359,10.); #51815=VECTOR('',#193360,10.); #51816=VECTOR('',#193365,10.); #51817=VECTOR('',#193366,10.); #51818=VECTOR('',#193369,10.); #51819=VECTOR('',#193372,10.); #51820=VECTOR('',#193375,10.); #51821=VECTOR('',#193376,10.); #51822=VECTOR('',#193395,10.); #51823=VECTOR('',#193398,10.); #51824=VECTOR('',#193411,0.45); #51825=VECTOR('',#193416,0.45); #51826=VECTOR('',#193423,0.572668331506584); #51827=VECTOR('',#193428,0.572668331506584); #51828=VECTOR('',#193431,0.572668331506584); #51829=VECTOR('',#193434,0.572668331506584); #51830=VECTOR('',#193437,0.572668331506584); #51831=VECTOR('',#193440,0.572668331506584); #51832=VECTOR('',#193443,0.572668331506584); #51833=VECTOR('',#193446,0.572668331506584); #51834=VECTOR('',#193449,0.572668331506584); #51835=VECTOR('',#193452,0.572668331506584); #51836=VECTOR('',#193455,0.572668331506584); #51837=VECTOR('',#193458,0.572668331506584); #51838=VECTOR('',#193461,0.572668331506584); #51839=VECTOR('',#193464,0.572668331506584); #51840=VECTOR('',#193467,0.572668331506584); #51841=VECTOR('',#193470,0.572668331506584); #51842=VECTOR('',#193473,999.999999999989); #51843=VECTOR('',#193478,0.572668331506581); #51844=VECTOR('',#193483,0.799999999999999); #51845=VECTOR('',#193488,0.799999999999999); #51846=VECTOR('',#193491,0.799999999999999); #51847=VECTOR('',#193494,0.799999999999999); #51848=VECTOR('',#193497,0.799999999999999); #51849=VECTOR('',#193500,0.799999999999999); #51850=VECTOR('',#193503,0.799999999999999); #51851=VECTOR('',#193506,0.799999999999999); #51852=VECTOR('',#193509,0.799999999999999); #51853=VECTOR('',#193512,0.799999999999999); #51854=VECTOR('',#193515,0.799999999999999); #51855=VECTOR('',#193518,0.799999999999999); #51856=VECTOR('',#193521,0.799999999999999); #51857=VECTOR('',#193524,0.799999999999999); #51858=VECTOR('',#193527,0.799999999999999); #51859=VECTOR('',#193532,0.799999999999999); #51860=VECTOR('',#193535,0.799999999999999); #51861=VECTOR('',#193554,0.749999999999999); #51862=VECTOR('',#193569,0.866025403784438); #51863=VECTOR('',#193584,1.39573762177913); #51864=VECTOR('',#193595,1.5); #51865=VECTOR('',#193606,1.49176237822087); #51866=VECTOR('',#193621,1000.); #51867=VECTOR('',#193624,1000.); #51868=VECTOR('',#193627,1000.); #51869=VECTOR('',#193630,1000.); #51870=VECTOR('',#193633,1000.); #51871=VECTOR('',#193636,1000.); #51872=VECTOR('',#193639,1000.); #51873=VECTOR('',#193642,1000.); #51874=VECTOR('',#193645,1000.); #51875=VECTOR('',#193648,1000.); #51876=VECTOR('',#193653,1000.); #51877=VECTOR('',#193654,1000.); #51878=VECTOR('',#193655,1000.); #51879=VECTOR('',#193658,1000.); #51880=VECTOR('',#193659,1000.); #51881=VECTOR('',#193662,1000.); #51882=VECTOR('',#193663,1000.); #51883=VECTOR('',#193666,1000.); #51884=VECTOR('',#193667,1000.); #51885=VECTOR('',#193670,1000.); #51886=VECTOR('',#193671,1000.); #51887=VECTOR('',#193674,1000.); #51888=VECTOR('',#193675,1000.); #51889=VECTOR('',#193676,1000.); #51890=VECTOR('',#193705,10.); #51891=VECTOR('',#193708,10.); #51892=VECTOR('',#193713,10.); #51893=VECTOR('',#193720,10.); #51894=VECTOR('',#193727,10.); #51895=VECTOR('',#193734,10.); #51896=VECTOR('',#193741,10.); #51897=VECTOR('',#193746,10.); #51898=VECTOR('',#193747,10.); #51899=VECTOR('',#193748,10.); #51900=VECTOR('',#193753,10.); #51901=VECTOR('',#193760,10.); #51902=VECTOR('',#193767,10.); #51903=VECTOR('',#193774,10.); #51904=VECTOR('',#193781,10.); #51905=VECTOR('',#193788,10.); #51906=VECTOR('',#193793,10.); #51907=VECTOR('',#193794,10.); #51908=VECTOR('',#193799,10.); #51909=VECTOR('',#193802,10.); #51910=VECTOR('',#193805,10.); #51911=VECTOR('',#193806,10.); #51912=VECTOR('',#193807,10.); #51913=VECTOR('',#193812,10.); #51914=VECTOR('',#193819,10.); #51915=VECTOR('',#193826,10.); #51916=VECTOR('',#193833,10.); #51917=VECTOR('',#193840,10.); #51918=VECTOR('',#193847,10.); #51919=VECTOR('',#193854,10.); #51920=VECTOR('',#193859,10.); #51921=VECTOR('',#193860,10.); #51922=VECTOR('',#193861,10.); #51923=VECTOR('',#193866,10.); #51924=VECTOR('',#193873,10.); #51925=VECTOR('',#193880,10.); #51926=VECTOR('',#193887,10.); #51927=VECTOR('',#193894,10.); #51928=VECTOR('',#193907,10.); #51929=VECTOR('',#193908,10.); #51930=VECTOR('',#193909,10.); #51931=VECTOR('',#193910,10.); #51932=VECTOR('',#193913,10.); #51933=VECTOR('',#193914,10.); #51934=VECTOR('',#193915,10.); #51935=VECTOR('',#193918,10.); #51936=VECTOR('',#193919,10.); #51937=VECTOR('',#193920,10.); #51938=VECTOR('',#193923,10.); #51939=VECTOR('',#193924,10.); #51940=VECTOR('',#193929,10.); #51941=VECTOR('',#193930,10.); #51942=VECTOR('',#193931,10.); #51943=VECTOR('',#193932,10.); #51944=VECTOR('',#193935,10.); #51945=VECTOR('',#193936,10.); #51946=VECTOR('',#193937,10.); #51947=VECTOR('',#193940,10.); #51948=VECTOR('',#193941,10.); #51949=VECTOR('',#193942,10.); #51950=VECTOR('',#193945,10.); #51951=VECTOR('',#193946,10.); #51952=VECTOR('',#193951,10.); #51953=VECTOR('',#193954,10.); #51954=VECTOR('',#193957,10.); #51955=VECTOR('',#193958,10.); #51956=VECTOR('',#193959,10.); #51957=VECTOR('',#193962,10.); #51958=VECTOR('',#193963,10.); #51959=VECTOR('',#193964,10.); #51960=VECTOR('',#193967,10.); #51961=VECTOR('',#193968,10.); #51962=VECTOR('',#193969,10.); #51963=VECTOR('',#193974,10.); #51964=VECTOR('',#193981,10.); #51965=VECTOR('',#193988,10.); #51966=VECTOR('',#193995,10.); #51967=VECTOR('',#194000,10.); #51968=VECTOR('',#194001,10.); #51969=VECTOR('',#194002,10.); #51970=VECTOR('',#194005,10.); #51971=VECTOR('',#194006,10.); #51972=VECTOR('',#194007,10.); #51973=VECTOR('',#194010,10.); #51974=VECTOR('',#194011,10.); #51975=VECTOR('',#194012,10.); #51976=VECTOR('',#194017,10.); #51977=VECTOR('',#194024,10.); #51978=VECTOR('',#194031,10.); #51979=VECTOR('',#194038,10.); #51980=VECTOR('',#194043,10.); #51981=VECTOR('',#194044,10.); #51982=VECTOR('',#194045,10.); #51983=VECTOR('',#194050,10.); #51984=VECTOR('',#194057,10.); #51985=VECTOR('',#194064,10.); #51986=VECTOR('',#194071,10.); #51987=VECTOR('',#194076,10.); #51988=VECTOR('',#194077,10.); #51989=VECTOR('',#194078,10.); #51990=VECTOR('',#194081,10.); #51991=VECTOR('',#194082,10.); #51992=VECTOR('',#194083,10.); #51993=VECTOR('',#194086,10.); #51994=VECTOR('',#194087,10.); #51995=VECTOR('',#194088,10.); #51996=VECTOR('',#194091,10.); #51997=VECTOR('',#194092,10.); #51998=VECTOR('',#194093,10.); #51999=VECTOR('',#194096,10.); #52000=VECTOR('',#194097,10.); #52001=VECTOR('',#194098,10.); #52002=VECTOR('',#194103,10.); #52003=VECTOR('',#194110,10.); #52004=VECTOR('',#194117,10.); #52005=VECTOR('',#194124,10.); #52006=VECTOR('',#194129,10.); #52007=VECTOR('',#194130,10.); #52008=VECTOR('',#194131,10.); #52009=VECTOR('',#194136,10.); #52010=VECTOR('',#194143,10.); #52011=VECTOR('',#194156,10.); #52012=VECTOR('',#194157,10.); #52013=VECTOR('',#194158,10.); #52014=VECTOR('',#194159,10.); #52015=VECTOR('',#194164,10.); #52016=VECTOR('',#194171,10.); #52017=VECTOR('',#194178,10.); #52018=VECTOR('',#194185,10.); #52019=VECTOR('',#194190,10.); #52020=VECTOR('',#194191,10.); #52021=VECTOR('',#194192,10.); #52022=VECTOR('',#194195,10.); #52023=VECTOR('',#194196,10.); #52024=VECTOR('',#194197,10.); #52025=VECTOR('',#194200,10.); #52026=VECTOR('',#194201,10.); #52027=VECTOR('',#194202,10.); #52028=VECTOR('',#194207,10.); #52029=VECTOR('',#194214,10.); #52030=VECTOR('',#194221,10.); #52031=VECTOR('',#194228,10.); #52032=VECTOR('',#194235,10.); #52033=VECTOR('',#194240,10.); #52034=VECTOR('',#194241,10.); #52035=VECTOR('',#194242,10.); #52036=VECTOR('',#194245,10.); #52037=VECTOR('',#194246,10.); #52038=VECTOR('',#194247,10.); #52039=VECTOR('',#194250,10.); #52040=VECTOR('',#194251,10.); #52041=VECTOR('',#194252,10.); #52042=VECTOR('',#194257,10.); #52043=VECTOR('',#194264,10.); #52044=VECTOR('',#194271,10.); #52045=VECTOR('',#194284,10.); #52046=VECTOR('',#194285,10.); #52047=VECTOR('',#194286,10.); #52048=VECTOR('',#194287,10.); #52049=VECTOR('',#194290,10.); #52050=VECTOR('',#194291,10.); #52051=VECTOR('',#194292,10.); #52052=VECTOR('',#194297,10.); #52053=VECTOR('',#194304,10.); #52054=VECTOR('',#194311,10.); #52055=VECTOR('',#194318,10.); #52056=VECTOR('',#194323,10.); #52057=VECTOR('',#194324,10.); #52058=VECTOR('',#194325,10.); #52059=VECTOR('',#194330,10.); #52060=VECTOR('',#194337,10.); #52061=VECTOR('',#194344,10.); #52062=VECTOR('',#194349,10.); #52063=VECTOR('',#194350,10.); #52064=VECTOR('',#194355,10.); #52065=VECTOR('',#194358,10.); #52066=VECTOR('',#194363,10.); #52067=VECTOR('',#194370,10.); #52068=VECTOR('',#194375,10.); #52069=VECTOR('',#194376,10.); #52070=VECTOR('',#194377,10.); #52071=VECTOR('',#194382,10.); #52072=VECTOR('',#194389,10.); #52073=VECTOR('',#194396,10.); #52074=VECTOR('',#194403,10.); #52075=VECTOR('',#194408,10.); #52076=VECTOR('',#194409,10.); #52077=VECTOR('',#194410,10.); #52078=VECTOR('',#194415,10.); #52079=VECTOR('',#194422,10.); #52080=VECTOR('',#194429,10.); #52081=VECTOR('',#194436,10.); #52082=VECTOR('',#194441,10.); #52083=VECTOR('',#194442,10.); #52084=VECTOR('',#194443,10.); #52085=VECTOR('',#194446,10.); #52086=VECTOR('',#194447,10.); #52087=VECTOR('',#194448,10.); #52088=VECTOR('',#194451,10.); #52089=VECTOR('',#194452,10.); #52090=VECTOR('',#194453,10.); #52091=VECTOR('',#194458,10.); #52092=VECTOR('',#194465,10.); #52093=VECTOR('',#194472,10.); #52094=VECTOR('',#194479,10.); #52095=VECTOR('',#194484,10.); #52096=VECTOR('',#194485,10.); #52097=VECTOR('',#194492,10.); #52098=VECTOR('',#194495,10.); #52099=VECTOR('',#194498,10.); #52100=VECTOR('',#194499,10.); #52101=VECTOR('',#194500,10.); #52102=VECTOR('',#194503,10.); #52103=VECTOR('',#194504,10.); #52104=VECTOR('',#194505,10.); #52105=VECTOR('',#194508,10.); #52106=VECTOR('',#194509,10.); #52107=VECTOR('',#194510,10.); #52108=VECTOR('',#194513,10.); #52109=VECTOR('',#194514,10.); #52110=VECTOR('',#194515,10.); #52111=VECTOR('',#194520,10.); #52112=VECTOR('',#194527,10.); #52113=VECTOR('',#194534,10.); #52114=VECTOR('',#194541,10.); #52115=VECTOR('',#194546,10.); #52116=VECTOR('',#194547,10.); #52117=VECTOR('',#194548,10.); #52118=VECTOR('',#194551,10.); #52119=VECTOR('',#194552,10.); #52120=VECTOR('',#194553,10.); #52121=VECTOR('',#194556,10.); #52122=VECTOR('',#194557,10.); #52123=VECTOR('',#194558,10.); #52124=VECTOR('',#194563,10.); #52125=VECTOR('',#194570,10.); #52126=VECTOR('',#194577,10.); #52127=VECTOR('',#194584,10.); #52128=VECTOR('',#194589,10.); #52129=VECTOR('',#194590,10.); #52130=VECTOR('',#194591,10.); #52131=VECTOR('',#194596,10.); #52132=VECTOR('',#194603,10.); #52133=VECTOR('',#194610,10.); #52134=VECTOR('',#194617,10.); #52135=VECTOR('',#194622,10.); #52136=VECTOR('',#194623,10.); #52137=VECTOR('',#194624,10.); #52138=VECTOR('',#194627,10.); #52139=VECTOR('',#194628,10.); #52140=VECTOR('',#194629,10.); #52141=VECTOR('',#194632,10.); #52142=VECTOR('',#194633,10.); #52143=VECTOR('',#194634,10.); #52144=VECTOR('',#194637,10.); #52145=VECTOR('',#194638,10.); #52146=VECTOR('',#194639,10.); #52147=VECTOR('',#194642,10.); #52148=VECTOR('',#194643,10.); #52149=VECTOR('',#194644,10.); #52150=VECTOR('',#194647,10.); #52151=VECTOR('',#194648,10.); #52152=VECTOR('',#194649,10.); #52153=VECTOR('',#194654,10.); #52154=VECTOR('',#194661,10.); #52155=VECTOR('',#194668,10.); #52156=VECTOR('',#194675,10.); #52157=VECTOR('',#194680,10.); #52158=VECTOR('',#194681,10.); #52159=VECTOR('',#194682,10.); #52160=VECTOR('',#194687,10.); #52161=VECTOR('',#194694,10.); #52162=VECTOR('',#194707,10.); #52163=VECTOR('',#194708,10.); #52164=VECTOR('',#194709,10.); #52165=VECTOR('',#194710,10.); #52166=VECTOR('',#194713,10.); #52167=VECTOR('',#194714,10.); #52168=VECTOR('',#194715,10.); #52169=VECTOR('',#194718,10.); #52170=VECTOR('',#194719,10.); #52171=VECTOR('',#194720,10.); #52172=VECTOR('',#194723,10.); #52173=VECTOR('',#194724,10.); #52174=VECTOR('',#194729,10.); #52175=VECTOR('',#194730,10.); #52176=VECTOR('',#194731,10.); #52177=VECTOR('',#194732,10.); #52178=VECTOR('',#194735,10.); #52179=VECTOR('',#194736,10.); #52180=VECTOR('',#194737,10.); #52181=VECTOR('',#194740,10.); #52182=VECTOR('',#194741,10.); #52183=VECTOR('',#194742,10.); #52184=VECTOR('',#194745,10.); #52185=VECTOR('',#194746,10.); #52186=VECTOR('',#194747,10.); #52187=VECTOR('',#194750,10.); #52188=VECTOR('',#194751,10.); #52189=VECTOR('',#194752,10.); #52190=VECTOR('',#194755,10.); #52191=VECTOR('',#194756,10.); #52192=VECTOR('',#194757,10.); #52193=VECTOR('',#194762,10.); #52194=VECTOR('',#194763,10.); #52195=VECTOR('',#194764,10.); #52196=VECTOR('',#194765,10.); #52197=VECTOR('',#194768,10.); #52198=VECTOR('',#194769,10.); #52199=VECTOR('',#194770,10.); #52200=VECTOR('',#194773,10.); #52201=VECTOR('',#194774,10.); #52202=VECTOR('',#194775,10.); #52203=VECTOR('',#194778,10.); #52204=VECTOR('',#194779,10.); #52205=VECTOR('',#194780,10.); #52206=VECTOR('',#194785,10.); #52207=VECTOR('',#194786,10.); #52208=VECTOR('',#194787,10.); #52209=VECTOR('',#194788,10.); #52210=VECTOR('',#194791,10.); #52211=VECTOR('',#194792,10.); #52212=VECTOR('',#194793,10.); #52213=VECTOR('',#194796,10.); #52214=VECTOR('',#194797,10.); #52215=VECTOR('',#194798,10.); #52216=VECTOR('',#194801,10.); #52217=VECTOR('',#194802,10.); #52218=VECTOR('',#194803,10.); #52219=VECTOR('',#194808,10.); #52220=VECTOR('',#194809,10.); #52221=VECTOR('',#194810,10.); #52222=VECTOR('',#194811,10.); #52223=VECTOR('',#194814,10.); #52224=VECTOR('',#194815,10.); #52225=VECTOR('',#194816,10.); #52226=VECTOR('',#194819,10.); #52227=VECTOR('',#194820,10.); #52228=VECTOR('',#194821,10.); #52229=VECTOR('',#194822,10.); #52230=VECTOR('',#194825,10.); #52231=VECTOR('',#194826,10.); #52232=VECTOR('',#194829,10.); #52233=VECTOR('',#194830,10.); #52234=VECTOR('',#194831,10.); #52235=VECTOR('',#194834,10.); #52236=VECTOR('',#194835,10.); #52237=VECTOR('',#194836,10.); #52238=VECTOR('',#194839,10.); #52239=VECTOR('',#194840,10.); #52240=VECTOR('',#194841,10.); #52241=VECTOR('',#194844,10.); #52242=VECTOR('',#194845,10.); #52243=VECTOR('',#194846,10.); #52244=VECTOR('',#194849,10.); #52245=VECTOR('',#194850,10.); #52246=VECTOR('',#194851,10.); #52247=VECTOR('',#194854,10.); #52248=VECTOR('',#194855,10.); #52249=VECTOR('',#194858,10.); #52250=VECTOR('',#194859,10.); #52251=VECTOR('',#194860,10.); #52252=VECTOR('',#194863,10.); #52253=VECTOR('',#194864,10.); #52254=VECTOR('',#194865,10.); #52255=VECTOR('',#194868,10.); #52256=VECTOR('',#194869,10.); #52257=VECTOR('',#194870,10.); #52258=VECTOR('',#194873,10.); #52259=VECTOR('',#194874,10.); #52260=VECTOR('',#194879,10.); #52261=VECTOR('',#194880,10.); #52262=VECTOR('',#194881,10.); #52263=VECTOR('',#194882,10.); #52264=VECTOR('',#194885,10.); #52265=VECTOR('',#194886,10.); #52266=VECTOR('',#194887,10.); #52267=VECTOR('',#194890,10.); #52268=VECTOR('',#194891,10.); #52269=VECTOR('',#194892,10.); #52270=VECTOR('',#194893,10.); #52271=VECTOR('',#194896,10.); #52272=VECTOR('',#194897,10.); #52273=VECTOR('',#194898,10.); #52274=VECTOR('',#194903,10.); #52275=VECTOR('',#194904,10.); #52276=VECTOR('',#194905,10.); #52277=VECTOR('',#194906,10.); #52278=VECTOR('',#194909,10.); #52279=VECTOR('',#194910,10.); #52280=VECTOR('',#194911,10.); #52281=VECTOR('',#194914,10.); #52282=VECTOR('',#194915,10.); #52283=VECTOR('',#194916,10.); #52284=VECTOR('',#194919,10.); #52285=VECTOR('',#194920,10.); #52286=VECTOR('',#194921,10.); #52287=VECTOR('',#194926,10.); #52288=VECTOR('',#194927,10.); #52289=VECTOR('',#194928,10.); #52290=VECTOR('',#194929,10.); #52291=VECTOR('',#194932,10.); #52292=VECTOR('',#194933,10.); #52293=VECTOR('',#194934,10.); #52294=VECTOR('',#194937,10.); #52295=VECTOR('',#194938,10.); #52296=VECTOR('',#194939,10.); #52297=VECTOR('',#194942,10.); #52298=VECTOR('',#194943,10.); #52299=VECTOR('',#194944,10.); #52300=VECTOR('',#194945,10.); #52301=VECTOR('',#194948,10.); #52302=VECTOR('',#194949,10.); #52303=VECTOR('',#194950,10.); #52304=VECTOR('',#194953,10.); #52305=VECTOR('',#194954,10.); #52306=VECTOR('',#194957,10.); #52307=VECTOR('',#194958,10.); #52308=VECTOR('',#194959,10.); #52309=VECTOR('',#194962,10.); #52310=VECTOR('',#194963,10.); #52311=VECTOR('',#194964,10.); #52312=VECTOR('',#194967,10.); #52313=VECTOR('',#194968,10.); #52314=VECTOR('',#194971,10.); #52315=VECTOR('',#194972,10.); #52316=VECTOR('',#194973,10.); #52317=VECTOR('',#194976,10.); #52318=VECTOR('',#194977,10.); #52319=VECTOR('',#194978,10.); #52320=VECTOR('',#194981,10.); #52321=VECTOR('',#194982,10.); #52322=VECTOR('',#194987,10.); #52323=VECTOR('',#194988,10.); #52324=VECTOR('',#194989,10.); #52325=VECTOR('',#194990,10.); #52326=VECTOR('',#194993,10.); #52327=VECTOR('',#194994,10.); #52328=VECTOR('',#194997,10.); #52329=VECTOR('',#194998,10.); #52330=VECTOR('',#194999,10.); #52331=VECTOR('',#195002,10.); #52332=VECTOR('',#195003,10.); #52333=VECTOR('',#195004,10.); #52334=VECTOR('',#195009,10.); #52335=VECTOR('',#195010,10.); #52336=VECTOR('',#195011,10.); #52337=VECTOR('',#195012,10.); #52338=VECTOR('',#195015,10.); #52339=VECTOR('',#195016,10.); #52340=VECTOR('',#195017,10.); #52341=VECTOR('',#195020,10.); #52342=VECTOR('',#195021,10.); #52343=VECTOR('',#195022,10.); #52344=VECTOR('',#195025,10.); #52345=VECTOR('',#195026,10.); #52346=VECTOR('',#195027,10.); #52347=VECTOR('',#195030,10.); #52348=VECTOR('',#195031,10.); #52349=VECTOR('',#195032,10.); #52350=VECTOR('',#195035,10.); #52351=VECTOR('',#195036,10.); #52352=VECTOR('',#195037,10.); #52353=VECTOR('',#195040,10.); #52354=VECTOR('',#195041,10.); #52355=VECTOR('',#195042,10.); #52356=VECTOR('',#195045,10.); #52357=VECTOR('',#195046,10.); #52358=VECTOR('',#195051,10.); #52359=VECTOR('',#195052,10.); #52360=VECTOR('',#195053,10.); #52361=VECTOR('',#195054,10.); #52362=VECTOR('',#195057,10.); #52363=VECTOR('',#195058,10.); #52364=VECTOR('',#195061,10.); #52365=VECTOR('',#195062,10.); #52366=VECTOR('',#195063,10.); #52367=VECTOR('',#195066,10.); #52368=VECTOR('',#195067,10.); #52369=VECTOR('',#195068,10.); #52370=VECTOR('',#195073,10.); #52371=VECTOR('',#195074,10.); #52372=VECTOR('',#195075,10.); #52373=VECTOR('',#195076,10.); #52374=VECTOR('',#195079,10.); #52375=VECTOR('',#195080,10.); #52376=VECTOR('',#195081,10.); #52377=VECTOR('',#195084,10.); #52378=VECTOR('',#195085,10.); #52379=VECTOR('',#195086,10.); #52380=VECTOR('',#195087,10.); #52381=VECTOR('',#195090,10.); #52382=VECTOR('',#195091,10.); #52383=VECTOR('',#195092,10.); #52384=VECTOR('',#195097,10.); #52385=VECTOR('',#195098,10.); #52386=VECTOR('',#195099,10.); #52387=VECTOR('',#195102,10.); #52388=VECTOR('',#195103,10.); #52389=VECTOR('',#195106,10.); #52390=VECTOR('',#195107,10.); #52391=VECTOR('',#195108,10.); #52392=VECTOR('',#195111,10.); #52393=VECTOR('',#195112,10.); #52394=VECTOR('',#195113,10.); #52395=VECTOR('',#195116,10.); #52396=VECTOR('',#195117,10.); #52397=VECTOR('',#195118,10.); #52398=VECTOR('',#195121,10.); #52399=VECTOR('',#195122,10.); #52400=VECTOR('',#195123,10.); #52401=VECTOR('',#195124,10.); #52402=VECTOR('',#195127,10.); #52403=VECTOR('',#195128,10.); #52404=VECTOR('',#195129,10.); #52405=VECTOR('',#195132,10.); #52406=VECTOR('',#195133,10.); #52407=VECTOR('',#195134,10.); #52408=VECTOR('',#195135,10.); #52409=VECTOR('',#195138,10.); #52410=VECTOR('',#195139,10.); #52411=VECTOR('',#195140,10.); #52412=VECTOR('',#195143,10.); #52413=VECTOR('',#195144,10.); #52414=VECTOR('',#195147,10.); #52415=VECTOR('',#195148,10.); #52416=VECTOR('',#195149,10.); #52417=VECTOR('',#195152,10.); #52418=VECTOR('',#195153,10.); #52419=VECTOR('',#195158,10.); #52420=VECTOR('',#195159,10.); #52421=VECTOR('',#195160,10.); #52422=VECTOR('',#195161,10.); #52423=VECTOR('',#195164,10.); #52424=VECTOR('',#195165,10.); #52425=VECTOR('',#195166,10.); #52426=VECTOR('',#195169,10.); #52427=VECTOR('',#195170,10.); #52428=VECTOR('',#195171,10.); #52429=VECTOR('',#195174,10.); #52430=VECTOR('',#195175,10.); #52431=VECTOR('',#195180,10.); #52432=VECTOR('',#195181,10.); #52433=VECTOR('',#195182,10.); #52434=VECTOR('',#195183,10.); #52435=VECTOR('',#195186,10.); #52436=VECTOR('',#195187,10.); #52437=VECTOR('',#195188,10.); #52438=VECTOR('',#195191,10.); #52439=VECTOR('',#195192,10.); #52440=VECTOR('',#195193,10.); #52441=VECTOR('',#195196,10.); #52442=VECTOR('',#195197,10.); #52443=VECTOR('',#195198,10.); #52444=VECTOR('',#195201,10.); #52445=VECTOR('',#195202,10.); #52446=VECTOR('',#195205,10.); #52447=VECTOR('',#195206,10.); #52448=VECTOR('',#195209,10.); #52449=VECTOR('',#195210,10.); #52450=VECTOR('',#195211,10.); #52451=VECTOR('',#195214,10.); #52452=VECTOR('',#195215,10.); #52453=VECTOR('',#195216,10.); #52454=VECTOR('',#195219,10.); #52455=VECTOR('',#195220,10.); #52456=VECTOR('',#195221,10.); #52457=VECTOR('',#195224,10.); #52458=VECTOR('',#195225,10.); #52459=VECTOR('',#195226,10.); #52460=VECTOR('',#195229,10.); #52461=VECTOR('',#195230,10.); #52462=VECTOR('',#195231,10.); #52463=VECTOR('',#195232,10.); #52464=VECTOR('',#195235,10.); #52465=VECTOR('',#195236,10.); #52466=VECTOR('',#195237,10.); #52467=VECTOR('',#195242,10.); #52468=VECTOR('',#195243,10.); #52469=VECTOR('',#195244,10.); #52470=VECTOR('',#195245,10.); #52471=VECTOR('',#195248,10.); #52472=VECTOR('',#195249,10.); #52473=VECTOR('',#195250,10.); #52474=VECTOR('',#195251,10.); #52475=VECTOR('',#195254,10.); #52476=VECTOR('',#195255,10.); #52477=VECTOR('',#195256,10.); #52478=VECTOR('',#195259,10.); #52479=VECTOR('',#195260,10.); #52480=VECTOR('',#195265,10.); #52481=VECTOR('',#195266,10.); #52482=VECTOR('',#195267,10.); #52483=VECTOR('',#195268,10.); #52484=VECTOR('',#195271,10.); #52485=VECTOR('',#195272,10.); #52486=VECTOR('',#195273,10.); #52487=VECTOR('',#195276,10.); #52488=VECTOR('',#195277,10.); #52489=VECTOR('',#195278,10.); #52490=VECTOR('',#195281,10.); #52491=VECTOR('',#195282,10.); #52492=VECTOR('',#195285,10.); #52493=VECTOR('',#195286,10.); #52494=VECTOR('',#195287,10.); #52495=VECTOR('',#195288,10.); #52496=VECTOR('',#195291,10.); #52497=VECTOR('',#195292,10.); #52498=VECTOR('',#195293,10.); #52499=VECTOR('',#195296,10.); #52500=VECTOR('',#195297,10.); #52501=VECTOR('',#195298,10.); #52502=VECTOR('',#195301,10.); #52503=VECTOR('',#195302,10.); #52504=VECTOR('',#195303,10.); #52505=VECTOR('',#195306,10.); #52506=VECTOR('',#195307,10.); #52507=VECTOR('',#195308,10.); #52508=VECTOR('',#195311,10.); #52509=VECTOR('',#195312,10.); #52510=VECTOR('',#195313,10.); #52511=VECTOR('',#195316,10.); #52512=VECTOR('',#195317,10.); #52513=VECTOR('',#195318,10.); #52514=VECTOR('',#195321,10.); #52515=VECTOR('',#195322,10.); #52516=VECTOR('',#195323,10.); #52517=VECTOR('',#195326,10.); #52518=VECTOR('',#195327,10.); #52519=VECTOR('',#195328,10.); #52520=VECTOR('',#195329,10.); #52521=VECTOR('',#195332,10.); #52522=VECTOR('',#195333,10.); #52523=VECTOR('',#195334,10.); #52524=VECTOR('',#195337,10.); #52525=VECTOR('',#195338,10.); #52526=VECTOR('',#195339,10.); #52527=VECTOR('',#195342,10.); #52528=VECTOR('',#195343,10.); #52529=VECTOR('',#195346,10.); #52530=VECTOR('',#195347,10.); #52531=VECTOR('',#195348,10.); #52532=VECTOR('',#195351,10.); #52533=VECTOR('',#195352,10.); #52534=VECTOR('',#195353,10.); #52535=VECTOR('',#195356,10.); #52536=VECTOR('',#195357,10.); #52537=VECTOR('',#195358,10.); #52538=VECTOR('',#195361,10.); #52539=VECTOR('',#195362,10.); #52540=VECTOR('',#195363,10.); #52541=VECTOR('',#195366,10.); #52542=VECTOR('',#195367,10.); #52543=VECTOR('',#195368,10.); #52544=VECTOR('',#195371,10.); #52545=VECTOR('',#195372,10.); #52546=VECTOR('',#195375,10.); #52547=VECTOR('',#195376,10.); #52548=VECTOR('',#195377,10.); #52549=VECTOR('',#195380,10.); #52550=VECTOR('',#195381,10.); #52551=VECTOR('',#195382,10.); #52552=VECTOR('',#195385,10.); #52553=VECTOR('',#195386,10.); #52554=VECTOR('',#195389,10.); #52555=VECTOR('',#195390,10.); #52556=VECTOR('',#195391,10.); #52557=VECTOR('',#195394,10.); #52558=VECTOR('',#195395,10.); #52559=VECTOR('',#195396,10.); #52560=VECTOR('',#195399,10.); #52561=VECTOR('',#195400,10.); #52562=VECTOR('',#195401,10.); #52563=VECTOR('',#195404,10.); #52564=VECTOR('',#195405,10.); #52565=VECTOR('',#195406,10.); #52566=VECTOR('',#195409,10.); #52567=VECTOR('',#195410,10.); #52568=VECTOR('',#195415,10.); #52569=VECTOR('',#195416,10.); #52570=VECTOR('',#195417,10.); #52571=VECTOR('',#195418,10.); #52572=VECTOR('',#195421,10.); #52573=VECTOR('',#195422,10.); #52574=VECTOR('',#195423,10.); #52575=VECTOR('',#195426,10.); #52576=VECTOR('',#195427,10.); #52577=VECTOR('',#195430,10.); #52578=VECTOR('',#195431,10.); #52579=VECTOR('',#195436,10.); #52580=VECTOR('',#195437,10.); #52581=VECTOR('',#195438,10.); #52582=VECTOR('',#195439,10.); #52583=VECTOR('',#195442,10.); #52584=VECTOR('',#195443,10.); #52585=VECTOR('',#195444,10.); #52586=VECTOR('',#195445,10.); #52587=VECTOR('',#195448,10.); #52588=VECTOR('',#195449,10.); #52589=VECTOR('',#195450,10.); #52590=VECTOR('',#195453,10.); #52591=VECTOR('',#195454,10.); #52592=VECTOR('',#195459,10.); #52593=VECTOR('',#195460,10.); #52594=VECTOR('',#195461,10.); #52595=VECTOR('',#195462,10.); #52596=VECTOR('',#195465,10.); #52597=VECTOR('',#195466,10.); #52598=VECTOR('',#195467,10.); #52599=VECTOR('',#195470,10.); #52600=VECTOR('',#195471,10.); #52601=VECTOR('',#195472,10.); #52602=VECTOR('',#195473,10.); #52603=VECTOR('',#195476,10.); #52604=VECTOR('',#195477,10.); #52605=VECTOR('',#195480,10.); #52606=VECTOR('',#195481,10.); #52607=VECTOR('',#195482,10.); #52608=VECTOR('',#195485,10.); #52609=VECTOR('',#195486,10.); #52610=VECTOR('',#195487,10.); #52611=VECTOR('',#195490,10.); #52612=VECTOR('',#195491,10.); #52613=VECTOR('',#195494,10.); #52614=VECTOR('',#195495,10.); #52615=VECTOR('',#195496,10.); #52616=VECTOR('',#195499,10.); #52617=VECTOR('',#195500,10.); #52618=VECTOR('',#195501,10.); #52619=VECTOR('',#195504,10.); #52620=VECTOR('',#195505,10.); #52621=VECTOR('',#195508,10.); #52622=VECTOR('',#195509,10.); #52623=VECTOR('',#195510,10.); #52624=VECTOR('',#195513,10.); #52625=VECTOR('',#195514,10.); #52626=VECTOR('',#195515,10.); #52627=VECTOR('',#195518,10.); #52628=VECTOR('',#195519,10.); #52629=VECTOR('',#195522,10.); #52630=VECTOR('',#195523,10.); #52631=VECTOR('',#195528,10.); #52632=VECTOR('',#195529,10.); #52633=VECTOR('',#195530,10.); #52634=VECTOR('',#195531,10.); #52635=VECTOR('',#195534,10.); #52636=VECTOR('',#195535,10.); #52637=VECTOR('',#195536,10.); #52638=VECTOR('',#195539,10.); #52639=VECTOR('',#195540,10.); #52640=VECTOR('',#195541,10.); #52641=VECTOR('',#195544,10.); #52642=VECTOR('',#195545,10.); #52643=VECTOR('',#195546,10.); #52644=VECTOR('',#195549,10.); #52645=VECTOR('',#195550,10.); #52646=VECTOR('',#195553,10.); #52647=VECTOR('',#195554,10.); #52648=VECTOR('',#195559,10.); #52649=VECTOR('',#195560,10.); #52650=VECTOR('',#195561,10.); #52651=VECTOR('',#195562,10.); #52652=VECTOR('',#195565,10.); #52653=VECTOR('',#195566,10.); #52654=VECTOR('',#195567,10.); #52655=VECTOR('',#195570,10.); #52656=VECTOR('',#195571,10.); #52657=VECTOR('',#195572,10.); #52658=VECTOR('',#195575,10.); #52659=VECTOR('',#195576,10.); #52660=VECTOR('',#195577,10.); #52661=VECTOR('',#195580,10.); #52662=VECTOR('',#195581,10.); #52663=VECTOR('',#195582,10.); #52664=VECTOR('',#195585,10.); #52665=VECTOR('',#195586,10.); #52666=VECTOR('',#195587,10.); #52667=VECTOR('',#195590,10.); #52668=VECTOR('',#195591,10.); #52669=VECTOR('',#195592,10.); #52670=VECTOR('',#195595,10.); #52671=VECTOR('',#195596,10.); #52672=VECTOR('',#195597,10.); #52673=VECTOR('',#195600,10.); #52674=VECTOR('',#195601,10.); #52675=VECTOR('',#195602,10.); #52676=VECTOR('',#195605,10.); #52677=VECTOR('',#195606,10.); #52678=VECTOR('',#195607,10.); #52679=VECTOR('',#195610,10.); #52680=VECTOR('',#195611,10.); #52681=VECTOR('',#195612,10.); #52682=VECTOR('',#195615,10.); #52683=VECTOR('',#195616,10.); #52684=VECTOR('',#195617,10.); #52685=VECTOR('',#195620,10.); #52686=VECTOR('',#195621,10.); #52687=VECTOR('',#195622,10.); #52688=VECTOR('',#195625,10.); #52689=VECTOR('',#195626,10.); #52690=VECTOR('',#195627,10.); #52691=VECTOR('',#195630,10.); #52692=VECTOR('',#195631,10.); #52693=VECTOR('',#195632,10.); #52694=VECTOR('',#195635,10.); #52695=VECTOR('',#195636,10.); #52696=VECTOR('',#195637,10.); #52697=VECTOR('',#195640,10.); #52698=VECTOR('',#195641,10.); #52699=VECTOR('',#195642,10.); #52700=VECTOR('',#195645,10.); #52701=VECTOR('',#195646,10.); #52702=VECTOR('',#195647,10.); #52703=VECTOR('',#195648,10.); #52704=VECTOR('',#195651,10.); #52705=VECTOR('',#195652,10.); #52706=VECTOR('',#195653,10.); #52707=VECTOR('',#195654,10.); #52708=VECTOR('',#195657,10.); #52709=VECTOR('',#195658,10.); #52710=VECTOR('',#195659,10.); #52711=VECTOR('',#195664,10.); #52712=VECTOR('',#195665,10.); #52713=VECTOR('',#195666,10.); #52714=VECTOR('',#195667,10.); #52715=VECTOR('',#195670,10.); #52716=VECTOR('',#195671,10.); #52717=VECTOR('',#195674,10.); #52718=VECTOR('',#195675,10.); #52719=VECTOR('',#195676,10.); #52720=VECTOR('',#195679,10.); #52721=VECTOR('',#195680,10.); #52722=VECTOR('',#195681,10.); #52723=VECTOR('',#195684,10.); #52724=VECTOR('',#195685,10.); #52725=VECTOR('',#195686,10.); #52726=VECTOR('',#195689,10.); #52727=VECTOR('',#195690,10.); #52728=VECTOR('',#195695,10.); #52729=VECTOR('',#195696,10.); #52730=VECTOR('',#195697,10.); #52731=VECTOR('',#195700,10.); #52732=VECTOR('',#195701,10.); #52733=VECTOR('',#195702,10.); #52734=VECTOR('',#195705,10.); #52735=VECTOR('',#195706,10.); #52736=VECTOR('',#195707,10.); #52737=VECTOR('',#195710,10.); #52738=VECTOR('',#195711,10.); #52739=VECTOR('',#195716,10.); #52740=VECTOR('',#195717,10.); #52741=VECTOR('',#195718,10.); #52742=VECTOR('',#195719,10.); #52743=VECTOR('',#195722,10.); #52744=VECTOR('',#195723,10.); #52745=VECTOR('',#195726,10.); #52746=VECTOR('',#195727,10.); #52747=VECTOR('',#195728,10.); #52748=VECTOR('',#195731,10.); #52749=VECTOR('',#195732,10.); #52750=VECTOR('',#195737,10.); #52751=VECTOR('',#195738,10.); #52752=VECTOR('',#195739,10.); #52753=VECTOR('',#195740,10.); #52754=VECTOR('',#195743,10.); #52755=VECTOR('',#195744,10.); #52756=VECTOR('',#195745,10.); #52757=VECTOR('',#195748,10.); #52758=VECTOR('',#195749,10.); #52759=VECTOR('',#195750,10.); #52760=VECTOR('',#195753,10.); #52761=VECTOR('',#195754,10.); #52762=VECTOR('',#195757,10.); #52763=VECTOR('',#195758,10.); #52764=VECTOR('',#195759,10.); #52765=VECTOR('',#195760,10.); #52766=VECTOR('',#195763,10.); #52767=VECTOR('',#195764,10.); #52768=VECTOR('',#195765,10.); #52769=VECTOR('',#195768,10.); #52770=VECTOR('',#195769,10.); #52771=VECTOR('',#195770,10.); #52772=VECTOR('',#195773,10.); #52773=VECTOR('',#195774,10.); #52774=VECTOR('',#195779,10.); #52775=VECTOR('',#195780,10.); #52776=VECTOR('',#195781,10.); #52777=VECTOR('',#195782,10.); #52778=VECTOR('',#195785,10.); #52779=VECTOR('',#195786,10.); #52780=VECTOR('',#195787,10.); #52781=VECTOR('',#195790,10.); #52782=VECTOR('',#195791,10.); #52783=VECTOR('',#195792,10.); #52784=VECTOR('',#195795,10.); #52785=VECTOR('',#195796,10.); #52786=VECTOR('',#195797,10.); #52787=VECTOR('',#195800,10.); #52788=VECTOR('',#195801,10.); #52789=VECTOR('',#195802,10.); #52790=VECTOR('',#195807,10.); #52791=VECTOR('',#195812,10.); #52792=VECTOR('',#195813,10.); #52793=VECTOR('',#195814,10.); #52794=VECTOR('',#195817,10.); #52795=VECTOR('',#195818,10.); #52796=VECTOR('',#195819,10.); #52797=VECTOR('',#195822,10.); #52798=VECTOR('',#195823,10.); #52799=VECTOR('',#195824,10.); #52800=VECTOR('',#195827,10.); #52801=VECTOR('',#195828,10.); #52802=VECTOR('',#195829,10.); #52803=VECTOR('',#195834,10.); #52804=VECTOR('',#195839,10.); #52805=VECTOR('',#195840,10.); #52806=VECTOR('',#195841,10.); #52807=VECTOR('',#195844,10.); #52808=VECTOR('',#195845,10.); #52809=VECTOR('',#195846,10.); #52810=VECTOR('',#195849,10.); #52811=VECTOR('',#195850,10.); #52812=VECTOR('',#195851,10.); #52813=VECTOR('',#195856,10.); #52814=VECTOR('',#195861,10.); #52815=VECTOR('',#195862,10.); #52816=VECTOR('',#195863,10.); #52817=VECTOR('',#195866,10.); #52818=VECTOR('',#195867,10.); #52819=VECTOR('',#195868,10.); #52820=VECTOR('',#195871,10.); #52821=VECTOR('',#195872,10.); #52822=VECTOR('',#195873,10.); #52823=VECTOR('',#195876,10.); #52824=VECTOR('',#195877,10.); #52825=VECTOR('',#195878,10.); #52826=VECTOR('',#195883,10.); #52827=VECTOR('',#195888,10.); #52828=VECTOR('',#195889,10.); #52829=VECTOR('',#195890,10.); #52830=VECTOR('',#195893,10.); #52831=VECTOR('',#195894,10.); #52832=VECTOR('',#195895,10.); #52833=VECTOR('',#195898,10.); #52834=VECTOR('',#195899,10.); #52835=VECTOR('',#195900,10.); #52836=VECTOR('',#195903,10.); #52837=VECTOR('',#195904,10.); #52838=VECTOR('',#195909,10.); #52839=VECTOR('',#195910,10.); #52840=VECTOR('',#195911,10.); #52841=VECTOR('',#195912,10.); #52842=VECTOR('',#195915,10.); #52843=VECTOR('',#195916,10.); #52844=VECTOR('',#195917,10.); #52845=VECTOR('',#195920,10.); #52846=VECTOR('',#195921,10.); #52847=VECTOR('',#195922,10.); #52848=VECTOR('',#195927,10.); #52849=VECTOR('',#195934,10.); #52850=VECTOR('',#195941,10.); #52851=VECTOR('',#195948,10.); #52852=VECTOR('',#195955,10.); #52853=VECTOR('',#195960,10.); #52854=VECTOR('',#195961,10.); #52855=VECTOR('',#195966,10.); #52856=VECTOR('',#195969,10.); #52857=VECTOR('',#195974,10.); #52858=VECTOR('',#195979,10.); #52859=VECTOR('',#195980,10.); #52860=VECTOR('',#195981,10.); #52861=VECTOR('',#195986,10.); #52862=VECTOR('',#195993,10.); #52863=VECTOR('',#196000,10.); #52864=VECTOR('',#196005,10.); #52865=VECTOR('',#196006,10.); #52866=VECTOR('',#196007,10.); #52867=VECTOR('',#196010,10.); #52868=VECTOR('',#196011,10.); #52869=VECTOR('',#196012,10.); #52870=VECTOR('',#196015,10.); #52871=VECTOR('',#196016,10.); #52872=VECTOR('',#196017,10.); #52873=VECTOR('',#196020,10.); #52874=VECTOR('',#196021,10.); #52875=VECTOR('',#196022,10.); #52876=VECTOR('',#196025,10.); #52877=VECTOR('',#196026,10.); #52878=VECTOR('',#196027,10.); #52879=VECTOR('',#196030,10.); #52880=VECTOR('',#196031,10.); #52881=VECTOR('',#196032,10.); #52882=VECTOR('',#196035,10.); #52883=VECTOR('',#196036,10.); #52884=VECTOR('',#196037,10.); #52885=VECTOR('',#196040,10.); #52886=VECTOR('',#196041,10.); #52887=VECTOR('',#196042,10.); #52888=VECTOR('',#196047,10.); #52889=VECTOR('',#196054,10.); #52890=VECTOR('',#196061,10.); #52891=VECTOR('',#196068,10.); #52892=VECTOR('',#196075,10.); #52893=VECTOR('',#196080,10.); #52894=VECTOR('',#196081,10.); #52895=VECTOR('',#196082,10.); #52896=VECTOR('',#196087,10.); #52897=VECTOR('',#196094,10.); #52898=VECTOR('',#196099,10.); #52899=VECTOR('',#196100,10.); #52900=VECTOR('',#196101,10.); #52901=VECTOR('',#196104,10.); #52902=VECTOR('',#196105,10.); #52903=VECTOR('',#196106,10.); #52904=VECTOR('',#196109,10.); #52905=VECTOR('',#196110,10.); #52906=VECTOR('',#196111,10.); #52907=VECTOR('',#196114,10.); #52908=VECTOR('',#196115,10.); #52909=VECTOR('',#196116,10.); #52910=VECTOR('',#196119,10.); #52911=VECTOR('',#196120,10.); #52912=VECTOR('',#196121,10.); #52913=VECTOR('',#196124,10.); #52914=VECTOR('',#196125,10.); #52915=VECTOR('',#196126,10.); #52916=VECTOR('',#196129,10.); #52917=VECTOR('',#196130,10.); #52918=VECTOR('',#196131,10.); #52919=VECTOR('',#196136,10.); #52920=VECTOR('',#196141,10.); #52921=VECTOR('',#196142,10.); #52922=VECTOR('',#196147,10.); #52923=VECTOR('',#196148,10.); #52924=VECTOR('',#196149,10.); #52925=VECTOR('',#196150,10.); #52926=VECTOR('',#196153,10.); #52927=VECTOR('',#196154,10.); #52928=VECTOR('',#196155,10.); #52929=VECTOR('',#196158,10.); #52930=VECTOR('',#196159,10.); #52931=VECTOR('',#196160,10.); #52932=VECTOR('',#196165,10.); #52933=VECTOR('',#196170,10.); #52934=VECTOR('',#196171,10.); #52935=VECTOR('',#196172,10.); #52936=VECTOR('',#196177,10.); #52937=VECTOR('',#196182,10.); #52938=VECTOR('',#196183,10.); #52939=VECTOR('',#196184,10.); #52940=VECTOR('',#196187,10.); #52941=VECTOR('',#196188,10.); #52942=VECTOR('',#196189,10.); #52943=VECTOR('',#196192,10.); #52944=VECTOR('',#196193,10.); #52945=VECTOR('',#196194,10.); #52946=VECTOR('',#196197,10.); #52947=VECTOR('',#196198,10.); #52948=VECTOR('',#196199,10.); #52949=VECTOR('',#196202,10.); #52950=VECTOR('',#196203,10.); #52951=VECTOR('',#196210,10.); #52952=VECTOR('',#196213,10.); #52953=VECTOR('',#196218,10.); #52954=VECTOR('',#196225,10.); #52955=VECTOR('',#196232,10.); #52956=VECTOR('',#196239,10.); #52957=VECTOR('',#196246,10.); #52958=VECTOR('',#196253,10.); #52959=VECTOR('',#196260,10.); #52960=VECTOR('',#196267,10.); #52961=VECTOR('',#196272,10.); #52962=VECTOR('',#196273,10.); #52963=VECTOR('',#196278,10.); #52964=VECTOR('',#196281,10.); #52965=VECTOR('',#196286,10.); #52966=VECTOR('',#196293,10.); #52967=VECTOR('',#196300,10.); #52968=VECTOR('',#196307,10.); #52969=VECTOR('',#196314,10.); #52970=VECTOR('',#196319,10.); #52971=VECTOR('',#196320,10.); #52972=VECTOR('',#196321,10.); #52973=VECTOR('',#196326,10.); #52974=VECTOR('',#196331,10.); #52975=VECTOR('',#196332,10.); #52976=VECTOR('',#196333,10.); #52977=VECTOR('',#196336,10.); #52978=VECTOR('',#196337,10.); #52979=VECTOR('',#196338,10.); #52980=VECTOR('',#196341,10.); #52981=VECTOR('',#196342,10.); #52982=VECTOR('',#196343,10.); #52983=VECTOR('',#196346,10.); #52984=VECTOR('',#196347,10.); #52985=VECTOR('',#196348,10.); #52986=VECTOR('',#196353,10.); #52987=VECTOR('',#196358,10.); #52988=VECTOR('',#196359,10.); #52989=VECTOR('',#196360,10.); #52990=VECTOR('',#196363,10.); #52991=VECTOR('',#196364,10.); #52992=VECTOR('',#196365,10.); #52993=VECTOR('',#196368,10.); #52994=VECTOR('',#196369,10.); #52995=VECTOR('',#196370,10.); #52996=VECTOR('',#196373,10.); #52997=VECTOR('',#196374,10.); #52998=VECTOR('',#196375,10.); #52999=VECTOR('',#196378,10.); #53000=VECTOR('',#196379,10.); #53001=VECTOR('',#196380,10.); #53002=VECTOR('',#196383,10.); #53003=VECTOR('',#196384,10.); #53004=VECTOR('',#196385,10.); #53005=VECTOR('',#196388,10.); #53006=VECTOR('',#196389,10.); #53007=VECTOR('',#196390,10.); #53008=VECTOR('',#196393,10.); #53009=VECTOR('',#196394,10.); #53010=VECTOR('',#196395,10.); #53011=VECTOR('',#196398,10.); #53012=VECTOR('',#196399,10.); #53013=VECTOR('',#196400,10.); #53014=VECTOR('',#196403,10.); #53015=VECTOR('',#196404,10.); #53016=VECTOR('',#196405,10.); #53017=VECTOR('',#196408,10.); #53018=VECTOR('',#196409,10.); #53019=VECTOR('',#196410,10.); #53020=VECTOR('',#196413,10.); #53021=VECTOR('',#196414,10.); #53022=VECTOR('',#196415,10.); #53023=VECTOR('',#196418,10.); #53024=VECTOR('',#196419,10.); #53025=VECTOR('',#196420,10.); #53026=VECTOR('',#196423,10.); #53027=VECTOR('',#196424,10.); #53028=VECTOR('',#196425,10.); #53029=VECTOR('',#196428,10.); #53030=VECTOR('',#196429,10.); #53031=VECTOR('',#196430,10.); #53032=VECTOR('',#196433,10.); #53033=VECTOR('',#196434,10.); #53034=VECTOR('',#196435,10.); #53035=VECTOR('',#196440,10.); #53036=VECTOR('',#196445,10.); #53037=VECTOR('',#196446,10.); #53038=VECTOR('',#196447,10.); #53039=VECTOR('',#196452,10.); #53040=VECTOR('',#196459,10.); #53041=VECTOR('',#196464,10.); #53042=VECTOR('',#196465,10.); #53043=VECTOR('',#196466,10.); #53044=VECTOR('',#196471,10.); #53045=VECTOR('',#196476,10.); #53046=VECTOR('',#196477,10.); #53047=VECTOR('',#196478,10.); #53048=VECTOR('',#196481,10.); #53049=VECTOR('',#196482,10.); #53050=VECTOR('',#196483,10.); #53051=VECTOR('',#196496,10.); #53052=VECTOR('',#196499,10.); #53053=VECTOR('',#196502,10.); #53054=VECTOR('',#196503,10.); #53055=VECTOR('',#196504,10.); #53056=VECTOR('',#196509,10.); #53057=VECTOR('',#196514,10.); #53058=VECTOR('',#196515,10.); #53059=VECTOR('',#196516,10.); #53060=VECTOR('',#196521,10.); #53061=VECTOR('',#196526,10.); #53062=VECTOR('',#196527,10.); #53063=VECTOR('',#196528,10.); #53064=VECTOR('',#196531,10.); #53065=VECTOR('',#196532,10.); #53066=VECTOR('',#196533,10.); #53067=VECTOR('',#196538,10.); #53068=VECTOR('',#196545,10.); #53069=VECTOR('',#196552,10.); #53070=VECTOR('',#196559,10.); #53071=VECTOR('',#196566,10.); #53072=VECTOR('',#196573,10.); #53073=VECTOR('',#196578,10.); #53074=VECTOR('',#196579,10.); #53075=VECTOR('',#196580,10.); #53076=VECTOR('',#196585,10.); #53077=VECTOR('',#196592,10.); #53078=VECTOR('',#196599,10.); #53079=VECTOR('',#196606,10.); #53080=VECTOR('',#196613,10.); #53081=VECTOR('',#196618,10.); #53082=VECTOR('',#196619,10.); #53083=VECTOR('',#196620,10.); #53084=VECTOR('',#196623,10.); #53085=VECTOR('',#196624,10.); #53086=VECTOR('',#196625,10.); #53087=VECTOR('',#196628,10.); #53088=VECTOR('',#196629,10.); #53089=VECTOR('',#196630,10.); #53090=VECTOR('',#196633,10.); #53091=VECTOR('',#196634,10.); #53092=VECTOR('',#196635,10.); #53093=VECTOR('',#196638,10.); #53094=VECTOR('',#196639,10.); #53095=VECTOR('',#196640,10.); #53096=VECTOR('',#196643,10.); #53097=VECTOR('',#196644,10.); #53098=VECTOR('',#196645,10.); #53099=VECTOR('',#196648,10.); #53100=VECTOR('',#196649,10.); #53101=VECTOR('',#196650,10.); #53102=VECTOR('',#196655,10.); #53103=VECTOR('',#196660,10.); #53104=VECTOR('',#196661,10.); #53105=VECTOR('',#196662,10.); #53106=VECTOR('',#196667,10.); #53107=VECTOR('',#196672,10.); #53108=VECTOR('',#196673,10.); #53109=VECTOR('',#196674,10.); #53110=VECTOR('',#196677,10.); #53111=VECTOR('',#196678,10.); #53112=VECTOR('',#196679,10.); #53113=VECTOR('',#196684,10.); #53114=VECTOR('',#196691,10.); #53115=VECTOR('',#196698,10.); #53116=VECTOR('',#196705,10.); #53117=VECTOR('',#196712,10.); #53118=VECTOR('',#196719,10.); #53119=VECTOR('',#196726,10.); #53120=VECTOR('',#196731,10.); #53121=VECTOR('',#196732,10.); #53122=VECTOR('',#196733,10.); #53123=VECTOR('',#196738,10.); #53124=VECTOR('',#196751,10.); #53125=VECTOR('',#196752,10.); #53126=VECTOR('',#196753,10.); #53127=VECTOR('',#196754,10.); #53128=VECTOR('',#196757,10.); #53129=VECTOR('',#196758,10.); #53130=VECTOR('',#196759,10.); #53131=VECTOR('',#196762,10.); #53132=VECTOR('',#196763,10.); #53133=VECTOR('',#196764,10.); #53134=VECTOR('',#196767,10.); #53135=VECTOR('',#196768,10.); #53136=VECTOR('',#196773,10.); #53137=VECTOR('',#196774,10.); #53138=VECTOR('',#196775,10.); #53139=VECTOR('',#196776,10.); #53140=VECTOR('',#196779,10.); #53141=VECTOR('',#196780,10.); #53142=VECTOR('',#196781,10.); #53143=VECTOR('',#196784,10.); #53144=VECTOR('',#196785,10.); #53145=VECTOR('',#196786,10.); #53146=VECTOR('',#196789,10.); #53147=VECTOR('',#196790,10.); #53148=VECTOR('',#196793,10.); #53149=VECTOR('',#196794,10.); #53150=VECTOR('',#196795,10.); #53151=VECTOR('',#196796,10.); #53152=VECTOR('',#196799,10.); #53153=VECTOR('',#196800,10.); #53154=VECTOR('',#196801,10.); #53155=VECTOR('',#196804,10.); #53156=VECTOR('',#196805,10.); #53157=VECTOR('',#196806,10.); #53158=VECTOR('',#196809,10.); #53159=VECTOR('',#196810,10.); #53160=VECTOR('',#196815,10.); #53161=VECTOR('',#196816,10.); #53162=VECTOR('',#196817,10.); #53163=VECTOR('',#196818,10.); #53164=VECTOR('',#196821,10.); #53165=VECTOR('',#196822,10.); #53166=VECTOR('',#196823,10.); #53167=VECTOR('',#196828,10.); #53168=VECTOR('',#196835,10.); #53169=VECTOR('',#196842,10.); #53170=VECTOR('',#196849,10.); #53171=VECTOR('',#196856,10.); #53172=VECTOR('',#196861,10.); #53173=VECTOR('',#196862,10.); #53174=VECTOR('',#196867,10.); #53175=VECTOR('',#196870,10.); #53176=VECTOR('',#196875,10.); #53177=VECTOR('',#196882,10.); #53178=VECTOR('',#196889,10.); #53179=VECTOR('',#196896,10.); #53180=VECTOR('',#196903,10.); #53181=VECTOR('',#196908,10.); #53182=VECTOR('',#196909,10.); #53183=VECTOR('',#196910,10.); #53184=VECTOR('',#196915,10.); #53185=VECTOR('',#196922,10.); #53186=VECTOR('',#196929,10.); #53187=VECTOR('',#196936,10.); #53188=VECTOR('',#196941,10.); #53189=VECTOR('',#196942,10.); #53190=VECTOR('',#196943,10.); #53191=VECTOR('',#196946,10.); #53192=VECTOR('',#196947,10.); #53193=VECTOR('',#196948,10.); #53194=VECTOR('',#196951,10.); #53195=VECTOR('',#196952,10.); #53196=VECTOR('',#196953,10.); #53197=VECTOR('',#196958,10.); #53198=VECTOR('',#196965,10.); #53199=VECTOR('',#196972,10.); #53200=VECTOR('',#196979,10.); #53201=VECTOR('',#196984,10.); #53202=VECTOR('',#196985,10.); #53203=VECTOR('',#196986,10.); #53204=VECTOR('',#196991,10.); #53205=VECTOR('',#196998,10.); #53206=VECTOR('',#197005,10.); #53207=VECTOR('',#197012,10.); #53208=VECTOR('',#197017,10.); #53209=VECTOR('',#197018,10.); #53210=VECTOR('',#197019,10.); #53211=VECTOR('',#197022,10.); #53212=VECTOR('',#197023,10.); #53213=VECTOR('',#197024,10.); #53214=VECTOR('',#197027,10.); #53215=VECTOR('',#197028,10.); #53216=VECTOR('',#197029,10.); #53217=VECTOR('',#197032,10.); #53218=VECTOR('',#197033,10.); #53219=VECTOR('',#197034,10.); #53220=VECTOR('',#197037,10.); #53221=VECTOR('',#197038,10.); #53222=VECTOR('',#197039,10.); #53223=VECTOR('',#197042,10.); #53224=VECTOR('',#197043,10.); #53225=VECTOR('',#197044,10.); #53226=VECTOR('',#197047,10.); #53227=VECTOR('',#197048,10.); #53228=VECTOR('',#197049,10.); #53229=VECTOR('',#197052,10.); #53230=VECTOR('',#197053,10.); #53231=VECTOR('',#197054,10.); #53232=VECTOR('',#197059,10.); #53233=VECTOR('',#197066,10.); #53234=VECTOR('',#197073,10.); #53235=VECTOR('',#197086,10.); #53236=VECTOR('',#197087,10.); #53237=VECTOR('',#197088,10.); #53238=VECTOR('',#197089,10.); #53239=VECTOR('',#197094,10.); #53240=VECTOR('',#197101,10.); #53241=VECTOR('',#197108,10.); #53242=VECTOR('',#197115,10.); #53243=VECTOR('',#197120,10.); #53244=VECTOR('',#197121,10.); #53245=VECTOR('',#197122,10.); #53246=VECTOR('',#197127,10.); #53247=VECTOR('',#197134,10.); #53248=VECTOR('',#197141,10.); #53249=VECTOR('',#197148,10.); #53250=VECTOR('',#197155,10.); #53251=VECTOR('',#197162,10.); #53252=VECTOR('',#197169,10.); #53253=VECTOR('',#197176,10.); #53254=VECTOR('',#197183,10.); #53255=VECTOR('',#197190,10.); #53256=VECTOR('',#197197,10.); #53257=VECTOR('',#197204,10.); #53258=VECTOR('',#197211,10.); #53259=VECTOR('',#197218,10.); #53260=VECTOR('',#197225,10.); #53261=VECTOR('',#197232,10.); #53262=VECTOR('',#197239,10.); #53263=VECTOR('',#197246,10.); #53264=VECTOR('',#197251,10.); #53265=VECTOR('',#197252,10.); #53266=VECTOR('',#197253,10.); #53267=VECTOR('',#197258,10.); #53268=VECTOR('',#197265,10.); #53269=VECTOR('',#197272,10.); #53270=VECTOR('',#197279,10.); #53271=VECTOR('',#197284,10.); #53272=VECTOR('',#197285,10.); #53273=VECTOR('',#197286,10.); #53274=VECTOR('',#197291,10.); #53275=VECTOR('',#197298,10.); #53276=VECTOR('',#197305,10.); #53277=VECTOR('',#197312,10.); #53278=VECTOR('',#197319,10.); #53279=VECTOR('',#197326,10.); #53280=VECTOR('',#197333,10.); #53281=VECTOR('',#197340,10.); #53282=VECTOR('',#197347,10.); #53283=VECTOR('',#197354,10.); #53284=VECTOR('',#197361,10.); #53285=VECTOR('',#197368,10.); #53286=VECTOR('',#197375,10.); #53287=VECTOR('',#197382,10.); #53288=VECTOR('',#197389,10.); #53289=VECTOR('',#197404,10.); #53290=VECTOR('',#197407,10.); #53291=VECTOR('',#197412,10.); #53292=VECTOR('',#197419,10.); #53293=VECTOR('',#197424,10.); #53294=VECTOR('',#197425,10.); #53295=VECTOR('',#197426,10.); #53296=VECTOR('',#197431,10.); #53297=VECTOR('',#197438,10.); #53298=VECTOR('',#197445,10.); #53299=VECTOR('',#197452,10.); #53300=VECTOR('',#197459,10.); #53301=VECTOR('',#197466,10.); #53302=VECTOR('',#197473,10.); #53303=VECTOR('',#197480,10.); #53304=VECTOR('',#197487,10.); #53305=VECTOR('',#197494,10.); #53306=VECTOR('',#197499,10.); #53307=VECTOR('',#197500,10.); #53308=VECTOR('',#197501,10.); #53309=VECTOR('',#197506,10.); #53310=VECTOR('',#197513,10.); #53311=VECTOR('',#197520,10.); #53312=VECTOR('',#197527,10.); #53313=VECTOR('',#197532,10.); #53314=VECTOR('',#197533,10.); #53315=VECTOR('',#197534,10.); #53316=VECTOR('',#197539,10.); #53317=VECTOR('',#197546,10.); #53318=VECTOR('',#197553,10.); #53319=VECTOR('',#197560,10.); #53320=VECTOR('',#197565,10.); #53321=VECTOR('',#197566,10.); #53322=VECTOR('',#197567,10.); #53323=VECTOR('',#197572,10.); #53324=VECTOR('',#197579,10.); #53325=VECTOR('',#197586,10.); #53326=VECTOR('',#197593,10.); #53327=VECTOR('',#197600,10.); #53328=VECTOR('',#197607,10.); #53329=VECTOR('',#197614,10.); #53330=VECTOR('',#197621,10.); #53331=VECTOR('',#197628,10.); #53332=VECTOR('',#197635,10.); #53333=VECTOR('',#197642,10.); #53334=VECTOR('',#197655,10.); #53335=VECTOR('',#197656,10.); #53336=VECTOR('',#197657,10.); #53337=VECTOR('',#197658,10.); #53338=VECTOR('',#197663,10.); #53339=VECTOR('',#197670,10.); #53340=VECTOR('',#197677,10.); #53341=VECTOR('',#197684,10.); #53342=VECTOR('',#197689,10.); #53343=VECTOR('',#197690,10.); #53344=VECTOR('',#197691,10.); #53345=VECTOR('',#197696,10.); #53346=VECTOR('',#197703,10.); #53347=VECTOR('',#197710,10.); #53348=VECTOR('',#197717,10.); #53349=VECTOR('',#197730,10.); #53350=VECTOR('',#197731,10.); #53351=VECTOR('',#197732,10.); #53352=VECTOR('',#197733,10.); #53353=VECTOR('',#197738,10.); #53354=VECTOR('',#197745,10.); #53355=VECTOR('',#197752,10.); #53356=VECTOR('',#197759,10.); #53357=VECTOR('',#197764,10.); #53358=VECTOR('',#197765,10.); #53359=VECTOR('',#197766,10.); #53360=VECTOR('',#197769,10.); #53361=VECTOR('',#197770,10.); #53362=VECTOR('',#197771,10.); #53363=VECTOR('',#197774,10.); #53364=VECTOR('',#197775,10.); #53365=VECTOR('',#197776,10.); #53366=VECTOR('',#197781,10.); #53367=VECTOR('',#197788,10.); #53368=VECTOR('',#197795,10.); #53369=VECTOR('',#197802,10.); #53370=VECTOR('',#197807,10.); #53371=VECTOR('',#197808,10.); #53372=VECTOR('',#197809,10.); #53373=VECTOR('',#197814,10.); #53374=VECTOR('',#197821,10.); #53375=VECTOR('',#197828,10.); #53376=VECTOR('',#197835,10.); #53377=VECTOR('',#197840,10.); #53378=VECTOR('',#197841,10.); #53379=VECTOR('',#197842,10.); #53380=VECTOR('',#197845,10.); #53381=VECTOR('',#197846,10.); #53382=VECTOR('',#197847,10.); #53383=VECTOR('',#197852,10.); #53384=VECTOR('',#197859,10.); #53385=VECTOR('',#197866,10.); #53386=VECTOR('',#197873,10.); #53387=VECTOR('',#197878,10.); #53388=VECTOR('',#197879,10.); #53389=VECTOR('',#197880,10.); #53390=VECTOR('',#197883,10.); #53391=VECTOR('',#197884,10.); #53392=VECTOR('',#197885,10.); #53393=VECTOR('',#197890,10.); #53394=VECTOR('',#197897,10.); #53395=VECTOR('',#197904,10.); #53396=VECTOR('',#197911,10.); #53397=VECTOR('',#197916,10.); #53398=VECTOR('',#197917,10.); #53399=VECTOR('',#197918,10.); #53400=VECTOR('',#197921,10.); #53401=VECTOR('',#197922,10.); #53402=VECTOR('',#197923,10.); #53403=VECTOR('',#197928,10.); #53404=VECTOR('',#197935,10.); #53405=VECTOR('',#197942,10.); #53406=VECTOR('',#197949,10.); #53407=VECTOR('',#197954,10.); #53408=VECTOR('',#197955,10.); #53409=VECTOR('',#197956,10.); #53410=VECTOR('',#197959,10.); #53411=VECTOR('',#197960,10.); #53412=VECTOR('',#197961,10.); #53413=VECTOR('',#197966,10.); #53414=VECTOR('',#197973,10.); #53415=VECTOR('',#197980,10.); #53416=VECTOR('',#197987,10.); #53417=VECTOR('',#197992,10.); #53418=VECTOR('',#197993,10.); #53419=VECTOR('',#197994,10.); #53420=VECTOR('',#197997,10.); #53421=VECTOR('',#197998,10.); #53422=VECTOR('',#197999,10.); #53423=VECTOR('',#198004,10.); #53424=VECTOR('',#198011,10.); #53425=VECTOR('',#198018,10.); #53426=VECTOR('',#198025,10.); #53427=VECTOR('',#198032,10.); #53428=VECTOR('',#198039,10.); #53429=VECTOR('',#198046,10.); #53430=VECTOR('',#198051,10.); #53431=VECTOR('',#198052,10.); #53432=VECTOR('',#198059,10.); #53433=VECTOR('',#198062,10.); #53434=VECTOR('',#198065,10.); #53435=VECTOR('',#198066,10.); #53436=VECTOR('',#198067,10.); #53437=VECTOR('',#198072,10.); #53438=VECTOR('',#198079,10.); #53439=VECTOR('',#198086,10.); #53440=VECTOR('',#198093,10.); #53441=VECTOR('',#198100,10.); #53442=VECTOR('',#198107,10.); #53443=VECTOR('',#198112,10.); #53444=VECTOR('',#198113,10.); #53445=VECTOR('',#198114,10.); #53446=VECTOR('',#198119,10.); #53447=VECTOR('',#198126,10.); #53448=VECTOR('',#198133,10.); #53449=VECTOR('',#198140,10.); #53450=VECTOR('',#198151,10.); #53451=VECTOR('',#198152,10.); #53452=VECTOR('',#198153,10.); #53453=VECTOR('',#198154,10.); #53454=VECTOR('',#198159,10.); #53455=VECTOR('',#198166,10.); #53456=VECTOR('',#198173,10.); #53457=VECTOR('',#198180,10.); #53458=VECTOR('',#198187,10.); #53459=VECTOR('',#198194,10.); #53460=VECTOR('',#198201,10.); #53461=VECTOR('',#198206,10.); #53462=VECTOR('',#198207,10.); #53463=VECTOR('',#198208,10.); #53464=VECTOR('',#198213,10.); #53465=VECTOR('',#198220,10.); #53466=VECTOR('',#198227,10.); #53467=VECTOR('',#198234,10.); #53468=VECTOR('',#198241,10.); #53469=VECTOR('',#198248,10.); #53470=VECTOR('',#198263,10.); #53471=VECTOR('',#198266,10.); #53472=VECTOR('',#198269,10.); #53473=VECTOR('',#198270,10.); #53474=VECTOR('',#198271,10.); #53475=VECTOR('',#198276,10.); #53476=VECTOR('',#198283,10.); #53477=VECTOR('',#198290,10.); #53478=VECTOR('',#198297,10.); #53479=VECTOR('',#198304,10.); #53480=VECTOR('',#198311,10.); #53481=VECTOR('',#198316,10.); #53482=VECTOR('',#198317,10.); #53483=VECTOR('',#198318,10.); #53484=VECTOR('',#198323,10.); #53485=VECTOR('',#198330,10.); #53486=VECTOR('',#198337,10.); #53487=VECTOR('',#198344,10.); #53488=VECTOR('',#198357,10.); #53489=VECTOR('',#198360,10.); #53490=VECTOR('',#198363,10.); #53491=VECTOR('',#198364,10.); #53492=VECTOR('',#198365,10.); #53493=VECTOR('',#198370,10.); #53494=VECTOR('',#198377,10.); #53495=VECTOR('',#198384,10.); #53496=VECTOR('',#198391,10.); #53497=VECTOR('',#198398,10.); #53498=VECTOR('',#198405,10.); #53499=VECTOR('',#198412,10.); #53500=VECTOR('',#198417,10.); #53501=VECTOR('',#198418,10.); #53502=VECTOR('',#198419,10.); #53503=VECTOR('',#198424,10.); #53504=VECTOR('',#198431,10.); #53505=VECTOR('',#198438,10.); #53506=VECTOR('',#198445,10.); #53507=VECTOR('',#198452,10.); #53508=VECTOR('',#198467,10.); #53509=VECTOR('',#198470,10.); #53510=VECTOR('',#198475,10.); #53511=VECTOR('',#198480,10.); #53512=VECTOR('',#198481,10.); #53513=VECTOR('',#198482,10.); #53514=VECTOR('',#198487,10.); #53515=VECTOR('',#198494,10.); #53516=VECTOR('',#198501,10.); #53517=VECTOR('',#198508,10.); #53518=VECTOR('',#198515,10.); #53519=VECTOR('',#198522,10.); #53520=VECTOR('',#198527,10.); #53521=VECTOR('',#198528,10.); #53522=VECTOR('',#198529,10.); #53523=VECTOR('',#198534,10.); #53524=VECTOR('',#198541,10.); #53525=VECTOR('',#198548,10.); #53526=VECTOR('',#198559,10.); #53527=VECTOR('',#198560,10.); #53528=VECTOR('',#198561,10.); #53529=VECTOR('',#198562,10.); #53530=VECTOR('',#198567,10.); #53531=VECTOR('',#198574,10.); #53532=VECTOR('',#198581,10.); #53533=VECTOR('',#198588,10.); #53534=VECTOR('',#198595,10.); #53535=VECTOR('',#198602,10.); #53536=VECTOR('',#198609,10.); #53537=VECTOR('',#198614,10.); #53538=VECTOR('',#198615,10.); #53539=VECTOR('',#198616,10.); #53540=VECTOR('',#198621,10.); #53541=VECTOR('',#198628,10.); #53542=VECTOR('',#198635,10.); #53543=VECTOR('',#198642,10.); #53544=VECTOR('',#198649,10.); #53545=VECTOR('',#198656,10.); #53546=VECTOR('',#198671,10.); #53547=VECTOR('',#198674,10.); #53548=VECTOR('',#198679,10.); #53549=VECTOR('',#198686,10.); #53550=VECTOR('',#198693,10.); #53551=VECTOR('',#198698,10.); #53552=VECTOR('',#198699,10.); #53553=VECTOR('',#198700,10.); #53554=VECTOR('',#198705,10.); #53555=VECTOR('',#198712,10.); #53556=VECTOR('',#198719,10.); #53557=VECTOR('',#198726,10.); #53558=VECTOR('',#198733,10.); #53559=VECTOR('',#198740,10.); #53560=VECTOR('',#198745,10.); #53561=VECTOR('',#198746,10.); #53562=VECTOR('',#198747,10.); #53563=VECTOR('',#198752,10.); #53564=VECTOR('',#198763,10.); #53565=VECTOR('',#198764,10.); #53566=VECTOR('',#198765,10.); #53567=VECTOR('',#198766,10.); #53568=VECTOR('',#198771,10.); #53569=VECTOR('',#198778,10.); #53570=VECTOR('',#198785,10.); #53571=VECTOR('',#198792,10.); #53572=VECTOR('',#198799,10.); #53573=VECTOR('',#198806,10.); #53574=VECTOR('',#198813,10.); #53575=VECTOR('',#198818,10.); #53576=VECTOR('',#198819,10.); #53577=VECTOR('',#198820,10.); #53578=VECTOR('',#198825,10.); #53579=VECTOR('',#198832,10.); #53580=VECTOR('',#198839,10.); #53581=VECTOR('',#198846,10.); #53582=VECTOR('',#198853,10.); #53583=VECTOR('',#198860,10.); #53584=VECTOR('',#198875,10.); #53585=VECTOR('',#198878,10.); #53586=VECTOR('',#198883,10.); #53587=VECTOR('',#198890,10.); #53588=VECTOR('',#198897,10.); #53589=VECTOR('',#198904,10.); #53590=VECTOR('',#198911,10.); #53591=VECTOR('',#198918,10.); #53592=VECTOR('',#198925,10.); #53593=VECTOR('',#198932,10.); #53594=VECTOR('',#198939,10.); #53595=VECTOR('',#198946,10.); #53596=VECTOR('',#198953,10.); #53597=VECTOR('',#198960,10.); #53598=VECTOR('',#198967,10.); #53599=VECTOR('',#198974,10.); #53600=VECTOR('',#198981,10.); #53601=VECTOR('',#198988,10.); #53602=VECTOR('',#198995,10.); #53603=VECTOR('',#199000,10.); #53604=VECTOR('',#199001,10.); #53605=VECTOR('',#199002,10.); #53606=VECTOR('',#199005,10.); #53607=VECTOR('',#199006,10.); #53608=VECTOR('',#199007,10.); #53609=VECTOR('',#199010,10.); #53610=VECTOR('',#199011,10.); #53611=VECTOR('',#199012,10.); #53612=VECTOR('',#199017,10.); #53613=VECTOR('',#199024,10.); #53614=VECTOR('',#199031,10.); #53615=VECTOR('',#199038,10.); #53616=VECTOR('',#199043,10.); #53617=VECTOR('',#199044,10.); #53618=VECTOR('',#199045,10.); #53619=VECTOR('',#199048,10.); #53620=VECTOR('',#199049,10.); #53621=VECTOR('',#199050,10.); #53622=VECTOR('',#199053,10.); #53623=VECTOR('',#199054,10.); #53624=VECTOR('',#199055,10.); #53625=VECTOR('',#199060,10.); #53626=VECTOR('',#199067,10.); #53627=VECTOR('',#199082,10.); #53628=VECTOR('',#199085,10.); #53629=VECTOR('',#199090,10.); #53630=VECTOR('',#199097,10.); #53631=VECTOR('',#199104,10.); #53632=VECTOR('',#199111,10.); #53633=VECTOR('',#199118,10.); #53634=VECTOR('',#199125,10.); #53635=VECTOR('',#199132,10.); #53636=VECTOR('',#199139,10.); #53637=VECTOR('',#199146,10.); #53638=VECTOR('',#199153,10.); #53639=VECTOR('',#199160,10.); #53640=VECTOR('',#199167,10.); #53641=VECTOR('',#199174,10.); #53642=VECTOR('',#199181,10.); #53643=VECTOR('',#199188,10.); #53644=VECTOR('',#199195,10.); #53645=VECTOR('',#199202,10.); #53646=VECTOR('',#199209,10.); #53647=VECTOR('',#199216,10.); #53648=VECTOR('',#199223,10.); #53649=VECTOR('',#199230,10.); #53650=VECTOR('',#199237,10.); #53651=VECTOR('',#199244,10.); #53652=VECTOR('',#199251,10.); #53653=VECTOR('',#199258,10.); #53654=VECTOR('',#199265,10.); #53655=VECTOR('',#199272,10.); #53656=VECTOR('',#199277,10.); #53657=VECTOR('',#199278,10.); #53658=VECTOR('',#199279,10.); #53659=VECTOR('',#199284,10.); #53660=VECTOR('',#199291,10.); #53661=VECTOR('',#199298,10.); #53662=VECTOR('',#199305,10.); #53663=VECTOR('',#199312,10.); #53664=VECTOR('',#199319,10.); #53665=VECTOR('',#199326,10.); #53666=VECTOR('',#199341,10.); #53667=VECTOR('',#199344,10.); #53668=VECTOR('',#199349,10.); #53669=VECTOR('',#199356,10.); #53670=VECTOR('',#199363,10.); #53671=VECTOR('',#199370,10.); #53672=VECTOR('',#199377,10.); #53673=VECTOR('',#199384,10.); #53674=VECTOR('',#199391,10.); #53675=VECTOR('',#199398,10.); #53676=VECTOR('',#199405,10.); #53677=VECTOR('',#199412,10.); #53678=VECTOR('',#199419,10.); #53679=VECTOR('',#199426,10.); #53680=VECTOR('',#199433,10.); #53681=VECTOR('',#199438,10.); #53682=VECTOR('',#199439,10.); #53683=VECTOR('',#199440,10.); #53684=VECTOR('',#199443,10.); #53685=VECTOR('',#199444,10.); #53686=VECTOR('',#199445,10.); #53687=VECTOR('',#199450,10.); #53688=VECTOR('',#199457,10.); #53689=VECTOR('',#199464,10.); #53690=VECTOR('',#199471,10.); #53691=VECTOR('',#199476,10.); #53692=VECTOR('',#199477,10.); #53693=VECTOR('',#199478,10.); #53694=VECTOR('',#199481,10.); #53695=VECTOR('',#199482,10.); #53696=VECTOR('',#199483,10.); #53697=VECTOR('',#199488,10.); #53698=VECTOR('',#199495,10.); #53699=VECTOR('',#199502,10.); #53700=VECTOR('',#199509,10.); #53701=VECTOR('',#199516,10.); #53702=VECTOR('',#199523,10.); #53703=VECTOR('',#199538,10.); #53704=VECTOR('',#199541,10.); #53705=VECTOR('',#199546,10.); #53706=VECTOR('',#199553,10.); #53707=VECTOR('',#199560,10.); #53708=VECTOR('',#199565,10.); #53709=VECTOR('',#199566,10.); #53710=VECTOR('',#199567,10.); #53711=VECTOR('',#199572,10.); #53712=VECTOR('',#199579,10.); #53713=VECTOR('',#199586,10.); #53714=VECTOR('',#199593,10.); #53715=VECTOR('',#199598,10.); #53716=VECTOR('',#199599,10.); #53717=VECTOR('',#199606,10.); #53718=VECTOR('',#199609,10.); #53719=VECTOR('',#199614,10.); #53720=VECTOR('',#199619,10.); #53721=VECTOR('',#199620,10.); #53722=VECTOR('',#199621,10.); #53723=VECTOR('',#199626,10.); #53724=VECTOR('',#199633,10.); #53725=VECTOR('',#199640,10.); #53726=VECTOR('',#199647,10.); #53727=VECTOR('',#199652,10.); #53728=VECTOR('',#199653,10.); #53729=VECTOR('',#199654,10.); #53730=VECTOR('',#199657,10.); #53731=VECTOR('',#199658,10.); #53732=VECTOR('',#199659,10.); #53733=VECTOR('',#199662,10.); #53734=VECTOR('',#199663,10.); #53735=VECTOR('',#199664,10.); #53736=VECTOR('',#199669,10.); #53737=VECTOR('',#199676,10.); #53738=VECTOR('',#199683,10.); #53739=VECTOR('',#199690,10.); #53740=VECTOR('',#199697,10.); #53741=VECTOR('',#199702,10.); #53742=VECTOR('',#199703,10.); #53743=VECTOR('',#199704,10.); #53744=VECTOR('',#199707,10.); #53745=VECTOR('',#199708,10.); #53746=VECTOR('',#199709,10.); #53747=VECTOR('',#199712,10.); #53748=VECTOR('',#199713,10.); #53749=VECTOR('',#199714,10.); #53750=VECTOR('',#199719,10.); #53751=VECTOR('',#199732,10.); #53752=VECTOR('',#199733,10.); #53753=VECTOR('',#199734,10.); #53754=VECTOR('',#199735,10.); #53755=VECTOR('',#199738,10.); #53756=VECTOR('',#199739,10.); #53757=VECTOR('',#199740,10.); #53758=VECTOR('',#199743,10.); #53759=VECTOR('',#199744,10.); #53760=VECTOR('',#199745,10.); #53761=VECTOR('',#199748,10.); #53762=VECTOR('',#199749,10.); #53763=VECTOR('',#199750,10.); #53764=VECTOR('',#199753,10.); #53765=VECTOR('',#199754,10.); #53766=VECTOR('',#199755,10.); #53767=VECTOR('',#199758,10.); #53768=VECTOR('',#199759,10.); #53769=VECTOR('',#199760,10.); #53770=VECTOR('',#199763,10.); #53771=VECTOR('',#199764,10.); #53772=VECTOR('',#199765,10.); #53773=VECTOR('',#199768,10.); #53774=VECTOR('',#199769,10.); #53775=VECTOR('',#199770,10.); #53776=VECTOR('',#199775,10.); #53777=VECTOR('',#199776,10.); #53778=VECTOR('',#199777,10.); #53779=VECTOR('',#199778,10.); #53780=VECTOR('',#199781,10.); #53781=VECTOR('',#199782,10.); #53782=VECTOR('',#199783,10.); #53783=VECTOR('',#199786,10.); #53784=VECTOR('',#199787,10.); #53785=VECTOR('',#199788,10.); #53786=VECTOR('',#199791,10.); #53787=VECTOR('',#199792,10.); #53788=VECTOR('',#199793,10.); #53789=VECTOR('',#199796,10.); #53790=VECTOR('',#199797,10.); #53791=VECTOR('',#199798,10.); #53792=VECTOR('',#199801,10.); #53793=VECTOR('',#199802,10.); #53794=VECTOR('',#199805,10.); #53795=VECTOR('',#199806,10.); #53796=VECTOR('',#199807,10.); #53797=VECTOR('',#199810,10.); #53798=VECTOR('',#199811,10.); #53799=VECTOR('',#199816,10.); #53800=VECTOR('',#199817,10.); #53801=VECTOR('',#199818,10.); #53802=VECTOR('',#199819,10.); #53803=VECTOR('',#199822,10.); #53804=VECTOR('',#199823,10.); #53805=VECTOR('',#199824,10.); #53806=VECTOR('',#199827,10.); #53807=VECTOR('',#199828,10.); #53808=VECTOR('',#199829,10.); #53809=VECTOR('',#199832,10.); #53810=VECTOR('',#199833,10.); #53811=VECTOR('',#199836,10.); #53812=VECTOR('',#199837,10.); #53813=VECTOR('',#199838,10.); #53814=VECTOR('',#199839,10.); #53815=VECTOR('',#199842,10.); #53816=VECTOR('',#199843,10.); #53817=VECTOR('',#199844,10.); #53818=VECTOR('',#199847,10.); #53819=VECTOR('',#199848,10.); #53820=VECTOR('',#199849,10.); #53821=VECTOR('',#199852,10.); #53822=VECTOR('',#199853,10.); #53823=VECTOR('',#199858,10.); #53824=VECTOR('',#199859,10.); #53825=VECTOR('',#199860,10.); #53826=VECTOR('',#199861,10.); #53827=VECTOR('',#199864,10.); #53828=VECTOR('',#199865,10.); #53829=VECTOR('',#199866,10.); #53830=VECTOR('',#199869,10.); #53831=VECTOR('',#199870,10.); #53832=VECTOR('',#199871,10.); #53833=VECTOR('',#199874,10.); #53834=VECTOR('',#199875,10.); #53835=VECTOR('',#199880,10.); #53836=VECTOR('',#199881,10.); #53837=VECTOR('',#199882,10.); #53838=VECTOR('',#199883,10.); #53839=VECTOR('',#199886,10.); #53840=VECTOR('',#199887,10.); #53841=VECTOR('',#199888,10.); #53842=VECTOR('',#199891,10.); #53843=VECTOR('',#199892,10.); #53844=VECTOR('',#199893,10.); #53845=VECTOR('',#199896,10.); #53846=VECTOR('',#199897,10.); #53847=VECTOR('',#199902,10.); #53848=VECTOR('',#199903,10.); #53849=VECTOR('',#199904,10.); #53850=VECTOR('',#199905,10.); #53851=VECTOR('',#199910,10.); #53852=VECTOR('',#199915,10.); #53853=VECTOR('',#199916,10.); #53854=VECTOR('',#199917,10.); #53855=VECTOR('',#199920,10.); #53856=VECTOR('',#199921,10.); #53857=VECTOR('',#199922,10.); #53858=VECTOR('',#199925,10.); #53859=VECTOR('',#199926,10.); #53860=VECTOR('',#199927,10.); #53861=VECTOR('',#199930,10.); #53862=VECTOR('',#199931,10.); #53863=VECTOR('',#199932,10.); #53864=VECTOR('',#199935,10.); #53865=VECTOR('',#199936,10.); #53866=VECTOR('',#199937,10.); #53867=VECTOR('',#199940,10.); #53868=VECTOR('',#199941,10.); #53869=VECTOR('',#199942,10.); #53870=VECTOR('',#199945,10.); #53871=VECTOR('',#199946,10.); #53872=VECTOR('',#199947,10.); #53873=VECTOR('',#199950,10.); #53874=VECTOR('',#199951,10.); #53875=VECTOR('',#199952,10.); #53876=VECTOR('',#199957,10.); #53877=VECTOR('',#199962,10.); #53878=VECTOR('',#199963,10.); #53879=VECTOR('',#199964,10.); #53880=VECTOR('',#199967,10.); #53881=VECTOR('',#199968,10.); #53882=VECTOR('',#199969,10.); #53883=VECTOR('',#199974,10.); #53884=VECTOR('',#199981,10.); #53885=VECTOR('',#199986,10.); #53886=VECTOR('',#199987,10.); #53887=VECTOR('',#199988,10.); #53888=VECTOR('',#199993,10.); #53889=VECTOR('',#199998,10.); #53890=VECTOR('',#199999,10.); #53891=VECTOR('',#200000,10.); #53892=VECTOR('',#200003,10.); #53893=VECTOR('',#200004,10.); #53894=VECTOR('',#200005,10.); #53895=VECTOR('',#200008,10.); #53896=VECTOR('',#200009,10.); #53897=VECTOR('',#200010,10.); #53898=VECTOR('',#200013,10.); #53899=VECTOR('',#200014,10.); #53900=VECTOR('',#200015,10.); #53901=VECTOR('',#200018,10.); #53902=VECTOR('',#200019,10.); #53903=VECTOR('',#200020,10.); #53904=VECTOR('',#200023,10.); #53905=VECTOR('',#200024,10.); #53906=VECTOR('',#200025,10.); #53907=VECTOR('',#200028,10.); #53908=VECTOR('',#200029,10.); #53909=VECTOR('',#200030,10.); #53910=VECTOR('',#200033,10.); #53911=VECTOR('',#200034,10.); #53912=VECTOR('',#200035,10.); #53913=VECTOR('',#200040,10.); #53914=VECTOR('',#200045,10.); #53915=VECTOR('',#200046,10.); #53916=VECTOR('',#200047,10.); #53917=VECTOR('',#200050,10.); #53918=VECTOR('',#200051,10.); #53919=VECTOR('',#200052,10.); #53920=VECTOR('',#200055,10.); #53921=VECTOR('',#200056,10.); #53922=VECTOR('',#200061,10.); #53923=VECTOR('',#200062,10.); #53924=VECTOR('',#200063,10.); #53925=VECTOR('',#200064,10.); #53926=VECTOR('',#200067,10.); #53927=VECTOR('',#200068,10.); #53928=VECTOR('',#200069,10.); #53929=VECTOR('',#200072,10.); #53930=VECTOR('',#200073,10.); #53931=VECTOR('',#200074,10.); #53932=VECTOR('',#200077,10.); #53933=VECTOR('',#200078,10.); #53934=VECTOR('',#200079,10.); #53935=VECTOR('',#200082,10.); #53936=VECTOR('',#200083,10.); #53937=VECTOR('',#200084,10.); #53938=VECTOR('',#200087,10.); #53939=VECTOR('',#200088,10.); #53940=VECTOR('',#200089,10.); #53941=VECTOR('',#200092,10.); #53942=VECTOR('',#200093,10.); #53943=VECTOR('',#200094,10.); #53944=VECTOR('',#200097,10.); #53945=VECTOR('',#200098,10.); #53946=VECTOR('',#200099,10.); #53947=VECTOR('',#200104,10.); #53948=VECTOR('',#200111,10.); #53949=VECTOR('',#200116,10.); #53950=VECTOR('',#200117,10.); #53951=VECTOR('',#200118,10.); #53952=VECTOR('',#200123,10.); #53953=VECTOR('',#200130,10.); #53954=VECTOR('',#200137,10.); #53955=VECTOR('',#200142,10.); #53956=VECTOR('',#200143,10.); #53957=VECTOR('',#200144,10.); #53958=VECTOR('',#200147,10.); #53959=VECTOR('',#200148,10.); #53960=VECTOR('',#200149,10.); #53961=VECTOR('',#200152,10.); #53962=VECTOR('',#200153,10.); #53963=VECTOR('',#200154,10.); #53964=VECTOR('',#200157,10.); #53965=VECTOR('',#200158,10.); #53966=VECTOR('',#200159,10.); #53967=VECTOR('',#200162,10.); #53968=VECTOR('',#200163,10.); #53969=VECTOR('',#200164,10.); #53970=VECTOR('',#200169,10.); #53971=VECTOR('',#200174,10.); #53972=VECTOR('',#200175,10.); #53973=VECTOR('',#200176,10.); #53974=VECTOR('',#200181,10.); #53975=VECTOR('',#200188,10.); #53976=VECTOR('',#200195,10.); #53977=VECTOR('',#200200,10.); #53978=VECTOR('',#200201,10.); #53979=VECTOR('',#200202,10.); #53980=VECTOR('',#200207,10.); #53981=VECTOR('',#200212,10.); #53982=VECTOR('',#200213,10.); #53983=VECTOR('',#200214,10.); #53984=VECTOR('',#200217,10.); #53985=VECTOR('',#200218,10.); #53986=VECTOR('',#200219,10.); #53987=VECTOR('',#200222,10.); #53988=VECTOR('',#200223,10.); #53989=VECTOR('',#200224,10.); #53990=VECTOR('',#200229,10.); #53991=VECTOR('',#200234,10.); #53992=VECTOR('',#200235,10.); #53993=VECTOR('',#200236,10.); #53994=VECTOR('',#200239,10.); #53995=VECTOR('',#200240,10.); #53996=VECTOR('',#200241,10.); #53997=VECTOR('',#200244,10.); #53998=VECTOR('',#200245,10.); #53999=VECTOR('',#200246,10.); #54000=VECTOR('',#200249,10.); #54001=VECTOR('',#200250,10.); #54002=VECTOR('',#200257,10.); #54003=VECTOR('',#200260,10.); #54004=VECTOR('',#200263,10.); #54005=VECTOR('',#200264,10.); #54006=VECTOR('',#200265,10.); #54007=VECTOR('',#200268,10.); #54008=VECTOR('',#200269,10.); #54009=VECTOR('',#200270,10.); #54010=VECTOR('',#200273,10.); #54011=VECTOR('',#200274,10.); #54012=VECTOR('',#200275,10.); #54013=VECTOR('',#200278,10.); #54014=VECTOR('',#200279,10.); #54015=VECTOR('',#200280,10.); #54016=VECTOR('',#200285,10.); #54017=VECTOR('',#200292,10.); #54018=VECTOR('',#200297,10.); #54019=VECTOR('',#200298,10.); #54020=VECTOR('',#200299,10.); #54021=VECTOR('',#200302,10.); #54022=VECTOR('',#200303,10.); #54023=VECTOR('',#200304,10.); #54024=VECTOR('',#200309,10.); #54025=VECTOR('',#200314,10.); #54026=VECTOR('',#200315,10.); #54027=VECTOR('',#200316,10.); #54028=VECTOR('',#200321,10.); #54029=VECTOR('',#200328,10.); #54030=VECTOR('',#200333,10.); #54031=VECTOR('',#200334,10.); #54032=VECTOR('',#200335,10.); #54033=VECTOR('',#200338,10.); #54034=VECTOR('',#200339,10.); #54035=VECTOR('',#200340,10.); #54036=VECTOR('',#200345,10.); #54037=VECTOR('',#200352,10.); #54038=VECTOR('',#200359,10.); #54039=VECTOR('',#200364,10.); #54040=VECTOR('',#200365,10.); #54041=VECTOR('',#200366,10.); #54042=VECTOR('',#200371,10.); #54043=VECTOR('',#200376,10.); #54044=VECTOR('',#200377,10.); #54045=VECTOR('',#200378,10.); #54046=VECTOR('',#200381,10.); #54047=VECTOR('',#200382,10.); #54048=VECTOR('',#200383,10.); #54049=VECTOR('',#200388,10.); #54050=VECTOR('',#200393,10.); #54051=VECTOR('',#200394,10.); #54052=VECTOR('',#200395,10.); #54053=VECTOR('',#200398,10.); #54054=VECTOR('',#200399,10.); #54055=VECTOR('',#200400,10.); #54056=VECTOR('',#200405,10.); #54057=VECTOR('',#200410,10.); #54058=VECTOR('',#200411,10.); #54059=VECTOR('',#200412,10.); #54060=VECTOR('',#200415,10.); #54061=VECTOR('',#200416,10.); #54062=VECTOR('',#200417,10.); #54063=VECTOR('',#200422,10.); #54064=VECTOR('',#200427,10.); #54065=VECTOR('',#200428,10.); #54066=VECTOR('',#200429,10.); #54067=VECTOR('',#200432,10.); #54068=VECTOR('',#200433,10.); #54069=VECTOR('',#200434,10.); #54070=VECTOR('',#200439,10.); #54071=VECTOR('',#200444,10.); #54072=VECTOR('',#200445,10.); #54073=VECTOR('',#200446,10.); #54074=VECTOR('',#200449,10.); #54075=VECTOR('',#200450,10.); #54076=VECTOR('',#200451,10.); #54077=VECTOR('',#200456,10.); #54078=VECTOR('',#200463,10.); #54079=VECTOR('',#200468,10.); #54080=VECTOR('',#200469,10.); #54081=VECTOR('',#200470,10.); #54082=VECTOR('',#200473,10.); #54083=VECTOR('',#200474,10.); #54084=VECTOR('',#200475,10.); #54085=VECTOR('',#200488,10.); #54086=VECTOR('',#200491,10.); #54087=VECTOR('',#200496,10.); #54088=VECTOR('',#200503,10.); #54089=VECTOR('',#200508,10.); #54090=VECTOR('',#200509,10.); #54091=VECTOR('',#200510,10.); #54092=VECTOR('',#200515,10.); #54093=VECTOR('',#200522,10.); #54094=VECTOR('',#200529,10.); #54095=VECTOR('',#200536,10.); #54096=VECTOR('',#200543,10.); #54097=VECTOR('',#200554,10.); #54098=VECTOR('',#200555,10.); #54099=VECTOR('',#200556,10.); #54100=VECTOR('',#200557,10.); #54101=VECTOR('',#200562,10.); #54102=VECTOR('',#200569,10.); #54103=VECTOR('',#200576,10.); #54104=VECTOR('',#200583,10.); #54105=VECTOR('',#200590,10.); #54106=VECTOR('',#200597,10.); #54107=VECTOR('',#200604,10.); #54108=VECTOR('',#200611,10.); #54109=VECTOR('',#200618,10.); #54110=VECTOR('',#200623,10.); #54111=VECTOR('',#200624,10.); #54112=VECTOR('',#200629,10.); #54113=VECTOR('',#200630,10.); #54114=VECTOR('',#200631,10.); #54115=VECTOR('',#200632,10.); #54116=VECTOR('',#200637,10.); #54117=VECTOR('',#200644,10.); #54118=VECTOR('',#200649,10.); #54119=VECTOR('',#200650,10.); #54120=VECTOR('',#200651,10.); #54121=VECTOR('',#200656,10.); #54122=VECTOR('',#200663,10.); #54123=VECTOR('',#200668,10.); #54124=VECTOR('',#200669,10.); #54125=VECTOR('',#200670,10.); #54126=VECTOR('',#200675,10.); #54127=VECTOR('',#200680,10.); #54128=VECTOR('',#200681,10.); #54129=VECTOR('',#200682,10.); #54130=VECTOR('',#200685,10.); #54131=VECTOR('',#200686,10.); #54132=VECTOR('',#200687,10.); #54133=VECTOR('',#200690,10.); #54134=VECTOR('',#200691,10.); #54135=VECTOR('',#200692,10.); #54136=VECTOR('',#200697,10.); #54137=VECTOR('',#200702,10.); #54138=VECTOR('',#200703,10.); #54139=VECTOR('',#200704,10.); #54140=VECTOR('',#200707,10.); #54141=VECTOR('',#200708,10.); #54142=VECTOR('',#200709,10.); #54143=VECTOR('',#200712,10.); #54144=VECTOR('',#200713,10.); #54145=VECTOR('',#200714,10.); #54146=VECTOR('',#200717,10.); #54147=VECTOR('',#200718,10.); #54148=VECTOR('',#200719,10.); #54149=VECTOR('',#200724,10.); #54150=VECTOR('',#200729,10.); #54151=VECTOR('',#200730,10.); #54152=VECTOR('',#200731,10.); #54153=VECTOR('',#200734,10.); #54154=VECTOR('',#200735,10.); #54155=VECTOR('',#200736,10.); #54156=VECTOR('',#200741,10.); #54157=VECTOR('',#200748,10.); #54158=VECTOR('',#200753,10.); #54159=VECTOR('',#200754,10.); #54160=VECTOR('',#200755,10.); #54161=VECTOR('',#200758,10.); #54162=VECTOR('',#200759,10.); #54163=VECTOR('',#200760,10.); #54164=VECTOR('',#200763,10.); #54165=VECTOR('',#200764,10.); #54166=VECTOR('',#200765,10.); #54167=VECTOR('',#200768,10.); #54168=VECTOR('',#200769,10.); #54169=VECTOR('',#200770,10.); #54170=VECTOR('',#200775,10.); #54171=VECTOR('',#200782,10.); #54172=VECTOR('',#200789,10.); #54173=VECTOR('',#200794,10.); #54174=VECTOR('',#200795,10.); #54175=VECTOR('',#200796,10.); #54176=VECTOR('',#200801,10.); #54177=VECTOR('',#200808,10.); #54178=VECTOR('',#200815,10.); #54179=VECTOR('',#200820,10.); #54180=VECTOR('',#200821,10.); #54181=VECTOR('',#200822,10.); #54182=VECTOR('',#200825,10.); #54183=VECTOR('',#200826,10.); #54184=VECTOR('',#200827,10.); #54185=VECTOR('',#200830,10.); #54186=VECTOR('',#200831,10.); #54187=VECTOR('',#200832,10.); #54188=VECTOR('',#200835,10.); #54189=VECTOR('',#200836,10.); #54190=VECTOR('',#200837,10.); #54191=VECTOR('',#200840,10.); #54192=VECTOR('',#200841,10.); #54193=VECTOR('',#200842,10.); #54194=VECTOR('',#200845,10.); #54195=VECTOR('',#200846,10.); #54196=VECTOR('',#200847,10.); #54197=VECTOR('',#200850,10.); #54198=VECTOR('',#200851,10.); #54199=VECTOR('',#200852,10.); #54200=VECTOR('',#200855,10.); #54201=VECTOR('',#200856,10.); #54202=VECTOR('',#200857,10.); #54203=VECTOR('',#200860,10.); #54204=VECTOR('',#200861,10.); #54205=VECTOR('',#200862,10.); #54206=VECTOR('',#200865,10.); #54207=VECTOR('',#200866,10.); #54208=VECTOR('',#200867,10.); #54209=VECTOR('',#200872,10.); #54210=VECTOR('',#200877,10.); #54211=VECTOR('',#200878,10.); #54212=VECTOR('',#200879,10.); #54213=VECTOR('',#200882,10.); #54214=VECTOR('',#200883,10.); #54215=VECTOR('',#200884,10.); #54216=VECTOR('',#200887,10.); #54217=VECTOR('',#200888,10.); #54218=VECTOR('',#200889,10.); #54219=VECTOR('',#200900,10.); #54220=VECTOR('',#200901,10.); #54221=VECTOR('',#200902,10.); #54222=VECTOR('',#200903,10.); #54223=VECTOR('',#200906,10.); #54224=VECTOR('',#200907,10.); #54225=VECTOR('',#200908,10.); #54226=VECTOR('',#200911,10.); #54227=VECTOR('',#200912,10.); #54228=VECTOR('',#200913,10.); #54229=VECTOR('',#200918,10.); #54230=VECTOR('',#200925,10.); #54231=VECTOR('',#200932,10.); #54232=VECTOR('',#200939,10.); #54233=VECTOR('',#200944,10.); #54234=VECTOR('',#200945,10.); #54235=VECTOR('',#200946,10.); #54236=VECTOR('',#200949,10.); #54237=VECTOR('',#200950,10.); #54238=VECTOR('',#200951,10.); #54239=VECTOR('',#200954,10.); #54240=VECTOR('',#200955,10.); #54241=VECTOR('',#200956,10.); #54242=VECTOR('',#200961,10.); #54243=VECTOR('',#200968,10.); #54244=VECTOR('',#200975,10.); #54245=VECTOR('',#200982,10.); #54246=VECTOR('',#200987,10.); #54247=VECTOR('',#200988,10.); #54248=VECTOR('',#200989,10.); #54249=VECTOR('',#200992,10.); #54250=VECTOR('',#200993,10.); #54251=VECTOR('',#200994,10.); #54252=VECTOR('',#200997,10.); #54253=VECTOR('',#200998,10.); #54254=VECTOR('',#200999,10.); #54255=VECTOR('',#201002,10.); #54256=VECTOR('',#201003,10.); #54257=VECTOR('',#201004,10.); #54258=VECTOR('',#201009,10.); #54259=VECTOR('',#201016,10.); #54260=VECTOR('',#201023,10.); #54261=VECTOR('',#201030,10.); #54262=VECTOR('',#201035,10.); #54263=VECTOR('',#201036,10.); #54264=VECTOR('',#201037,10.); #54265=VECTOR('',#201042,10.); #54266=VECTOR('',#201049,10.); #54267=VECTOR('',#201056,10.); #54268=VECTOR('',#201063,10.); #54269=VECTOR('',#201068,10.); #54270=VECTOR('',#201069,10.); #54271=VECTOR('',#201076,10.); #54272=VECTOR('',#201079,10.); #54273=VECTOR('',#201082,10.); #54274=VECTOR('',#201083,10.); #54275=VECTOR('',#201084,10.); #54276=VECTOR('',#201089,10.); #54277=VECTOR('',#201096,10.); #54278=VECTOR('',#201103,10.); #54279=VECTOR('',#201110,10.); #54280=VECTOR('',#201115,10.); #54281=VECTOR('',#201116,10.); #54282=VECTOR('',#201117,10.); #54283=VECTOR('',#201120,10.); #54284=VECTOR('',#201121,10.); #54285=VECTOR('',#201122,10.); #54286=VECTOR('',#201125,10.); #54287=VECTOR('',#201126,10.); #54288=VECTOR('',#201127,10.); #54289=VECTOR('',#201132,10.); #54290=VECTOR('',#201139,10.); #54291=VECTOR('',#201146,10.); #54292=VECTOR('',#201153,10.); #54293=VECTOR('',#201158,10.); #54294=VECTOR('',#201159,10.); #54295=VECTOR('',#201160,10.); #54296=VECTOR('',#201165,10.); #54297=VECTOR('',#201172,10.); #54298=VECTOR('',#201179,10.); #54299=VECTOR('',#201186,10.); #54300=VECTOR('',#201191,10.); #54301=VECTOR('',#201192,10.); #54302=VECTOR('',#201193,10.); #54303=VECTOR('',#201196,10.); #54304=VECTOR('',#201197,10.); #54305=VECTOR('',#201198,10.); #54306=VECTOR('',#201201,10.); #54307=VECTOR('',#201202,10.); #54308=VECTOR('',#201203,10.); #54309=VECTOR('',#201206,10.); #54310=VECTOR('',#201207,10.); #54311=VECTOR('',#201208,10.); #54312=VECTOR('',#201213,10.); #54313=VECTOR('',#201220,10.); #54314=VECTOR('',#201227,10.); #54315=VECTOR('',#201234,10.); #54316=VECTOR('',#201239,10.); #54317=VECTOR('',#201240,10.); #54318=VECTOR('',#201241,10.); #54319=VECTOR('',#201244,10.); #54320=VECTOR('',#201245,10.); #54321=VECTOR('',#201246,10.); #54322=VECTOR('',#201251,10.); #54323=VECTOR('',#201258,10.); #54324=VECTOR('',#201265,10.); #54325=VECTOR('',#201272,10.); #54326=VECTOR('',#201277,10.); #54327=VECTOR('',#201278,10.); #54328=VECTOR('',#201279,10.); #54329=VECTOR('',#201282,10.); #54330=VECTOR('',#201283,10.); #54331=VECTOR('',#201284,10.); #54332=VECTOR('',#201287,10.); #54333=VECTOR('',#201288,10.); #54334=VECTOR('',#201289,10.); #54335=VECTOR('',#201294,10.); #54336=VECTOR('',#201301,10.); #54337=VECTOR('',#201316,10.); #54338=VECTOR('',#201319,10.); #54339=VECTOR('',#201324,10.); #54340=VECTOR('',#201331,10.); #54341=VECTOR('',#201338,10.); #54342=VECTOR('',#201343,10.); #54343=VECTOR('',#201344,10.); #54344=VECTOR('',#201345,10.); #54345=VECTOR('',#201350,10.); #54346=VECTOR('',#201357,10.); #54347=VECTOR('',#201364,10.); #54348=VECTOR('',#201371,10.); #54349=VECTOR('',#201376,10.); #54350=VECTOR('',#201377,10.); #54351=VECTOR('',#201382,10.); #54352=VECTOR('',#201383,10.); #54353=VECTOR('',#201384,10.); #54354=VECTOR('',#201385,10.); #54355=VECTOR('',#201388,10.); #54356=VECTOR('',#201389,10.); #54357=VECTOR('',#201390,10.); #54358=VECTOR('',#201393,10.); #54359=VECTOR('',#201394,10.); #54360=VECTOR('',#201395,10.); #54361=VECTOR('',#201398,10.); #54362=VECTOR('',#201399,10.); #54363=VECTOR('',#201400,10.); #54364=VECTOR('',#201405,10.); #54365=VECTOR('',#201412,10.); #54366=VECTOR('',#201419,10.); #54367=VECTOR('',#201426,10.); #54368=VECTOR('',#201433,10.); #54369=VECTOR('',#201440,10.); #54370=VECTOR('',#201445,10.); #54371=VECTOR('',#201446,10.); #54372=VECTOR('',#201447,10.); #54373=VECTOR('',#201452,10.); #54374=VECTOR('',#201459,10.); #54375=VECTOR('',#201466,10.); #54376=VECTOR('',#201473,10.); #54377=VECTOR('',#201480,10.); #54378=VECTOR('',#201487,10.); #54379=VECTOR('',#201492,10.); #54380=VECTOR('',#201493,10.); #54381=VECTOR('',#201494,10.); #54382=VECTOR('',#201497,10.); #54383=VECTOR('',#201498,10.); #54384=VECTOR('',#201499,10.); #54385=VECTOR('',#201502,10.); #54386=VECTOR('',#201503,10.); #54387=VECTOR('',#201504,10.); #54388=VECTOR('',#201507,10.); #54389=VECTOR('',#201508,10.); #54390=VECTOR('',#201509,10.); #54391=VECTOR('',#201512,10.); #54392=VECTOR('',#201513,10.); #54393=VECTOR('',#201514,10.); #54394=VECTOR('',#201519,10.); #54395=VECTOR('',#201526,10.); #54396=VECTOR('',#201533,10.); #54397=VECTOR('',#201540,10.); #54398=VECTOR('',#201547,10.); #54399=VECTOR('',#201554,10.); #54400=VECTOR('',#201559,10.); #54401=VECTOR('',#201560,10.); #54402=VECTOR('',#201561,10.); #54403=VECTOR('',#201566,10.); #54404=VECTOR('',#201573,10.); #54405=VECTOR('',#201580,10.); #54406=VECTOR('',#201587,10.); #54407=VECTOR('',#201594,10.); #54408=VECTOR('',#201601,10.); #54409=VECTOR('',#201606,10.); #54410=VECTOR('',#201607,10.); #54411=VECTOR('',#201614,10.); #54412=VECTOR('',#201617,10.); #54413=VECTOR('',#201620,10.); #54414=VECTOR('',#201621,10.); #54415=VECTOR('',#201622,10.); #54416=VECTOR('',#201627,10.); #54417=VECTOR('',#201634,10.); #54418=VECTOR('',#201641,10.); #54419=VECTOR('',#201648,10.); #54420=VECTOR('',#201655,10.); #54421=VECTOR('',#201662,10.); #54422=VECTOR('',#201667,10.); #54423=VECTOR('',#201668,10.); #54424=VECTOR('',#201669,10.); #54425=VECTOR('',#201674,10.); #54426=VECTOR('',#201681,10.); #54427=VECTOR('',#201688,10.); #54428=VECTOR('',#201695,10.); #54429=VECTOR('',#201708,10.); #54430=VECTOR('',#201711,10.); #54431=VECTOR('',#201714,10.); #54432=VECTOR('',#201715,10.); #54433=VECTOR('',#201716,10.); #54434=VECTOR('',#201721,10.); #54435=VECTOR('',#201728,10.); #54436=VECTOR('',#201735,10.); #54437=VECTOR('',#201742,10.); #54438=VECTOR('',#201749,10.); #54439=VECTOR('',#201756,10.); #54440=VECTOR('',#201763,10.); #54441=VECTOR('',#201768,10.); #54442=VECTOR('',#201769,10.); #54443=VECTOR('',#201770,10.); #54444=VECTOR('',#201775,10.); #54445=VECTOR('',#201782,10.); #54446=VECTOR('',#201789,10.); #54447=VECTOR('',#201796,10.); #54448=VECTOR('',#201803,10.); #54449=VECTOR('',#201818,10.); #54450=VECTOR('',#201821,10.); #54451=VECTOR('',#201826,10.); #54452=VECTOR('',#201833,10.); #54453=VECTOR('',#201840,10.); #54454=VECTOR('',#201847,10.); #54455=VECTOR('',#201854,10.); #54456=VECTOR('',#201861,10.); #54457=VECTOR('',#201868,10.); #54458=VECTOR('',#201875,10.); #54459=VECTOR('',#201880,10.); #54460=VECTOR('',#201881,10.); #54461=VECTOR('',#201882,10.); #54462=VECTOR('',#201885,10.); #54463=VECTOR('',#201886,10.); #54464=VECTOR('',#201887,10.); #54465=VECTOR('',#201890,10.); #54466=VECTOR('',#201891,10.); #54467=VECTOR('',#201892,10.); #54468=VECTOR('',#201897,10.); #54469=VECTOR('',#201904,10.); #54470=VECTOR('',#201911,10.); #54471=VECTOR('',#201918,10.); #54472=VECTOR('',#201923,10.); #54473=VECTOR('',#201924,10.); #54474=VECTOR('',#201925,10.); #54475=VECTOR('',#201928,10.); #54476=VECTOR('',#201929,10.); #54477=VECTOR('',#201930,10.); #54478=VECTOR('',#201933,10.); #54479=VECTOR('',#201934,10.); #54480=VECTOR('',#201935,10.); #54481=VECTOR('',#201940,10.); #54482=VECTOR('',#201947,10.); #54483=VECTOR('',#201954,10.); #54484=VECTOR('',#201961,10.); #54485=VECTOR('',#201968,10.); #54486=VECTOR('',#201975,10.); #54487=VECTOR('',#201982,10.); #54488=VECTOR('',#201989,10.); #54489=VECTOR('',#201996,10.); #54490=VECTOR('',#202003,10.); #54491=VECTOR('',#202010,10.); #54492=VECTOR('',#202025,10.); #54493=VECTOR('',#202028,10.); #54494=VECTOR('',#202033,10.); #54495=VECTOR('',#202040,10.); #54496=VECTOR('',#202045,10.); #54497=VECTOR('',#202046,10.); #54498=VECTOR('',#202047,10.); #54499=VECTOR('',#202052,10.); #54500=VECTOR('',#202059,10.); #54501=VECTOR('',#202066,10.); #54502=VECTOR('',#202073,10.); #54503=VECTOR('',#202080,10.); #54504=VECTOR('',#202087,10.); #54505=VECTOR('',#202092,10.); #54506=VECTOR('',#202093,10.); #54507=VECTOR('',#202094,10.); #54508=VECTOR('',#202099,10.); #54509=VECTOR('',#202106,10.); #54510=VECTOR('',#202119,10.); #54511=VECTOR('',#202122,10.); #54512=VECTOR('',#202127,10.); #54513=VECTOR('',#202132,10.); #54514=VECTOR('',#202133,10.); #54515=VECTOR('',#202134,10.); #54516=VECTOR('',#202139,10.); #54517=VECTOR('',#202146,10.); #54518=VECTOR('',#202153,10.); #54519=VECTOR('',#202160,10.); #54520=VECTOR('',#202167,10.); #54521=VECTOR('',#202174,10.); #54522=VECTOR('',#202181,10.); #54523=VECTOR('',#202186,10.); #54524=VECTOR('',#202187,10.); #54525=VECTOR('',#202188,10.); #54526=VECTOR('',#202193,10.); #54527=VECTOR('',#202200,10.); #54528=VECTOR('',#202207,10.); #54529=VECTOR('',#202214,10.); #54530=VECTOR('',#202229,10.); #54531=VECTOR('',#202232,10.); #54532=VECTOR('',#202237,10.); #54533=VECTOR('',#202242,10.); #54534=VECTOR('',#202243,10.); #54535=VECTOR('',#202244,10.); #54536=VECTOR('',#202249,10.); #54537=VECTOR('',#202256,10.); #54538=VECTOR('',#202263,10.); #54539=VECTOR('',#202270,10.); #54540=VECTOR('',#202275,10.); #54541=VECTOR('',#202276,10.); #54542=VECTOR('',#202277,10.); #54543=VECTOR('',#202280,10.); #54544=VECTOR('',#202281,10.); #54545=VECTOR('',#202282,10.); #54546=VECTOR('',#202285,10.); #54547=VECTOR('',#202286,10.); #54548=VECTOR('',#202287,10.); #54549=VECTOR('',#202292,10.); #54550=VECTOR('',#202299,10.); #54551=VECTOR('',#202306,10.); #54552=VECTOR('',#202313,10.); #54553=VECTOR('',#202320,10.); #54554=VECTOR('',#202325,10.); #54555=VECTOR('',#202326,10.); #54556=VECTOR('',#202327,10.); #54557=VECTOR('',#202330,10.); #54558=VECTOR('',#202331,10.); #54559=VECTOR('',#202332,10.); #54560=VECTOR('',#202335,10.); #54561=VECTOR('',#202336,10.); #54562=VECTOR('',#202337,10.); #54563=VECTOR('',#202342,10.); #54564=VECTOR('',#202357,10.); #54565=VECTOR('',#202360,10.); #54566=VECTOR('',#202363,10.); #54567=VECTOR('',#202366,10.); #54568=VECTOR('',#202407,10.); #54569=VECTOR('',#202408,10.); #54570=VECTOR('',#202409,10.); #54571=VECTOR('',#202414,10.); #54572=VECTOR('',#202417,10.); #54573=VECTOR('',#202418,10.); #54574=VECTOR('',#202423,10.); #54575=VECTOR('',#202426,10.); #54576=VECTOR('',#202427,10.); #54577=VECTOR('',#202432,10.); #54578=VECTOR('',#202435,10.); #54579=VECTOR('',#202436,10.); #54580=VECTOR('',#202453,1000.); #54581=VECTOR('',#202454,1000.); #54582=VECTOR('',#202455,1000.); #54583=VECTOR('',#202456,1000.); #54584=VECTOR('',#202457,1000.); #54585=VECTOR('',#202458,1000.); #54586=VECTOR('',#202459,1000.); #54587=VECTOR('',#202460,1000.); #54588=VECTOR('',#202461,1000.); #54589=VECTOR('',#202462,1000.); #54590=VECTOR('',#202463,1000.); #54591=VECTOR('',#202464,1000.); #54592=VECTOR('',#202465,1000.); #54593=VECTOR('',#202466,1000.); #54594=VECTOR('',#202467,1000.); #54595=VECTOR('',#202468,1000.); #54596=VECTOR('',#202469,1000.); #54597=VECTOR('',#202470,1000.); #54598=VECTOR('',#202471,1000.); #54599=VECTOR('',#202472,1000.); #54600=VECTOR('',#202473,1000.); #54601=VECTOR('',#202474,1000.); #54602=VECTOR('',#202475,1000.); #54603=VECTOR('',#202476,1000.); #54604=VECTOR('',#202477,1000.); #54605=VECTOR('',#202478,1000.); #54606=VECTOR('',#202479,1000.); #54607=VECTOR('',#202480,1000.); #54608=VECTOR('',#202481,1000.); #54609=VECTOR('',#202482,1000.); #54610=VECTOR('',#202483,1000.); #54611=VECTOR('',#202484,1000.); #54612=VECTOR('',#202485,1000.); #54613=VECTOR('',#202486,1000.); #54614=VECTOR('',#202487,1000.); #54615=VECTOR('',#202488,1000.); #54616=VECTOR('',#202489,1000.); #54617=VECTOR('',#202490,1000.); #54618=VECTOR('',#202491,1000.); #54619=VECTOR('',#202492,1000.); #54620=VECTOR('',#202493,1000.); #54621=VECTOR('',#202494,1000.); #54622=VECTOR('',#202495,1000.); #54623=VECTOR('',#202496,1000.); #54624=VECTOR('',#202497,1000.); #54625=VECTOR('',#202498,1000.); #54626=VECTOR('',#202499,1000.); #54627=VECTOR('',#202500,1000.); #54628=VECTOR('',#202501,1000.); #54629=VECTOR('',#202502,1000.); #54630=VECTOR('',#202503,1000.); #54631=VECTOR('',#202504,1000.); #54632=VECTOR('',#202505,1000.); #54633=VECTOR('',#202506,1000.); #54634=VECTOR('',#202507,1000.); #54635=VECTOR('',#202508,1000.); #54636=VECTOR('',#202509,1000.); #54637=VECTOR('',#202510,1000.); #54638=VECTOR('',#202511,1000.); #54639=VECTOR('',#202512,1000.); #54640=VECTOR('',#202513,1000.); #54641=VECTOR('',#202514,1000.); #54642=VECTOR('',#202515,1000.); #54643=VECTOR('',#202516,1000.); #54644=VECTOR('',#202517,1000.); #54645=VECTOR('',#202518,1000.); #54646=VECTOR('',#202519,1000.); #54647=VECTOR('',#202520,1000.); #54648=VECTOR('',#202521,1000.); #54649=VECTOR('',#202522,1000.); #54650=VECTOR('',#202523,1000.); #54651=VECTOR('',#202524,1000.); #54652=VECTOR('',#202525,1000.); #54653=VECTOR('',#202526,1000.); #54654=VECTOR('',#202527,1000.); #54655=VECTOR('',#202528,1000.); #54656=VECTOR('',#202529,1000.); #54657=VECTOR('',#202530,1000.); #54658=VECTOR('',#202531,1000.); #54659=VECTOR('',#202532,1000.); #54660=VECTOR('',#202533,1000.); #54661=VECTOR('',#202534,1000.); #54662=VECTOR('',#202535,1000.); #54663=VECTOR('',#202536,1000.); #54664=VECTOR('',#202537,1000.); #54665=VECTOR('',#202538,1000.); #54666=VECTOR('',#202539,1000.); #54667=VECTOR('',#202540,1000.); #54668=VECTOR('',#202541,1000.); #54669=VECTOR('',#202542,1000.); #54670=VECTOR('',#202543,1000.); #54671=VECTOR('',#202544,1000.); #54672=VECTOR('',#202545,1000.); #54673=VECTOR('',#202546,1000.); #54674=VECTOR('',#202547,1000.); #54675=VECTOR('',#202548,1000.); #54676=VECTOR('',#202549,1000.); #54677=VECTOR('',#202550,1000.); #54678=VECTOR('',#202551,1000.); #54679=VECTOR('',#202552,1000.); #54680=VECTOR('',#202553,1000.); #54681=VECTOR('',#202554,1000.); #54682=VECTOR('',#202555,1000.); #54683=VECTOR('',#202556,1000.); #54684=VECTOR('',#202557,1000.); #54685=VECTOR('',#202558,1000.); #54686=VECTOR('',#202559,1000.); #54687=VECTOR('',#202560,1000.); #54688=VECTOR('',#202561,1000.); #54689=VECTOR('',#202562,1000.); #54690=VECTOR('',#202563,1000.); #54691=VECTOR('',#202564,1000.); #54692=VECTOR('',#202565,1000.); #54693=VECTOR('',#202566,1000.); #54694=VECTOR('',#202567,1000.); #54695=VECTOR('',#202568,1000.); #54696=VECTOR('',#202569,1000.); #54697=VECTOR('',#202570,1000.); #54698=VECTOR('',#202571,1000.); #54699=VECTOR('',#202572,1000.); #54700=VECTOR('',#202573,1000.); #54701=VECTOR('',#202574,1000.); #54702=VECTOR('',#202575,1000.); #54703=VECTOR('',#202576,1000.); #54704=VECTOR('',#202577,1000.); #54705=VECTOR('',#202578,1000.); #54706=VECTOR('',#202579,1000.); #54707=VECTOR('',#202580,1000.); #54708=VECTOR('',#202581,1000.); #54709=VECTOR('',#202582,1000.); #54710=VECTOR('',#202583,1000.); #54711=VECTOR('',#202584,1000.); #54712=VECTOR('',#202585,1000.); #54713=VECTOR('',#202586,1000.); #54714=VECTOR('',#202587,1000.); #54715=VECTOR('',#202588,1000.); #54716=VECTOR('',#202591,1000.); #54717=VECTOR('',#202592,1000.); #54718=VECTOR('',#202593,1000.); #54719=VECTOR('',#202594,1000.); #54720=VECTOR('',#202595,1000.); #54721=VECTOR('',#202596,1000.); #54722=VECTOR('',#202597,1000.); #54723=VECTOR('',#202598,1000.); #54724=VECTOR('',#202599,1000.); #54725=VECTOR('',#202600,1000.); #54726=VECTOR('',#202601,1000.); #54727=VECTOR('',#202604,1000.); #54728=VECTOR('',#202605,1000.); #54729=VECTOR('',#202606,1000.); #54730=VECTOR('',#202607,1000.); #54731=VECTOR('',#202608,1000.); #54732=VECTOR('',#202609,1000.); #54733=VECTOR('',#202610,1000.); #54734=VECTOR('',#202611,1000.); #54735=VECTOR('',#202612,1000.); #54736=VECTOR('',#202613,1000.); #54737=VECTOR('',#202614,1000.); #54738=VECTOR('',#202617,1000.); #54739=VECTOR('',#202618,1000.); #54740=VECTOR('',#202619,1000.); #54741=VECTOR('',#202620,1000.); #54742=VECTOR('',#202621,1000.); #54743=VECTOR('',#202622,1000.); #54744=VECTOR('',#202623,1000.); #54745=VECTOR('',#202624,1000.); #54746=VECTOR('',#202625,1000.); #54747=VECTOR('',#202626,1000.); #54748=VECTOR('',#202627,1000.); #54749=VECTOR('',#202630,1000.); #54750=VECTOR('',#202631,1000.); #54751=VECTOR('',#202632,1000.); #54752=VECTOR('',#202633,1000.); #54753=VECTOR('',#202634,1000.); #54754=VECTOR('',#202635,1000.); #54755=VECTOR('',#202636,1000.); #54756=VECTOR('',#202637,1000.); #54757=VECTOR('',#202638,1000.); #54758=VECTOR('',#202639,1000.); #54759=VECTOR('',#202640,1000.); #54760=VECTOR('',#202643,1000.); #54761=VECTOR('',#202644,1000.); #54762=VECTOR('',#202645,1000.); #54763=VECTOR('',#202646,1000.); #54764=VECTOR('',#202647,1000.); #54765=VECTOR('',#202648,1000.); #54766=VECTOR('',#202649,1000.); #54767=VECTOR('',#202650,1000.); #54768=VECTOR('',#202651,1000.); #54769=VECTOR('',#202652,1000.); #54770=VECTOR('',#202653,1000.); #54771=VECTOR('',#202656,1000.); #54772=VECTOR('',#202657,1000.); #54773=VECTOR('',#202658,1000.); #54774=VECTOR('',#202659,1000.); #54775=VECTOR('',#202660,1000.); #54776=VECTOR('',#202661,1000.); #54777=VECTOR('',#202662,1000.); #54778=VECTOR('',#202663,1000.); #54779=VECTOR('',#202664,1000.); #54780=VECTOR('',#202665,1000.); #54781=VECTOR('',#202666,1000.); #54782=VECTOR('',#202669,1000.); #54783=VECTOR('',#202670,1000.); #54784=VECTOR('',#202671,1000.); #54785=VECTOR('',#202672,1000.); #54786=VECTOR('',#202673,1000.); #54787=VECTOR('',#202674,1000.); #54788=VECTOR('',#202675,1000.); #54789=VECTOR('',#202676,1000.); #54790=VECTOR('',#202677,1000.); #54791=VECTOR('',#202678,1000.); #54792=VECTOR('',#202679,1000.); #54793=VECTOR('',#202682,1000.); #54794=VECTOR('',#202683,1000.); #54795=VECTOR('',#202684,1000.); #54796=VECTOR('',#202685,1000.); #54797=VECTOR('',#202686,1000.); #54798=VECTOR('',#202687,1000.); #54799=VECTOR('',#202688,1000.); #54800=VECTOR('',#202689,1000.); #54801=VECTOR('',#202690,1000.); #54802=VECTOR('',#202691,1000.); #54803=VECTOR('',#202692,1000.); #54804=VECTOR('',#202695,1000.); #54805=VECTOR('',#202696,1000.); #54806=VECTOR('',#202697,1000.); #54807=VECTOR('',#202698,1000.); #54808=VECTOR('',#202699,1000.); #54809=VECTOR('',#202700,1000.); #54810=VECTOR('',#202701,1000.); #54811=VECTOR('',#202702,1000.); #54812=VECTOR('',#202703,1000.); #54813=VECTOR('',#202704,1000.); #54814=VECTOR('',#202705,1000.); #54815=VECTOR('',#202708,1000.); #54816=VECTOR('',#202709,1000.); #54817=VECTOR('',#202710,1000.); #54818=VECTOR('',#202711,1000.); #54819=VECTOR('',#202712,1000.); #54820=VECTOR('',#202713,1000.); #54821=VECTOR('',#202714,1000.); #54822=VECTOR('',#202715,1000.); #54823=VECTOR('',#202716,1000.); #54824=VECTOR('',#202717,1000.); #54825=VECTOR('',#202718,1000.); #54826=VECTOR('',#202721,1000.); #54827=VECTOR('',#202722,1000.); #54828=VECTOR('',#202723,1000.); #54829=VECTOR('',#202724,1000.); #54830=VECTOR('',#202725,1000.); #54831=VECTOR('',#202726,1000.); #54832=VECTOR('',#202727,1000.); #54833=VECTOR('',#202728,1000.); #54834=VECTOR('',#202729,1000.); #54835=VECTOR('',#202730,1000.); #54836=VECTOR('',#202731,1000.); #54837=VECTOR('',#202734,1000.); #54838=VECTOR('',#202735,1000.); #54839=VECTOR('',#202736,1000.); #54840=VECTOR('',#202737,1000.); #54841=VECTOR('',#202738,1000.); #54842=VECTOR('',#202739,1000.); #54843=VECTOR('',#202740,1000.); #54844=VECTOR('',#202741,1000.); #54845=VECTOR('',#202742,1000.); #54846=VECTOR('',#202743,1000.); #54847=VECTOR('',#202744,1000.); #54848=VECTOR('',#202747,1000.); #54849=VECTOR('',#202748,1000.); #54850=VECTOR('',#202749,1000.); #54851=VECTOR('',#202750,1000.); #54852=VECTOR('',#202751,1000.); #54853=VECTOR('',#202752,1000.); #54854=VECTOR('',#202753,1000.); #54855=VECTOR('',#202754,1000.); #54856=VECTOR('',#202755,1000.); #54857=VECTOR('',#202756,1000.); #54858=VECTOR('',#202757,1000.); #54859=VECTOR('',#202760,1000.); #54860=VECTOR('',#202761,1000.); #54861=VECTOR('',#202762,1000.); #54862=VECTOR('',#202763,1000.); #54863=VECTOR('',#202764,1000.); #54864=VECTOR('',#202765,1000.); #54865=VECTOR('',#202766,1000.); #54866=VECTOR('',#202767,1000.); #54867=VECTOR('',#202768,1000.); #54868=VECTOR('',#202769,1000.); #54869=VECTOR('',#202770,1000.); #54870=VECTOR('',#202773,1000.); #54871=VECTOR('',#202774,1000.); #54872=VECTOR('',#202775,1000.); #54873=VECTOR('',#202776,1000.); #54874=VECTOR('',#202777,1000.); #54875=VECTOR('',#202778,1000.); #54876=VECTOR('',#202779,1000.); #54877=VECTOR('',#202780,1000.); #54878=VECTOR('',#202781,1000.); #54879=VECTOR('',#202782,1000.); #54880=VECTOR('',#202783,1000.); #54881=VECTOR('',#202786,1000.); #54882=VECTOR('',#202787,1000.); #54883=VECTOR('',#202788,1000.); #54884=VECTOR('',#202789,1000.); #54885=VECTOR('',#202790,1000.); #54886=VECTOR('',#202791,1000.); #54887=VECTOR('',#202792,1000.); #54888=VECTOR('',#202795,1000.); #54889=VECTOR('',#202796,1000.); #54890=VECTOR('',#202797,1000.); #54891=VECTOR('',#202798,1000.); #54892=VECTOR('',#202799,1000.); #54893=VECTOR('',#202800,1000.); #54894=VECTOR('',#202801,1000.); #54895=VECTOR('',#202802,1000.); #54896=VECTOR('',#202803,1000.); #54897=VECTOR('',#202804,1000.); #54898=VECTOR('',#202805,1000.); #54899=VECTOR('',#202808,1000.); #54900=VECTOR('',#202809,1000.); #54901=VECTOR('',#202810,1000.); #54902=VECTOR('',#202811,1000.); #54903=VECTOR('',#202812,1000.); #54904=VECTOR('',#202813,1000.); #54905=VECTOR('',#202814,1000.); #54906=VECTOR('',#202815,1000.); #54907=VECTOR('',#202816,1000.); #54908=VECTOR('',#202817,1000.); #54909=VECTOR('',#202818,1000.); #54910=VECTOR('',#202821,1000.); #54911=VECTOR('',#202822,1000.); #54912=VECTOR('',#202823,1000.); #54913=VECTOR('',#202824,1000.); #54914=VECTOR('',#202825,1000.); #54915=VECTOR('',#202826,1000.); #54916=VECTOR('',#202827,1000.); #54917=VECTOR('',#202828,1000.); #54918=VECTOR('',#202829,1000.); #54919=VECTOR('',#202830,1000.); #54920=VECTOR('',#202831,1000.); #54921=VECTOR('',#202834,1000.); #54922=VECTOR('',#202835,1000.); #54923=VECTOR('',#202836,1000.); #54924=VECTOR('',#202837,1000.); #54925=VECTOR('',#202838,1000.); #54926=VECTOR('',#202839,1000.); #54927=VECTOR('',#202840,1000.); #54928=VECTOR('',#202841,1000.); #54929=VECTOR('',#202842,1000.); #54930=VECTOR('',#202843,1000.); #54931=VECTOR('',#202844,1000.); #54932=VECTOR('',#202847,1000.); #54933=VECTOR('',#202848,1000.); #54934=VECTOR('',#202849,1000.); #54935=VECTOR('',#202850,1000.); #54936=VECTOR('',#202851,1000.); #54937=VECTOR('',#202852,1000.); #54938=VECTOR('',#202853,1000.); #54939=VECTOR('',#202854,1000.); #54940=VECTOR('',#202855,1000.); #54941=VECTOR('',#202856,1000.); #54942=VECTOR('',#202857,1000.); #54943=VECTOR('',#202860,1000.); #54944=VECTOR('',#202861,1000.); #54945=VECTOR('',#202862,1000.); #54946=VECTOR('',#202863,1000.); #54947=VECTOR('',#202864,1000.); #54948=VECTOR('',#202865,1000.); #54949=VECTOR('',#202866,1000.); #54950=VECTOR('',#202867,1000.); #54951=VECTOR('',#202868,1000.); #54952=VECTOR('',#202869,1000.); #54953=VECTOR('',#202870,1000.); #54954=VECTOR('',#202873,1000.); #54955=VECTOR('',#202874,1000.); #54956=VECTOR('',#202875,1000.); #54957=VECTOR('',#202876,1000.); #54958=VECTOR('',#202877,1000.); #54959=VECTOR('',#202878,1000.); #54960=VECTOR('',#202879,1000.); #54961=VECTOR('',#202880,1000.); #54962=VECTOR('',#202881,1000.); #54963=VECTOR('',#202882,1000.); #54964=VECTOR('',#202883,1000.); #54965=VECTOR('',#202886,1000.); #54966=VECTOR('',#202887,1000.); #54967=VECTOR('',#202888,1000.); #54968=VECTOR('',#202889,1000.); #54969=VECTOR('',#202890,1000.); #54970=VECTOR('',#202891,1000.); #54971=VECTOR('',#202892,1000.); #54972=VECTOR('',#202893,1000.); #54973=VECTOR('',#202894,1000.); #54974=VECTOR('',#202895,1000.); #54975=VECTOR('',#202896,1000.); #54976=VECTOR('',#202899,1000.); #54977=VECTOR('',#202900,1000.); #54978=VECTOR('',#202901,1000.); #54979=VECTOR('',#202902,1000.); #54980=VECTOR('',#202903,1000.); #54981=VECTOR('',#202904,1000.); #54982=VECTOR('',#202905,1000.); #54983=VECTOR('',#202906,1000.); #54984=VECTOR('',#202907,1000.); #54985=VECTOR('',#202908,1000.); #54986=VECTOR('',#202909,1000.); #54987=VECTOR('',#202912,1000.); #54988=VECTOR('',#202913,1000.); #54989=VECTOR('',#202914,1000.); #54990=VECTOR('',#202915,1000.); #54991=VECTOR('',#202916,1000.); #54992=VECTOR('',#202917,1000.); #54993=VECTOR('',#202918,1000.); #54994=VECTOR('',#202919,1000.); #54995=VECTOR('',#202920,1000.); #54996=VECTOR('',#202921,1000.); #54997=VECTOR('',#202922,1000.); #54998=VECTOR('',#202925,1000.); #54999=VECTOR('',#202926,1000.); #55000=VECTOR('',#202927,1000.); #55001=VECTOR('',#202928,1000.); #55002=VECTOR('',#202929,1000.); #55003=VECTOR('',#202930,1000.); #55004=VECTOR('',#202931,1000.); #55005=VECTOR('',#202934,1000.); #55006=VECTOR('',#202935,1000.); #55007=VECTOR('',#202936,1000.); #55008=VECTOR('',#202937,1000.); #55009=VECTOR('',#202938,1000.); #55010=VECTOR('',#202939,1000.); #55011=VECTOR('',#202940,1000.); #55012=VECTOR('',#202941,1000.); #55013=VECTOR('',#202942,1000.); #55014=VECTOR('',#202943,1000.); #55015=VECTOR('',#202944,1000.); #55016=VECTOR('',#202947,1000.); #55017=VECTOR('',#202948,1000.); #55018=VECTOR('',#202949,1000.); #55019=VECTOR('',#202950,1000.); #55020=VECTOR('',#202951,1000.); #55021=VECTOR('',#202952,1000.); #55022=VECTOR('',#202953,1000.); #55023=VECTOR('',#202954,1000.); #55024=VECTOR('',#202955,1000.); #55025=VECTOR('',#202956,1000.); #55026=VECTOR('',#202957,1000.); #55027=VECTOR('',#202960,1000.); #55028=VECTOR('',#202961,1000.); #55029=VECTOR('',#202962,1000.); #55030=VECTOR('',#202963,1000.); #55031=VECTOR('',#202964,1000.); #55032=VECTOR('',#202965,1000.); #55033=VECTOR('',#202966,1000.); #55034=VECTOR('',#202967,1000.); #55035=VECTOR('',#202968,1000.); #55036=VECTOR('',#202969,1000.); #55037=VECTOR('',#202970,1000.); #55038=VECTOR('',#202973,1000.); #55039=VECTOR('',#202974,1000.); #55040=VECTOR('',#202975,1000.); #55041=VECTOR('',#202976,1000.); #55042=VECTOR('',#202977,1000.); #55043=VECTOR('',#202978,1000.); #55044=VECTOR('',#202979,1000.); #55045=VECTOR('',#202980,1000.); #55046=VECTOR('',#202981,1000.); #55047=VECTOR('',#202982,1000.); #55048=VECTOR('',#202983,1000.); #55049=VECTOR('',#202986,1000.); #55050=VECTOR('',#202987,1000.); #55051=VECTOR('',#202988,1000.); #55052=VECTOR('',#202989,1000.); #55053=VECTOR('',#202990,1000.); #55054=VECTOR('',#202991,1000.); #55055=VECTOR('',#202992,1000.); #55056=VECTOR('',#202993,1000.); #55057=VECTOR('',#202994,1000.); #55058=VECTOR('',#202995,1000.); #55059=VECTOR('',#202996,1000.); #55060=VECTOR('',#202999,1000.); #55061=VECTOR('',#203000,1000.); #55062=VECTOR('',#203001,1000.); #55063=VECTOR('',#203002,1000.); #55064=VECTOR('',#203003,1000.); #55065=VECTOR('',#203004,1000.); #55066=VECTOR('',#203005,1000.); #55067=VECTOR('',#203006,1000.); #55068=VECTOR('',#203007,1000.); #55069=VECTOR('',#203008,1000.); #55070=VECTOR('',#203009,1000.); #55071=VECTOR('',#203010,1000.); #55072=VECTOR('',#203011,1000.); #55073=VECTOR('',#203012,1000.); #55074=VECTOR('',#203013,1000.); #55075=VECTOR('',#203014,1000.); #55076=VECTOR('',#203015,1000.); #55077=VECTOR('',#203016,1000.); #55078=VECTOR('',#203017,1000.); #55079=VECTOR('',#203018,1000.); #55080=VECTOR('',#203019,1000.); #55081=VECTOR('',#203020,1000.); #55082=VECTOR('',#203021,1000.); #55083=VECTOR('',#203022,1000.); #55084=VECTOR('',#203023,1000.); #55085=VECTOR('',#203024,1000.); #55086=VECTOR('',#203025,1000.); #55087=VECTOR('',#203026,1000.); #55088=VECTOR('',#203027,1000.); #55089=VECTOR('',#203028,1000.); #55090=VECTOR('',#203029,1000.); #55091=VECTOR('',#203030,1000.); #55092=VECTOR('',#203031,1000.); #55093=VECTOR('',#203032,1000.); #55094=VECTOR('',#203033,1000.); #55095=VECTOR('',#203034,1000.); #55096=VECTOR('',#203035,1000.); #55097=VECTOR('',#203036,1000.); #55098=VECTOR('',#203037,1000.); #55099=VECTOR('',#203038,1000.); #55100=VECTOR('',#203039,1000.); #55101=VECTOR('',#203040,1000.); #55102=VECTOR('',#203041,1000.); #55103=VECTOR('',#203042,1000.); #55104=VECTOR('',#203043,1000.); #55105=VECTOR('',#203044,1000.); #55106=VECTOR('',#203045,1000.); #55107=VECTOR('',#203046,1000.); #55108=VECTOR('',#203047,1000.); #55109=VECTOR('',#203048,1000.); #55110=VECTOR('',#203049,1000.); #55111=VECTOR('',#203050,1000.); #55112=VECTOR('',#203051,1000.); #55113=VECTOR('',#203052,1000.); #55114=VECTOR('',#203053,1000.); #55115=VECTOR('',#203054,1000.); #55116=VECTOR('',#203055,1000.); #55117=VECTOR('',#203056,1000.); #55118=VECTOR('',#203057,1000.); #55119=VECTOR('',#203058,1000.); #55120=VECTOR('',#203059,1000.); #55121=VECTOR('',#203060,1000.); #55122=VECTOR('',#203061,1000.); #55123=VECTOR('',#203062,1000.); #55124=VECTOR('',#203063,1000.); #55125=VECTOR('',#203064,1000.); #55126=VECTOR('',#203065,1000.); #55127=VECTOR('',#203066,1000.); #55128=VECTOR('',#203067,1000.); #55129=VECTOR('',#203068,1000.); #55130=VECTOR('',#203069,1000.); #55131=VECTOR('',#203070,1000.); #55132=VECTOR('',#203071,1000.); #55133=VECTOR('',#203072,1000.); #55134=VECTOR('',#203073,1000.); #55135=VECTOR('',#203074,1000.); #55136=VECTOR('',#203075,1000.); #55137=VECTOR('',#203076,1000.); #55138=VECTOR('',#203077,1000.); #55139=VECTOR('',#203078,1000.); #55140=VECTOR('',#203079,1000.); #55141=VECTOR('',#203080,1000.); #55142=VECTOR('',#203081,1000.); #55143=VECTOR('',#203082,1000.); #55144=VECTOR('',#203083,1000.); #55145=VECTOR('',#203084,1000.); #55146=VECTOR('',#203085,1000.); #55147=VECTOR('',#203086,1000.); #55148=VECTOR('',#203087,1000.); #55149=VECTOR('',#203088,1000.); #55150=VECTOR('',#203089,1000.); #55151=VECTOR('',#203090,1000.); #55152=VECTOR('',#203091,1000.); #55153=VECTOR('',#203092,1000.); #55154=VECTOR('',#203093,1000.); #55155=VECTOR('',#203094,1000.); #55156=VECTOR('',#203095,1000.); #55157=VECTOR('',#203096,1000.); #55158=VECTOR('',#203097,1000.); #55159=VECTOR('',#203098,1000.); #55160=VECTOR('',#203101,1000.); #55161=VECTOR('',#203104,1000.); #55162=VECTOR('',#203105,1000.); #55163=VECTOR('',#203106,1000.); #55164=VECTOR('',#203107,1000.); #55165=VECTOR('',#203108,1000.); #55166=VECTOR('',#203109,1000.); #55167=VECTOR('',#203114,1000.); #55168=VECTOR('',#203115,1000.); #55169=VECTOR('',#203116,1000.); #55170=VECTOR('',#203117,1000.); #55171=VECTOR('',#203118,1000.); #55172=VECTOR('',#203121,1000.); #55173=VECTOR('',#203122,1000.); #55174=VECTOR('',#203125,1000.); #55175=VECTOR('',#203126,1000.); #55176=VECTOR('',#203129,1000.); #55177=VECTOR('',#203136,1000.); #55178=VECTOR('',#203137,1000.); #55179=VECTOR('',#203140,1000.); #55180=VECTOR('',#203141,1000.); #55181=VECTOR('',#203144,1000.); #55182=VECTOR('',#203151,1000.); #55183=VECTOR('',#203152,1000.); #55184=VECTOR('',#203155,1000.); #55185=VECTOR('',#203156,1000.); #55186=VECTOR('',#203159,1000.); #55187=VECTOR('',#203166,1000.); #55188=VECTOR('',#203167,1000.); #55189=VECTOR('',#203170,1000.); #55190=VECTOR('',#203171,1000.); #55191=VECTOR('',#203174,1000.); #55192=VECTOR('',#203181,1000.); #55193=VECTOR('',#203182,1000.); #55194=VECTOR('',#203185,1000.); #55195=VECTOR('',#203186,1000.); #55196=VECTOR('',#203189,1000.); #55197=VECTOR('',#203196,1000.); #55198=VECTOR('',#203197,1000.); #55199=VECTOR('',#203200,1000.); #55200=VECTOR('',#203201,1000.); #55201=VECTOR('',#203204,1000.); #55202=VECTOR('',#203211,1000.); #55203=VECTOR('',#203212,1000.); #55204=VECTOR('',#203215,1000.); #55205=VECTOR('',#203216,1000.); #55206=VECTOR('',#203219,1000.); #55207=VECTOR('',#203226,1000.); #55208=VECTOR('',#203227,1000.); #55209=VECTOR('',#203230,1000.); #55210=VECTOR('',#203231,1000.); #55211=VECTOR('',#203234,1000.); #55212=VECTOR('',#203241,1000.); #55213=VECTOR('',#203242,1000.); #55214=VECTOR('',#203245,1000.); #55215=VECTOR('',#203246,1000.); #55216=VECTOR('',#203249,1000.); #55217=VECTOR('',#203256,1000.); #55218=VECTOR('',#203257,1000.); #55219=VECTOR('',#203260,1000.); #55220=VECTOR('',#203261,1000.); #55221=VECTOR('',#203264,1000.); #55222=VECTOR('',#203271,1000.); #55223=VECTOR('',#203272,1000.); #55224=VECTOR('',#203275,1000.); #55225=VECTOR('',#203276,1000.); #55226=VECTOR('',#203279,1000.); #55227=VECTOR('',#203286,1000.); #55228=VECTOR('',#203287,1000.); #55229=VECTOR('',#203290,1000.); #55230=VECTOR('',#203291,1000.); #55231=VECTOR('',#203294,1000.); #55232=VECTOR('',#203301,1000.); #55233=VECTOR('',#203302,1000.); #55234=VECTOR('',#203305,1000.); #55235=VECTOR('',#203306,1000.); #55236=VECTOR('',#203309,1000.); #55237=VECTOR('',#203316,1000.); #55238=VECTOR('',#203317,1000.); #55239=VECTOR('',#203320,1000.); #55240=VECTOR('',#203321,1000.); #55241=VECTOR('',#203324,1000.); #55242=VECTOR('',#203331,1000.); #55243=VECTOR('',#203332,1000.); #55244=VECTOR('',#203335,1000.); #55245=VECTOR('',#203336,1000.); #55246=VECTOR('',#203339,1000.); #55247=VECTOR('',#203346,1000.); #55248=VECTOR('',#203347,1000.); #55249=VECTOR('',#203350,1000.); #55250=VECTOR('',#203351,1000.); #55251=VECTOR('',#203354,1000.); #55252=VECTOR('',#203361,1000.); #55253=VECTOR('',#203362,1000.); #55254=VECTOR('',#203365,1000.); #55255=VECTOR('',#203366,1000.); #55256=VECTOR('',#203369,1000.); #55257=VECTOR('',#203376,1000.); #55258=VECTOR('',#203377,1000.); #55259=VECTOR('',#203380,1000.); #55260=VECTOR('',#203381,1000.); #55261=VECTOR('',#203384,1000.); #55262=VECTOR('',#203391,1000.); #55263=VECTOR('',#203392,1000.); #55264=VECTOR('',#203395,1000.); #55265=VECTOR('',#203396,1000.); #55266=VECTOR('',#203399,1000.); #55267=VECTOR('',#203406,1000.); #55268=VECTOR('',#203407,1000.); #55269=VECTOR('',#203410,1000.); #55270=VECTOR('',#203411,1000.); #55271=VECTOR('',#203414,1000.); #55272=VECTOR('',#203421,1000.); #55273=VECTOR('',#203422,1000.); #55274=VECTOR('',#203425,1000.); #55275=VECTOR('',#203426,1000.); #55276=VECTOR('',#203429,1000.); #55277=VECTOR('',#203436,1000.); #55278=VECTOR('',#203437,1000.); #55279=VECTOR('',#203440,1000.); #55280=VECTOR('',#203441,1000.); #55281=VECTOR('',#203444,1000.); #55282=VECTOR('',#203451,1000.); #55283=VECTOR('',#203452,1000.); #55284=VECTOR('',#203455,1000.); #55285=VECTOR('',#203456,1000.); #55286=VECTOR('',#203459,1000.); #55287=VECTOR('',#203466,1000.); #55288=VECTOR('',#203467,1000.); #55289=VECTOR('',#203470,1000.); #55290=VECTOR('',#203471,1000.); #55291=VECTOR('',#203474,1000.); #55292=VECTOR('',#203481,1000.); #55293=VECTOR('',#203482,1000.); #55294=VECTOR('',#203485,1000.); #55295=VECTOR('',#203486,1000.); #55296=VECTOR('',#203489,1000.); #55297=VECTOR('',#203496,1000.); #55298=VECTOR('',#203497,1000.); #55299=VECTOR('',#203500,1000.); #55300=VECTOR('',#203501,1000.); #55301=VECTOR('',#203504,1000.); #55302=VECTOR('',#203511,1000.); #55303=VECTOR('',#203512,1000.); #55304=VECTOR('',#203515,1000.); #55305=VECTOR('',#203516,1000.); #55306=VECTOR('',#203519,1000.); #55307=VECTOR('',#203526,1000.); #55308=VECTOR('',#203527,1000.); #55309=VECTOR('',#203530,1000.); #55310=VECTOR('',#203531,1000.); #55311=VECTOR('',#203534,1000.); #55312=VECTOR('',#203541,1000.); #55313=VECTOR('',#203542,1000.); #55314=VECTOR('',#203545,1000.); #55315=VECTOR('',#203546,1000.); #55316=VECTOR('',#203549,1000.); #55317=VECTOR('',#203556,1000.); #55318=VECTOR('',#203557,1000.); #55319=VECTOR('',#203560,1000.); #55320=VECTOR('',#203561,1000.); #55321=VECTOR('',#203564,1000.); #55322=VECTOR('',#203571,1000.); #55323=VECTOR('',#203572,1000.); #55324=VECTOR('',#203575,1000.); #55325=VECTOR('',#203576,1000.); #55326=VECTOR('',#203579,1000.); #55327=VECTOR('',#203586,1000.); #55328=VECTOR('',#203587,1000.); #55329=VECTOR('',#203590,1000.); #55330=VECTOR('',#203591,1000.); #55331=VECTOR('',#203594,1000.); #55332=VECTOR('',#203601,1000.); #55333=VECTOR('',#203602,1000.); #55334=VECTOR('',#203605,1000.); #55335=VECTOR('',#203606,1000.); #55336=VECTOR('',#203609,1000.); #55337=VECTOR('',#203616,1000.); #55338=VECTOR('',#203617,1000.); #55339=VECTOR('',#203620,1000.); #55340=VECTOR('',#203621,1000.); #55341=VECTOR('',#203624,1000.); #55342=VECTOR('',#203631,1000.); #55343=VECTOR('',#203632,1000.); #55344=VECTOR('',#203635,1000.); #55345=VECTOR('',#203636,1000.); #55346=VECTOR('',#203639,1000.); #55347=VECTOR('',#203646,1000.); #55348=VECTOR('',#203647,1000.); #55349=VECTOR('',#203650,1000.); #55350=VECTOR('',#203651,1000.); #55351=VECTOR('',#203654,1000.); #55352=VECTOR('',#203661,1000.); #55353=VECTOR('',#203662,1000.); #55354=VECTOR('',#203665,1000.); #55355=VECTOR('',#203666,1000.); #55356=VECTOR('',#203669,1000.); #55357=VECTOR('',#203676,1000.); #55358=VECTOR('',#203677,1000.); #55359=VECTOR('',#203680,1000.); #55360=VECTOR('',#203681,1000.); #55361=VECTOR('',#203684,1000.); #55362=VECTOR('',#203691,1000.); #55363=VECTOR('',#203692,1000.); #55364=VECTOR('',#203695,1000.); #55365=VECTOR('',#203696,1000.); #55366=VECTOR('',#203699,1000.); #55367=VECTOR('',#203706,1000.); #55368=VECTOR('',#203707,1000.); #55369=VECTOR('',#203710,1000.); #55370=VECTOR('',#203711,1000.); #55371=VECTOR('',#203714,1000.); #55372=VECTOR('',#203721,1000.); #55373=VECTOR('',#203722,1000.); #55374=VECTOR('',#203725,1000.); #55375=VECTOR('',#203726,1000.); #55376=VECTOR('',#203729,1000.); #55377=VECTOR('',#203736,1000.); #55378=VECTOR('',#203737,1000.); #55379=VECTOR('',#203740,1000.); #55380=VECTOR('',#203741,1000.); #55381=VECTOR('',#203744,1000.); #55382=VECTOR('',#203751,1000.); #55383=VECTOR('',#203752,1000.); #55384=VECTOR('',#203755,1000.); #55385=VECTOR('',#203756,1000.); #55386=VECTOR('',#203759,1000.); #55387=VECTOR('',#203766,1000.); #55388=VECTOR('',#203767,1000.); #55389=VECTOR('',#203770,1000.); #55390=VECTOR('',#203771,1000.); #55391=VECTOR('',#203774,1000.); #55392=VECTOR('',#203781,1000.); #55393=VECTOR('',#203782,1000.); #55394=VECTOR('',#203785,1000.); #55395=VECTOR('',#203786,1000.); #55396=VECTOR('',#203789,1000.); #55397=VECTOR('',#203796,1000.); #55398=VECTOR('',#203797,1000.); #55399=VECTOR('',#203800,1000.); #55400=VECTOR('',#203801,1000.); #55401=VECTOR('',#203804,1000.); #55402=VECTOR('',#203811,1000.); #55403=VECTOR('',#203812,1000.); #55404=VECTOR('',#203815,1000.); #55405=VECTOR('',#203816,1000.); #55406=VECTOR('',#203819,1000.); #55407=VECTOR('',#203826,1000.); #55408=VECTOR('',#203827,1000.); #55409=VECTOR('',#203830,1000.); #55410=VECTOR('',#203831,1000.); #55411=VECTOR('',#203834,1000.); #55412=VECTOR('',#203841,1000.); #55413=VECTOR('',#203842,1000.); #55414=VECTOR('',#203845,1000.); #55415=VECTOR('',#203846,1000.); #55416=VECTOR('',#203849,1000.); #55417=VECTOR('',#203856,1000.); #55418=VECTOR('',#203857,1000.); #55419=VECTOR('',#203860,1000.); #55420=VECTOR('',#203861,1000.); #55421=VECTOR('',#203864,1000.); #55422=VECTOR('',#203871,1000.); #55423=VECTOR('',#203872,1000.); #55424=VECTOR('',#203875,1000.); #55425=VECTOR('',#203876,1000.); #55426=VECTOR('',#203879,1000.); #55427=VECTOR('',#203886,1000.); #55428=VECTOR('',#203887,1000.); #55429=VECTOR('',#203890,1000.); #55430=VECTOR('',#203891,1000.); #55431=VECTOR('',#203894,1000.); #55432=VECTOR('',#203901,1000.); #55433=VECTOR('',#203902,1000.); #55434=VECTOR('',#203905,1000.); #55435=VECTOR('',#203906,1000.); #55436=VECTOR('',#203909,1000.); #55437=VECTOR('',#203916,1000.); #55438=VECTOR('',#203917,1000.); #55439=VECTOR('',#203920,1000.); #55440=VECTOR('',#203921,1000.); #55441=VECTOR('',#203924,1000.); #55442=VECTOR('',#203931,1000.); #55443=VECTOR('',#203932,1000.); #55444=VECTOR('',#203935,1000.); #55445=VECTOR('',#203936,1000.); #55446=VECTOR('',#203939,1000.); #55447=VECTOR('',#203946,1000.); #55448=VECTOR('',#203947,1000.); #55449=VECTOR('',#203950,1000.); #55450=VECTOR('',#203951,1000.); #55451=VECTOR('',#203954,1000.); #55452=VECTOR('',#203961,1000.); #55453=VECTOR('',#203962,1000.); #55454=VECTOR('',#203965,1000.); #55455=VECTOR('',#203966,1000.); #55456=VECTOR('',#203969,1000.); #55457=VECTOR('',#203976,1000.); #55458=VECTOR('',#203977,1000.); #55459=VECTOR('',#203980,1000.); #55460=VECTOR('',#203981,1000.); #55461=VECTOR('',#203984,1000.); #55462=VECTOR('',#203991,1000.); #55463=VECTOR('',#203992,1000.); #55464=VECTOR('',#203995,1000.); #55465=VECTOR('',#203996,1000.); #55466=VECTOR('',#203999,1000.); #55467=VECTOR('',#204006,1000.); #55468=VECTOR('',#204007,1000.); #55469=VECTOR('',#204010,1000.); #55470=VECTOR('',#204011,1000.); #55471=VECTOR('',#204014,1000.); #55472=VECTOR('',#204021,1000.); #55473=VECTOR('',#204022,1000.); #55474=VECTOR('',#204025,1000.); #55475=VECTOR('',#204026,1000.); #55476=VECTOR('',#204029,1000.); #55477=VECTOR('',#204036,1000.); #55478=VECTOR('',#204037,1000.); #55479=VECTOR('',#204040,1000.); #55480=VECTOR('',#204041,1000.); #55481=VECTOR('',#204044,1000.); #55482=VECTOR('',#204051,1000.); #55483=VECTOR('',#204052,1000.); #55484=VECTOR('',#204055,1000.); #55485=VECTOR('',#204056,1000.); #55486=VECTOR('',#204059,1000.); #55487=VECTOR('',#204066,1000.); #55488=VECTOR('',#204067,1000.); #55489=VECTOR('',#204070,1000.); #55490=VECTOR('',#204071,1000.); #55491=VECTOR('',#204074,1000.); #55492=VECTOR('',#204145,1000.); #55493=VECTOR('',#204146,1000.); #55494=VECTOR('',#204147,1000.); #55495=VECTOR('',#204148,1000.); #55496=VECTOR('',#204149,1000.); #55497=VECTOR('',#204150,1000.); #55498=VECTOR('',#204151,1000.); #55499=VECTOR('',#204152,1000.); #55500=VECTOR('',#204155,1000.); #55501=VECTOR('',#204156,1000.); #55502=VECTOR('',#204159,1000.); #55503=VECTOR('',#204162,1000.); #55504=VECTOR('',#204167,1000.); #55505=VECTOR('',#204168,1000.); #55506=VECTOR('',#204169,1000.); #55507=VECTOR('',#204172,1000.); #55508=VECTOR('',#204173,1000.); #55509=VECTOR('',#204176,1000.); #55510=VECTOR('',#204177,1000.); #55511=VECTOR('',#204180,1000.); #55512=VECTOR('',#204187,10.); #55513=VECTOR('',#204188,10.); #55514=VECTOR('',#204189,10.); #55515=VECTOR('',#204190,10.); #55516=VECTOR('',#204195,10.); #55517=VECTOR('',#204200,10.); #55518=VECTOR('',#204201,10.); #55519=VECTOR('',#204202,10.); #55520=VECTOR('',#204207,10.); #55521=VECTOR('',#204214,10.); #55522=VECTOR('',#204219,10.); #55523=VECTOR('',#204220,10.); #55524=VECTOR('',#204221,10.); #55525=VECTOR('',#204226,10.); #55526=VECTOR('',#204233,10.); #55527=VECTOR('',#204240,10.); #55528=VECTOR('',#204247,10.); #55529=VECTOR('',#204252,10.); #55530=VECTOR('',#204253,10.); #55531=VECTOR('',#204258,10.); #55532=VECTOR('',#204261,10.); #55533=VECTOR('',#204266,10.); #55534=VECTOR('',#204273,10.); #55535=VECTOR('',#204280,10.); #55536=VECTOR('',#204285,10.); #55537=VECTOR('',#204286,10.); #55538=VECTOR('',#204287,10.); #55539=VECTOR('',#204292,10.); #55540=VECTOR('',#204299,10.); #55541=VECTOR('',#204304,10.); #55542=VECTOR('',#204305,10.); #55543=VECTOR('',#204306,10.); #55544=VECTOR('',#204311,10.); #55545=VECTOR('',#204316,10.); #55546=VECTOR('',#204317,10.); #55547=VECTOR('',#204318,10.); #55548=VECTOR('',#204321,10.); #55549=VECTOR('',#204322,10.); #55550=VECTOR('',#204327,1000.); #55551=VECTOR('',#204328,1000.); #55552=VECTOR('',#204329,1000.); #55553=VECTOR('',#204330,1000.); #55554=VECTOR('',#204331,1000.); #55555=VECTOR('',#204332,1000.); #55556=VECTOR('',#204333,1000.); #55557=VECTOR('',#204334,1000.); #55558=VECTOR('',#204335,1000.); #55559=VECTOR('',#204336,1000.); #55560=VECTOR('',#204337,1000.); #55561=VECTOR('',#204338,1000.); #55562=VECTOR('',#204367,10.); #55563=VECTOR('',#204370,10.); #55564=VECTOR('',#204373,10.); #55565=VECTOR('',#204376,10.); #55566=VECTOR('',#204379,10.); #55567=VECTOR('',#204380,10.); #55568=VECTOR('',#204381,10.); #55569=VECTOR('',#204382,10.); #55570=VECTOR('',#204383,10.); #55571=VECTOR('',#204384,10.); #55572=VECTOR('',#204385,10.); #55573=VECTOR('',#204386,10.); #55574=VECTOR('',#204387,10.); #55575=VECTOR('',#204388,10.); #55576=VECTOR('',#204389,10.); #55577=VECTOR('',#204390,10.); #55578=VECTOR('',#204391,10.); #55579=VECTOR('',#204392,10.); #55580=VECTOR('',#204393,10.); #55581=VECTOR('',#204394,10.); #55582=VECTOR('',#204395,10.); #55583=VECTOR('',#204396,10.); #55584=VECTOR('',#204397,10.); #55585=VECTOR('',#204398,10.); #55586=VECTOR('',#204399,10.); #55587=VECTOR('',#204400,10.); #55588=VECTOR('',#204401,10.); #55589=VECTOR('',#204402,10.); #55590=VECTOR('',#204403,10.); #55591=VECTOR('',#204404,10.); #55592=VECTOR('',#204405,10.); #55593=VECTOR('',#204406,10.); #55594=VECTOR('',#204407,10.); #55595=VECTOR('',#204408,10.); #55596=VECTOR('',#204409,10.); #55597=VECTOR('',#204410,10.); #55598=VECTOR('',#204413,10.); #55599=VECTOR('',#204416,10.); #55600=VECTOR('',#204417,10.); #55601=VECTOR('',#204418,10.); #55602=VECTOR('',#204419,10.); #55603=VECTOR('',#204420,10.); #55604=VECTOR('',#204421,10.); #55605=VECTOR('',#204422,10.); #55606=VECTOR('',#204423,10.); #55607=VECTOR('',#204424,10.); #55608=VECTOR('',#204425,10.); #55609=VECTOR('',#204426,10.); #55610=VECTOR('',#204427,10.); #55611=VECTOR('',#204428,10.); #55612=VECTOR('',#204429,10.); #55613=VECTOR('',#204430,10.); #55614=VECTOR('',#204431,10.); #55615=VECTOR('',#204432,10.); #55616=VECTOR('',#204433,10.); #55617=VECTOR('',#204434,10.); #55618=VECTOR('',#204435,10.); #55619=VECTOR('',#204436,10.); #55620=VECTOR('',#204439,10.); #55621=VECTOR('',#204442,10.); #55622=VECTOR('',#204443,10.); #55623=VECTOR('',#204444,10.); #55624=VECTOR('',#204445,10.); #55625=VECTOR('',#204446,10.); #55626=VECTOR('',#204453,10.); #55627=VECTOR('',#204466,10.); #55628=VECTOR('',#204467,10.); #55629=VECTOR('',#204470,10.); #55630=VECTOR('',#204473,10.); #55631=VECTOR('',#204474,10.); #55632=VECTOR('',#204475,10.); #55633=VECTOR('',#204476,10.); #55634=VECTOR('',#204477,10.); #55635=VECTOR('',#204478,10.); #55636=VECTOR('',#204479,10.); #55637=VECTOR('',#204490,10.); #55638=VECTOR('',#204503,10.); #55639=VECTOR('',#204504,10.); #55640=VECTOR('',#204507,10.); #55641=VECTOR('',#204508,10.); #55642=VECTOR('',#204511,10.); #55643=VECTOR('',#204518,10.); #55644=VECTOR('',#204519,10.); #55645=VECTOR('',#204520,10.); #55646=VECTOR('',#204521,10.); #55647=VECTOR('',#204524,10.); #55648=VECTOR('',#204543,10.); #55649=VECTOR('',#204548,10.); #55650=VECTOR('',#204551,10.); #55651=VECTOR('',#204552,10.); #55652=VECTOR('',#204553,10.); #55653=VECTOR('',#204554,10.); #55654=VECTOR('',#204555,10.); #55655=VECTOR('',#204556,10.); #55656=VECTOR('',#204557,10.); #55657=VECTOR('',#204558,10.); #55658=VECTOR('',#204559,10.); #55659=VECTOR('',#204560,10.); #55660=VECTOR('',#204561,10.); #55661=VECTOR('',#204562,10.); #55662=VECTOR('',#204563,10.); #55663=VECTOR('',#204564,10.); #55664=VECTOR('',#204565,10.); #55665=VECTOR('',#204566,10.); #55666=VECTOR('',#204567,10.); #55667=VECTOR('',#204568,10.); #55668=VECTOR('',#204569,10.); #55669=VECTOR('',#204570,10.); #55670=VECTOR('',#204571,10.); #55671=VECTOR('',#204572,10.); #55672=VECTOR('',#204575,10.); #55673=VECTOR('',#204578,10.); #55674=VECTOR('',#204579,10.); #55675=VECTOR('',#204590,10.); #55676=VECTOR('',#204591,10.); #55677=VECTOR('',#204592,10.); #55678=VECTOR('',#204593,10.); #55679=VECTOR('',#204594,10.); #55680=VECTOR('',#204595,10.); #55681=VECTOR('',#204596,10.); #55682=VECTOR('',#204597,10.); #55683=VECTOR('',#204604,10.); #55684=VECTOR('',#204609,10.); #55685=VECTOR('',#204612,10.); #55686=VECTOR('',#204613,10.); #55687=VECTOR('',#204614,10.); #55688=VECTOR('',#204615,10.); #55689=VECTOR('',#204616,10.); #55690=VECTOR('',#204617,10.); #55691=VECTOR('',#204618,10.); #55692=VECTOR('',#204623,10.); #55693=VECTOR('',#204624,10.); #55694=VECTOR('',#204625,10.); #55695=VECTOR('',#204626,10.); #55696=VECTOR('',#204627,10.); #55697=VECTOR('',#204628,10.); #55698=VECTOR('',#204629,10.); #55699=VECTOR('',#204630,10.); #55700=VECTOR('',#204631,10.); #55701=VECTOR('',#204632,10.); #55702=VECTOR('',#204635,10.); #55703=VECTOR('',#204636,10.); #55704=VECTOR('',#204637,10.); #55705=VECTOR('',#204638,10.); #55706=VECTOR('',#204641,10.); #55707=VECTOR('',#204642,10.); #55708=VECTOR('',#204643,10.); #55709=VECTOR('',#204646,10.); #55710=VECTOR('',#204647,10.); #55711=VECTOR('',#204648,10.); #55712=VECTOR('',#204649,10.); #55713=VECTOR('',#204652,10.); #55714=VECTOR('',#204653,10.); #55715=VECTOR('',#204654,10.); #55716=VECTOR('',#204655,10.); #55717=VECTOR('',#204656,10.); #55718=VECTOR('',#204657,10.); #55719=VECTOR('',#204658,10.); #55720=VECTOR('',#204661,10.); #55721=VECTOR('',#204662,10.); #55722=VECTOR('',#204663,10.); #55723=VECTOR('',#204664,10.); #55724=VECTOR('',#204665,10.); #55725=VECTOR('',#204668,10.); #55726=VECTOR('',#204669,10.); #55727=VECTOR('',#204670,10.); #55728=VECTOR('',#204675,10.); #55729=VECTOR('',#204676,10.); #55730=VECTOR('',#204681,10.); #55731=VECTOR('',#204686,10.); #55732=VECTOR('',#204687,10.); #55733=VECTOR('',#204692,10.); #55734=VECTOR('',#204693,10.); #55735=VECTOR('',#204694,10.); #55736=VECTOR('',#204697,10.); #55737=VECTOR('',#204698,10.); #55738=VECTOR('',#204701,10.); #55739=VECTOR('',#204702,10.); #55740=VECTOR('',#204703,10.); #55741=VECTOR('',#204706,10.); #55742=VECTOR('',#204707,10.); #55743=VECTOR('',#204708,10.); #55744=VECTOR('',#204709,10.); #55745=VECTOR('',#204712,10.); #55746=VECTOR('',#204713,10.); #55747=VECTOR('',#204714,10.); #55748=VECTOR('',#204715,10.); #55749=VECTOR('',#204718,10.); #55750=VECTOR('',#204719,10.); #55751=VECTOR('',#204720,10.); #55752=VECTOR('',#204723,10.); #55753=VECTOR('',#204724,10.); #55754=VECTOR('',#204725,10.); #55755=VECTOR('',#204726,10.); #55756=VECTOR('',#204727,10.); #55757=VECTOR('',#204728,10.); #55758=VECTOR('',#204729,10.); #55759=VECTOR('',#204732,10.); #55760=VECTOR('',#204733,10.); #55761=VECTOR('',#204738,10.); #55762=VECTOR('',#204739,10.); #55763=VECTOR('',#204742,10.); #55764=VECTOR('',#204743,10.); #55765=VECTOR('',#204746,10.); #55766=VECTOR('',#204747,10.); #55767=VECTOR('',#204748,10.); #55768=VECTOR('',#204749,10.); #55769=VECTOR('',#204754,10.); #55770=VECTOR('',#204755,10.); #55771=VECTOR('',#204756,10.); #55772=VECTOR('',#204759,10.); #55773=VECTOR('',#204762,10.); #55774=VECTOR('',#204765,10.); #55775=VECTOR('',#204768,10.); #55776=VECTOR('',#204769,10.); #55777=VECTOR('',#204772,10.); #55778=VECTOR('',#204775,10.); #55779=VECTOR('',#204778,10.); #55780=VECTOR('',#204781,10.); #55781=VECTOR('',#204782,10.); #55782=VECTOR('',#204785,10.); #55783=VECTOR('',#204788,10.); #55784=VECTOR('',#204789,10.); #55785=VECTOR('',#204792,10.); #55786=VECTOR('',#204795,10.); #55787=VECTOR('',#204796,10.); #55788=VECTOR('',#204799,10.); #55789=VECTOR('',#204802,10.); #55790=VECTOR('',#204803,10.); #55791=VECTOR('',#204806,10.); #55792=VECTOR('',#204809,10.); #55793=VECTOR('',#204810,10.); #55794=VECTOR('',#204813,10.); #55795=VECTOR('',#204816,10.); #55796=VECTOR('',#204819,10.); #55797=VECTOR('',#204822,10.); #55798=VECTOR('',#204823,10.); #55799=VECTOR('',#204826,10.); #55800=VECTOR('',#204829,10.); #55801=VECTOR('',#204830,10.); #55802=VECTOR('',#204831,10.); #55803=VECTOR('',#204832,10.); #55804=VECTOR('',#204833,10.); #55805=VECTOR('',#204834,10.); #55806=VECTOR('',#204837,10.); #55807=VECTOR('',#204838,10.); #55808=VECTOR('',#204839,10.); #55809=VECTOR('',#204840,10.); #55810=VECTOR('',#204843,10.); #55811=VECTOR('',#204844,10.); #55812=VECTOR('',#204845,10.); #55813=VECTOR('',#204848,10.); #55814=VECTOR('',#204853,10.); #55815=VECTOR('',#204858,10.); #55816=VECTOR('',#204861,10.); #55817=VECTOR('',#204862,10.); #55818=VECTOR('',#204863,10.); #55819=VECTOR('',#204866,10.); #55820=VECTOR('',#204867,10.); #55821=VECTOR('',#204868,10.); #55822=VECTOR('',#204869,10.); #55823=VECTOR('',#204870,10.); #55824=VECTOR('',#204871,10.); #55825=VECTOR('',#204872,10.); #55826=VECTOR('',#204875,10.); #55827=VECTOR('',#204882,10.); #55828=VECTOR('',#204889,10.); #55829=VECTOR('',#204890,10.); #55830=VECTOR('',#204891,10.); #55831=VECTOR('',#204892,10.); #55832=VECTOR('',#204893,10.); #55833=VECTOR('',#204898,10.); #55834=VECTOR('',#204899,10.); #55835=VECTOR('',#204918,10.); #55836=VECTOR('',#204923,10.); #55837=VECTOR('',#204924,10.); #55838=VECTOR('',#204929,10.); #55839=VECTOR('',#204930,10.); #55840=VECTOR('',#204933,10.); #55841=VECTOR('',#204934,10.); #55842=VECTOR('',#204937,10.); #55843=VECTOR('',#204938,10.); #55844=VECTOR('',#204939,10.); #55845=VECTOR('',#204942,10.); #55846=VECTOR('',#204943,10.); #55847=VECTOR('',#204944,10.); #55848=VECTOR('',#204947,10.); #55849=VECTOR('',#204948,10.); #55850=VECTOR('',#204949,10.); #55851=VECTOR('',#204952,10.); #55852=VECTOR('',#204959,10.); #55853=VECTOR('',#204960,10.); #55854=VECTOR('',#204965,10.); #55855=VECTOR('',#204968,10.); #55856=VECTOR('',#204969,10.); #55857=VECTOR('',#204974,10.); #55858=VECTOR('',#204975,10.); #55859=VECTOR('',#204976,10.); #55860=VECTOR('',#204977,10.); #55861=VECTOR('',#204978,10.); #55862=VECTOR('',#204979,10.); #55863=VECTOR('',#204980,10.); #55864=VECTOR('',#204981,10.); #55865=VECTOR('',#204984,10.); #55866=VECTOR('',#204985,10.); #55867=VECTOR('',#204988,10.); #55868=VECTOR('',#204995,10.); #55869=VECTOR('',#204998,10.); #55870=VECTOR('',#204999,10.); #55871=VECTOR('',#205000,10.); #55872=VECTOR('',#205001,10.); #55873=VECTOR('',#205002,10.); #55874=VECTOR('',#205009,10.); #55875=VECTOR('',#205014,10.); #55876=VECTOR('',#205015,10.); #55877=VECTOR('',#205016,10.); #55878=VECTOR('',#205017,10.); #55879=VECTOR('',#205018,10.); #55880=VECTOR('',#205019,10.); #55881=VECTOR('',#205020,10.); #55882=VECTOR('',#205021,10.); #55883=VECTOR('',#205022,10.); #55884=VECTOR('',#205023,10.); #55885=VECTOR('',#205024,10.); #55886=VECTOR('',#205025,10.); #55887=VECTOR('',#205026,10.); #55888=VECTOR('',#205027,10.); #55889=VECTOR('',#205028,10.); #55890=VECTOR('',#205031,10.); #55891=VECTOR('',#205032,10.); #55892=VECTOR('',#205033,10.); #55893=VECTOR('',#205034,10.); #55894=VECTOR('',#205035,10.); #55895=VECTOR('',#205038,10.); #55896=VECTOR('',#205039,10.); #55897=VECTOR('',#205040,10.); #55898=VECTOR('',#205041,10.); #55899=VECTOR('',#205042,10.); #55900=VECTOR('',#205043,10.); #55901=VECTOR('',#205044,10.); #55902=VECTOR('',#205045,10.); #55903=VECTOR('',#205046,10.); #55904=VECTOR('',#205049,10.); #55905=VECTOR('',#205054,10.); #55906=VECTOR('',#205055,10.); #55907=VECTOR('',#205058,10.); #55908=VECTOR('',#205059,10.); #55909=VECTOR('',#205060,10.); #55910=VECTOR('',#205061,10.); #55911=VECTOR('',#205062,10.); #55912=VECTOR('',#205063,10.); #55913=VECTOR('',#205066,10.); #55914=VECTOR('',#205069,10.); #55915=VECTOR('',#205074,10.); #55916=VECTOR('',#205075,10.); #55917=VECTOR('',#205076,10.); #55918=VECTOR('',#205077,10.); #55919=VECTOR('',#205078,10.); #55920=VECTOR('',#205079,10.); #55921=VECTOR('',#205080,10.); #55922=VECTOR('',#205081,10.); #55923=VECTOR('',#205082,10.); #55924=VECTOR('',#205083,10.); #55925=VECTOR('',#205086,10.); #55926=VECTOR('',#205087,10.); #55927=VECTOR('',#205088,10.); #55928=VECTOR('',#205089,10.); #55929=VECTOR('',#205090,10.); #55930=VECTOR('',#205093,10.); #55931=VECTOR('',#205098,10.); #55932=VECTOR('',#205099,10.); #55933=VECTOR('',#205102,10.); #55934=VECTOR('',#205105,10.); #55935=VECTOR('',#205106,10.); #55936=VECTOR('',#205109,10.); #55937=VECTOR('',#205112,10.); #55938=VECTOR('',#205115,10.); #55939=VECTOR('',#205118,10.); #55940=VECTOR('',#205121,10.); #55941=VECTOR('',#205124,10.); #55942=VECTOR('',#205125,10.); #55943=VECTOR('',#205128,10.); #55944=VECTOR('',#205133,10.); #55945=VECTOR('',#205134,10.); #55946=VECTOR('',#205137,10.); #55947=VECTOR('',#205142,10.); #55948=VECTOR('',#205143,10.); #55949=VECTOR('',#205146,10.); #55950=VECTOR('',#205151,10.); #55951=VECTOR('',#205152,10.); #55952=VECTOR('',#205155,10.); #55953=VECTOR('',#205156,10.); #55954=VECTOR('',#205159,10.); #55955=VECTOR('',#205164,10.); #55956=VECTOR('',#205165,10.); #55957=VECTOR('',#205168,10.); #55958=VECTOR('',#205173,10.); #55959=VECTOR('',#205174,10.); #55960=VECTOR('',#205177,10.); #55961=VECTOR('',#205182,10.); #55962=VECTOR('',#205183,10.); #55963=VECTOR('',#205184,10.); #55964=VECTOR('',#205185,10.); #55965=VECTOR('',#205188,10.); #55966=VECTOR('',#205189,10.); #55967=VECTOR('',#205190,10.); #55968=VECTOR('',#205193,10.); #55969=VECTOR('',#205194,10.); #55970=VECTOR('',#205195,10.); #55971=VECTOR('',#205198,10.); #55972=VECTOR('',#205199,10.); #55973=VECTOR('',#205200,10.); #55974=VECTOR('',#205203,10.); #55975=VECTOR('',#205204,10.); #55976=VECTOR('',#205205,10.); #55977=VECTOR('',#205208,10.); #55978=VECTOR('',#205209,10.); #55979=VECTOR('',#205210,10.); #55980=VECTOR('',#205213,10.); #55981=VECTOR('',#205214,10.); #55982=VECTOR('',#205215,10.); #55983=VECTOR('',#205218,10.); #55984=VECTOR('',#205219,10.); #55985=VECTOR('',#205220,10.); #55986=VECTOR('',#205223,10.); #55987=VECTOR('',#205224,10.); #55988=VECTOR('',#205225,10.); #55989=VECTOR('',#205228,10.); #55990=VECTOR('',#205229,10.); #55991=VECTOR('',#205230,10.); #55992=VECTOR('',#205233,10.); #55993=VECTOR('',#205234,10.); #55994=VECTOR('',#205235,10.); #55995=VECTOR('',#205238,10.); #55996=VECTOR('',#205239,10.); #55997=VECTOR('',#205240,10.); #55998=VECTOR('',#205243,10.); #55999=VECTOR('',#205244,10.); #56000=VECTOR('',#205245,10.); #56001=VECTOR('',#205254,10.); #56002=VECTOR('',#205255,10.); #56003=VECTOR('',#205256,10.); #56004=VECTOR('',#205259,10.); #56005=VECTOR('',#205264,10.); #56006=VECTOR('',#205265,10.); #56007=VECTOR('',#205268,10.); #56008=VECTOR('',#205273,10.); #56009=VECTOR('',#205274,10.); #56010=VECTOR('',#205277,10.); #56011=VECTOR('',#205278,10.); #56012=VECTOR('',#205281,10.); #56013=VECTOR('',#205282,10.); #56014=VECTOR('',#205285,10.); #56015=VECTOR('',#205286,10.); #56016=VECTOR('',#205289,10.); #56017=VECTOR('',#205290,10.); #56018=VECTOR('',#205293,10.); #56019=VECTOR('',#205298,10.); #56020=VECTOR('',#205299,10.); #56021=VECTOR('',#205302,10.); #56022=VECTOR('',#205303,10.); #56023=VECTOR('',#205306,10.); #56024=VECTOR('',#205307,10.); #56025=VECTOR('',#205310,10.); #56026=VECTOR('',#205311,10.); #56027=VECTOR('',#205314,10.); #56028=VECTOR('',#205315,10.); #56029=VECTOR('',#205318,10.); #56030=VECTOR('',#205319,10.); #56031=VECTOR('',#205322,10.); #56032=VECTOR('',#205323,10.); #56033=VECTOR('',#205326,10.); #56034=VECTOR('',#205327,10.); #56035=VECTOR('',#205330,10.); #56036=VECTOR('',#205331,10.); #56037=VECTOR('',#205334,10.); #56038=VECTOR('',#205335,10.); #56039=VECTOR('',#205338,10.); #56040=VECTOR('',#205343,10.); #56041=VECTOR('',#205348,10.); #56042=VECTOR('',#205349,10.); #56043=VECTOR('',#205352,10.); #56044=VECTOR('',#205357,10.); #56045=VECTOR('',#205358,10.); #56046=VECTOR('',#205361,10.); #56047=VECTOR('',#205366,10.); #56048=VECTOR('',#205367,10.); #56049=VECTOR('',#205370,10.); #56050=VECTOR('',#205371,10.); #56051=VECTOR('',#205374,10.); #56052=VECTOR('',#205375,10.); #56053=VECTOR('',#205378,10.); #56054=VECTOR('',#205379,10.); #56055=VECTOR('',#205382,10.); #56056=VECTOR('',#205387,10.); #56057=VECTOR('',#205388,10.); #56058=VECTOR('',#205389,10.); #56059=VECTOR('',#205392,10.); #56060=VECTOR('',#205397,10.); #56061=VECTOR('',#205398,10.); #56062=VECTOR('',#205401,10.); #56063=VECTOR('',#205402,10.); #56064=VECTOR('',#205405,10.); #56065=VECTOR('',#205410,10.); #56066=VECTOR('',#205415,10.); #56067=VECTOR('',#205416,10.); #56068=VECTOR('',#205419,10.); #56069=VECTOR('',#205424,10.); #56070=VECTOR('',#205425,10.); #56071=VECTOR('',#205428,10.); #56072=VECTOR('',#205429,10.); #56073=VECTOR('',#205432,10.); #56074=VECTOR('',#205433,10.); #56075=VECTOR('',#205436,10.); #56076=VECTOR('',#205437,10.); #56077=VECTOR('',#205440,10.); #56078=VECTOR('',#205441,10.); #56079=VECTOR('',#205444,10.); #56080=VECTOR('',#205445,10.); #56081=VECTOR('',#205448,10.); #56082=VECTOR('',#205449,10.); #56083=VECTOR('',#205452,10.); #56084=VECTOR('',#205453,10.); #56085=VECTOR('',#205456,10.); #56086=VECTOR('',#205457,10.); #56087=VECTOR('',#205460,10.); #56088=VECTOR('',#205465,10.); #56089=VECTOR('',#205466,10.); #56090=VECTOR('',#205469,10.); #56091=VECTOR('',#205470,10.); #56092=VECTOR('',#205473,10.); #56093=VECTOR('',#205474,10.); #56094=VECTOR('',#205477,10.); #56095=VECTOR('',#205478,10.); #56096=VECTOR('',#205481,10.); #56097=VECTOR('',#205482,10.); #56098=VECTOR('',#205485,10.); #56099=VECTOR('',#205490,10.); #56100=VECTOR('',#205491,10.); #56101=VECTOR('',#205494,10.); #56102=VECTOR('',#205495,10.); #56103=VECTOR('',#205498,10.); #56104=VECTOR('',#205499,10.); #56105=VECTOR('',#205502,10.); #56106=VECTOR('',#205503,10.); #56107=VECTOR('',#205506,10.); #56108=VECTOR('',#205507,10.); #56109=VECTOR('',#205510,10.); #56110=VECTOR('',#205511,10.); #56111=VECTOR('',#205514,10.); #56112=VECTOR('',#205519,10.); #56113=VECTOR('',#205520,10.); #56114=VECTOR('',#205521,10.); #56115=VECTOR('',#205524,10.); #56116=VECTOR('',#205525,10.); #56117=VECTOR('',#205528,10.); #56118=VECTOR('',#205529,10.); #56119=VECTOR('',#205532,10.); #56120=VECTOR('',#205533,10.); #56121=VECTOR('',#205536,10.); #56122=VECTOR('',#205537,10.); #56123=VECTOR('',#205540,10.); #56124=VECTOR('',#205541,10.); #56125=VECTOR('',#205544,10.); #56126=VECTOR('',#205545,10.); #56127=VECTOR('',#205548,10.); #56128=VECTOR('',#205549,10.); #56129=VECTOR('',#205552,10.); #56130=VECTOR('',#205557,10.); #56131=VECTOR('',#205562,10.); #56132=VECTOR('',#205563,10.); #56133=VECTOR('',#205566,10.); #56134=VECTOR('',#205571,10.); #56135=VECTOR('',#205576,10.); #56136=VECTOR('',#205581,10.); #56137=VECTOR('',#205582,10.); #56138=VECTOR('',#205585,10.); #56139=VECTOR('',#205586,10.); #56140=VECTOR('',#205589,10.); #56141=VECTOR('',#205590,10.); #56142=VECTOR('',#205593,10.); #56143=VECTOR('',#205594,10.); #56144=VECTOR('',#205597,10.); #56145=VECTOR('',#205598,10.); #56146=VECTOR('',#205601,10.); #56147=VECTOR('',#205606,10.); #56148=VECTOR('',#205607,10.); #56149=VECTOR('',#205610,10.); #56150=VECTOR('',#205615,10.); #56151=VECTOR('',#205620,10.); #56152=VECTOR('',#205625,10.); #56153=VECTOR('',#205626,10.); #56154=VECTOR('',#205629,10.); #56155=VECTOR('',#205634,10.); #56156=VECTOR('',#205635,10.); #56157=VECTOR('',#205638,10.); #56158=VECTOR('',#205639,10.); #56159=VECTOR('',#205642,10.); #56160=VECTOR('',#205647,10.); #56161=VECTOR('',#205648,10.); #56162=VECTOR('',#205651,10.); #56163=VECTOR('',#205652,10.); #56164=VECTOR('',#205655,10.); #56165=VECTOR('',#205656,10.); #56166=VECTOR('',#205659,10.); #56167=VECTOR('',#205664,10.); #56168=VECTOR('',#205665,10.); #56169=VECTOR('',#205666,10.); #56170=VECTOR('',#205669,10.); #56171=VECTOR('',#205670,10.); #56172=VECTOR('',#205673,10.); #56173=VECTOR('',#205674,10.); #56174=VECTOR('',#205677,10.); #56175=VECTOR('',#205678,10.); #56176=VECTOR('',#205681,10.); #56177=VECTOR('',#205686,10.); #56178=VECTOR('',#205691,10.); #56179=VECTOR('',#205692,10.); #56180=VECTOR('',#205695,10.); #56181=VECTOR('',#205696,10.); #56182=VECTOR('',#205699,10.); #56183=VECTOR('',#205704,10.); #56184=VECTOR('',#205705,10.); #56185=VECTOR('',#205708,10.); #56186=VECTOR('',#205713,10.); #56187=VECTOR('',#205718,10.); #56188=VECTOR('',#205719,10.); #56189=VECTOR('',#205722,10.); #56190=VECTOR('',#205723,10.); #56191=VECTOR('',#205726,10.); #56192=VECTOR('',#205731,10.); #56193=VECTOR('',#205736,10.); #56194=VECTOR('',#205741,10.); #56195=VECTOR('',#205742,10.); #56196=VECTOR('',#205745,10.); #56197=VECTOR('',#205750,10.); #56198=VECTOR('',#205751,10.); #56199=VECTOR('',#205754,10.); #56200=VECTOR('',#205755,10.); #56201=VECTOR('',#205758,10.); #56202=VECTOR('',#205759,10.); #56203=VECTOR('',#205762,10.); #56204=VECTOR('',#205767,10.); #56205=VECTOR('',#205768,10.); #56206=VECTOR('',#205771,10.); #56207=VECTOR('',#205772,10.); #56208=VECTOR('',#205775,10.); #56209=VECTOR('',#205776,10.); #56210=VECTOR('',#205779,10.); #56211=VECTOR('',#205784,10.); #56212=VECTOR('',#205785,10.); #56213=VECTOR('',#205788,10.); #56214=VECTOR('',#205789,10.); #56215=VECTOR('',#205792,10.); #56216=VECTOR('',#205793,10.); #56217=VECTOR('',#205796,10.); #56218=VECTOR('',#205801,10.); #56219=VECTOR('',#205802,10.); #56220=VECTOR('',#205805,10.); #56221=VECTOR('',#205806,10.); #56222=VECTOR('',#205809,10.); #56223=VECTOR('',#205814,10.); #56224=VECTOR('',#205815,10.); #56225=VECTOR('',#205818,10.); #56226=VECTOR('',#205819,10.); #56227=VECTOR('',#205822,10.); #56228=VECTOR('',#205827,10.); #56229=VECTOR('',#205832,10.); #56230=VECTOR('',#205833,10.); #56231=VECTOR('',#205836,10.); #56232=VECTOR('',#205837,10.); #56233=VECTOR('',#205840,10.); #56234=VECTOR('',#205853,10.); #56235=VECTOR('',#205856,10.); #56236=VECTOR('',#205861,10.); #56237=VECTOR('',#205868,10.); #56238=VECTOR('',#205873,10.); #56239=VECTOR('',#205874,10.); #56240=VECTOR('',#205875,10.); #56241=VECTOR('',#205880,10.); #56242=VECTOR('',#205887,10.); #56243=VECTOR('',#205894,10.); #56244=VECTOR('',#205901,10.); #56245=VECTOR('',#205912,10.); #56246=VECTOR('',#205913,10.); #56247=VECTOR('',#205914,10.); #56248=VECTOR('',#205917,10.); #56249=VECTOR('',#205922,10.); #56250=VECTOR('',#205927,10.); #56251=VECTOR('',#205932,10.); #56252=VECTOR('',#205937,10.); #56253=VECTOR('',#205942,10.); #56254=VECTOR('',#205947,10.); #56255=VECTOR('',#205952,10.); #56256=VECTOR('',#205957,10.); #56257=VECTOR('',#205962,10.); #56258=VECTOR('',#205967,10.); #56259=VECTOR('',#205968,10.); #56260=VECTOR('',#205969,10.); #56261=VECTOR('',#205972,10.); #56262=VECTOR('',#205977,10.); #56263=VECTOR('',#205982,10.); #56264=VECTOR('',#205983,10.); #56265=VECTOR('',#205986,10.); #56266=VECTOR('',#205987,10.); #56267=VECTOR('',#205990,10.); #56268=VECTOR('',#205991,10.); #56269=VECTOR('',#205994,10.); #56270=VECTOR('',#205995,10.); #56271=VECTOR('',#205998,10.); #56272=VECTOR('',#205999,10.); #56273=VECTOR('',#206002,10.); #56274=VECTOR('',#206007,10.); #56275=VECTOR('',#206012,10.); #56276=VECTOR('',#206017,10.); #56277=VECTOR('',#206018,10.); #56278=VECTOR('',#206021,10.); #56279=VECTOR('',#206026,10.); #56280=VECTOR('',#206031,10.); #56281=VECTOR('',#206036,10.); #56282=VECTOR('',#206037,10.); #56283=VECTOR('',#206040,10.); #56284=VECTOR('',#206045,10.); #56285=VECTOR('',#206046,10.); #56286=VECTOR('',#206049,10.); #56287=VECTOR('',#206050,10.); #56288=VECTOR('',#206053,10.); #56289=VECTOR('',#206054,10.); #56290=VECTOR('',#206057,10.); #56291=VECTOR('',#206058,10.); #56292=VECTOR('',#206061,10.); #56293=VECTOR('',#206062,10.); #56294=VECTOR('',#206065,10.); #56295=VECTOR('',#206066,10.); #56296=VECTOR('',#206069,10.); #56297=VECTOR('',#206070,10.); #56298=VECTOR('',#206073,10.); #56299=VECTOR('',#206078,10.); #56300=VECTOR('',#206079,10.); #56301=VECTOR('',#206082,10.); #56302=VECTOR('',#206083,10.); #56303=VECTOR('',#206086,10.); #56304=VECTOR('',#206087,10.); #56305=VECTOR('',#206090,10.); #56306=VECTOR('',#206095,10.); #56307=VECTOR('',#206096,10.); #56308=VECTOR('',#206099,10.); #56309=VECTOR('',#206104,10.); #56310=VECTOR('',#206109,10.); #56311=VECTOR('',#206110,10.); #56312=VECTOR('',#206113,10.); #56313=VECTOR('',#206118,10.); #56314=VECTOR('',#206123,10.); #56315=VECTOR('',#206124,10.); #56316=VECTOR('',#206127,10.); #56317=VECTOR('',#206132,10.); #56318=VECTOR('',#206133,10.); #56319=VECTOR('',#206136,10.); #56320=VECTOR('',#206137,10.); #56321=VECTOR('',#206140,10.); #56322=VECTOR('',#206141,10.); #56323=VECTOR('',#206144,10.); #56324=VECTOR('',#206149,10.); #56325=VECTOR('',#206150,10.); #56326=VECTOR('',#206153,10.); #56327=VECTOR('',#206154,10.); #56328=VECTOR('',#206157,10.); #56329=VECTOR('',#206158,10.); #56330=VECTOR('',#206161,10.); #56331=VECTOR('',#206162,10.); #56332=VECTOR('',#206171,10.); #56333=VECTOR('',#206172,10.); #56334=VECTOR('',#206173,10.); #56335=VECTOR('',#206176,10.); #56336=VECTOR('',#206177,10.); #56337=VECTOR('',#206180,10.); #56338=VECTOR('',#206181,10.); #56339=VECTOR('',#206184,10.); #56340=VECTOR('',#206185,10.); #56341=VECTOR('',#206188,10.); #56342=VECTOR('',#206189,10.); #56343=VECTOR('',#206192,10.); #56344=VECTOR('',#206193,10.); #56345=VECTOR('',#206196,10.); #56346=VECTOR('',#206201,10.); #56347=VECTOR('',#206202,10.); #56348=VECTOR('',#206205,10.); #56349=VECTOR('',#206210,10.); #56350=VECTOR('',#206211,10.); #56351=VECTOR('',#206214,10.); #56352=VECTOR('',#206215,10.); #56353=VECTOR('',#206218,10.); #56354=VECTOR('',#206223,10.); #56355=VECTOR('',#206224,10.); #56356=VECTOR('',#206227,10.); #56357=VECTOR('',#206232,10.); #56358=VECTOR('',#206233,10.); #56359=VECTOR('',#206236,10.); #56360=VECTOR('',#206241,10.); #56361=VECTOR('',#206242,10.); #56362=VECTOR('',#206251,10.); #56363=VECTOR('',#206254,10.); #56364=VECTOR('',#206257,10.); #56365=VECTOR('',#206258,10.); #56366=VECTOR('',#206261,10.); #56367=VECTOR('',#206266,10.); #56368=VECTOR('',#206267,10.); #56369=VECTOR('',#206270,10.); #56370=VECTOR('',#206275,10.); #56371=VECTOR('',#206276,10.); #56372=VECTOR('',#206279,10.); #56373=VECTOR('',#206280,10.); #56374=VECTOR('',#206283,10.); #56375=VECTOR('',#206288,10.); #56376=VECTOR('',#206289,10.); #56377=VECTOR('',#206292,10.); #56378=VECTOR('',#206297,10.); #56379=VECTOR('',#206298,10.); #56380=VECTOR('',#206301,10.); #56381=VECTOR('',#206302,10.); #56382=VECTOR('',#206305,10.); #56383=VECTOR('',#206310,10.); #56384=VECTOR('',#206315,10.); #56385=VECTOR('',#206318,10.); #56386=VECTOR('',#206321,10.); #56387=VECTOR('',#206322,10.); #56388=VECTOR('',#206325,10.); #56389=VECTOR('',#206326,10.); #56390=VECTOR('',#206329,10.); #56391=VECTOR('',#206334,10.); #56392=VECTOR('',#206335,10.); #56393=VECTOR('',#206338,10.); #56394=VECTOR('',#206343,10.); #56395=VECTOR('',#206344,10.); #56396=VECTOR('',#206347,10.); #56397=VECTOR('',#206348,10.); #56398=VECTOR('',#206351,10.); #56399=VECTOR('',#206356,10.); #56400=VECTOR('',#206357,10.); #56401=VECTOR('',#206360,10.); #56402=VECTOR('',#206365,10.); #56403=VECTOR('',#206366,10.); #56404=VECTOR('',#206369,10.); #56405=VECTOR('',#206374,10.); #56406=VECTOR('',#206375,10.); #56407=VECTOR('',#206378,10.); #56408=VECTOR('',#206383,10.); #56409=VECTOR('',#206384,10.); #56410=VECTOR('',#206387,10.); #56411=VECTOR('',#206388,10.); #56412=VECTOR('',#206391,10.); #56413=VECTOR('',#206396,10.); #56414=VECTOR('',#206397,10.); #56415=VECTOR('',#206400,10.); #56416=VECTOR('',#206405,10.); #56417=VECTOR('',#206406,10.); #56418=VECTOR('',#206409,10.); #56419=VECTOR('',#206414,10.); #56420=VECTOR('',#206419,10.); #56421=VECTOR('',#206420,10.); #56422=VECTOR('',#206421,10.); #56423=VECTOR('',#206424,10.); #56424=VECTOR('',#206425,10.); #56425=VECTOR('',#206428,10.); #56426=VECTOR('',#206429,10.); #56427=VECTOR('',#206432,10.); #56428=VECTOR('',#206433,10.); #56429=VECTOR('',#206436,10.); #56430=VECTOR('',#206441,10.); #56431=VECTOR('',#206446,10.); #56432=VECTOR('',#206447,10.); #56433=VECTOR('',#206450,10.); #56434=VECTOR('',#206451,10.); #56435=VECTOR('',#206454,10.); #56436=VECTOR('',#206455,10.); #56437=VECTOR('',#206458,10.); #56438=VECTOR('',#206459,10.); #56439=VECTOR('',#206462,10.); #56440=VECTOR('',#206467,10.); #56441=VECTOR('',#206468,10.); #56442=VECTOR('',#206471,10.); #56443=VECTOR('',#206472,10.); #56444=VECTOR('',#206475,10.); #56445=VECTOR('',#206480,10.); #56446=VECTOR('',#206481,10.); #56447=VECTOR('',#206484,10.); #56448=VECTOR('',#206485,10.); #56449=VECTOR('',#206488,10.); #56450=VECTOR('',#206493,10.); #56451=VECTOR('',#206498,10.); #56452=VECTOR('',#206499,10.); #56453=VECTOR('',#206502,10.); #56454=VECTOR('',#206503,10.); #56455=VECTOR('',#206506,10.); #56456=VECTOR('',#206511,10.); #56457=VECTOR('',#206512,10.); #56458=VECTOR('',#206515,10.); #56459=VECTOR('',#206516,10.); #56460=VECTOR('',#206519,10.); #56461=VECTOR('',#206520,10.); #56462=VECTOR('',#206523,10.); #56463=VECTOR('',#206524,10.); #56464=VECTOR('',#206527,10.); #56465=VECTOR('',#206528,10.); #56466=VECTOR('',#206531,10.); #56467=VECTOR('',#206532,10.); #56468=VECTOR('',#206535,10.); #56469=VECTOR('',#206536,10.); #56470=VECTOR('',#206539,10.); #56471=VECTOR('',#206544,10.); #56472=VECTOR('',#206545,10.); #56473=VECTOR('',#206548,10.); #56474=VECTOR('',#206549,10.); #56475=VECTOR('',#206552,10.); #56476=VECTOR('',#206553,10.); #56477=VECTOR('',#206556,10.); #56478=VECTOR('',#206561,10.); #56479=VECTOR('',#206562,10.); #56480=VECTOR('',#206565,10.); #56481=VECTOR('',#206566,10.); #56482=VECTOR('',#206569,10.); #56483=VECTOR('',#206570,10.); #56484=VECTOR('',#206573,10.); #56485=VECTOR('',#206574,10.); #56486=VECTOR('',#206577,10.); #56487=VECTOR('',#206582,10.); #56488=VECTOR('',#206583,10.); #56489=VECTOR('',#206586,10.); #56490=VECTOR('',#206587,10.); #56491=VECTOR('',#206590,10.); #56492=VECTOR('',#206591,10.); #56493=VECTOR('',#206594,10.); #56494=VECTOR('',#206595,10.); #56495=VECTOR('',#206598,10.); #56496=VECTOR('',#206603,10.); #56497=VECTOR('',#206604,10.); #56498=VECTOR('',#206607,10.); #56499=VECTOR('',#206608,10.); #56500=VECTOR('',#206611,10.); #56501=VECTOR('',#206612,10.); #56502=VECTOR('',#206615,10.); #56503=VECTOR('',#206620,10.); #56504=VECTOR('',#206621,10.); #56505=VECTOR('',#206624,10.); #56506=VECTOR('',#206625,10.); #56507=VECTOR('',#206628,10.); #56508=VECTOR('',#206633,10.); #56509=VECTOR('',#206634,10.); #56510=VECTOR('',#206637,10.); #56511=VECTOR('',#206638,10.); #56512=VECTOR('',#206641,10.); #56513=VECTOR('',#206642,10.); #56514=VECTOR('',#206645,10.); #56515=VECTOR('',#206650,10.); #56516=VECTOR('',#206655,10.); #56517=VECTOR('',#206656,10.); #56518=VECTOR('',#206659,10.); #56519=VECTOR('',#206660,10.); #56520=VECTOR('',#206663,10.); #56521=VECTOR('',#206668,10.); #56522=VECTOR('',#206673,10.); #56523=VECTOR('',#206674,10.); #56524=VECTOR('',#206677,10.); #56525=VECTOR('',#206682,10.); #56526=VECTOR('',#206687,10.); #56527=VECTOR('',#206688,10.); #56528=VECTOR('',#206691,10.); #56529=VECTOR('',#206692,10.); #56530=VECTOR('',#206695,10.); #56531=VECTOR('',#206700,10.); #56532=VECTOR('',#206705,10.); #56533=VECTOR('',#206706,10.); #56534=VECTOR('',#206709,10.); #56535=VECTOR('',#206710,10.); #56536=VECTOR('',#206713,10.); #56537=VECTOR('',#206714,10.); #56538=VECTOR('',#206717,10.); #56539=VECTOR('',#206722,10.); #56540=VECTOR('',#206723,10.); #56541=VECTOR('',#206726,10.); #56542=VECTOR('',#206727,10.); #56543=VECTOR('',#206730,10.); #56544=VECTOR('',#206731,10.); #56545=VECTOR('',#206734,10.); #56546=VECTOR('',#206735,10.); #56547=VECTOR('',#206738,10.); #56548=VECTOR('',#206739,10.); #56549=VECTOR('',#206742,10.); #56550=VECTOR('',#206747,10.); #56551=VECTOR('',#206748,10.); #56552=VECTOR('',#206751,10.); #56553=VECTOR('',#206752,10.); #56554=VECTOR('',#206755,10.); #56555=VECTOR('',#206756,10.); #56556=VECTOR('',#206759,10.); #56557=VECTOR('',#206760,10.); #56558=VECTOR('',#206763,10.); #56559=VECTOR('',#206764,10.); #56560=VECTOR('',#206767,10.); #56561=VECTOR('',#206772,10.); #56562=VECTOR('',#206773,10.); #56563=VECTOR('',#206774,10.); #56564=VECTOR('',#206777,10.); #56565=VECTOR('',#206782,10.); #56566=VECTOR('',#206783,10.); #56567=VECTOR('',#206786,10.); #56568=VECTOR('',#206787,10.); #56569=VECTOR('',#206790,10.); #56570=VECTOR('',#206791,10.); #56571=VECTOR('',#206794,10.); #56572=VECTOR('',#206795,10.); #56573=VECTOR('',#206798,10.); #56574=VECTOR('',#206803,10.); #56575=VECTOR('',#206804,10.); #56576=VECTOR('',#206807,10.); #56577=VECTOR('',#206808,10.); #56578=VECTOR('',#206811,10.); #56579=VECTOR('',#206812,10.); #56580=VECTOR('',#206815,10.); #56581=VECTOR('',#206820,10.); #56582=VECTOR('',#206821,10.); #56583=VECTOR('',#206824,10.); #56584=VECTOR('',#206825,10.); #56585=VECTOR('',#206828,10.); #56586=VECTOR('',#206829,10.); #56587=VECTOR('',#206832,10.); #56588=VECTOR('',#206833,10.); #56589=VECTOR('',#206836,10.); #56590=VECTOR('',#206841,10.); #56591=VECTOR('',#206842,10.); #56592=VECTOR('',#206845,10.); #56593=VECTOR('',#206846,10.); #56594=VECTOR('',#206849,10.); #56595=VECTOR('',#206850,10.); #56596=VECTOR('',#206853,10.); #56597=VECTOR('',#206854,10.); #56598=VECTOR('',#206857,10.); #56599=VECTOR('',#206858,10.); #56600=VECTOR('',#206861,10.); #56601=VECTOR('',#206862,10.); #56602=VECTOR('',#206865,10.); #56603=VECTOR('',#206866,10.); #56604=VECTOR('',#206869,10.); #56605=VECTOR('',#206874,10.); #56606=VECTOR('',#206875,10.); #56607=VECTOR('',#206876,10.); #56608=VECTOR('',#206877,10.); #56609=VECTOR('',#206880,10.); #56610=VECTOR('',#206881,10.); #56611=VECTOR('',#206882,10.); #56612=VECTOR('',#206885,10.); #56613=VECTOR('',#206886,10.); #56614=VECTOR('',#206887,10.); #56615=VECTOR('',#206890,10.); #56616=VECTOR('',#206891,10.); #56617=VECTOR('',#206892,10.); #56618=VECTOR('',#206897,10.); #56619=VECTOR('',#206904,10.); #56620=VECTOR('',#206911,10.); #56621=VECTOR('',#206918,10.); #56622=VECTOR('',#206929,10.); #56623=VECTOR('',#206932,10.); #56624=VECTOR('',#206935,10.); #56625=VECTOR('',#206936,10.); #56626=VECTOR('',#206939,10.); #56627=VECTOR('',#206944,10.); #56628=VECTOR('',#206949,10.); #56629=VECTOR('',#206950,10.); #56630=VECTOR('',#206953,10.); #56631=VECTOR('',#206954,10.); #56632=VECTOR('',#206957,10.); #56633=VECTOR('',#206958,10.); #56634=VECTOR('',#206961,10.); #56635=VECTOR('',#206962,10.); #56636=VECTOR('',#206965,10.); #56637=VECTOR('',#206966,10.); #56638=VECTOR('',#206969,10.); #56639=VECTOR('',#206970,10.); #56640=VECTOR('',#206973,10.); #56641=VECTOR('',#206974,10.); #56642=VECTOR('',#206977,10.); #56643=VECTOR('',#206982,10.); #56644=VECTOR('',#206983,10.); #56645=VECTOR('',#206986,10.); #56646=VECTOR('',#206991,10.); #56647=VECTOR('',#206996,10.); #56648=VECTOR('',#206997,10.); #56649=VECTOR('',#207000,10.); #56650=VECTOR('',#207005,10.); #56651=VECTOR('',#207010,10.); #56652=VECTOR('',#207015,10.); #56653=VECTOR('',#207016,10.); #56654=VECTOR('',#207019,10.); #56655=VECTOR('',#207020,10.); #56656=VECTOR('',#207023,10.); #56657=VECTOR('',#207024,10.); #56658=VECTOR('',#207027,10.); #56659=VECTOR('',#207028,10.); #56660=VECTOR('',#207031,10.); #56661=VECTOR('',#207032,10.); #56662=VECTOR('',#207035,10.); #56663=VECTOR('',#207036,10.); #56664=VECTOR('',#207039,10.); #56665=VECTOR('',#207040,10.); #56666=VECTOR('',#207043,10.); #56667=VECTOR('',#207044,10.); #56668=VECTOR('',#207047,10.); #56669=VECTOR('',#207052,10.); #56670=VECTOR('',#207057,10.); #56671=VECTOR('',#207068,10.); #56672=VECTOR('',#207069,10.); #56673=VECTOR('',#207070,10.); #56674=VECTOR('',#207073,10.); #56675=VECTOR('',#207074,10.); #56676=VECTOR('',#207077,10.); #56677=VECTOR('',#207078,10.); #56678=VECTOR('',#207081,10.); #56679=VECTOR('',#207086,10.); #56680=VECTOR('',#207087,10.); #56681=VECTOR('',#207090,10.); #56682=VECTOR('',#207095,10.); #56683=VECTOR('',#207096,10.); #56684=VECTOR('',#207099,10.); #56685=VECTOR('',#207100,10.); #56686=VECTOR('',#207103,10.); #56687=VECTOR('',#207104,10.); #56688=VECTOR('',#207107,10.); #56689=VECTOR('',#207108,10.); #56690=VECTOR('',#207111,10.); #56691=VECTOR('',#207118,10.); #56692=VECTOR('',#207121,10.); #56693=VECTOR('',#207124,10.); #56694=VECTOR('',#207125,10.); #56695=VECTOR('',#207126,10.); #56696=VECTOR('',#207131,10.); #56697=VECTOR('',#207138,10.); #56698=VECTOR('',#207145,10.); #56699=VECTOR('',#207152,10.); #56700=VECTOR('',#207159,10.); #56701=VECTOR('',#207166,10.); #56702=VECTOR('',#207173,10.); #56703=VECTOR('',#207184,10.); #56704=VECTOR('',#207187,10.); #56705=VECTOR('',#207190,10.); #56706=VECTOR('',#207191,10.); #56707=VECTOR('',#207194,10.); #56708=VECTOR('',#207195,10.); #56709=VECTOR('',#207198,10.); #56710=VECTOR('',#207199,10.); #56711=VECTOR('',#207202,10.); #56712=VECTOR('',#207203,10.); #56713=VECTOR('',#207206,10.); #56714=VECTOR('',#207207,10.); #56715=VECTOR('',#207210,10.); #56716=VECTOR('',#207211,10.); #56717=VECTOR('',#207214,10.); #56718=VECTOR('',#207215,10.); #56719=VECTOR('',#207218,10.); #56720=VECTOR('',#207219,10.); #56721=VECTOR('',#207222,10.); #56722=VECTOR('',#207223,10.); #56723=VECTOR('',#207226,10.); #56724=VECTOR('',#207227,10.); #56725=VECTOR('',#207230,10.); #56726=VECTOR('',#207231,10.); #56727=VECTOR('',#207234,10.); #56728=VECTOR('',#207235,10.); #56729=VECTOR('',#207238,10.); #56730=VECTOR('',#207239,10.); #56731=VECTOR('',#207242,10.); #56732=VECTOR('',#207243,10.); #56733=VECTOR('',#207246,10.); #56734=VECTOR('',#207247,10.); #56735=VECTOR('',#207250,10.); #56736=VECTOR('',#207251,10.); #56737=VECTOR('',#207254,10.); #56738=VECTOR('',#207259,10.); #56739=VECTOR('',#207260,10.); #56740=VECTOR('',#207263,10.); #56741=VECTOR('',#207268,10.); #56742=VECTOR('',#207273,10.); #56743=VECTOR('',#207274,10.); #56744=VECTOR('',#207277,10.); #56745=VECTOR('',#207282,10.); #56746=VECTOR('',#207287,10.); #56747=VECTOR('',#207292,10.); #56748=VECTOR('',#207297,10.); #56749=VECTOR('',#207302,10.); #56750=VECTOR('',#207307,10.); #56751=VECTOR('',#207312,10.); #56752=VECTOR('',#207317,10.); #56753=VECTOR('',#207322,10.); #56754=VECTOR('',#207323,10.); #56755=VECTOR('',#207326,10.); #56756=VECTOR('',#207331,10.); #56757=VECTOR('',#207332,10.); #56758=VECTOR('',#207335,10.); #56759=VECTOR('',#207336,10.); #56760=VECTOR('',#207339,10.); #56761=VECTOR('',#207340,10.); #56762=VECTOR('',#207343,10.); #56763=VECTOR('',#207348,10.); #56764=VECTOR('',#207351,10.); #56765=VECTOR('',#207354,10.); #56766=VECTOR('',#207359,10.); #56767=VECTOR('',#207364,10.); #56768=VECTOR('',#207365,10.); #56769=VECTOR('',#207368,10.); #56770=VECTOR('',#207373,10.); #56771=VECTOR('',#207374,10.); #56772=VECTOR('',#207377,10.); #56773=VECTOR('',#207378,10.); #56774=VECTOR('',#207381,10.); #56775=VECTOR('',#207386,10.); #56776=VECTOR('',#207387,10.); #56777=VECTOR('',#207390,10.); #56778=VECTOR('',#207391,10.); #56779=VECTOR('',#207394,10.); #56780=VECTOR('',#207399,10.); #56781=VECTOR('',#207404,10.); #56782=VECTOR('',#207409,10.); #56783=VECTOR('',#207414,10.); #56784=VECTOR('',#207419,10.); #56785=VECTOR('',#207424,10.); #56786=VECTOR('',#207425,10.); #56787=VECTOR('',#207428,10.); #56788=VECTOR('',#207433,10.); #56789=VECTOR('',#207438,10.); #56790=VECTOR('',#207443,10.); #56791=VECTOR('',#207448,10.); #56792=VECTOR('',#207453,10.); #56793=VECTOR('',#207454,10.); #56794=VECTOR('',#207457,10.); #56795=VECTOR('',#207458,10.); #56796=VECTOR('',#207461,10.); #56797=VECTOR('',#207462,10.); #56798=VECTOR('',#207465,10.); #56799=VECTOR('',#207466,10.); #56800=VECTOR('',#207469,10.); #56801=VECTOR('',#207470,10.); #56802=VECTOR('',#207473,10.); #56803=VECTOR('',#207474,10.); #56804=VECTOR('',#207477,10.); #56805=VECTOR('',#207478,10.); #56806=VECTOR('',#207481,10.); #56807=VECTOR('',#207486,10.); #56808=VECTOR('',#207487,10.); #56809=VECTOR('',#207490,10.); #56810=VECTOR('',#207495,10.); #56811=VECTOR('',#207496,10.); #56812=VECTOR('',#207499,10.); #56813=VECTOR('',#207500,10.); #56814=VECTOR('',#207503,10.); #56815=VECTOR('',#207508,10.); #56816=VECTOR('',#207513,10.); #56817=VECTOR('',#207518,10.); #56818=VECTOR('',#207523,10.); #56819=VECTOR('',#207528,10.); #56820=VECTOR('',#207533,10.); #56821=VECTOR('',#207534,10.); #56822=VECTOR('',#207537,10.); #56823=VECTOR('',#207548,10.); #56824=VECTOR('',#207549,10.); #56825=VECTOR('',#207550,10.); #56826=VECTOR('',#207553,10.); #56827=VECTOR('',#207554,10.); #56828=VECTOR('',#207557,10.); #56829=VECTOR('',#207558,10.); #56830=VECTOR('',#207561,10.); #56831=VECTOR('',#207562,10.); #56832=VECTOR('',#207565,10.); #56833=VECTOR('',#207566,10.); #56834=VECTOR('',#207569,10.); #56835=VECTOR('',#207570,10.); #56836=VECTOR('',#207573,10.); #56837=VECTOR('',#207578,10.); #56838=VECTOR('',#207579,10.); #56839=VECTOR('',#207582,10.); #56840=VECTOR('',#207587,10.); #56841=VECTOR('',#207588,10.); #56842=VECTOR('',#207591,10.); #56843=VECTOR('',#207592,10.); #56844=VECTOR('',#207595,10.); #56845=VECTOR('',#207596,10.); #56846=VECTOR('',#207599,10.); #56847=VECTOR('',#207600,10.); #56848=VECTOR('',#207603,10.); #56849=VECTOR('',#207604,10.); #56850=VECTOR('',#207607,10.); #56851=VECTOR('',#207608,10.); #56852=VECTOR('',#207611,10.); #56853=VECTOR('',#207612,10.); #56854=VECTOR('',#207615,10.); #56855=VECTOR('',#207616,10.); #56856=VECTOR('',#207619,10.); #56857=VECTOR('',#207620,10.); #56858=VECTOR('',#207623,10.); #56859=VECTOR('',#207624,10.); #56860=VECTOR('',#207627,10.); #56861=VECTOR('',#207628,10.); #56862=VECTOR('',#207631,10.); #56863=VECTOR('',#207632,10.); #56864=VECTOR('',#207635,10.); #56865=VECTOR('',#207636,10.); #56866=VECTOR('',#207639,10.); #56867=VECTOR('',#207640,10.); #56868=VECTOR('',#207643,10.); #56869=VECTOR('',#207644,10.); #56870=VECTOR('',#207647,10.); #56871=VECTOR('',#207648,10.); #56872=VECTOR('',#207651,10.); #56873=VECTOR('',#207652,10.); #56874=VECTOR('',#207655,10.); #56875=VECTOR('',#207656,10.); #56876=VECTOR('',#207659,10.); #56877=VECTOR('',#207660,10.); #56878=VECTOR('',#207663,10.); #56879=VECTOR('',#207664,10.); #56880=VECTOR('',#207667,10.); #56881=VECTOR('',#207668,10.); #56882=VECTOR('',#207671,10.); #56883=VECTOR('',#207676,10.); #56884=VECTOR('',#207677,10.); #56885=VECTOR('',#207680,10.); #56886=VECTOR('',#207685,10.); #56887=VECTOR('',#207686,10.); #56888=VECTOR('',#207689,10.); #56889=VECTOR('',#207690,10.); #56890=VECTOR('',#207693,10.); #56891=VECTOR('',#207694,10.); #56892=VECTOR('',#207697,10.); #56893=VECTOR('',#207698,10.); #56894=VECTOR('',#207701,10.); #56895=VECTOR('',#207702,10.); #56896=VECTOR('',#207705,10.); #56897=VECTOR('',#207706,10.); #56898=VECTOR('',#207709,10.); #56899=VECTOR('',#207710,10.); #56900=VECTOR('',#207713,10.); #56901=VECTOR('',#207714,10.); #56902=VECTOR('',#207717,10.); #56903=VECTOR('',#207718,10.); #56904=VECTOR('',#207721,10.); #56905=VECTOR('',#207722,10.); #56906=VECTOR('',#207725,10.); #56907=VECTOR('',#207726,10.); #56908=VECTOR('',#207729,10.); #56909=VECTOR('',#207730,10.); #56910=VECTOR('',#207733,10.); #56911=VECTOR('',#207734,10.); #56912=VECTOR('',#207737,10.); #56913=VECTOR('',#207738,10.); #56914=VECTOR('',#207741,10.); #56915=VECTOR('',#207742,10.); #56916=VECTOR('',#207745,10.); #56917=VECTOR('',#207746,10.); #56918=VECTOR('',#207749,10.); #56919=VECTOR('',#207750,10.); #56920=VECTOR('',#207753,10.); #56921=VECTOR('',#207754,10.); #56922=VECTOR('',#207757,10.); #56923=VECTOR('',#207758,10.); #56924=VECTOR('',#207761,10.); #56925=VECTOR('',#207762,10.); #56926=VECTOR('',#207765,10.); #56927=VECTOR('',#207766,10.); #56928=VECTOR('',#207769,10.); #56929=VECTOR('',#207770,10.); #56930=VECTOR('',#207773,10.); #56931=VECTOR('',#207774,10.); #56932=VECTOR('',#207777,10.); #56933=VECTOR('',#207778,10.); #56934=VECTOR('',#207781,10.); #56935=VECTOR('',#207782,10.); #56936=VECTOR('',#207785,10.); #56937=VECTOR('',#207786,10.); #56938=VECTOR('',#207789,10.); #56939=VECTOR('',#207790,10.); #56940=VECTOR('',#207793,10.); #56941=VECTOR('',#207794,10.); #56942=VECTOR('',#207797,10.); #56943=VECTOR('',#207798,10.); #56944=VECTOR('',#207801,10.); #56945=VECTOR('',#207802,10.); #56946=VECTOR('',#207805,10.); #56947=VECTOR('',#207810,10.); #56948=VECTOR('',#207811,10.); #56949=VECTOR('',#207812,10.); #56950=VECTOR('',#207815,10.); #56951=VECTOR('',#207820,10.); #56952=VECTOR('',#207821,10.); #56953=VECTOR('',#207824,10.); #56954=VECTOR('',#207829,10.); #56955=VECTOR('',#207830,10.); #56956=VECTOR('',#207833,10.); #56957=VECTOR('',#207838,10.); #56958=VECTOR('',#207839,10.); #56959=VECTOR('',#207858,0.4); #56960=VECTOR('',#207863,0.399999999999999); #56961=VECTOR('',#207868,0.399999999999999); #56962=VECTOR('',#207873,0.399999999999999); #56963=VECTOR('',#207878,0.4); #56964=VECTOR('',#207883,0.399999999999999); #56965=VECTOR('',#207888,0.399999999999999); #56966=VECTOR('',#207893,0.4); #56967=VECTOR('',#207898,0.4); #56968=VECTOR('',#207903,0.399999999999999); #56969=VECTOR('',#207908,0.4); #56970=VECTOR('',#207913,0.400000000000001); #56971=VECTOR('',#207918,0.400000000000001); #56972=VECTOR('',#207923,0.399999999999999); #56973=VECTOR('',#207926,1000.); #56974=VECTOR('',#207927,1000.); #56975=VECTOR('',#207928,1000.); #56976=VECTOR('',#207929,1000.); #56977=VECTOR('',#207932,1000.); #56978=VECTOR('',#207933,1000.); #56979=VECTOR('',#207934,1000.); #56980=VECTOR('',#207935,1000.); #56981=VECTOR('',#207938,1000.); #56982=VECTOR('',#207939,1000.); #56983=VECTOR('',#207940,1000.); #56984=VECTOR('',#207941,1000.); #56985=VECTOR('',#207944,1000.); #56986=VECTOR('',#207945,1000.); #56987=VECTOR('',#207946,1000.); #56988=VECTOR('',#207947,1000.); #56989=VECTOR('',#207950,1000.); #56990=VECTOR('',#207951,1000.); #56991=VECTOR('',#207952,1000.); #56992=VECTOR('',#207955,1000.); #56993=VECTOR('',#207956,1000.); #56994=VECTOR('',#207957,1000.); #56995=VECTOR('',#207960,1000.); #56996=VECTOR('',#207961,1000.); #56997=VECTOR('',#207962,1000.); #56998=VECTOR('',#207965,1000.); #56999=VECTOR('',#207966,1000.); #57000=VECTOR('',#207967,1000.); #57001=VECTOR('',#207970,1000.); #57002=VECTOR('',#207971,1000.); #57003=VECTOR('',#207972,1000.); #57004=VECTOR('',#207975,1000.); #57005=VECTOR('',#207976,1000.); #57006=VECTOR('',#207979,1000.); #57007=VECTOR('',#207980,1000.); #57008=VECTOR('',#207983,1000.); #57009=VECTOR('',#207986,1000.); #57010=VECTOR('',#207987,1000.); #57011=VECTOR('',#207988,1000.); #57012=VECTOR('',#207989,1000.); #57013=VECTOR('',#207992,1000.); #57014=VECTOR('',#207993,1000.); #57015=VECTOR('',#207994,1000.); #57016=VECTOR('',#207997,1000.); #57017=VECTOR('',#207998,1000.); #57018=VECTOR('',#207999,1000.); #57019=VECTOR('',#208002,1000.); #57020=VECTOR('',#208003,1000.); #57021=VECTOR('',#208004,1000.); #57022=VECTOR('',#208007,1000.); #57023=VECTOR('',#208008,1000.); #57024=VECTOR('',#208009,1000.); #57025=VECTOR('',#208012,1000.); #57026=VECTOR('',#208013,1000.); #57027=VECTOR('',#208014,1000.); #57028=VECTOR('',#208017,1000.); #57029=VECTOR('',#208018,1000.); #57030=VECTOR('',#208021,1000.); #57031=VECTOR('',#208022,1000.); #57032=VECTOR('',#208025,1000.); #57033=VECTOR('',#208028,1000.); #57034=VECTOR('',#208029,1000.); #57035=VECTOR('',#208030,1000.); #57036=VECTOR('',#208033,1000.); #57037=VECTOR('',#208034,1000.); #57038=VECTOR('',#208035,1000.); #57039=VECTOR('',#208036,1000.); #57040=VECTOR('',#208039,1000.); #57041=VECTOR('',#208040,1000.); #57042=VECTOR('',#208041,1000.); #57043=VECTOR('',#208044,1000.); #57044=VECTOR('',#208045,1000.); #57045=VECTOR('',#208046,1000.); #57046=VECTOR('',#208049,1000.); #57047=VECTOR('',#208050,1000.); #57048=VECTOR('',#208051,1000.); #57049=VECTOR('',#208054,1000.); #57050=VECTOR('',#208055,1000.); #57051=VECTOR('',#208056,1000.); #57052=VECTOR('',#208061,1000.); #57053=VECTOR('',#208062,1000.); #57054=VECTOR('',#208063,1000.); #57055=VECTOR('',#208064,1000.); #57056=VECTOR('',#208067,1000.); #57057=VECTOR('',#208070,1000.); #57058=VECTOR('',#208071,1000.); #57059=VECTOR('',#208074,1000.); #57060=VECTOR('',#208077,1000.); #57061=VECTOR('',#208078,1000.); #57062=VECTOR('',#208081,1000.); #57063=VECTOR('',#208084,1000.); #57064=VECTOR('',#208085,1000.); #57065=VECTOR('',#208088,1000.); #57066=VECTOR('',#208091,1000.); #57067=VECTOR('',#208094,1000.); #57068=VECTOR('',#208095,1000.); #57069=VECTOR('',#208098,1000.); #57070=VECTOR('',#208101,1000.); #57071=VECTOR('',#208102,1000.); #57072=VECTOR('',#208105,1000.); #57073=VECTOR('',#208108,1000.); #57074=VECTOR('',#208109,1000.); #57075=VECTOR('',#208112,1000.); #57076=VECTOR('',#208113,1000.); #57077=VECTOR('',#208114,1000.); #57078=VECTOR('',#208115,1000.); #57079=VECTOR('',#208118,1000.); #57080=VECTOR('',#208119,1000.); #57081=VECTOR('',#208120,1000.); #57082=VECTOR('',#208123,1000.); #57083=VECTOR('',#208124,1000.); #57084=VECTOR('',#208125,1000.); #57085=VECTOR('',#208128,1000.); #57086=VECTOR('',#208129,1000.); #57087=VECTOR('',#208130,1000.); #57088=VECTOR('',#208133,1000.); #57089=VECTOR('',#208134,1000.); #57090=VECTOR('',#208135,1000.); #57091=VECTOR('',#208138,1000.); #57092=VECTOR('',#208139,1000.); #57093=VECTOR('',#208140,1000.); #57094=VECTOR('',#208143,1000.); #57095=VECTOR('',#208144,1000.); #57096=VECTOR('',#208147,1000.); #57097=VECTOR('',#208148,1000.); #57098=VECTOR('',#208151,1000.); #57099=VECTOR('',#208154,1000.); #57100=VECTOR('',#208155,1000.); #57101=VECTOR('',#208156,1000.); #57102=VECTOR('',#208159,1000.); #57103=VECTOR('',#208160,1000.); #57104=VECTOR('',#208161,1000.); #57105=VECTOR('',#208164,1000.); #57106=VECTOR('',#208165,1000.); #57107=VECTOR('',#208168,1000.); #57108=VECTOR('',#208169,1000.); #57109=VECTOR('',#208170,1000.); #57110=VECTOR('',#208173,1000.); #57111=VECTOR('',#208174,1000.); #57112=VECTOR('',#208177,1000.); #57113=VECTOR('',#208178,1000.); #57114=VECTOR('',#208179,1000.); #57115=VECTOR('',#208182,1000.); #57116=VECTOR('',#208183,1000.); #57117=VECTOR('',#208186,1000.); #57118=VECTOR('',#208187,1000.); #57119=VECTOR('',#208190,1000.); #57120=VECTOR('',#208191,1000.); #57121=VECTOR('',#208192,1000.); #57122=VECTOR('',#208193,1000.); #57123=VECTOR('',#208262,1000.); #57124=VECTOR('',#208265,1000.); #57125=VECTOR('',#208268,1000.); #57126=VECTOR('',#208271,1000.); #57127=VECTOR('',#208276,1000.); #57128=VECTOR('',#208279,1000.); #57129=VECTOR('',#208282,1000.); #57130=VECTOR('',#208285,1000.); #57131=VECTOR('',#208290,1000.); #57132=VECTOR('',#208293,1000.); #57133=VECTOR('',#208296,1000.); #57134=VECTOR('',#208299,1000.); #57135=VECTOR('',#208320,0.125); #57136=VECTOR('',#208325,10.); #57137=VECTOR('',#208326,10.); #57138=VECTOR('',#208327,10.); #57139=VECTOR('',#208328,10.); #57140=VECTOR('',#208333,10.); #57141=VECTOR('',#208336,10.); #57142=VECTOR('',#208341,10.); #57143=VECTOR('',#208344,10.); #57144=VECTOR('',#208357,10.); #57145=VECTOR('',#208358,10.); #57146=VECTOR('',#208363,10.); #57147=VECTOR('',#208366,10.); #57148=VECTOR('',#208371,10.); #57149=VECTOR('',#208374,10.); #57150=VECTOR('',#208387,10.); #57151=VECTOR('',#208388,10.); #57152=VECTOR('',#208393,10.); #57153=VECTOR('',#208396,10.); #57154=VECTOR('',#208401,10.); #57155=VECTOR('',#208404,10.); #57156=VECTOR('',#208417,10.); #57157=VECTOR('',#208418,10.); #57158=VECTOR('',#208423,10.); #57159=VECTOR('',#208426,10.); #57160=VECTOR('',#208431,10.); #57161=VECTOR('',#208434,10.); #57162=VECTOR('',#208447,10.); #57163=VECTOR('',#208448,10.); #57164=VECTOR('',#208457,10.); #57165=VECTOR('',#208474,10.); #57166=VECTOR('',#208477,10.); #57167=VECTOR('',#208478,10.); #57168=VECTOR('',#208479,10.); #57169=VECTOR('',#208482,10.); #57170=VECTOR('',#208487,10.); #57171=VECTOR('',#208488,10.); #57172=VECTOR('',#208491,10.); #57173=VECTOR('',#208498,10.); #57174=VECTOR('',#208501,10.); #57175=VECTOR('',#208514,10.); #57176=VECTOR('',#208525,10.); #57177=VECTOR('',#208536,10.); #57178=VECTOR('',#208537,10.); #57179=VECTOR('',#208546,10.); #57180=VECTOR('',#208549,10.); #57181=VECTOR('',#208554,10.); #57182=VECTOR('',#208567,10.); #57183=VECTOR('',#208582,10.); #57184=VECTOR('',#208585,10.); #57185=VECTOR('',#208588,10.); #57186=VECTOR('',#208589,10.); #57187=VECTOR('',#208592,10.); #57188=VECTOR('',#208597,10.); #57189=VECTOR('',#208598,10.); #57190=VECTOR('',#208607,10.); #57191=VECTOR('',#208632,10.); #57192=VECTOR('',#208643,10.); #57193=VECTOR('',#208646,10.); #57194=VECTOR('',#208649,10.); #57195=VECTOR('',#208654,10.); #57196=VECTOR('',#208661,10.); #57197=VECTOR('',#208662,10.); #57198=VECTOR('',#208663,10.); #57199=VECTOR('',#208664,10.); #57200=VECTOR('',#208667,10.); #57201=VECTOR('',#208668,10.); #57202=VECTOR('',#208669,10.); #57203=VECTOR('',#208670,10.); #57204=VECTOR('',#208673,10.); #57205=VECTOR('',#208674,10.); #57206=VECTOR('',#208675,10.); #57207=VECTOR('',#208676,10.); #57208=VECTOR('',#208677,10.); #57209=VECTOR('',#208678,10.); #57210=VECTOR('',#208681,10.); #57211=VECTOR('',#208682,10.); #57212=VECTOR('',#208683,10.); #57213=VECTOR('',#208684,10.); #57214=VECTOR('',#208685,10.); #57215=VECTOR('',#208686,10.); #57216=VECTOR('',#208689,10.); #57217=VECTOR('',#208690,10.); #57218=VECTOR('',#208691,10.); #57219=VECTOR('',#208692,10.); #57220=VECTOR('',#208695,10.); #57221=VECTOR('',#208696,10.); #57222=VECTOR('',#208697,10.); #57223=VECTOR('',#208698,10.); #57224=VECTOR('',#208699,10.); #57225=VECTOR('',#208700,10.); #57226=VECTOR('',#208703,10.); #57227=VECTOR('',#208704,10.); #57228=VECTOR('',#208705,10.); #57229=VECTOR('',#208706,10.); #57230=VECTOR('',#208709,10.); #57231=VECTOR('',#208710,10.); #57232=VECTOR('',#208711,10.); #57233=VECTOR('',#208712,10.); #57234=VECTOR('',#208715,10.); #57235=VECTOR('',#208716,10.); #57236=VECTOR('',#208717,10.); #57237=VECTOR('',#208718,10.); #57238=VECTOR('',#208719,10.); #57239=VECTOR('',#208720,10.); #57240=VECTOR('',#208723,10.); #57241=VECTOR('',#208724,10.); #57242=VECTOR('',#208725,10.); #57243=VECTOR('',#208726,10.); #57244=VECTOR('',#208729,10.); #57245=VECTOR('',#208730,10.); #57246=VECTOR('',#208731,10.); #57247=VECTOR('',#208732,10.); #57248=VECTOR('',#208733,10.); #57249=VECTOR('',#208734,10.); #57250=VECTOR('',#208737,10.); #57251=VECTOR('',#208738,10.); #57252=VECTOR('',#208739,10.); #57253=VECTOR('',#208740,10.); #57254=VECTOR('',#208743,10.); #57255=VECTOR('',#208744,10.); #57256=VECTOR('',#208745,10.); #57257=VECTOR('',#208746,10.); #57258=VECTOR('',#208749,10.); #57259=VECTOR('',#208750,10.); #57260=VECTOR('',#208751,10.); #57261=VECTOR('',#208752,10.); #57262=VECTOR('',#208755,10.); #57263=VECTOR('',#208756,10.); #57264=VECTOR('',#208757,10.); #57265=VECTOR('',#208758,10.); #57266=VECTOR('',#208761,10.); #57267=VECTOR('',#208762,10.); #57268=VECTOR('',#208763,10.); #57269=VECTOR('',#208764,10.); #57270=VECTOR('',#208767,10.); #57271=VECTOR('',#208768,10.); #57272=VECTOR('',#208769,10.); #57273=VECTOR('',#208770,10.); #57274=VECTOR('',#208773,10.); #57275=VECTOR('',#208774,10.); #57276=VECTOR('',#208775,10.); #57277=VECTOR('',#208776,10.); #57278=VECTOR('',#208777,10.); #57279=VECTOR('',#208778,10.); #57280=VECTOR('',#208779,10.); #57281=VECTOR('',#208780,10.); #57282=VECTOR('',#208781,10.); #57283=VECTOR('',#208782,10.); #57284=VECTOR('',#208783,10.); #57285=VECTOR('',#208784,10.); #57286=VECTOR('',#208785,10.); #57287=VECTOR('',#208786,10.); #57288=VECTOR('',#208787,10.); #57289=VECTOR('',#208788,10.); #57290=VECTOR('',#208789,10.); #57291=VECTOR('',#208790,10.); #57292=VECTOR('',#208791,10.); #57293=VECTOR('',#208792,10.); #57294=VECTOR('',#208793,10.); #57295=VECTOR('',#208794,10.); #57296=VECTOR('',#208795,10.); #57297=VECTOR('',#208796,10.); #57298=VECTOR('',#208797,10.); #57299=VECTOR('',#208798,10.); #57300=VECTOR('',#208799,10.); #57301=VECTOR('',#208800,10.); #57302=VECTOR('',#208801,10.); #57303=VECTOR('',#208802,10.); #57304=VECTOR('',#208803,10.); #57305=VECTOR('',#208804,10.); #57306=VECTOR('',#208805,10.); #57307=VECTOR('',#208806,10.); #57308=VECTOR('',#208807,10.); #57309=VECTOR('',#208808,10.); #57310=VECTOR('',#208809,10.); #57311=VECTOR('',#208810,10.); #57312=VECTOR('',#208811,10.); #57313=VECTOR('',#208812,10.); #57314=VECTOR('',#208813,10.); #57315=VECTOR('',#208814,10.); #57316=VECTOR('',#208815,10.); #57317=VECTOR('',#208816,10.); #57318=VECTOR('',#208819,10.); #57319=VECTOR('',#208820,10.); #57320=VECTOR('',#208821,10.); #57321=VECTOR('',#208822,10.); #57322=VECTOR('',#208823,10.); #57323=VECTOR('',#208824,10.); #57324=VECTOR('',#208827,10.); #57325=VECTOR('',#208828,10.); #57326=VECTOR('',#208829,10.); #57327=VECTOR('',#208830,10.); #57328=VECTOR('',#208833,10.); #57329=VECTOR('',#208834,10.); #57330=VECTOR('',#208835,10.); #57331=VECTOR('',#208838,10.); #57332=VECTOR('',#208841,10.); #57333=VECTOR('',#208842,10.); #57334=VECTOR('',#208843,10.); #57335=VECTOR('',#208846,10.); #57336=VECTOR('',#208849,10.); #57337=VECTOR('',#208850,10.); #57338=VECTOR('',#208851,10.); #57339=VECTOR('',#208854,10.); #57340=VECTOR('',#208857,10.); #57341=VECTOR('',#208858,10.); #57342=VECTOR('',#208859,10.); #57343=VECTOR('',#208860,10.); #57344=VECTOR('',#208863,10.); #57345=VECTOR('',#208864,10.); #57346=VECTOR('',#208865,10.); #57347=VECTOR('',#208866,10.); #57348=VECTOR('',#208867,10.); #57349=VECTOR('',#208868,10.); #57350=VECTOR('',#208871,10.); #57351=VECTOR('',#208872,10.); #57352=VECTOR('',#208873,10.); #57353=VECTOR('',#208874,10.); #57354=VECTOR('',#208875,10.); #57355=VECTOR('',#208876,10.); #57356=VECTOR('',#208879,10.); #57357=VECTOR('',#208880,10.); #57358=VECTOR('',#208881,10.); #57359=VECTOR('',#208882,10.); #57360=VECTOR('',#208883,10.); #57361=VECTOR('',#208884,10.); #57362=VECTOR('',#208887,10.); #57363=VECTOR('',#208888,10.); #57364=VECTOR('',#208889,10.); #57365=VECTOR('',#208890,10.); #57366=VECTOR('',#208891,10.); #57367=VECTOR('',#208892,10.); #57368=VECTOR('',#208895,10.); #57369=VECTOR('',#208896,10.); #57370=VECTOR('',#208897,10.); #57371=VECTOR('',#208898,10.); #57372=VECTOR('',#208915,10.); #57373=VECTOR('',#208952,10.); #57374=VECTOR('',#208983,10.); #57375=VECTOR('',#209014,10.); #57376=VECTOR('',#209019,10.); #57377=VECTOR('',#209020,10.); #57378=VECTOR('',#209021,10.); #57379=VECTOR('',#209022,10.); #57380=VECTOR('',#209023,10.); #57381=VECTOR('',#209028,10.); #57382=VECTOR('',#209033,10.); #57383=VECTOR('',#209034,10.); #57384=VECTOR('',#209035,10.); #57385=VECTOR('',#209040,10.); #57386=VECTOR('',#209049,10.); #57387=VECTOR('',#209054,10.); #57388=VECTOR('',#209087,10.); #57389=VECTOR('',#209114,10.); #57390=VECTOR('',#209119,10.); #57391=VECTOR('',#209120,10.); #57392=VECTOR('',#209121,10.); #57393=VECTOR('',#209122,10.); #57394=VECTOR('',#209123,10.); #57395=VECTOR('',#209128,10.); #57396=VECTOR('',#209133,10.); #57397=VECTOR('',#209134,10.); #57398=VECTOR('',#209135,10.); #57399=VECTOR('',#209140,10.); #57400=VECTOR('',#209149,10.); #57401=VECTOR('',#209154,10.); #57402=VECTOR('',#209187,10.); #57403=VECTOR('',#209214,10.); #57404=VECTOR('',#209219,10.); #57405=VECTOR('',#209220,10.); #57406=VECTOR('',#209221,10.); #57407=VECTOR('',#209222,10.); #57408=VECTOR('',#209223,10.); #57409=VECTOR('',#209228,10.); #57410=VECTOR('',#209233,10.); #57411=VECTOR('',#209234,10.); #57412=VECTOR('',#209235,10.); #57413=VECTOR('',#209240,10.); #57414=VECTOR('',#209249,10.); #57415=VECTOR('',#209254,10.); #57416=VECTOR('',#209285,10.); #57417=VECTOR('',#209310,10.); #57418=VECTOR('',#209317,10.); #57419=VECTOR('',#209330,10.); #57420=VECTOR('',#209335,10.); #57421=VECTOR('',#209350,10.); #57422=VECTOR('',#209363,10.); #57423=VECTOR('',#209368,10.); #57424=VECTOR('',#209371,10.); #57425=VECTOR('',#209382,10.); #57426=VECTOR('',#209385,10.); #57427=VECTOR('',#209396,10.); #57428=VECTOR('',#209397,10.); #57429=VECTOR('',#209398,10.); #57430=VECTOR('',#209401,10.); #57431=VECTOR('',#209404,10.); #57432=VECTOR('',#209405,10.); #57433=VECTOR('',#209406,10.); #57434=VECTOR('',#209409,10.); #57435=VECTOR('',#209418,10.); #57436=VECTOR('',#209419,10.); #57437=VECTOR('',#209420,10.); #57438=VECTOR('',#209421,10.); #57439=VECTOR('',#209422,10.); #57440=VECTOR('',#209429,10.); #57441=VECTOR('',#209434,10.); #57442=VECTOR('',#209443,10.); #57443=VECTOR('',#209462,10.); #57444=VECTOR('',#209501,10.); #57445=VECTOR('',#209506,10.); #57446=VECTOR('',#209507,10.); #57447=VECTOR('',#209508,10.); #57448=VECTOR('',#209509,10.); #57449=VECTOR('',#209510,10.); #57450=VECTOR('',#209515,10.); #57451=VECTOR('',#209520,10.); #57452=VECTOR('',#209521,10.); #57453=VECTOR('',#209522,10.); #57454=VECTOR('',#209527,10.); #57455=VECTOR('',#209536,10.); #57456=VECTOR('',#209541,10.); #57457=VECTOR('',#209572,10.); #57458=VECTOR('',#209595,10.); #57459=VECTOR('',#209598,10.); #57460=VECTOR('',#209599,10.); #57461=VECTOR('',#209600,10.); #57462=VECTOR('',#209603,10.); #57463=VECTOR('',#209606,10.); #57464=VECTOR('',#209607,10.); #57465=VECTOR('',#209608,10.); #57466=VECTOR('',#209611,10.); #57467=VECTOR('',#209622,10.); #57468=VECTOR('',#209623,10.); #57469=VECTOR('',#209624,10.); #57470=VECTOR('',#209625,10.); #57471=VECTOR('',#209626,10.); #57472=VECTOR('',#209633,10.); #57473=VECTOR('',#209638,10.); #57474=VECTOR('',#209647,10.); #57475=VECTOR('',#209664,10.); #57476=VECTOR('',#209703,10.); #57477=VECTOR('',#209708,10.); #57478=VECTOR('',#209709,10.); #57479=VECTOR('',#209710,10.); #57480=VECTOR('',#209711,10.); #57481=VECTOR('',#209712,10.); #57482=VECTOR('',#209717,10.); #57483=VECTOR('',#209722,10.); #57484=VECTOR('',#209723,10.); #57485=VECTOR('',#209724,10.); #57486=VECTOR('',#209729,10.); #57487=VECTOR('',#209738,10.); #57488=VECTOR('',#209743,10.); #57489=VECTOR('',#209774,10.); #57490=VECTOR('',#209797,10.); #57491=VECTOR('',#209800,10.); #57492=VECTOR('',#209801,10.); #57493=VECTOR('',#209802,10.); #57494=VECTOR('',#209805,10.); #57495=VECTOR('',#209808,10.); #57496=VECTOR('',#209809,10.); #57497=VECTOR('',#209810,10.); #57498=VECTOR('',#209813,10.); #57499=VECTOR('',#209816,10.); #57500=VECTOR('',#209817,10.); #57501=VECTOR('',#209818,10.); #57502=VECTOR('',#209821,10.); #57503=VECTOR('',#209832,10.); #57504=VECTOR('',#209833,10.); #57505=VECTOR('',#209834,10.); #57506=VECTOR('',#209835,10.); #57507=VECTOR('',#209836,10.); #57508=VECTOR('',#209843,10.); #57509=VECTOR('',#209848,10.); #57510=VECTOR('',#209857,10.); #57511=VECTOR('',#209874,10.); #57512=VECTOR('',#209913,10.); #57513=VECTOR('',#209918,10.); #57514=VECTOR('',#209919,10.); #57515=VECTOR('',#209920,10.); #57516=VECTOR('',#209921,10.); #57517=VECTOR('',#209922,10.); #57518=VECTOR('',#209927,10.); #57519=VECTOR('',#209932,10.); #57520=VECTOR('',#209933,10.); #57521=VECTOR('',#209934,10.); #57522=VECTOR('',#209939,10.); #57523=VECTOR('',#209948,10.); #57524=VECTOR('',#209953,10.); #57525=VECTOR('',#209986,10.); #57526=VECTOR('',#210013,10.); #57527=VECTOR('',#210018,10.); #57528=VECTOR('',#210019,10.); #57529=VECTOR('',#210020,10.); #57530=VECTOR('',#210021,10.); #57531=VECTOR('',#210022,10.); #57532=VECTOR('',#210027,10.); #57533=VECTOR('',#210032,10.); #57534=VECTOR('',#210033,10.); #57535=VECTOR('',#210034,10.); #57536=VECTOR('',#210039,10.); #57537=VECTOR('',#210048,10.); #57538=VECTOR('',#210053,10.); #57539=VECTOR('',#210084,10.); #57540=VECTOR('',#210113,10.); #57541=VECTOR('',#210116,10.); #57542=VECTOR('',#210135,10.); #57543=VECTOR('',#210138,10.); #57544=VECTOR('',#210155,10.); #57545=VECTOR('',#210158,10.); #57546=VECTOR('',#210173,10.); #57547=VECTOR('',#210176,10.); #57548=VECTOR('',#210193,10.); #57549=VECTOR('',#210196,10.); #57550=VECTOR('',#210215,10.); #57551=VECTOR('',#210218,10.); #57552=VECTOR('',#210235,10.); #57553=VECTOR('',#210238,10.); #57554=VECTOR('',#210255,10.); #57555=VECTOR('',#210258,10.); #57556=VECTOR('',#210277,10.); #57557=VECTOR('',#210280,10.); #57558=VECTOR('',#210299,10.); #57559=VECTOR('',#210302,10.); #57560=VECTOR('',#210321,10.); #57561=VECTOR('',#210324,10.); #57562=VECTOR('',#210343,10.); #57563=VECTOR('',#210346,10.); #57564=VECTOR('',#210363,10.); #57565=VECTOR('',#210366,10.); #57566=VECTOR('',#210381,10.); #57567=VECTOR('',#210384,10.); #57568=VECTOR('',#210399,10.); #57569=VECTOR('',#210402,10.); #57570=VECTOR('',#210417,10.); #57571=VECTOR('',#210420,10.); #57572=VECTOR('',#210435,10.); #57573=VECTOR('',#210438,10.); #57574=VECTOR('',#210453,10.); #57575=VECTOR('',#210456,10.); #57576=VECTOR('',#210473,10.); #57577=VECTOR('',#210476,10.); #57578=VECTOR('',#210493,10.); #57579=VECTOR('',#210496,10.); #57580=VECTOR('',#210507,2.425); #57581=VECTOR('',#210512,2.425); #57582=VECTOR('',#210515,2.425); #57583=VECTOR('',#210518,2.425); #57584=VECTOR('',#210521,2.425); #57585=VECTOR('',#210524,2.425); #57586=VECTOR('',#210527,2.425); #57587=VECTOR('',#210530,2.425); #57588=VECTOR('',#210533,2.425); #57589=VECTOR('',#210536,2.425); #57590=VECTOR('',#210539,2.425); #57591=VECTOR('',#210542,2.425); #57592=VECTOR('',#210545,2.425); #57593=VECTOR('',#210548,2.425); #57594=VECTOR('',#210551,2.425); #57595=VECTOR('',#210554,2.425); #57596=VECTOR('',#210557,2.425); #57597=VECTOR('',#210560,2.425); #57598=VECTOR('',#210563,2.17775); #57599=VECTOR('',#210568,2.17775); #57600=VECTOR('',#210571,2.17775); #57601=VECTOR('',#210574,2.17775); #57602=VECTOR('',#210577,2.17775); #57603=VECTOR('',#210580,2.17775); #57604=VECTOR('',#210583,2.17775); #57605=VECTOR('',#210586,2.17775); #57606=VECTOR('',#210589,2.17775); #57607=VECTOR('',#210592,2.17775); #57608=VECTOR('',#210595,2.17775); #57609=VECTOR('',#210598,2.17775); #57610=VECTOR('',#210601,2.17775); #57611=VECTOR('',#210604,2.17775); #57612=VECTOR('',#210607,2.17775); #57613=VECTOR('',#210610,2.17775); #57614=VECTOR('',#210613,2.17775); #57615=VECTOR('',#210620,10.); #57616=VECTOR('',#210621,10.); #57617=VECTOR('',#210624,10.); #57618=VECTOR('',#210625,10.); #57619=VECTOR('',#210626,10.); #57620=VECTOR('',#210629,10.); #57621=VECTOR('',#210630,10.); #57622=VECTOR('',#210649,1.35); #57623=VECTOR('',#210650,2.89296912859724); #57624=VECTOR('',#210651,1.35); #57625=VECTOR('',#210652,2.89296912859724); #57626=VECTOR('',#210655,1.35); #57627=VECTOR('',#210656,2.89296912859724); #57628=VECTOR('',#210657,1.35); #57629=VECTOR('',#210658,2.89296912859724); #57630=VECTOR('',#210661,1.35); #57631=VECTOR('',#210662,2.89296912859725); #57632=VECTOR('',#210663,1.35); #57633=VECTOR('',#210664,2.89296912859725); #57634=VECTOR('',#210667,1.35); #57635=VECTOR('',#210668,2.89296912859724); #57636=VECTOR('',#210669,1.35); #57637=VECTOR('',#210670,2.89296912859724); #57638=VECTOR('',#210673,2.89296912859724); #57639=VECTOR('',#210674,1.35); #57640=VECTOR('',#210675,2.89296912859724); #57641=VECTOR('',#210676,1.35); #57642=VECTOR('',#210679,2.89296912859724); #57643=VECTOR('',#210680,1.35); #57644=VECTOR('',#210681,2.89296912859724); #57645=VECTOR('',#210682,1.35); #57646=VECTOR('',#210685,3.66617420935413); #57647=VECTOR('',#210686,3.66617420935412); #57648=VECTOR('',#210687,3.66617420935413); #57649=VECTOR('',#210688,3.66617420935413); #57650=VECTOR('',#210689,3.66617420935412); #57651=VECTOR('',#210690,3.66617420935412); #57652=VECTOR('',#210695,3.66617420935412); #57653=VECTOR('',#210696,3.66617420935412); #57654=VECTOR('',#210697,3.66617420935412); #57655=VECTOR('',#210698,3.66617420935412); #57656=VECTOR('',#210699,3.66617420935412); #57657=VECTOR('',#210700,3.66617420935412); #57658=VECTOR('',#210707,2.); #57659=VECTOR('',#210714,2.125); #57660=VECTOR('',#210719,2.125); #57661=VECTOR('',#210722,1.00381956448536); #57662=VECTOR('',#210723,1.00381956448536); #57663=VECTOR('',#210726,0.44280577180731); #57664=VECTOR('',#210727,0.773205080756882); #57665=VECTOR('',#210730,0.773205080756883); #57666=VECTOR('',#210731,0.442805771807312); #57667=VECTOR('',#210734,0.44280577180731); #57668=VECTOR('',#210737,0.773205080756885); #57669=VECTOR('',#210740,1.00381956448537); #57670=VECTOR('',#210741,1.00381956448537); #57671=VECTOR('',#210744,0.773205080756887); #57672=VECTOR('',#210747,0.442805771807312); #57673=VECTOR('',#210750,1.00381956448537); #57674=VECTOR('',#210751,1.00381956448537); #57675=VECTOR('',#210754,0.773205080756885); #57676=VECTOR('',#210757,0.442805771807309); #57677=VECTOR('',#210760,0.442805771807311); #57678=VECTOR('',#210763,0.773205080756885); #57679=VECTOR('',#210766,0.442805771807309); #57680=VECTOR('',#210769,0.773205080756878); #57681=VECTOR('',#210772,1.00381956448536); #57682=VECTOR('',#210773,1.00381956448536); #57683=VECTOR('',#210776,0.773205080756878); #57684=VECTOR('',#210779,0.442805771807312); #57685=VECTOR('',#210782,1.00381956448536); #57686=VECTOR('',#210783,1.00381956448536); #57687=VECTOR('',#210786,0.773205080756882); #57688=VECTOR('',#210789,0.442805771807309); #57689=VECTOR('',#210792,0.442805771807312); #57690=VECTOR('',#210795,0.773205080756883); #57691=VECTOR('',#210798,0.442805771807309); #57692=VECTOR('',#210801,0.773205080756884); #57693=VECTOR('',#210804,0.773205080756885); #57694=VECTOR('',#210807,0.442805771807312); #57695=VECTOR('',#210810,1.00381956448536); #57696=VECTOR('',#210813,1.00381956448537); #57697=VECTOR('',#210824,0.25); #57698=VECTOR('',#210841,0.25); #57699=VECTOR('',#210860,0.25); #57700=VECTOR('',#210879,0.25); #57701=VECTOR('',#210900,2.42778640450004); #57702=VECTOR('',#210905,1.4); #57703=VECTOR('',#210906,2.89296912859724); #57704=VECTOR('',#210907,1.4); #57705=VECTOR('',#210908,2.89296912859724); #57706=VECTOR('',#210911,1.4); #57707=VECTOR('',#210912,2.89296912859724); #57708=VECTOR('',#210913,1.4); #57709=VECTOR('',#210914,2.89296912859724); #57710=VECTOR('',#210917,1.4); #57711=VECTOR('',#210918,2.89296912859724); #57712=VECTOR('',#210919,1.4); #57713=VECTOR('',#210920,2.89296912859724); #57714=VECTOR('',#210923,1.4); #57715=VECTOR('',#210924,2.89296912859724); #57716=VECTOR('',#210925,1.4); #57717=VECTOR('',#210926,2.89296912859724); #57718=VECTOR('',#210929,1.4); #57719=VECTOR('',#210930,2.89296912859724); #57720=VECTOR('',#210931,1.4); #57721=VECTOR('',#210932,2.89296912859724); #57722=VECTOR('',#210935,1.4); #57723=VECTOR('',#210936,2.89296912859724); #57724=VECTOR('',#210937,1.4); #57725=VECTOR('',#210938,2.89296912859724); #57726=VECTOR('',#210941,3.66617420935412); #57727=VECTOR('',#210942,3.66617420935412); #57728=VECTOR('',#210943,3.66617420935412); #57729=VECTOR('',#210944,3.66617420935412); #57730=VECTOR('',#210945,3.66617420935412); #57731=VECTOR('',#210946,3.66617420935412); #57732=VECTOR('',#210951,3.66617420935413); #57733=VECTOR('',#210952,3.66617420935413); #57734=VECTOR('',#210953,3.66617420935412); #57735=VECTOR('',#210954,3.66617420935413); #57736=VECTOR('',#210955,3.66617420935413); #57737=VECTOR('',#210956,3.66617420935412); #57738=VECTOR('',#210961,0.442805771807311); #57739=VECTOR('',#210962,0.773205080756884); #57740=VECTOR('',#210965,0.773205080756885); #57741=VECTOR('',#210966,1.00381956448537); #57742=VECTOR('',#210969,1.00381956448537); #57743=VECTOR('',#210970,0.442805771807311); #57744=VECTOR('',#210973,1.00381956448537); #57745=VECTOR('',#210976,0.442805771807311); #57746=VECTOR('',#210979,0.773205080756885); #57747=VECTOR('',#210982,1.00381956448537); #57748=VECTOR('',#210985,0.442805771807309); #57749=VECTOR('',#210986,0.773205080756884); #57750=VECTOR('',#210989,0.773205080756884); #57751=VECTOR('',#210992,0.773205080756885); #57752=VECTOR('',#210993,1.00381956448537); #57753=VECTOR('',#210996,1.00381956448537); #57754=VECTOR('',#210997,0.44280577180731); #57755=VECTOR('',#211000,0.442805771807308); #57756=VECTOR('',#211003,0.442805771807309); #57757=VECTOR('',#211006,1.00381956448537); #57758=VECTOR('',#211009,0.442805771807312); #57759=VECTOR('',#211012,0.442805771807311); #57760=VECTOR('',#211013,0.773205080756886); #57761=VECTOR('',#211016,0.773205080756886); #57762=VECTOR('',#211019,1.00381956448537); #57763=VECTOR('',#211022,0.773205080756883); #57764=VECTOR('',#211025,0.773205080756884); #57765=VECTOR('',#211028,1.00381956448537); #57766=VECTOR('',#211031,1.00381956448537); #57767=VECTOR('',#211034,0.442805771807309); #57768=VECTOR('',#211037,0.442805771807308); #57769=VECTOR('',#211040,1.00381956448537); #57770=VECTOR('',#211043,1.00381956448537); #57771=VECTOR('',#211046,0.773205080756885); #57772=VECTOR('',#211049,0.773205080756886); #57773=VECTOR('',#211052,0.442805771807309); #57774=VECTOR('',#211057,2.425); #57775=VECTOR('',#211062,7.17157287525381); #57776=VECTOR('',#211063,29.); #57777=VECTOR('',#211064,0.999999999999998); #57778=VECTOR('',#211065,0.707106781186548); #57779=VECTOR('',#211066,2.75); #57780=VECTOR('',#211069,1.5); #57781=VECTOR('',#211072,2.75); #57782=VECTOR('',#211073,0.707106781186546); #57783=VECTOR('',#211074,1.8); #57784=VECTOR('',#211077,0.8); #57785=VECTOR('',#211078,1.17157287525381); #57786=VECTOR('',#211081,7.17157287525381); #57787=VECTOR('',#211082,30.); #57788=VECTOR('',#211083,7.17157287525381); #57789=VECTOR('',#211094,7.17157287525381); #57790=VECTOR('',#211095,30.); #57791=VECTOR('',#211096,7.17157287525381); #57792=VECTOR('',#211099,1.17157287525381); #57793=VECTOR('',#211100,1.); #57794=VECTOR('',#211101,2.); #57795=VECTOR('',#211104,7.99999999999999); #57796=VECTOR('',#211107,30.); #57797=VECTOR('',#211110,7.99999999999999); #57798=VECTOR('',#211113,7.99999999999999); #57799=VECTOR('',#211116,29.); #57800=VECTOR('',#211117,1.); #57801=VECTOR('',#211118,29.); #57802=VECTOR('',#211119,7.17157287525381); #57803=VECTOR('',#211122,0.01); #57804=VECTOR('',#211123,0.01); #57805=VECTOR('',#211126,26.0839202169004); #57806=VECTOR('',#211129,1.17157287525381); #57807=VECTOR('',#211130,0.8); #57808=VECTOR('',#211133,1.8); #57809=VECTOR('',#211134,0.707106781186546); #57810=VECTOR('',#211135,2.75); #57811=VECTOR('',#211138,1.5); #57812=VECTOR('',#211141,2.75); #57813=VECTOR('',#211142,0.707106781186546); #57814=VECTOR('',#211143,1.); #57815=VECTOR('',#211144,29.); #57816=VECTOR('',#211145,7.17157287525381); #57817=VECTOR('',#211150,26.0839202169004); #57818=VECTOR('',#211153,7.17157287525381); #57819=VECTOR('',#211154,30.); #57820=VECTOR('',#211155,7.17157287525381); #57821=VECTOR('',#211162,0.01); #57822=VECTOR('',#211163,0.0100000000000006); #57823=VECTOR('',#211166,0.01); #57824=VECTOR('',#211169,0.0100000000000006); #57825=VECTOR('',#211172,0.01); #57826=VECTOR('',#211175,0.0100000000000006); #57827=VECTOR('',#211180,0.01); #57828=VECTOR('',#211181,0.0100000000000006); #57829=VECTOR('',#211184,1.52); #57830=VECTOR('',#211185,1.52); #57831=VECTOR('',#211186,7.99999999999999); #57832=VECTOR('',#211189,1.52); #57833=VECTOR('',#211190,1.52); #57834=VECTOR('',#211191,7.99999999999999); #57835=VECTOR('',#211194,1.52); #57836=VECTOR('',#211195,2.); #57837=VECTOR('',#211196,1.52); #57838=VECTOR('',#211199,1.52); #57839=VECTOR('',#211200,29.); #57840=VECTOR('',#211201,1.52); #57841=VECTOR('',#211208,1.52); #57842=VECTOR('',#211209,7.99999999999999); #57843=VECTOR('',#211210,1.52); #57844=VECTOR('',#211213,1.52); #57845=VECTOR('',#211218,1.52); #57846=VECTOR('',#211219,30.); #57847=VECTOR('',#211226,0.01); #57848=VECTOR('',#211227,1.); #57849=VECTOR('',#211228,0.01); #57850=VECTOR('',#211229,1.5); #57851=VECTOR('',#211232,1.5); #57852=VECTOR('',#211235,1.5); #57853=VECTOR('',#211238,1.5); #57854=VECTOR('',#211241,1.5); #57855=VECTOR('',#211244,1.5); #57856=VECTOR('',#211247,1.5); #57857=VECTOR('',#211250,1.5); #57858=VECTOR('',#211253,1.5); #57859=VECTOR('',#211256,1.5); #57860=VECTOR('',#211259,0.01); #57861=VECTOR('',#211260,1.); #57862=VECTOR('',#211261,0.0100000000000006); #57863=VECTOR('',#211272,0.01); #57864=VECTOR('',#211275,0.01); #57865=VECTOR('',#211278,0.01); #57866=VECTOR('',#211281,0.01); #57867=VECTOR('',#211286,0.01); #57868=VECTOR('',#211291,0.0100000000000006); #57869=VECTOR('',#211292,7.17157287525381); #57870=VECTOR('',#211295,7.17157287525381); #57871=VECTOR('',#211296,0.0100000000000006); #57872=VECTOR('',#211299,30.); #57873=VECTOR('',#211300,0.0100000000000006); #57874=VECTOR('',#211303,7.17157287525381); #57875=VECTOR('',#211306,1.17157287525381); #57876=VECTOR('',#211309,29.); #57877=VECTOR('',#211312,26.0839202169004); #57878=VECTOR('',#211313,26.0839202169004); #57879=VECTOR('',#211320,0.5); #57880=VECTOR('',#211327,0.500000000000001); #57881=VECTOR('',#211334,0.500000000000001); #57882=VECTOR('',#211355,0.762); #57883=VECTOR('',#211362,1.225); #57884=VECTOR('',#211375,1.525); #57885=VECTOR('',#211388,1.225); #57886=VECTOR('',#211393,1.352); #57887=VECTOR('',#211402,1.725); #57888=VECTOR('',#211411,1000.); #57889=VECTOR('',#211412,1000.); #57890=VECTOR('',#211413,1000.); #57891=VECTOR('',#211414,1000.); #57892=VECTOR('',#211415,1000.); #57893=VECTOR('',#211416,1000.); #57894=VECTOR('',#211417,1000.); #57895=VECTOR('',#211418,1000.); #57896=VECTOR('',#211419,1000.); #57897=VECTOR('',#211420,1000.); #57898=VECTOR('',#211421,1000.); #57899=VECTOR('',#211422,1000.); #57900=VECTOR('',#211427,1000.); #57901=VECTOR('',#211428,1000.); #57902=VECTOR('',#211431,1000.); #57903=VECTOR('',#211432,1000.); #57904=VECTOR('',#211435,1000.); #57905=VECTOR('',#211436,1000.); #57906=VECTOR('',#211439,1000.); #57907=VECTOR('',#211440,1000.); #57908=VECTOR('',#211441,1000.); #57909=VECTOR('',#211442,1000.); #57910=VECTOR('',#211445,1000.); #57911=VECTOR('',#211446,1000.); #57912=VECTOR('',#211449,1000.); #57913=VECTOR('',#211450,1000.); #57914=VECTOR('',#211451,1000.); #57915=VECTOR('',#211452,1000.); #57916=VECTOR('',#211455,1000.); #57917=VECTOR('',#211456,1000.); #57918=VECTOR('',#211457,1000.); #57919=VECTOR('',#211458,1000.); #57920=VECTOR('',#211461,1000.); #57921=VECTOR('',#211462,1000.); #57922=VECTOR('',#211463,1000.); #57923=VECTOR('',#211464,1000.); #57924=VECTOR('',#211465,1000.); #57925=VECTOR('',#211468,1000.); #57926=VECTOR('',#211469,1000.); #57927=VECTOR('',#211472,1000.); #57928=VECTOR('',#211473,1000.); #57929=VECTOR('',#211476,1000.); #57930=VECTOR('',#211477,1000.); #57931=VECTOR('',#211480,1000.); #57932=VECTOR('',#211481,1000.); #57933=VECTOR('',#211482,1000.); #57934=VECTOR('',#211485,1000.); #57935=VECTOR('',#211486,1000.); #57936=VECTOR('',#211487,1000.); #57937=VECTOR('',#211490,1000.); #57938=VECTOR('',#211491,1000.); #57939=VECTOR('',#211496,1000.); #57940=VECTOR('',#211515,0.355000000000001); #57941=VECTOR('',#211522,0.762000000000001); #57942=VECTOR('',#211533,0.355); #57943=VECTOR('',#211540,0.228); #57944=VECTOR('',#211543,1000.); #57945=VECTOR('',#211546,1000.); #57946=VECTOR('',#211549,1000.); #57947=VECTOR('',#211552,1000.); #57948=VECTOR('',#211559,1000.); #57949=VECTOR('',#211562,1000.); #57950=VECTOR('',#211565,0.355); #57951=VECTOR('',#211568,1000.); #57952=VECTOR('',#211571,1000.); #57953=VECTOR('',#211574,1000.); #57954=VECTOR('',#211575,1000.); #57955=VECTOR('',#211578,1000.); #57956=VECTOR('',#211579,1000.); #57957=VECTOR('',#211586,1000.); #57958=VECTOR('',#211589,1000.); #57959=VECTOR('',#211594,1000.); #57960=VECTOR('',#211597,1000.); #57961=CIRCLE('',#155830,2.5); #57962=CIRCLE('',#155831,2.5); #57963=CIRCLE('',#155835,1.); #57964=CIRCLE('',#155836,1.); #57965=CIRCLE('',#155837,1.); #57966=CIRCLE('',#155839,1.); #57967=CIRCLE('',#155840,1.); #57968=CIRCLE('',#155842,1.); #57969=CIRCLE('',#155843,1.); #57970=CIRCLE('',#155846,1.); #57971=CIRCLE('',#155847,1.); #57972=CIRCLE('',#155849,1.); #57973=CIRCLE('',#155855,1.); #57974=CIRCLE('',#155856,2.7); #57975=CIRCLE('',#155857,1.); #57976=CIRCLE('',#155858,1.); #57977=CIRCLE('',#155859,1.); #57978=CIRCLE('',#155860,1.); #57979=CIRCLE('',#155861,2.7); #57980=CIRCLE('',#155862,1.); #57981=CIRCLE('',#155863,1.); #57982=CIRCLE('',#155864,1.); #57983=CIRCLE('',#155865,1.); #57984=CIRCLE('',#155866,1.); #57985=CIRCLE('',#155867,1.); #57986=CIRCLE('',#155869,1.); #57987=CIRCLE('',#155870,1.); #57988=CIRCLE('',#155871,2.7); #57989=CIRCLE('',#155872,1.); #57990=CIRCLE('',#155873,1.); #57991=CIRCLE('',#155874,1.); #57992=CIRCLE('',#155875,1.); #57993=CIRCLE('',#155876,2.7); #57994=CIRCLE('',#155877,1.); #57995=CIRCLE('',#155878,1.); #57996=CIRCLE('',#155879,1.9); #57997=CIRCLE('',#155880,1.); #57998=CIRCLE('',#155881,1.); #57999=CIRCLE('',#155882,1.); #58000=CIRCLE('',#155883,1.); #58001=CIRCLE('',#155884,1.9); #58002=CIRCLE('',#155885,1.); #58003=CIRCLE('',#155886,1.); #58004=CIRCLE('',#155888,1.); #58005=CIRCLE('',#155891,1.); #58006=CIRCLE('',#155893,1.); #58007=CIRCLE('',#155894,1.); #58008=CIRCLE('',#155914,1.); #58009=CIRCLE('',#155917,1.); #58010=CIRCLE('',#155919,1.); #58011=CIRCLE('',#155923,1.); #58012=CIRCLE('',#155925,1.9); #58013=CIRCLE('',#155927,1.); #58014=CIRCLE('',#155930,1.); #58015=CIRCLE('',#155932,1.9); #58016=CIRCLE('',#155934,1.); #58017=CIRCLE('',#155937,1.); #58018=CIRCLE('',#155938,1.); #58019=CIRCLE('',#155940,1.); #58020=CIRCLE('',#155941,1.); #58021=CIRCLE('',#155944,1.); #58022=CIRCLE('',#155945,1.); #58023=CIRCLE('',#155948,1.); #58024=CIRCLE('',#155949,1.); #58025=CIRCLE('',#155951,1.); #58026=CIRCLE('',#155952,1.); #58027=CIRCLE('',#155955,1.); #58028=CIRCLE('',#155958,1.); #58029=CIRCLE('',#155970,1.49999999999999); #58030=CIRCLE('',#155971,1.49999999999998); #58031=CIRCLE('',#155972,1.49999999999999); #58032=CIRCLE('',#155973,1.49999999999999); #58033=CIRCLE('',#155974,1.49999999999999); #58034=CIRCLE('',#155975,1.49999999999999); #58035=CIRCLE('',#155976,1.49999999999999); #58036=CIRCLE('',#155979,1.49999999999999); #58037=CIRCLE('',#155980,1.49999999999999); #58038=CIRCLE('',#155981,1.49999999999999); #58039=CIRCLE('',#155982,1.49999999999999); #58040=CIRCLE('',#155983,1.49999999999998); #58041=CIRCLE('',#155984,1.49999999999999); #58042=CIRCLE('',#155985,1.49999999999998); #58043=CIRCLE('',#155986,1.6); #58044=CIRCLE('',#155987,1.6); #58045=CIRCLE('',#155990,1.6); #58046=CIRCLE('',#155992,0.85); #58047=CIRCLE('',#155994,1.6); #58048=CIRCLE('',#155996,0.850000000000001); #58049=CIRCLE('',#155998,0.850000000000001); #58050=CIRCLE('',#156000,0.85); #58051=CIRCLE('',#156008,1.49999999999999); #58052=CIRCLE('',#156011,1.49999999999999); #58053=CIRCLE('',#156015,1.49999999999999); #58054=CIRCLE('',#156018,1.49999999999999); #58055=CIRCLE('',#156022,1.49999999999998); #58056=CIRCLE('',#156027,1.49999999999998); #58057=CIRCLE('',#156030,1.49999999999999); #58058=CIRCLE('',#156032,1.49999999999999); #58059=CIRCLE('',#156036,1.49999999999999); #58060=CIRCLE('',#156041,1.49999999999999); #58061=CIRCLE('',#156044,1.49999999999999); #58062=CIRCLE('',#156047,1.49999999999998); #58063=CIRCLE('',#156052,1.49999999999999); #58064=CIRCLE('',#156055,1.49999999999999); #58065=CIRCLE('',#156067,0.265428267767112); #58066=CIRCLE('',#156068,0.265428267767112); #58067=CIRCLE('',#156070,0.352990927529114); #58068=CIRCLE('',#156071,0.352990927529114); #58069=CIRCLE('',#156077,1.03939369806201); #58070=CIRCLE('',#156078,1.03939369806201); #58071=CIRCLE('',#156081,0.378647092091649); #58072=CIRCLE('',#156082,0.378647092091649); #58073=CIRCLE('',#156084,0.344675731007304); #58074=CIRCLE('',#156085,0.344675731007304); #58075=CIRCLE('',#156087,3.40379130278478); #58076=CIRCLE('',#156088,3.40379130278478); #58077=CIRCLE('',#156090,0.131949304951907); #58078=CIRCLE('',#156091,0.131949304951907); #58079=CIRCLE('',#156106,0.0790204591459206); #58080=CIRCLE('',#156107,0.0790204591459206); #58081=CIRCLE('',#156116,0.0927192881988342); #58082=CIRCLE('',#156117,0.0927192881988342); #58083=CIRCLE('',#156120,0.171056678958414); #58084=CIRCLE('',#156121,0.171056678958414); #58085=CIRCLE('',#156127,0.554294244669546); #58086=CIRCLE('',#156128,0.554294244669546); #58087=CIRCLE('',#156152,0.082591651566131); #58088=CIRCLE('',#156153,0.082591651566131); #58089=CIRCLE('',#156157,2.49230552535781); #58090=CIRCLE('',#156158,2.49230552535781); #58091=CIRCLE('',#156160,0.101755005383019); #58092=CIRCLE('',#156161,0.101755005383019); #58093=CIRCLE('',#156187,0.105080016283793); #58094=CIRCLE('',#156188,0.105080016283793); #58095=CIRCLE('',#156193,0.907949364335761); #58096=CIRCLE('',#156194,0.907949364335761); #58097=CIRCLE('',#156197,0.906504488869213); #58098=CIRCLE('',#156198,0.906504488869213); #58099=CIRCLE('',#156213,0.0885226614666702); #58100=CIRCLE('',#156214,0.0885226614666702); #58101=CIRCLE('',#156219,0.0727126480818447); #58102=CIRCLE('',#156220,0.0727126480818447); #58103=CIRCLE('',#156222,0.116456353091669); #58104=CIRCLE('',#156223,0.116456353091669); #58105=CIRCLE('',#156225,1.25567486007565); #58106=CIRCLE('',#156226,1.25567486007565); #58107=CIRCLE('',#156229,0.317275084675709); #58108=CIRCLE('',#156230,0.317275084675709); #58109=CIRCLE('',#156239,1.29687963066282); #58110=CIRCLE('',#156240,1.29687963066282); #58111=CIRCLE('',#156242,0.290480907447009); #58112=CIRCLE('',#156243,0.290480907447009); #58113=CIRCLE('',#156245,0.31728639556842); #58114=CIRCLE('',#156246,0.31728639556842); #58115=CIRCLE('',#156267,0.120112317011933); #58116=CIRCLE('',#156268,0.120112317011933); #58117=CIRCLE('',#156273,1.4036574157623); #58118=CIRCLE('',#156274,1.4036574157623); #58119=CIRCLE('',#156276,0.101077840553005); #58120=CIRCLE('',#156277,0.101077840553005); #58121=CIRCLE('',#156304,3.07278685767314); #58122=CIRCLE('',#156305,3.07278685767314); #58123=CIRCLE('',#156332,0.0984821633257116); #58124=CIRCLE('',#156333,0.0984821633257116); #58125=CIRCLE('',#156335,0.160559055238168); #58126=CIRCLE('',#156336,0.160559055238168); #58127=CIRCLE('',#156340,5.12807534997069); #58128=CIRCLE('',#156341,5.12807534997069); #58129=CIRCLE('',#156343,0.391939880810715); #58130=CIRCLE('',#156344,0.391939880810715); #58131=CIRCLE('',#156346,0.363363934834027); #58132=CIRCLE('',#156347,0.363363934834027); #58133=CIRCLE('',#156349,5.564973616412); #58134=CIRCLE('',#156350,5.564973616412); #58135=CIRCLE('',#156361,140.27285488118); #58136=CIRCLE('',#156362,140.27285488118); #58137=CIRCLE('',#156372,0.109873577481442); #58138=CIRCLE('',#156373,0.109873577481442); #58139=CIRCLE('',#156376,0.096504721546241); #58140=CIRCLE('',#156377,0.096504721546241); #58141=CIRCLE('',#156384,0.103028499268524); #58142=CIRCLE('',#156385,0.103028499268524); #58143=CIRCLE('',#156389,279.908103390792); #58144=CIRCLE('',#156390,279.908103390792); #58145=CIRCLE('',#156393,0.15730086246219); #58146=CIRCLE('',#156394,0.15730086246219); #58147=CIRCLE('',#156405,0.0955830208062483); #58148=CIRCLE('',#156406,0.0955830208062483); #58149=CIRCLE('',#156416,0.10706661883727); #58150=CIRCLE('',#156417,0.10706661883727); #58151=CIRCLE('',#156419,6.6857452948253); #58152=CIRCLE('',#156420,6.6857452948253); #58153=CIRCLE('',#156426,0.308608193941036); #58154=CIRCLE('',#156427,0.308608193941036); #58155=CIRCLE('',#156429,0.111654330098487); #58156=CIRCLE('',#156430,0.111654330098487); #58157=CIRCLE('',#156436,0.0939157852904324); #58158=CIRCLE('',#156437,0.0939157852904324); #58159=CIRCLE('',#156449,0.110752090831611); #58160=CIRCLE('',#156450,0.110752090831611); #58161=CIRCLE('',#156455,85.9220935495639); #58162=CIRCLE('',#156456,85.9220935495639); #58163=CIRCLE('',#156459,0.142791821531261); #58164=CIRCLE('',#156460,0.142791821531261); #58165=CIRCLE('',#156471,0.11379469477288); #58166=CIRCLE('',#156472,0.11379469477288); #58167=CIRCLE('',#156484,0.114670339574723); #58168=CIRCLE('',#156485,0.114670339574723); #58169=CIRCLE('',#156487,8.37356948382605); #58170=CIRCLE('',#156488,8.37356948382605); #58171=CIRCLE('',#156490,0.167974595645679); #58172=CIRCLE('',#156491,0.167974595645679); #58173=CIRCLE('',#156499,0.0803388442998517); #58174=CIRCLE('',#156500,0.0803388442998517); #58175=CIRCLE('',#156508,0.071147615328045); #58176=CIRCLE('',#156509,0.071147615328045); #58177=CIRCLE('',#156514,0.639229508622429); #58178=CIRCLE('',#156515,0.639229508622429); #58179=CIRCLE('',#156517,0.101738516686471); #58180=CIRCLE('',#156518,0.101738516686471); #58181=CIRCLE('',#156527,0.0803545135720741); #58182=CIRCLE('',#156528,0.0803545135720741); #58183=CIRCLE('',#156543,0.109558519763856); #58184=CIRCLE('',#156544,0.109558519763856); #58185=CIRCLE('',#156547,0.54367495884949); #58186=CIRCLE('',#156548,0.54367495884949); #58187=CIRCLE('',#156550,0.344087833409386); #58188=CIRCLE('',#156551,0.344087833409386); #58189=CIRCLE('',#156553,0.378971309692404); #58190=CIRCLE('',#156554,0.378971309692404); #58191=CIRCLE('',#156557,0.293619836669826); #58192=CIRCLE('',#156558,0.293619836669826); #58193=CIRCLE('',#156560,0.264136394095827); #58194=CIRCLE('',#156561,0.264136394095827); #58195=CIRCLE('',#156563,0.264029082685151); #58196=CIRCLE('',#156564,0.264029082685151); #58197=CIRCLE('',#156566,0.299184192577539); #58198=CIRCLE('',#156567,0.299184192577539); #58199=CIRCLE('',#156570,0.180680343435136); #58200=CIRCLE('',#156571,0.180680343435136); #58201=CIRCLE('',#156573,0.0874836850753535); #58202=CIRCLE('',#156574,0.0874836850753535); #58203=CIRCLE('',#156579,0.0816292693468529); #58204=CIRCLE('',#156580,0.0816292693468529); #58205=CIRCLE('',#156588,0.0843529702984417); #58206=CIRCLE('',#156589,0.0843529702984417); #58207=CIRCLE('',#156600,0.704564852614134); #58208=CIRCLE('',#156601,0.704564852614134); #58209=CIRCLE('',#156603,0.132251036809213); #58210=CIRCLE('',#156604,0.132251036809213); #58211=CIRCLE('',#156608,0.0706756168662486); #58212=CIRCLE('',#156609,0.0706756168662486); #58213=CIRCLE('',#156615,0.0803773624569058); #58214=CIRCLE('',#156616,0.0803773624569058); #58215=CIRCLE('',#156618,0.0863752884796337); #58216=CIRCLE('',#156619,0.0863752884796337); #58217=CIRCLE('',#156621,0.0769468677592085); #58218=CIRCLE('',#156622,0.0769468677592085); #58219=CIRCLE('',#156634,0.142100690285224); #58220=CIRCLE('',#156635,0.142100690285224); #58221=CIRCLE('',#156638,0.484036291432338); #58222=CIRCLE('',#156639,0.484036291432338); #58223=CIRCLE('',#156641,0.3223536145565); #58224=CIRCLE('',#156642,0.3223536145565); #58225=CIRCLE('',#156644,0.37093752028591); #58226=CIRCLE('',#156645,0.37093752028591); #58227=CIRCLE('',#156648,0.293315385162109); #58228=CIRCLE('',#156649,0.293315385162109); #58229=CIRCLE('',#156651,0.29453757886334); #58230=CIRCLE('',#156652,0.29453757886334); #58231=CIRCLE('',#156654,0.294745645561913); #58232=CIRCLE('',#156655,0.294745645561913); #58233=CIRCLE('',#156657,0.347548578095289); #58234=CIRCLE('',#156658,0.347548578095289); #58235=CIRCLE('',#156661,0.303995135233414); #58236=CIRCLE('',#156662,0.303995135233414); #58237=CIRCLE('',#156671,0.0825774793668685); #58238=CIRCLE('',#156672,0.0825774793668685); #58239=CIRCLE('',#156677,0.543423862502059); #58240=CIRCLE('',#156678,0.543423862502059); #58241=CIRCLE('',#156680,0.343975971057414); #58242=CIRCLE('',#156681,0.343975971057414); #58243=CIRCLE('',#156683,0.378684202275848); #58244=CIRCLE('',#156684,0.378684202275848); #58245=CIRCLE('',#156687,0.311578027054818); #58246=CIRCLE('',#156688,0.311578027054818); #58247=CIRCLE('',#156690,0.245434416996314); #58248=CIRCLE('',#156691,0.245434416996314); #58249=CIRCLE('',#156693,0.24502296824357); #58250=CIRCLE('',#156694,0.24502296824357); #58251=CIRCLE('',#156696,0.326764812824398); #58252=CIRCLE('',#156697,0.326764812824398); #58253=CIRCLE('',#156700,0.486639356997897); #58254=CIRCLE('',#156701,0.486639356997897); #58255=CIRCLE('',#156725,0.587083291206013); #58256=CIRCLE('',#156726,0.587083291206013); #58257=CIRCLE('',#156737,0.0761946943620534); #58258=CIRCLE('',#156738,0.0761946943620534); #58259=CIRCLE('',#156757,0.451340418725605); #58260=CIRCLE('',#156758,0.451340418725605); #58261=CIRCLE('',#156760,0.388970065476095); #58262=CIRCLE('',#156761,0.388970065476095); #58263=CIRCLE('',#156763,0.286476748881277); #58264=CIRCLE('',#156764,0.286476748881277); #58265=CIRCLE('',#156766,0.286206626010145); #58266=CIRCLE('',#156767,0.286206626010145); #58267=CIRCLE('',#156770,32.2982839277636); #58268=CIRCLE('',#156771,32.2982839277636); #58269=CIRCLE('',#156773,0.236433072664527); #58270=CIRCLE('',#156774,0.236433072664527); #58271=CIRCLE('',#156776,0.3254859139424); #58272=CIRCLE('',#156777,0.3254859139424); #58273=CIRCLE('',#156779,0.324036187315658); #58274=CIRCLE('',#156780,0.324036187315658); #58275=CIRCLE('',#156782,0.316219382694115); #58276=CIRCLE('',#156783,0.316219382694115); #58277=CIRCLE('',#156786,0.296473537997301); #58278=CIRCLE('',#156787,0.296473537997301); #58279=CIRCLE('',#156813,0.652522934044474); #58280=CIRCLE('',#156814,0.652522934044474); #58281=CIRCLE('',#156828,0.0956767466010177); #58282=CIRCLE('',#156829,0.0956767466010177); #58283=CIRCLE('',#156831,0.138688969903386); #58284=CIRCLE('',#156832,0.138688969903386); #58285=CIRCLE('',#156838,0.982733460326619); #58286=CIRCLE('',#156839,0.982733460326619); #58287=CIRCLE('',#156842,0.0932926415210881); #58288=CIRCLE('',#156843,0.0932926415210881); #58289=CIRCLE('',#156850,0.101418085293686); #58290=CIRCLE('',#156851,0.101418085293686); #58291=CIRCLE('',#156853,0.107789220965039); #58292=CIRCLE('',#156854,0.107789220965039); #58293=CIRCLE('',#156860,0.115804130965193); #58294=CIRCLE('',#156861,0.115804130965193); #58295=CIRCLE('',#156865,2.8812552279612); #58296=CIRCLE('',#156866,2.8812552279612); #58297=CIRCLE('',#156871,0.218596037518307); #58298=CIRCLE('',#156872,0.218596037518307); #58299=CIRCLE('',#156877,0.105351105540061); #58300=CIRCLE('',#156878,0.105351105540061); #58301=CIRCLE('',#156885,0.0993190417043536); #58302=CIRCLE('',#156886,0.0993190417043536); #58303=CIRCLE('',#156890,0.0971120664917781); #58304=CIRCLE('',#156891,0.0971120664917781); #58305=CIRCLE('',#156893,0.0898632385706396); #58306=CIRCLE('',#156894,0.0898632385706396); #58307=CIRCLE('',#156897,0.100905952228507); #58308=CIRCLE('',#156898,0.100905952228507); #58309=CIRCLE('',#156900,348.455305317806); #58310=CIRCLE('',#156901,348.455305317806); #58311=CIRCLE('',#156903,0.160805475892715); #58312=CIRCLE('',#156904,0.160805475892715); #58313=CIRCLE('',#156907,0.0836526259429167); #58314=CIRCLE('',#156908,0.0836526259429167); #58315=CIRCLE('',#156916,0.108549610444991); #58316=CIRCLE('',#156917,0.108549610444991); #58317=CIRCLE('',#156920,0.0950934687395011); #58318=CIRCLE('',#156921,0.0950934687395011); #58319=CIRCLE('',#156925,0.11118723919984); #58320=CIRCLE('',#156926,0.11118723919984); #58321=CIRCLE('',#156929,0.109612905774541); #58322=CIRCLE('',#156930,0.109612905774541); #58323=CIRCLE('',#156937,0.249154958862724); #58324=CIRCLE('',#156938,0.249154958862724); #58325=CIRCLE('',#156940,0.118054969269452); #58326=CIRCLE('',#156941,0.118054969269452); #58327=CIRCLE('',#156943,0.0847856178748285); #58328=CIRCLE('',#156944,0.0847856178748285); #58329=CIRCLE('',#156948,0.104313295995252); #58330=CIRCLE('',#156949,0.104313295995252); #58331=CIRCLE('',#156951,0.109416931167118); #58332=CIRCLE('',#156952,0.109416931167118); #58333=CIRCLE('',#156961,0.0805836465786338); #58334=CIRCLE('',#156962,0.0805836465786338); #58335=CIRCLE('',#156973,0.294155168595165); #58336=CIRCLE('',#156974,0.294155168595165); #58337=CIRCLE('',#156979,2.78722113545778); #58338=CIRCLE('',#156980,2.78722113545778); #58339=CIRCLE('',#156982,0.0962220014356468); #58340=CIRCLE('',#156983,0.0962220014356468); #58341=CIRCLE('',#156988,0.0841268552050164); #58342=CIRCLE('',#156989,0.0841268552050164); #58343=CIRCLE('',#156993,0.0764899797502525); #58344=CIRCLE('',#156994,0.0764899797502525); #58345=CIRCLE('',#156998,0.0883877158796245); #58346=CIRCLE('',#156999,0.0883877158796245); #58347=CIRCLE('',#157009,0.0995224026346609); #58348=CIRCLE('',#157010,0.0995224026346609); #58349=CIRCLE('',#157012,0.114232843360382); #58350=CIRCLE('',#157013,0.114232843360382); #58351=CIRCLE('',#157016,16.8657678583155); #58352=CIRCLE('',#157017,16.8657678583155); #58353=CIRCLE('',#157019,24.0748940039816); #58354=CIRCLE('',#157020,24.0748940039816); #58355=CIRCLE('',#157022,0.141604134792961); #58356=CIRCLE('',#157023,0.141604134792961); #58357=CIRCLE('',#157025,0.0994230874670008); #58358=CIRCLE('',#157026,0.0994230874670008); #58359=CIRCLE('',#157036,0.0981109538455353); #58360=CIRCLE('',#157037,0.0981109538455353); #58361=CIRCLE('',#157041,0.0861346071658352); #58362=CIRCLE('',#157042,0.0861346071658352); #58363=CIRCLE('',#157044,0.0731367170389408); #58364=CIRCLE('',#157045,0.0731367170389408); #58365=CIRCLE('',#157058,0.879936347873292); #58366=CIRCLE('',#157059,0.879936347873292); #58367=CIRCLE('',#157061,0.0970406552175125); #58368=CIRCLE('',#157062,0.0970406552175125); #58369=CIRCLE('',#157071,0.100323534636387); #58370=CIRCLE('',#157072,0.100323534636387); #58371=CIRCLE('',#157074,0.0857245952819908); #58372=CIRCLE('',#157075,0.0857245952819908); #58373=CIRCLE('',#157084,0.0671005368003692); #58374=CIRCLE('',#157085,0.0671005368003692); #58375=CIRCLE('',#157091,3.43384313978357); #58376=CIRCLE('',#157092,3.43384313978357); #58377=CIRCLE('',#157094,0.0968797538460479); #58378=CIRCLE('',#157095,0.0968797538460479); #58379=CIRCLE('',#157098,0.0746410388340077); #58380=CIRCLE('',#157099,0.0746410388340077); #58381=CIRCLE('',#157101,0.0887061323471135); #58382=CIRCLE('',#157102,0.0887061323471135); #58383=CIRCLE('',#157108,0.0941650096826741); #58384=CIRCLE('',#157109,0.0941650096826741); #58385=CIRCLE('',#157111,0.0853858937531928); #58386=CIRCLE('',#157112,0.0853858937531928); #58387=CIRCLE('',#157119,0.0838157031053365); #58388=CIRCLE('',#157120,0.0838157031053365); #58389=CIRCLE('',#157123,0.0881475158756454); #58390=CIRCLE('',#157124,0.0881475158756454); #58391=CIRCLE('',#157126,0.0928088874519576); #58392=CIRCLE('',#157127,0.0928088874519576); #58393=CIRCLE('',#157135,1.13039474500265); #58394=CIRCLE('',#157136,1.13039474500265); #58395=CIRCLE('',#157142,0.0675886608647906); #58396=CIRCLE('',#157143,0.0675886608647906); #58397=CIRCLE('',#157149,0.0906344759468841); #58398=CIRCLE('',#157150,0.0906344759468841); #58399=CIRCLE('',#157158,0.06978186531775); #58400=CIRCLE('',#157159,0.06978186531775); #58401=CIRCLE('',#157163,0.0943604555132428); #58402=CIRCLE('',#157164,0.0943604555132428); #58403=CIRCLE('',#157166,1.20389588210073); #58404=CIRCLE('',#157167,1.20389588210073); #58405=CIRCLE('',#157181,0.0752251326844788); #58406=CIRCLE('',#157182,0.0752251326844788); #58407=CIRCLE('',#157186,0.742401863300724); #58408=CIRCLE('',#157187,0.742401863300724); #58409=CIRCLE('',#157189,0.381325300865877); #58410=CIRCLE('',#157190,0.381325300865877); #58411=CIRCLE('',#157193,0.19200434819857); #58412=CIRCLE('',#157194,0.19200434819857); #58413=CIRCLE('',#157196,0.479698936673167); #58414=CIRCLE('',#157197,0.479698936673167); #58415=CIRCLE('',#157200,20.8453283798994); #58416=CIRCLE('',#157201,20.8453283798994); #58417=CIRCLE('',#157206,0.39712073345298); #58418=CIRCLE('',#157207,0.39712073345298); #58419=CIRCLE('',#157209,0.228094183047208); #58420=CIRCLE('',#157210,0.228094183047208); #58421=CIRCLE('',#157212,0.582978464304714); #58422=CIRCLE('',#157213,0.582978464304714); #58423=CIRCLE('',#157219,0.0756841347768938); #58424=CIRCLE('',#157220,0.0756841347768938); #58425=CIRCLE('',#157222,0.0771142003692704); #58426=CIRCLE('',#157223,0.0771142003692704); #58427=CIRCLE('',#157225,0.107748841330819); #58428=CIRCLE('',#157226,0.107748841330819); #58429=CIRCLE('',#157231,5.78820937839488); #58430=CIRCLE('',#157232,5.78820937839488); #58431=CIRCLE('',#157234,0.368459060820319); #58432=CIRCLE('',#157235,0.368459060820319); #58433=CIRCLE('',#157237,0.317981813117709); #58434=CIRCLE('',#157238,0.317981813117709); #58435=CIRCLE('',#157240,0.317966630345945); #58436=CIRCLE('',#157241,0.317966630345945); #58437=CIRCLE('',#157244,0.320080682181818); #58438=CIRCLE('',#157245,0.320080682181818); #58439=CIRCLE('',#157247,0.314557244601701); #58440=CIRCLE('',#157248,0.314557244601701); #58441=CIRCLE('',#157250,4.26042798881268); #58442=CIRCLE('',#157251,4.26042798881268); #58443=CIRCLE('',#157258,0.0886228920642738); #58444=CIRCLE('',#157259,0.0886228920642738); #58445=CIRCLE('',#157279,0.214488448007865); #58446=CIRCLE('',#157280,0.214488448007865); #58447=CIRCLE('',#157285,2.21103531588874); #58448=CIRCLE('',#157286,2.21103531588874); #58449=CIRCLE('',#157317,6.13102352558469); #58450=CIRCLE('',#157318,6.13102352558469); #58451=CIRCLE('',#157326,0.829568292213341); #58452=CIRCLE('',#157327,0.829568292213341); #58453=CIRCLE('',#157330,0.478632643045366); #58454=CIRCLE('',#157331,0.478632643045366); #58455=CIRCLE('',#157333,0.636204281764232); #58456=CIRCLE('',#157334,0.636204281764232); #58457=CIRCLE('',#157336,3.65420226099984); #58458=CIRCLE('',#157337,3.65420226099984); #58459=CIRCLE('',#157339,0.298395172352783); #58460=CIRCLE('',#157340,0.298395172352783); #58461=CIRCLE('',#157342,0.296799944711126); #58462=CIRCLE('',#157343,0.296799944711126); #58463=CIRCLE('',#157345,5.38504367982798); #58464=CIRCLE('',#157346,5.38504367982798); #58465=CIRCLE('',#157348,0.279200342136261); #58466=CIRCLE('',#157349,0.279200342136261); #58467=CIRCLE('',#157351,10.7980082348258); #58468=CIRCLE('',#157352,10.7980082348258); #58469=CIRCLE('',#157354,0.320725851149244); #58470=CIRCLE('',#157355,0.320725851149244); #58471=CIRCLE('',#157361,0.569872649233151); #58472=CIRCLE('',#157362,0.569872649233151); #58473=CIRCLE('',#157370,0.114610756691635); #58474=CIRCLE('',#157371,0.114610756691635); #58475=CIRCLE('',#157373,0.133366946221772); #58476=CIRCLE('',#157374,0.133366946221772); #58477=CIRCLE('',#157382,0.399418936889137); #58478=CIRCLE('',#157383,0.399418936889137); #58479=CIRCLE('',#157385,0.516683826287145); #58480=CIRCLE('',#157386,0.516683826287145); #58481=CIRCLE('',#157388,2.69974353301576); #58482=CIRCLE('',#157389,2.69974353301576); #58483=CIRCLE('',#157392,0.735597255059975); #58484=CIRCLE('',#157393,0.735597255059975); #58485=CIRCLE('',#157395,2.76677571734342); #58486=CIRCLE('',#157396,2.76677571734342); #58487=CIRCLE('',#157398,0.62644518703061); #58488=CIRCLE('',#157399,0.62644518703061); #58489=CIRCLE('',#157403,2.1433757052772); #58490=CIRCLE('',#157404,2.1433757052772); #58491=CIRCLE('',#157406,0.675314761436184); #58492=CIRCLE('',#157407,0.675314761436184); #58493=CIRCLE('',#157409,3.99800314671725); #58494=CIRCLE('',#157410,3.99800314671725); #58495=CIRCLE('',#157412,2.20948391124496); #58496=CIRCLE('',#157413,2.20948391124496); #58497=CIRCLE('',#157415,0.461178853893065); #58498=CIRCLE('',#157416,0.461178853893065); #58499=CIRCLE('',#157418,240.179505497823); #58500=CIRCLE('',#157419,240.179505497823); #58501=CIRCLE('',#157434,0.0933085936417554); #58502=CIRCLE('',#157435,0.0933085936417554); #58503=CIRCLE('',#157437,0.114610521173727); #58504=CIRCLE('',#157438,0.114610521173727); #58505=CIRCLE('',#157441,0.10994993904873); #58506=CIRCLE('',#157442,0.10994993904873); #58507=CIRCLE('',#157444,0.387278025180311); #58508=CIRCLE('',#157445,0.387278025180311); #58509=CIRCLE('',#157448,0.102047519259366); #58510=CIRCLE('',#157449,0.102047519259366); #58511=CIRCLE('',#157451,379.483999919781); #58512=CIRCLE('',#157452,379.483999919781); #58513=CIRCLE('',#157457,0.57391907398227); #58514=CIRCLE('',#157458,0.57391907398227); #58515=CIRCLE('',#157470,0.104357088213544); #58516=CIRCLE('',#157471,0.104357088213544); #58517=CIRCLE('',#157474,336.617229054441); #58518=CIRCLE('',#157475,336.617229054441); #58519=CIRCLE('',#157485,306.477909332998); #58520=CIRCLE('',#157486,306.477909332998); #58521=CIRCLE('',#157488,1.43839035079533); #58522=CIRCLE('',#157489,1.43839035079533); #58523=CIRCLE('',#157491,0.107798172782673); #58524=CIRCLE('',#157492,0.107798172782673); #58525=CIRCLE('',#157512,0.0818157108855619); #58526=CIRCLE('',#157513,0.0818157108855619); #58527=CIRCLE('',#157518,1.1364034645607); #58528=CIRCLE('',#157519,1.1364034645607); #58529=CIRCLE('',#157546,0.114882294111118); #58530=CIRCLE('',#157547,0.114882294111118); #58531=CIRCLE('',#157550,25.3746965091586); #58532=CIRCLE('',#157551,25.3746965091586); #58533=CIRCLE('',#157553,0.403750009018796); #58534=CIRCLE('',#157554,0.403750009018796); #58535=CIRCLE('',#157567,0.09041517556685); #58536=CIRCLE('',#157568,0.09041517556685); #58537=CIRCLE('',#157581,0.588032848690459); #58538=CIRCLE('',#157582,0.588032848690459); #58539=CIRCLE('',#157586,1.05734889051939); #58540=CIRCLE('',#157587,1.05734889051939); #58541=CIRCLE('',#157614,0.108643026944015); #58542=CIRCLE('',#157615,0.108643026944015); #58543=CIRCLE('',#157618,25.3983248189328); #58544=CIRCLE('',#157619,25.3983248189328); #58545=CIRCLE('',#157621,0.372346562570849); #58546=CIRCLE('',#157622,0.372346562570849); #58547=CIRCLE('',#157625,0.0796137502040458); #58548=CIRCLE('',#157626,0.0796137502040458); #58549=CIRCLE('',#157647,0.0827194577260534); #58550=CIRCLE('',#157648,0.0827194577260534); #58551=CIRCLE('',#157651,1.57337675954337); #58552=CIRCLE('',#157652,1.57337675954337); #58553=CIRCLE('',#157667,0.0879077113401136); #58554=CIRCLE('',#157668,0.0879077113401136); #58555=CIRCLE('',#157687,0.966803330666088); #58556=CIRCLE('',#157688,0.966803330666088); #58557=CIRCLE('',#157702,0.096179812095145); #58558=CIRCLE('',#157703,0.096179812095145); #58559=CIRCLE('',#157716,0.100278663630625); #58560=CIRCLE('',#157717,0.100278663630625); #58561=CIRCLE('',#157720,0.168448360150668); #58562=CIRCLE('',#157721,0.168448360150668); #58563=CIRCLE('',#157728,1.32658812911549); #58564=CIRCLE('',#157729,1.32658812911549); #58565=CIRCLE('',#157757,1.41084419548954); #58566=CIRCLE('',#157758,1.41084419548954); #58567=CIRCLE('',#157761,0.250409719591695); #58568=CIRCLE('',#157762,0.250409719591695); #58569=CIRCLE('',#157788,0.0920276188287273); #58570=CIRCLE('',#157789,0.0920276188287273); #58571=CIRCLE('',#157796,1.40759100060375); #58572=CIRCLE('',#157797,1.40759100060375); #58573=CIRCLE('',#157799,0.143812173377603); #58574=CIRCLE('',#157800,0.143812173377603); #58575=CIRCLE('',#157829,9.59284286809539); #58576=CIRCLE('',#157830,9.59284286809539); #58577=CIRCLE('',#157833,2.8646602992471); #58578=CIRCLE('',#157834,2.8646602992471); #58579=CIRCLE('',#157836,0.104304073518023); #58580=CIRCLE('',#157837,0.104304073518023); #58581=CIRCLE('',#157839,0.0868164809883388); #58582=CIRCLE('',#157840,0.0868164809883388); #58583=CIRCLE('',#157849,0.079727369388651); #58584=CIRCLE('',#157850,0.079727369388651); #58585=CIRCLE('',#157866,0.106962906590315); #58586=CIRCLE('',#157867,0.106962906590315); #58587=CIRCLE('',#157872,1327.33187540894); #58588=CIRCLE('',#157873,1327.33187540894); #58589=CIRCLE('',#157875,1.09775007537357); #58590=CIRCLE('',#157876,1.09775007537357); #58591=CIRCLE('',#157883,0.0757945425412236); #58592=CIRCLE('',#157884,0.0757945425412236); #58593=CIRCLE('',#157891,0.451086357107417); #58594=CIRCLE('',#157892,0.451086357107417); #58595=CIRCLE('',#157894,0.389005824862964); #58596=CIRCLE('',#157895,0.389005824862964); #58597=CIRCLE('',#157897,0.300635967096896); #58598=CIRCLE('',#157898,0.300635967096896); #58599=CIRCLE('',#157900,0.300640242296684); #58600=CIRCLE('',#157901,0.300640242296684); #58601=CIRCLE('',#157904,16.2211196151122); #58602=CIRCLE('',#157905,16.2211196151122); #58603=CIRCLE('',#157907,0.25148488541846); #58604=CIRCLE('',#157908,0.25148488541846); #58605=CIRCLE('',#157910,0.309017071392853); #58606=CIRCLE('',#157911,0.309017071392853); #58607=CIRCLE('',#157913,0.309013188250379); #58608=CIRCLE('',#157914,0.309013188250379); #58609=CIRCLE('',#157916,0.328181757833409); #58610=CIRCLE('',#157917,0.328181757833409); #58611=CIRCLE('',#157920,0.173003711527276); #58612=CIRCLE('',#157921,0.173003711527276); #58613=CIRCLE('',#157947,0.768250501258704); #58614=CIRCLE('',#157948,0.768250501258704); #58615=CIRCLE('',#157975,0.100723096354524); #58616=CIRCLE('',#157976,0.100723096354524); #58617=CIRCLE('',#157979,0.543609433482281); #58618=CIRCLE('',#157980,0.543609433482281); #58619=CIRCLE('',#157982,0.34387272412425); #58620=CIRCLE('',#157983,0.34387272412425); #58621=CIRCLE('',#157985,0.378836963267048); #58622=CIRCLE('',#157986,0.378836963267048); #58623=CIRCLE('',#157989,0.293575350002868); #58624=CIRCLE('',#157990,0.293575350002868); #58625=CIRCLE('',#157992,0.264082635142084); #58626=CIRCLE('',#157993,0.264082635142084); #58627=CIRCLE('',#157995,0.264105486012263); #58628=CIRCLE('',#157996,0.264105486012263); #58629=CIRCLE('',#157998,0.299278712362273); #58630=CIRCLE('',#157999,0.299278712362273); #58631=CIRCLE('',#158002,0.164206218965825); #58632=CIRCLE('',#158003,0.164206218965825); #58633=CIRCLE('',#158028,0.588189444731063); #58634=CIRCLE('',#158029,0.588189444731063); #58635=CIRCLE('',#158056,0.103836066881678); #58636=CIRCLE('',#158057,0.103836066881678); #58637=CIRCLE('',#158061,0.0942142300213178); #58638=CIRCLE('',#158062,0.0942142300213178); #58639=CIRCLE('',#158071,0.122548067096823); #58640=CIRCLE('',#158072,0.122548067096823); #58641=CIRCLE('',#158074,0.143453831820579); #58642=CIRCLE('',#158075,0.143453831820579); #58643=CIRCLE('',#158077,2.63224026068344); #58644=CIRCLE('',#158078,2.63224026068344); #58645=CIRCLE('',#158080,0.140884712550757); #58646=CIRCLE('',#158081,0.140884712550757); #58647=CIRCLE('',#158086,0.107763599781879); #58648=CIRCLE('',#158087,0.107763599781879); #58649=CIRCLE('',#158090,0.0921159665434025); #58650=CIRCLE('',#158091,0.0921159665434025); #58651=CIRCLE('',#158093,0.104245201010852); #58652=CIRCLE('',#158094,0.104245201010852); #58653=CIRCLE('',#158097,0.111342303384736); #58654=CIRCLE('',#158098,0.111342303384736); #58655=CIRCLE('',#158101,0.0975617549147582); #58656=CIRCLE('',#158102,0.0975617549147582); #58657=CIRCLE('',#158104,0.0977343898484736); #58658=CIRCLE('',#158105,0.0977343898484736); #58659=CIRCLE('',#158109,0.103520597259585); #58660=CIRCLE('',#158110,0.103520597259585); #58661=CIRCLE('',#158118,1.4241732485105); #58662=CIRCLE('',#158119,1.4241732485105); #58663=CIRCLE('',#158126,0.0899745094482644); #58664=CIRCLE('',#158127,0.0899745094482644); #58665=CIRCLE('',#158129,0.108501811418489); #58666=CIRCLE('',#158130,0.108501811418489); #58667=CIRCLE('',#158132,0.100969627877999); #58668=CIRCLE('',#158133,0.100969627877999); #58669=CIRCLE('',#158138,0.103829590635488); #58670=CIRCLE('',#158139,0.103829590635488); #58671=CIRCLE('',#158143,0.112413106291549); #58672=CIRCLE('',#158144,0.112413106291549); #58673=CIRCLE('',#158149,0.126558455668758); #58674=CIRCLE('',#158150,0.126558455668758); #58675=CIRCLE('',#158152,8.76188283808676); #58676=CIRCLE('',#158153,8.76188283808676); #58677=CIRCLE('',#158156,0.269615319761577); #58678=CIRCLE('',#158157,0.269615319761577); #58679=CIRCLE('',#158162,0.117088087658825); #58680=CIRCLE('',#158163,0.117088087658825); #58681=CIRCLE('',#158179,0.111717861191181); #58682=CIRCLE('',#158180,0.111717861191181); #58683=CIRCLE('',#158183,54.7103672382325); #58684=CIRCLE('',#158184,54.7103672382325); #58685=CIRCLE('',#158186,1.09466689188688); #58686=CIRCLE('',#158187,1.09466689188688); #58687=CIRCLE('',#158189,0.1084339007221); #58688=CIRCLE('',#158190,0.1084339007221); #58689=CIRCLE('',#158192,0.0907279503398938); #58690=CIRCLE('',#158193,0.0907279503398938); #58691=CIRCLE('',#158200,0.0994945254520605); #58692=CIRCLE('',#158201,0.0994945254520605); #58693=CIRCLE('',#158206,0.0922939845724638); #58694=CIRCLE('',#158207,0.0922939845724638); #58695=CIRCLE('',#158212,0.0953675466979498); #58696=CIRCLE('',#158213,0.0953675466979498); #58697=CIRCLE('',#158215,0.100499834371457); #58698=CIRCLE('',#158216,0.100499834371457); #58699=CIRCLE('',#158218,9.18199104121517); #58700=CIRCLE('',#158219,9.18199104121517); #58701=CIRCLE('',#158221,0.161219401931867); #58702=CIRCLE('',#158222,0.161219401931867); #58703=CIRCLE('',#158228,0.1006826000789); #58704=CIRCLE('',#158229,0.1006826000789); #58705=CIRCLE('',#158231,0.099096793945551); #58706=CIRCLE('',#158232,0.099096793945551); #58707=CIRCLE('',#158235,0.0955837721516446); #58708=CIRCLE('',#158236,0.0955837721516446); #58709=CIRCLE('',#158246,0.10526122334965); #58710=CIRCLE('',#158247,0.10526122334965); #58711=CIRCLE('',#158253,0.472151347641025); #58712=CIRCLE('',#158254,0.472151347641025); #58713=CIRCLE('',#158268,0.0910975370960555); #58714=CIRCLE('',#158269,0.0910975370960555); #58715=CIRCLE('',#158282,0.0860849917201677); #58716=CIRCLE('',#158283,0.0860849917201677); #58717=CIRCLE('',#158285,1.43767015150176); #58718=CIRCLE('',#158286,1.43767015150176); #58719=CIRCLE('',#158296,0.0927291628860055); #58720=CIRCLE('',#158297,0.0927291628860055); #58721=CIRCLE('',#158312,0.108662375172523); #58722=CIRCLE('',#158313,0.108662375172523); #58723=CIRCLE('',#158317,0.177214113403622); #58724=CIRCLE('',#158318,0.177214113403622); #58725=CIRCLE('',#158321,25.4130302643639); #58726=CIRCLE('',#158322,25.4130302643639); #58727=CIRCLE('',#158324,0.385898362137759); #58728=CIRCLE('',#158325,0.385898362137759); #58729=CIRCLE('',#158339,0.113373516442655); #58730=CIRCLE('',#158340,0.113373516442655); #58731=CIRCLE('',#158343,0.0981804874339295); #58732=CIRCLE('',#158344,0.0981804874339295); #58733=CIRCLE('',#158353,0.583001081537042); #58734=CIRCLE('',#158354,0.583001081537042); #58735=CIRCLE('',#158358,1.05825889329532); #58736=CIRCLE('',#158359,1.05825889329532); #58737=CIRCLE('',#158361,0.104618649748195); #58738=CIRCLE('',#158362,0.104618649748195); #58739=CIRCLE('',#158374,0.12979854629564); #58740=CIRCLE('',#158375,0.12979854629564); #58741=CIRCLE('',#158380,0.0710307711965269); #58742=CIRCLE('',#158381,0.0710307711965269); #58743=CIRCLE('',#158384,0.0730091957424427); #58744=CIRCLE('',#158385,0.0730091957424427); #58745=CIRCLE('',#158387,0.0942157343893538); #58746=CIRCLE('',#158388,0.0942157343893538); #58747=CIRCLE('',#158392,0.137430903624388); #58748=CIRCLE('',#158393,0.137430903624388); #58749=CIRCLE('',#158396,25.3360813437242); #58750=CIRCLE('',#158397,25.3360813437242); #58751=CIRCLE('',#158399,0.580899600175405); #58752=CIRCLE('',#158400,0.580899600175405); #58753=CIRCLE('',#158402,0.0951106516264733); #58754=CIRCLE('',#158403,0.0951106516264733); #58755=CIRCLE('',#158425,0.079428749821122); #58756=CIRCLE('',#158426,0.079428749821122); #58757=CIRCLE('',#158430,1.25215040001154); #58758=CIRCLE('',#158431,1.25215040001154); #58759=CIRCLE('',#158435,0.0863817165241133); #58760=CIRCLE('',#158436,0.0863817165241133); #58761=CIRCLE('',#158446,0.0980020234143598); #58762=CIRCLE('',#158447,0.0980020234143598); #58763=CIRCLE('',#158450,0.0858646284759446); #58764=CIRCLE('',#158451,0.0858646284759446); #58765=CIRCLE('',#158453,0.0784444841812187); #58766=CIRCLE('',#158454,0.0784444841812187); #58767=CIRCLE('',#158463,0.125948659391579); #58768=CIRCLE('',#158464,0.125948659391579); #58769=CIRCLE('',#158468,312.073283384814); #58770=CIRCLE('',#158469,312.073283384814); #58771=CIRCLE('',#158479,297.003102069986); #58772=CIRCLE('',#158480,297.003102069986); #58773=CIRCLE('',#158482,0.776389061357614); #58774=CIRCLE('',#158483,0.776389061357614); #58775=CIRCLE('',#158487,0.0825560503516368); #58776=CIRCLE('',#158488,0.0825560503516368); #58777=CIRCLE('',#158492,0.081093822933607); #58778=CIRCLE('',#158493,0.081093822933607); #58779=CIRCLE('',#158499,4.83268300247513); #58780=CIRCLE('',#158500,4.83268300247513); #58781=CIRCLE('',#158508,0.0890534492187509); #58782=CIRCLE('',#158509,0.0890534492187509); #58783=CIRCLE('',#158521,0.0772431502222135); #58784=CIRCLE('',#158522,0.0772431502222135); #58785=CIRCLE('',#158533,0.111348212436327); #58786=CIRCLE('',#158534,0.111348212436327); #58787=CIRCLE('',#158537,152.077970642292); #58788=CIRCLE('',#158538,152.077970642292); #58789=CIRCLE('',#158540,2.05474049962968); #58790=CIRCLE('',#158541,2.05474049962968); #58791=CIRCLE('',#158549,0.0887820099923813); #58792=CIRCLE('',#158550,0.0887820099923813); #58793=CIRCLE('',#158560,0.08927278914234); #58794=CIRCLE('',#158561,0.08927278914234); #58795=CIRCLE('',#158567,0.0716063308507576); #58796=CIRCLE('',#158568,0.0716063308507576); #58797=CIRCLE('',#158573,0.197984975779259); #58798=CIRCLE('',#158574,0.197984975779259); #58799=CIRCLE('',#158578,4.04233822591637); #58800=CIRCLE('',#158579,4.04233822591637); #58801=CIRCLE('',#158581,0.102855759519561); #58802=CIRCLE('',#158582,0.102855759519561); #58803=CIRCLE('',#158593,0.0795980456207456); #58804=CIRCLE('',#158594,0.0795980456207456); #58805=CIRCLE('',#158597,0.0869490086227168); #58806=CIRCLE('',#158598,0.0869490086227168); #58807=CIRCLE('',#158607,0.0819357888021096); #58808=CIRCLE('',#158608,0.0819357888021096); #58809=CIRCLE('',#158614,64.411522357056); #58810=CIRCLE('',#158615,64.411522357056); #58811=CIRCLE('',#158619,54.9859989902363); #58812=CIRCLE('',#158620,54.9859989902363); #58813=CIRCLE('',#158623,0.0930599151437981); #58814=CIRCLE('',#158624,0.0930599151437981); #58815=CIRCLE('',#158636,0.0983262660242146); #58816=CIRCLE('',#158637,0.0983262660242146); #58817=CIRCLE('',#158653,0.521716759307679); #58818=CIRCLE('',#158654,0.521716759307679); #58819=CIRCLE('',#158656,0.351775799190548); #58820=CIRCLE('',#158657,0.351775799190548); #58821=CIRCLE('',#158659,0.621439901540572); #58822=CIRCLE('',#158660,0.621439901540572); #58823=CIRCLE('',#158666,0.115527947498937); #58824=CIRCLE('',#158667,0.115527947498937); #58825=CIRCLE('',#158670,0.097505806786671); #58826=CIRCLE('',#158671,0.097505806786671); #58827=CIRCLE('',#158673,0.11634904301381); #58828=CIRCLE('',#158674,0.11634904301381); #58829=CIRCLE('',#158679,0.099821576422222); #58830=CIRCLE('',#158680,0.099821576422222); #58831=CIRCLE('',#158684,0.211911743395965); #58832=CIRCLE('',#158685,0.211911743395965); #58833=CIRCLE('',#158687,2.19836547648086); #58834=CIRCLE('',#158688,2.19836547648086); #58835=CIRCLE('',#158691,0.623660598178828); #58836=CIRCLE('',#158692,0.623660598178828); #58837=CIRCLE('',#158694,0.590453657213042); #58838=CIRCLE('',#158695,0.590453657213042); #58839=CIRCLE('',#158697,0.590531704226269); #58840=CIRCLE('',#158698,0.590531704226269); #58841=CIRCLE('',#158700,16.1092548325408); #58842=CIRCLE('',#158701,16.1092548325408); #58843=CIRCLE('',#158703,0.274710406974372); #58844=CIRCLE('',#158704,0.274710406974372); #58845=CIRCLE('',#158710,0.0931502263926338); #58846=CIRCLE('',#158711,0.0931502263926338); #58847=CIRCLE('',#158716,0.113330923222045); #58848=CIRCLE('',#158717,0.113330923222045); #58849=CIRCLE('',#158719,0.0987495873504369); #58850=CIRCLE('',#158720,0.0987495873504369); #58851=CIRCLE('',#158724,0.10006319266529); #58852=CIRCLE('',#158725,0.10006319266529); #58853=CIRCLE('',#158728,0.103787324988396); #58854=CIRCLE('',#158729,0.103787324988396); #58855=CIRCLE('',#158734,0.131150667376969); #58856=CIRCLE('',#158735,0.131150667376969); #58857=CIRCLE('',#158745,0.111567372643277); #58858=CIRCLE('',#158746,0.111567372643277); #58859=CIRCLE('',#158756,0.105148608607417); #58860=CIRCLE('',#158757,0.105148608607417); #58861=CIRCLE('',#158759,6.45544571455692); #58862=CIRCLE('',#158760,6.45544571455692); #58863=CIRCLE('',#158762,0.149970818185792); #58864=CIRCLE('',#158763,0.149970818185792); #58865=CIRCLE('',#158767,0.0903466479866405); #58866=CIRCLE('',#158768,0.0903466479866405); #58867=CIRCLE('',#158770,0.105317395583459); #58868=CIRCLE('',#158771,0.105317395583459); #58869=CIRCLE('',#158775,0.117274609382675); #58870=CIRCLE('',#158776,0.117274609382675); #58871=CIRCLE('',#158778,0.0909728794420601); #58872=CIRCLE('',#158779,0.0909728794420601); #58873=CIRCLE('',#158782,0.0969608294658781); #58874=CIRCLE('',#158783,0.0969608294658781); #58875=CIRCLE('',#158786,0.109800187361123); #58876=CIRCLE('',#158787,0.109800187361123); #58877=CIRCLE('',#158793,1.5135777952569); #58878=CIRCLE('',#158794,1.5135777952569); #58879=CIRCLE('',#158797,0.875259179915979); #58880=CIRCLE('',#158798,0.875259179915979); #58881=CIRCLE('',#158800,0.101425345485418); #58882=CIRCLE('',#158801,0.101425345485418); #58883=CIRCLE('',#158807,5.33993922420132); #58884=CIRCLE('',#158808,5.33993922420132); #58885=CIRCLE('',#158814,72.0904209180733); #58886=CIRCLE('',#158815,72.0904209180733); #58887=CIRCLE('',#158819,0.109682357504355); #58888=CIRCLE('',#158820,0.109682357504355); #58889=CIRCLE('',#158825,213.76437627911); #58890=CIRCLE('',#158826,213.76437627911); #58891=CIRCLE('',#158856,0.10783895636788); #58892=CIRCLE('',#158857,0.10783895636788); #58893=CIRCLE('',#158863,0.325167490682837); #58894=CIRCLE('',#158864,0.325167490682837); #58895=CIRCLE('',#158890,1.1666048517437); #58896=CIRCLE('',#158891,1.1666048517437); #58897=CIRCLE('',#158918,0.115999637901432); #58898=CIRCLE('',#158919,0.115999637901432); #58899=CIRCLE('',#158924,895.612296401589); #58900=CIRCLE('',#158925,895.612296401589); #58901=CIRCLE('',#158927,1.46907466066891); #58902=CIRCLE('',#158928,1.46907466066891); #58903=CIRCLE('',#158930,0.110595418522247); #58904=CIRCLE('',#158931,0.110595418522247); #58905=CIRCLE('',#158956,1.11597296453068); #58906=CIRCLE('',#158957,1.11597296453068); #58907=CIRCLE('',#158983,0.115509476829782); #58908=CIRCLE('',#158984,0.115509476829782); #58909=CIRCLE('',#158989,5.48960335300872); #58910=CIRCLE('',#158990,5.48960335300872); #58911=CIRCLE('',#158998,0.290645359482048); #58912=CIRCLE('',#158999,0.290645359482048); #58913=CIRCLE('',#159001,0.290952015348589); #58914=CIRCLE('',#159002,0.290952015348589); #58915=CIRCLE('',#159004,3.23067853249477); #58916=CIRCLE('',#159005,3.23067853249477); #58917=CIRCLE('',#159007,0.341362012714016); #58918=CIRCLE('',#159008,0.341362012714016); #58919=CIRCLE('',#159010,4.57198878135673); #58920=CIRCLE('',#159011,4.57198878135673); #58921=CIRCLE('',#159014,0.30382610502097); #58922=CIRCLE('',#159015,0.30382610502097); #58923=CIRCLE('',#159017,0.303892899901544); #58924=CIRCLE('',#159018,0.303892899901544); #58925=CIRCLE('',#159020,2.13908482149119); #58926=CIRCLE('',#159021,2.13908482149119); #58927=CIRCLE('',#159031,2.27059347665917); #58928=CIRCLE('',#159032,2.27059347665917); #58929=CIRCLE('',#159034,0.636185227079825); #58930=CIRCLE('',#159035,0.636185227079825); #58931=CIRCLE('',#159037,3.72195468484384); #58932=CIRCLE('',#159038,3.72195468484384); #58933=CIRCLE('',#159040,0.649523054316311); #58934=CIRCLE('',#159041,0.649523054316311); #58935=CIRCLE('',#159043,3.0400951018949); #58936=CIRCLE('',#159044,3.0400951018949); #58937=CIRCLE('',#159047,1.64184816218188); #58938=CIRCLE('',#159048,1.64184816218188); #58939=CIRCLE('',#159050,0.704051202261948); #58940=CIRCLE('',#159051,0.704051202261948); #58941=CIRCLE('',#159053,16.1298425116353); #58942=CIRCLE('',#159054,16.1298425116353); #58943=CIRCLE('',#159061,0.426269419639482); #58944=CIRCLE('',#159062,0.426269419639482); #58945=CIRCLE('',#159064,0.323611924986413); #58946=CIRCLE('',#159065,0.323611924986413); #58947=CIRCLE('',#159067,74.0144366668227); #58948=CIRCLE('',#159068,74.0144366668227); #58949=CIRCLE('',#159072,1.37181686390745); #58950=CIRCLE('',#159073,1.37181686390745); #58951=CIRCLE('',#159075,0.504815864287751); #58952=CIRCLE('',#159076,0.504815864287751); #58953=CIRCLE('',#159078,0.438357054881804); #58954=CIRCLE('',#159079,0.438357054881804); #58955=CIRCLE('',#159087,0.0729479774096436); #58956=CIRCLE('',#159088,0.0729479774096436); #58957=CIRCLE('',#159104,0.110440696616918); #58958=CIRCLE('',#159105,0.110440696616918); #58959=CIRCLE('',#159107,2.02581856439254); #58960=CIRCLE('',#159108,2.02581856439254); #58961=CIRCLE('',#159110,0.545911699321393); #58962=CIRCLE('',#159111,0.545911699321393); #58963=CIRCLE('',#159113,0.545961805255276); #58964=CIRCLE('',#159114,0.545961805255276); #58965=CIRCLE('',#159116,0.535955110484622); #58966=CIRCLE('',#159117,0.535955110484622); #58967=CIRCLE('',#159119,3.17840275235448); #58968=CIRCLE('',#159120,3.17840275235448); #58969=CIRCLE('',#159122,0.11102680927058); #58970=CIRCLE('',#159123,0.11102680927058); #58971=CIRCLE('',#159133,0.0737176957180273); #58972=CIRCLE('',#159134,0.0737176957180273); #58973=CIRCLE('',#159150,0.102426453863626); #58974=CIRCLE('',#159151,0.102426453863626); #58975=CIRCLE('',#159155,0.339393800502251); #58976=CIRCLE('',#159156,0.339393800502251); #58977=CIRCLE('',#159162,0.328259416009752); #58978=CIRCLE('',#159163,0.328259416009752); #58979=CIRCLE('',#159166,0.182635570341194); #58980=CIRCLE('',#159167,0.182635570341194); #58981=CIRCLE('',#159169,0.0988523516981202); #58982=CIRCLE('',#159170,0.0988523516981202); #58983=CIRCLE('',#159181,0.0812223099668334); #58984=CIRCLE('',#159182,0.0812223099668334); #58985=CIRCLE('',#159190,0.0795327565316845); #58986=CIRCLE('',#159191,0.0795327565316845); #58987=CIRCLE('',#159196,0.768304053162179); #58988=CIRCLE('',#159197,0.768304053162179); #58989=CIRCLE('',#159199,0.117420620086153); #58990=CIRCLE('',#159200,0.117420620086153); #58991=CIRCLE('',#159227,0.118536860158202); #58992=CIRCLE('',#159228,0.118536860158202); #58993=CIRCLE('',#159231,0.543609881444916); #58994=CIRCLE('',#159232,0.543609881444916); #58995=CIRCLE('',#159234,0.343919273891127); #58996=CIRCLE('',#159235,0.343919273891127); #58997=CIRCLE('',#159237,0.378832516392882); #58998=CIRCLE('',#159238,0.378832516392882); #58999=CIRCLE('',#159241,0.311434527168151); #59000=CIRCLE('',#159242,0.311434527168151); #59001=CIRCLE('',#159244,0.245157299720516); #59002=CIRCLE('',#159245,0.245157299720516); #59003=CIRCLE('',#159247,0.245414190793275); #59004=CIRCLE('',#159248,0.245414190793275); #59005=CIRCLE('',#159250,0.326645123962751); #59006=CIRCLE('',#159251,0.326645123962751); #59007=CIRCLE('',#159254,0.476108307192352); #59008=CIRCLE('',#159255,0.476108307192352); #59009=CIRCLE('',#159279,0.587561261506494); #59010=CIRCLE('',#159280,0.587561261506494); #59011=CIRCLE('',#159287,0.0837399734198511); #59012=CIRCLE('',#159288,0.0837399734198511); #59013=CIRCLE('',#159308,0.122816035634613); #59014=CIRCLE('',#159309,0.122816035634613); #59015=CIRCLE('',#159311,0.109925734261558); #59016=CIRCLE('',#159312,0.109925734261558); #59017=CIRCLE('',#159315,0.451294754539322); #59018=CIRCLE('',#159316,0.451294754539322); #59019=CIRCLE('',#159318,0.388950906359728); #59020=CIRCLE('',#159319,0.388950906359728); #59021=CIRCLE('',#159321,0.300499957251326); #59022=CIRCLE('',#159322,0.300499957251326); #59023=CIRCLE('',#159324,0.300776713436281); #59024=CIRCLE('',#159325,0.300776713436281); #59025=CIRCLE('',#159328,16.2646920055023); #59026=CIRCLE('',#159329,16.2646920055023); #59027=CIRCLE('',#159331,0.251519686840036); #59028=CIRCLE('',#159332,0.251519686840036); #59029=CIRCLE('',#159334,0.309018431504091); #59030=CIRCLE('',#159335,0.309018431504091); #59031=CIRCLE('',#159337,0.309014456834864); #59032=CIRCLE('',#159338,0.309014456834864); #59033=CIRCLE('',#159341,0.664107423117187); #59034=CIRCLE('',#159342,0.664107423117187); #59035=CIRCLE('',#159344,0.465410348461668); #59036=CIRCLE('',#159345,0.465410348461668); #59037=CIRCLE('',#159347,0.536689776983487); #59038=CIRCLE('',#159348,0.536689776983487); #59039=CIRCLE('',#159350,0.536665446026793); #59040=CIRCLE('',#159351,0.536665446026793); #59041=CIRCLE('',#159353,0.485400235296426); #59042=CIRCLE('',#159354,0.485400235296426); #59043=CIRCLE('',#159356,0.496857845811217); #59044=CIRCLE('',#159357,0.496857845811217); #59045=CIRCLE('',#159359,0.496669265313903); #59046=CIRCLE('',#159360,0.496669265313903); #59047=CIRCLE('',#159362,0.500742822222396); #59048=CIRCLE('',#159363,0.500742822222396); #59049=CIRCLE('',#159365,0.611477844226694); #59050=CIRCLE('',#159366,0.611477844226694); #59051=CIRCLE('',#159368,0.730168809447588); #59052=CIRCLE('',#159369,0.730168809447588); #59053=CIRCLE('',#159371,0.490704853186198); #59054=CIRCLE('',#159372,0.490704853186198); #59055=CIRCLE('',#159374,0.676754177276971); #59056=CIRCLE('',#159375,0.676754177276971); #59057=CIRCLE('',#159377,0.676787748281432); #59058=CIRCLE('',#159378,0.676787748281432); #59059=CIRCLE('',#159381,0.433464131561065); #59060=CIRCLE('',#159382,0.433464131561065); #59061=CIRCLE('',#159384,0.547987443352908); #59062=CIRCLE('',#159385,0.547987443352908); #59063=CIRCLE('',#159388,0.338487731130758); #59064=CIRCLE('',#159389,0.338487731130758); #59065=CIRCLE('',#159391,6.39088785384886); #59066=CIRCLE('',#159392,6.39088785384886); #59067=CIRCLE('',#159397,0.374515557837544); #59068=CIRCLE('',#159398,0.374515557837544); #59069=CIRCLE('',#159402,1.71939972776192); #59070=CIRCLE('',#159403,1.71939972776192); #59071=CIRCLE('',#159405,0.477855577524143); #59072=CIRCLE('',#159406,0.477855577524143); #59073=CIRCLE('',#159408,3.15714875961184); #59074=CIRCLE('',#159409,3.15714875961184); #59075=CIRCLE('',#159412,0.105832571385682); #59076=CIRCLE('',#159413,0.105832571385682); #59077=CIRCLE('',#159415,0.10779190714373); #59078=CIRCLE('',#159416,0.10779190714373); #59079=CIRCLE('',#159418,0.442532357848748); #59080=CIRCLE('',#159419,0.442532357848748); #59081=CIRCLE('',#159424,0.0887331011776585); #59082=CIRCLE('',#159425,0.0887331011776585); #59083=CIRCLE('',#159428,0.10514750594979); #59084=CIRCLE('',#159429,0.10514750594979); #59085=CIRCLE('',#159437,215.224892615466); #59086=CIRCLE('',#159438,215.224892615466); #59087=CIRCLE('',#159440,1.88344080009686); #59088=CIRCLE('',#159441,1.88344080009686); #59089=CIRCLE('',#159477,5.48318711210158); #59090=CIRCLE('',#159478,5.48318711210158); #59091=CIRCLE('',#159503,0.107683202788893); #59092=CIRCLE('',#159504,0.107683202788893); #59093=CIRCLE('',#159510,0.626958026541791); #59094=CIRCLE('',#159511,0.626958026541791); #59095=CIRCLE('',#159513,0.129835627047221); #59096=CIRCLE('',#159514,0.129835627047221); #59097=CIRCLE('',#159540,1.50113920200445); #59098=CIRCLE('',#159541,1.50113920200445); #59099=CIRCLE('',#159566,0.0821050674463125); #59100=CIRCLE('',#159567,0.0821050674463125); #59101=CIRCLE('',#159569,0.106439679615692); #59102=CIRCLE('',#159570,0.106439679615692); #59103=CIRCLE('',#159577,0.780668900489583); #59104=CIRCLE('',#159578,0.780668900489583); #59105=CIRCLE('',#159588,0.0782875283789026); #59106=CIRCLE('',#159589,0.0782875283789026); #59107=CIRCLE('',#159591,0.0933918907492817); #59108=CIRCLE('',#159592,0.0933918907492817); #59109=CIRCLE('',#159607,1.42165991617498); #59110=CIRCLE('',#159608,1.42165991617498); #59111=CIRCLE('',#159620,0.0750325365938363); #59112=CIRCLE('',#159621,0.0750325365938363); #59113=CIRCLE('',#159624,0.0924890516786759); #59114=CIRCLE('',#159625,0.0924890516786759); #59115=CIRCLE('',#159627,0.0876289592831825); #59116=CIRCLE('',#159628,0.0876289592831825); #59117=CIRCLE('',#159630,0.0996135341575671); #59118=CIRCLE('',#159631,0.0996135341575671); #59119=CIRCLE('',#159638,0.0944246957014825); #59120=CIRCLE('',#159639,0.0944246957014825); #59121=CIRCLE('',#159641,1.20058242690189); #59122=CIRCLE('',#159642,1.20058242690189); #59123=CIRCLE('',#159652,0.0906771451607319); #59124=CIRCLE('',#159653,0.0906771451607319); #59125=CIRCLE('',#159656,0.0930517983495745); #59126=CIRCLE('',#159657,0.0930517983495745); #59127=CIRCLE('',#159662,0.0958221985297353); #59128=CIRCLE('',#159663,0.0958221985297353); #59129=CIRCLE('',#159670,0.113257482203567); #59130=CIRCLE('',#159671,0.113257482203567); #59131=CIRCLE('',#159673,0.144097680882281); #59132=CIRCLE('',#159674,0.144097680882281); #59133=CIRCLE('',#159682,6.24412944975185); #59134=CIRCLE('',#159683,6.24412944975185); #59135=CIRCLE('',#159687,0.868215953056658); #59136=CIRCLE('',#159688,0.868215953056658); #59137=CIRCLE('',#159714,0.0855668517365068); #59138=CIRCLE('',#159715,0.0855668517365068); #59139=CIRCLE('',#159717,1.55269497107524); #59140=CIRCLE('',#159718,1.55269497107524); #59141=CIRCLE('',#159730,0.0814937946217679); #59142=CIRCLE('',#159731,0.0814937946217679); #59143=CIRCLE('',#159744,0.0890893319394814); #59144=CIRCLE('',#159745,0.0890893319394814); #59145=CIRCLE('',#159747,0.120613712467208); #59146=CIRCLE('',#159748,0.120613712467208); #59147=CIRCLE('',#159754,0.929681941003976); #59148=CIRCLE('',#159755,0.929681941003976); #59149=CIRCLE('',#159765,0.0832883208403102); #59150=CIRCLE('',#159766,0.0832883208403102); #59151=CIRCLE('',#159769,0.0952702151978979); #59152=CIRCLE('',#159770,0.0952702151978979); #59153=CIRCLE('',#159783,0.125544464076399); #59154=CIRCLE('',#159784,0.125544464076399); #59155=CIRCLE('',#159788,86.0174320084205); #59156=CIRCLE('',#159789,86.0174320084205); #59157=CIRCLE('',#159791,0.823498161442263); #59158=CIRCLE('',#159792,0.823498161442263); #59159=CIRCLE('',#159794,0.128272563495561); #59160=CIRCLE('',#159795,0.128272563495561); #59161=CIRCLE('',#159800,0.0913276694857523); #59162=CIRCLE('',#159801,0.0913276694857523); #59163=CIRCLE('',#159803,0.072155259481159); #59164=CIRCLE('',#159804,0.072155259481159); #59165=CIRCLE('',#159807,17.7647738124498); #59166=CIRCLE('',#159808,17.7647738124498); #59167=CIRCLE('',#159810,0.722494019976366); #59168=CIRCLE('',#159811,0.722494019976366); #59169=CIRCLE('',#159813,0.695578185615779); #59170=CIRCLE('',#159814,0.695578185615779); #59171=CIRCLE('',#159816,0.531907242496966); #59172=CIRCLE('',#159817,0.531907242496966); #59173=CIRCLE('',#159819,73.3085691474745); #59174=CIRCLE('',#159820,73.3085691474745); #59175=CIRCLE('',#159823,0.190427043195451); #59176=CIRCLE('',#159824,0.190427043195451); #59177=CIRCLE('',#159826,0.191569621356384); #59178=CIRCLE('',#159827,0.191569621356384); #59179=CIRCLE('',#159829,0.250498580708787); #59180=CIRCLE('',#159830,0.250498580708787); #59181=CIRCLE('',#159832,0.251448396107815); #59182=CIRCLE('',#159833,0.251448396107815); #59183=CIRCLE('',#159835,29.3046484212722); #59184=CIRCLE('',#159836,29.3046484212722); #59185=CIRCLE('',#159839,0.168365330841975); #59186=CIRCLE('',#159840,0.168365330841975); #59187=CIRCLE('',#159845,0.307906794975323); #59188=CIRCLE('',#159846,0.307906794975323); #59189=CIRCLE('',#159848,0.102674043178854); #59190=CIRCLE('',#159849,0.102674043178854); #59191=CIRCLE('',#159851,15.2120860771015); #59192=CIRCLE('',#159852,15.2120860771015); #59193=CIRCLE('',#159854,0.577633880820536); #59194=CIRCLE('',#159855,0.577633880820536); #59195=CIRCLE('',#159857,0.632679843499342); #59196=CIRCLE('',#159858,0.632679843499342); #59197=CIRCLE('',#159860,1.23783559648902); #59198=CIRCLE('',#159861,1.23783559648902); #59199=CIRCLE('',#159865,11.658445732573); #59200=CIRCLE('',#159866,11.658445732573); #59201=CIRCLE('',#159869,0.553939641115378); #59202=CIRCLE('',#159870,0.553939641115378); #59203=CIRCLE('',#159872,0.317870194729048); #59204=CIRCLE('',#159873,0.317870194729048); #59205=CIRCLE('',#159875,0.51501705241031); #59206=CIRCLE('',#159876,0.51501705241031); #59207=CIRCLE('',#159878,154.994061492156); #59208=CIRCLE('',#159879,154.994061492156); #59209=CIRCLE('',#159882,0.103302320043662); #59210=CIRCLE('',#159883,0.103302320043662); #59211=CIRCLE('',#159886,0.141649959491706); #59212=CIRCLE('',#159887,0.141649959491706); #59213=CIRCLE('',#159889,10.4490138368247); #59214=CIRCLE('',#159890,10.4490138368247); #59215=CIRCLE('',#159893,0.105928754490447); #59216=CIRCLE('',#159894,0.105928754490447); #59217=CIRCLE('',#159896,0.102959046554667); #59218=CIRCLE('',#159897,0.102959046554667); #59219=CIRCLE('',#159901,19.2273490705008); #59220=CIRCLE('',#159902,19.2273490705008); #59221=CIRCLE('',#159904,0.037111357932099); #59222=CIRCLE('',#159905,0.037111357932099); #59223=CIRCLE('',#159916,0.555572704129046); #59224=CIRCLE('',#159917,0.555572704129046); #59225=CIRCLE('',#159931,1.11611772441692); #59226=CIRCLE('',#159932,1.11611772441692); #59227=CIRCLE('',#159942,0.0827780936614787); #59228=CIRCLE('',#159943,0.0827780936614787); #59229=CIRCLE('',#159948,0.0999967292846247); #59230=CIRCLE('',#159949,0.0999967292846247); #59231=CIRCLE('',#159951,0.0749561892825277); #59232=CIRCLE('',#159952,0.0749561892825277); #59233=CIRCLE('',#159955,0.0818621941565929); #59234=CIRCLE('',#159956,0.0818621941565929); #59235=CIRCLE('',#159961,0.0919150570564306); #59236=CIRCLE('',#159962,0.0919150570564306); #59237=CIRCLE('',#159968,5.51016658623633); #59238=CIRCLE('',#159969,5.51016658623633); #59239=CIRCLE('',#159971,0.105927372832035); #59240=CIRCLE('',#159972,0.105927372832035); #59241=CIRCLE('',#159980,0.083822655620029); #59242=CIRCLE('',#159981,0.083822655620029); #59243=CIRCLE('',#159987,0.0879873735896713); #59244=CIRCLE('',#159988,0.0879873735896713); #59245=CIRCLE('',#159991,0.0728334508367981); #59246=CIRCLE('',#159992,0.0728334508367981); #59247=CIRCLE('',#159996,0.0770968321371461); #59248=CIRCLE('',#159997,0.0770968321371461); #59249=CIRCLE('',#159999,0.105558872571584); #59250=CIRCLE('',#160000,0.105558872571584); #59251=CIRCLE('',#160006,0.62198681094398); #59252=CIRCLE('',#160007,0.62198681094398); #59253=CIRCLE('',#160025,0.0997475341161394); #59254=CIRCLE('',#160026,0.0997475341161394); #59255=CIRCLE('',#160029,0.0998981014945361); #59256=CIRCLE('',#160030,0.0998981014945361); #59257=CIRCLE('',#160036,1.15626054329132); #59258=CIRCLE('',#160037,1.15626054329132); #59259=CIRCLE('',#160039,0.102720421302007); #59260=CIRCLE('',#160040,0.102720421302007); #59261=CIRCLE('',#160047,0.0822184790668133); #59262=CIRCLE('',#160048,0.0822184790668133); #59263=CIRCLE('',#160054,0.0826416357684642); #59264=CIRCLE('',#160055,0.0826416357684642); #59265=CIRCLE('',#160057,0.0978674415403345); #59266=CIRCLE('',#160058,0.0978674415403345); #59267=CIRCLE('',#160064,0.0767543610205989); #59268=CIRCLE('',#160065,0.0767543610205989); #59269=CIRCLE('',#160068,0.103269520105372); #59270=CIRCLE('',#160069,0.103269520105372); #59271=CIRCLE('',#160076,1.46949283264053); #59272=CIRCLE('',#160077,1.46949283264053); #59273=CIRCLE('',#160079,0.112585393498935); #59274=CIRCLE('',#160080,0.112585393498935); #59275=CIRCLE('',#160092,0.094671140851013); #59276=CIRCLE('',#160093,0.094671140851013); #59277=CIRCLE('',#160095,0.0936234709506061); #59278=CIRCLE('',#160096,0.0936234709506061); #59279=CIRCLE('',#160106,0.455328495851074); #59280=CIRCLE('',#160107,0.455328495851074); #59281=CIRCLE('',#160109,1.14061818225375); #59282=CIRCLE('',#160110,1.14061818225375); #59283=CIRCLE('',#160113,1.37232115154424); #59284=CIRCLE('',#160114,1.37232115154424); #59285=CIRCLE('',#160116,0.504797625370635); #59286=CIRCLE('',#160117,0.504797625370635); #59287=CIRCLE('',#160120,0.0968124112391718); #59288=CIRCLE('',#160121,0.0968124112391718); #59289=CIRCLE('',#160127,0.945271227669055); #59290=CIRCLE('',#160128,0.945271227669055); #59291=CIRCLE('',#160135,0.0804505249381364); #59292=CIRCLE('',#160136,0.0804505249381364); #59293=CIRCLE('',#160150,0.0786216907447893); #59294=CIRCLE('',#160151,0.0786216907447893); #59295=CIRCLE('',#160153,0.0682158132681224); #59296=CIRCLE('',#160154,0.0682158132681224); #59297=CIRCLE('',#160160,1.981480445909); #59298=CIRCLE('',#160161,1.981480445909); #59299=CIRCLE('',#160163,0.545885277968422); #59300=CIRCLE('',#160164,0.545885277968422); #59301=CIRCLE('',#160166,0.546009907515036); #59302=CIRCLE('',#160167,0.546009907515036); #59303=CIRCLE('',#160169,0.536006455375661); #59304=CIRCLE('',#160170,0.536006455375661); #59305=CIRCLE('',#160172,3.18129675467693); #59306=CIRCLE('',#160173,3.18129675467693); #59307=CIRCLE('',#160175,0.134785721358052); #59308=CIRCLE('',#160176,0.134785721358052); #59309=CIRCLE('',#160182,0.0832052657118175); #59310=CIRCLE('',#160183,0.0832052657118175); #59311=CIRCLE('',#160185,0.0799306686953507); #59312=CIRCLE('',#160186,0.0799306686953507); #59313=CIRCLE('',#160209,82.2838900041996); #59314=CIRCLE('',#160210,82.2838900041996); #59315=CIRCLE('',#160213,0.256665223726393); #59316=CIRCLE('',#160214,0.256665223726393); #59317=CIRCLE('',#160216,0.0885324353793246); #59318=CIRCLE('',#160217,0.0885324353793246); #59319=CIRCLE('',#160242,1.5818097934408); #59320=CIRCLE('',#160243,1.5818097934408); #59321=CIRCLE('',#160265,0.0820613773110787); #59322=CIRCLE('',#160266,0.0820613773110787); #59323=CIRCLE('',#160271,0.125580497717899); #59324=CIRCLE('',#160272,0.125580497717899); #59325=CIRCLE('',#160275,2.8333871516081); #59326=CIRCLE('',#160276,2.8333871516081); #59327=CIRCLE('',#160278,25.9089853597786); #59328=CIRCLE('',#160279,25.9089853597786); #59329=CIRCLE('',#160286,0.805621888420181); #59330=CIRCLE('',#160287,0.805621888420181); #59331=CIRCLE('',#160289,0.0815942535027723); #59332=CIRCLE('',#160290,0.0815942535027723); #59333=CIRCLE('',#160296,0.0748280645772042); #59334=CIRCLE('',#160297,0.0748280645772042); #59335=CIRCLE('',#160299,0.0769299911400495); #59336=CIRCLE('',#160300,0.0769299911400495); #59337=CIRCLE('',#160315,1.21592985019568); #59338=CIRCLE('',#160316,1.21592985019568); #59339=CIRCLE('',#160334,0.0723135605768623); #59340=CIRCLE('',#160335,0.0723135605768623); #59341=CIRCLE('',#160345,0.17316023843655); #59342=CIRCLE('',#160346,0.17316023843655); #59343=CIRCLE('',#160353,278.52450847173); #59344=CIRCLE('',#160354,278.52450847173); #59345=CIRCLE('',#160363,219.334104685377); #59346=CIRCLE('',#160364,219.334104685377); #59347=CIRCLE('',#160371,0.00100691981691662); #59348=CIRCLE('',#160372,0.00100691981691662); #59349=CIRCLE('',#160374,0.0482995419257896); #59350=CIRCLE('',#160375,0.0482995419257896); #59351=CIRCLE('',#160381,0.114156891437251); #59352=CIRCLE('',#160382,0.114156891437251); #59353=CIRCLE('',#160395,0.145460617134217); #59354=CIRCLE('',#160396,0.145460617134217); #59355=CIRCLE('',#160404,0.789838004996027); #59356=CIRCLE('',#160405,0.789838004996027); #59357=CIRCLE('',#160431,1.11976968436531); #59358=CIRCLE('',#160432,1.11976968436531); #59359=CIRCLE('',#160456,0.0818167826108934); #59360=CIRCLE('',#160457,0.0818167826108934); #59361=CIRCLE('',#160466,9.50612823319785); #59362=CIRCLE('',#160467,9.50612823319785); #59363=CIRCLE('',#160469,0.114853004709298); #59364=CIRCLE('',#160470,0.114853004709298); #59365=CIRCLE('',#160479,0.0894538556543754); #59366=CIRCLE('',#160480,0.0894538556543754); #59367=CIRCLE('',#160483,0.0927089229156866); #59368=CIRCLE('',#160484,0.0927089229156866); #59369=CIRCLE('',#160496,0.108240234750415); #59370=CIRCLE('',#160497,0.108240234750415); #59371=CIRCLE('',#160503,0.659846844145173); #59372=CIRCLE('',#160504,0.659846844145173); #59373=CIRCLE('',#160512,0.0791280771148861); #59374=CIRCLE('',#160513,0.0791280771148861); #59375=CIRCLE('',#160519,0.0904099798010214); #59376=CIRCLE('',#160520,0.0904099798010214); #59377=CIRCLE('',#160522,0.0777879425351801); #59378=CIRCLE('',#160523,0.0777879425351801); #59379=CIRCLE('',#160528,0.0887062320193154); #59380=CIRCLE('',#160529,0.0887062320193154); #59381=CIRCLE('',#160531,0.0642299411037684); #59382=CIRCLE('',#160532,0.0642299411037684); #59383=CIRCLE('',#160536,1.48066167544351); #59384=CIRCLE('',#160537,1.48066167544351); #59385=CIRCLE('',#160553,1.82166242699331); #59386=CIRCLE('',#160554,1.82166242699331); #59387=CIRCLE('',#160556,0.250569970221145); #59388=CIRCLE('',#160557,0.250569970221145); #59389=CIRCLE('',#160559,0.282962279068968); #59390=CIRCLE('',#160560,0.282962279068968); #59391=CIRCLE('',#160562,0.28301976577758); #59392=CIRCLE('',#160563,0.28301976577758); #59393=CIRCLE('',#160568,0.271040787910565); #59394=CIRCLE('',#160569,0.271040787910565); #59395=CIRCLE('',#160575,1.6978278657241); #59396=CIRCLE('',#160576,1.6978278657241); #59397=CIRCLE('',#160578,0.289009301445836); #59398=CIRCLE('',#160579,0.289009301445836); #59399=CIRCLE('',#160581,0.270942014133773); #59400=CIRCLE('',#160582,0.270942014133773); #59401=CIRCLE('',#160590,0.0939012968223774); #59402=CIRCLE('',#160591,0.0939012968223774); #59403=CIRCLE('',#160594,5.77886981803066); #59404=CIRCLE('',#160595,5.77886981803066); #59405=CIRCLE('',#160597,0.368535165471502); #59406=CIRCLE('',#160598,0.368535165471502); #59407=CIRCLE('',#160600,0.317933487595938); #59408=CIRCLE('',#160601,0.317933487595938); #59409=CIRCLE('',#160603,0.317998399095903); #59410=CIRCLE('',#160604,0.317998399095903); #59411=CIRCLE('',#160607,0.30581208003195); #59412=CIRCLE('',#160608,0.30581208003195); #59413=CIRCLE('',#160610,0.323099428576669); #59414=CIRCLE('',#160611,0.323099428576669); #59415=CIRCLE('',#160613,7.87736104349402); #59416=CIRCLE('',#160614,7.87736104349402); #59417=CIRCLE('',#160616,0.0988190409326017); #59418=CIRCLE('',#160617,0.0988190409326017); #59419=CIRCLE('',#160621,0.0730596809193464); #59420=CIRCLE('',#160622,0.0730596809193464); #59421=CIRCLE('',#160631,0.0849896239598226); #59422=CIRCLE('',#160632,0.0849896239598226); #59423=CIRCLE('',#160642,0.0855582020459112); #59424=CIRCLE('',#160643,0.0855582020459112); #59425=CIRCLE('',#160646,0.11307432732364); #59426=CIRCLE('',#160647,0.11307432732364); #59427=CIRCLE('',#160651,0.809521709238272); #59428=CIRCLE('',#160652,0.809521709238272); #59429=CIRCLE('',#160673,0.463837145145807); #59430=CIRCLE('',#160674,0.463837145145807); #59431=CIRCLE('',#160676,0.532715976547881); #59432=CIRCLE('',#160677,0.532715976547881); #59433=CIRCLE('',#160679,0.433311221624735); #59434=CIRCLE('',#160680,0.433311221624735); #59435=CIRCLE('',#160683,0.775624988929301); #59436=CIRCLE('',#160684,0.775624988929301); #59437=CIRCLE('',#160692,0.0894174463144659); #59438=CIRCLE('',#160693,0.0894174463144659); #59439=CIRCLE('',#160695,0.105536159158387); #59440=CIRCLE('',#160696,0.105536159158387); #59441=CIRCLE('',#160708,0.0772587502574215); #59442=CIRCLE('',#160709,0.0772587502574215); #59443=CIRCLE('',#160711,0.490273133810468); #59444=CIRCLE('',#160712,0.490273133810468); #59445=CIRCLE('',#160714,0.112832296120871); #59446=CIRCLE('',#160715,0.112832296120871); #59447=CIRCLE('',#160718,0.0802627750456308); #59448=CIRCLE('',#160719,0.0802627750456308); #59449=CIRCLE('',#160721,0.0750285918142233); #59450=CIRCLE('',#160722,0.0750285918142233); #59451=CIRCLE('',#160727,0.0767813174326036); #59452=CIRCLE('',#160728,0.0767813174326036); #59453=CIRCLE('',#160730,0.0750264497248656); #59454=CIRCLE('',#160731,0.0750264497248656); #59455=CIRCLE('',#160733,0.0781867166202698); #59456=CIRCLE('',#160734,0.0781867166202698); #59457=CIRCLE('',#160736,0.0970708623400653); #59458=CIRCLE('',#160737,0.0970708623400653); #59459=CIRCLE('',#160739,0.0696512296956587); #59460=CIRCLE('',#160740,0.0696512296956587); #59461=CIRCLE('',#160742,0.133227435667548); #59462=CIRCLE('',#160743,0.133227435667548); #59463=CIRCLE('',#160745,0.0783298312648638); #59464=CIRCLE('',#160746,0.0783298312648638); #59465=CIRCLE('',#160750,0.113688862682012); #59466=CIRCLE('',#160751,0.113688862682012); #59467=CIRCLE('',#160754,0.534065050091105); #59468=CIRCLE('',#160755,0.534065050091105); #59469=CIRCLE('',#160757,0.538218503599571); #59470=CIRCLE('',#160758,0.538218503599571); #59471=CIRCLE('',#160760,0.538122184927304); #59472=CIRCLE('',#160761,0.538122184927304); #59473=CIRCLE('',#160763,0.748693567377395); #59474=CIRCLE('',#160764,0.748693567377395); #59475=CIRCLE('',#160766,0.669638000831607); #59476=CIRCLE('',#160767,0.669638000831607); #59477=CIRCLE('',#160771,0.516875123545547); #59478=CIRCLE('',#160772,0.516875123545547); #59479=CIRCLE('',#160781,0.104471996836836); #59480=CIRCLE('',#160782,0.104471996836836); #59481=CIRCLE('',#160790,0.0934207615250365); #59482=CIRCLE('',#160791,0.0934207615250365); #59483=CIRCLE('',#160801,0.0855281204262666); #59484=CIRCLE('',#160802,0.0855281204262666); #59485=CIRCLE('',#160805,1.90240957339811); #59486=CIRCLE('',#160806,1.90240957339811); #59487=CIRCLE('',#160821,0.102006983473234); #59488=CIRCLE('',#160822,0.102006983473234); #59489=CIRCLE('',#160824,0.0701669149410027); #59490=CIRCLE('',#160825,0.0701669149410027); #59491=CIRCLE('',#160832,0.0934625301107319); #59492=CIRCLE('',#160833,0.0934625301107319); #59493=CIRCLE('',#160836,0.13010397940497); #59494=CIRCLE('',#160837,0.13010397940497); #59495=CIRCLE('',#160841,0.696421717776839); #59496=CIRCLE('',#160842,0.696421717776839); #59497=CIRCLE('',#160844,0.464629051956554); #59498=CIRCLE('',#160845,0.464629051956554); #59499=CIRCLE('',#160858,0.393864448088191); #59500=CIRCLE('',#160859,0.393864448088191); #59501=CIRCLE('',#160861,0.187716634833203); #59502=CIRCLE('',#160862,0.187716634833203); #59503=CIRCLE('',#160864,0.461293585203558); #59504=CIRCLE('',#160865,0.461293585203558); #59505=CIRCLE('',#160873,0.0915067841967203); #59506=CIRCLE('',#160874,0.0915067841967203); #59507=CIRCLE('',#160884,0.0794567872157119); #59508=CIRCLE('',#160885,0.0794567872157119); #59509=CIRCLE('',#160895,1.59495263285085); #59510=CIRCLE('',#160896,1.59495263285085); #59511=CIRCLE('',#160900,0.126918550208525); #59512=CIRCLE('',#160901,0.126918550208525); #59513=CIRCLE('',#160905,0.0942881621524251); #59514=CIRCLE('',#160906,0.0942881621524251); #59515=CIRCLE('',#160911,0.0783132189939038); #59516=CIRCLE('',#160912,0.0783132189939038); #59517=CIRCLE('',#160914,0.0979356841685491); #59518=CIRCLE('',#160915,0.0979356841685491); #59519=CIRCLE('',#160925,0.0832290881778921); #59520=CIRCLE('',#160926,0.0832290881778921); #59521=CIRCLE('',#160929,0.109578908263496); #59522=CIRCLE('',#160930,0.109578908263496); #59523=CIRCLE('',#160932,2.52297379400951); #59524=CIRCLE('',#160933,2.52297379400951); #59525=CIRCLE('',#160935,0.147317373467902); #59526=CIRCLE('',#160936,0.147317373467902); #59527=CIRCLE('',#160943,0.0810185698378205); #59528=CIRCLE('',#160944,0.0810185698378205); #59529=CIRCLE('',#160949,0.077416006709361); #59530=CIRCLE('',#160950,0.077416006709361); #59531=CIRCLE('',#160953,0.0788405794884806); #59532=CIRCLE('',#160954,0.0788405794884806); #59533=CIRCLE('',#160964,0.0974110873300085); #59534=CIRCLE('',#160965,0.0974110873300085); #59535=CIRCLE('',#160968,0.142883856119341); #59536=CIRCLE('',#160969,0.142883856119341); #59537=CIRCLE('',#160975,0.907868211971604); #59538=CIRCLE('',#160976,0.907868211971604); #59539=CIRCLE('',#160979,0.906225601303405); #59540=CIRCLE('',#160980,0.906225601303405); #59541=CIRCLE('',#160983,0.0905803528341497); #59542=CIRCLE('',#160984,0.0905803528341497); #59543=CIRCLE('',#160986,0.0945950671318943); #59544=CIRCLE('',#160987,0.0945950671318943); #59545=CIRCLE('',#160998,0.0922010083552546); #59546=CIRCLE('',#160999,0.0922010083552546); #59547=CIRCLE('',#161005,0.117645803875305); #59548=CIRCLE('',#161006,0.117645803875305); #59549=CIRCLE('',#161008,1.25579721419084); #59550=CIRCLE('',#161009,1.25579721419084); #59551=CIRCLE('',#161012,0.378471900601505); #59552=CIRCLE('',#161013,0.378471900601505); #59553=CIRCLE('',#161015,0.344526515694321); #59554=CIRCLE('',#161016,0.344526515694321); #59555=CIRCLE('',#161018,3.4060329530661); #59556=CIRCLE('',#161019,3.4060329530661); #59557=CIRCLE('',#161045,0.0528129272118819); #59558=CIRCLE('',#161046,0.0528129272118819); #59559=CIRCLE('',#161051,0.422794656742339); #59560=CIRCLE('',#161052,0.422794656742339); #59561=CIRCLE('',#161054,0.235395242097346); #59562=CIRCLE('',#161055,0.235395242097346); #59563=CIRCLE('',#161057,0.415384896688011); #59564=CIRCLE('',#161058,0.415384896688011); #59565=CIRCLE('',#161076,0.10854385651048); #59566=CIRCLE('',#161077,0.10854385651048); #59567=CIRCLE('',#161081,0.600869953995008); #59568=CIRCLE('',#161082,0.600869953995008); #59569=CIRCLE('',#161110,3.07371147502244); #59570=CIRCLE('',#161111,3.07371147502244); #59571=CIRCLE('',#161138,0.104045095236996); #59572=CIRCLE('',#161139,0.104045095236996); #59573=CIRCLE('',#161143,5.13362221699515); #59574=CIRCLE('',#161144,5.13362221699515); #59575=CIRCLE('',#161146,0.391905971269072); #59576=CIRCLE('',#161147,0.391905971269072); #59577=CIRCLE('',#161149,0.363349032578157); #59578=CIRCLE('',#161150,0.363349032578157); #59579=CIRCLE('',#161152,5.56872742834363); #59580=CIRCLE('',#161153,5.56872742834363); #59581=CIRCLE('',#161166,1.03867948949606); #59582=CIRCLE('',#161167,1.03867948949606); #59583=CIRCLE('',#161169,0.265454501078592); #59584=CIRCLE('',#161170,0.265454501078592); #59585=CIRCLE('',#161172,0.353018924289578); #59586=CIRCLE('',#161173,0.353018924289578); #59587=CIRCLE('',#161194,0.117340436764265); #59588=CIRCLE('',#161195,0.117340436764265); #59589=CIRCLE('',#161197,1.25600340757748); #59590=CIRCLE('',#161198,1.25600340757748); #59591=CIRCLE('',#161201,0.378578321844736); #59592=CIRCLE('',#161202,0.378578321844736); #59593=CIRCLE('',#161204,0.351553358069742); #59594=CIRCLE('',#161205,0.351553358069742); #59595=CIRCLE('',#161207,4.10989739629597); #59596=CIRCLE('',#161208,4.10989739629597); #59597=CIRCLE('',#161210,0.220780215012419); #59598=CIRCLE('',#161211,0.220780215012419); #59599=CIRCLE('',#161213,0.093428057605274); #59600=CIRCLE('',#161214,0.093428057605274); #59601=CIRCLE('',#161227,0.0792636089076893); #59602=CIRCLE('',#161228,0.0792636089076893); #59603=CIRCLE('',#161239,0.103555938943582); #59604=CIRCLE('',#161240,0.103555938943582); #59605=CIRCLE('',#161247,0.545579247804718); #59606=CIRCLE('',#161248,0.545579247804718); #59607=CIRCLE('',#161250,0.101533624921882); #59608=CIRCLE('',#161251,0.101533624921882); #59609=CIRCLE('',#161275,0.0998578164891769); #59610=CIRCLE('',#161276,0.0998578164891769); #59611=CIRCLE('',#161278,1.79119378407572); #59612=CIRCLE('',#161279,1.79119378407572); #59613=CIRCLE('',#161281,0.111229110806506); #59614=CIRCLE('',#161282,0.111229110806506); #59615=CIRCLE('',#161284,0.0856029395907034); #59616=CIRCLE('',#161285,0.0856029395907034); #59617=CIRCLE('',#161297,0.0893297215187032); #59618=CIRCLE('',#161298,0.0893297215187032); #59619=CIRCLE('',#161310,0.10974469383916); #59620=CIRCLE('',#161311,0.10974469383916); #59621=CIRCLE('',#161316,0.907802212409617); #59622=CIRCLE('',#161317,0.907802212409617); #59623=CIRCLE('',#161320,1.55749079923221); #59624=CIRCLE('',#161321,1.55749079923221); #59625=CIRCLE('',#161323,0.127282945299721); #59626=CIRCLE('',#161324,0.127282945299721); #59627=CIRCLE('',#161335,0.173022687707868); #59628=CIRCLE('',#161336,0.173022687707868); #59629=CIRCLE('',#161338,4.23510859185589); #59630=CIRCLE('',#161339,4.23510859185589); #59631=CIRCLE('',#161342,0.20449676184008); #59632=CIRCLE('',#161343,0.20449676184008); #59633=CIRCLE('',#161345,0.204169754344741); #59634=CIRCLE('',#161346,0.204169754344741); #59635=CIRCLE('',#161348,1.55535237030284); #59636=CIRCLE('',#161349,1.55535237030284); #59637=CIRCLE('',#161352,0.110173313469605); #59638=CIRCLE('',#161353,0.110173313469605); #59639=CIRCLE('',#161357,0.1015973392179); #59640=CIRCLE('',#161358,0.1015973392179); #59641=CIRCLE('',#161361,0.102003394920433); #59642=CIRCLE('',#161362,0.102003394920433); #59643=CIRCLE('',#161364,0.10441991357831); #59644=CIRCLE('',#161365,0.10441991357831); #59645=CIRCLE('',#161367,1.1951368486174); #59646=CIRCLE('',#161368,1.1951368486174); #59647=CIRCLE('',#161371,0.817946590532592); #59648=CIRCLE('',#161372,0.817946590532592); #59649=CIRCLE('',#161374,1.13239417514472); #59650=CIRCLE('',#161375,1.13239417514472); #59651=CIRCLE('',#161377,0.985405173732764); #59652=CIRCLE('',#161378,0.985405173732764); #59653=CIRCLE('',#161384,0.493693375398089); #59654=CIRCLE('',#161385,0.493693375398089); #59655=CIRCLE('',#161387,0.730641845416028); #59656=CIRCLE('',#161388,0.730641845416028); #59657=CIRCLE('',#161391,0.363685550748087); #59658=CIRCLE('',#161392,0.363685550748087); #59659=CIRCLE('',#161394,0.363303711431466); #59660=CIRCLE('',#161395,0.363303711431466); #59661=CIRCLE('',#161397,1.59044429569808); #59662=CIRCLE('',#161398,1.59044429569808); #59663=CIRCLE('',#161403,0.101688194750229); #59664=CIRCLE('',#161404,0.101688194750229); #59665=CIRCLE('',#161408,0.430100736572322); #59666=CIRCLE('',#161409,0.430100736572322); #59667=CIRCLE('',#161412,0.14078695491611); #59668=CIRCLE('',#161413,0.14078695491611); #59669=CIRCLE('',#161417,0.0847361674765533); #59670=CIRCLE('',#161418,0.0847361674765533); #59671=CIRCLE('',#161423,0.0922386641883044); #59672=CIRCLE('',#161424,0.0922386641883044); #59673=CIRCLE('',#161426,0.30420345908717); #59674=CIRCLE('',#161427,0.30420345908717); #59675=CIRCLE('',#161431,0.310677105498894); #59676=CIRCLE('',#161432,0.310677105498894); #59677=CIRCLE('',#161434,0.106096432029266); #59678=CIRCLE('',#161435,0.106096432029266); #59679=CIRCLE('',#161438,0.106151315031239); #59680=CIRCLE('',#161439,0.106151315031239); #59681=CIRCLE('',#161445,0.105544653327252); #59682=CIRCLE('',#161446,0.105544653327252); #59683=CIRCLE('',#161448,0.386166105554116); #59684=CIRCLE('',#161449,0.386166105554116); #59685=CIRCLE('',#161453,2.26863355391151); #59686=CIRCLE('',#161454,2.26863355391151); #59687=CIRCLE('',#161457,0.0711172830668271); #59688=CIRCLE('',#161458,0.0711172830668271); #59689=CIRCLE('',#161460,0.0879212102005686); #59690=CIRCLE('',#161461,0.0879212102005686); #59691=CIRCLE('',#161471,0.080813350909455); #59692=CIRCLE('',#161472,0.080813350909455); #59693=CIRCLE('',#161481,0.115096766315758); #59694=CIRCLE('',#161482,0.115096766315758); #59695=CIRCLE('',#161484,0.120614733998599); #59696=CIRCLE('',#161485,0.120614733998599); #59697=CIRCLE('',#161488,0.543587129460462); #59698=CIRCLE('',#161489,0.543587129460462); #59699=CIRCLE('',#161491,0.343842759707508); #59700=CIRCLE('',#161492,0.343842759707508); #59701=CIRCLE('',#161494,0.378886225352417); #59702=CIRCLE('',#161495,0.378886225352417); #59703=CIRCLE('',#161498,0.311578114971753); #59704=CIRCLE('',#161499,0.311578114971753); #59705=CIRCLE('',#161501,0.245204090834266); #59706=CIRCLE('',#161502,0.245204090834266); #59707=CIRCLE('',#161504,0.245334275352755); #59708=CIRCLE('',#161505,0.245334275352755); #59709=CIRCLE('',#161507,0.326659764883052); #59710=CIRCLE('',#161508,0.326659764883052); #59711=CIRCLE('',#161511,0.456575555255316); #59712=CIRCLE('',#161512,0.456575555255316); #59713=CIRCLE('',#161525,0.0971275965486188); #59714=CIRCLE('',#161526,0.0971275965486188); #59715=CIRCLE('',#161530,0.0816276910909876); #59716=CIRCLE('',#161531,0.0816276910909876); #59717=CIRCLE('',#161539,0.694489605953538); #59718=CIRCLE('',#161540,0.694489605953538); #59719=CIRCLE('',#161542,0.136054440103362); #59720=CIRCLE('',#161543,0.136054440103362); #59721=CIRCLE('',#161545,0.0894287826766391); #59722=CIRCLE('',#161546,0.0894287826766391); #59723=CIRCLE('',#161556,0.0880557175079391); #59724=CIRCLE('',#161557,0.0880557175079391); #59725=CIRCLE('',#161564,0.0759140801901698); #59726=CIRCLE('',#161565,0.0759140801901698); #59727=CIRCLE('',#161572,0.119010918686516); #59728=CIRCLE('',#161573,0.119010918686516); #59729=CIRCLE('',#161576,0.450783790653535); #59730=CIRCLE('',#161577,0.450783790653535); #59731=CIRCLE('',#161579,0.389065286759462); #59732=CIRCLE('',#161580,0.389065286759462); #59733=CIRCLE('',#161582,0.300954959244989); #59734=CIRCLE('',#161583,0.300954959244989); #59735=CIRCLE('',#161585,0.300252752226508); #59736=CIRCLE('',#161586,0.300252752226508); #59737=CIRCLE('',#161589,16.1821702523097); #59738=CIRCLE('',#161590,16.1821702523097); #59739=CIRCLE('',#161592,0.251343230514551); #59740=CIRCLE('',#161593,0.251343230514551); #59741=CIRCLE('',#161595,0.309186200582238); #59742=CIRCLE('',#161596,0.309186200582238); #59743=CIRCLE('',#161598,0.308818685139844); #59744=CIRCLE('',#161599,0.308818685139844); #59745=CIRCLE('',#161601,0.328204285316308); #59746=CIRCLE('',#161602,0.328204285316308); #59747=CIRCLE('',#161605,0.194506785283678); #59748=CIRCLE('',#161606,0.194506785283678); #59749=CIRCLE('',#161624,0.0758191076595685); #59750=CIRCLE('',#161625,0.0758191076595685); #59751=CIRCLE('',#161630,0.0766103533764459); #59752=CIRCLE('',#161631,0.0766103533764459); #59753=CIRCLE('',#161633,0.718802136635455); #59754=CIRCLE('',#161634,0.718802136635455); #59755=CIRCLE('',#161639,0.372165499702963); #59756=CIRCLE('',#161640,0.372165499702963); #59757=CIRCLE('',#161642,0.191625363355633); #59758=CIRCLE('',#161643,0.191625363355633); #59759=CIRCLE('',#161645,0.481023357563821); #59760=CIRCLE('',#161646,0.481023357563821); #59761=CIRCLE('',#161655,0.397074696072706); #59762=CIRCLE('',#161656,0.397074696072706); #59763=CIRCLE('',#161658,0.228290313590442); #59764=CIRCLE('',#161659,0.228290313590442); #59765=CIRCLE('',#161661,0.582583324833357); #59766=CIRCLE('',#161662,0.582583324833357); #59767=CIRCLE('',#161671,5.75781575954018); #59768=CIRCLE('',#161672,5.75781575954018); #59769=CIRCLE('',#161674,0.368544195302438); #59770=CIRCLE('',#161675,0.368544195302438); #59771=CIRCLE('',#161677,0.317979168218311); #59772=CIRCLE('',#161678,0.317979168218311); #59773=CIRCLE('',#161680,0.317971077717947); #59774=CIRCLE('',#161681,0.317971077717947); #59775=CIRCLE('',#161684,0.320886016363045); #59776=CIRCLE('',#161685,0.320886016363045); #59777=CIRCLE('',#161687,0.314759433963017); #59778=CIRCLE('',#161688,0.314759433963017); #59779=CIRCLE('',#161690,4.25876314048782); #59780=CIRCLE('',#161691,4.25876314048782); #59781=CIRCLE('',#161719,0.107095550303003); #59782=CIRCLE('',#161720,0.107095550303003); #59783=CIRCLE('',#161724,1.22034494980744); #59784=CIRCLE('',#161725,1.22034494980744); #59785=CIRCLE('',#161770,0.97564724635306); #59786=CIRCLE('',#161771,0.97564724635306); #59787=CIRCLE('',#161773,0.0984494176289004); #59788=CIRCLE('',#161774,0.0984494176289004); #59789=CIRCLE('',#161799,0.0878102617973137); #59790=CIRCLE('',#161800,0.0878102617973137); #59791=CIRCLE('',#161805,0.149657985350025); #59792=CIRCLE('',#161806,0.149657985350025); #59793=CIRCLE('',#161811,7.31167008347585); #59794=CIRCLE('',#161812,7.31167008347585); #59795=CIRCLE('',#161822,0.0787504969046963); #59796=CIRCLE('',#161823,0.0787504969046963); #59797=CIRCLE('',#161831,0.0723972329841722); #59798=CIRCLE('',#161832,0.0723972329841722); #59799=CIRCLE('',#161843,0.153716681492421); #59800=CIRCLE('',#161844,0.153716681492421); #59801=CIRCLE('',#161846,16.6290130756); #59802=CIRCLE('',#161847,16.6290130756); #59803=CIRCLE('',#161849,24.0549124985804); #59804=CIRCLE('',#161850,24.0549124985804); #59805=CIRCLE('',#161852,0.0986413777051538); #59806=CIRCLE('',#161853,0.0986413777051538); #59807=CIRCLE('',#161880,0.11627448185548); #59808=CIRCLE('',#161881,0.11627448185548); #59809=CIRCLE('',#161885,0.898974373509987); #59810=CIRCLE('',#161886,0.898974373509987); #59811=CIRCLE('',#161915,3.43004098426302); #59812=CIRCLE('',#161916,3.43004098426302); #59813=CIRCLE('',#161918,0.108897396509477); #59814=CIRCLE('',#161919,0.108897396509477); #59815=CIRCLE('',#161947,0.127259560709917); #59816=CIRCLE('',#161948,0.127259560709917); #59817=CIRCLE('',#161954,1.11563274969427); #59818=CIRCLE('',#161955,1.11563274969427); #59819=CIRCLE('',#161965,0.284907135226517); #59820=CIRCLE('',#161966,0.284907135226517); #59821=CIRCLE('',#161968,5.90554905204761); #59822=CIRCLE('',#161969,5.90554905204761); #59823=CIRCLE('',#161971,0.283209428183533); #59824=CIRCLE('',#161972,0.283209428183533); #59825=CIRCLE('',#161974,11.6618662553054); #59826=CIRCLE('',#161975,11.6618662553054); #59827=CIRCLE('',#161977,0.28704150588442); #59828=CIRCLE('',#161978,0.28704150588442); #59829=CIRCLE('',#161980,0.286989444289458); #59830=CIRCLE('',#161981,0.286989444289458); #59831=CIRCLE('',#161983,1.06279894101389); #59832=CIRCLE('',#161984,1.06279894101389); #59833=CIRCLE('',#161987,0.522836819410157); #59834=CIRCLE('',#161988,0.522836819410157); #59835=CIRCLE('',#161990,0.630533102697036); #59836=CIRCLE('',#161991,0.630533102697036); #59837=CIRCLE('',#161993,2.55044051599746); #59838=CIRCLE('',#161994,2.55044051599746); #59839=CIRCLE('',#161997,0.661543785482483); #59840=CIRCLE('',#161998,0.661543785482483); #59841=CIRCLE('',#162000,1.62960098314593); #59842=CIRCLE('',#162001,1.62960098314593); #59843=CIRCLE('',#162003,2.28567831703376); #59844=CIRCLE('',#162004,2.28567831703376); #59845=CIRCLE('',#162006,0.703482606856437); #59846=CIRCLE('',#162007,0.703482606856437); #59847=CIRCLE('',#162009,3.66600049305884); #59848=CIRCLE('',#162010,3.66600049305884); #59849=CIRCLE('',#162012,0.599978751524513); #59850=CIRCLE('',#162013,0.599978751524513); #59851=CIRCLE('',#162015,6.43900249269026); #59852=CIRCLE('',#162016,6.43900249269026); #59853=CIRCLE('',#162018,0.632257269661307); #59854=CIRCLE('',#162019,0.632257269661307); #59855=CIRCLE('',#162021,0.965590205308063); #59856=CIRCLE('',#162022,0.965590205308063); #59857=CIRCLE('',#162025,0.874659614709999); #59858=CIRCLE('',#162026,0.874659614709999); #59859=CIRCLE('',#162028,133.101721207455); #59860=CIRCLE('',#162029,133.101721207455); #59861=CIRCLE('',#162032,12.8854309881372); #59862=CIRCLE('',#162033,12.8854309881372); #59863=CIRCLE('',#162036,1.73085227173609); #59864=CIRCLE('',#162037,1.73085227173609); #59865=CIRCLE('',#162042,13.2024127450994); #59866=CIRCLE('',#162043,13.2024127450994); #59867=CIRCLE('',#162048,0.445692545591108); #59868=CIRCLE('',#162049,0.445692545591108); #59869=CIRCLE('',#162051,117.453493077241); #59870=CIRCLE('',#162052,117.453493077241); #59871=CIRCLE('',#162056,51.2208081831886); #59872=CIRCLE('',#162057,51.2208081831886); #59873=CIRCLE('',#162065,1.25822912596729); #59874=CIRCLE('',#162066,1.25822912596729); #59875=CIRCLE('',#162068,21.0150378184121); #59876=CIRCLE('',#162069,21.0150378184121); #59877=CIRCLE('',#162071,37.8281081632431); #59878=CIRCLE('',#162072,37.8281081632431); #59879=CIRCLE('',#162074,0.399814968425919); #59880=CIRCLE('',#162075,0.399814968425919); #59881=CIRCLE('',#162078,1827.98491551723); #59882=CIRCLE('',#162079,1827.98491551723); #59883=CIRCLE('',#162082,154.829294606447); #59884=CIRCLE('',#162083,154.829294606447); #59885=CIRCLE('',#162086,1.09616107300747); #59886=CIRCLE('',#162087,1.09616107300747); #59887=CIRCLE('',#162091,76.0580184775208); #59888=CIRCLE('',#162092,76.0580184775208); #59889=CIRCLE('',#162095,15.3624703089236); #59890=CIRCLE('',#162096,15.3624703089236); #59891=CIRCLE('',#162099,154.890973106455); #59892=CIRCLE('',#162100,154.890973106455); #59893=CIRCLE('',#162120,0.113553774990916); #59894=CIRCLE('',#162121,0.113553774990916); #59895=CIRCLE('',#162123,3.11990785915084); #59896=CIRCLE('',#162124,3.11990785915084); #59897=CIRCLE('',#162142,0.0772681576067515); #59898=CIRCLE('',#162143,0.0772681576067515); #59899=CIRCLE('',#162152,0.107624609781888); #59900=CIRCLE('',#162153,0.107624609781888); #59901=CIRCLE('',#162156,149.7487751003); #59902=CIRCLE('',#162157,149.7487751003); #59903=CIRCLE('',#162159,1.00560298311548); #59904=CIRCLE('',#162160,1.00560298311548); #59905=CIRCLE('',#162186,0.0935402765903955); #59906=CIRCLE('',#162187,0.0935402765903955); #59907=CIRCLE('',#162193,4.12930911065181); #59908=CIRCLE('',#162194,4.12930911065181); #59909=CIRCLE('',#162214,0.0790644841746479); #59910=CIRCLE('',#162215,0.0790644841746479); #59911=CIRCLE('',#162223,0.0988250835271017); #59912=CIRCLE('',#162224,0.0988250835271017); #59913=CIRCLE('',#162226,0.123903289704695); #59914=CIRCLE('',#162227,0.123903289704695); #59915=CIRCLE('',#162230,64.9533835711175); #59916=CIRCLE('',#162231,64.9533835711175); #59917=CIRCLE('',#162235,2.21099597575617); #59918=CIRCLE('',#162236,2.21099597575617); #59919=CIRCLE('',#162243,0.079483108272724); #59920=CIRCLE('',#162244,0.079483108272724); #59921=CIRCLE('',#162251,1.49999999999999); #59922=CIRCLE('',#162252,1.49999999999999); #59923=CIRCLE('',#162253,1.49999999999999); #59924=CIRCLE('',#162254,1.49999999999999); #59925=CIRCLE('',#162255,1.49999999999999); #59926=CIRCLE('',#162256,1.49999999999999); #59927=CIRCLE('',#162257,1.49999999999999); #59928=CIRCLE('',#162258,1.49999999999999); #59929=CIRCLE('',#162259,1.49999999999999); #59930=CIRCLE('',#162260,1.49999999999999); #59931=CIRCLE('',#162261,1.49999999999999); #59932=CIRCLE('',#162262,1.49999999999999); #59933=CIRCLE('',#162263,1.49999999999999); #59934=CIRCLE('',#162264,1.49999999999999); #59935=CIRCLE('',#162265,0.6); #59936=CIRCLE('',#162266,0.600000000000001); #59937=CIRCLE('',#162267,0.600000000000001); #59938=CIRCLE('',#162268,0.599999999999999); #59939=CIRCLE('',#162291,1.); #59940=CIRCLE('',#162292,1.); #59941=CIRCLE('',#162295,1.); #59942=CIRCLE('',#162296,1.); #59943=CIRCLE('',#162298,1.9); #59944=CIRCLE('',#162299,1.); #59945=CIRCLE('',#162300,1.); #59946=CIRCLE('',#162301,1.); #59947=CIRCLE('',#162302,1.); #59948=CIRCLE('',#162303,1.85); #59949=CIRCLE('',#162304,1.85); #59950=CIRCLE('',#162305,1.85); #59951=CIRCLE('',#162308,2.5); #59952=CIRCLE('',#162309,2.5); #59953=CIRCLE('',#162312,1.); #59954=CIRCLE('',#162313,1.); #59955=CIRCLE('',#162315,1.); #59956=CIRCLE('',#162316,1.); #59957=CIRCLE('',#162317,1.); #59958=CIRCLE('',#162320,1.); #59959=CIRCLE('',#162321,1.); #59960=CIRCLE('',#162323,1.); #59961=CIRCLE('',#162326,1.); #59962=CIRCLE('',#162327,1.); #59963=CIRCLE('',#162331,1.); #59964=CIRCLE('',#162332,1.); #59965=CIRCLE('',#162333,1.9); #59966=CIRCLE('',#162334,1.); #59967=CIRCLE('',#162336,1.); #59968=CIRCLE('',#162337,2.7); #59969=CIRCLE('',#162338,1.); #59970=CIRCLE('',#162339,0.999999999999999); #59971=CIRCLE('',#162340,1.); #59972=CIRCLE('',#162341,1.9); #59973=CIRCLE('',#162342,1.); #59974=CIRCLE('',#162343,1.); #59975=CIRCLE('',#162344,1.); #59976=CIRCLE('',#162345,1.); #59977=CIRCLE('',#162346,1.9); #59978=CIRCLE('',#162347,1.); #59979=CIRCLE('',#162349,0.999999999999999); #59980=CIRCLE('',#162351,1.); #59981=CIRCLE('',#162352,1.); #59982=CIRCLE('',#162353,1.); #59983=CIRCLE('',#162354,1.); #59984=CIRCLE('',#162355,1.); #59985=CIRCLE('',#162356,1.); #59986=CIRCLE('',#162357,0.999999999999999); #59987=CIRCLE('',#162358,2.7); #59988=CIRCLE('',#162359,0.5); #59989=CIRCLE('',#162361,1.); #59990=CIRCLE('',#162364,1.); #59991=CIRCLE('',#162366,1.); #59992=CIRCLE('',#162370,0.499999999999999); #59993=CIRCLE('',#162371,0.5); #59994=CIRCLE('',#162372,0.5); #59995=CIRCLE('',#162385,1.); #59996=CIRCLE('',#162388,1.); #59997=CIRCLE('',#162391,1.); #59998=CIRCLE('',#162394,1.9); #59999=CIRCLE('',#162396,1.); #60000=CIRCLE('',#162399,1.); #60001=CIRCLE('',#162401,1.9); #60002=CIRCLE('',#162403,1.); #60003=CIRCLE('',#162405,1.); #60004=CIRCLE('',#162407,0.6355); #60005=CIRCLE('',#162408,0.6355); #60006=CIRCLE('',#162411,0.6355); #60007=CIRCLE('',#162412,0.6355); #60008=CIRCLE('',#162415,0.499999999999999); #60009=CIRCLE('',#162419,0.5); #60010=CIRCLE('',#162422,0.5); #60011=CIRCLE('',#162425,0.5); #60012=CIRCLE('',#162428,2.1); #60013=CIRCLE('',#162429,1.85); #60014=CIRCLE('',#162431,2.1); #60015=CIRCLE('',#162432,2.1); #60016=CIRCLE('',#162435,1.85); #60017=CIRCLE('',#162438,1.85); #60018=CIRCLE('',#162445,1.49999999999999); #60019=CIRCLE('',#162446,1.49999999999999); #60020=CIRCLE('',#162447,1.49999999999999); #60021=CIRCLE('',#162448,1.49999999999999); #60022=CIRCLE('',#162449,1.49999999999999); #60023=CIRCLE('',#162450,1.49999999999999); #60024=CIRCLE('',#162451,1.49999999999999); #60025=CIRCLE('',#162452,1.49999999999999); #60026=CIRCLE('',#162453,1.49999999999999); #60027=CIRCLE('',#162454,1.49999999999999); #60028=CIRCLE('',#162455,1.49999999999999); #60029=CIRCLE('',#162456,1.49999999999999); #60030=CIRCLE('',#162457,1.49999999999999); #60031=CIRCLE('',#162458,1.49999999999999); #60032=CIRCLE('',#162509,0.45); #60033=CIRCLE('',#162511,0.45); #60034=CIRCLE('',#162513,0.65); #60035=CIRCLE('',#162517,0.572668331529499); #60036=CIRCLE('',#162534,0.8); #60037=CIRCLE('',#162536,0.572668331506581); #60038=CIRCLE('',#162538,0.799999999999999); #60039=CIRCLE('',#162554,0.8); #60040=CIRCLE('',#162555,0.8); #60041=CIRCLE('',#162556,0.8); #60042=CIRCLE('',#162559,0.572668331506581); #60043=CIRCLE('',#162562,0.0875); #60044=CIRCLE('',#162563,0.8875); #60045=CIRCLE('',#162565,0.749999999999999); #60046=CIRCLE('',#162566,0.749999999999999); #60047=CIRCLE('',#162567,0.749999999999999); #60048=CIRCLE('',#162568,0.749999999999999); #60049=CIRCLE('',#162569,0.749999999999999); #60050=CIRCLE('',#162570,0.749999999999999); #60051=CIRCLE('',#162572,0.866025403784438); #60052=CIRCLE('',#162574,1.39573762177913); #60053=CIRCLE('',#162575,0.0281249999999999); #60054=CIRCLE('',#162576,1.37585024355826); #60055=CIRCLE('',#162578,1.49176237822087); #60056=CIRCLE('',#162580,1.5); #60057=CIRCLE('',#162581,0.0281249999999998); #60058=CIRCLE('',#162583,1.5); #60059=CIRCLE('',#162585,1.49176237822087); #60060=CIRCLE('',#162586,1.49176237822087); #60061=CIRCLE('',#162587,0.028125); #60062=CIRCLE('',#162589,1.39573762177913); #60063=CIRCLE('',#162590,1.39573762177913); #60064=CIRCLE('',#162592,1.37585024355826); #60065=CIRCLE('',#162593,0.0281249999999998); #60066=CIRCLE('',#162596,0.075); #60067=CIRCLE('',#162598,0.075); #60068=CIRCLE('',#162600,0.075); #60069=CIRCLE('',#162602,0.075); #60070=CIRCLE('',#162604,0.075); #60071=CIRCLE('',#162613,0.075); #60072=CIRCLE('',#162621,0.572668331506581); #60073=CIRCLE('',#162625,0.489523388315608); #60074=CIRCLE('',#162626,0.489523388315608); #60075=CIRCLE('',#162628,0.183509676017389); #60076=CIRCLE('',#162629,0.183509676017389); #60077=CIRCLE('',#162631,0.170577015064482); #60078=CIRCLE('',#162632,0.170577015064482); #60079=CIRCLE('',#162634,0.156075685945464); #60080=CIRCLE('',#162635,0.156075685945464); #60081=CIRCLE('',#162637,0.429310695671037); #60082=CIRCLE('',#162638,0.429310695671037); #60083=CIRCLE('',#162640,0.507611198953031); #60084=CIRCLE('',#162641,0.507611198953031); #60085=CIRCLE('',#162644,0.487436669368577); #60086=CIRCLE('',#162645,0.487436669368577); #60087=CIRCLE('',#162647,0.184129527666576); #60088=CIRCLE('',#162648,0.184129527666576); #60089=CIRCLE('',#162650,0.172794542778188); #60090=CIRCLE('',#162651,0.172794542778188); #60091=CIRCLE('',#162653,0.160814001864765); #60092=CIRCLE('',#162654,0.160814001864765); #60093=CIRCLE('',#162656,0.44563717947812); #60094=CIRCLE('',#162657,0.44563717947812); #60095=CIRCLE('',#162659,0.504193838910022); #60096=CIRCLE('',#162660,0.504193838910022); #60097=CIRCLE('',#162663,0.472410467170647); #60098=CIRCLE('',#162664,0.472410467170647); #60099=CIRCLE('',#162667,0.575937579755273); #60100=CIRCLE('',#162668,0.575937579755273); #60101=CIRCLE('',#162670,0.574777470005506); #60102=CIRCLE('',#162671,0.574777470005506); #60103=CIRCLE('',#162673,0.308508090295954); #60104=CIRCLE('',#162674,0.308508090295954); #60105=CIRCLE('',#162676,0.229501836593286); #60106=CIRCLE('',#162677,0.229501836593286); #60107=CIRCLE('',#162679,0.239573448085599); #60108=CIRCLE('',#162680,0.239573448085599); #60109=CIRCLE('',#162682,0.233857392704913); #60110=CIRCLE('',#162683,0.233857392704913); #60111=CIRCLE('',#162685,0.47340415640383); #60112=CIRCLE('',#162686,0.47340415640383); #60113=CIRCLE('',#162689,0.549708575924296); #60114=CIRCLE('',#162690,0.549708575924296); #60115=CIRCLE('',#162692,0.505589636043687); #60116=CIRCLE('',#162693,0.505589636043687); #60117=CIRCLE('',#162695,0.314013218534985); #60118=CIRCLE('',#162696,0.314013218534985); #60119=CIRCLE('',#162698,0.228672013569146); #60120=CIRCLE('',#162699,0.228672013569146); #60121=CIRCLE('',#162701,0.240350797645731); #60122=CIRCLE('',#162702,0.240350797645731); #60123=CIRCLE('',#162704,0.233859677923241); #60124=CIRCLE('',#162705,0.233859677923241); #60125=CIRCLE('',#162717,0.0443378159988876); #60126=CIRCLE('',#162718,0.0443378159988876); #60127=CIRCLE('',#162723,0.0443489730123518); #60128=CIRCLE('',#162724,0.0443489730123518); #60129=CIRCLE('',#162726,0.0289781244189755); #60130=CIRCLE('',#162727,0.0289781244189755); #60131=CIRCLE('',#162729,0.0289893918946641); #60132=CIRCLE('',#162730,0.0289893918946641); #60133=CIRCLE('',#162732,0.0443489730124983); #60134=CIRCLE('',#162733,0.0443489730124983); #60135=CIRCLE('',#162738,0.0443486557739281); #60136=CIRCLE('',#162739,0.0443486557739281); #60137=CIRCLE('',#162741,0.028986892622492); #60138=CIRCLE('',#162742,0.028986892622492); #60139=CIRCLE('',#162744,0.0292757399477257); #60140=CIRCLE('',#162745,0.0292757399477257); #60141=CIRCLE('',#162747,0.0432792614129548); #60142=CIRCLE('',#162748,0.0432792614129548); #60143=CIRCLE('',#162751,0.0432792614129252); #60144=CIRCLE('',#162752,0.0432792614129252); #60145=CIRCLE('',#162754,0.0292717850867756); #60146=CIRCLE('',#162755,0.0292717850867756); #60147=CIRCLE('',#162757,0.0289830854726306); #60148=CIRCLE('',#162758,0.0289830854726306); #60149=CIRCLE('',#162760,0.0443486557741561); #60150=CIRCLE('',#162761,0.0443486557741561); #60151=CIRCLE('',#162768,0.0453114375107961); #60152=CIRCLE('',#162769,0.0453114375107961); #60153=CIRCLE('',#162771,0.0289868926224743); #60154=CIRCLE('',#162772,0.0289868926224743); #60155=CIRCLE('',#162774,0.0292757399477379); #60156=CIRCLE('',#162775,0.0292757399477379); #60157=CIRCLE('',#162777,0.0442133820949951); #60158=CIRCLE('',#162778,0.0442133820949951); #60159=CIRCLE('',#162781,0.0432687449892022); #60160=CIRCLE('',#162782,0.0432687449892022); #60161=CIRCLE('',#162784,0.0292757399477467); #60162=CIRCLE('',#162785,0.0292757399477467); #60163=CIRCLE('',#162787,0.0289868926223165); #60164=CIRCLE('',#162788,0.0289868926223165); #60165=CIRCLE('',#162792,0.0432813913614984); #60166=CIRCLE('',#162793,0.0432813913614984); #60167=CIRCLE('',#162795,0.0292757399477467); #60168=CIRCLE('',#162796,0.0292757399477467); #60169=CIRCLE('',#162798,0.0289868926223165); #60170=CIRCLE('',#162799,0.0289868926223165); #60171=CIRCLE('',#162801,0.0443509012997476); #60172=CIRCLE('',#162802,0.0443509012997476); #60173=CIRCLE('',#162807,0.0602596808897183); #60174=CIRCLE('',#162808,0.0602596808897183); #60175=CIRCLE('',#162810,0.0332135792382723); #60176=CIRCLE('',#162811,0.0332135792382723); #60177=CIRCLE('',#162813,0.030076463684095); #60178=CIRCLE('',#162814,0.030076463684095); #60179=CIRCLE('',#162816,0.0278980815136134); #60180=CIRCLE('',#162817,0.0278980815136134); #60181=CIRCLE('',#162819,0.0717869078779357); #60182=CIRCLE('',#162820,0.0717869078779357); #60183=CIRCLE('',#162825,0.0443486557741463); #60184=CIRCLE('',#162826,0.0443486557741463); #60185=CIRCLE('',#162828,0.0289856437904895); #60186=CIRCLE('',#162829,0.0289856437904895); #60187=CIRCLE('',#162831,0.029274436511713); #60188=CIRCLE('',#162832,0.029274436511713); #60189=CIRCLE('',#162834,0.0432792614129177); #60190=CIRCLE('',#162835,0.0432792614129177); #60191=CIRCLE('',#162840,0.229999335795175); #60192=CIRCLE('',#162841,0.229999335795175); #60193=CIRCLE('',#162843,0.235454701760642); #60194=CIRCLE('',#162844,0.235454701760642); #60195=CIRCLE('',#162846,0.465166300256154); #60196=CIRCLE('',#162847,0.465166300256154); #60197=CIRCLE('',#162849,0.263436800870804); #60198=CIRCLE('',#162850,0.263436800870804); #60199=CIRCLE('',#162853,0.317356598229452); #60200=CIRCLE('',#162854,0.317356598229452); #60201=CIRCLE('',#162856,0.324134141057704); #60202=CIRCLE('',#162857,0.324134141057704); #60203=CIRCLE('',#162859,0.235435299595306); #60204=CIRCLE('',#162860,0.235435299595306); #60205=CIRCLE('',#162863,0.290028961545218); #60206=CIRCLE('',#162864,0.290028961545218); #60207=CIRCLE('',#162866,0.382937067451516); #60208=CIRCLE('',#162867,0.382937067451516); #60209=CIRCLE('',#162869,0.423194313499812); #60210=CIRCLE('',#162870,0.423194313499812); #60211=CIRCLE('',#162873,0.370978251342659); #60212=CIRCLE('',#162874,0.370978251342659); #60213=CIRCLE('',#162876,0.519312538281613); #60214=CIRCLE('',#162877,0.519312538281613); #60215=CIRCLE('',#162879,0.286111123874982); #60216=CIRCLE('',#162880,0.286111123874982); #60217=CIRCLE('',#162882,0.302843988339408); #60218=CIRCLE('',#162883,0.302843988339408); #60219=CIRCLE('',#162886,0.0443495332541075); #60220=CIRCLE('',#162887,0.0443495332541075); #60221=CIRCLE('',#162889,0.028975274737432); #60222=CIRCLE('',#162890,0.028975274737432); #60223=CIRCLE('',#162892,0.0289865411052196); #60224=CIRCLE('',#162893,0.0289865411052196); #60225=CIRCLE('',#162895,0.0443495332539866); #60226=CIRCLE('',#162896,0.0443495332539866); #60227=CIRCLE('',#162901,0.044349216006776); #60228=CIRCLE('',#162902,0.044349216006776); #60229=CIRCLE('',#162904,0.0289865411052169); #60230=CIRCLE('',#162905,0.0289865411052169); #60231=CIRCLE('',#162907,0.0292753724967908); #60232=CIRCLE('',#162908,0.0292753724967908); #60233=CIRCLE('',#162910,0.0432797944092354); #60234=CIRCLE('',#162911,0.0432797944092354); #60235=CIRCLE('',#162915,0.0444845729306093); #60236=CIRCLE('',#162916,0.0444845729306093); #60237=CIRCLE('',#162922,0.0444845729304544); #60238=CIRCLE('',#162923,0.0444845729304544); #60239=CIRCLE('',#162925,0.0285797567943216); #60240=CIRCLE('',#162926,0.0285797567943216); #60241=CIRCLE('',#162928,0.0285685567398483); #60242=CIRCLE('',#162929,0.0285685567398483); #60243=CIRCLE('',#162931,0.0444845729306093); #60244=CIRCLE('',#162932,0.0444845729306093); #60245=CIRCLE('',#162937,0.0454421488902862); #60246=CIRCLE('',#162938,0.0454421488902862); #60247=CIRCLE('',#162940,0.0285717457489806); #60248=CIRCLE('',#162941,0.0285717457489806); #60249=CIRCLE('',#162943,0.0288599383650117); #60250=CIRCLE('',#162944,0.0288599383650117); #60251=CIRCLE('',#162946,0.0443371117014335); #60252=CIRCLE('',#162947,0.0443371117014335); #60253=CIRCLE('',#162950,0.0443371117013423); #60254=CIRCLE('',#162951,0.0443371117013423); #60255=CIRCLE('',#162953,0.0288602738468052); #60256=CIRCLE('',#162954,0.0288602738468052); #60257=CIRCLE('',#162956,0.0285720705476683); #60258=CIRCLE('',#162957,0.0285720705476683); #60259=CIRCLE('',#162959,0.0454421488902006); #60260=CIRCLE('',#162960,0.0454421488902006); #60261=CIRCLE('',#162968,0.0444742306507911); #60262=CIRCLE('',#162969,0.0444742306507911); #60263=CIRCLE('',#162971,0.0285685567398139); #60264=CIRCLE('',#162972,0.0285685567398139); #60265=CIRCLE('',#162974,0.0285797555816507); #60266=CIRCLE('',#162975,0.0285797555816507); #60267=CIRCLE('',#162977,0.0444742306506887); #60268=CIRCLE('',#162978,0.0444742306506887); #60269=CIRCLE('',#162981,0.0438367356458716); #60270=CIRCLE('',#162982,0.0438367356458716); #60271=CIRCLE('',#162984,0.0290452802300811); #60272=CIRCLE('',#162985,0.0290452802300811); #60273=CIRCLE('',#162987,0.0285682512359236); #60274=CIRCLE('',#162988,0.0285682512359236); #60275=CIRCLE('',#163226,0.4711695296381); #60276=CIRCLE('',#163227,0.4711695296381); #60277=CIRCLE('',#163233,0.232292298815854); #60278=CIRCLE('',#163234,0.232292298815854); #60279=CIRCLE('',#163239,262.891551625706); #60280=CIRCLE('',#163240,262.891551625706); #60281=CIRCLE('',#163246,0.261458198023405); #60282=CIRCLE('',#163247,0.261458198023405); #60283=CIRCLE('',#163257,0.205450449933165); #60284=CIRCLE('',#163258,0.205450449933165); #60285=CIRCLE('',#163260,0.205431675312929); #60286=CIRCLE('',#163261,0.205431675312929); #60287=CIRCLE('',#163263,1.80384511127809); #60288=CIRCLE('',#163264,1.80384511127809); #60289=CIRCLE('',#163266,0.252146234513854); #60290=CIRCLE('',#163267,0.252146234513854); #60291=CIRCLE('',#163269,3.75651869317059); #60292=CIRCLE('',#163270,3.75651869317059); #60293=CIRCLE('',#163273,0.342247030243571); #60294=CIRCLE('',#163274,0.342247030243571); #60295=CIRCLE('',#163276,31.206007957384); #60296=CIRCLE('',#163277,31.206007957384); #60297=CIRCLE('',#163280,0.213809072948922); #60298=CIRCLE('',#163281,0.213809072948922); #60299=CIRCLE('',#163283,0.213963853145564); #60300=CIRCLE('',#163284,0.213963853145564); #60301=CIRCLE('',#163286,1.30635836932393); #60302=CIRCLE('',#163287,1.30635836932393); #60303=CIRCLE('',#163297,1.32742030680907); #60304=CIRCLE('',#163298,1.32742030680907); #60305=CIRCLE('',#163300,0.508628657495111); #60306=CIRCLE('',#163301,0.508628657495111); #60307=CIRCLE('',#163303,1.71560641779373); #60308=CIRCLE('',#163304,1.71560641779373); #60309=CIRCLE('',#163306,0.52288235448892); #60310=CIRCLE('',#163307,0.52288235448892); #60311=CIRCLE('',#163309,2.3881430158703); #60312=CIRCLE('',#163310,2.3881430158703); #60313=CIRCLE('',#163313,0.926106625186713); #60314=CIRCLE('',#163314,0.926106625186713); #60315=CIRCLE('',#163316,0.590929598963666); #60316=CIRCLE('',#163317,0.590929598963666); #60317=CIRCLE('',#163326,0.223868249682709); #60318=CIRCLE('',#163327,0.223868249682709); #60319=CIRCLE('',#163334,2.98411536475282); #60320=CIRCLE('',#163335,2.98411536475282); #60321=CIRCLE('',#163338,37.9579597313055); #60322=CIRCLE('',#163339,37.9579597313055); #60323=CIRCLE('',#163347,0.291596932857946); #60324=CIRCLE('',#163348,0.291596932857946); #60325=CIRCLE('',#163350,0.625044625663341); #60326=CIRCLE('',#163351,0.625044625663341); #60327=CIRCLE('',#163353,1.85088093130349); #60328=CIRCLE('',#163354,1.85088093130349); #60329=CIRCLE('',#163356,0.221859684323989); #60330=CIRCLE('',#163357,0.221859684323989); #60331=CIRCLE('',#163359,2.51083026413856); #60332=CIRCLE('',#163360,2.51083026413856); #60333=CIRCLE('',#163362,0.196146238887865); #60334=CIRCLE('',#163363,0.196146238887865); #60335=CIRCLE('',#163365,3.92734807944862); #60336=CIRCLE('',#163366,3.92734807944862); #60337=CIRCLE('',#163368,0.235871169744455); #60338=CIRCLE('',#163369,0.235871169744455); #60339=CIRCLE('',#163371,0.598968989057616); #60340=CIRCLE('',#163372,0.598968989057616); #60341=CIRCLE('',#163375,1.65080333067321); #60342=CIRCLE('',#163376,1.65080333067321); #60343=CIRCLE('',#163378,0.758525764445018); #60344=CIRCLE('',#163379,0.758525764445018); #60345=CIRCLE('',#163381,0.777151857458348); #60346=CIRCLE('',#163382,0.777151857458348); #60347=CIRCLE('',#163384,0.900333632573191); #60348=CIRCLE('',#163385,0.900333632573191); #60349=CIRCLE('',#163387,0.328342340693158); #60350=CIRCLE('',#163388,0.328342340693158); #60351=CIRCLE('',#163390,52.6711075102641); #60352=CIRCLE('',#163391,52.6711075102641); #60353=CIRCLE('',#163394,0.150460490313196); #60354=CIRCLE('',#163395,0.150460490313196); #60355=CIRCLE('',#163401,0.362957601708432); #60356=CIRCLE('',#163402,0.362957601708432); #60357=CIRCLE('',#163420,0.282507982795975); #60358=CIRCLE('',#163421,0.282507982795975); #60359=CIRCLE('',#163424,0.463098240089891); #60360=CIRCLE('',#163425,0.463098240089891); #60361=CIRCLE('',#163427,1.92436498649442); #60362=CIRCLE('',#163428,1.92436498649442); #60363=CIRCLE('',#163431,0.691399661188851); #60364=CIRCLE('',#163432,0.691399661188851); #60365=CIRCLE('',#163436,0.497508004755111); #60366=CIRCLE('',#163437,0.497508004755111); #60367=CIRCLE('',#163440,38.0807215806145); #60368=CIRCLE('',#163441,38.0807215806145); #60369=CIRCLE('',#163444,0.369911918080622); #60370=CIRCLE('',#163445,0.369911918080622); #60371=CIRCLE('',#163448,0.216836819577142); #60372=CIRCLE('',#163449,0.216836819577142); #60373=CIRCLE('',#163453,10.6334222834806); #60374=CIRCLE('',#163454,10.6334222834806); #60375=CIRCLE('',#163456,0.414448566663085); #60376=CIRCLE('',#163457,0.414448566663085); #60377=CIRCLE('',#163459,0.414408099847214); #60378=CIRCLE('',#163460,0.414408099847214); #60379=CIRCLE('',#163462,0.393610669959157); #60380=CIRCLE('',#163463,0.393610669959157); #60381=CIRCLE('',#163465,0.393597993619064); #60382=CIRCLE('',#163466,0.393597993619064); #60383=CIRCLE('',#163468,1201.59929751322); #60384=CIRCLE('',#163469,1201.59929751322); #60385=CIRCLE('',#163472,0.152308368143938); #60386=CIRCLE('',#163473,0.152308368143938); #60387=CIRCLE('',#163475,0.152234060527666); #60388=CIRCLE('',#163476,0.152234060527666); #60389=CIRCLE('',#163478,0.183708572129307); #60390=CIRCLE('',#163479,0.183708572129307); #60391=CIRCLE('',#163481,0.183651976535932); #60392=CIRCLE('',#163482,0.183651976535932); #60393=CIRCLE('',#163484,24.7039219973148); #60394=CIRCLE('',#163485,24.7039219973148); #60395=CIRCLE('',#163494,0.112395185019036); #60396=CIRCLE('',#163495,0.112395185019036); #60397=CIRCLE('',#163498,0.102692315205584); #60398=CIRCLE('',#163499,0.102692315205584); #60399=CIRCLE('',#163503,0.110514100360598); #60400=CIRCLE('',#163504,0.110514100360598); #60401=CIRCLE('',#163506,7.12669066868632); #60402=CIRCLE('',#163507,7.12669066868632); #60403=CIRCLE('',#163509,0.382954556192301); #60404=CIRCLE('',#163510,0.382954556192301); #60405=CIRCLE('',#163512,0.382945810031774); #60406=CIRCLE('',#163513,0.382945810031774); #60407=CIRCLE('',#163515,0.405942283048342); #60408=CIRCLE('',#163516,0.405942283048342); #60409=CIRCLE('',#163518,0.405957079523016); #60410=CIRCLE('',#163519,0.405957079523016); #60411=CIRCLE('',#163521,65.1974042928939); #60412=CIRCLE('',#163522,65.1974042928939); #60413=CIRCLE('',#163525,0.177531332523115); #60414=CIRCLE('',#163526,0.177531332523115); #60415=CIRCLE('',#163528,0.239930602944943); #60416=CIRCLE('',#163529,0.239930602944943); #60417=CIRCLE('',#163548,0.202636689620507); #60418=CIRCLE('',#163549,0.202636689620507); #60419=CIRCLE('',#163551,0.101531257223946); #60420=CIRCLE('',#163552,0.101531257223946); #60421=CIRCLE('',#163554,0.0905076999095326); #60422=CIRCLE('',#163555,0.0905076999095326); #60423=CIRCLE('',#163557,0.203462902869442); #60424=CIRCLE('',#163558,0.203462902869442); #60425=CIRCLE('',#163560,0.314786539683222); #60426=CIRCLE('',#163561,0.314786539683222); #60427=CIRCLE('',#163564,1.44854943558696); #60428=CIRCLE('',#163565,1.44854943558696); #60429=CIRCLE('',#163567,0.91269206909336); #60430=CIRCLE('',#163568,0.91269206909336); #60431=CIRCLE('',#163570,0.344942656087782); #60432=CIRCLE('',#163571,0.344942656087782); #60433=CIRCLE('',#163573,0.212968503393382); #60434=CIRCLE('',#163574,0.212968503393382); #60435=CIRCLE('',#163576,0.250556363998664); #60436=CIRCLE('',#163577,0.250556363998664); #60437=CIRCLE('',#163579,0.3519960704734); #60438=CIRCLE('',#163580,0.3519960704734); #60439=CIRCLE('',#163583,0.108496248519986); #60440=CIRCLE('',#163584,0.108496248519986); #60441=CIRCLE('',#163586,0.0707036951304107); #60442=CIRCLE('',#163587,0.0707036951304107); #60443=CIRCLE('',#163589,0.07071502164645); #60444=CIRCLE('',#163590,0.07071502164645); #60445=CIRCLE('',#163592,0.108496248519851); #60446=CIRCLE('',#163593,0.108496248519851); #60447=CIRCLE('',#163598,0.109121260556872); #60448=CIRCLE('',#163599,0.109121260556872); #60449=CIRCLE('',#163601,0.0694765628461521); #60450=CIRCLE('',#163602,0.0694765628461521); #60451=CIRCLE('',#163604,0.0707038715797792); #60452=CIRCLE('',#163605,0.0707038715797792); #60453=CIRCLE('',#163607,0.108496559646453); #60454=CIRCLE('',#163608,0.108496559646453); #60455=CIRCLE('',#163611,0.108496275811553); #60456=CIRCLE('',#163612,0.108496275811553); #60457=CIRCLE('',#163614,0.0698966430448508); #60458=CIRCLE('',#163615,0.0698966430448508); #60459=CIRCLE('',#163617,0.0707113546029838); #60460=CIRCLE('',#163618,0.0707113546029838); #60461=CIRCLE('',#163620,0.108492652604365); #60462=CIRCLE('',#163621,0.108492652604365); #60463=CIRCLE('',#163631,0.106917428735818); #60464=CIRCLE('',#163632,0.106917428735818); #60465=CIRCLE('',#163634,0.0703574281451216); #60466=CIRCLE('',#163635,0.0703574281451216); #60467=CIRCLE('',#163637,0.074715981047499); #60468=CIRCLE('',#163638,0.074715981047499); #60469=CIRCLE('',#163640,0.13254135843241); #60470=CIRCLE('',#163641,0.13254135843241); #60471=CIRCLE('',#163645,0.0434088165876379); #60472=CIRCLE('',#163646,0.0434088165876379); #60473=CIRCLE('',#163648,0.0288561320692388); #60474=CIRCLE('',#163649,0.0288561320692388); #60475=CIRCLE('',#163651,0.0285691133080356); #60476=CIRCLE('',#163652,0.0285691133080356); #60477=CIRCLE('',#163654,0.0444860623524708); #60478=CIRCLE('',#163655,0.0444860623524708); #60479=CIRCLE('',#163658,0.338564688561444); #60480=CIRCLE('',#163659,0.338564688561444); #60481=CIRCLE('',#163661,0.326444021433566); #60482=CIRCLE('',#163662,0.326444021433566); #60483=CIRCLE('',#163664,0.233361507083498); #60484=CIRCLE('',#163665,0.233361507083498); #60485=CIRCLE('',#163667,0.211720562514748); #60486=CIRCLE('',#163668,0.211720562514748); #60487=CIRCLE('',#163670,0.211891072389934); #60488=CIRCLE('',#163671,0.211891072389934); #60489=CIRCLE('',#163673,0.669763086065227); #60490=CIRCLE('',#163674,0.669763086065227); #60491=CIRCLE('',#163676,0.738893732425675); #60492=CIRCLE('',#163677,0.738893732425675); #60493=CIRCLE('',#163679,0.242835056143211); #60494=CIRCLE('',#163680,0.242835056143211); #60495=CIRCLE('',#163682,0.137616092571522); #60496=CIRCLE('',#163683,0.137616092571522); #60497=CIRCLE('',#163685,0.131417184268319); #60498=CIRCLE('',#163686,0.131417184268319); #60499=CIRCLE('',#163688,0.160233370016414); #60500=CIRCLE('',#163689,0.160233370016414); #60501=CIRCLE('',#163691,0.234322386752354); #60502=CIRCLE('',#163692,0.234322386752354); #60503=CIRCLE('',#163694,0.234857056279478); #60504=CIRCLE('',#163695,0.234857056279478); #60505=CIRCLE('',#163697,0.153966709840376); #60506=CIRCLE('',#163698,0.153966709840376); #60507=CIRCLE('',#163700,0.0407682875769751); #60508=CIRCLE('',#163701,0.0407682875769751); #60509=CIRCLE('',#163703,0.0287297953648173); #60510=CIRCLE('',#163704,0.0287297953648173); #60511=CIRCLE('',#163706,0.0285690272315243); #60512=CIRCLE('',#163707,0.0285690272315243); #60513=CIRCLE('',#163709,0.0444859805449508); #60514=CIRCLE('',#163710,0.0444859805449508); #60515=CIRCLE('',#163713,0.0444723457915883); #60516=CIRCLE('',#163714,0.0444723457915883); #60517=CIRCLE('',#163716,0.0285738012063845); #60518=CIRCLE('',#163717,0.0285738012063845); #60519=CIRCLE('',#163719,0.0285827588789977); #60520=CIRCLE('',#163720,0.0285827588789977); #60521=CIRCLE('',#163722,0.0444726661081538); #60522=CIRCLE('',#163723,0.0444726661081538); #60523=CIRCLE('',#163726,0.325013486132913); #60524=CIRCLE('',#163727,0.325013486132913); #60525=CIRCLE('',#163729,0.290661167274782); #60526=CIRCLE('',#163730,0.290661167274782); #60527=CIRCLE('',#163732,0.217867936230988); #60528=CIRCLE('',#163733,0.217867936230988); #60529=CIRCLE('',#163735,0.181605901622077); #60530=CIRCLE('',#163736,0.181605901622077); #60531=CIRCLE('',#163738,0.458789061890661); #60532=CIRCLE('',#163739,0.458789061890661); #60533=CIRCLE('',#163741,0.600070403571969); #60534=CIRCLE('',#163742,0.600070403571969); #60535=CIRCLE('',#163744,0.168163232525655); #60536=CIRCLE('',#163745,0.168163232525655); #60537=CIRCLE('',#163747,0.147600386523511); #60538=CIRCLE('',#163748,0.147600386523511); #60539=CIRCLE('',#163750,0.177065729538042); #60540=CIRCLE('',#163751,0.177065729538042); #60541=CIRCLE('',#163753,0.265746465598408); #60542=CIRCLE('',#163754,0.265746465598408); #60543=CIRCLE('',#163756,0.27902419078977); #60544=CIRCLE('',#163757,0.27902419078977); #60545=CIRCLE('',#163759,0.169225781232446); #60546=CIRCLE('',#163760,0.169225781232446); #60547=CIRCLE('',#163762,0.040767711175847); #60548=CIRCLE('',#163763,0.040767711175847); #60549=CIRCLE('',#163765,0.0287214892060299); #60550=CIRCLE('',#163766,0.0287214892060299); #60551=CIRCLE('',#163768,0.0285828449970037); #60552=CIRCLE('',#163769,0.0285828449970037); #60553=CIRCLE('',#163771,0.0454408669257554); #60554=CIRCLE('',#163772,0.0454408669257554); #60555=CIRCLE('',#163775,0.28218423687047); #60556=CIRCLE('',#163776,0.28218423687047); #60557=CIRCLE('',#163778,0.315181505751133); #60558=CIRCLE('',#163779,0.315181505751133); #60559=CIRCLE('',#163781,0.335759038167211); #60560=CIRCLE('',#163782,0.335759038167211); #60561=CIRCLE('',#163785,0.305896831311742); #60562=CIRCLE('',#163786,0.305896831311742); #60563=CIRCLE('',#163788,0.465777250889683); #60564=CIRCLE('',#163789,0.465777250889683); #60565=CIRCLE('',#163791,0.217486707199167); #60566=CIRCLE('',#163792,0.217486707199167); #60567=CIRCLE('',#163794,0.288275209698152); #60568=CIRCLE('',#163795,0.288275209698152); #60569=CIRCLE('',#163797,0.305888682340838); #60570=CIRCLE('',#163798,0.305888682340838); #60571=CIRCLE('',#163800,0.14943027945824); #60572=CIRCLE('',#163801,0.14943027945824); #60573=CIRCLE('',#163803,0.0438397471002843); #60574=CIRCLE('',#163804,0.0438397471002843); #60575=CIRCLE('',#163806,0.0278387822554378); #60576=CIRCLE('',#163807,0.0278387822554378); #60577=CIRCLE('',#163809,0.0285421101094147); #60578=CIRCLE('',#163810,0.0285421101094147); #60579=CIRCLE('',#163812,0.0461585284397416); #60580=CIRCLE('',#163813,0.0461585284397416); #60581=CIRCLE('',#163816,0.0451973818450255); #60582=CIRCLE('',#163817,0.0451973818450255); #60583=CIRCLE('',#163819,0.0285421113131647); #60584=CIRCLE('',#163820,0.0285421113131647); #60585=CIRCLE('',#163822,0.0285332051639272); #60586=CIRCLE('',#163823,0.0285332051639272); #60587=CIRCLE('',#163825,0.0451999278262505); #60588=CIRCLE('',#163826,0.0451999278262505); #60589=CIRCLE('',#163829,0.367704632954565); #60590=CIRCLE('',#163830,0.367704632954565); #60591=CIRCLE('',#163832,0.342552291865131); #60592=CIRCLE('',#163833,0.342552291865131); #60593=CIRCLE('',#163835,0.351251086189632); #60594=CIRCLE('',#163836,0.351251086189632); #60595=CIRCLE('',#163838,0.401782275561055); #60596=CIRCLE('',#163839,0.401782275561055); #60597=CIRCLE('',#163842,0.352282652298221); #60598=CIRCLE('',#163843,0.352282652298221); #60599=CIRCLE('',#163845,0.385372533265889); #60600=CIRCLE('',#163846,0.385372533265889); #60601=CIRCLE('',#163848,0.495454197255667); #60602=CIRCLE('',#163849,0.495454197255667); #60603=CIRCLE('',#163851,0.324353198574108); #60604=CIRCLE('',#163852,0.324353198574108); #60605=CIRCLE('',#163854,0.373939339470431); #60606=CIRCLE('',#163855,0.373939339470431); #60607=CIRCLE('',#163857,0.354409899624969); #60608=CIRCLE('',#163858,0.354409899624969); #60609=CIRCLE('',#163860,0.395744499243176); #60610=CIRCLE('',#163861,0.395744499243176); #60611=CIRCLE('',#163863,0.0814434297455761); #60612=CIRCLE('',#163864,0.0814434297455761); #60613=CIRCLE('',#163866,0.0282593625215414); #60614=CIRCLE('',#163867,0.0282593625215414); #60615=CIRCLE('',#163869,0.0286304710297997); #60616=CIRCLE('',#163870,0.0286304710297997); #60617=CIRCLE('',#163872,0.0356869089292637); #60618=CIRCLE('',#163873,0.0356869089292637); #60619=CIRCLE('',#163875,0.423370026859385); #60620=CIRCLE('',#163876,0.423370026859385); #60621=CIRCLE('',#163880,0.0563693156239115); #60622=CIRCLE('',#163881,0.0563693156239115); #60623=CIRCLE('',#163883,0.0283499995840763); #60624=CIRCLE('',#163884,0.0283499995840763); #60625=CIRCLE('',#163886,0.0295846303833189); #60626=CIRCLE('',#163887,0.0295846303833189); #60627=CIRCLE('',#163889,0.0378302157398102); #60628=CIRCLE('',#163890,0.0378302157398102); #60629=CIRCLE('',#163893,0.0586598082561043); #60630=CIRCLE('',#163894,0.0586598082561043); #60631=CIRCLE('',#163896,0.0283623411457182); #60632=CIRCLE('',#163897,0.0283623411457182); #60633=CIRCLE('',#163899,0.0295783267193154); #60634=CIRCLE('',#163900,0.0295783267193154); #60635=CIRCLE('',#163902,0.0293325557009485); #60636=CIRCLE('',#163903,0.0293325557009485); #60637=CIRCLE('',#163905,0.0514815348594892); #60638=CIRCLE('',#163906,0.0514815348594892); #60639=CIRCLE('',#163910,0.0432737591259333); #60640=CIRCLE('',#163911,0.0432737591259333); #60641=CIRCLE('',#163913,0.0292642293664474); #60642=CIRCLE('',#163914,0.0292642293664474); #60643=CIRCLE('',#163916,0.0301066375455032); #60644=CIRCLE('',#163917,0.0301066375455032); #60645=CIRCLE('',#163919,0.0432734703738721); #60646=CIRCLE('',#163920,0.0432734703738721); #60647=CIRCLE('',#163925,0.0443391709601616); #60648=CIRCLE('',#163926,0.0443391709601616); #60649=CIRCLE('',#163928,0.0289868926224743); #60650=CIRCLE('',#163929,0.0289868926224743); #60651=CIRCLE('',#163931,0.0292757399477379); #60652=CIRCLE('',#163932,0.0292757399477379); #60653=CIRCLE('',#163934,0.0432700595312855); #60654=CIRCLE('',#163935,0.0432700595312855); #60655=CIRCLE('',#163938,0.043265796995563); #60656=CIRCLE('',#163939,0.043265796995563); #60657=CIRCLE('',#163941,0.0292752454316323); #60658=CIRCLE('',#163942,0.0292752454316323); #60659=CIRCLE('',#163944,0.0289864165752311); #60660=CIRCLE('',#163945,0.0289864165752311); #60661=CIRCLE('',#163947,0.0443346816249289); #60662=CIRCLE('',#163948,0.0443346816249289); #60663=CIRCLE('',#163952,0.351462281758959); #60664=CIRCLE('',#163953,0.351462281758959); #60665=CIRCLE('',#163955,0.114931628345485); #60666=CIRCLE('',#163956,0.114931628345485); #60667=CIRCLE('',#163958,0.0861440940959071); #60668=CIRCLE('',#163959,0.0861440940959071); #60669=CIRCLE('',#163961,0.117083297628564); #60670=CIRCLE('',#163962,0.117083297628564); #60671=CIRCLE('',#163966,0.0432792614129252); #60672=CIRCLE('',#163967,0.0432792614129252); #60673=CIRCLE('',#163969,0.0292717850867934); #60674=CIRCLE('',#163970,0.0292717850867934); #60675=CIRCLE('',#163972,0.0289830854726185); #60676=CIRCLE('',#163973,0.0289830854726185); #60677=CIRCLE('',#163975,0.0443486557741579); #60678=CIRCLE('',#163976,0.0443486557741579); #60679=CIRCLE('',#163980,0.316895012208117); #60680=CIRCLE('',#163981,0.316895012208117); #60681=CIRCLE('',#163983,0.123959910562287); #60682=CIRCLE('',#163984,0.123959910562287); #60683=CIRCLE('',#163986,0.0878516272565407); #60684=CIRCLE('',#163987,0.0878516272565407); #60685=CIRCLE('',#163989,0.111069601781927); #60686=CIRCLE('',#163990,0.111069601781927); #60687=CIRCLE('',#163994,0.0432779468490837); #60688=CIRCLE('',#163995,0.0432779468490837); #60689=CIRCLE('',#163997,0.0292757399477467); #60690=CIRCLE('',#163998,0.0292757399477467); #60691=CIRCLE('',#164000,0.0289868926223165); #60692=CIRCLE('',#164001,0.0289868926223165); #60693=CIRCLE('',#164003,0.0443473007916555); #60694=CIRCLE('',#164004,0.0443473007916555); #60695=CIRCLE('',#164008,0.176807902994905); #60696=CIRCLE('',#164009,0.176807902994905); #60697=CIRCLE('',#164011,0.13729323260609); #60698=CIRCLE('',#164012,0.13729323260609); #60699=CIRCLE('',#164014,0.159216250041529); #60700=CIRCLE('',#164015,0.159216250041529); #60701=CIRCLE('',#164017,0.310313883912738); #60702=CIRCLE('',#164018,0.310313883912738); #60703=CIRCLE('',#164020,0.185652181268335); #60704=CIRCLE('',#164021,0.185652181268335); #60705=CIRCLE('',#164023,0.139136248816034); #60706=CIRCLE('',#164024,0.139136248816034); #60707=CIRCLE('',#164026,0.215992930027188); #60708=CIRCLE('',#164027,0.215992930027188); #60709=CIRCLE('',#164031,0.50761119895304); #60710=CIRCLE('',#164032,0.50761119895304); #60711=CIRCLE('',#164035,0.487441704131233); #60712=CIRCLE('',#164036,0.487441704131233); #60713=CIRCLE('',#164038,0.184128688670565); #60714=CIRCLE('',#164039,0.184128688670565); #60715=CIRCLE('',#164041,0.172780351028419); #60716=CIRCLE('',#164042,0.172780351028419); #60717=CIRCLE('',#164044,0.160819032121146); #60718=CIRCLE('',#164045,0.160819032121146); #60719=CIRCLE('',#164047,0.445639309036577); #60720=CIRCLE('',#164048,0.445639309036577); #60721=CIRCLE('',#164050,0.504193838909676); #60722=CIRCLE('',#164051,0.504193838909676); #60723=CIRCLE('',#164054,0.489528460455034); #60724=CIRCLE('',#164055,0.489528460455034); #60725=CIRCLE('',#164057,0.183508024167084); #60726=CIRCLE('',#164058,0.183508024167084); #60727=CIRCLE('',#164060,0.170576389890376); #60728=CIRCLE('',#164061,0.170576389890376); #60729=CIRCLE('',#164063,0.156064078109326); #60730=CIRCLE('',#164064,0.156064078109326); #60731=CIRCLE('',#164066,0.429269730705235); #60732=CIRCLE('',#164067,0.429269730705235); #60733=CIRCLE('',#164070,0.575908891621597); #60734=CIRCLE('',#164071,0.575908891621597); #60735=CIRCLE('',#164073,0.574703077495105); #60736=CIRCLE('',#164074,0.574703077495105); #60737=CIRCLE('',#164076,0.308508090295954); #60738=CIRCLE('',#164077,0.308508090295954); #60739=CIRCLE('',#164079,0.229516341258137); #60740=CIRCLE('',#164080,0.229516341258137); #60741=CIRCLE('',#164082,0.239570206742336); #60742=CIRCLE('',#164083,0.239570206742336); #60743=CIRCLE('',#164085,0.233857392704834); #60744=CIRCLE('',#164086,0.233857392704834); #60745=CIRCLE('',#164088,0.473406710254505); #60746=CIRCLE('',#164089,0.473406710254505); #60747=CIRCLE('',#164092,0.549705085422083); #60748=CIRCLE('',#164093,0.549705085422083); #60749=CIRCLE('',#164095,0.505575048547671); #60750=CIRCLE('',#164096,0.505575048547671); #60751=CIRCLE('',#164098,0.314037018896032); #60752=CIRCLE('',#164099,0.314037018896032); #60753=CIRCLE('',#164101,0.228695293927653); #60754=CIRCLE('',#164102,0.228695293927653); #60755=CIRCLE('',#164104,0.240334492284197); #60756=CIRCLE('',#164105,0.240334492284197); #60757=CIRCLE('',#164107,0.23385727095303); #60758=CIRCLE('',#164108,0.23385727095303); #60759=CIRCLE('',#164110,0.472407489793381); #60760=CIRCLE('',#164111,0.472407489793381); #60761=CIRCLE('',#164114,0.504165652210112); #60762=CIRCLE('',#164115,0.504165652210112); #60763=CIRCLE('',#164118,0.489523388315615); #60764=CIRCLE('',#164119,0.489523388315615); #60765=CIRCLE('',#164121,0.183508852967711); #60766=CIRCLE('',#164122,0.183508852967711); #60767=CIRCLE('',#164124,0.170574032072487); #60768=CIRCLE('',#164125,0.170574032072487); #60769=CIRCLE('',#164127,0.15607615883191); #60770=CIRCLE('',#164128,0.15607615883191); #60771=CIRCLE('',#164130,0.429326852664135); #60772=CIRCLE('',#164131,0.429326852664135); #60773=CIRCLE('',#164133,0.507579461271923); #60774=CIRCLE('',#164134,0.507579461271923); #60775=CIRCLE('',#164137,0.487446776024711); #60776=CIRCLE('',#164138,0.487446776024711); #60777=CIRCLE('',#164140,0.184123745193634); #60778=CIRCLE('',#164141,0.184123745193634); #60779=CIRCLE('',#164143,0.172792768804612); #60780=CIRCLE('',#164144,0.172792768804612); #60781=CIRCLE('',#164146,0.160815888647101); #60782=CIRCLE('',#164147,0.160815888647101); #60783=CIRCLE('',#164149,0.445642897690341); #60784=CIRCLE('',#164150,0.445642897690341); #60785=CIRCLE('',#164152,0.473412260144164); #60786=CIRCLE('',#164153,0.473412260144164); #60787=CIRCLE('',#164156,0.549662281957652); #60788=CIRCLE('',#164157,0.549662281957652); #60789=CIRCLE('',#164159,0.505589636043687); #60790=CIRCLE('',#164160,0.505589636043687); #60791=CIRCLE('',#164162,0.314051776167692); #60792=CIRCLE('',#164163,0.314051776167692); #60793=CIRCLE('',#164165,0.228685193282565); #60794=CIRCLE('',#164166,0.228685193282565); #60795=CIRCLE('',#164168,0.240350797645731); #60796=CIRCLE('',#164169,0.240350797645731); #60797=CIRCLE('',#164171,0.233859677923241); #60798=CIRCLE('',#164172,0.233859677923241); #60799=CIRCLE('',#164174,0.472410038281985); #60800=CIRCLE('',#164175,0.472410038281985); #60801=CIRCLE('',#164178,0.575934112079727); #60802=CIRCLE('',#164179,0.575934112079727); #60803=CIRCLE('',#164181,0.574777470004307); #60804=CIRCLE('',#164182,0.574777470004307); #60805=CIRCLE('',#164184,0.308520650347544); #60806=CIRCLE('',#164185,0.308520650347544); #60807=CIRCLE('',#164187,0.229506248238759); #60808=CIRCLE('',#164188,0.229506248238759); #60809=CIRCLE('',#164190,0.23957344808567); #60810=CIRCLE('',#164191,0.23957344808567); #60811=CIRCLE('',#164193,0.233859809044011); #60812=CIRCLE('',#164194,0.233859809044011); #60813=CIRCLE('',#164197,0.44567181658924); #60814=CIRCLE('',#164198,0.44567181658924); #60815=CIRCLE('',#164200,0.504165176818363); #60816=CIRCLE('',#164201,0.504165176818363); #60817=CIRCLE('',#164204,0.489522925979842); #60818=CIRCLE('',#164205,0.489522925979842); #60819=CIRCLE('',#164207,0.183509676017425); #60820=CIRCLE('',#164208,0.183509676017425); #60821=CIRCLE('',#164210,0.170572299408212); #60822=CIRCLE('',#164211,0.170572299408212); #60823=CIRCLE('',#164213,0.156091053057979); #60824=CIRCLE('',#164214,0.156091053057979); #60825=CIRCLE('',#164216,0.429285462890802); #60826=CIRCLE('',#164217,0.429285462890802); #60827=CIRCLE('',#164219,0.507582796171907); #60828=CIRCLE('',#164220,0.507582796171907); #60829=CIRCLE('',#164223,0.487436669368577); #60830=CIRCLE('',#164224,0.487436669368577); #60831=CIRCLE('',#164226,0.184129527666722); #60832=CIRCLE('',#164227,0.184129527666722); #60833=CIRCLE('',#164229,0.172794542778184); #60834=CIRCLE('',#164230,0.172794542778184); #60835=CIRCLE('',#164232,0.160814001864634); #60836=CIRCLE('',#164233,0.160814001864634); #60837=CIRCLE('',#164236,0.575938013338401); #60838=CIRCLE('',#164237,0.575938013338401); #60839=CIRCLE('',#164239,0.574598266375336); #60840=CIRCLE('',#164240,0.574598266375336); #60841=CIRCLE('',#164242,0.308483817450676); #60842=CIRCLE('',#164243,0.308483817450676); #60843=CIRCLE('',#164245,0.229513265611847); #60844=CIRCLE('',#164246,0.229513265611847); #60845=CIRCLE('',#164248,0.239573448085599); #60846=CIRCLE('',#164249,0.239573448085599); #60847=CIRCLE('',#164251,0.233859809044043); #60848=CIRCLE('',#164252,0.233859809044043); #60849=CIRCLE('',#164254,0.473409264135311); #60850=CIRCLE('',#164255,0.473409264135311); #60851=CIRCLE('',#164258,0.549662718325322); #60852=CIRCLE('',#164259,0.549662718325322); #60853=CIRCLE('',#164261,0.505532748660458); #60854=CIRCLE('',#164262,0.505532748660458); #60855=CIRCLE('',#164264,0.314051776168009); #60856=CIRCLE('',#164265,0.314051776168009); #60857=CIRCLE('',#164267,0.228683321502552); #60858=CIRCLE('',#164268,0.228683321502552); #60859=CIRCLE('',#164270,0.24034433607085); #60860=CIRCLE('',#164271,0.24034433607085); #60861=CIRCLE('',#164273,0.233857270952817); #60862=CIRCLE('',#164274,0.233857270952817); #60863=CIRCLE('',#164276,0.472405370218504); #60864=CIRCLE('',#164277,0.472405370218504); #60865=CIRCLE('',#164280,0.170572299408212); #60866=CIRCLE('',#164281,0.170572299408212); #60867=CIRCLE('',#164283,0.156056396121761); #60868=CIRCLE('',#164284,0.156056396121761); #60869=CIRCLE('',#164286,0.429293677777956); #60870=CIRCLE('',#164287,0.429293677777956); #60871=CIRCLE('',#164289,0.507607387503819); #60872=CIRCLE('',#164290,0.507607387503819); #60873=CIRCLE('',#164293,0.487441704131233); #60874=CIRCLE('',#164294,0.487441704131233); #60875=CIRCLE('',#164296,0.184122080166372); #60876=CIRCLE('',#164297,0.184122080166372); #60877=CIRCLE('',#164299,0.172796967257581); #60878=CIRCLE('',#164300,0.172796967257581); #60879=CIRCLE('',#164302,0.160814001864914); #60880=CIRCLE('',#164303,0.160814001864914); #60881=CIRCLE('',#164305,0.445658092375271); #60882=CIRCLE('',#164306,0.445658092375271); #60883=CIRCLE('',#164308,0.504179745158337); #60884=CIRCLE('',#164309,0.504179745158337); #60885=CIRCLE('',#164312,0.489523388315506); #60886=CIRCLE('',#164313,0.489523388315506); #60887=CIRCLE('',#164315,0.183509676017425); #60888=CIRCLE('',#164316,0.183509676017425); #60889=CIRCLE('',#164319,0.575934545442775); #60890=CIRCLE('',#164320,0.575934545442775); #60891=CIRCLE('',#164322,0.574738254556235); #60892=CIRCLE('',#164323,0.574738254556235); #60893=CIRCLE('',#164325,0.308495953388461); #60894=CIRCLE('',#164326,0.308495953388461); #60895=CIRCLE('',#164328,0.229513265611946); #60896=CIRCLE('',#164329,0.229513265611946); #60897=CIRCLE('',#164331,0.239575762277209); #60898=CIRCLE('',#164332,0.239575762277209); #60899=CIRCLE('',#164334,0.233857392704888); #60900=CIRCLE('',#164335,0.233857392704888); #60901=CIRCLE('',#164337,0.47340970624724); #60902=CIRCLE('',#164338,0.47340970624724); #60903=CIRCLE('',#164341,0.549685641991797); #60904=CIRCLE('',#164342,0.549685641991797); #60905=CIRCLE('',#164344,0.505589636043687); #60906=CIRCLE('',#164345,0.505589636043687); #60907=CIRCLE('',#164347,0.314064405682105); #60908=CIRCLE('',#164348,0.314064405682105); #60909=CIRCLE('',#164350,0.228683321502332); #60910=CIRCLE('',#164351,0.228683321502332); #60911=CIRCLE('',#164353,0.240354028568699); #60912=CIRCLE('',#164354,0.240354028568699); #60913=CIRCLE('',#164356,0.233858479113733); #60914=CIRCLE('',#164357,0.233858479113733); #60915=CIRCLE('',#164359,0.472407918679554); #60916=CIRCLE('',#164360,0.472407918679554); #60917=CIRCLE('',#164363,0.206320709707091); #60918=CIRCLE('',#164364,0.206320709707091); #60919=CIRCLE('',#164366,0.24592753911019); #60920=CIRCLE('',#164367,0.24592753911019); #60921=CIRCLE('',#164369,0.275348308650874); #60922=CIRCLE('',#164370,0.275348308650874); #60923=CIRCLE('',#164372,0.296772878222221); #60924=CIRCLE('',#164373,0.296772878222221); #60925=CIRCLE('',#164375,0.261738626767832); #60926=CIRCLE('',#164376,0.261738626767832); #60927=CIRCLE('',#164378,0.176679579929886); #60928=CIRCLE('',#164379,0.176679579929886); #60929=CIRCLE('',#164381,0.0275728405117515); #60930=CIRCLE('',#164382,0.0275728405117515); #60931=CIRCLE('',#164384,0.0284296187750053); #60932=CIRCLE('',#164385,0.0284296187750053); #60933=CIRCLE('',#164387,0.0309249118173252); #60934=CIRCLE('',#164388,0.0309249118173252); #60935=CIRCLE('',#164390,0.0373637240618477); #60936=CIRCLE('',#164391,0.0373637240618477); #60937=CIRCLE('',#164393,0.205417120509216); #60938=CIRCLE('',#164394,0.205417120509216); #60939=CIRCLE('',#164396,0.231377493466242); #60940=CIRCLE('',#164397,0.231377493466242); #60941=CIRCLE('',#164399,0.21500765982256); #60942=CIRCLE('',#164400,0.21500765982256); #60943=CIRCLE('',#164402,0.188790606835456); #60944=CIRCLE('',#164403,0.188790606835456); #60945=CIRCLE('',#164405,0.154269538154912); #60946=CIRCLE('',#164406,0.154269538154912); #60947=CIRCLE('',#164408,0.25144621171956); #60948=CIRCLE('',#164409,0.25144621171956); #60949=CIRCLE('',#164411,1.38679426238017); #60950=CIRCLE('',#164412,1.38679426238017); #60951=CIRCLE('',#164414,10.7258403325035); #60952=CIRCLE('',#164415,10.7258403325035); #60953=CIRCLE('',#164420,0.0433942087146088); #60954=CIRCLE('',#164421,0.0433942087146088); #60955=CIRCLE('',#164423,0.0288617152212035); #60956=CIRCLE('',#164424,0.0288617152212035); #60957=CIRCLE('',#164426,0.0296655156574975); #60958=CIRCLE('',#164427,0.0296655156574975); #60959=CIRCLE('',#164429,0.0433942087145394); #60960=CIRCLE('',#164430,0.0433942087145394); #60961=CIRCLE('',#164435,7.1931193973481); #60962=CIRCLE('',#164436,7.1931193973481); #60963=CIRCLE('',#164438,1.35616401355896); #60964=CIRCLE('',#164439,1.35616401355896); #60965=CIRCLE('',#164441,0.297856901259951); #60966=CIRCLE('',#164442,0.297856901259951); #60967=CIRCLE('',#164445,0.252205382445037); #60968=CIRCLE('',#164446,0.252205382445037); #60969=CIRCLE('',#164448,0.390891325651986); #60970=CIRCLE('',#164449,0.390891325651986); #60971=CIRCLE('',#164451,0.0435401367778246); #60972=CIRCLE('',#164452,0.0435401367778246); #60973=CIRCLE('',#164454,0.0270277196325284); #60974=CIRCLE('',#164455,0.0270277196325284); #60975=CIRCLE('',#164457,0.0291715912206724); #60976=CIRCLE('',#164458,0.0291715912206724); #60977=CIRCLE('',#164460,0.0363052661028724); #60978=CIRCLE('',#164461,0.0363052661028724); #60979=CIRCLE('',#164463,0.31176413860513); #60980=CIRCLE('',#164464,0.31176413860513); #60981=CIRCLE('',#164466,0.42912885836153); #60982=CIRCLE('',#164467,0.42912885836153); #60983=CIRCLE('',#164469,0.313477312445168); #60984=CIRCLE('',#164470,0.313477312445168); #60985=CIRCLE('',#164472,0.265814099434032); #60986=CIRCLE('',#164473,0.265814099434032); #60987=CIRCLE('',#164475,0.244005483703484); #60988=CIRCLE('',#164476,0.244005483703484); #60989=CIRCLE('',#164478,0.294206133493496); #60990=CIRCLE('',#164479,0.294206133493496); #60991=CIRCLE('',#164481,0.245639802801309); #60992=CIRCLE('',#164482,0.245639802801309); #60993=CIRCLE('',#164484,0.209870175636673); #60994=CIRCLE('',#164485,0.209870175636673); #60995=CIRCLE('',#164487,0.225061030767273); #60996=CIRCLE('',#164488,0.225061030767273); #60997=CIRCLE('',#164490,0.267145395218756); #60998=CIRCLE('',#164491,0.267145395218756); #60999=CIRCLE('',#164493,0.336841313698095); #61000=CIRCLE('',#164494,0.336841313698095); #61001=CIRCLE('',#164496,0.103388464819578); #61002=CIRCLE('',#164497,0.103388464819578); #61003=CIRCLE('',#164499,0.0274557848414917); #61004=CIRCLE('',#164500,0.0274557848414917); #61005=CIRCLE('',#164502,0.0295530253576982); #61006=CIRCLE('',#164503,0.0295530253576982); #61007=CIRCLE('',#164505,0.0292637227224144); #61008=CIRCLE('',#164506,0.0292637227224144); #61009=CIRCLE('',#164508,0.0606668021493943); #61010=CIRCLE('',#164509,0.0606668021493943); #61011=CIRCLE('',#164511,0.213907884456129); #61012=CIRCLE('',#164512,0.213907884456129); #61013=CIRCLE('',#164514,0.295898792215715); #61014=CIRCLE('',#164515,0.295898792215715); #61015=CIRCLE('',#164517,0.205381422628962); #61016=CIRCLE('',#164518,0.205381422628962); #61017=CIRCLE('',#164520,0.165042130593373); #61018=CIRCLE('',#164521,0.165042130593373); #61019=CIRCLE('',#164523,0.159164853809206); #61020=CIRCLE('',#164524,0.159164853809206); #61021=CIRCLE('',#164526,0.190835467951765); #61022=CIRCLE('',#164527,0.190835467951765); #61023=CIRCLE('',#164530,0.037250771487066); #61024=CIRCLE('',#164531,0.037250771487066); #61025=CIRCLE('',#164533,0.0297725900909152); #61026=CIRCLE('',#164534,0.0297725900909152); #61027=CIRCLE('',#164536,0.0289829097359276); #61028=CIRCLE('',#164537,0.0289829097359276); #61029=CIRCLE('',#164539,0.0443472481477258); #61030=CIRCLE('',#164540,0.0443472481477258); #61031=CIRCLE('',#164542,0.312970668335992); #61032=CIRCLE('',#164543,0.312970668335992); #61033=CIRCLE('',#164545,0.235407443485122); #61034=CIRCLE('',#164546,0.235407443485122); #61035=CIRCLE('',#164548,0.190728315898584); #61036=CIRCLE('',#164549,0.190728315898584); #61037=CIRCLE('',#164551,0.203105143323525); #61038=CIRCLE('',#164552,0.203105143323525); #61039=CIRCLE('',#164555,0.236335123080579); #61040=CIRCLE('',#164556,0.236335123080579); #61041=CIRCLE('',#164558,0.344757497151971); #61042=CIRCLE('',#164559,0.344757497151971); #61043=CIRCLE('',#164561,0.0384218529082707); #61044=CIRCLE('',#164562,0.0384218529082707); #61045=CIRCLE('',#164564,0.0276418178955155); #61046=CIRCLE('',#164565,0.0276418178955155); #61047=CIRCLE('',#164567,0.0315593865200459); #61048=CIRCLE('',#164568,0.0315593865200459); #61049=CIRCLE('',#164570,0.0577642398759277); #61050=CIRCLE('',#164571,0.0577642398759277); #61051=CIRCLE('',#164573,0.450578629581794); #61052=CIRCLE('',#164574,0.450578629581794); #61053=CIRCLE('',#164576,0.371972847890008); #61054=CIRCLE('',#164577,0.371972847890008); #61055=CIRCLE('',#164579,0.293973454196966); #61056=CIRCLE('',#164580,0.293973454196966); #61057=CIRCLE('',#164582,0.331021721422415); #61058=CIRCLE('',#164583,0.331021721422415); #61059=CIRCLE('',#164585,0.299406112367216); #61060=CIRCLE('',#164586,0.299406112367216); #61061=CIRCLE('',#164588,0.258469049756723); #61062=CIRCLE('',#164589,0.258469049756723); #61063=CIRCLE('',#164591,0.408842452646167); #61064=CIRCLE('',#164592,0.408842452646167); #61065=CIRCLE('',#164594,0.611227642162195); #61066=CIRCLE('',#164595,0.611227642162195); #61067=CIRCLE('',#164599,0.0432829602211957); #61068=CIRCLE('',#164600,0.0432829602211957); #61069=CIRCLE('',#164602,0.0292759165858239); #61070=CIRCLE('',#164603,0.0292759165858239); #61071=CIRCLE('',#164605,0.0300950692470173); #61072=CIRCLE('',#164606,0.0300950692470173); #61073=CIRCLE('',#164608,0.0432829602211936); #61074=CIRCLE('',#164609,0.0432829602211936); #61075=CIRCLE('',#164613,0.0354366190332351); #61076=CIRCLE('',#164614,0.0354366190332351); #61077=CIRCLE('',#164616,0.0275533276279964); #61078=CIRCLE('',#164617,0.0275533276279964); #61079=CIRCLE('',#164619,0.0732801473278548); #61080=CIRCLE('',#164620,0.0732801473278548); #61081=CIRCLE('',#164622,0.397780346714577); #61082=CIRCLE('',#164623,0.397780346714577); #61083=CIRCLE('',#164625,0.205483086390831); #61084=CIRCLE('',#164626,0.205483086390831); #61085=CIRCLE('',#164628,0.234169221707195); #61086=CIRCLE('',#164629,0.234169221707195); #61087=CIRCLE('',#164631,0.27454500930083); #61088=CIRCLE('',#164632,0.27454500930083); #61089=CIRCLE('',#164635,0.0976575619055984); #61090=CIRCLE('',#164636,0.0976575619055984); #61091=CIRCLE('',#164638,0.0950783723278382); #61092=CIRCLE('',#164639,0.0950783723278382); #61093=CIRCLE('',#164641,0.0950643279487721); #61094=CIRCLE('',#164642,0.0950643279487721); #61095=CIRCLE('',#164644,0.0967621366266124); #61096=CIRCLE('',#164645,0.0967621366266124); #61097=CIRCLE('',#164648,0.097649093044783); #61098=CIRCLE('',#164649,0.097649093044783); #61099=CIRCLE('',#164651,0.0950792523334402); #61100=CIRCLE('',#164652,0.0950792523334402); #61101=CIRCLE('',#164654,0.0950523674042696); #61102=CIRCLE('',#164655,0.0950523674042696); #61103=CIRCLE('',#164657,0.0967586234805663); #61104=CIRCLE('',#164658,0.0967586234805663); #61105=CIRCLE('',#164662,0.0707041879744284); #61106=CIRCLE('',#164663,0.0707041879744284); #61107=CIRCLE('',#164665,0.108495497620949); #61108=CIRCLE('',#164666,0.108495497620949); #61109=CIRCLE('',#164669,0.108495713605373); #61110=CIRCLE('',#164670,0.108495713605373); #61111=CIRCLE('',#164672,0.0699002155592939); #61112=CIRCLE('',#164673,0.0699002155592939); #61113=CIRCLE('',#164675,0.070714878639347); #61114=CIRCLE('',#164676,0.070714878639347); #61115=CIRCLE('',#164678,0.108492090416945); #61116=CIRCLE('',#164679,0.108492090416945); #61117=CIRCLE('',#164684,0.121490682275862); #61118=CIRCLE('',#164685,0.121490682275862); #61119=CIRCLE('',#164687,0.0674128365149032); #61120=CIRCLE('',#164688,0.0674128365149032); #61121=CIRCLE('',#164690,0.07922848441295); #61122=CIRCLE('',#164691,0.07922848441295); #61123=CIRCLE('',#164693,0.0659094944280161); #61124=CIRCLE('',#164694,0.0659094944280161); #61125=CIRCLE('',#164696,0.18056460348981); #61126=CIRCLE('',#164697,0.18056460348981); #61127=CIRCLE('',#164702,0.108491874349791); #61128=CIRCLE('',#164703,0.108491874349791); #61129=CIRCLE('',#164705,0.0699121471686965); #61130=CIRCLE('',#164706,0.0699121471686965); #61131=CIRCLE('',#164747,1.20719093763926); #61132=CIRCLE('',#164748,1.20719093763926); #61133=CIRCLE('',#164758,0.240147262030805); #61134=CIRCLE('',#164759,0.240147262030805); #61135=CIRCLE('',#164763,0.159646211563815); #61136=CIRCLE('',#164764,0.159646211563815); #61137=CIRCLE('',#164766,0.103503906288692); #61138=CIRCLE('',#164767,0.103503906288692); #61139=CIRCLE('',#164770,0.104258958346473); #61140=CIRCLE('',#164771,0.104258958346473); #61141=CIRCLE('',#164781,0.25268585995587); #61142=CIRCLE('',#164782,0.25268585995587); #61143=CIRCLE('',#164796,0.238837214643923); #61144=CIRCLE('',#164797,0.238837214643923); #61145=CIRCLE('',#164799,0.445026795388965); #61146=CIRCLE('',#164800,0.445026795388965); #61147=CIRCLE('',#164803,0.241323005241786); #61148=CIRCLE('',#164804,0.241323005241786); #61149=CIRCLE('',#164806,0.241364972295168); #61150=CIRCLE('',#164807,0.241364972295168); #61151=CIRCLE('',#164809,7.41752941689855); #61152=CIRCLE('',#164810,7.41752941689855); #61153=CIRCLE('',#164817,0.209299340662245); #61154=CIRCLE('',#164818,0.209299340662245); #61155=CIRCLE('',#164821,1.14620127218312); #61156=CIRCLE('',#164822,1.14620127218312); #61157=CIRCLE('',#164824,0.425906097423365); #61158=CIRCLE('',#164825,0.425906097423365); #61159=CIRCLE('',#164827,2.22870153366681); #61160=CIRCLE('',#164828,2.22870153366681); #61161=CIRCLE('',#164831,0.158420681327344); #61162=CIRCLE('',#164832,0.158420681327344); #61163=CIRCLE('',#164837,0.258048479299808); #61164=CIRCLE('',#164838,0.258048479299808); #61165=CIRCLE('',#164845,44.8722173812362); #61166=CIRCLE('',#164846,44.8722173812362); #61167=CIRCLE('',#164852,0.324311477178623); #61168=CIRCLE('',#164853,0.324311477178623); #61169=CIRCLE('',#164855,68.1141391749284); #61170=CIRCLE('',#164856,68.1141391749284); #61171=CIRCLE('',#164860,9.42214489235881); #61172=CIRCLE('',#164861,9.42214489235881); #61173=CIRCLE('',#164864,8.70108178510002); #61174=CIRCLE('',#164865,8.70108178510002); #61175=CIRCLE('',#164867,26.1600521677399); #61176=CIRCLE('',#164868,26.1600521677399); #61177=CIRCLE('',#164872,0.717600072245246); #61178=CIRCLE('',#164873,0.717600072245246); #61179=CIRCLE('',#164875,14.8664875848278); #61180=CIRCLE('',#164876,14.8664875848278); #61181=CIRCLE('',#164878,24.1164460154097); #61182=CIRCLE('',#164879,24.1164460154097); #61183=CIRCLE('',#164882,1772.45176783022); #61184=CIRCLE('',#164883,1772.45176783022); #61185=CIRCLE('',#164887,89.0650627334713); #61186=CIRCLE('',#164888,89.0650627334713); #61187=CIRCLE('',#164892,0.341431965628458); #61188=CIRCLE('',#164893,0.341431965628458); #61189=CIRCLE('',#164897,129.512659143039); #61190=CIRCLE('',#164898,129.512659143039); #61191=CIRCLE('',#164902,185.210443454206); #61192=CIRCLE('',#164903,185.210443454206); #61193=CIRCLE('',#164907,0.606605969965533); #61194=CIRCLE('',#164908,0.606605969965533); #61195=CIRCLE('',#164910,95.3835267872043); #61196=CIRCLE('',#164911,95.3835267872043); #61197=CIRCLE('',#164915,171.929009855456); #61198=CIRCLE('',#164916,171.929009855456); #61199=CIRCLE('',#164919,3.93692973996247); #61200=CIRCLE('',#164920,3.93692973996247); #61201=CIRCLE('',#164922,0.198763728319708); #61202=CIRCLE('',#164923,0.198763728319708); #61203=CIRCLE('',#164925,0.754227112636658); #61204=CIRCLE('',#164926,0.754227112636658); #61205=CIRCLE('',#164929,0.303522511811694); #61206=CIRCLE('',#164930,0.303522511811694); #61207=CIRCLE('',#164932,1.01744340083161); #61208=CIRCLE('',#164933,1.01744340083161); #61209=CIRCLE('',#164935,0.887440416842689); #61210=CIRCLE('',#164936,0.887440416842689); #61211=CIRCLE('',#164938,0.261121672468422); #61212=CIRCLE('',#164939,0.261121672468422); #61213=CIRCLE('',#164941,4.0160534787265); #61214=CIRCLE('',#164942,4.0160534787265); #61215=CIRCLE('',#164944,0.200332530860115); #61216=CIRCLE('',#164945,0.200332530860115); #61217=CIRCLE('',#164948,0.47002757515453); #61218=CIRCLE('',#164949,0.47002757515453); #61219=CIRCLE('',#164951,0.470019157567777); #61220=CIRCLE('',#164952,0.470019157567777); #61221=CIRCLE('',#164954,3.36954102541506); #61222=CIRCLE('',#164955,3.36954102541506); #61223=CIRCLE('',#164957,0.448137396006305); #61224=CIRCLE('',#164958,0.448137396006305); #61225=CIRCLE('',#164960,2.08132266103829); #61226=CIRCLE('',#164961,2.08132266103829); #61227=CIRCLE('',#164963,0.455744542457292); #61228=CIRCLE('',#164964,0.455744542457292); #61229=CIRCLE('',#164966,3.82688134497807); #61230=CIRCLE('',#164967,3.82688134497807); #61231=CIRCLE('',#164969,0.458411367376749); #61232=CIRCLE('',#164970,0.458411367376749); #61233=CIRCLE('',#164972,0.458443164995881); #61234=CIRCLE('',#164973,0.458443164995881); #61235=CIRCLE('',#164978,0.503387797468703); #61236=CIRCLE('',#164979,0.503387797468703); #61237=CIRCLE('',#164981,1.31437519604408); #61238=CIRCLE('',#164982,1.31437519604408); #61239=CIRCLE('',#164985,0.235637137799392); #61240=CIRCLE('',#164986,0.235637137799392); #61241=CIRCLE('',#164988,3.96622211682589); #61242=CIRCLE('',#164989,3.96622211682589); #61243=CIRCLE('',#164992,0.291271157566743); #61244=CIRCLE('',#164993,0.291271157566743); #61245=CIRCLE('',#164998,0.104142204344483); #61246=CIRCLE('',#164999,0.104142204344483); #61247=CIRCLE('',#165005,0.300773839794931); #61248=CIRCLE('',#165006,0.300773839794931); #61249=CIRCLE('',#165010,8.50534599138624); #61250=CIRCLE('',#165011,8.50534599138624); #61251=CIRCLE('',#165013,1.87104005455492); #61252=CIRCLE('',#165014,1.87104005455492); #61253=CIRCLE('',#165020,0.11049815059695); #61254=CIRCLE('',#165021,0.11049815059695); #61255=CIRCLE('',#165023,0.111213298935851); #61256=CIRCLE('',#165024,0.111213298935851); #61257=CIRCLE('',#165026,3.84343209693742); #61258=CIRCLE('',#165027,3.84343209693742); #61259=CIRCLE('',#165030,0.146149709543177); #61260=CIRCLE('',#165031,0.146149709543177); #61261=CIRCLE('',#165033,0.14626774079178); #61262=CIRCLE('',#165034,0.14626774079178); #61263=CIRCLE('',#165036,1.14250543354542); #61264=CIRCLE('',#165037,1.14250543354542); #61265=CIRCLE('',#165049,0.150653534729039); #61266=CIRCLE('',#165050,0.150653534729039); #61267=CIRCLE('',#165055,0.51350222752363); #61268=CIRCLE('',#165056,0.51350222752363); #61269=CIRCLE('',#165062,0.107738167607179); #61270=CIRCLE('',#165063,0.107738167607179); #61271=CIRCLE('',#165065,0.0703131585952056); #61272=CIRCLE('',#165066,0.0703131585952056); #61273=CIRCLE('',#165068,0.0711361886854023); #61274=CIRCLE('',#165069,0.0711361886854023); #61275=CIRCLE('',#165071,0.107735626072187); #61276=CIRCLE('',#165072,0.107735626072187); #61277=CIRCLE('',#165077,0.107735431947268); #61278=CIRCLE('',#165078,0.107735431947268); #61279=CIRCLE('',#165080,0.0703210439247936); #61280=CIRCLE('',#165081,0.0703210439247936); #61281=CIRCLE('',#165083,0.071128136905359); #61282=CIRCLE('',#165084,0.071128136905359); #61283=CIRCLE('',#165086,0.107735469693617); #61284=CIRCLE('',#165087,0.107735469693617); #61285=CIRCLE('',#165093,0.108492723560574); #61286=CIRCLE('',#165094,0.108492723560574); #61287=CIRCLE('',#165096,0.0699112700817259); #61288=CIRCLE('',#165097,0.0699112700817259); #61289=CIRCLE('',#165099,0.0707033119015819); #61290=CIRCLE('',#165100,0.0707033119015819); #61291=CIRCLE('',#165102,0.10849634677013); #61292=CIRCLE('',#165103,0.10849634677013); #61293=CIRCLE('',#165106,0.108494929956906); #61294=CIRCLE('',#165107,0.108494929956906); #61295=CIRCLE('',#165109,0.0698969726296153); #61296=CIRCLE('',#165110,0.0698969726296153); #61297=CIRCLE('',#165112,0.070711676765724); #61298=CIRCLE('',#165113,0.070711676765724); #61299=CIRCLE('',#165115,0.10849130670477); #61300=CIRCLE('',#165116,0.10849130670477); #61301=CIRCLE('',#165120,0.108494367757689); #61302=CIRCLE('',#165121,0.108494367757689); #61303=CIRCLE('',#165124,0.108496843482648); #61304=CIRCLE('',#165125,0.108496843482648); #61305=CIRCLE('',#165127,0.0699002155592411); #61306=CIRCLE('',#165128,0.0699002155592411); #61307=CIRCLE('',#165130,0.0707148786393964); #61308=CIRCLE('',#165131,0.0707148786393964); #61309=CIRCLE('',#165133,0.108493220256518); #61310=CIRCLE('',#165134,0.108493220256518); #61311=CIRCLE('',#165139,0.130626185762766); #61312=CIRCLE('',#165140,0.130626185762766); #61313=CIRCLE('',#165142,0.0737791814064384); #61314=CIRCLE('',#165143,0.0737791814064384); #61315=CIRCLE('',#165145,0.0711278693866873); #61316=CIRCLE('',#165146,0.0711278693866873); #61317=CIRCLE('',#165148,0.107738474980282); #61318=CIRCLE('',#165149,0.107738474980282); #61319=CIRCLE('',#165152,0.107738474980338); #61320=CIRCLE('',#165153,0.107738474980338); #61321=CIRCLE('',#165155,0.0703207581613561); #61322=CIRCLE('',#165156,0.0703207581613561); #61323=CIRCLE('',#165158,0.0744227167193258); #61324=CIRCLE('',#165159,0.0744227167193258); #61325=CIRCLE('',#165161,0.129396386513299); #61326=CIRCLE('',#165162,0.129396386513299); #61327=CIRCLE('',#165168,0.10617239934679); #61328=CIRCLE('',#165169,0.10617239934679); #61329=CIRCLE('',#165171,0.0707846881687084); #61330=CIRCLE('',#165172,0.0707846881687084); #61331=CIRCLE('',#165174,0.0711230743999495); #61332=CIRCLE('',#165175,0.0711230743999495); #61333=CIRCLE('',#165177,0.106798613230632); #61334=CIRCLE('',#165178,0.106798613230632); #61335=CIRCLE('',#165182,0.108494056640473); #61336=CIRCLE('',#165183,0.108494056640473); #61337=CIRCLE('',#165185,0.0707000150810648); #61338=CIRCLE('',#165186,0.0707000150810648); #61339=CIRCLE('',#165188,0.0707113420851763); #61340=CIRCLE('',#165189,0.0707113420851763); #61341=CIRCLE('',#165191,0.108494056640595); #61342=CIRCLE('',#165192,0.108494056640595); #61343=CIRCLE('',#165197,0.109122105471012); #61344=CIRCLE('',#165198,0.109122105471012); #61345=CIRCLE('',#165200,0.0694731123668953); #61346=CIRCLE('',#165201,0.0694731123668953); #61347=CIRCLE('',#165203,0.0707001884782323); #61348=CIRCLE('',#165204,0.0707001884782323); #61349=CIRCLE('',#165207,0.0976539525955023); #61350=CIRCLE('',#165208,0.0976539525955023); #61351=CIRCLE('',#165210,0.0959049385616558); #61352=CIRCLE('',#165211,0.0959049385616558); #61353=CIRCLE('',#165213,0.0953517992783129); #61354=CIRCLE('',#165214,0.0953517992783129); #61355=CIRCLE('',#165216,0.0961985402476545); #61356=CIRCLE('',#165217,0.0961985402476545); #61357=CIRCLE('',#165220,0.0976576599405115); #61358=CIRCLE('',#165221,0.0976576599405115); #61359=CIRCLE('',#165223,0.0959161306077343); #61360=CIRCLE('',#165224,0.0959161306077343); #61361=CIRCLE('',#165226,0.0953517992783129); #61362=CIRCLE('',#165227,0.0953517992783129); #61363=CIRCLE('',#165229,0.0962070719723387); #61364=CIRCLE('',#165230,0.0962070719723387); #61365=CIRCLE('',#165238,0.0526614173139026); #61366=CIRCLE('',#165239,0.0526614173139026); #61367=CIRCLE('',#165241,0.029709933515828); #61368=CIRCLE('',#165242,0.029709933515828); #61369=CIRCLE('',#165244,0.0292798682992207); #61370=CIRCLE('',#165245,0.0292798682992207); #61371=CIRCLE('',#165247,0.03079567476986); #61372=CIRCLE('',#165248,0.03079567476986); #61373=CIRCLE('',#165250,0.0275743636754722); #61374=CIRCLE('',#165251,0.0275743636754722); #61375=CIRCLE('',#165253,0.0542538381265406); #61376=CIRCLE('',#165254,0.0542538381265406); #61377=CIRCLE('',#165257,0.0558906321658074); #61378=CIRCLE('',#165258,0.0558906321658074); #61379=CIRCLE('',#165260,0.0271291000568577); #61380=CIRCLE('',#165261,0.0271291000568577); #61381=CIRCLE('',#165263,0.0307978407839818); #61382=CIRCLE('',#165264,0.0307978407839818); #61383=CIRCLE('',#165266,0.0308329218661331); #61384=CIRCLE('',#165267,0.0308329218661331); #61385=CIRCLE('',#165269,0.0271168497589251); #61386=CIRCLE('',#165270,0.0271168497589251); #61387=CIRCLE('',#165272,0.0558892681909329); #61388=CIRCLE('',#165273,0.0558892681909329); #61389=CIRCLE('',#165280,0.0542657462371804); #61390=CIRCLE('',#165281,0.0542657462371804); #61391=CIRCLE('',#165283,0.0297056683231127); #61392=CIRCLE('',#165284,0.0297056683231127); #61393=CIRCLE('',#165286,0.0292771463031229); #61394=CIRCLE('',#165287,0.0292771463031229); #61395=CIRCLE('',#165289,0.0307937850739897); #61396=CIRCLE('',#165290,0.0307937850739897); #61397=CIRCLE('',#165292,0.0271367913393838); #61398=CIRCLE('',#165293,0.0271367913393838); #61399=CIRCLE('',#165295,0.0575494980407085); #61400=CIRCLE('',#165296,0.0575494980407085); #61401=CIRCLE('',#165299,0.0542670515580616); #61402=CIRCLE('',#165300,0.0542670515580616); #61403=CIRCLE('',#165302,0.0297191970131737); #61404=CIRCLE('',#165303,0.0297191970131737); #61405=CIRCLE('',#165305,0.029244225215854); #61406=CIRCLE('',#165306,0.029244225215854); #61407=CIRCLE('',#165308,0.0308288708476559); #61408=CIRCLE('',#165309,0.0308288708476559); #61409=CIRCLE('',#165311,0.0271245462072774); #61410=CIRCLE('',#165312,0.0271245462072774); #61411=CIRCLE('',#165314,0.057553148740794); #61412=CIRCLE('',#165315,0.057553148740794); #61413=CIRCLE('',#165319,0.513647390689057); #61414=CIRCLE('',#165320,0.513647390689057); #61415=CIRCLE('',#165323,0.498079402212246); #61416=CIRCLE('',#165324,0.498079402212246); #61417=CIRCLE('',#165326,0.183811622334844); #61418=CIRCLE('',#165327,0.183811622334844); #61419=CIRCLE('',#165329,0.169905314694222); #61420=CIRCLE('',#165330,0.169905314694222); #61421=CIRCLE('',#165332,0.156914547928127); #61422=CIRCLE('',#165333,0.156914547928127); #61423=CIRCLE('',#165335,0.435494103168299); #61424=CIRCLE('',#165336,0.435494103168299); #61425=CIRCLE('',#165338,0.517101878724816); #61426=CIRCLE('',#165339,0.517101878724816); #61427=CIRCLE('',#165342,0.496006817060559); #61428=CIRCLE('',#165343,0.496006817060559); #61429=CIRCLE('',#165345,0.184419683083632); #61430=CIRCLE('',#165346,0.184419683083632); #61431=CIRCLE('',#165348,0.17207714374053); #61432=CIRCLE('',#165349,0.17207714374053); #61433=CIRCLE('',#165351,0.161725937539612); #61434=CIRCLE('',#165352,0.161725937539612); #61435=CIRCLE('',#165354,0.452121031094158); #61436=CIRCLE('',#165355,0.452121031094158); #61437=CIRCLE('',#165357,0.480020113519717); #61438=CIRCLE('',#165358,0.480020113519717); #61439=CIRCLE('',#165361,0.586941028470221); #61440=CIRCLE('',#165362,0.586941028470221); #61441=CIRCLE('',#165364,0.584136324171433); #61442=CIRCLE('',#165365,0.584136324171433); #61443=CIRCLE('',#165367,0.31221700344597); #61444=CIRCLE('',#165368,0.31221700344597); #61445=CIRCLE('',#165370,0.230179477777227); #61446=CIRCLE('',#165371,0.230179477777227); #61447=CIRCLE('',#165373,0.238360628390267); #61448=CIRCLE('',#165374,0.238360628390267); #61449=CIRCLE('',#165376,0.233702519896372); #61450=CIRCLE('',#165377,0.233702519896372); #61451=CIRCLE('',#165379,0.481042940385386); #61452=CIRCLE('',#165380,0.481042940385386); #61453=CIRCLE('',#165383,0.560151886474762); #61454=CIRCLE('',#165384,0.560151886474762); #61455=CIRCLE('',#165386,0.513962537339686); #61456=CIRCLE('',#165387,0.513962537339686); #61457=CIRCLE('',#165389,0.317822935637724); #61458=CIRCLE('',#165390,0.317822935637724); #61459=CIRCLE('',#165392,0.229321045443042); #61460=CIRCLE('',#165393,0.229321045443042); #61461=CIRCLE('',#165395,0.239140965689235); #61462=CIRCLE('',#165396,0.239140965689235); #61463=CIRCLE('',#165398,0.233703589869953); #61464=CIRCLE('',#165399,0.233703589869953); #61465=CIRCLE('',#165402,0.0373637240618477); #61466=CIRCLE('',#165403,0.0373637240618477); #61467=CIRCLE('',#165405,0.205417120509216); #61468=CIRCLE('',#165406,0.205417120509216); #61469=CIRCLE('',#165408,0.231375976000332); #61470=CIRCLE('',#165409,0.231375976000332); #61471=CIRCLE('',#165411,0.215007357685168); #61472=CIRCLE('',#165412,0.215007357685168); #61473=CIRCLE('',#165414,0.188789328647213); #61474=CIRCLE('',#165415,0.188789328647213); #61475=CIRCLE('',#165417,0.154269538154923); #61476=CIRCLE('',#165418,0.154269538154923); #61477=CIRCLE('',#165420,0.251446211719493); #61478=CIRCLE('',#165421,0.251446211719493); #61479=CIRCLE('',#165423,1.38680026289845); #61480=CIRCLE('',#165424,1.38680026289845); #61481=CIRCLE('',#165426,10.7260723162389); #61482=CIRCLE('',#165427,10.7260723162389); #61483=CIRCLE('',#165432,0.0433942087145803); #61484=CIRCLE('',#165433,0.0433942087145803); #61485=CIRCLE('',#165435,0.0288605702181978); #61486=CIRCLE('',#165436,0.0288605702181978); #61487=CIRCLE('',#165438,0.0296669372614485); #61488=CIRCLE('',#165439,0.0296669372614485); #61489=CIRCLE('',#165441,0.0433965366492903); #61490=CIRCLE('',#165442,0.0433965366492903); #61491=CIRCLE('',#165447,7.19301415166893); #61492=CIRCLE('',#165448,7.19301415166893); #61493=CIRCLE('',#165450,1.35612297997077); #61494=CIRCLE('',#165451,1.35612297997077); #61495=CIRCLE('',#165453,0.297856901259951); #61496=CIRCLE('',#165454,0.297856901259951); #61497=CIRCLE('',#165456,0.206320709707091); #61498=CIRCLE('',#165457,0.206320709707091); #61499=CIRCLE('',#165459,0.24592882874443); #61500=CIRCLE('',#165460,0.24592882874443); #61501=CIRCLE('',#165462,0.275348308650856); #61502=CIRCLE('',#165463,0.275348308650856); #61503=CIRCLE('',#165465,0.29677633079542); #61504=CIRCLE('',#165466,0.29677633079542); #61505=CIRCLE('',#165468,0.261738626767832); #61506=CIRCLE('',#165469,0.261738626767832); #61507=CIRCLE('',#165471,0.176678913406825); #61508=CIRCLE('',#165472,0.176678913406825); #61509=CIRCLE('',#165474,0.0275716052964241); #61510=CIRCLE('',#165475,0.0275716052964241); #61511=CIRCLE('',#165477,0.0284315845213975); #61512=CIRCLE('',#165478,0.0284315845213975); #61513=CIRCLE('',#165480,0.0309240284924323); #61514=CIRCLE('',#165481,0.0309240284924323); #61515=CIRCLE('',#165484,0.156076158831973); #61516=CIRCLE('',#165485,0.156076158831973); #61517=CIRCLE('',#165487,0.429285462891081); #61518=CIRCLE('',#165488,0.429285462891081); #61519=CIRCLE('',#165490,0.507582796171561); #61520=CIRCLE('',#165491,0.507582796171561); #61521=CIRCLE('',#165494,0.487436207684112); #61522=CIRCLE('',#165495,0.487436207684112); #61523=CIRCLE('',#165497,0.184130353740535); #61524=CIRCLE('',#165498,0.184130353740535); #61525=CIRCLE('',#165500,0.172780351028419); #61526=CIRCLE('',#165501,0.172780351028419); #61527=CIRCLE('',#165503,0.160814001864914); #61528=CIRCLE('',#165504,0.160814001864914); #61529=CIRCLE('',#165506,0.445671822724296); #61530=CIRCLE('',#165507,0.445671822724296); #61531=CIRCLE('',#165509,0.504165176817688); #61532=CIRCLE('',#165510,0.504165176817688); #61533=CIRCLE('',#165513,0.489522925979724); #61534=CIRCLE('',#165514,0.489522925979724); #61535=CIRCLE('',#165516,0.183509676017425); #61536=CIRCLE('',#165517,0.183509676017425); #61537=CIRCLE('',#165519,0.170572299408212); #61538=CIRCLE('',#165520,0.170572299408212); #61539=CIRCLE('',#165522,0.233857270953023); #61540=CIRCLE('',#165523,0.233857270953023); #61541=CIRCLE('',#165525,0.472405370218644); #61542=CIRCLE('',#165526,0.472405370218644); #61543=CIRCLE('',#165529,0.575934545442775); #61544=CIRCLE('',#165530,0.575934545442775); #61545=CIRCLE('',#165532,0.574598266376363); #61546=CIRCLE('',#165533,0.574598266376363); #61547=CIRCLE('',#165535,0.308483817451509); #61548=CIRCLE('',#165536,0.308483817451509); #61549=CIRCLE('',#165538,0.229515146845709); #61550=CIRCLE('',#165539,0.229515146845709); #61551=CIRCLE('',#165541,0.23957344808567); #61552=CIRCLE('',#165542,0.23957344808567); #61553=CIRCLE('',#165544,0.233859809044011); #61554=CIRCLE('',#165545,0.233859809044011); #61555=CIRCLE('',#165547,0.473408836151111); #61556=CIRCLE('',#165548,0.473408836151111); #61557=CIRCLE('',#165551,0.54965922811503); #61558=CIRCLE('',#165552,0.54965922811503); #61559=CIRCLE('',#165554,0.50554487340826); #61560=CIRCLE('',#165555,0.50554487340826); #61561=CIRCLE('',#165557,0.31403886344897); #61562=CIRCLE('',#165558,0.31403886344897); #61563=CIRCLE('',#165560,0.228698295979726); #61564=CIRCLE('',#165561,0.228698295979726); #61565=CIRCLE('',#165563,0.24032570187658); #61566=CIRCLE('',#165564,0.24032570187658); #61567=CIRCLE('',#165567,0.0288609129261361); #61568=CIRCLE('',#165568,0.0288609129261361); #61569=CIRCLE('',#165570,0.0433968178947014); #61570=CIRCLE('',#165571,0.0433968178947014); #61571=CIRCLE('',#165574,0.0434073979259004); #61572=CIRCLE('',#165575,0.0434073979259004); #61573=CIRCLE('',#165577,0.0288569470891533); #61574=CIRCLE('',#165578,0.0288569470891533); #61575=CIRCLE('',#165580,0.0285688634637624); #61576=CIRCLE('',#165581,0.0285688634637624); #61577=CIRCLE('',#165583,0.0444836867235162); #61578=CIRCLE('',#165584,0.0444836867235162); #61579=CIRCLE('',#165589,0.0602619330360216); #61580=CIRCLE('',#165590,0.0602619330360216); #61581=CIRCLE('',#165592,0.0326819376017972); #61582=CIRCLE('',#165593,0.0326819376017972); #61583=CIRCLE('',#165595,0.0296415117840547); #61584=CIRCLE('',#165596,0.0296415117840547); #61585=CIRCLE('',#165598,0.027944303252832); #61586=CIRCLE('',#165599,0.027944303252832); #61587=CIRCLE('',#165601,0.0721876582590845); #61588=CIRCLE('',#165602,0.0721876582590845); #61589=CIRCLE('',#165607,0.0444727789700149); #61590=CIRCLE('',#165608,0.0444727789700149); #61591=CIRCLE('',#165610,0.0285726828573938); #61592=CIRCLE('',#165611,0.0285726828573938); #61593=CIRCLE('',#165614,0.750000000008815); #61594=CIRCLE('',#165615,0.749999999999727); #61595=CIRCLE('',#165616,0.749999999995712); #61596=CIRCLE('',#165617,0.750000000008809); #61597=CIRCLE('',#165639,0.750000000008809); #61598=CIRCLE('',#165642,0.749999999995712); #61599=CIRCLE('',#165645,0.749999999999727); #61600=CIRCLE('',#165648,0.750000000008815); #61601=CIRCLE('',#165696,0.25); #61602=CIRCLE('',#165701,0.25); #61603=CIRCLE('',#165706,0.25); #61604=CIRCLE('',#165711,0.25); #61605=CIRCLE('',#165716,0.25); #61606=CIRCLE('',#165721,0.25); #61607=CIRCLE('',#165726,0.249999999999999); #61608=CIRCLE('',#165731,0.25); #61609=CIRCLE('',#165736,0.25); #61610=CIRCLE('',#165741,0.25); #61611=CIRCLE('',#165746,0.249999999999999); #61612=CIRCLE('',#165751,0.25); #61613=CIRCLE('',#165756,0.25); #61614=CIRCLE('',#165761,0.25); #61615=CIRCLE('',#165766,0.25); #61616=CIRCLE('',#165771,0.25); #61617=CIRCLE('',#165776,0.25); #61618=CIRCLE('',#165781,0.25); #61619=CIRCLE('',#165786,0.25); #61620=CIRCLE('',#165791,0.25); #61621=CIRCLE('',#165796,0.250000000000002); #61622=CIRCLE('',#165801,0.25); #61623=CIRCLE('',#165806,0.25); #61624=CIRCLE('',#165811,0.25); #61625=CIRCLE('',#165816,0.25); #61626=CIRCLE('',#165821,0.25); #61627=CIRCLE('',#165826,0.250000000000002); #61628=CIRCLE('',#165831,0.25); #61629=CIRCLE('',#165836,0.25); #61630=CIRCLE('',#165841,0.25); #61631=CIRCLE('',#165846,0.25); #61632=CIRCLE('',#165851,0.25); #61633=CIRCLE('',#165856,0.25); #61634=CIRCLE('',#165861,0.25); #61635=CIRCLE('',#165866,0.25); #61636=CIRCLE('',#165871,0.25); #61637=CIRCLE('',#165876,0.25); #61638=CIRCLE('',#165881,0.25); #61639=CIRCLE('',#165886,0.249999999999999); #61640=CIRCLE('',#165891,0.25); #61641=CIRCLE('',#165896,0.25); #61642=CIRCLE('',#165901,0.25); #61643=CIRCLE('',#165906,0.249999999999999); #61644=CIRCLE('',#165911,0.25); #61645=CIRCLE('',#165916,0.25); #61646=CIRCLE('',#165921,0.25); #61647=CIRCLE('',#165926,0.25); #61648=CIRCLE('',#165931,0.249999999999999); #61649=CIRCLE('',#165936,0.25); #61650=CIRCLE('',#165941,0.25); #61651=CIRCLE('',#165946,0.25); #61652=CIRCLE('',#165951,0.25); #61653=CIRCLE('',#165956,0.250000000000002); #61654=CIRCLE('',#165961,0.25); #61655=CIRCLE('',#165966,0.25); #61656=CIRCLE('',#165971,0.25); #61657=CIRCLE('',#165976,0.25); #61658=CIRCLE('',#165981,0.25); #61659=CIRCLE('',#165986,0.250000000000002); #61660=CIRCLE('',#165991,0.25); #61661=CIRCLE('',#165996,0.25); #61662=CIRCLE('',#166001,0.25); #61663=CIRCLE('',#166006,0.25); #61664=CIRCLE('',#166011,0.25); #61665=CIRCLE('',#166057,0.210823452519849); #61666=CIRCLE('',#166058,0.210823452519849); #61667=CIRCLE('',#166061,476.614013288481); #61668=CIRCLE('',#166062,476.614013288481); #61669=CIRCLE('',#166064,0.210687101256699); #61670=CIRCLE('',#166065,0.210687101256699); #61671=CIRCLE('',#166068,0.210645205955733); #61672=CIRCLE('',#166069,0.210645205955733); #61673=CIRCLE('',#166071,477.403794178001); #61674=CIRCLE('',#166072,477.403794178001); #61675=CIRCLE('',#166074,0.21597598787342); #61676=CIRCLE('',#166075,0.21597598787342); #61677=CIRCLE('',#166077,0.215990056208658); #61678=CIRCLE('',#166078,0.215990056208658); #61679=CIRCLE('',#166081,0.25599005620866); #61680=CIRCLE('',#166082,0.25599005620866); #61681=CIRCLE('',#166084,0.25597598787342); #61682=CIRCLE('',#166085,0.25597598787342); #61683=CIRCLE('',#166087,477.443794178001); #61684=CIRCLE('',#166088,477.443794178001); #61685=CIRCLE('',#166090,0.250645205955733); #61686=CIRCLE('',#166091,0.250645205955733); #61687=CIRCLE('',#166094,0.2506871012567); #61688=CIRCLE('',#166095,0.2506871012567); #61689=CIRCLE('',#166097,476.65401328848); #61690=CIRCLE('',#166098,476.65401328848); #61691=CIRCLE('',#166101,0.250823452519848); #61692=CIRCLE('',#166102,0.250823452519848); #61693=CIRCLE('',#166107,0.399999999999999); #61694=CIRCLE('',#166108,0.400000000000001); #61695=CIRCLE('',#166109,0.400000000000001); #61696=CIRCLE('',#166110,0.4); #61697=CIRCLE('',#166111,0.399999999999999); #61698=CIRCLE('',#166112,0.4); #61699=CIRCLE('',#166113,0.4); #61700=CIRCLE('',#166114,0.399999999999999); #61701=CIRCLE('',#166115,0.399999999999999); #61702=CIRCLE('',#166116,0.4); #61703=CIRCLE('',#166117,0.399999999999999); #61704=CIRCLE('',#166118,0.399999999999999); #61705=CIRCLE('',#166119,0.399999999999999); #61706=CIRCLE('',#166120,0.4); #61707=CIRCLE('',#166121,0.0500838502031195); #61708=CIRCLE('',#166122,0.0500835502049141); #61709=CIRCLE('',#166123,0.0500840502119003); #61710=CIRCLE('',#166124,0.0500843502071174); #61711=CIRCLE('',#166125,0.0500841002029898); #61712=CIRCLE('',#166126,0.0453529579803883); #61713=CIRCLE('',#166127,0.0500840502029106); #61714=CIRCLE('',#166128,0.0488476630418783); #61715=CIRCLE('',#166129,0.180156375680117); #61716=CIRCLE('',#166130,0.147973187265042); #61717=CIRCLE('',#166131,0.1480953199624); #61718=CIRCLE('',#166132,3.15716364651926); #61719=CIRCLE('',#166133,0.422745620727355); #61720=CIRCLE('',#166134,0.391095496672695); #61721=CIRCLE('',#166135,0.391217951152284); #61722=CIRCLE('',#166136,7.2219472327056); #61723=CIRCLE('',#166137,0.112421775767908); #61724=CIRCLE('',#166138,0.103824390969805); #61725=CIRCLE('',#166139,0.115580052252601); #61726=CIRCLE('',#166140,25.126724913302); #61727=CIRCLE('',#166141,0.186083616467684); #61728=CIRCLE('',#166142,0.186184722917856); #61729=CIRCLE('',#166143,0.154395426433253); #61730=CIRCLE('',#166144,0.154489256364209); #61731=CIRCLE('',#166145,2861.30242527465); #61732=CIRCLE('',#166146,0.398797280813799); #61733=CIRCLE('',#166147,0.398857618786274); #61734=CIRCLE('',#166148,0.419878552182758); #61735=CIRCLE('',#166149,0.419828705151074); #61736=CIRCLE('',#166150,10.7863447638923); #61737=CIRCLE('',#166151,0.219886717353648); #61738=CIRCLE('',#166152,0.125978557368907); #61739=CIRCLE('',#166153,26.3915090591176); #61740=CIRCLE('',#166154,0.17995681596171); #61741=CIRCLE('',#166155,0.367669241873683); #61742=CIRCLE('',#166156,0.158659128120392); #61743=CIRCLE('',#166157,53.2475325693691); #61744=CIRCLE('',#166158,0.3296152624401); #61745=CIRCLE('',#166159,1.30922624790214); #61746=CIRCLE('',#166160,0.545510942736715); #61747=CIRCLE('',#166161,1.72367625894348); #61748=CIRCLE('',#166162,0.772163647780963); #61749=CIRCLE('',#166163,0.557232729749377); #61750=CIRCLE('',#166164,8.20807382234522); #61751=CIRCLE('',#166165,0.599148397756274); #61752=CIRCLE('',#166166,1.96210062265339); #61753=CIRCLE('',#166167,0.47450381436989); #61754=CIRCLE('',#166168,0.285802114418119); #61755=CIRCLE('',#166169,36.2565399977837); #61756=CIRCLE('',#166170,3.01685511019933); #61757=CIRCLE('',#166171,2.38277415270361); #61758=CIRCLE('',#166172,0.52981682605875); #61759=CIRCLE('',#166173,1.73760822920164); #61760=CIRCLE('',#166174,0.515435602514637); #61761=CIRCLE('',#166175,1.34480952412839); #61762=CIRCLE('',#166176,1.32231171426951); #61763=CIRCLE('',#166177,0.216715319919285); #61764=CIRCLE('',#166178,0.216741158869119); #61765=CIRCLE('',#166179,31.0836617553845); #61766=CIRCLE('',#166180,0.345251403572759); #61767=CIRCLE('',#166181,0.227081782674123); #61768=CIRCLE('',#166182,0.586409234153325); #61769=CIRCLE('',#166183,0.987449839745425); #61770=CIRCLE('',#166184,0.264451625672836); #61771=CIRCLE('',#166185,270.229376762508); #61772=CIRCLE('',#166186,0.235041233091359); #61773=CIRCLE('',#166187,0.476836816515291); #61774=CIRCLE('',#166188,2.45419086988112); #61775=CIRCLE('',#166189,0.368602761275533); #61776=CIRCLE('',#166190,0.708943908285709); #61777=CIRCLE('',#166191,0.536370080867927); #61778=CIRCLE('',#166192,0.0985044292532088); #61779=CIRCLE('',#166193,0.097906412602545); #61780=CIRCLE('',#166194,0.175356689810468); #61781=CIRCLE('',#166195,6.16942037230328); #61782=CIRCLE('',#166196,0.108139383837308); #61783=CIRCLE('',#166197,0.108401633425189); #61784=CIRCLE('',#166198,0.13898212105023); #61785=CIRCLE('',#166199,0.235379004744645); #61786=CIRCLE('',#166200,0.386126257231983); #61787=CIRCLE('',#166201,0.101246339671849); #61788=CIRCLE('',#166202,0.502965558301613); #61789=CIRCLE('',#166203,0.108998179934271); #61790=CIRCLE('',#166204,0.146694332664811); #61791=CIRCLE('',#166205,0.808673731342257); #61792=CIRCLE('',#166206,0.761307805659162); #61793=CIRCLE('',#166207,0.986995347731086); #61794=CIRCLE('',#166208,0.512881119229251); #61795=CIRCLE('',#166209,99.2413124099222); #61796=CIRCLE('',#166210,0.20127190394834); #61797=CIRCLE('',#166211,0.0500833502041141); #61798=CIRCLE('',#166212,0.0500835502059151); #61799=CIRCLE('',#166213,0.0500835502058929); #61800=CIRCLE('',#166214,0.0700598949703058); #61801=CIRCLE('',#166215,0.0700588540354114); #61802=CIRCLE('',#166216,0.0500833002037939); #61803=CIRCLE('',#166217,0.0500833002037941); #61804=CIRCLE('',#166218,0.0500833502041157); #61805=CIRCLE('',#166219,0.070059289335826); #61806=CIRCLE('',#166220,0.0700606884374637); #61807=CIRCLE('',#166221,0.0500833002037939); #61808=CIRCLE('',#166222,0.0500833002037853); #61809=CIRCLE('',#166223,0.0500833502041141); #61810=CIRCLE('',#166224,0.0500833502041157); #61811=CIRCLE('',#166225,0.0500833002037939); #61812=CIRCLE('',#166226,0.0500833002037853); #61813=CIRCLE('',#166227,0.0500835502059195); #61814=CIRCLE('',#166228,0.0500835502059151); #61815=CIRCLE('',#166229,0.0500835502059195); #61816=CIRCLE('',#166230,0.0500830502029163); #61817=CIRCLE('',#166231,0.0500841002029979); #61818=CIRCLE('',#166232,0.0500836002064979); #61819=CIRCLE('',#166233,0.241954012621613); #61820=CIRCLE('',#166234,0.106109549188675); #61821=CIRCLE('',#166235,0.29512953253308); #61822=CIRCLE('',#166236,4.03669819447025); #61823=CIRCLE('',#166237,0.238891413771643); #61824=CIRCLE('',#166238,1.33187146572603); #61825=CIRCLE('',#166239,0.510163463639636); #61826=CIRCLE('',#166240,0.520343663222811); #61827=CIRCLE('',#166241,0.150293195077599); #61828=CIRCLE('',#166242,0.102015200737329); #61829=CIRCLE('',#166243,1.16881321986783); #61830=CIRCLE('',#166244,0.148198428401196); #61831=CIRCLE('',#166245,0.148015778526987); #61832=CIRCLE('',#166246,3.8758875550181); #61833=CIRCLE('',#166247,0.108557897468159); #61834=CIRCLE('',#166248,0.108045040194681); #61835=CIRCLE('',#166249,1.89839482827203); #61836=CIRCLE('',#166250,8.65558860143348); #61837=CIRCLE('',#166251,0.464329790863348); #61838=CIRCLE('',#166252,0.464358653623571); #61839=CIRCLE('',#166253,3.88451822837275); #61840=CIRCLE('',#166254,0.461830387713028); #61841=CIRCLE('',#166255,2.10966135380489); #61842=CIRCLE('',#166256,0.45410334653796); #61843=CIRCLE('',#166257,3.41372798574692); #61844=CIRCLE('',#166258,0.476193816473941); #61845=CIRCLE('',#166259,0.476211731233283); #61846=CIRCLE('',#166260,39.7342963892715); #61847=CIRCLE('',#166261,165.230814159376); #61848=CIRCLE('',#166262,94.2563569715436); #61849=CIRCLE('',#166263,0.615717081353188); #61850=CIRCLE('',#166264,187.448139382943); #61851=CIRCLE('',#166265,124.389616346185); #61852=CIRCLE('',#166266,0.408606990634095); #61853=CIRCLE('',#166267,89.1740455161799); #61854=CIRCLE('',#166268,380.382773381606); #61855=CIRCLE('',#166269,24.6558614612671); #61856=CIRCLE('',#166270,15.0559214203855); #61857=CIRCLE('',#166271,0.723727038973066); #61858=CIRCLE('',#166272,24.290023347691); #61859=CIRCLE('',#166273,9.05573558339489); #61860=CIRCLE('',#166274,9.82798410723636); #61861=CIRCLE('',#166275,69.9148593284141); #61862=CIRCLE('',#166276,0.323108280799626); #61863=CIRCLE('',#166277,0.262182557462925); #61864=CIRCLE('',#166278,0.106146818485615); #61865=CIRCLE('',#166279,2.22917088613974); #61866=CIRCLE('',#166280,0.434029075685786); #61867=CIRCLE('',#166281,1.16111908541571); #61868=CIRCLE('',#166282,0.211853718065751); #61869=CIRCLE('',#166283,7.55922919644539); #61870=CIRCLE('',#166284,0.244490250579952); #61871=CIRCLE('',#166285,0.244478941988021); #61872=CIRCLE('',#166286,0.450801077196293); #61873=CIRCLE('',#166287,0.241958708249275); #61874=CIRCLE('',#166288,1.22388400856687); #61875=CIRCLE('',#166289,0.262932401255248); #61876=CIRCLE('',#166290,0.103287197789879); #61877=CIRCLE('',#166291,0.105885647885909); #61878=CIRCLE('',#166292,0.161215512427943); #61879=CIRCLE('',#166293,0.23555166944425); #61880=CIRCLE('',#166294,0.0500840002128774); #61881=CIRCLE('',#166295,0.0500830002028896); #61882=CIRCLE('',#166296,0.333027513471502); #61883=CIRCLE('',#166297,0.610230713176411); #61884=CIRCLE('',#166298,0.0969145532086281); #61885=CIRCLE('',#166299,0.0500835002053955); #61886=CIRCLE('',#166300,0.0500840002128782); #61887=CIRCLE('',#166301,0.0500833502041141); #61888=CIRCLE('',#166302,0.0500833002037853); #61889=CIRCLE('',#166303,0.0500833002037917); #61890=CIRCLE('',#166304,0.0500833202039171); #61891=CIRCLE('',#166305,0.0500833202039285); #61892=CIRCLE('',#166306,0.0500833502041201); #61893=CIRCLE('',#166308,0.0500833502041141); #61894=CIRCLE('',#166311,0.0500833502041201); #61895=CIRCLE('',#166314,0.0500833202039285); #61896=CIRCLE('',#166317,0.0500833202039171); #61897=CIRCLE('',#166321,0.0500833002037917); #61898=CIRCLE('',#166324,0.0500833002037853); #61899=CIRCLE('',#166342,0.183015546586792); #61900=CIRCLE('',#166343,0.183015546586792); #61901=CIRCLE('',#166346,0.0500840002128782); #61902=CIRCLE('',#166349,0.0500835002053955); #61903=CIRCLE('',#166356,0.0969145532086281); #61904=CIRCLE('',#166368,0.610230713176411); #61905=CIRCLE('',#166370,0.333027513471502); #61906=CIRCLE('',#166373,0.0500830002028896); #61907=CIRCLE('',#166376,0.0500840002128774); #61908=CIRCLE('',#166385,0.23555166944425); #61909=CIRCLE('',#166389,0.161215512427943); #61910=CIRCLE('',#166391,0.105885647885909); #61911=CIRCLE('',#166394,0.103287197789879); #61912=CIRCLE('',#166405,0.262932401255248); #61913=CIRCLE('',#166412,1.22388400856687); #61914=CIRCLE('',#166430,0.241958708249275); #61915=CIRCLE('',#166432,0.450801077196293); #61916=CIRCLE('',#166435,0.244478941988021); #61917=CIRCLE('',#166437,0.244490250579952); #61918=CIRCLE('',#166439,7.55922919644539); #61919=CIRCLE('',#166446,0.211853718065751); #61920=CIRCLE('',#166449,1.16111908541571); #61921=CIRCLE('',#166451,0.434029075685786); #61922=CIRCLE('',#166453,2.22917088613974); #61923=CIRCLE('',#166456,0.106146818485615); #61924=CIRCLE('',#166460,0.262182557462925); #61925=CIRCLE('',#166471,0.323108280799626); #61926=CIRCLE('',#166473,69.9148593284141); #61927=CIRCLE('',#166477,9.82798410723636); #61928=CIRCLE('',#166480,9.05573558339489); #61929=CIRCLE('',#166482,24.290023347691); #61930=CIRCLE('',#166486,0.723727038973066); #61931=CIRCLE('',#166488,15.0559214203855); #61932=CIRCLE('',#166490,24.6558614612671); #61933=CIRCLE('',#166493,380.382773381606); #61934=CIRCLE('',#166498,89.1740455161799); #61935=CIRCLE('',#166503,0.408606990634095); #61936=CIRCLE('',#166508,124.389616346185); #61937=CIRCLE('',#166512,187.448139382943); #61938=CIRCLE('',#166516,0.615717081353188); #61939=CIRCLE('',#166518,94.2563569715436); #61940=CIRCLE('',#166522,165.230814159376); #61941=CIRCLE('',#166524,39.7342963892715); #61942=CIRCLE('',#166527,3.99376525025406); #61943=CIRCLE('',#166528,3.99376525025406); #61944=CIRCLE('',#166530,0.201373669847464); #61945=CIRCLE('',#166531,0.201373669847464); #61946=CIRCLE('',#166533,0.762093138721706); #61947=CIRCLE('',#166534,0.762093138721706); #61948=CIRCLE('',#166537,0.303456802098297); #61949=CIRCLE('',#166538,0.303456802098297); #61950=CIRCLE('',#166540,2.42876931082613); #61951=CIRCLE('',#166541,2.42876931082613); #61952=CIRCLE('',#166543,0.213777600377781); #61953=CIRCLE('',#166544,0.213777600377781); #61954=CIRCLE('',#166546,4.22807650848817); #61955=CIRCLE('',#166547,4.22807650848817); #61956=CIRCLE('',#166549,0.202995179653108); #61957=CIRCLE('',#166550,0.202995179653108); #61958=CIRCLE('',#166553,0.476211731233283); #61959=CIRCLE('',#166555,0.476193816473941); #61960=CIRCLE('',#166557,3.41372798574692); #61961=CIRCLE('',#166559,0.45410334653796); #61962=CIRCLE('',#166561,2.10966135380489); #61963=CIRCLE('',#166563,0.461830387713028); #61964=CIRCLE('',#166565,3.88451822837275); #61965=CIRCLE('',#166567,0.464358653623571); #61966=CIRCLE('',#166569,0.464329790863348); #61967=CIRCLE('',#166574,8.65558860143348); #61968=CIRCLE('',#166576,1.89839482827203); #61969=CIRCLE('',#166583,0.108045040194681); #61970=CIRCLE('',#166585,0.108557897468159); #61971=CIRCLE('',#166587,3.8758875550181); #61972=CIRCLE('',#166590,0.148015778526987); #61973=CIRCLE('',#166592,0.148198428401196); #61974=CIRCLE('',#166594,1.16881321986783); #61975=CIRCLE('',#166597,0.102015200737329); #61976=CIRCLE('',#166606,0.150293195077599); #61977=CIRCLE('',#166611,0.520343663222811); #61978=CIRCLE('',#166614,0.510163463639636); #61979=CIRCLE('',#166616,1.33187146572603); #61980=CIRCLE('',#166619,0.238891413771643); #61981=CIRCLE('',#166621,4.03669819447025); #61982=CIRCLE('',#166624,0.29512953253308); #61983=CIRCLE('',#166629,0.106109549188675); #61984=CIRCLE('',#166635,0.241954012621613); #61985=CIRCLE('',#166644,0.0500836002064979); #61986=CIRCLE('',#166647,0.0500841002029979); #61987=CIRCLE('',#166651,0.0500830502029163); #61988=CIRCLE('',#166654,0.0500835502059195); #61989=CIRCLE('',#166657,0.0500835502059151); #61990=CIRCLE('',#166660,0.0500835502059195); #61991=CIRCLE('',#166663,0.0500833002037939); #61992=CIRCLE('',#166666,0.0500833002037853); #61993=CIRCLE('',#166669,0.0500833002037939); #61994=CIRCLE('',#166673,0.0500833502041157); #61995=CIRCLE('',#166676,0.0500833502041141); #61996=CIRCLE('',#166680,0.0500833002037853); #61997=CIRCLE('',#166684,0.0500833502041141); #61998=CIRCLE('',#166688,0.0700606884374637); #61999=CIRCLE('',#166691,0.070059289335826); #62000=CIRCLE('',#166695,0.0500833502041157); #62001=CIRCLE('',#166698,0.0500833002037941); #62002=CIRCLE('',#166701,0.0500833002037939); #62003=CIRCLE('',#166704,0.0700588540354114); #62004=CIRCLE('',#166708,0.0700598949703058); #62005=CIRCLE('',#166711,0.0500835502058929); #62006=CIRCLE('',#166714,0.0500835502059151); #62007=CIRCLE('',#166722,0.20127190394834); #62008=CIRCLE('',#166724,99.2413124099222); #62009=CIRCLE('',#166730,0.512881119229251); #62010=CIRCLE('',#166734,0.986995347731086); #62011=CIRCLE('',#166738,0.761307805659162); #62012=CIRCLE('',#166740,0.808673731342257); #62013=CIRCLE('',#166744,0.146694332664811); #62014=CIRCLE('',#166753,0.108998179934271); #62015=CIRCLE('',#166758,0.502965558301613); #62016=CIRCLE('',#166764,0.101246339671849); #62017=CIRCLE('',#166770,0.386126257231983); #62018=CIRCLE('',#166775,0.235379004744645); #62019=CIRCLE('',#166779,0.13898212105023); #62020=CIRCLE('',#166784,0.108401633425189); #62021=CIRCLE('',#166786,0.108139383837308); #62022=CIRCLE('',#166790,6.16942037230328); #62023=CIRCLE('',#166792,0.175356689810468); #62024=CIRCLE('',#166795,0.097906412602545); #62025=CIRCLE('',#166797,0.0985044292532088); #62026=CIRCLE('',#166801,0.536370080867927); #62027=CIRCLE('',#166803,0.708943908285709); #62028=CIRCLE('',#166808,0.368602761275533); #62029=CIRCLE('',#166815,2.45419086988112); #62030=CIRCLE('',#166825,0.476836816515291); #62031=CIRCLE('',#166831,0.235041233091359); #62032=CIRCLE('',#166836,270.229376762508); #62033=CIRCLE('',#166842,0.264451625672836); #62034=CIRCLE('',#166857,0.208164567024017); #62035=CIRCLE('',#166858,0.208164567024017); #62036=CIRCLE('',#166860,0.208133078493029); #62037=CIRCLE('',#166861,0.208133078493029); #62038=CIRCLE('',#166863,1.82816413444533); #62039=CIRCLE('',#166864,1.82816413444533); #62040=CIRCLE('',#166866,0.29223963292079); #62041=CIRCLE('',#166867,0.29223963292079); #62042=CIRCLE('',#166869,4.54676734950187); #62043=CIRCLE('',#166870,4.54676734950187); #62044=CIRCLE('',#166872,2.38277415270361); #62045=CIRCLE('',#166875,0.987449839745425); #62046=CIRCLE('',#166877,0.586409234153325); #62047=CIRCLE('',#166886,0.227081782674123); #62048=CIRCLE('',#166889,0.345251403572759); #62049=CIRCLE('',#166891,31.0836617553845); #62050=CIRCLE('',#166894,0.216741158869119); #62051=CIRCLE('',#166896,0.216715319919285); #62052=CIRCLE('',#166898,1.32231171426951); #62053=CIRCLE('',#166908,1.34480952412839); #62054=CIRCLE('',#166910,0.515435602514637); #62055=CIRCLE('',#166912,1.73760822920164); #62056=CIRCLE('',#166914,0.52981682605875); #62057=CIRCLE('',#166920,3.01685511019933); #62058=CIRCLE('',#166923,36.2565399977837); #62059=CIRCLE('',#166931,0.602475071421405); #62060=CIRCLE('',#166932,0.602475071421405); #62061=CIRCLE('',#166935,0.295496714781099); #62062=CIRCLE('',#166936,0.295496714781099); #62063=CIRCLE('',#166938,0.633433396662912); #62064=CIRCLE('',#166939,0.633433396662912); #62065=CIRCLE('',#166941,1.87527496975162); #62066=CIRCLE('',#166942,1.87527496975162); #62067=CIRCLE('',#166944,0.22481827700737); #62068=CIRCLE('',#166945,0.22481827700737); #62069=CIRCLE('',#166947,2.54353670078804); #62070=CIRCLE('',#166948,2.54353670078804); #62071=CIRCLE('',#166950,0.19878636006642); #62072=CIRCLE('',#166951,0.19878636006642); #62073=CIRCLE('',#166953,3.99114658864244); #62074=CIRCLE('',#166954,3.99114658864244); #62075=CIRCLE('',#166956,0.239024906182648); #62076=CIRCLE('',#166957,0.239024906182648); #62077=CIRCLE('',#166959,0.367669241873683); #62078=CIRCLE('',#166977,0.285802114418119); #62079=CIRCLE('',#166980,0.47450381436989); #62080=CIRCLE('',#166982,1.96210062265339); #62081=CIRCLE('',#166985,0.599148397756274); #62082=CIRCLE('',#166987,8.20807382234522); #62083=CIRCLE('',#166989,0.557232729749377); #62084=CIRCLE('',#166991,0.772163647780963); #62085=CIRCLE('',#166993,1.72367625894348); #62086=CIRCLE('',#166995,0.545510942736715); #62087=CIRCLE('',#166997,1.30922624790214); #62088=CIRCLE('',#166999,0.3296152624401); #62089=CIRCLE('',#167001,53.2475325693691); #62090=CIRCLE('',#167004,0.158659128120392); #62091=CIRCLE('',#167011,0.180156375680117); #62092=CIRCLE('',#167013,0.17995681596171); #62093=CIRCLE('',#167015,26.3915090591176); #62094=CIRCLE('',#167018,0.125978557368907); #62095=CIRCLE('',#167022,0.219886717353648); #62096=CIRCLE('',#167026,10.7863447638923); #62097=CIRCLE('',#167028,0.419828705151074); #62098=CIRCLE('',#167030,0.419878552182758); #62099=CIRCLE('',#167032,0.398857618786274); #62100=CIRCLE('',#167034,0.398797280813799); #62101=CIRCLE('',#167036,2861.30242527465); #62102=CIRCLE('',#167039,0.154489256364209); #62103=CIRCLE('',#167041,0.154395426433253); #62104=CIRCLE('',#167043,0.186184722917856); #62105=CIRCLE('',#167045,0.186083616467684); #62106=CIRCLE('',#167047,25.126724913302); #62107=CIRCLE('',#167056,0.115580052252601); #62108=CIRCLE('',#167059,0.103824390969805); #62109=CIRCLE('',#167063,0.112421775767908); #62110=CIRCLE('',#167065,7.2219472327056); #62111=CIRCLE('',#167067,0.391217951152284); #62112=CIRCLE('',#167069,0.391095496672695); #62113=CIRCLE('',#167071,0.422745620727355); #62114=CIRCLE('',#167073,3.15716364651926); #62115=CIRCLE('',#167076,0.1480953199624); #62116=CIRCLE('',#167078,0.147973187265042); #62117=CIRCLE('',#167087,0.0488476630418783); #62118=CIRCLE('',#167090,0.0500840502029106); #62119=CIRCLE('',#167113,0.0453529579803883); #62120=CIRCLE('',#167116,0.0500841002029898); #62121=CIRCLE('',#167151,0.0500843502071174); #62122=CIRCLE('',#167154,0.0500840502119003); #62123=CIRCLE('',#167157,0.0500835502049141); #62124=CIRCLE('',#167160,0.0500838502031195); #62125=CIRCLE('',#167167,0.4); #62126=CIRCLE('',#167169,0.399999999999999); #62127=CIRCLE('',#167171,0.399999999999999); #62128=CIRCLE('',#167173,0.399999999999999); #62129=CIRCLE('',#167175,0.4); #62130=CIRCLE('',#167177,0.399999999999999); #62131=CIRCLE('',#167179,0.399999999999999); #62132=CIRCLE('',#167181,0.4); #62133=CIRCLE('',#167183,0.4); #62134=CIRCLE('',#167185,0.399999999999999); #62135=CIRCLE('',#167187,0.4); #62136=CIRCLE('',#167189,0.400000000000001); #62137=CIRCLE('',#167191,0.400000000000001); #62138=CIRCLE('',#167193,0.399999999999999); #62139=CIRCLE('',#167222,0.1); #62140=CIRCLE('',#167223,0.0999999999999988); #62141=CIRCLE('',#167224,0.0999999999999996); #62142=CIRCLE('',#167225,0.0999999999999996); #62143=CIRCLE('',#167226,0.0999999999999996); #62144=CIRCLE('',#167227,0.0999999999999998); #62145=CIRCLE('',#167230,0.1); #62146=CIRCLE('',#167231,0.0999999999999998); #62147=CIRCLE('',#167232,0.0999999999999996); #62148=CIRCLE('',#167233,0.0999999999999996); #62149=CIRCLE('',#167234,0.0999999999999996); #62150=CIRCLE('',#167235,0.0999999999999988); #62151=CIRCLE('',#167256,0.200000000000003); #62152=CIRCLE('',#167257,0.400000000000003); #62153=CIRCLE('',#167259,0.200000000000003); #62154=CIRCLE('',#167260,0.400000000000003); #62155=CIRCLE('',#167264,0.200000000000003); #62156=CIRCLE('',#167265,0.400000000000003); #62157=CIRCLE('',#167267,0.200000000000003); #62158=CIRCLE('',#167268,0.400000000000003); #62159=CIRCLE('',#167272,0.2); #62160=CIRCLE('',#167273,0.4); #62161=CIRCLE('',#167275,0.2); #62162=CIRCLE('',#167276,0.4); #62163=CIRCLE('',#167280,0.2); #62164=CIRCLE('',#167281,0.4); #62165=CIRCLE('',#167283,0.2); #62166=CIRCLE('',#167284,0.4); #62167=CIRCLE('',#167306,0.13); #62168=CIRCLE('',#167307,0.005); #62169=CIRCLE('',#167308,0.125); #62170=CIRCLE('',#167309,0.125); #62171=CIRCLE('',#167311,0.125); #62172=CIRCLE('',#167314,0.01); #62173=CIRCLE('',#167315,0.01); #62174=CIRCLE('',#167317,0.01); #62175=CIRCLE('',#167318,0.00999999999999973); #62176=CIRCLE('',#167320,0.01); #62177=CIRCLE('',#167321,0.11); #62178=CIRCLE('',#167322,0.12); #62179=CIRCLE('',#167324,0.01); #62180=CIRCLE('',#167326,0.01); #62181=CIRCLE('',#167327,0.01); #62182=CIRCLE('',#167329,0.01); #62183=CIRCLE('',#167330,0.00999999999999973); #62184=CIRCLE('',#167332,0.0100000000000001); #62185=CIRCLE('',#167333,0.11); #62186=CIRCLE('',#167334,0.12); #62187=CIRCLE('',#167336,0.00999999999999999); #62188=CIRCLE('',#167338,0.00999999999999996); #62189=CIRCLE('',#167339,0.01); #62190=CIRCLE('',#167341,0.01); #62191=CIRCLE('',#167342,0.0100000000000001); #62192=CIRCLE('',#167344,0.0100000000000001); #62193=CIRCLE('',#167345,0.11); #62194=CIRCLE('',#167346,0.12); #62195=CIRCLE('',#167348,0.00999999999999999); #62196=CIRCLE('',#167350,0.00999999999999996); #62197=CIRCLE('',#167351,0.01); #62198=CIRCLE('',#167353,0.01); #62199=CIRCLE('',#167354,0.0100000000000001); #62200=CIRCLE('',#167356,0.01); #62201=CIRCLE('',#167357,0.11); #62202=CIRCLE('',#167358,0.12); #62203=CIRCLE('',#167360,0.01); #62204=CIRCLE('',#167362,0.28); #62205=CIRCLE('',#167364,0.04); #62206=CIRCLE('',#167365,0.04); #62207=CIRCLE('',#167367,0.28); #62208=CIRCLE('',#167369,0.04); #62209=CIRCLE('',#167370,0.24); #62210=CIRCLE('',#167372,0.04); #62211=CIRCLE('',#167375,0.12); #62212=CIRCLE('',#167378,0.12); #62213=CIRCLE('',#167380,0.01); #62214=CIRCLE('',#167381,0.01); #62215=CIRCLE('',#167383,0.0100000000000003); #62216=CIRCLE('',#167384,0.13); #62217=CIRCLE('',#167385,0.12); #62218=CIRCLE('',#167387,0.01); #62219=CIRCLE('',#167389,0.0100000000000003); #62220=CIRCLE('',#167390,0.13); #62221=CIRCLE('',#167392,0.01); #62222=CIRCLE('',#167394,0.0099999999999999); #62223=CIRCLE('',#167395,0.13); #62224=CIRCLE('',#167397,0.01); #62225=CIRCLE('',#167399,0.12); #62226=CIRCLE('',#167400,0.13); #62227=CIRCLE('',#167404,0.04); #62228=CIRCLE('',#167405,0.04); #62229=CIRCLE('',#167407,0.24); #62230=CIRCLE('',#167408,0.04); #62231=CIRCLE('',#167409,0.28); #62232=CIRCLE('',#167411,0.04); #62233=CIRCLE('',#167413,0.24); #62234=CIRCLE('',#167414,0.28); #62235=CIRCLE('',#167416,0.24); #62236=CIRCLE('',#167418,0.24); #62237=CIRCLE('',#167421,0.24); #62238=CIRCLE('',#167426,0.24); #62239=CIRCLE('',#167427,0.24); #62240=CIRCLE('',#167429,0.24); #62241=CIRCLE('',#167430,0.24); #62242=CIRCLE('',#167432,0.24); #62243=CIRCLE('',#167434,0.24); #62244=CIRCLE('',#167435,0.24); #62245=CIRCLE('',#167438,0.24); #62246=CIRCLE('',#167439,0.24); #62247=CIRCLE('',#167442,0.24); #62248=CIRCLE('',#167471,0.02); #62249=CIRCLE('',#167472,0.02); #62250=CIRCLE('',#167473,0.02); #62251=CIRCLE('',#167474,0.02); #62252=CIRCLE('',#167475,0.02); #62253=CIRCLE('',#167476,0.02); #62254=CIRCLE('',#167484,0.00999999999999998); #62255=CIRCLE('',#167490,0.00999999999999998); #62256=CIRCLE('',#167492,0.00999999999999998); #62257=CIRCLE('',#167493,0.00999999999999998); #62258=CIRCLE('',#167496,0.00999999999999998); #62259=CIRCLE('',#167502,0.00999999999999998); #62260=CIRCLE('',#167508,0.00999999999999998); #62261=CIRCLE('',#167511,0.00999999999999998); #62262=CIRCLE('',#167514,0.00999999999999998); #62263=CIRCLE('',#167517,0.01); #62264=CIRCLE('',#167520,0.00999999999999998); #62265=CIRCLE('',#167523,0.00999999999999998); #62266=CIRCLE('',#167526,0.00999999999999998); #62267=CIRCLE('',#167529,0.00999999999999998); #62268=CIRCLE('',#167532,0.00999999999999998); #62269=CIRCLE('',#167534,0.00999999999999998); #62270=CIRCLE('',#167535,0.00999999999999998); #62271=CIRCLE('',#167538,0.00999999999999998); #62272=CIRCLE('',#167546,0.01); #62273=CIRCLE('',#167548,0.01); #62274=CIRCLE('',#167549,0.01); #62275=CIRCLE('',#167552,0.01); #62276=CIRCLE('',#167554,0.01); #62277=CIRCLE('',#167555,0.01); #62278=CIRCLE('',#167559,0.01); #62279=CIRCLE('',#167560,0.00999999999999998); #62280=CIRCLE('',#167562,0.01); #62281=CIRCLE('',#167564,0.01); #62282=CIRCLE('',#167566,0.01); #62283=CIRCLE('',#167567,0.01); #62284=CIRCLE('',#167569,0.01); #62285=CIRCLE('',#167570,0.01); #62286=CIRCLE('',#167572,0.0100000000000003); #62287=CIRCLE('',#167574,0.01); #62288=CIRCLE('',#167575,0.01); #62289=CIRCLE('',#167578,0.00999999999999998); #62290=CIRCLE('',#167581,0.00999999999999998); #62291=CIRCLE('',#167589,0.01); #62292=CIRCLE('',#167591,0.01); #62293=CIRCLE('',#167592,0.01); #62294=CIRCLE('',#167595,0.01); #62295=CIRCLE('',#167597,0.0100000000000001); #62296=CIRCLE('',#167598,0.01); #62297=CIRCLE('',#167602,0.01); #62298=CIRCLE('',#167603,0.00999999999999998); #62299=CIRCLE('',#167605,0.01); #62300=CIRCLE('',#167607,0.01); #62301=CIRCLE('',#167609,0.01); #62302=CIRCLE('',#167610,0.01); #62303=CIRCLE('',#167612,0.01); #62304=CIRCLE('',#167613,0.01); #62305=CIRCLE('',#167615,0.01); #62306=CIRCLE('',#167617,0.01); #62307=CIRCLE('',#167618,0.01); #62308=CIRCLE('',#167621,0.00999999999999998); #62309=CIRCLE('',#167624,0.00999999999999998); #62310=CIRCLE('',#167632,0.01); #62311=CIRCLE('',#167634,0.01); #62312=CIRCLE('',#167635,0.01); #62313=CIRCLE('',#167638,0.01); #62314=CIRCLE('',#167640,0.01); #62315=CIRCLE('',#167641,0.01); #62316=CIRCLE('',#167645,0.01); #62317=CIRCLE('',#167647,0.0100000000000003); #62318=CIRCLE('',#167649,0.01); #62319=CIRCLE('',#167651,0.01); #62320=CIRCLE('',#167652,0.01); #62321=CIRCLE('',#167654,0.01); #62322=CIRCLE('',#167655,0.01); #62323=CIRCLE('',#167657,0.01); #62324=CIRCLE('',#167658,0.01); #62325=CIRCLE('',#167660,0.01); #62326=CIRCLE('',#167663,0.01); #62327=CIRCLE('',#167664,0.01); #62328=CIRCLE('',#167666,0.01); #62329=CIRCLE('',#167667,0.01); #62330=CIRCLE('',#167669,0.01); #62331=CIRCLE('',#167670,0.01); #62332=CIRCLE('',#167672,0.01); #62333=CIRCLE('',#167673,0.01); #62334=CIRCLE('',#167675,0.01); #62335=CIRCLE('',#167676,0.01); #62336=CIRCLE('',#167678,0.01); #62337=CIRCLE('',#167681,0.01); #62338=CIRCLE('',#167682,0.01); #62339=CIRCLE('',#167684,0.00999999999999998); #62340=CIRCLE('',#167687,0.01); #62341=CIRCLE('',#167688,0.01); #62342=CIRCLE('',#167690,0.01); #62343=CIRCLE('',#167691,0.01); #62344=CIRCLE('',#167693,0.01); #62345=CIRCLE('',#167696,0.00999999999999973); #62346=CIRCLE('',#167697,0.01); #62347=CIRCLE('',#167699,0.01); #62348=CIRCLE('',#167700,0.00999999999999998); #62349=CIRCLE('',#167703,0.02); #62350=CIRCLE('',#167704,0.02); #62351=CIRCLE('',#167705,0.02); #62352=CIRCLE('',#167706,0.02); #62353=CIRCLE('',#167708,0.01); #62354=CIRCLE('',#167711,0.01); #62355=CIRCLE('',#167712,0.01); #62356=CIRCLE('',#167715,0.01); #62357=CIRCLE('',#167717,0.01); #62358=CIRCLE('',#167718,0.01); #62359=CIRCLE('',#167720,0.00999999999999999); #62360=CIRCLE('',#167721,0.01); #62361=CIRCLE('',#167723,0.01); #62362=CIRCLE('',#167725,0.01); #62363=CIRCLE('',#167728,0.01); #62364=CIRCLE('',#167730,0.01); #62365=CIRCLE('',#167731,0.01); #62366=CIRCLE('',#167734,0.01); #62367=CIRCLE('',#167736,0.01); #62368=CIRCLE('',#167739,0.0100000000000001); #62369=CIRCLE('',#167740,0.01); #62370=CIRCLE('',#167742,0.01); #62371=CIRCLE('',#167744,0.01); #62372=CIRCLE('',#167747,0.00999999999999998); #62373=CIRCLE('',#167755,0.01); #62374=CIRCLE('',#167757,0.01); #62375=CIRCLE('',#167758,0.01); #62376=CIRCLE('',#167761,0.01); #62377=CIRCLE('',#167763,0.0100000000000001); #62378=CIRCLE('',#167764,0.01); #62379=CIRCLE('',#167768,0.01); #62380=CIRCLE('',#167770,0.01); #62381=CIRCLE('',#167772,0.01); #62382=CIRCLE('',#167774,0.01); #62383=CIRCLE('',#167775,0.01); #62384=CIRCLE('',#167777,0.00999999999999987); #62385=CIRCLE('',#167778,0.01); #62386=CIRCLE('',#167780,0.0100000000000001); #62387=CIRCLE('',#167782,0.00999999999999987); #62388=CIRCLE('',#167783,0.01); #62389=CIRCLE('',#167786,0.00999999999999998); #62390=CIRCLE('',#167788,0.02); #62391=CIRCLE('',#167789,0.02); #62392=CIRCLE('',#167790,0.02); #62393=CIRCLE('',#167791,0.02); #62394=CIRCLE('',#167793,0.00999999999999998); #62395=CIRCLE('',#167794,0.00999999999999998); #62396=CIRCLE('',#167797,0.01); #62397=CIRCLE('',#167798,0.01); #62398=CIRCLE('',#167801,0.01); #62399=CIRCLE('',#167803,0.01); #62400=CIRCLE('',#167804,0.00999999999999973); #62401=CIRCLE('',#167806,0.00999999999999973); #62402=CIRCLE('',#167807,0.01); #62403=CIRCLE('',#167810,0.01); #62404=CIRCLE('',#167813,0.01); #62405=CIRCLE('',#167815,0.0100000000000001); #62406=CIRCLE('',#167816,0.01); #62407=CIRCLE('',#167820,0.01); #62408=CIRCLE('',#167821,0.01); #62409=CIRCLE('',#167824,0.01); #62410=CIRCLE('',#167825,0.01); #62411=CIRCLE('',#167827,0.01); #62412=CIRCLE('',#167829,0.00999999999999998); #62413=CIRCLE('',#167832,0.00999999999999998); #62414=CIRCLE('',#167840,0.01); #62415=CIRCLE('',#167842,0.01); #62416=CIRCLE('',#167843,0.00999999999999973); #62417=CIRCLE('',#167846,0.01); #62418=CIRCLE('',#167848,0.01); #62419=CIRCLE('',#167849,0.01); #62420=CIRCLE('',#167853,0.01); #62421=CIRCLE('',#167855,0.00999999999999973); #62422=CIRCLE('',#167857,0.01); #62423=CIRCLE('',#167859,0.01); #62424=CIRCLE('',#167860,0.01); #62425=CIRCLE('',#167862,0.01); #62426=CIRCLE('',#167863,0.01); #62427=CIRCLE('',#167865,0.01); #62428=CIRCLE('',#167867,0.01); #62429=CIRCLE('',#167868,0.01); #62430=CIRCLE('',#167871,0.01); #62431=CIRCLE('',#167873,0.02); #62432=CIRCLE('',#167874,0.02); #62433=CIRCLE('',#167875,0.02); #62434=CIRCLE('',#167876,0.02); #62435=CIRCLE('',#167877,0.02); #62436=CIRCLE('',#167878,0.0199999999999999); #62437=CIRCLE('',#167880,0.01); #62438=CIRCLE('',#167881,0.01); #62439=CIRCLE('',#167884,0.01); #62440=CIRCLE('',#167885,0.01); #62441=CIRCLE('',#167888,0.01); #62442=CIRCLE('',#167890,0.01); #62443=CIRCLE('',#167891,0.01); #62444=CIRCLE('',#167893,0.01); #62445=CIRCLE('',#167894,0.01); #62446=CIRCLE('',#167897,0.01); #62447=CIRCLE('',#167900,0.01); #62448=CIRCLE('',#167902,0.01); #62449=CIRCLE('',#167903,0.01); #62450=CIRCLE('',#167907,0.01); #62451=CIRCLE('',#167908,0.01); #62452=CIRCLE('',#167911,0.0100000000000001); #62453=CIRCLE('',#167912,0.01); #62454=CIRCLE('',#167914,0.0100000000000003); #62455=CIRCLE('',#167916,0.01); #62456=CIRCLE('',#167919,0.00999999999999998); #62457=CIRCLE('',#167927,0.01); #62458=CIRCLE('',#167929,0.01); #62459=CIRCLE('',#167930,0.01); #62460=CIRCLE('',#167933,0.01); #62461=CIRCLE('',#167935,0.01); #62462=CIRCLE('',#167936,0.01); #62463=CIRCLE('',#167940,0.01); #62464=CIRCLE('',#167941,0.01); #62465=CIRCLE('',#167943,0.01); #62466=CIRCLE('',#167945,0.01); #62467=CIRCLE('',#167947,0.01); #62468=CIRCLE('',#167948,0.01); #62469=CIRCLE('',#167950,0.00999999999999994); #62470=CIRCLE('',#167951,0.01); #62471=CIRCLE('',#167953,0.01); #62472=CIRCLE('',#167955,0.01); #62473=CIRCLE('',#167956,0.00999999999999994); #62474=CIRCLE('',#167959,0.01); #62475=CIRCLE('',#167962,0.00999999999999998); #62476=CIRCLE('',#167970,0.01); #62477=CIRCLE('',#167972,0.01); #62478=CIRCLE('',#167973,0.01); #62479=CIRCLE('',#167976,0.01); #62480=CIRCLE('',#167978,0.01); #62481=CIRCLE('',#167979,0.01); #62482=CIRCLE('',#167983,0.01); #62483=CIRCLE('',#167985,0.01); #62484=CIRCLE('',#167987,0.00999999999999987); #62485=CIRCLE('',#167989,0.01); #62486=CIRCLE('',#167990,0.01); #62487=CIRCLE('',#167992,0.01); #62488=CIRCLE('',#167993,0.01); #62489=CIRCLE('',#167995,0.01); #62490=CIRCLE('',#167997,0.01); #62491=CIRCLE('',#167998,0.01); #62492=CIRCLE('',#168006,0.005); #62493=CIRCLE('',#168009,0.005); #62494=CIRCLE('',#168016,0.005); #62495=CIRCLE('',#168019,0.005); #62496=CIRCLE('',#168025,0.005); #62497=CIRCLE('',#168027,0.00499999999999999); #62498=CIRCLE('',#168033,0.005); #62499=CIRCLE('',#168035,0.00499999999999999); #62500=CIRCLE('',#168042,0.005); #62501=CIRCLE('',#168045,0.005); #62502=CIRCLE('',#168052,0.005); #62503=CIRCLE('',#168055,0.005); #62504=CIRCLE('',#168061,0.005); #62505=CIRCLE('',#168063,0.00499999999999999); #62506=CIRCLE('',#168070,0.005); #62507=CIRCLE('',#168073,0.005); #62508=CIRCLE('',#168080,0.005); #62509=CIRCLE('',#168083,0.005); #62510=CIRCLE('',#168090,0.005); #62511=CIRCLE('',#168093,0.005); #62512=CIRCLE('',#168100,0.005); #62513=CIRCLE('',#168103,0.005); #62514=CIRCLE('',#168110,0.005); #62515=CIRCLE('',#168113,0.005); #62516=CIRCLE('',#168119,0.005); #62517=CIRCLE('',#168121,0.005); #62518=CIRCLE('',#168127,0.005); #62519=CIRCLE('',#168129,0.00499999999999999); #62520=CIRCLE('',#168135,0.005); #62521=CIRCLE('',#168137,0.00499999999999999); #62522=CIRCLE('',#168143,0.005); #62523=CIRCLE('',#168145,0.00499999999999999); #62524=CIRCLE('',#168151,0.005); #62525=CIRCLE('',#168153,0.00499999999999999); #62526=CIRCLE('',#168159,0.005); #62527=CIRCLE('',#168161,0.00499999999999999); #62528=CIRCLE('',#168168,0.005); #62529=CIRCLE('',#168171,0.005); #62530=CIRCLE('',#168177,0.005); #62531=CIRCLE('',#168179,0.00499999999999999); #62532=CIRCLE('',#168182,2.4635); #62533=CIRCLE('',#168201,2.17775); #62534=CIRCLE('',#168219,2.125); #62535=CIRCLE('',#168220,1.5); #62536=CIRCLE('',#168224,1.5); #62537=CIRCLE('',#168226,2.4635); #62538=CIRCLE('',#168227,2.4635); #62539=CIRCLE('',#168228,2.17775); #62540=CIRCLE('',#168238,2.4635); #62541=CIRCLE('',#168240,2.); #62542=CIRCLE('',#168242,2.); #62543=CIRCLE('',#168246,2.125); #62544=CIRCLE('',#168279,0.25); #62545=CIRCLE('',#168280,0.249999999999999); #62546=CIRCLE('',#168282,0.25); #62547=CIRCLE('',#168284,0.25); #62548=CIRCLE('',#168286,0.25); #62549=CIRCLE('',#168287,0.249999999999999); #62550=CIRCLE('',#168288,0.25); #62551=CIRCLE('',#168290,0.25); #62552=CIRCLE('',#168291,0.25); #62553=CIRCLE('',#168293,0.25); #62554=CIRCLE('',#168295,0.25); #62555=CIRCLE('',#168296,0.249999999999999); #62556=CIRCLE('',#168297,0.25); #62557=CIRCLE('',#168299,0.25); #62558=CIRCLE('',#168300,0.25); #62559=CIRCLE('',#168302,0.25); #62560=CIRCLE('',#168304,0.25); #62561=CIRCLE('',#168305,0.249999999999999); #62562=CIRCLE('',#168306,0.25); #62563=CIRCLE('',#168308,0.25); #62564=CIRCLE('',#168309,0.25); #62565=CIRCLE('',#168311,0.25); #62566=CIRCLE('',#168314,2.42778640450004); #62567=CIRCLE('',#168315,0.45); #62568=CIRCLE('',#168316,2.42778640450004); #62569=CIRCLE('',#168326,2.425); #62570=CIRCLE('',#168328,2.425); #62571=CIRCLE('',#168361,3.); #62572=CIRCLE('',#168362,0.25); #62573=CIRCLE('',#168363,0.25); #62574=CIRCLE('',#168364,0.2); #62575=CIRCLE('',#168365,3.); #62576=CIRCLE('',#168366,0.500000000000001); #62577=CIRCLE('',#168367,0.500000000000001); #62578=CIRCLE('',#168368,0.5); #62579=CIRCLE('',#168370,3.); #62580=CIRCLE('',#168371,3.); #62581=CIRCLE('',#168372,2.); #62582=CIRCLE('',#168373,1.); #62583=CIRCLE('',#168374,1.); #62584=CIRCLE('',#168375,2.); #62585=CIRCLE('',#168376,1.); #62586=CIRCLE('',#168379,3.); #62587=CIRCLE('',#168380,0.2); #62588=CIRCLE('',#168381,0.25); #62589=CIRCLE('',#168382,0.25); #62590=CIRCLE('',#168383,3.); #62591=CIRCLE('',#168385,3.); #62592=CIRCLE('',#168386,3.); #62593=CIRCLE('',#168388,3.); #62594=CIRCLE('',#168390,3.); #62595=CIRCLE('',#168392,3.); #62596=CIRCLE('',#168394,3.); #62597=CIRCLE('',#168400,1.); #62598=CIRCLE('',#168403,1.); #62599=CIRCLE('',#168406,1.); #62600=CIRCLE('',#168419,2.); #62601=CIRCLE('',#168421,2.); #62602=CIRCLE('',#168439,0.5); #62603=CIRCLE('',#168442,0.500000000000001); #62604=CIRCLE('',#168445,0.500000000000001); #62605=CIRCLE('',#168454,0.762); #62606=CIRCLE('',#168455,0.762); #62607=CIRCLE('',#168457,1.225); #62608=CIRCLE('',#168458,1.225); #62609=CIRCLE('',#168460,1.375); #62610=CIRCLE('',#168461,0.15); #62611=CIRCLE('',#168462,1.525); #62612=CIRCLE('',#168464,1.525); #62613=CIRCLE('',#168466,0.15); #62614=CIRCLE('',#168467,1.375); #62615=CIRCLE('',#168469,1.225); #62616=CIRCLE('',#168470,1.225); #62617=CIRCLE('',#168472,1.352); #62618=CIRCLE('',#168474,1.725); #62619=CIRCLE('',#168475,1.725); #62620=CIRCLE('',#168476,1.725); #62621=CIRCLE('',#168477,1.725); #62622=CIRCLE('',#168478,1.725); #62623=CIRCLE('',#168505,0.355000000000001); #62624=CIRCLE('',#168506,0.355000000000001); #62625=CIRCLE('',#168508,0.762000000000001); #62626=CIRCLE('',#168509,0.762000000000001); #62627=CIRCLE('',#168513,0.355); #62628=CIRCLE('',#168514,0.228); #62629=CIRCLE('',#168516,0.228); #62630=CIRCLE('',#168517,0.228); #62631=CIRCLE('',#168518,0.228); #62632=CIRCLE('',#168519,0.228); #62633=CIRCLE('',#168520,0.228); #62634=CIRCLE('',#168521,0.228); #62635=CIRCLE('',#168523,0.355); #62636=CIRCLE('',#168524,0.355); #62637=CIRCLE('',#168525,0.355); #62638=CIRCLE('',#168526,0.355); #62639=CIRCLE('',#168527,0.355); #62640=VERTEX_POINT('',#211627); #62641=VERTEX_POINT('',#211628); #62642=VERTEX_POINT('',#211630); #62643=VERTEX_POINT('',#211632); #62644=VERTEX_POINT('',#211636); #62645=VERTEX_POINT('',#211638); #62646=VERTEX_POINT('',#211640); #62647=VERTEX_POINT('',#211642); #62648=VERTEX_POINT('',#211644); #62649=VERTEX_POINT('',#211646); #62650=VERTEX_POINT('',#211650); #62651=VERTEX_POINT('',#211652); #62652=VERTEX_POINT('',#211654); #62653=VERTEX_POINT('',#211656); #62654=VERTEX_POINT('',#211658); #62655=VERTEX_POINT('',#211660); #62656=VERTEX_POINT('',#211662); #62657=VERTEX_POINT('',#211664); #62658=VERTEX_POINT('',#211666); #62659=VERTEX_POINT('',#211668); #62660=VERTEX_POINT('',#211670); #62661=VERTEX_POINT('',#211672); #62662=VERTEX_POINT('',#211676); #62663=VERTEX_POINT('',#211678); #62664=VERTEX_POINT('',#211680); #62665=VERTEX_POINT('',#211682); #62666=VERTEX_POINT('',#211686); #62667=VERTEX_POINT('',#211687); #62668=VERTEX_POINT('',#211689); #62669=VERTEX_POINT('',#211691); #62670=VERTEX_POINT('',#211696); #62671=VERTEX_POINT('',#211698); #62672=VERTEX_POINT('',#211700); #62673=VERTEX_POINT('',#211704); #62674=VERTEX_POINT('',#211706); #62675=VERTEX_POINT('',#211708); #62676=VERTEX_POINT('',#211712); #62677=VERTEX_POINT('',#211714); #62678=VERTEX_POINT('',#211716); #62679=VERTEX_POINT('',#211720); #62680=VERTEX_POINT('',#211728); #62681=VERTEX_POINT('',#211730); #62682=VERTEX_POINT('',#211734); #62683=VERTEX_POINT('',#211736); #62684=VERTEX_POINT('',#211740); #62685=VERTEX_POINT('',#211742); #62686=VERTEX_POINT('',#211744); #62687=VERTEX_POINT('',#211746); #62688=VERTEX_POINT('',#211748); #62689=VERTEX_POINT('',#211750); #62690=VERTEX_POINT('',#211752); #62691=VERTEX_POINT('',#211754); #62692=VERTEX_POINT('',#211756); #62693=VERTEX_POINT('',#211758); #62694=VERTEX_POINT('',#211760); #62695=VERTEX_POINT('',#211762); #62696=VERTEX_POINT('',#211765); #62697=VERTEX_POINT('',#211766); #62698=VERTEX_POINT('',#211768); #62699=VERTEX_POINT('',#211770); #62700=VERTEX_POINT('',#211772); #62701=VERTEX_POINT('',#211774); #62702=VERTEX_POINT('',#211776); #62703=VERTEX_POINT('',#211778); #62704=VERTEX_POINT('',#211782); #62705=VERTEX_POINT('',#211784); #62706=VERTEX_POINT('',#211786); #62707=VERTEX_POINT('',#211788); #62708=VERTEX_POINT('',#211790); #62709=VERTEX_POINT('',#211792); #62710=VERTEX_POINT('',#211794); #62711=VERTEX_POINT('',#211796); #62712=VERTEX_POINT('',#211798); #62713=VERTEX_POINT('',#211800); #62714=VERTEX_POINT('',#211802); #62715=VERTEX_POINT('',#211804); #62716=VERTEX_POINT('',#211807); #62717=VERTEX_POINT('',#211809); #62718=VERTEX_POINT('',#211811); #62719=VERTEX_POINT('',#211813); #62720=VERTEX_POINT('',#211815); #62721=VERTEX_POINT('',#211817); #62722=VERTEX_POINT('',#211819); #62723=VERTEX_POINT('',#211821); #62724=VERTEX_POINT('',#211823); #62725=VERTEX_POINT('',#211825); #62726=VERTEX_POINT('',#211827); #62727=VERTEX_POINT('',#211829); #62728=VERTEX_POINT('',#211833); #62729=VERTEX_POINT('',#211834); #62730=VERTEX_POINT('',#211839); #62731=VERTEX_POINT('',#211843); #62732=VERTEX_POINT('',#211844); #62733=VERTEX_POINT('',#211850); #62734=VERTEX_POINT('',#211852); #62735=VERTEX_POINT('',#211854); #62736=VERTEX_POINT('',#211891); #62737=VERTEX_POINT('',#211893); #62738=VERTEX_POINT('',#211897); #62739=VERTEX_POINT('',#211901); #62740=VERTEX_POINT('',#211905); #62741=VERTEX_POINT('',#211907); #62742=VERTEX_POINT('',#211913); #62743=VERTEX_POINT('',#211917); #62744=VERTEX_POINT('',#211921); #62745=VERTEX_POINT('',#211925); #62746=VERTEX_POINT('',#211931); #62747=VERTEX_POINT('',#211935); #62748=VERTEX_POINT('',#211941); #62749=VERTEX_POINT('',#211942); #62750=VERTEX_POINT('',#211944); #62751=VERTEX_POINT('',#211946); #62752=VERTEX_POINT('',#211950); #62753=VERTEX_POINT('',#211952); #62754=VERTEX_POINT('',#211956); #62755=VERTEX_POINT('',#211957); #62756=VERTEX_POINT('',#211959); #62757=VERTEX_POINT('',#211961); #62758=VERTEX_POINT('',#211965); #62759=VERTEX_POINT('',#211967); #62760=VERTEX_POINT('',#211971); #62761=VERTEX_POINT('',#211972); #62762=VERTEX_POINT('',#211974); #62763=VERTEX_POINT('',#211976); #62764=VERTEX_POINT('',#211980); #62765=VERTEX_POINT('',#211982); #62766=VERTEX_POINT('',#211986); #62767=VERTEX_POINT('',#211987); #62768=VERTEX_POINT('',#211989); #62769=VERTEX_POINT('',#211991); #62770=VERTEX_POINT('',#211996); #62771=VERTEX_POINT('',#211998); #62772=VERTEX_POINT('',#212000); #62773=VERTEX_POINT('',#212004); #62774=VERTEX_POINT('',#212008); #62775=VERTEX_POINT('',#212012); #62776=VERTEX_POINT('',#212018); #62777=VERTEX_POINT('',#212020); #62778=VERTEX_POINT('',#212026); #62779=VERTEX_POINT('',#212028); #62780=VERTEX_POINT('',#212032); #62781=VERTEX_POINT('',#212034); #62782=VERTEX_POINT('',#212040); #62783=VERTEX_POINT('',#212042); #62784=VERTEX_POINT('',#212048); #62785=VERTEX_POINT('',#212050); #62786=VERTEX_POINT('',#212054); #62787=VERTEX_POINT('',#212056); #62788=VERTEX_POINT('',#212062); #62789=VERTEX_POINT('',#212064); #62790=VERTEX_POINT('',#212066); #62791=VERTEX_POINT('',#212068); #62792=VERTEX_POINT('',#212070); #62793=VERTEX_POINT('',#212072); #62794=VERTEX_POINT('',#212074); #62795=VERTEX_POINT('',#212076); #62796=VERTEX_POINT('',#212078); #62797=VERTEX_POINT('',#212080); #62798=VERTEX_POINT('',#212082); #62799=VERTEX_POINT('',#212084); #62800=VERTEX_POINT('',#212086); #62801=VERTEX_POINT('',#212088); #62802=VERTEX_POINT('',#212090); #62803=VERTEX_POINT('',#212092); #62804=VERTEX_POINT('',#212094); #62805=VERTEX_POINT('',#212096); #62806=VERTEX_POINT('',#212098); #62807=VERTEX_POINT('',#212100); #62808=VERTEX_POINT('',#212102); #62809=VERTEX_POINT('',#212104); #62810=VERTEX_POINT('',#212106); #62811=VERTEX_POINT('',#212108); #62812=VERTEX_POINT('',#212116); #62813=VERTEX_POINT('',#212118); #62814=VERTEX_POINT('',#212120); #62815=VERTEX_POINT('',#212122); #62816=VERTEX_POINT('',#212124); #62817=VERTEX_POINT('',#212126); #62818=VERTEX_POINT('',#212128); #62819=VERTEX_POINT('',#212130); #62820=VERTEX_POINT('',#212132); #62821=VERTEX_POINT('',#212134); #62822=VERTEX_POINT('',#212136); #62823=VERTEX_POINT('',#212138); #62824=VERTEX_POINT('',#212140); #62825=VERTEX_POINT('',#212142); #62826=VERTEX_POINT('',#212144); #62827=VERTEX_POINT('',#212146); #62828=VERTEX_POINT('',#212148); #62829=VERTEX_POINT('',#212150); #62830=VERTEX_POINT('',#212152); #62831=VERTEX_POINT('',#212154); #62832=VERTEX_POINT('',#212156); #62833=VERTEX_POINT('',#212158); #62834=VERTEX_POINT('',#212160); #62835=VERTEX_POINT('',#212162); #62836=VERTEX_POINT('',#212165); #62837=VERTEX_POINT('',#212167); #62838=VERTEX_POINT('',#212172); #62839=VERTEX_POINT('',#212176); #62840=VERTEX_POINT('',#212179); #62841=VERTEX_POINT('',#212183); #62842=VERTEX_POINT('',#212186); #62843=VERTEX_POINT('',#212190); #62844=VERTEX_POINT('',#212194); #62845=VERTEX_POINT('',#212195); #62846=VERTEX_POINT('',#212200); #62847=VERTEX_POINT('',#212204); #62848=VERTEX_POINT('',#212208); #62849=VERTEX_POINT('',#212212); #62850=VERTEX_POINT('',#212216); #62851=VERTEX_POINT('',#212218); #62852=VERTEX_POINT('',#212222); #62853=VERTEX_POINT('',#212226); #62854=VERTEX_POINT('',#212230); #62855=VERTEX_POINT('',#212234); #62856=VERTEX_POINT('',#212238); #62857=VERTEX_POINT('',#212242); #62858=VERTEX_POINT('',#212246); #62859=VERTEX_POINT('',#212250); #62860=VERTEX_POINT('',#212252); #62861=VERTEX_POINT('',#212256); #62862=VERTEX_POINT('',#212260); #62863=VERTEX_POINT('',#212262); #62864=VERTEX_POINT('',#212266); #62865=VERTEX_POINT('',#212272); #62866=VERTEX_POINT('',#212274); #62867=VERTEX_POINT('',#212278); #62868=VERTEX_POINT('',#212280); #62869=VERTEX_POINT('',#212284); #62870=VERTEX_POINT('',#212288); #62871=VERTEX_POINT('',#212294); #62872=VERTEX_POINT('',#212296); #62873=VERTEX_POINT('',#212300); #62874=VERTEX_POINT('',#212304); #62875=VERTEX_POINT('',#212306); #62876=VERTEX_POINT('',#212310); #62877=VERTEX_POINT('',#212316); #62878=VERTEX_POINT('',#212318); #62879=VERTEX_POINT('',#212322); #62880=VERTEX_POINT('',#212324); #62881=VERTEX_POINT('',#212328); #62882=VERTEX_POINT('',#212332); #62883=VERTEX_POINT('',#212338); #62884=VERTEX_POINT('',#212340); #62885=VERTEX_POINT('',#212344); #62886=VERTEX_POINT('',#212350); #62887=VERTEX_POINT('',#212352); #62888=VERTEX_POINT('',#212356); #62889=VERTEX_POINT('',#212358); #62890=VERTEX_POINT('',#212362); #62891=VERTEX_POINT('',#212366); #62892=VERTEX_POINT('',#212390); #62893=VERTEX_POINT('',#212391); #62894=VERTEX_POINT('',#212393); #62895=VERTEX_POINT('',#212395); #62896=VERTEX_POINT('',#212399); #62897=VERTEX_POINT('',#212401); #62898=VERTEX_POINT('',#212405); #62899=VERTEX_POINT('',#212407); #62900=VERTEX_POINT('',#212411); #62901=VERTEX_POINT('',#212413); #62902=VERTEX_POINT('',#212417); #62903=VERTEX_POINT('',#212419); #62904=VERTEX_POINT('',#212423); #62905=VERTEX_POINT('',#212425); #62906=VERTEX_POINT('',#212432); #62907=VERTEX_POINT('',#212433); #62908=VERTEX_POINT('',#212435); #62909=VERTEX_POINT('',#212437); #62910=VERTEX_POINT('',#212441); #62911=VERTEX_POINT('',#212443); #62912=VERTEX_POINT('',#212447); #62913=VERTEX_POINT('',#212449); #62914=VERTEX_POINT('',#212453); #62915=VERTEX_POINT('',#212455); #62916=VERTEX_POINT('',#212459); #62917=VERTEX_POINT('',#212461); #62918=VERTEX_POINT('',#212465); #62919=VERTEX_POINT('',#212467); #62920=VERTEX_POINT('',#212471); #62921=VERTEX_POINT('',#212473); #62922=VERTEX_POINT('',#212477); #62923=VERTEX_POINT('',#212479); #62924=VERTEX_POINT('',#212483); #62925=VERTEX_POINT('',#212485); #62926=VERTEX_POINT('',#212489); #62927=VERTEX_POINT('',#212491); #62928=VERTEX_POINT('',#212495); #62929=VERTEX_POINT('',#212497); #62930=VERTEX_POINT('',#212501); #62931=VERTEX_POINT('',#212503); #62932=VERTEX_POINT('',#212507); #62933=VERTEX_POINT('',#212509); #62934=VERTEX_POINT('',#212513); #62935=VERTEX_POINT('',#212515); #62936=VERTEX_POINT('',#212519); #62937=VERTEX_POINT('',#212521); #62938=VERTEX_POINT('',#212525); #62939=VERTEX_POINT('',#212527); #62940=VERTEX_POINT('',#212531); #62941=VERTEX_POINT('',#212533); #62942=VERTEX_POINT('',#212537); #62943=VERTEX_POINT('',#212539); #62944=VERTEX_POINT('',#212543); #62945=VERTEX_POINT('',#212545); #62946=VERTEX_POINT('',#212549); #62947=VERTEX_POINT('',#212551); #62948=VERTEX_POINT('',#212555); #62949=VERTEX_POINT('',#212557); #62950=VERTEX_POINT('',#212561); #62951=VERTEX_POINT('',#212563); #62952=VERTEX_POINT('',#212567); #62953=VERTEX_POINT('',#212569); #62954=VERTEX_POINT('',#212573); #62955=VERTEX_POINT('',#212575); #62956=VERTEX_POINT('',#212579); #62957=VERTEX_POINT('',#212581); #62958=VERTEX_POINT('',#212585); #62959=VERTEX_POINT('',#212587); #62960=VERTEX_POINT('',#212591); #62961=VERTEX_POINT('',#212593); #62962=VERTEX_POINT('',#212597); #62963=VERTEX_POINT('',#212599); #62964=VERTEX_POINT('',#212603); #62965=VERTEX_POINT('',#212605); #62966=VERTEX_POINT('',#212609); #62967=VERTEX_POINT('',#212611); #62968=VERTEX_POINT('',#212615); #62969=VERTEX_POINT('',#212617); #62970=VERTEX_POINT('',#212621); #62971=VERTEX_POINT('',#212623); #62972=VERTEX_POINT('',#212627); #62973=VERTEX_POINT('',#212629); #62974=VERTEX_POINT('',#212633); #62975=VERTEX_POINT('',#212635); #62976=VERTEX_POINT('',#212639); #62977=VERTEX_POINT('',#212641); #62978=VERTEX_POINT('',#212645); #62979=VERTEX_POINT('',#212647); #62980=VERTEX_POINT('',#212651); #62981=VERTEX_POINT('',#212653); #62982=VERTEX_POINT('',#212657); #62983=VERTEX_POINT('',#212659); #62984=VERTEX_POINT('',#212663); #62985=VERTEX_POINT('',#212665); #62986=VERTEX_POINT('',#212669); #62987=VERTEX_POINT('',#212671); #62988=VERTEX_POINT('',#212675); #62989=VERTEX_POINT('',#212677); #62990=VERTEX_POINT('',#212681); #62991=VERTEX_POINT('',#212683); #62992=VERTEX_POINT('',#212687); #62993=VERTEX_POINT('',#212689); #62994=VERTEX_POINT('',#212693); #62995=VERTEX_POINT('',#212695); #62996=VERTEX_POINT('',#212699); #62997=VERTEX_POINT('',#212701); #62998=VERTEX_POINT('',#212705); #62999=VERTEX_POINT('',#212707); #63000=VERTEX_POINT('',#212711); #63001=VERTEX_POINT('',#212713); #63002=VERTEX_POINT('',#212717); #63003=VERTEX_POINT('',#212719); #63004=VERTEX_POINT('',#212723); #63005=VERTEX_POINT('',#212725); #63006=VERTEX_POINT('',#212729); #63007=VERTEX_POINT('',#212731); #63008=VERTEX_POINT('',#212735); #63009=VERTEX_POINT('',#212737); #63010=VERTEX_POINT('',#212741); #63011=VERTEX_POINT('',#212743); #63012=VERTEX_POINT('',#212747); #63013=VERTEX_POINT('',#212749); #63014=VERTEX_POINT('',#212753); #63015=VERTEX_POINT('',#212755); #63016=VERTEX_POINT('',#212759); #63017=VERTEX_POINT('',#212761); #63018=VERTEX_POINT('',#212765); #63019=VERTEX_POINT('',#212767); #63020=VERTEX_POINT('',#212771); #63021=VERTEX_POINT('',#212773); #63022=VERTEX_POINT('',#212777); #63023=VERTEX_POINT('',#212779); #63024=VERTEX_POINT('',#212783); #63025=VERTEX_POINT('',#212785); #63026=VERTEX_POINT('',#212789); #63027=VERTEX_POINT('',#212791); #63028=VERTEX_POINT('',#212795); #63029=VERTEX_POINT('',#212797); #63030=VERTEX_POINT('',#212801); #63031=VERTEX_POINT('',#212803); #63032=VERTEX_POINT('',#212807); #63033=VERTEX_POINT('',#212809); #63034=VERTEX_POINT('',#212813); #63035=VERTEX_POINT('',#212815); #63036=VERTEX_POINT('',#212819); #63037=VERTEX_POINT('',#212821); #63038=VERTEX_POINT('',#212825); #63039=VERTEX_POINT('',#212827); #63040=VERTEX_POINT('',#212831); #63041=VERTEX_POINT('',#212833); #63042=VERTEX_POINT('',#212837); #63043=VERTEX_POINT('',#212839); #63044=VERTEX_POINT('',#212843); #63045=VERTEX_POINT('',#212845); #63046=VERTEX_POINT('',#212849); #63047=VERTEX_POINT('',#212851); #63048=VERTEX_POINT('',#212855); #63049=VERTEX_POINT('',#212857); #63050=VERTEX_POINT('',#212861); #63051=VERTEX_POINT('',#212863); #63052=VERTEX_POINT('',#212867); #63053=VERTEX_POINT('',#212869); #63054=VERTEX_POINT('',#212873); #63055=VERTEX_POINT('',#212875); #63056=VERTEX_POINT('',#212879); #63057=VERTEX_POINT('',#212881); #63058=VERTEX_POINT('',#212885); #63059=VERTEX_POINT('',#212887); #63060=VERTEX_POINT('',#212891); #63061=VERTEX_POINT('',#212893); #63062=VERTEX_POINT('',#212897); #63063=VERTEX_POINT('',#212899); #63064=VERTEX_POINT('',#212903); #63065=VERTEX_POINT('',#212905); #63066=VERTEX_POINT('',#212909); #63067=VERTEX_POINT('',#212911); #63068=VERTEX_POINT('',#212915); #63069=VERTEX_POINT('',#212917); #63070=VERTEX_POINT('',#212921); #63071=VERTEX_POINT('',#212923); #63072=VERTEX_POINT('',#212927); #63073=VERTEX_POINT('',#212929); #63074=VERTEX_POINT('',#212933); #63075=VERTEX_POINT('',#212935); #63076=VERTEX_POINT('',#212939); #63077=VERTEX_POINT('',#212941); #63078=VERTEX_POINT('',#212945); #63079=VERTEX_POINT('',#212947); #63080=VERTEX_POINT('',#212951); #63081=VERTEX_POINT('',#212953); #63082=VERTEX_POINT('',#212957); #63083=VERTEX_POINT('',#212959); #63084=VERTEX_POINT('',#212963); #63085=VERTEX_POINT('',#212965); #63086=VERTEX_POINT('',#212969); #63087=VERTEX_POINT('',#212971); #63088=VERTEX_POINT('',#212975); #63089=VERTEX_POINT('',#212977); #63090=VERTEX_POINT('',#212981); #63091=VERTEX_POINT('',#212983); #63092=VERTEX_POINT('',#212987); #63093=VERTEX_POINT('',#212989); #63094=VERTEX_POINT('',#212993); #63095=VERTEX_POINT('',#212995); #63096=VERTEX_POINT('',#212999); #63097=VERTEX_POINT('',#213001); #63098=VERTEX_POINT('',#213005); #63099=VERTEX_POINT('',#213007); #63100=VERTEX_POINT('',#213011); #63101=VERTEX_POINT('',#213013); #63102=VERTEX_POINT('',#213017); #63103=VERTEX_POINT('',#213019); #63104=VERTEX_POINT('',#213023); #63105=VERTEX_POINT('',#213025); #63106=VERTEX_POINT('',#213029); #63107=VERTEX_POINT('',#213031); #63108=VERTEX_POINT('',#213035); #63109=VERTEX_POINT('',#213037); #63110=VERTEX_POINT('',#213041); #63111=VERTEX_POINT('',#213043); #63112=VERTEX_POINT('',#213047); #63113=VERTEX_POINT('',#213049); #63114=VERTEX_POINT('',#213053); #63115=VERTEX_POINT('',#213055); #63116=VERTEX_POINT('',#213059); #63117=VERTEX_POINT('',#213061); #63118=VERTEX_POINT('',#213065); #63119=VERTEX_POINT('',#213067); #63120=VERTEX_POINT('',#213071); #63121=VERTEX_POINT('',#213073); #63122=VERTEX_POINT('',#213077); #63123=VERTEX_POINT('',#213079); #63124=VERTEX_POINT('',#213083); #63125=VERTEX_POINT('',#213085); #63126=VERTEX_POINT('',#213089); #63127=VERTEX_POINT('',#213091); #63128=VERTEX_POINT('',#213095); #63129=VERTEX_POINT('',#213097); #63130=VERTEX_POINT('',#213105); #63131=VERTEX_POINT('',#213106); #63132=VERTEX_POINT('',#213108); #63133=VERTEX_POINT('',#213110); #63134=VERTEX_POINT('',#213114); #63135=VERTEX_POINT('',#213116); #63136=VERTEX_POINT('',#213120); #63137=VERTEX_POINT('',#213122); #63138=VERTEX_POINT('',#213126); #63139=VERTEX_POINT('',#213128); #63140=VERTEX_POINT('',#213132); #63141=VERTEX_POINT('',#213134); #63142=VERTEX_POINT('',#213138); #63143=VERTEX_POINT('',#213140); #63144=VERTEX_POINT('',#213144); #63145=VERTEX_POINT('',#213146); #63146=VERTEX_POINT('',#213150); #63147=VERTEX_POINT('',#213152); #63148=VERTEX_POINT('',#213156); #63149=VERTEX_POINT('',#213158); #63150=VERTEX_POINT('',#213162); #63151=VERTEX_POINT('',#213164); #63152=VERTEX_POINT('',#213171); #63153=VERTEX_POINT('',#213172); #63154=VERTEX_POINT('',#213174); #63155=VERTEX_POINT('',#213176); #63156=VERTEX_POINT('',#213180); #63157=VERTEX_POINT('',#213182); #63158=VERTEX_POINT('',#213186); #63159=VERTEX_POINT('',#213188); #63160=VERTEX_POINT('',#213192); #63161=VERTEX_POINT('',#213194); #63162=VERTEX_POINT('',#213198); #63163=VERTEX_POINT('',#213200); #63164=VERTEX_POINT('',#213204); #63165=VERTEX_POINT('',#213206); #63166=VERTEX_POINT('',#213210); #63167=VERTEX_POINT('',#213212); #63168=VERTEX_POINT('',#213216); #63169=VERTEX_POINT('',#213218); #63170=VERTEX_POINT('',#213222); #63171=VERTEX_POINT('',#213224); #63172=VERTEX_POINT('',#213228); #63173=VERTEX_POINT('',#213230); #63174=VERTEX_POINT('',#213234); #63175=VERTEX_POINT('',#213236); #63176=VERTEX_POINT('',#213240); #63177=VERTEX_POINT('',#213242); #63178=VERTEX_POINT('',#213246); #63179=VERTEX_POINT('',#213248); #63180=VERTEX_POINT('',#213252); #63181=VERTEX_POINT('',#213254); #63182=VERTEX_POINT('',#213258); #63183=VERTEX_POINT('',#213260); #63184=VERTEX_POINT('',#213264); #63185=VERTEX_POINT('',#213266); #63186=VERTEX_POINT('',#213270); #63187=VERTEX_POINT('',#213272); #63188=VERTEX_POINT('',#213276); #63189=VERTEX_POINT('',#213278); #63190=VERTEX_POINT('',#213282); #63191=VERTEX_POINT('',#213284); #63192=VERTEX_POINT('',#213288); #63193=VERTEX_POINT('',#213290); #63194=VERTEX_POINT('',#213294); #63195=VERTEX_POINT('',#213296); #63196=VERTEX_POINT('',#213300); #63197=VERTEX_POINT('',#213302); #63198=VERTEX_POINT('',#213306); #63199=VERTEX_POINT('',#213308); #63200=VERTEX_POINT('',#213312); #63201=VERTEX_POINT('',#213314); #63202=VERTEX_POINT('',#213318); #63203=VERTEX_POINT('',#213320); #63204=VERTEX_POINT('',#213324); #63205=VERTEX_POINT('',#213326); #63206=VERTEX_POINT('',#213330); #63207=VERTEX_POINT('',#213332); #63208=VERTEX_POINT('',#213336); #63209=VERTEX_POINT('',#213338); #63210=VERTEX_POINT('',#213342); #63211=VERTEX_POINT('',#213344); #63212=VERTEX_POINT('',#213348); #63213=VERTEX_POINT('',#213350); #63214=VERTEX_POINT('',#213354); #63215=VERTEX_POINT('',#213356); #63216=VERTEX_POINT('',#213360); #63217=VERTEX_POINT('',#213362); #63218=VERTEX_POINT('',#213366); #63219=VERTEX_POINT('',#213368); #63220=VERTEX_POINT('',#213372); #63221=VERTEX_POINT('',#213374); #63222=VERTEX_POINT('',#213378); #63223=VERTEX_POINT('',#213380); #63224=VERTEX_POINT('',#213384); #63225=VERTEX_POINT('',#213386); #63226=VERTEX_POINT('',#213390); #63227=VERTEX_POINT('',#213392); #63228=VERTEX_POINT('',#213396); #63229=VERTEX_POINT('',#213398); #63230=VERTEX_POINT('',#213402); #63231=VERTEX_POINT('',#213404); #63232=VERTEX_POINT('',#213408); #63233=VERTEX_POINT('',#213410); #63234=VERTEX_POINT('',#213414); #63235=VERTEX_POINT('',#213416); #63236=VERTEX_POINT('',#213420); #63237=VERTEX_POINT('',#213422); #63238=VERTEX_POINT('',#213426); #63239=VERTEX_POINT('',#213428); #63240=VERTEX_POINT('',#213432); #63241=VERTEX_POINT('',#213434); #63242=VERTEX_POINT('',#213438); #63243=VERTEX_POINT('',#213440); #63244=VERTEX_POINT('',#213444); #63245=VERTEX_POINT('',#213446); #63246=VERTEX_POINT('',#213450); #63247=VERTEX_POINT('',#213452); #63248=VERTEX_POINT('',#213456); #63249=VERTEX_POINT('',#213458); #63250=VERTEX_POINT('',#213462); #63251=VERTEX_POINT('',#213464); #63252=VERTEX_POINT('',#213468); #63253=VERTEX_POINT('',#213470); #63254=VERTEX_POINT('',#213474); #63255=VERTEX_POINT('',#213476); #63256=VERTEX_POINT('',#213480); #63257=VERTEX_POINT('',#213482); #63258=VERTEX_POINT('',#213486); #63259=VERTEX_POINT('',#213488); #63260=VERTEX_POINT('',#213492); #63261=VERTEX_POINT('',#213494); #63262=VERTEX_POINT('',#213498); #63263=VERTEX_POINT('',#213500); #63264=VERTEX_POINT('',#213504); #63265=VERTEX_POINT('',#213506); #63266=VERTEX_POINT('',#213510); #63267=VERTEX_POINT('',#213512); #63268=VERTEX_POINT('',#213516); #63269=VERTEX_POINT('',#213518); #63270=VERTEX_POINT('',#213522); #63271=VERTEX_POINT('',#213524); #63272=VERTEX_POINT('',#213528); #63273=VERTEX_POINT('',#213530); #63274=VERTEX_POINT('',#213534); #63275=VERTEX_POINT('',#213536); #63276=VERTEX_POINT('',#213540); #63277=VERTEX_POINT('',#213542); #63278=VERTEX_POINT('',#213546); #63279=VERTEX_POINT('',#213548); #63280=VERTEX_POINT('',#213552); #63281=VERTEX_POINT('',#213554); #63282=VERTEX_POINT('',#213558); #63283=VERTEX_POINT('',#213560); #63284=VERTEX_POINT('',#213564); #63285=VERTEX_POINT('',#213566); #63286=VERTEX_POINT('',#213570); #63287=VERTEX_POINT('',#213572); #63288=VERTEX_POINT('',#213576); #63289=VERTEX_POINT('',#213578); #63290=VERTEX_POINT('',#213582); #63291=VERTEX_POINT('',#213584); #63292=VERTEX_POINT('',#213588); #63293=VERTEX_POINT('',#213590); #63294=VERTEX_POINT('',#213594); #63295=VERTEX_POINT('',#213596); #63296=VERTEX_POINT('',#213600); #63297=VERTEX_POINT('',#213602); #63298=VERTEX_POINT('',#213606); #63299=VERTEX_POINT('',#213608); #63300=VERTEX_POINT('',#213612); #63301=VERTEX_POINT('',#213614); #63302=VERTEX_POINT('',#213618); #63303=VERTEX_POINT('',#213620); #63304=VERTEX_POINT('',#213624); #63305=VERTEX_POINT('',#213626); #63306=VERTEX_POINT('',#213630); #63307=VERTEX_POINT('',#213632); #63308=VERTEX_POINT('',#213636); #63309=VERTEX_POINT('',#213638); #63310=VERTEX_POINT('',#213642); #63311=VERTEX_POINT('',#213644); #63312=VERTEX_POINT('',#213648); #63313=VERTEX_POINT('',#213650); #63314=VERTEX_POINT('',#213654); #63315=VERTEX_POINT('',#213656); #63316=VERTEX_POINT('',#213660); #63317=VERTEX_POINT('',#213662); #63318=VERTEX_POINT('',#213666); #63319=VERTEX_POINT('',#213668); #63320=VERTEX_POINT('',#213672); #63321=VERTEX_POINT('',#213674); #63322=VERTEX_POINT('',#213678); #63323=VERTEX_POINT('',#213680); #63324=VERTEX_POINT('',#213684); #63325=VERTEX_POINT('',#213686); #63326=VERTEX_POINT('',#213690); #63327=VERTEX_POINT('',#213692); #63328=VERTEX_POINT('',#213696); #63329=VERTEX_POINT('',#213698); #63330=VERTEX_POINT('',#213702); #63331=VERTEX_POINT('',#213704); #63332=VERTEX_POINT('',#213708); #63333=VERTEX_POINT('',#213710); #63334=VERTEX_POINT('',#213718); #63335=VERTEX_POINT('',#213719); #63336=VERTEX_POINT('',#213721); #63337=VERTEX_POINT('',#213723); #63338=VERTEX_POINT('',#213727); #63339=VERTEX_POINT('',#213729); #63340=VERTEX_POINT('',#213733); #63341=VERTEX_POINT('',#213735); #63342=VERTEX_POINT('',#213739); #63343=VERTEX_POINT('',#213741); #63344=VERTEX_POINT('',#213749); #63345=VERTEX_POINT('',#213750); #63346=VERTEX_POINT('',#213752); #63347=VERTEX_POINT('',#213754); #63348=VERTEX_POINT('',#213758); #63349=VERTEX_POINT('',#213760); #63350=VERTEX_POINT('',#213764); #63351=VERTEX_POINT('',#213766); #63352=VERTEX_POINT('',#213770); #63353=VERTEX_POINT('',#213772); #63354=VERTEX_POINT('',#213776); #63355=VERTEX_POINT('',#213778); #63356=VERTEX_POINT('',#213782); #63357=VERTEX_POINT('',#213784); #63358=VERTEX_POINT('',#213788); #63359=VERTEX_POINT('',#213790); #63360=VERTEX_POINT('',#213794); #63361=VERTEX_POINT('',#213796); #63362=VERTEX_POINT('',#213800); #63363=VERTEX_POINT('',#213802); #63364=VERTEX_POINT('',#213806); #63365=VERTEX_POINT('',#213808); #63366=VERTEX_POINT('',#213812); #63367=VERTEX_POINT('',#213814); #63368=VERTEX_POINT('',#213818); #63369=VERTEX_POINT('',#213820); #63370=VERTEX_POINT('',#213824); #63371=VERTEX_POINT('',#213826); #63372=VERTEX_POINT('',#213830); #63373=VERTEX_POINT('',#213832); #63374=VERTEX_POINT('',#213836); #63375=VERTEX_POINT('',#213838); #63376=VERTEX_POINT('',#213842); #63377=VERTEX_POINT('',#213844); #63378=VERTEX_POINT('',#213848); #63379=VERTEX_POINT('',#213850); #63380=VERTEX_POINT('',#213854); #63381=VERTEX_POINT('',#213856); #63382=VERTEX_POINT('',#213860); #63383=VERTEX_POINT('',#213862); #63384=VERTEX_POINT('',#213866); #63385=VERTEX_POINT('',#213868); #63386=VERTEX_POINT('',#213872); #63387=VERTEX_POINT('',#213874); #63388=VERTEX_POINT('',#213878); #63389=VERTEX_POINT('',#213880); #63390=VERTEX_POINT('',#213884); #63391=VERTEX_POINT('',#213886); #63392=VERTEX_POINT('',#213890); #63393=VERTEX_POINT('',#213892); #63394=VERTEX_POINT('',#213896); #63395=VERTEX_POINT('',#213898); #63396=VERTEX_POINT('',#213902); #63397=VERTEX_POINT('',#213904); #63398=VERTEX_POINT('',#213908); #63399=VERTEX_POINT('',#213910); #63400=VERTEX_POINT('',#213914); #63401=VERTEX_POINT('',#213916); #63402=VERTEX_POINT('',#213920); #63403=VERTEX_POINT('',#213922); #63404=VERTEX_POINT('',#213926); #63405=VERTEX_POINT('',#213928); #63406=VERTEX_POINT('',#213932); #63407=VERTEX_POINT('',#213934); #63408=VERTEX_POINT('',#213938); #63409=VERTEX_POINT('',#213940); #63410=VERTEX_POINT('',#213944); #63411=VERTEX_POINT('',#213946); #63412=VERTEX_POINT('',#213950); #63413=VERTEX_POINT('',#213952); #63414=VERTEX_POINT('',#213956); #63415=VERTEX_POINT('',#213958); #63416=VERTEX_POINT('',#213962); #63417=VERTEX_POINT('',#213964); #63418=VERTEX_POINT('',#213968); #63419=VERTEX_POINT('',#213970); #63420=VERTEX_POINT('',#213974); #63421=VERTEX_POINT('',#213976); #63422=VERTEX_POINT('',#213980); #63423=VERTEX_POINT('',#213982); #63424=VERTEX_POINT('',#213986); #63425=VERTEX_POINT('',#213988); #63426=VERTEX_POINT('',#213992); #63427=VERTEX_POINT('',#213994); #63428=VERTEX_POINT('',#213998); #63429=VERTEX_POINT('',#214000); #63430=VERTEX_POINT('',#214004); #63431=VERTEX_POINT('',#214006); #63432=VERTEX_POINT('',#214010); #63433=VERTEX_POINT('',#214012); #63434=VERTEX_POINT('',#214016); #63435=VERTEX_POINT('',#214018); #63436=VERTEX_POINT('',#214022); #63437=VERTEX_POINT('',#214024); #63438=VERTEX_POINT('',#214028); #63439=VERTEX_POINT('',#214030); #63440=VERTEX_POINT('',#214034); #63441=VERTEX_POINT('',#214036); #63442=VERTEX_POINT('',#214040); #63443=VERTEX_POINT('',#214042); #63444=VERTEX_POINT('',#214046); #63445=VERTEX_POINT('',#214048); #63446=VERTEX_POINT('',#214052); #63447=VERTEX_POINT('',#214054); #63448=VERTEX_POINT('',#214058); #63449=VERTEX_POINT('',#214060); #63450=VERTEX_POINT('',#214064); #63451=VERTEX_POINT('',#214066); #63452=VERTEX_POINT('',#214070); #63453=VERTEX_POINT('',#214072); #63454=VERTEX_POINT('',#214076); #63455=VERTEX_POINT('',#214078); #63456=VERTEX_POINT('',#214082); #63457=VERTEX_POINT('',#214084); #63458=VERTEX_POINT('',#214088); #63459=VERTEX_POINT('',#214090); #63460=VERTEX_POINT('',#214094); #63461=VERTEX_POINT('',#214096); #63462=VERTEX_POINT('',#214100); #63463=VERTEX_POINT('',#214102); #63464=VERTEX_POINT('',#214106); #63465=VERTEX_POINT('',#214108); #63466=VERTEX_POINT('',#214112); #63467=VERTEX_POINT('',#214114); #63468=VERTEX_POINT('',#214118); #63469=VERTEX_POINT('',#214120); #63470=VERTEX_POINT('',#214124); #63471=VERTEX_POINT('',#214126); #63472=VERTEX_POINT('',#214130); #63473=VERTEX_POINT('',#214132); #63474=VERTEX_POINT('',#214136); #63475=VERTEX_POINT('',#214138); #63476=VERTEX_POINT('',#214142); #63477=VERTEX_POINT('',#214144); #63478=VERTEX_POINT('',#214148); #63479=VERTEX_POINT('',#214150); #63480=VERTEX_POINT('',#214154); #63481=VERTEX_POINT('',#214156); #63482=VERTEX_POINT('',#214160); #63483=VERTEX_POINT('',#214162); #63484=VERTEX_POINT('',#214166); #63485=VERTEX_POINT('',#214168); #63486=VERTEX_POINT('',#214172); #63487=VERTEX_POINT('',#214174); #63488=VERTEX_POINT('',#214178); #63489=VERTEX_POINT('',#214180); #63490=VERTEX_POINT('',#214184); #63491=VERTEX_POINT('',#214186); #63492=VERTEX_POINT('',#214190); #63493=VERTEX_POINT('',#214192); #63494=VERTEX_POINT('',#214196); #63495=VERTEX_POINT('',#214198); #63496=VERTEX_POINT('',#214202); #63497=VERTEX_POINT('',#214204); #63498=VERTEX_POINT('',#214208); #63499=VERTEX_POINT('',#214210); #63500=VERTEX_POINT('',#214214); #63501=VERTEX_POINT('',#214216); #63502=VERTEX_POINT('',#214220); #63503=VERTEX_POINT('',#214222); #63504=VERTEX_POINT('',#214226); #63505=VERTEX_POINT('',#214228); #63506=VERTEX_POINT('',#214232); #63507=VERTEX_POINT('',#214234); #63508=VERTEX_POINT('',#214238); #63509=VERTEX_POINT('',#214240); #63510=VERTEX_POINT('',#214244); #63511=VERTEX_POINT('',#214246); #63512=VERTEX_POINT('',#214250); #63513=VERTEX_POINT('',#214252); #63514=VERTEX_POINT('',#214256); #63515=VERTEX_POINT('',#214258); #63516=VERTEX_POINT('',#214262); #63517=VERTEX_POINT('',#214264); #63518=VERTEX_POINT('',#214268); #63519=VERTEX_POINT('',#214270); #63520=VERTEX_POINT('',#214274); #63521=VERTEX_POINT('',#214276); #63522=VERTEX_POINT('',#214280); #63523=VERTEX_POINT('',#214282); #63524=VERTEX_POINT('',#214286); #63525=VERTEX_POINT('',#214288); #63526=VERTEX_POINT('',#214292); #63527=VERTEX_POINT('',#214294); #63528=VERTEX_POINT('',#214298); #63529=VERTEX_POINT('',#214300); #63530=VERTEX_POINT('',#214304); #63531=VERTEX_POINT('',#214306); #63532=VERTEX_POINT('',#214314); #63533=VERTEX_POINT('',#214315); #63534=VERTEX_POINT('',#214317); #63535=VERTEX_POINT('',#214319); #63536=VERTEX_POINT('',#214323); #63537=VERTEX_POINT('',#214325); #63538=VERTEX_POINT('',#214329); #63539=VERTEX_POINT('',#214331); #63540=VERTEX_POINT('',#214335); #63541=VERTEX_POINT('',#214337); #63542=VERTEX_POINT('',#214341); #63543=VERTEX_POINT('',#214343); #63544=VERTEX_POINT('',#214347); #63545=VERTEX_POINT('',#214349); #63546=VERTEX_POINT('',#214353); #63547=VERTEX_POINT('',#214355); #63548=VERTEX_POINT('',#214359); #63549=VERTEX_POINT('',#214361); #63550=VERTEX_POINT('',#214365); #63551=VERTEX_POINT('',#214367); #63552=VERTEX_POINT('',#214371); #63553=VERTEX_POINT('',#214373); #63554=VERTEX_POINT('',#214377); #63555=VERTEX_POINT('',#214379); #63556=VERTEX_POINT('',#214383); #63557=VERTEX_POINT('',#214385); #63558=VERTEX_POINT('',#214389); #63559=VERTEX_POINT('',#214391); #63560=VERTEX_POINT('',#214395); #63561=VERTEX_POINT('',#214397); #63562=VERTEX_POINT('',#214401); #63563=VERTEX_POINT('',#214403); #63564=VERTEX_POINT('',#214407); #63565=VERTEX_POINT('',#214409); #63566=VERTEX_POINT('',#214413); #63567=VERTEX_POINT('',#214415); #63568=VERTEX_POINT('',#214419); #63569=VERTEX_POINT('',#214421); #63570=VERTEX_POINT('',#214425); #63571=VERTEX_POINT('',#214427); #63572=VERTEX_POINT('',#214431); #63573=VERTEX_POINT('',#214433); #63574=VERTEX_POINT('',#214437); #63575=VERTEX_POINT('',#214439); #63576=VERTEX_POINT('',#214443); #63577=VERTEX_POINT('',#214445); #63578=VERTEX_POINT('',#214449); #63579=VERTEX_POINT('',#214451); #63580=VERTEX_POINT('',#214455); #63581=VERTEX_POINT('',#214457); #63582=VERTEX_POINT('',#214461); #63583=VERTEX_POINT('',#214463); #63584=VERTEX_POINT('',#214467); #63585=VERTEX_POINT('',#214469); #63586=VERTEX_POINT('',#214473); #63587=VERTEX_POINT('',#214475); #63588=VERTEX_POINT('',#214479); #63589=VERTEX_POINT('',#214481); #63590=VERTEX_POINT('',#214485); #63591=VERTEX_POINT('',#214487); #63592=VERTEX_POINT('',#214491); #63593=VERTEX_POINT('',#214493); #63594=VERTEX_POINT('',#214497); #63595=VERTEX_POINT('',#214499); #63596=VERTEX_POINT('',#214503); #63597=VERTEX_POINT('',#214505); #63598=VERTEX_POINT('',#214509); #63599=VERTEX_POINT('',#214511); #63600=VERTEX_POINT('',#214515); #63601=VERTEX_POINT('',#214517); #63602=VERTEX_POINT('',#214521); #63603=VERTEX_POINT('',#214523); #63604=VERTEX_POINT('',#214527); #63605=VERTEX_POINT('',#214529); #63606=VERTEX_POINT('',#214533); #63607=VERTEX_POINT('',#214535); #63608=VERTEX_POINT('',#214539); #63609=VERTEX_POINT('',#214541); #63610=VERTEX_POINT('',#214545); #63611=VERTEX_POINT('',#214547); #63612=VERTEX_POINT('',#214551); #63613=VERTEX_POINT('',#214553); #63614=VERTEX_POINT('',#214557); #63615=VERTEX_POINT('',#214559); #63616=VERTEX_POINT('',#214563); #63617=VERTEX_POINT('',#214565); #63618=VERTEX_POINT('',#214569); #63619=VERTEX_POINT('',#214571); #63620=VERTEX_POINT('',#214575); #63621=VERTEX_POINT('',#214577); #63622=VERTEX_POINT('',#214581); #63623=VERTEX_POINT('',#214583); #63624=VERTEX_POINT('',#214587); #63625=VERTEX_POINT('',#214589); #63626=VERTEX_POINT('',#214593); #63627=VERTEX_POINT('',#214595); #63628=VERTEX_POINT('',#214599); #63629=VERTEX_POINT('',#214601); #63630=VERTEX_POINT('',#214605); #63631=VERTEX_POINT('',#214607); #63632=VERTEX_POINT('',#214611); #63633=VERTEX_POINT('',#214613); #63634=VERTEX_POINT('',#214617); #63635=VERTEX_POINT('',#214619); #63636=VERTEX_POINT('',#214623); #63637=VERTEX_POINT('',#214625); #63638=VERTEX_POINT('',#214629); #63639=VERTEX_POINT('',#214631); #63640=VERTEX_POINT('',#214635); #63641=VERTEX_POINT('',#214637); #63642=VERTEX_POINT('',#214641); #63643=VERTEX_POINT('',#214643); #63644=VERTEX_POINT('',#214647); #63645=VERTEX_POINT('',#214649); #63646=VERTEX_POINT('',#214653); #63647=VERTEX_POINT('',#214655); #63648=VERTEX_POINT('',#214659); #63649=VERTEX_POINT('',#214661); #63650=VERTEX_POINT('',#214665); #63651=VERTEX_POINT('',#214667); #63652=VERTEX_POINT('',#214671); #63653=VERTEX_POINT('',#214673); #63654=VERTEX_POINT('',#214677); #63655=VERTEX_POINT('',#214679); #63656=VERTEX_POINT('',#214683); #63657=VERTEX_POINT('',#214685); #63658=VERTEX_POINT('',#214689); #63659=VERTEX_POINT('',#214691); #63660=VERTEX_POINT('',#214695); #63661=VERTEX_POINT('',#214697); #63662=VERTEX_POINT('',#214701); #63663=VERTEX_POINT('',#214703); #63664=VERTEX_POINT('',#214707); #63665=VERTEX_POINT('',#214709); #63666=VERTEX_POINT('',#214713); #63667=VERTEX_POINT('',#214715); #63668=VERTEX_POINT('',#214719); #63669=VERTEX_POINT('',#214721); #63670=VERTEX_POINT('',#214725); #63671=VERTEX_POINT('',#214727); #63672=VERTEX_POINT('',#214731); #63673=VERTEX_POINT('',#214733); #63674=VERTEX_POINT('',#214737); #63675=VERTEX_POINT('',#214739); #63676=VERTEX_POINT('',#214743); #63677=VERTEX_POINT('',#214745); #63678=VERTEX_POINT('',#214749); #63679=VERTEX_POINT('',#214751); #63680=VERTEX_POINT('',#214755); #63681=VERTEX_POINT('',#214757); #63682=VERTEX_POINT('',#214761); #63683=VERTEX_POINT('',#214763); #63684=VERTEX_POINT('',#214767); #63685=VERTEX_POINT('',#214769); #63686=VERTEX_POINT('',#214773); #63687=VERTEX_POINT('',#214775); #63688=VERTEX_POINT('',#214779); #63689=VERTEX_POINT('',#214781); #63690=VERTEX_POINT('',#214785); #63691=VERTEX_POINT('',#214787); #63692=VERTEX_POINT('',#214791); #63693=VERTEX_POINT('',#214793); #63694=VERTEX_POINT('',#214797); #63695=VERTEX_POINT('',#214799); #63696=VERTEX_POINT('',#214803); #63697=VERTEX_POINT('',#214805); #63698=VERTEX_POINT('',#214809); #63699=VERTEX_POINT('',#214811); #63700=VERTEX_POINT('',#214815); #63701=VERTEX_POINT('',#214817); #63702=VERTEX_POINT('',#214821); #63703=VERTEX_POINT('',#214823); #63704=VERTEX_POINT('',#214827); #63705=VERTEX_POINT('',#214829); #63706=VERTEX_POINT('',#214833); #63707=VERTEX_POINT('',#214835); #63708=VERTEX_POINT('',#214839); #63709=VERTEX_POINT('',#214841); #63710=VERTEX_POINT('',#214845); #63711=VERTEX_POINT('',#214847); #63712=VERTEX_POINT('',#214851); #63713=VERTEX_POINT('',#214853); #63714=VERTEX_POINT('',#214857); #63715=VERTEX_POINT('',#214859); #63716=VERTEX_POINT('',#214863); #63717=VERTEX_POINT('',#214865); #63718=VERTEX_POINT('',#214869); #63719=VERTEX_POINT('',#214871); #63720=VERTEX_POINT('',#214875); #63721=VERTEX_POINT('',#214877); #63722=VERTEX_POINT('',#214881); #63723=VERTEX_POINT('',#214883); #63724=VERTEX_POINT('',#214887); #63725=VERTEX_POINT('',#214889); #63726=VERTEX_POINT('',#214893); #63727=VERTEX_POINT('',#214895); #63728=VERTEX_POINT('',#214899); #63729=VERTEX_POINT('',#214901); #63730=VERTEX_POINT('',#214905); #63731=VERTEX_POINT('',#214907); #63732=VERTEX_POINT('',#214911); #63733=VERTEX_POINT('',#214913); #63734=VERTEX_POINT('',#214917); #63735=VERTEX_POINT('',#214919); #63736=VERTEX_POINT('',#214923); #63737=VERTEX_POINT('',#214925); #63738=VERTEX_POINT('',#214929); #63739=VERTEX_POINT('',#214931); #63740=VERTEX_POINT('',#214935); #63741=VERTEX_POINT('',#214937); #63742=VERTEX_POINT('',#214941); #63743=VERTEX_POINT('',#214943); #63744=VERTEX_POINT('',#214947); #63745=VERTEX_POINT('',#214949); #63746=VERTEX_POINT('',#214953); #63747=VERTEX_POINT('',#214955); #63748=VERTEX_POINT('',#214959); #63749=VERTEX_POINT('',#214961); #63750=VERTEX_POINT('',#214969); #63751=VERTEX_POINT('',#214970); #63752=VERTEX_POINT('',#214972); #63753=VERTEX_POINT('',#214974); #63754=VERTEX_POINT('',#214978); #63755=VERTEX_POINT('',#214980); #63756=VERTEX_POINT('',#214984); #63757=VERTEX_POINT('',#214986); #63758=VERTEX_POINT('',#214990); #63759=VERTEX_POINT('',#214992); #63760=VERTEX_POINT('',#214996); #63761=VERTEX_POINT('',#214998); #63762=VERTEX_POINT('',#215002); #63763=VERTEX_POINT('',#215004); #63764=VERTEX_POINT('',#215008); #63765=VERTEX_POINT('',#215010); #63766=VERTEX_POINT('',#215014); #63767=VERTEX_POINT('',#215016); #63768=VERTEX_POINT('',#215020); #63769=VERTEX_POINT('',#215022); #63770=VERTEX_POINT('',#215026); #63771=VERTEX_POINT('',#215028); #63772=VERTEX_POINT('',#215032); #63773=VERTEX_POINT('',#215034); #63774=VERTEX_POINT('',#215038); #63775=VERTEX_POINT('',#215040); #63776=VERTEX_POINT('',#215044); #63777=VERTEX_POINT('',#215046); #63778=VERTEX_POINT('',#215050); #63779=VERTEX_POINT('',#215052); #63780=VERTEX_POINT('',#215056); #63781=VERTEX_POINT('',#215058); #63782=VERTEX_POINT('',#215062); #63783=VERTEX_POINT('',#215064); #63784=VERTEX_POINT('',#215068); #63785=VERTEX_POINT('',#215070); #63786=VERTEX_POINT('',#215074); #63787=VERTEX_POINT('',#215076); #63788=VERTEX_POINT('',#215080); #63789=VERTEX_POINT('',#215082); #63790=VERTEX_POINT('',#215086); #63791=VERTEX_POINT('',#215088); #63792=VERTEX_POINT('',#215092); #63793=VERTEX_POINT('',#215094); #63794=VERTEX_POINT('',#215098); #63795=VERTEX_POINT('',#215100); #63796=VERTEX_POINT('',#215104); #63797=VERTEX_POINT('',#215106); #63798=VERTEX_POINT('',#215110); #63799=VERTEX_POINT('',#215112); #63800=VERTEX_POINT('',#215116); #63801=VERTEX_POINT('',#215118); #63802=VERTEX_POINT('',#215122); #63803=VERTEX_POINT('',#215124); #63804=VERTEX_POINT('',#215128); #63805=VERTEX_POINT('',#215130); #63806=VERTEX_POINT('',#215134); #63807=VERTEX_POINT('',#215136); #63808=VERTEX_POINT('',#215140); #63809=VERTEX_POINT('',#215142); #63810=VERTEX_POINT('',#215146); #63811=VERTEX_POINT('',#215148); #63812=VERTEX_POINT('',#215152); #63813=VERTEX_POINT('',#215154); #63814=VERTEX_POINT('',#215158); #63815=VERTEX_POINT('',#215160); #63816=VERTEX_POINT('',#215164); #63817=VERTEX_POINT('',#215166); #63818=VERTEX_POINT('',#215170); #63819=VERTEX_POINT('',#215172); #63820=VERTEX_POINT('',#215176); #63821=VERTEX_POINT('',#215178); #63822=VERTEX_POINT('',#215182); #63823=VERTEX_POINT('',#215184); #63824=VERTEX_POINT('',#215188); #63825=VERTEX_POINT('',#215190); #63826=VERTEX_POINT('',#215194); #63827=VERTEX_POINT('',#215196); #63828=VERTEX_POINT('',#215200); #63829=VERTEX_POINT('',#215202); #63830=VERTEX_POINT('',#215206); #63831=VERTEX_POINT('',#215208); #63832=VERTEX_POINT('',#215212); #63833=VERTEX_POINT('',#215214); #63834=VERTEX_POINT('',#215218); #63835=VERTEX_POINT('',#215220); #63836=VERTEX_POINT('',#215224); #63837=VERTEX_POINT('',#215226); #63838=VERTEX_POINT('',#215230); #63839=VERTEX_POINT('',#215232); #63840=VERTEX_POINT('',#215236); #63841=VERTEX_POINT('',#215238); #63842=VERTEX_POINT('',#215242); #63843=VERTEX_POINT('',#215244); #63844=VERTEX_POINT('',#215248); #63845=VERTEX_POINT('',#215250); #63846=VERTEX_POINT('',#215254); #63847=VERTEX_POINT('',#215256); #63848=VERTEX_POINT('',#215260); #63849=VERTEX_POINT('',#215262); #63850=VERTEX_POINT('',#215266); #63851=VERTEX_POINT('',#215268); #63852=VERTEX_POINT('',#215272); #63853=VERTEX_POINT('',#215274); #63854=VERTEX_POINT('',#215278); #63855=VERTEX_POINT('',#215280); #63856=VERTEX_POINT('',#215284); #63857=VERTEX_POINT('',#215286); #63858=VERTEX_POINT('',#215290); #63859=VERTEX_POINT('',#215292); #63860=VERTEX_POINT('',#215296); #63861=VERTEX_POINT('',#215298); #63862=VERTEX_POINT('',#215302); #63863=VERTEX_POINT('',#215304); #63864=VERTEX_POINT('',#215308); #63865=VERTEX_POINT('',#215310); #63866=VERTEX_POINT('',#215314); #63867=VERTEX_POINT('',#215316); #63868=VERTEX_POINT('',#215320); #63869=VERTEX_POINT('',#215322); #63870=VERTEX_POINT('',#215326); #63871=VERTEX_POINT('',#215328); #63872=VERTEX_POINT('',#215332); #63873=VERTEX_POINT('',#215334); #63874=VERTEX_POINT('',#215338); #63875=VERTEX_POINT('',#215340); #63876=VERTEX_POINT('',#215344); #63877=VERTEX_POINT('',#215346); #63878=VERTEX_POINT('',#215350); #63879=VERTEX_POINT('',#215352); #63880=VERTEX_POINT('',#215356); #63881=VERTEX_POINT('',#215358); #63882=VERTEX_POINT('',#215362); #63883=VERTEX_POINT('',#215364); #63884=VERTEX_POINT('',#215368); #63885=VERTEX_POINT('',#215370); #63886=VERTEX_POINT('',#215374); #63887=VERTEX_POINT('',#215376); #63888=VERTEX_POINT('',#215380); #63889=VERTEX_POINT('',#215382); #63890=VERTEX_POINT('',#215386); #63891=VERTEX_POINT('',#215388); #63892=VERTEX_POINT('',#215392); #63893=VERTEX_POINT('',#215394); #63894=VERTEX_POINT('',#215398); #63895=VERTEX_POINT('',#215400); #63896=VERTEX_POINT('',#215404); #63897=VERTEX_POINT('',#215406); #63898=VERTEX_POINT('',#215410); #63899=VERTEX_POINT('',#215412); #63900=VERTEX_POINT('',#215416); #63901=VERTEX_POINT('',#215418); #63902=VERTEX_POINT('',#215422); #63903=VERTEX_POINT('',#215424); #63904=VERTEX_POINT('',#215428); #63905=VERTEX_POINT('',#215430); #63906=VERTEX_POINT('',#215434); #63907=VERTEX_POINT('',#215436); #63908=VERTEX_POINT('',#215440); #63909=VERTEX_POINT('',#215442); #63910=VERTEX_POINT('',#215446); #63911=VERTEX_POINT('',#215448); #63912=VERTEX_POINT('',#215452); #63913=VERTEX_POINT('',#215454); #63914=VERTEX_POINT('',#215458); #63915=VERTEX_POINT('',#215460); #63916=VERTEX_POINT('',#215464); #63917=VERTEX_POINT('',#215466); #63918=VERTEX_POINT('',#215470); #63919=VERTEX_POINT('',#215472); #63920=VERTEX_POINT('',#215476); #63921=VERTEX_POINT('',#215478); #63922=VERTEX_POINT('',#215482); #63923=VERTEX_POINT('',#215484); #63924=VERTEX_POINT('',#215488); #63925=VERTEX_POINT('',#215490); #63926=VERTEX_POINT('',#215494); #63927=VERTEX_POINT('',#215496); #63928=VERTEX_POINT('',#215500); #63929=VERTEX_POINT('',#215502); #63930=VERTEX_POINT('',#215506); #63931=VERTEX_POINT('',#215508); #63932=VERTEX_POINT('',#215512); #63933=VERTEX_POINT('',#215514); #63934=VERTEX_POINT('',#215518); #63935=VERTEX_POINT('',#215520); #63936=VERTEX_POINT('',#215524); #63937=VERTEX_POINT('',#215526); #63938=VERTEX_POINT('',#215530); #63939=VERTEX_POINT('',#215532); #63940=VERTEX_POINT('',#215536); #63941=VERTEX_POINT('',#215538); #63942=VERTEX_POINT('',#215542); #63943=VERTEX_POINT('',#215544); #63944=VERTEX_POINT('',#215548); #63945=VERTEX_POINT('',#215550); #63946=VERTEX_POINT('',#215554); #63947=VERTEX_POINT('',#215556); #63948=VERTEX_POINT('',#215560); #63949=VERTEX_POINT('',#215562); #63950=VERTEX_POINT('',#215566); #63951=VERTEX_POINT('',#215568); #63952=VERTEX_POINT('',#215572); #63953=VERTEX_POINT('',#215574); #63954=VERTEX_POINT('',#215578); #63955=VERTEX_POINT('',#215580); #63956=VERTEX_POINT('',#215584); #63957=VERTEX_POINT('',#215586); #63958=VERTEX_POINT('',#215590); #63959=VERTEX_POINT('',#215592); #63960=VERTEX_POINT('',#215596); #63961=VERTEX_POINT('',#215598); #63962=VERTEX_POINT('',#215602); #63963=VERTEX_POINT('',#215604); #63964=VERTEX_POINT('',#215608); #63965=VERTEX_POINT('',#215610); #63966=VERTEX_POINT('',#215614); #63967=VERTEX_POINT('',#215616); #63968=VERTEX_POINT('',#215620); #63969=VERTEX_POINT('',#215622); #63970=VERTEX_POINT('',#215626); #63971=VERTEX_POINT('',#215628); #63972=VERTEX_POINT('',#215632); #63973=VERTEX_POINT('',#215634); #63974=VERTEX_POINT('',#215638); #63975=VERTEX_POINT('',#215640); #63976=VERTEX_POINT('',#215644); #63977=VERTEX_POINT('',#215646); #63978=VERTEX_POINT('',#215650); #63979=VERTEX_POINT('',#215652); #63980=VERTEX_POINT('',#215656); #63981=VERTEX_POINT('',#215658); #63982=VERTEX_POINT('',#215662); #63983=VERTEX_POINT('',#215664); #63984=VERTEX_POINT('',#215668); #63985=VERTEX_POINT('',#215670); #63986=VERTEX_POINT('',#215674); #63987=VERTEX_POINT('',#215676); #63988=VERTEX_POINT('',#215680); #63989=VERTEX_POINT('',#215682); #63990=VERTEX_POINT('',#215686); #63991=VERTEX_POINT('',#215688); #63992=VERTEX_POINT('',#215692); #63993=VERTEX_POINT('',#215694); #63994=VERTEX_POINT('',#215698); #63995=VERTEX_POINT('',#215700); #63996=VERTEX_POINT('',#215704); #63997=VERTEX_POINT('',#215706); #63998=VERTEX_POINT('',#215714); #63999=VERTEX_POINT('',#215715); #64000=VERTEX_POINT('',#215717); #64001=VERTEX_POINT('',#215719); #64002=VERTEX_POINT('',#215723); #64003=VERTEX_POINT('',#215725); #64004=VERTEX_POINT('',#215729); #64005=VERTEX_POINT('',#215731); #64006=VERTEX_POINT('',#215735); #64007=VERTEX_POINT('',#215737); #64008=VERTEX_POINT('',#215741); #64009=VERTEX_POINT('',#215743); #64010=VERTEX_POINT('',#215747); #64011=VERTEX_POINT('',#215749); #64012=VERTEX_POINT('',#215753); #64013=VERTEX_POINT('',#215755); #64014=VERTEX_POINT('',#215759); #64015=VERTEX_POINT('',#215761); #64016=VERTEX_POINT('',#215765); #64017=VERTEX_POINT('',#215767); #64018=VERTEX_POINT('',#215771); #64019=VERTEX_POINT('',#215773); #64020=VERTEX_POINT('',#215777); #64021=VERTEX_POINT('',#215779); #64022=VERTEX_POINT('',#215783); #64023=VERTEX_POINT('',#215785); #64024=VERTEX_POINT('',#215789); #64025=VERTEX_POINT('',#215791); #64026=VERTEX_POINT('',#215795); #64027=VERTEX_POINT('',#215797); #64028=VERTEX_POINT('',#215801); #64029=VERTEX_POINT('',#215803); #64030=VERTEX_POINT('',#215807); #64031=VERTEX_POINT('',#215809); #64032=VERTEX_POINT('',#215813); #64033=VERTEX_POINT('',#215815); #64034=VERTEX_POINT('',#215819); #64035=VERTEX_POINT('',#215821); #64036=VERTEX_POINT('',#215825); #64037=VERTEX_POINT('',#215827); #64038=VERTEX_POINT('',#215831); #64039=VERTEX_POINT('',#215833); #64040=VERTEX_POINT('',#215837); #64041=VERTEX_POINT('',#215839); #64042=VERTEX_POINT('',#215843); #64043=VERTEX_POINT('',#215845); #64044=VERTEX_POINT('',#215849); #64045=VERTEX_POINT('',#215851); #64046=VERTEX_POINT('',#215855); #64047=VERTEX_POINT('',#215857); #64048=VERTEX_POINT('',#215861); #64049=VERTEX_POINT('',#215863); #64050=VERTEX_POINT('',#215867); #64051=VERTEX_POINT('',#215869); #64052=VERTEX_POINT('',#215873); #64053=VERTEX_POINT('',#215875); #64054=VERTEX_POINT('',#215879); #64055=VERTEX_POINT('',#215881); #64056=VERTEX_POINT('',#215885); #64057=VERTEX_POINT('',#215887); #64058=VERTEX_POINT('',#215891); #64059=VERTEX_POINT('',#215893); #64060=VERTEX_POINT('',#215897); #64061=VERTEX_POINT('',#215899); #64062=VERTEX_POINT('',#215903); #64063=VERTEX_POINT('',#215905); #64064=VERTEX_POINT('',#215909); #64065=VERTEX_POINT('',#215911); #64066=VERTEX_POINT('',#215915); #64067=VERTEX_POINT('',#215917); #64068=VERTEX_POINT('',#215921); #64069=VERTEX_POINT('',#215923); #64070=VERTEX_POINT('',#215927); #64071=VERTEX_POINT('',#215929); #64072=VERTEX_POINT('',#215933); #64073=VERTEX_POINT('',#215935); #64074=VERTEX_POINT('',#215939); #64075=VERTEX_POINT('',#215941); #64076=VERTEX_POINT('',#215945); #64077=VERTEX_POINT('',#215947); #64078=VERTEX_POINT('',#215951); #64079=VERTEX_POINT('',#215953); #64080=VERTEX_POINT('',#215957); #64081=VERTEX_POINT('',#215959); #64082=VERTEX_POINT('',#215963); #64083=VERTEX_POINT('',#215965); #64084=VERTEX_POINT('',#215969); #64085=VERTEX_POINT('',#215971); #64086=VERTEX_POINT('',#215975); #64087=VERTEX_POINT('',#215977); #64088=VERTEX_POINT('',#215981); #64089=VERTEX_POINT('',#215983); #64090=VERTEX_POINT('',#215987); #64091=VERTEX_POINT('',#215989); #64092=VERTEX_POINT('',#215993); #64093=VERTEX_POINT('',#215995); #64094=VERTEX_POINT('',#215999); #64095=VERTEX_POINT('',#216001); #64096=VERTEX_POINT('',#216005); #64097=VERTEX_POINT('',#216007); #64098=VERTEX_POINT('',#216011); #64099=VERTEX_POINT('',#216013); #64100=VERTEX_POINT('',#216017); #64101=VERTEX_POINT('',#216019); #64102=VERTEX_POINT('',#216023); #64103=VERTEX_POINT('',#216025); #64104=VERTEX_POINT('',#216029); #64105=VERTEX_POINT('',#216031); #64106=VERTEX_POINT('',#216035); #64107=VERTEX_POINT('',#216037); #64108=VERTEX_POINT('',#216041); #64109=VERTEX_POINT('',#216043); #64110=VERTEX_POINT('',#216047); #64111=VERTEX_POINT('',#216049); #64112=VERTEX_POINT('',#216053); #64113=VERTEX_POINT('',#216055); #64114=VERTEX_POINT('',#216059); #64115=VERTEX_POINT('',#216061); #64116=VERTEX_POINT('',#216065); #64117=VERTEX_POINT('',#216067); #64118=VERTEX_POINT('',#216071); #64119=VERTEX_POINT('',#216073); #64120=VERTEX_POINT('',#216077); #64121=VERTEX_POINT('',#216079); #64122=VERTEX_POINT('',#216083); #64123=VERTEX_POINT('',#216085); #64124=VERTEX_POINT('',#216093); #64125=VERTEX_POINT('',#216094); #64126=VERTEX_POINT('',#216096); #64127=VERTEX_POINT('',#216098); #64128=VERTEX_POINT('',#216102); #64129=VERTEX_POINT('',#216104); #64130=VERTEX_POINT('',#216108); #64131=VERTEX_POINT('',#216110); #64132=VERTEX_POINT('',#216114); #64133=VERTEX_POINT('',#216116); #64134=VERTEX_POINT('',#216120); #64135=VERTEX_POINT('',#216122); #64136=VERTEX_POINT('',#216126); #64137=VERTEX_POINT('',#216128); #64138=VERTEX_POINT('',#216132); #64139=VERTEX_POINT('',#216134); #64140=VERTEX_POINT('',#216138); #64141=VERTEX_POINT('',#216140); #64142=VERTEX_POINT('',#216144); #64143=VERTEX_POINT('',#216146); #64144=VERTEX_POINT('',#216150); #64145=VERTEX_POINT('',#216152); #64146=VERTEX_POINT('',#216156); #64147=VERTEX_POINT('',#216158); #64148=VERTEX_POINT('',#216162); #64149=VERTEX_POINT('',#216164); #64150=VERTEX_POINT('',#216168); #64151=VERTEX_POINT('',#216170); #64152=VERTEX_POINT('',#216174); #64153=VERTEX_POINT('',#216176); #64154=VERTEX_POINT('',#216180); #64155=VERTEX_POINT('',#216182); #64156=VERTEX_POINT('',#216186); #64157=VERTEX_POINT('',#216188); #64158=VERTEX_POINT('',#216192); #64159=VERTEX_POINT('',#216194); #64160=VERTEX_POINT('',#216198); #64161=VERTEX_POINT('',#216200); #64162=VERTEX_POINT('',#216204); #64163=VERTEX_POINT('',#216206); #64164=VERTEX_POINT('',#216210); #64165=VERTEX_POINT('',#216212); #64166=VERTEX_POINT('',#216216); #64167=VERTEX_POINT('',#216218); #64168=VERTEX_POINT('',#216222); #64169=VERTEX_POINT('',#216224); #64170=VERTEX_POINT('',#216228); #64171=VERTEX_POINT('',#216230); #64172=VERTEX_POINT('',#216234); #64173=VERTEX_POINT('',#216236); #64174=VERTEX_POINT('',#216240); #64175=VERTEX_POINT('',#216242); #64176=VERTEX_POINT('',#216246); #64177=VERTEX_POINT('',#216248); #64178=VERTEX_POINT('',#216252); #64179=VERTEX_POINT('',#216254); #64180=VERTEX_POINT('',#216258); #64181=VERTEX_POINT('',#216260); #64182=VERTEX_POINT('',#216264); #64183=VERTEX_POINT('',#216266); #64184=VERTEX_POINT('',#216270); #64185=VERTEX_POINT('',#216272); #64186=VERTEX_POINT('',#216276); #64187=VERTEX_POINT('',#216278); #64188=VERTEX_POINT('',#216282); #64189=VERTEX_POINT('',#216284); #64190=VERTEX_POINT('',#216288); #64191=VERTEX_POINT('',#216290); #64192=VERTEX_POINT('',#216294); #64193=VERTEX_POINT('',#216296); #64194=VERTEX_POINT('',#216300); #64195=VERTEX_POINT('',#216302); #64196=VERTEX_POINT('',#216306); #64197=VERTEX_POINT('',#216308); #64198=VERTEX_POINT('',#216312); #64199=VERTEX_POINT('',#216314); #64200=VERTEX_POINT('',#216318); #64201=VERTEX_POINT('',#216320); #64202=VERTEX_POINT('',#216324); #64203=VERTEX_POINT('',#216326); #64204=VERTEX_POINT('',#216330); #64205=VERTEX_POINT('',#216332); #64206=VERTEX_POINT('',#216336); #64207=VERTEX_POINT('',#216338); #64208=VERTEX_POINT('',#216342); #64209=VERTEX_POINT('',#216344); #64210=VERTEX_POINT('',#216348); #64211=VERTEX_POINT('',#216350); #64212=VERTEX_POINT('',#216354); #64213=VERTEX_POINT('',#216356); #64214=VERTEX_POINT('',#216360); #64215=VERTEX_POINT('',#216362); #64216=VERTEX_POINT('',#216366); #64217=VERTEX_POINT('',#216368); #64218=VERTEX_POINT('',#216372); #64219=VERTEX_POINT('',#216374); #64220=VERTEX_POINT('',#216378); #64221=VERTEX_POINT('',#216380); #64222=VERTEX_POINT('',#216384); #64223=VERTEX_POINT('',#216386); #64224=VERTEX_POINT('',#216390); #64225=VERTEX_POINT('',#216392); #64226=VERTEX_POINT('',#216396); #64227=VERTEX_POINT('',#216398); #64228=VERTEX_POINT('',#216402); #64229=VERTEX_POINT('',#216404); #64230=VERTEX_POINT('',#216408); #64231=VERTEX_POINT('',#216410); #64232=VERTEX_POINT('',#216414); #64233=VERTEX_POINT('',#216416); #64234=VERTEX_POINT('',#216420); #64235=VERTEX_POINT('',#216422); #64236=VERTEX_POINT('',#216426); #64237=VERTEX_POINT('',#216428); #64238=VERTEX_POINT('',#216432); #64239=VERTEX_POINT('',#216434); #64240=VERTEX_POINT('',#216438); #64241=VERTEX_POINT('',#216440); #64242=VERTEX_POINT('',#216444); #64243=VERTEX_POINT('',#216446); #64244=VERTEX_POINT('',#216450); #64245=VERTEX_POINT('',#216452); #64246=VERTEX_POINT('',#216456); #64247=VERTEX_POINT('',#216458); #64248=VERTEX_POINT('',#216462); #64249=VERTEX_POINT('',#216464); #64250=VERTEX_POINT('',#216468); #64251=VERTEX_POINT('',#216470); #64252=VERTEX_POINT('',#216474); #64253=VERTEX_POINT('',#216476); #64254=VERTEX_POINT('',#216480); #64255=VERTEX_POINT('',#216482); #64256=VERTEX_POINT('',#216486); #64257=VERTEX_POINT('',#216488); #64258=VERTEX_POINT('',#216492); #64259=VERTEX_POINT('',#216494); #64260=VERTEX_POINT('',#216498); #64261=VERTEX_POINT('',#216500); #64262=VERTEX_POINT('',#216504); #64263=VERTEX_POINT('',#216506); #64264=VERTEX_POINT('',#216510); #64265=VERTEX_POINT('',#216512); #64266=VERTEX_POINT('',#216516); #64267=VERTEX_POINT('',#216518); #64268=VERTEX_POINT('',#216522); #64269=VERTEX_POINT('',#216524); #64270=VERTEX_POINT('',#216528); #64271=VERTEX_POINT('',#216530); #64272=VERTEX_POINT('',#216534); #64273=VERTEX_POINT('',#216536); #64274=VERTEX_POINT('',#216540); #64275=VERTEX_POINT('',#216542); #64276=VERTEX_POINT('',#216546); #64277=VERTEX_POINT('',#216548); #64278=VERTEX_POINT('',#216552); #64279=VERTEX_POINT('',#216554); #64280=VERTEX_POINT('',#216558); #64281=VERTEX_POINT('',#216560); #64282=VERTEX_POINT('',#216564); #64283=VERTEX_POINT('',#216566); #64284=VERTEX_POINT('',#216570); #64285=VERTEX_POINT('',#216572); #64286=VERTEX_POINT('',#216576); #64287=VERTEX_POINT('',#216578); #64288=VERTEX_POINT('',#216582); #64289=VERTEX_POINT('',#216584); #64290=VERTEX_POINT('',#216588); #64291=VERTEX_POINT('',#216590); #64292=VERTEX_POINT('',#216594); #64293=VERTEX_POINT('',#216596); #64294=VERTEX_POINT('',#216600); #64295=VERTEX_POINT('',#216602); #64296=VERTEX_POINT('',#216606); #64297=VERTEX_POINT('',#216608); #64298=VERTEX_POINT('',#216612); #64299=VERTEX_POINT('',#216614); #64300=VERTEX_POINT('',#216618); #64301=VERTEX_POINT('',#216620); #64302=VERTEX_POINT('',#216624); #64303=VERTEX_POINT('',#216626); #64304=VERTEX_POINT('',#216630); #64305=VERTEX_POINT('',#216632); #64306=VERTEX_POINT('',#216636); #64307=VERTEX_POINT('',#216638); #64308=VERTEX_POINT('',#216642); #64309=VERTEX_POINT('',#216644); #64310=VERTEX_POINT('',#216648); #64311=VERTEX_POINT('',#216650); #64312=VERTEX_POINT('',#216654); #64313=VERTEX_POINT('',#216656); #64314=VERTEX_POINT('',#216660); #64315=VERTEX_POINT('',#216662); #64316=VERTEX_POINT('',#216666); #64317=VERTEX_POINT('',#216668); #64318=VERTEX_POINT('',#216672); #64319=VERTEX_POINT('',#216674); #64320=VERTEX_POINT('',#216678); #64321=VERTEX_POINT('',#216680); #64322=VERTEX_POINT('',#216684); #64323=VERTEX_POINT('',#216686); #64324=VERTEX_POINT('',#216690); #64325=VERTEX_POINT('',#216692); #64326=VERTEX_POINT('',#216696); #64327=VERTEX_POINT('',#216698); #64328=VERTEX_POINT('',#216702); #64329=VERTEX_POINT('',#216704); #64330=VERTEX_POINT('',#216708); #64331=VERTEX_POINT('',#216710); #64332=VERTEX_POINT('',#216714); #64333=VERTEX_POINT('',#216716); #64334=VERTEX_POINT('',#216720); #64335=VERTEX_POINT('',#216722); #64336=VERTEX_POINT('',#216726); #64337=VERTEX_POINT('',#216728); #64338=VERTEX_POINT('',#216732); #64339=VERTEX_POINT('',#216734); #64340=VERTEX_POINT('',#216738); #64341=VERTEX_POINT('',#216740); #64342=VERTEX_POINT('',#216744); #64343=VERTEX_POINT('',#216746); #64344=VERTEX_POINT('',#216750); #64345=VERTEX_POINT('',#216752); #64346=VERTEX_POINT('',#216756); #64347=VERTEX_POINT('',#216758); #64348=VERTEX_POINT('',#216762); #64349=VERTEX_POINT('',#216764); #64350=VERTEX_POINT('',#216768); #64351=VERTEX_POINT('',#216770); #64352=VERTEX_POINT('',#216774); #64353=VERTEX_POINT('',#216776); #64354=VERTEX_POINT('',#216780); #64355=VERTEX_POINT('',#216782); #64356=VERTEX_POINT('',#216786); #64357=VERTEX_POINT('',#216788); #64358=VERTEX_POINT('',#216792); #64359=VERTEX_POINT('',#216794); #64360=VERTEX_POINT('',#216798); #64361=VERTEX_POINT('',#216800); #64362=VERTEX_POINT('',#216804); #64363=VERTEX_POINT('',#216806); #64364=VERTEX_POINT('',#216810); #64365=VERTEX_POINT('',#216812); #64366=VERTEX_POINT('',#216816); #64367=VERTEX_POINT('',#216818); #64368=VERTEX_POINT('',#216822); #64369=VERTEX_POINT('',#216824); #64370=VERTEX_POINT('',#216828); #64371=VERTEX_POINT('',#216830); #64372=VERTEX_POINT('',#216834); #64373=VERTEX_POINT('',#216836); #64374=VERTEX_POINT('',#216840); #64375=VERTEX_POINT('',#216842); #64376=VERTEX_POINT('',#216846); #64377=VERTEX_POINT('',#216848); #64378=VERTEX_POINT('',#216852); #64379=VERTEX_POINT('',#216854); #64380=VERTEX_POINT('',#216858); #64381=VERTEX_POINT('',#216860); #64382=VERTEX_POINT('',#216864); #64383=VERTEX_POINT('',#216866); #64384=VERTEX_POINT('',#216870); #64385=VERTEX_POINT('',#216872); #64386=VERTEX_POINT('',#216876); #64387=VERTEX_POINT('',#216878); #64388=VERTEX_POINT('',#216882); #64389=VERTEX_POINT('',#216884); #64390=VERTEX_POINT('',#216888); #64391=VERTEX_POINT('',#216890); #64392=VERTEX_POINT('',#216894); #64393=VERTEX_POINT('',#216896); #64394=VERTEX_POINT('',#216900); #64395=VERTEX_POINT('',#216902); #64396=VERTEX_POINT('',#216906); #64397=VERTEX_POINT('',#216908); #64398=VERTEX_POINT('',#216912); #64399=VERTEX_POINT('',#216914); #64400=VERTEX_POINT('',#216918); #64401=VERTEX_POINT('',#216920); #64402=VERTEX_POINT('',#216924); #64403=VERTEX_POINT('',#216926); #64404=VERTEX_POINT('',#216930); #64405=VERTEX_POINT('',#216932); #64406=VERTEX_POINT('',#216936); #64407=VERTEX_POINT('',#216938); #64408=VERTEX_POINT('',#216942); #64409=VERTEX_POINT('',#216944); #64410=VERTEX_POINT('',#216948); #64411=VERTEX_POINT('',#216950); #64412=VERTEX_POINT('',#216954); #64413=VERTEX_POINT('',#216956); #64414=VERTEX_POINT('',#216960); #64415=VERTEX_POINT('',#216962); #64416=VERTEX_POINT('',#216966); #64417=VERTEX_POINT('',#216968); #64418=VERTEX_POINT('',#216972); #64419=VERTEX_POINT('',#216974); #64420=VERTEX_POINT('',#216978); #64421=VERTEX_POINT('',#216980); #64422=VERTEX_POINT('',#216984); #64423=VERTEX_POINT('',#216986); #64424=VERTEX_POINT('',#216990); #64425=VERTEX_POINT('',#216992); #64426=VERTEX_POINT('',#216996); #64427=VERTEX_POINT('',#216998); #64428=VERTEX_POINT('',#217006); #64429=VERTEX_POINT('',#217007); #64430=VERTEX_POINT('',#217009); #64431=VERTEX_POINT('',#217011); #64432=VERTEX_POINT('',#217015); #64433=VERTEX_POINT('',#217017); #64434=VERTEX_POINT('',#217021); #64435=VERTEX_POINT('',#217023); #64436=VERTEX_POINT('',#217027); #64437=VERTEX_POINT('',#217029); #64438=VERTEX_POINT('',#217033); #64439=VERTEX_POINT('',#217035); #64440=VERTEX_POINT('',#217039); #64441=VERTEX_POINT('',#217041); #64442=VERTEX_POINT('',#217048); #64443=VERTEX_POINT('',#217049); #64444=VERTEX_POINT('',#217051); #64445=VERTEX_POINT('',#217053); #64446=VERTEX_POINT('',#217057); #64447=VERTEX_POINT('',#217059); #64448=VERTEX_POINT('',#217063); #64449=VERTEX_POINT('',#217065); #64450=VERTEX_POINT('',#217069); #64451=VERTEX_POINT('',#217071); #64452=VERTEX_POINT('',#217075); #64453=VERTEX_POINT('',#217077); #64454=VERTEX_POINT('',#217081); #64455=VERTEX_POINT('',#217083); #64456=VERTEX_POINT('',#217087); #64457=VERTEX_POINT('',#217089); #64458=VERTEX_POINT('',#217093); #64459=VERTEX_POINT('',#217095); #64460=VERTEX_POINT('',#217102); #64461=VERTEX_POINT('',#217103); #64462=VERTEX_POINT('',#217105); #64463=VERTEX_POINT('',#217107); #64464=VERTEX_POINT('',#217111); #64465=VERTEX_POINT('',#217113); #64466=VERTEX_POINT('',#217117); #64467=VERTEX_POINT('',#217119); #64468=VERTEX_POINT('',#217123); #64469=VERTEX_POINT('',#217125); #64470=VERTEX_POINT('',#217129); #64471=VERTEX_POINT('',#217131); #64472=VERTEX_POINT('',#217135); #64473=VERTEX_POINT('',#217137); #64474=VERTEX_POINT('',#217141); #64475=VERTEX_POINT('',#217143); #64476=VERTEX_POINT('',#217147); #64477=VERTEX_POINT('',#217149); #64478=VERTEX_POINT('',#217153); #64479=VERTEX_POINT('',#217155); #64480=VERTEX_POINT('',#217159); #64481=VERTEX_POINT('',#217161); #64482=VERTEX_POINT('',#217165); #64483=VERTEX_POINT('',#217167); #64484=VERTEX_POINT('',#217171); #64485=VERTEX_POINT('',#217173); #64486=VERTEX_POINT('',#217177); #64487=VERTEX_POINT('',#217179); #64488=VERTEX_POINT('',#217183); #64489=VERTEX_POINT('',#217185); #64490=VERTEX_POINT('',#217189); #64491=VERTEX_POINT('',#217191); #64492=VERTEX_POINT('',#217195); #64493=VERTEX_POINT('',#217197); #64494=VERTEX_POINT('',#217201); #64495=VERTEX_POINT('',#217203); #64496=VERTEX_POINT('',#217207); #64497=VERTEX_POINT('',#217209); #64498=VERTEX_POINT('',#217213); #64499=VERTEX_POINT('',#217215); #64500=VERTEX_POINT('',#217219); #64501=VERTEX_POINT('',#217221); #64502=VERTEX_POINT('',#217225); #64503=VERTEX_POINT('',#217227); #64504=VERTEX_POINT('',#217231); #64505=VERTEX_POINT('',#217233); #64506=VERTEX_POINT('',#217237); #64507=VERTEX_POINT('',#217239); #64508=VERTEX_POINT('',#217243); #64509=VERTEX_POINT('',#217245); #64510=VERTEX_POINT('',#217249); #64511=VERTEX_POINT('',#217251); #64512=VERTEX_POINT('',#217255); #64513=VERTEX_POINT('',#217257); #64514=VERTEX_POINT('',#217261); #64515=VERTEX_POINT('',#217263); #64516=VERTEX_POINT('',#217267); #64517=VERTEX_POINT('',#217269); #64518=VERTEX_POINT('',#217273); #64519=VERTEX_POINT('',#217275); #64520=VERTEX_POINT('',#217279); #64521=VERTEX_POINT('',#217281); #64522=VERTEX_POINT('',#217285); #64523=VERTEX_POINT('',#217287); #64524=VERTEX_POINT('',#217291); #64525=VERTEX_POINT('',#217293); #64526=VERTEX_POINT('',#217297); #64527=VERTEX_POINT('',#217299); #64528=VERTEX_POINT('',#217303); #64529=VERTEX_POINT('',#217305); #64530=VERTEX_POINT('',#217309); #64531=VERTEX_POINT('',#217311); #64532=VERTEX_POINT('',#217315); #64533=VERTEX_POINT('',#217317); #64534=VERTEX_POINT('',#217321); #64535=VERTEX_POINT('',#217323); #64536=VERTEX_POINT('',#217327); #64537=VERTEX_POINT('',#217329); #64538=VERTEX_POINT('',#217333); #64539=VERTEX_POINT('',#217335); #64540=VERTEX_POINT('',#217339); #64541=VERTEX_POINT('',#217341); #64542=VERTEX_POINT('',#217345); #64543=VERTEX_POINT('',#217347); #64544=VERTEX_POINT('',#217351); #64545=VERTEX_POINT('',#217353); #64546=VERTEX_POINT('',#217357); #64547=VERTEX_POINT('',#217359); #64548=VERTEX_POINT('',#217363); #64549=VERTEX_POINT('',#217365); #64550=VERTEX_POINT('',#217369); #64551=VERTEX_POINT('',#217371); #64552=VERTEX_POINT('',#217375); #64553=VERTEX_POINT('',#217377); #64554=VERTEX_POINT('',#217381); #64555=VERTEX_POINT('',#217383); #64556=VERTEX_POINT('',#217387); #64557=VERTEX_POINT('',#217389); #64558=VERTEX_POINT('',#217393); #64559=VERTEX_POINT('',#217395); #64560=VERTEX_POINT('',#217399); #64561=VERTEX_POINT('',#217401); #64562=VERTEX_POINT('',#217405); #64563=VERTEX_POINT('',#217407); #64564=VERTEX_POINT('',#217411); #64565=VERTEX_POINT('',#217413); #64566=VERTEX_POINT('',#217417); #64567=VERTEX_POINT('',#217419); #64568=VERTEX_POINT('',#217423); #64569=VERTEX_POINT('',#217425); #64570=VERTEX_POINT('',#217429); #64571=VERTEX_POINT('',#217431); #64572=VERTEX_POINT('',#217435); #64573=VERTEX_POINT('',#217437); #64574=VERTEX_POINT('',#217441); #64575=VERTEX_POINT('',#217443); #64576=VERTEX_POINT('',#217447); #64577=VERTEX_POINT('',#217449); #64578=VERTEX_POINT('',#217453); #64579=VERTEX_POINT('',#217455); #64580=VERTEX_POINT('',#217459); #64581=VERTEX_POINT('',#217461); #64582=VERTEX_POINT('',#217465); #64583=VERTEX_POINT('',#217467); #64584=VERTEX_POINT('',#217475); #64585=VERTEX_POINT('',#217476); #64586=VERTEX_POINT('',#217478); #64587=VERTEX_POINT('',#217480); #64588=VERTEX_POINT('',#217484); #64589=VERTEX_POINT('',#217486); #64590=VERTEX_POINT('',#217490); #64591=VERTEX_POINT('',#217492); #64592=VERTEX_POINT('',#217496); #64593=VERTEX_POINT('',#217498); #64594=VERTEX_POINT('',#217502); #64595=VERTEX_POINT('',#217504); #64596=VERTEX_POINT('',#217508); #64597=VERTEX_POINT('',#217510); #64598=VERTEX_POINT('',#217514); #64599=VERTEX_POINT('',#217516); #64600=VERTEX_POINT('',#217520); #64601=VERTEX_POINT('',#217522); #64602=VERTEX_POINT('',#217526); #64603=VERTEX_POINT('',#217528); #64604=VERTEX_POINT('',#217532); #64605=VERTEX_POINT('',#217534); #64606=VERTEX_POINT('',#217538); #64607=VERTEX_POINT('',#217540); #64608=VERTEX_POINT('',#217548); #64609=VERTEX_POINT('',#217549); #64610=VERTEX_POINT('',#217551); #64611=VERTEX_POINT('',#217553); #64612=VERTEX_POINT('',#217557); #64613=VERTEX_POINT('',#217559); #64614=VERTEX_POINT('',#217563); #64615=VERTEX_POINT('',#217565); #64616=VERTEX_POINT('',#217573); #64617=VERTEX_POINT('',#217574); #64618=VERTEX_POINT('',#217576); #64619=VERTEX_POINT('',#217578); #64620=VERTEX_POINT('',#217582); #64621=VERTEX_POINT('',#217584); #64622=VERTEX_POINT('',#217588); #64623=VERTEX_POINT('',#217590); #64624=VERTEX_POINT('',#217594); #64625=VERTEX_POINT('',#217596); #64626=VERTEX_POINT('',#217600); #64627=VERTEX_POINT('',#217602); #64628=VERTEX_POINT('',#217606); #64629=VERTEX_POINT('',#217608); #64630=VERTEX_POINT('',#217612); #64631=VERTEX_POINT('',#217614); #64632=VERTEX_POINT('',#217618); #64633=VERTEX_POINT('',#217620); #64634=VERTEX_POINT('',#217624); #64635=VERTEX_POINT('',#217626); #64636=VERTEX_POINT('',#217630); #64637=VERTEX_POINT('',#217632); #64638=VERTEX_POINT('',#217639); #64639=VERTEX_POINT('',#217640); #64640=VERTEX_POINT('',#217642); #64641=VERTEX_POINT('',#217644); #64642=VERTEX_POINT('',#217648); #64643=VERTEX_POINT('',#217650); #64644=VERTEX_POINT('',#217654); #64645=VERTEX_POINT('',#217656); #64646=VERTEX_POINT('',#217660); #64647=VERTEX_POINT('',#217662); #64648=VERTEX_POINT('',#217666); #64649=VERTEX_POINT('',#217668); #64650=VERTEX_POINT('',#217672); #64651=VERTEX_POINT('',#217674); #64652=VERTEX_POINT('',#217678); #64653=VERTEX_POINT('',#217680); #64654=VERTEX_POINT('',#217684); #64655=VERTEX_POINT('',#217686); #64656=VERTEX_POINT('',#217690); #64657=VERTEX_POINT('',#217692); #64658=VERTEX_POINT('',#217696); #64659=VERTEX_POINT('',#217698); #64660=VERTEX_POINT('',#217702); #64661=VERTEX_POINT('',#217704); #64662=VERTEX_POINT('',#217708); #64663=VERTEX_POINT('',#217710); #64664=VERTEX_POINT('',#217714); #64665=VERTEX_POINT('',#217716); #64666=VERTEX_POINT('',#217720); #64667=VERTEX_POINT('',#217722); #64668=VERTEX_POINT('',#217726); #64669=VERTEX_POINT('',#217728); #64670=VERTEX_POINT('',#217732); #64671=VERTEX_POINT('',#217734); #64672=VERTEX_POINT('',#217738); #64673=VERTEX_POINT('',#217740); #64674=VERTEX_POINT('',#217744); #64675=VERTEX_POINT('',#217746); #64676=VERTEX_POINT('',#217750); #64677=VERTEX_POINT('',#217752); #64678=VERTEX_POINT('',#217756); #64679=VERTEX_POINT('',#217758); #64680=VERTEX_POINT('',#217762); #64681=VERTEX_POINT('',#217764); #64682=VERTEX_POINT('',#217768); #64683=VERTEX_POINT('',#217770); #64684=VERTEX_POINT('',#217774); #64685=VERTEX_POINT('',#217776); #64686=VERTEX_POINT('',#217780); #64687=VERTEX_POINT('',#217782); #64688=VERTEX_POINT('',#217786); #64689=VERTEX_POINT('',#217788); #64690=VERTEX_POINT('',#217792); #64691=VERTEX_POINT('',#217794); #64692=VERTEX_POINT('',#217798); #64693=VERTEX_POINT('',#217800); #64694=VERTEX_POINT('',#217804); #64695=VERTEX_POINT('',#217806); #64696=VERTEX_POINT('',#217810); #64697=VERTEX_POINT('',#217812); #64698=VERTEX_POINT('',#217816); #64699=VERTEX_POINT('',#217818); #64700=VERTEX_POINT('',#217822); #64701=VERTEX_POINT('',#217824); #64702=VERTEX_POINT('',#217828); #64703=VERTEX_POINT('',#217830); #64704=VERTEX_POINT('',#217834); #64705=VERTEX_POINT('',#217836); #64706=VERTEX_POINT('',#217840); #64707=VERTEX_POINT('',#217842); #64708=VERTEX_POINT('',#217850); #64709=VERTEX_POINT('',#217851); #64710=VERTEX_POINT('',#217853); #64711=VERTEX_POINT('',#217855); #64712=VERTEX_POINT('',#217859); #64713=VERTEX_POINT('',#217861); #64714=VERTEX_POINT('',#217865); #64715=VERTEX_POINT('',#217867); #64716=VERTEX_POINT('',#217871); #64717=VERTEX_POINT('',#217873); #64718=VERTEX_POINT('',#217877); #64719=VERTEX_POINT('',#217879); #64720=VERTEX_POINT('',#217883); #64721=VERTEX_POINT('',#217885); #64722=VERTEX_POINT('',#217889); #64723=VERTEX_POINT('',#217891); #64724=VERTEX_POINT('',#217899); #64725=VERTEX_POINT('',#217900); #64726=VERTEX_POINT('',#217902); #64727=VERTEX_POINT('',#217904); #64728=VERTEX_POINT('',#217908); #64729=VERTEX_POINT('',#217910); #64730=VERTEX_POINT('',#217914); #64731=VERTEX_POINT('',#217916); #64732=VERTEX_POINT('',#217920); #64733=VERTEX_POINT('',#217922); #64734=VERTEX_POINT('',#217926); #64735=VERTEX_POINT('',#217928); #64736=VERTEX_POINT('',#217932); #64737=VERTEX_POINT('',#217934); #64738=VERTEX_POINT('',#217938); #64739=VERTEX_POINT('',#217940); #64740=VERTEX_POINT('',#217944); #64741=VERTEX_POINT('',#217946); #64742=VERTEX_POINT('',#217950); #64743=VERTEX_POINT('',#217952); #64744=VERTEX_POINT('',#217956); #64745=VERTEX_POINT('',#217958); #64746=VERTEX_POINT('',#217962); #64747=VERTEX_POINT('',#217964); #64748=VERTEX_POINT('',#217968); #64749=VERTEX_POINT('',#217970); #64750=VERTEX_POINT('',#217974); #64751=VERTEX_POINT('',#217976); #64752=VERTEX_POINT('',#217980); #64753=VERTEX_POINT('',#217982); #64754=VERTEX_POINT('',#217990); #64755=VERTEX_POINT('',#217991); #64756=VERTEX_POINT('',#217993); #64757=VERTEX_POINT('',#217995); #64758=VERTEX_POINT('',#217999); #64759=VERTEX_POINT('',#218001); #64760=VERTEX_POINT('',#218005); #64761=VERTEX_POINT('',#218007); #64762=VERTEX_POINT('',#218011); #64763=VERTEX_POINT('',#218013); #64764=VERTEX_POINT('',#218017); #64765=VERTEX_POINT('',#218019); #64766=VERTEX_POINT('',#218023); #64767=VERTEX_POINT('',#218025); #64768=VERTEX_POINT('',#218029); #64769=VERTEX_POINT('',#218031); #64770=VERTEX_POINT('',#218035); #64771=VERTEX_POINT('',#218037); #64772=VERTEX_POINT('',#218041); #64773=VERTEX_POINT('',#218043); #64774=VERTEX_POINT('',#218047); #64775=VERTEX_POINT('',#218049); #64776=VERTEX_POINT('',#218053); #64777=VERTEX_POINT('',#218055); #64778=VERTEX_POINT('',#218059); #64779=VERTEX_POINT('',#218061); #64780=VERTEX_POINT('',#218065); #64781=VERTEX_POINT('',#218067); #64782=VERTEX_POINT('',#218071); #64783=VERTEX_POINT('',#218073); #64784=VERTEX_POINT('',#218077); #64785=VERTEX_POINT('',#218079); #64786=VERTEX_POINT('',#218083); #64787=VERTEX_POINT('',#218085); #64788=VERTEX_POINT('',#218089); #64789=VERTEX_POINT('',#218091); #64790=VERTEX_POINT('',#218095); #64791=VERTEX_POINT('',#218097); #64792=VERTEX_POINT('',#218101); #64793=VERTEX_POINT('',#218103); #64794=VERTEX_POINT('',#218107); #64795=VERTEX_POINT('',#218109); #64796=VERTEX_POINT('',#218113); #64797=VERTEX_POINT('',#218115); #64798=VERTEX_POINT('',#218119); #64799=VERTEX_POINT('',#218121); #64800=VERTEX_POINT('',#218125); #64801=VERTEX_POINT('',#218127); #64802=VERTEX_POINT('',#218131); #64803=VERTEX_POINT('',#218133); #64804=VERTEX_POINT('',#218137); #64805=VERTEX_POINT('',#218139); #64806=VERTEX_POINT('',#218143); #64807=VERTEX_POINT('',#218145); #64808=VERTEX_POINT('',#218149); #64809=VERTEX_POINT('',#218151); #64810=VERTEX_POINT('',#218155); #64811=VERTEX_POINT('',#218157); #64812=VERTEX_POINT('',#218161); #64813=VERTEX_POINT('',#218163); #64814=VERTEX_POINT('',#218167); #64815=VERTEX_POINT('',#218169); #64816=VERTEX_POINT('',#218173); #64817=VERTEX_POINT('',#218175); #64818=VERTEX_POINT('',#218179); #64819=VERTEX_POINT('',#218181); #64820=VERTEX_POINT('',#218185); #64821=VERTEX_POINT('',#218187); #64822=VERTEX_POINT('',#218191); #64823=VERTEX_POINT('',#218193); #64824=VERTEX_POINT('',#218197); #64825=VERTEX_POINT('',#218199); #64826=VERTEX_POINT('',#218203); #64827=VERTEX_POINT('',#218205); #64828=VERTEX_POINT('',#218209); #64829=VERTEX_POINT('',#218211); #64830=VERTEX_POINT('',#218215); #64831=VERTEX_POINT('',#218217); #64832=VERTEX_POINT('',#218221); #64833=VERTEX_POINT('',#218223); #64834=VERTEX_POINT('',#218227); #64835=VERTEX_POINT('',#218229); #64836=VERTEX_POINT('',#218233); #64837=VERTEX_POINT('',#218235); #64838=VERTEX_POINT('',#218239); #64839=VERTEX_POINT('',#218241); #64840=VERTEX_POINT('',#218245); #64841=VERTEX_POINT('',#218247); #64842=VERTEX_POINT('',#218251); #64843=VERTEX_POINT('',#218253); #64844=VERTEX_POINT('',#218257); #64845=VERTEX_POINT('',#218259); #64846=VERTEX_POINT('',#218263); #64847=VERTEX_POINT('',#218265); #64848=VERTEX_POINT('',#218269); #64849=VERTEX_POINT('',#218271); #64850=VERTEX_POINT('',#218275); #64851=VERTEX_POINT('',#218277); #64852=VERTEX_POINT('',#218281); #64853=VERTEX_POINT('',#218283); #64854=VERTEX_POINT('',#218287); #64855=VERTEX_POINT('',#218289); #64856=VERTEX_POINT('',#218293); #64857=VERTEX_POINT('',#218295); #64858=VERTEX_POINT('',#218299); #64859=VERTEX_POINT('',#218301); #64860=VERTEX_POINT('',#218305); #64861=VERTEX_POINT('',#218307); #64862=VERTEX_POINT('',#218311); #64863=VERTEX_POINT('',#218313); #64864=VERTEX_POINT('',#218317); #64865=VERTEX_POINT('',#218319); #64866=VERTEX_POINT('',#218323); #64867=VERTEX_POINT('',#218325); #64868=VERTEX_POINT('',#218329); #64869=VERTEX_POINT('',#218331); #64870=VERTEX_POINT('',#218335); #64871=VERTEX_POINT('',#218337); #64872=VERTEX_POINT('',#218341); #64873=VERTEX_POINT('',#218343); #64874=VERTEX_POINT('',#218347); #64875=VERTEX_POINT('',#218349); #64876=VERTEX_POINT('',#218353); #64877=VERTEX_POINT('',#218355); #64878=VERTEX_POINT('',#218359); #64879=VERTEX_POINT('',#218361); #64880=VERTEX_POINT('',#218365); #64881=VERTEX_POINT('',#218367); #64882=VERTEX_POINT('',#218371); #64883=VERTEX_POINT('',#218373); #64884=VERTEX_POINT('',#218377); #64885=VERTEX_POINT('',#218379); #64886=VERTEX_POINT('',#218383); #64887=VERTEX_POINT('',#218385); #64888=VERTEX_POINT('',#218389); #64889=VERTEX_POINT('',#218391); #64890=VERTEX_POINT('',#218395); #64891=VERTEX_POINT('',#218397); #64892=VERTEX_POINT('',#218401); #64893=VERTEX_POINT('',#218403); #64894=VERTEX_POINT('',#218407); #64895=VERTEX_POINT('',#218409); #64896=VERTEX_POINT('',#218413); #64897=VERTEX_POINT('',#218415); #64898=VERTEX_POINT('',#218419); #64899=VERTEX_POINT('',#218421); #64900=VERTEX_POINT('',#218425); #64901=VERTEX_POINT('',#218427); #64902=VERTEX_POINT('',#218431); #64903=VERTEX_POINT('',#218433); #64904=VERTEX_POINT('',#218437); #64905=VERTEX_POINT('',#218439); #64906=VERTEX_POINT('',#218443); #64907=VERTEX_POINT('',#218445); #64908=VERTEX_POINT('',#218449); #64909=VERTEX_POINT('',#218451); #64910=VERTEX_POINT('',#218455); #64911=VERTEX_POINT('',#218457); #64912=VERTEX_POINT('',#218461); #64913=VERTEX_POINT('',#218463); #64914=VERTEX_POINT('',#218467); #64915=VERTEX_POINT('',#218469); #64916=VERTEX_POINT('',#218473); #64917=VERTEX_POINT('',#218475); #64918=VERTEX_POINT('',#218479); #64919=VERTEX_POINT('',#218481); #64920=VERTEX_POINT('',#218485); #64921=VERTEX_POINT('',#218487); #64922=VERTEX_POINT('',#218491); #64923=VERTEX_POINT('',#218493); #64924=VERTEX_POINT('',#218497); #64925=VERTEX_POINT('',#218499); #64926=VERTEX_POINT('',#218503); #64927=VERTEX_POINT('',#218505); #64928=VERTEX_POINT('',#218509); #64929=VERTEX_POINT('',#218511); #64930=VERTEX_POINT('',#218515); #64931=VERTEX_POINT('',#218517); #64932=VERTEX_POINT('',#218521); #64933=VERTEX_POINT('',#218523); #64934=VERTEX_POINT('',#218527); #64935=VERTEX_POINT('',#218529); #64936=VERTEX_POINT('',#218533); #64937=VERTEX_POINT('',#218535); #64938=VERTEX_POINT('',#218539); #64939=VERTEX_POINT('',#218541); #64940=VERTEX_POINT('',#218545); #64941=VERTEX_POINT('',#218547); #64942=VERTEX_POINT('',#218551); #64943=VERTEX_POINT('',#218553); #64944=VERTEX_POINT('',#218557); #64945=VERTEX_POINT('',#218559); #64946=VERTEX_POINT('',#218563); #64947=VERTEX_POINT('',#218565); #64948=VERTEX_POINT('',#218569); #64949=VERTEX_POINT('',#218571); #64950=VERTEX_POINT('',#218575); #64951=VERTEX_POINT('',#218577); #64952=VERTEX_POINT('',#218581); #64953=VERTEX_POINT('',#218583); #64954=VERTEX_POINT('',#218587); #64955=VERTEX_POINT('',#218589); #64956=VERTEX_POINT('',#218593); #64957=VERTEX_POINT('',#218595); #64958=VERTEX_POINT('',#218599); #64959=VERTEX_POINT('',#218601); #64960=VERTEX_POINT('',#218605); #64961=VERTEX_POINT('',#218607); #64962=VERTEX_POINT('',#218611); #64963=VERTEX_POINT('',#218613); #64964=VERTEX_POINT('',#218617); #64965=VERTEX_POINT('',#218619); #64966=VERTEX_POINT('',#218623); #64967=VERTEX_POINT('',#218625); #64968=VERTEX_POINT('',#218629); #64969=VERTEX_POINT('',#218631); #64970=VERTEX_POINT('',#218635); #64971=VERTEX_POINT('',#218637); #64972=VERTEX_POINT('',#218641); #64973=VERTEX_POINT('',#218643); #64974=VERTEX_POINT('',#218647); #64975=VERTEX_POINT('',#218649); #64976=VERTEX_POINT('',#218653); #64977=VERTEX_POINT('',#218655); #64978=VERTEX_POINT('',#218659); #64979=VERTEX_POINT('',#218661); #64980=VERTEX_POINT('',#218665); #64981=VERTEX_POINT('',#218667); #64982=VERTEX_POINT('',#218671); #64983=VERTEX_POINT('',#218673); #64984=VERTEX_POINT('',#218677); #64985=VERTEX_POINT('',#218679); #64986=VERTEX_POINT('',#218683); #64987=VERTEX_POINT('',#218685); #64988=VERTEX_POINT('',#218689); #64989=VERTEX_POINT('',#218691); #64990=VERTEX_POINT('',#218695); #64991=VERTEX_POINT('',#218697); #64992=VERTEX_POINT('',#218701); #64993=VERTEX_POINT('',#218703); #64994=VERTEX_POINT('',#218707); #64995=VERTEX_POINT('',#218709); #64996=VERTEX_POINT('',#218713); #64997=VERTEX_POINT('',#218715); #64998=VERTEX_POINT('',#218719); #64999=VERTEX_POINT('',#218721); #65000=VERTEX_POINT('',#218725); #65001=VERTEX_POINT('',#218727); #65002=VERTEX_POINT('',#218731); #65003=VERTEX_POINT('',#218733); #65004=VERTEX_POINT('',#218737); #65005=VERTEX_POINT('',#218739); #65006=VERTEX_POINT('',#218743); #65007=VERTEX_POINT('',#218745); #65008=VERTEX_POINT('',#218749); #65009=VERTEX_POINT('',#218751); #65010=VERTEX_POINT('',#218755); #65011=VERTEX_POINT('',#218757); #65012=VERTEX_POINT('',#218761); #65013=VERTEX_POINT('',#218763); #65014=VERTEX_POINT('',#218767); #65015=VERTEX_POINT('',#218769); #65016=VERTEX_POINT('',#218773); #65017=VERTEX_POINT('',#218775); #65018=VERTEX_POINT('',#218779); #65019=VERTEX_POINT('',#218781); #65020=VERTEX_POINT('',#218785); #65021=VERTEX_POINT('',#218787); #65022=VERTEX_POINT('',#218791); #65023=VERTEX_POINT('',#218793); #65024=VERTEX_POINT('',#218797); #65025=VERTEX_POINT('',#218799); #65026=VERTEX_POINT('',#218803); #65027=VERTEX_POINT('',#218805); #65028=VERTEX_POINT('',#218809); #65029=VERTEX_POINT('',#218811); #65030=VERTEX_POINT('',#218815); #65031=VERTEX_POINT('',#218817); #65032=VERTEX_POINT('',#218821); #65033=VERTEX_POINT('',#218823); #65034=VERTEX_POINT('',#218827); #65035=VERTEX_POINT('',#218829); #65036=VERTEX_POINT('',#218833); #65037=VERTEX_POINT('',#218835); #65038=VERTEX_POINT('',#218839); #65039=VERTEX_POINT('',#218841); #65040=VERTEX_POINT('',#218845); #65041=VERTEX_POINT('',#218847); #65042=VERTEX_POINT('',#218851); #65043=VERTEX_POINT('',#218853); #65044=VERTEX_POINT('',#218857); #65045=VERTEX_POINT('',#218859); #65046=VERTEX_POINT('',#218863); #65047=VERTEX_POINT('',#218865); #65048=VERTEX_POINT('',#218869); #65049=VERTEX_POINT('',#218871); #65050=VERTEX_POINT('',#218875); #65051=VERTEX_POINT('',#218877); #65052=VERTEX_POINT('',#218881); #65053=VERTEX_POINT('',#218883); #65054=VERTEX_POINT('',#218887); #65055=VERTEX_POINT('',#218889); #65056=VERTEX_POINT('',#218893); #65057=VERTEX_POINT('',#218895); #65058=VERTEX_POINT('',#218899); #65059=VERTEX_POINT('',#218901); #65060=VERTEX_POINT('',#218905); #65061=VERTEX_POINT('',#218907); #65062=VERTEX_POINT('',#218911); #65063=VERTEX_POINT('',#218913); #65064=VERTEX_POINT('',#218917); #65065=VERTEX_POINT('',#218919); #65066=VERTEX_POINT('',#218923); #65067=VERTEX_POINT('',#218925); #65068=VERTEX_POINT('',#218929); #65069=VERTEX_POINT('',#218931); #65070=VERTEX_POINT('',#218935); #65071=VERTEX_POINT('',#218937); #65072=VERTEX_POINT('',#218941); #65073=VERTEX_POINT('',#218943); #65074=VERTEX_POINT('',#218947); #65075=VERTEX_POINT('',#218949); #65076=VERTEX_POINT('',#218953); #65077=VERTEX_POINT('',#218955); #65078=VERTEX_POINT('',#218959); #65079=VERTEX_POINT('',#218961); #65080=VERTEX_POINT('',#218965); #65081=VERTEX_POINT('',#218967); #65082=VERTEX_POINT('',#218971); #65083=VERTEX_POINT('',#218973); #65084=VERTEX_POINT('',#218977); #65085=VERTEX_POINT('',#218979); #65086=VERTEX_POINT('',#218983); #65087=VERTEX_POINT('',#218985); #65088=VERTEX_POINT('',#218989); #65089=VERTEX_POINT('',#218991); #65090=VERTEX_POINT('',#218995); #65091=VERTEX_POINT('',#218997); #65092=VERTEX_POINT('',#219001); #65093=VERTEX_POINT('',#219003); #65094=VERTEX_POINT('',#219011); #65095=VERTEX_POINT('',#219012); #65096=VERTEX_POINT('',#219014); #65097=VERTEX_POINT('',#219016); #65098=VERTEX_POINT('',#219020); #65099=VERTEX_POINT('',#219022); #65100=VERTEX_POINT('',#219026); #65101=VERTEX_POINT('',#219028); #65102=VERTEX_POINT('',#219032); #65103=VERTEX_POINT('',#219034); #65104=VERTEX_POINT('',#219038); #65105=VERTEX_POINT('',#219040); #65106=VERTEX_POINT('',#219044); #65107=VERTEX_POINT('',#219046); #65108=VERTEX_POINT('',#219050); #65109=VERTEX_POINT('',#219052); #65110=VERTEX_POINT('',#219056); #65111=VERTEX_POINT('',#219058); #65112=VERTEX_POINT('',#219062); #65113=VERTEX_POINT('',#219064); #65114=VERTEX_POINT('',#219068); #65115=VERTEX_POINT('',#219070); #65116=VERTEX_POINT('',#219074); #65117=VERTEX_POINT('',#219076); #65118=VERTEX_POINT('',#219080); #65119=VERTEX_POINT('',#219082); #65120=VERTEX_POINT('',#219086); #65121=VERTEX_POINT('',#219088); #65122=VERTEX_POINT('',#219092); #65123=VERTEX_POINT('',#219094); #65124=VERTEX_POINT('',#219098); #65125=VERTEX_POINT('',#219100); #65126=VERTEX_POINT('',#219104); #65127=VERTEX_POINT('',#219106); #65128=VERTEX_POINT('',#219110); #65129=VERTEX_POINT('',#219112); #65130=VERTEX_POINT('',#219116); #65131=VERTEX_POINT('',#219118); #65132=VERTEX_POINT('',#219122); #65133=VERTEX_POINT('',#219124); #65134=VERTEX_POINT('',#219128); #65135=VERTEX_POINT('',#219130); #65136=VERTEX_POINT('',#219134); #65137=VERTEX_POINT('',#219136); #65138=VERTEX_POINT('',#219140); #65139=VERTEX_POINT('',#219142); #65140=VERTEX_POINT('',#219146); #65141=VERTEX_POINT('',#219148); #65142=VERTEX_POINT('',#219152); #65143=VERTEX_POINT('',#219154); #65144=VERTEX_POINT('',#219158); #65145=VERTEX_POINT('',#219160); #65146=VERTEX_POINT('',#219164); #65147=VERTEX_POINT('',#219166); #65148=VERTEX_POINT('',#219170); #65149=VERTEX_POINT('',#219172); #65150=VERTEX_POINT('',#219176); #65151=VERTEX_POINT('',#219178); #65152=VERTEX_POINT('',#219182); #65153=VERTEX_POINT('',#219184); #65154=VERTEX_POINT('',#219188); #65155=VERTEX_POINT('',#219190); #65156=VERTEX_POINT('',#219194); #65157=VERTEX_POINT('',#219196); #65158=VERTEX_POINT('',#219200); #65159=VERTEX_POINT('',#219202); #65160=VERTEX_POINT('',#219206); #65161=VERTEX_POINT('',#219208); #65162=VERTEX_POINT('',#219212); #65163=VERTEX_POINT('',#219214); #65164=VERTEX_POINT('',#219218); #65165=VERTEX_POINT('',#219220); #65166=VERTEX_POINT('',#219224); #65167=VERTEX_POINT('',#219226); #65168=VERTEX_POINT('',#219230); #65169=VERTEX_POINT('',#219232); #65170=VERTEX_POINT('',#219236); #65171=VERTEX_POINT('',#219238); #65172=VERTEX_POINT('',#219242); #65173=VERTEX_POINT('',#219244); #65174=VERTEX_POINT('',#219248); #65175=VERTEX_POINT('',#219250); #65176=VERTEX_POINT('',#219254); #65177=VERTEX_POINT('',#219256); #65178=VERTEX_POINT('',#219260); #65179=VERTEX_POINT('',#219262); #65180=VERTEX_POINT('',#219266); #65181=VERTEX_POINT('',#219268); #65182=VERTEX_POINT('',#219272); #65183=VERTEX_POINT('',#219274); #65184=VERTEX_POINT('',#219278); #65185=VERTEX_POINT('',#219280); #65186=VERTEX_POINT('',#219284); #65187=VERTEX_POINT('',#219286); #65188=VERTEX_POINT('',#219290); #65189=VERTEX_POINT('',#219292); #65190=VERTEX_POINT('',#219296); #65191=VERTEX_POINT('',#219298); #65192=VERTEX_POINT('',#219302); #65193=VERTEX_POINT('',#219304); #65194=VERTEX_POINT('',#219308); #65195=VERTEX_POINT('',#219310); #65196=VERTEX_POINT('',#219314); #65197=VERTEX_POINT('',#219316); #65198=VERTEX_POINT('',#219320); #65199=VERTEX_POINT('',#219322); #65200=VERTEX_POINT('',#219326); #65201=VERTEX_POINT('',#219328); #65202=VERTEX_POINT('',#219332); #65203=VERTEX_POINT('',#219334); #65204=VERTEX_POINT('',#219338); #65205=VERTEX_POINT('',#219340); #65206=VERTEX_POINT('',#219344); #65207=VERTEX_POINT('',#219346); #65208=VERTEX_POINT('',#219350); #65209=VERTEX_POINT('',#219352); #65210=VERTEX_POINT('',#219356); #65211=VERTEX_POINT('',#219358); #65212=VERTEX_POINT('',#219362); #65213=VERTEX_POINT('',#219364); #65214=VERTEX_POINT('',#219368); #65215=VERTEX_POINT('',#219370); #65216=VERTEX_POINT('',#219374); #65217=VERTEX_POINT('',#219376); #65218=VERTEX_POINT('',#219380); #65219=VERTEX_POINT('',#219382); #65220=VERTEX_POINT('',#219386); #65221=VERTEX_POINT('',#219388); #65222=VERTEX_POINT('',#219392); #65223=VERTEX_POINT('',#219394); #65224=VERTEX_POINT('',#219398); #65225=VERTEX_POINT('',#219400); #65226=VERTEX_POINT('',#219404); #65227=VERTEX_POINT('',#219406); #65228=VERTEX_POINT('',#219410); #65229=VERTEX_POINT('',#219412); #65230=VERTEX_POINT('',#219416); #65231=VERTEX_POINT('',#219418); #65232=VERTEX_POINT('',#219422); #65233=VERTEX_POINT('',#219424); #65234=VERTEX_POINT('',#219428); #65235=VERTEX_POINT('',#219430); #65236=VERTEX_POINT('',#219434); #65237=VERTEX_POINT('',#219436); #65238=VERTEX_POINT('',#219440); #65239=VERTEX_POINT('',#219442); #65240=VERTEX_POINT('',#219446); #65241=VERTEX_POINT('',#219448); #65242=VERTEX_POINT('',#219452); #65243=VERTEX_POINT('',#219454); #65244=VERTEX_POINT('',#219458); #65245=VERTEX_POINT('',#219460); #65246=VERTEX_POINT('',#219464); #65247=VERTEX_POINT('',#219466); #65248=VERTEX_POINT('',#219470); #65249=VERTEX_POINT('',#219472); #65250=VERTEX_POINT('',#219476); #65251=VERTEX_POINT('',#219478); #65252=VERTEX_POINT('',#219482); #65253=VERTEX_POINT('',#219484); #65254=VERTEX_POINT('',#219488); #65255=VERTEX_POINT('',#219490); #65256=VERTEX_POINT('',#219494); #65257=VERTEX_POINT('',#219496); #65258=VERTEX_POINT('',#219500); #65259=VERTEX_POINT('',#219502); #65260=VERTEX_POINT('',#219506); #65261=VERTEX_POINT('',#219508); #65262=VERTEX_POINT('',#219512); #65263=VERTEX_POINT('',#219514); #65264=VERTEX_POINT('',#219518); #65265=VERTEX_POINT('',#219520); #65266=VERTEX_POINT('',#219524); #65267=VERTEX_POINT('',#219526); #65268=VERTEX_POINT('',#219530); #65269=VERTEX_POINT('',#219532); #65270=VERTEX_POINT('',#219536); #65271=VERTEX_POINT('',#219538); #65272=VERTEX_POINT('',#219542); #65273=VERTEX_POINT('',#219544); #65274=VERTEX_POINT('',#219548); #65275=VERTEX_POINT('',#219550); #65276=VERTEX_POINT('',#219554); #65277=VERTEX_POINT('',#219556); #65278=VERTEX_POINT('',#219560); #65279=VERTEX_POINT('',#219562); #65280=VERTEX_POINT('',#219566); #65281=VERTEX_POINT('',#219568); #65282=VERTEX_POINT('',#219572); #65283=VERTEX_POINT('',#219574); #65284=VERTEX_POINT('',#219578); #65285=VERTEX_POINT('',#219580); #65286=VERTEX_POINT('',#219584); #65287=VERTEX_POINT('',#219586); #65288=VERTEX_POINT('',#219590); #65289=VERTEX_POINT('',#219592); #65290=VERTEX_POINT('',#219596); #65291=VERTEX_POINT('',#219598); #65292=VERTEX_POINT('',#219602); #65293=VERTEX_POINT('',#219604); #65294=VERTEX_POINT('',#219608); #65295=VERTEX_POINT('',#219610); #65296=VERTEX_POINT('',#219614); #65297=VERTEX_POINT('',#219616); #65298=VERTEX_POINT('',#219620); #65299=VERTEX_POINT('',#219622); #65300=VERTEX_POINT('',#219626); #65301=VERTEX_POINT('',#219628); #65302=VERTEX_POINT('',#219632); #65303=VERTEX_POINT('',#219634); #65304=VERTEX_POINT('',#219638); #65305=VERTEX_POINT('',#219640); #65306=VERTEX_POINT('',#219644); #65307=VERTEX_POINT('',#219646); #65308=VERTEX_POINT('',#219650); #65309=VERTEX_POINT('',#219652); #65310=VERTEX_POINT('',#219656); #65311=VERTEX_POINT('',#219658); #65312=VERTEX_POINT('',#219662); #65313=VERTEX_POINT('',#219664); #65314=VERTEX_POINT('',#219668); #65315=VERTEX_POINT('',#219670); #65316=VERTEX_POINT('',#219674); #65317=VERTEX_POINT('',#219676); #65318=VERTEX_POINT('',#219680); #65319=VERTEX_POINT('',#219682); #65320=VERTEX_POINT('',#219686); #65321=VERTEX_POINT('',#219688); #65322=VERTEX_POINT('',#219692); #65323=VERTEX_POINT('',#219694); #65324=VERTEX_POINT('',#219698); #65325=VERTEX_POINT('',#219700); #65326=VERTEX_POINT('',#219704); #65327=VERTEX_POINT('',#219706); #65328=VERTEX_POINT('',#219710); #65329=VERTEX_POINT('',#219712); #65330=VERTEX_POINT('',#219716); #65331=VERTEX_POINT('',#219718); #65332=VERTEX_POINT('',#219722); #65333=VERTEX_POINT('',#219724); #65334=VERTEX_POINT('',#219728); #65335=VERTEX_POINT('',#219730); #65336=VERTEX_POINT('',#219734); #65337=VERTEX_POINT('',#219736); #65338=VERTEX_POINT('',#219740); #65339=VERTEX_POINT('',#219742); #65340=VERTEX_POINT('',#219746); #65341=VERTEX_POINT('',#219748); #65342=VERTEX_POINT('',#219752); #65343=VERTEX_POINT('',#219754); #65344=VERTEX_POINT('',#219758); #65345=VERTEX_POINT('',#219760); #65346=VERTEX_POINT('',#219764); #65347=VERTEX_POINT('',#219766); #65348=VERTEX_POINT('',#219770); #65349=VERTEX_POINT('',#219772); #65350=VERTEX_POINT('',#219776); #65351=VERTEX_POINT('',#219778); #65352=VERTEX_POINT('',#219782); #65353=VERTEX_POINT('',#219784); #65354=VERTEX_POINT('',#219788); #65355=VERTEX_POINT('',#219790); #65356=VERTEX_POINT('',#219794); #65357=VERTEX_POINT('',#219796); #65358=VERTEX_POINT('',#219800); #65359=VERTEX_POINT('',#219802); #65360=VERTEX_POINT('',#219806); #65361=VERTEX_POINT('',#219808); #65362=VERTEX_POINT('',#219812); #65363=VERTEX_POINT('',#219814); #65364=VERTEX_POINT('',#219818); #65365=VERTEX_POINT('',#219820); #65366=VERTEX_POINT('',#219824); #65367=VERTEX_POINT('',#219826); #65368=VERTEX_POINT('',#219830); #65369=VERTEX_POINT('',#219832); #65370=VERTEX_POINT('',#219836); #65371=VERTEX_POINT('',#219838); #65372=VERTEX_POINT('',#219842); #65373=VERTEX_POINT('',#219844); #65374=VERTEX_POINT('',#219848); #65375=VERTEX_POINT('',#219850); #65376=VERTEX_POINT('',#219854); #65377=VERTEX_POINT('',#219856); #65378=VERTEX_POINT('',#219860); #65379=VERTEX_POINT('',#219862); #65380=VERTEX_POINT('',#219866); #65381=VERTEX_POINT('',#219868); #65382=VERTEX_POINT('',#219872); #65383=VERTEX_POINT('',#219874); #65384=VERTEX_POINT('',#219878); #65385=VERTEX_POINT('',#219880); #65386=VERTEX_POINT('',#219884); #65387=VERTEX_POINT('',#219886); #65388=VERTEX_POINT('',#219890); #65389=VERTEX_POINT('',#219892); #65390=VERTEX_POINT('',#219896); #65391=VERTEX_POINT('',#219898); #65392=VERTEX_POINT('',#219902); #65393=VERTEX_POINT('',#219904); #65394=VERTEX_POINT('',#219908); #65395=VERTEX_POINT('',#219910); #65396=VERTEX_POINT('',#219914); #65397=VERTEX_POINT('',#219916); #65398=VERTEX_POINT('',#219920); #65399=VERTEX_POINT('',#219922); #65400=VERTEX_POINT('',#219926); #65401=VERTEX_POINT('',#219928); #65402=VERTEX_POINT('',#219932); #65403=VERTEX_POINT('',#219934); #65404=VERTEX_POINT('',#219938); #65405=VERTEX_POINT('',#219940); #65406=VERTEX_POINT('',#219944); #65407=VERTEX_POINT('',#219946); #65408=VERTEX_POINT('',#219950); #65409=VERTEX_POINT('',#219952); #65410=VERTEX_POINT('',#219956); #65411=VERTEX_POINT('',#219958); #65412=VERTEX_POINT('',#219962); #65413=VERTEX_POINT('',#219964); #65414=VERTEX_POINT('',#219968); #65415=VERTEX_POINT('',#219970); #65416=VERTEX_POINT('',#219974); #65417=VERTEX_POINT('',#219976); #65418=VERTEX_POINT('',#219980); #65419=VERTEX_POINT('',#219982); #65420=VERTEX_POINT('',#219986); #65421=VERTEX_POINT('',#219988); #65422=VERTEX_POINT('',#219992); #65423=VERTEX_POINT('',#219994); #65424=VERTEX_POINT('',#219998); #65425=VERTEX_POINT('',#220000); #65426=VERTEX_POINT('',#220004); #65427=VERTEX_POINT('',#220006); #65428=VERTEX_POINT('',#220010); #65429=VERTEX_POINT('',#220012); #65430=VERTEX_POINT('',#220016); #65431=VERTEX_POINT('',#220018); #65432=VERTEX_POINT('',#220022); #65433=VERTEX_POINT('',#220024); #65434=VERTEX_POINT('',#220028); #65435=VERTEX_POINT('',#220030); #65436=VERTEX_POINT('',#220034); #65437=VERTEX_POINT('',#220036); #65438=VERTEX_POINT('',#220040); #65439=VERTEX_POINT('',#220042); #65440=VERTEX_POINT('',#220046); #65441=VERTEX_POINT('',#220048); #65442=VERTEX_POINT('',#220052); #65443=VERTEX_POINT('',#220054); #65444=VERTEX_POINT('',#220058); #65445=VERTEX_POINT('',#220060); #65446=VERTEX_POINT('',#220064); #65447=VERTEX_POINT('',#220066); #65448=VERTEX_POINT('',#220070); #65449=VERTEX_POINT('',#220072); #65450=VERTEX_POINT('',#220076); #65451=VERTEX_POINT('',#220078); #65452=VERTEX_POINT('',#220086); #65453=VERTEX_POINT('',#220087); #65454=VERTEX_POINT('',#220089); #65455=VERTEX_POINT('',#220091); #65456=VERTEX_POINT('',#220095); #65457=VERTEX_POINT('',#220097); #65458=VERTEX_POINT('',#220101); #65459=VERTEX_POINT('',#220103); #65460=VERTEX_POINT('',#220107); #65461=VERTEX_POINT('',#220109); #65462=VERTEX_POINT('',#220113); #65463=VERTEX_POINT('',#220115); #65464=VERTEX_POINT('',#220119); #65465=VERTEX_POINT('',#220121); #65466=VERTEX_POINT('',#220125); #65467=VERTEX_POINT('',#220127); #65468=VERTEX_POINT('',#220131); #65469=VERTEX_POINT('',#220133); #65470=VERTEX_POINT('',#220137); #65471=VERTEX_POINT('',#220139); #65472=VERTEX_POINT('',#220143); #65473=VERTEX_POINT('',#220145); #65474=VERTEX_POINT('',#220149); #65475=VERTEX_POINT('',#220151); #65476=VERTEX_POINT('',#220155); #65477=VERTEX_POINT('',#220157); #65478=VERTEX_POINT('',#220161); #65479=VERTEX_POINT('',#220163); #65480=VERTEX_POINT('',#220167); #65481=VERTEX_POINT('',#220169); #65482=VERTEX_POINT('',#220173); #65483=VERTEX_POINT('',#220175); #65484=VERTEX_POINT('',#220179); #65485=VERTEX_POINT('',#220181); #65486=VERTEX_POINT('',#220185); #65487=VERTEX_POINT('',#220187); #65488=VERTEX_POINT('',#220191); #65489=VERTEX_POINT('',#220193); #65490=VERTEX_POINT('',#220197); #65491=VERTEX_POINT('',#220199); #65492=VERTEX_POINT('',#220203); #65493=VERTEX_POINT('',#220205); #65494=VERTEX_POINT('',#220209); #65495=VERTEX_POINT('',#220211); #65496=VERTEX_POINT('',#220215); #65497=VERTEX_POINT('',#220217); #65498=VERTEX_POINT('',#220221); #65499=VERTEX_POINT('',#220223); #65500=VERTEX_POINT('',#220227); #65501=VERTEX_POINT('',#220229); #65502=VERTEX_POINT('',#220233); #65503=VERTEX_POINT('',#220235); #65504=VERTEX_POINT('',#220239); #65505=VERTEX_POINT('',#220241); #65506=VERTEX_POINT('',#220245); #65507=VERTEX_POINT('',#220247); #65508=VERTEX_POINT('',#220251); #65509=VERTEX_POINT('',#220253); #65510=VERTEX_POINT('',#220257); #65511=VERTEX_POINT('',#220259); #65512=VERTEX_POINT('',#220263); #65513=VERTEX_POINT('',#220265); #65514=VERTEX_POINT('',#220269); #65515=VERTEX_POINT('',#220271); #65516=VERTEX_POINT('',#220275); #65517=VERTEX_POINT('',#220277); #65518=VERTEX_POINT('',#220281); #65519=VERTEX_POINT('',#220283); #65520=VERTEX_POINT('',#220287); #65521=VERTEX_POINT('',#220289); #65522=VERTEX_POINT('',#220293); #65523=VERTEX_POINT('',#220295); #65524=VERTEX_POINT('',#220299); #65525=VERTEX_POINT('',#220301); #65526=VERTEX_POINT('',#220305); #65527=VERTEX_POINT('',#220307); #65528=VERTEX_POINT('',#220311); #65529=VERTEX_POINT('',#220313); #65530=VERTEX_POINT('',#220317); #65531=VERTEX_POINT('',#220319); #65532=VERTEX_POINT('',#220323); #65533=VERTEX_POINT('',#220325); #65534=VERTEX_POINT('',#220329); #65535=VERTEX_POINT('',#220331); #65536=VERTEX_POINT('',#220335); #65537=VERTEX_POINT('',#220337); #65538=VERTEX_POINT('',#220341); #65539=VERTEX_POINT('',#220343); #65540=VERTEX_POINT('',#220347); #65541=VERTEX_POINT('',#220349); #65542=VERTEX_POINT('',#220353); #65543=VERTEX_POINT('',#220355); #65544=VERTEX_POINT('',#220359); #65545=VERTEX_POINT('',#220361); #65546=VERTEX_POINT('',#220365); #65547=VERTEX_POINT('',#220367); #65548=VERTEX_POINT('',#220371); #65549=VERTEX_POINT('',#220373); #65550=VERTEX_POINT('',#220377); #65551=VERTEX_POINT('',#220379); #65552=VERTEX_POINT('',#220383); #65553=VERTEX_POINT('',#220385); #65554=VERTEX_POINT('',#220389); #65555=VERTEX_POINT('',#220391); #65556=VERTEX_POINT('',#220395); #65557=VERTEX_POINT('',#220397); #65558=VERTEX_POINT('',#220401); #65559=VERTEX_POINT('',#220403); #65560=VERTEX_POINT('',#220407); #65561=VERTEX_POINT('',#220409); #65562=VERTEX_POINT('',#220413); #65563=VERTEX_POINT('',#220415); #65564=VERTEX_POINT('',#220419); #65565=VERTEX_POINT('',#220421); #65566=VERTEX_POINT('',#220425); #65567=VERTEX_POINT('',#220427); #65568=VERTEX_POINT('',#220431); #65569=VERTEX_POINT('',#220433); #65570=VERTEX_POINT('',#220437); #65571=VERTEX_POINT('',#220439); #65572=VERTEX_POINT('',#220443); #65573=VERTEX_POINT('',#220445); #65574=VERTEX_POINT('',#220449); #65575=VERTEX_POINT('',#220451); #65576=VERTEX_POINT('',#220455); #65577=VERTEX_POINT('',#220457); #65578=VERTEX_POINT('',#220461); #65579=VERTEX_POINT('',#220463); #65580=VERTEX_POINT('',#220467); #65581=VERTEX_POINT('',#220469); #65582=VERTEX_POINT('',#220473); #65583=VERTEX_POINT('',#220475); #65584=VERTEX_POINT('',#220479); #65585=VERTEX_POINT('',#220481); #65586=VERTEX_POINT('',#220485); #65587=VERTEX_POINT('',#220487); #65588=VERTEX_POINT('',#220491); #65589=VERTEX_POINT('',#220493); #65590=VERTEX_POINT('',#220497); #65591=VERTEX_POINT('',#220499); #65592=VERTEX_POINT('',#220503); #65593=VERTEX_POINT('',#220505); #65594=VERTEX_POINT('',#220509); #65595=VERTEX_POINT('',#220511); #65596=VERTEX_POINT('',#220515); #65597=VERTEX_POINT('',#220517); #65598=VERTEX_POINT('',#220521); #65599=VERTEX_POINT('',#220523); #65600=VERTEX_POINT('',#220527); #65601=VERTEX_POINT('',#220529); #65602=VERTEX_POINT('',#220533); #65603=VERTEX_POINT('',#220535); #65604=VERTEX_POINT('',#220539); #65605=VERTEX_POINT('',#220541); #65606=VERTEX_POINT('',#220545); #65607=VERTEX_POINT('',#220547); #65608=VERTEX_POINT('',#220551); #65609=VERTEX_POINT('',#220553); #65610=VERTEX_POINT('',#220557); #65611=VERTEX_POINT('',#220559); #65612=VERTEX_POINT('',#220563); #65613=VERTEX_POINT('',#220565); #65614=VERTEX_POINT('',#220569); #65615=VERTEX_POINT('',#220571); #65616=VERTEX_POINT('',#220575); #65617=VERTEX_POINT('',#220577); #65618=VERTEX_POINT('',#220581); #65619=VERTEX_POINT('',#220583); #65620=VERTEX_POINT('',#220587); #65621=VERTEX_POINT('',#220589); #65622=VERTEX_POINT('',#220593); #65623=VERTEX_POINT('',#220595); #65624=VERTEX_POINT('',#220599); #65625=VERTEX_POINT('',#220601); #65626=VERTEX_POINT('',#220605); #65627=VERTEX_POINT('',#220607); #65628=VERTEX_POINT('',#220611); #65629=VERTEX_POINT('',#220613); #65630=VERTEX_POINT('',#220617); #65631=VERTEX_POINT('',#220619); #65632=VERTEX_POINT('',#220623); #65633=VERTEX_POINT('',#220625); #65634=VERTEX_POINT('',#220629); #65635=VERTEX_POINT('',#220631); #65636=VERTEX_POINT('',#220635); #65637=VERTEX_POINT('',#220637); #65638=VERTEX_POINT('',#220641); #65639=VERTEX_POINT('',#220643); #65640=VERTEX_POINT('',#220647); #65641=VERTEX_POINT('',#220649); #65642=VERTEX_POINT('',#220653); #65643=VERTEX_POINT('',#220655); #65644=VERTEX_POINT('',#220659); #65645=VERTEX_POINT('',#220661); #65646=VERTEX_POINT('',#220665); #65647=VERTEX_POINT('',#220667); #65648=VERTEX_POINT('',#220671); #65649=VERTEX_POINT('',#220673); #65650=VERTEX_POINT('',#220677); #65651=VERTEX_POINT('',#220679); #65652=VERTEX_POINT('',#220683); #65653=VERTEX_POINT('',#220685); #65654=VERTEX_POINT('',#220689); #65655=VERTEX_POINT('',#220691); #65656=VERTEX_POINT('',#220695); #65657=VERTEX_POINT('',#220697); #65658=VERTEX_POINT('',#220701); #65659=VERTEX_POINT('',#220703); #65660=VERTEX_POINT('',#220707); #65661=VERTEX_POINT('',#220709); #65662=VERTEX_POINT('',#220713); #65663=VERTEX_POINT('',#220715); #65664=VERTEX_POINT('',#220719); #65665=VERTEX_POINT('',#220721); #65666=VERTEX_POINT('',#220725); #65667=VERTEX_POINT('',#220727); #65668=VERTEX_POINT('',#220731); #65669=VERTEX_POINT('',#220733); #65670=VERTEX_POINT('',#220737); #65671=VERTEX_POINT('',#220739); #65672=VERTEX_POINT('',#220743); #65673=VERTEX_POINT('',#220745); #65674=VERTEX_POINT('',#220749); #65675=VERTEX_POINT('',#220751); #65676=VERTEX_POINT('',#220755); #65677=VERTEX_POINT('',#220757); #65678=VERTEX_POINT('',#220761); #65679=VERTEX_POINT('',#220763); #65680=VERTEX_POINT('',#220767); #65681=VERTEX_POINT('',#220769); #65682=VERTEX_POINT('',#220773); #65683=VERTEX_POINT('',#220775); #65684=VERTEX_POINT('',#220779); #65685=VERTEX_POINT('',#220781); #65686=VERTEX_POINT('',#220785); #65687=VERTEX_POINT('',#220787); #65688=VERTEX_POINT('',#220791); #65689=VERTEX_POINT('',#220793); #65690=VERTEX_POINT('',#220797); #65691=VERTEX_POINT('',#220799); #65692=VERTEX_POINT('',#220803); #65693=VERTEX_POINT('',#220805); #65694=VERTEX_POINT('',#220809); #65695=VERTEX_POINT('',#220811); #65696=VERTEX_POINT('',#220815); #65697=VERTEX_POINT('',#220817); #65698=VERTEX_POINT('',#220821); #65699=VERTEX_POINT('',#220823); #65700=VERTEX_POINT('',#220827); #65701=VERTEX_POINT('',#220829); #65702=VERTEX_POINT('',#220837); #65703=VERTEX_POINT('',#220838); #65704=VERTEX_POINT('',#220840); #65705=VERTEX_POINT('',#220842); #65706=VERTEX_POINT('',#220846); #65707=VERTEX_POINT('',#220848); #65708=VERTEX_POINT('',#220852); #65709=VERTEX_POINT('',#220854); #65710=VERTEX_POINT('',#220858); #65711=VERTEX_POINT('',#220860); #65712=VERTEX_POINT('',#220864); #65713=VERTEX_POINT('',#220866); #65714=VERTEX_POINT('',#220870); #65715=VERTEX_POINT('',#220872); #65716=VERTEX_POINT('',#220876); #65717=VERTEX_POINT('',#220878); #65718=VERTEX_POINT('',#220882); #65719=VERTEX_POINT('',#220884); #65720=VERTEX_POINT('',#220888); #65721=VERTEX_POINT('',#220890); #65722=VERTEX_POINT('',#220894); #65723=VERTEX_POINT('',#220896); #65724=VERTEX_POINT('',#220900); #65725=VERTEX_POINT('',#220902); #65726=VERTEX_POINT('',#220906); #65727=VERTEX_POINT('',#220908); #65728=VERTEX_POINT('',#220912); #65729=VERTEX_POINT('',#220914); #65730=VERTEX_POINT('',#220918); #65731=VERTEX_POINT('',#220920); #65732=VERTEX_POINT('',#220924); #65733=VERTEX_POINT('',#220926); #65734=VERTEX_POINT('',#220930); #65735=VERTEX_POINT('',#220932); #65736=VERTEX_POINT('',#220936); #65737=VERTEX_POINT('',#220938); #65738=VERTEX_POINT('',#220942); #65739=VERTEX_POINT('',#220944); #65740=VERTEX_POINT('',#220948); #65741=VERTEX_POINT('',#220950); #65742=VERTEX_POINT('',#220954); #65743=VERTEX_POINT('',#220956); #65744=VERTEX_POINT('',#220960); #65745=VERTEX_POINT('',#220962); #65746=VERTEX_POINT('',#220966); #65747=VERTEX_POINT('',#220968); #65748=VERTEX_POINT('',#220972); #65749=VERTEX_POINT('',#220974); #65750=VERTEX_POINT('',#220978); #65751=VERTEX_POINT('',#220980); #65752=VERTEX_POINT('',#220984); #65753=VERTEX_POINT('',#220986); #65754=VERTEX_POINT('',#220990); #65755=VERTEX_POINT('',#220992); #65756=VERTEX_POINT('',#220996); #65757=VERTEX_POINT('',#220998); #65758=VERTEX_POINT('',#221002); #65759=VERTEX_POINT('',#221004); #65760=VERTEX_POINT('',#221008); #65761=VERTEX_POINT('',#221010); #65762=VERTEX_POINT('',#221014); #65763=VERTEX_POINT('',#221016); #65764=VERTEX_POINT('',#221020); #65765=VERTEX_POINT('',#221022); #65766=VERTEX_POINT('',#221026); #65767=VERTEX_POINT('',#221028); #65768=VERTEX_POINT('',#221032); #65769=VERTEX_POINT('',#221034); #65770=VERTEX_POINT('',#221038); #65771=VERTEX_POINT('',#221040); #65772=VERTEX_POINT('',#221044); #65773=VERTEX_POINT('',#221046); #65774=VERTEX_POINT('',#221050); #65775=VERTEX_POINT('',#221052); #65776=VERTEX_POINT('',#221056); #65777=VERTEX_POINT('',#221058); #65778=VERTEX_POINT('',#221062); #65779=VERTEX_POINT('',#221064); #65780=VERTEX_POINT('',#221068); #65781=VERTEX_POINT('',#221070); #65782=VERTEX_POINT('',#221074); #65783=VERTEX_POINT('',#221076); #65784=VERTEX_POINT('',#221080); #65785=VERTEX_POINT('',#221082); #65786=VERTEX_POINT('',#221086); #65787=VERTEX_POINT('',#221088); #65788=VERTEX_POINT('',#221092); #65789=VERTEX_POINT('',#221094); #65790=VERTEX_POINT('',#221098); #65791=VERTEX_POINT('',#221100); #65792=VERTEX_POINT('',#221104); #65793=VERTEX_POINT('',#221106); #65794=VERTEX_POINT('',#221110); #65795=VERTEX_POINT('',#221112); #65796=VERTEX_POINT('',#221116); #65797=VERTEX_POINT('',#221118); #65798=VERTEX_POINT('',#221122); #65799=VERTEX_POINT('',#221124); #65800=VERTEX_POINT('',#221128); #65801=VERTEX_POINT('',#221130); #65802=VERTEX_POINT('',#221134); #65803=VERTEX_POINT('',#221136); #65804=VERTEX_POINT('',#221140); #65805=VERTEX_POINT('',#221142); #65806=VERTEX_POINT('',#221146); #65807=VERTEX_POINT('',#221148); #65808=VERTEX_POINT('',#221152); #65809=VERTEX_POINT('',#221154); #65810=VERTEX_POINT('',#221158); #65811=VERTEX_POINT('',#221160); #65812=VERTEX_POINT('',#221164); #65813=VERTEX_POINT('',#221166); #65814=VERTEX_POINT('',#221170); #65815=VERTEX_POINT('',#221172); #65816=VERTEX_POINT('',#221176); #65817=VERTEX_POINT('',#221178); #65818=VERTEX_POINT('',#221182); #65819=VERTEX_POINT('',#221184); #65820=VERTEX_POINT('',#221188); #65821=VERTEX_POINT('',#221190); #65822=VERTEX_POINT('',#221194); #65823=VERTEX_POINT('',#221196); #65824=VERTEX_POINT('',#221200); #65825=VERTEX_POINT('',#221202); #65826=VERTEX_POINT('',#221206); #65827=VERTEX_POINT('',#221208); #65828=VERTEX_POINT('',#221212); #65829=VERTEX_POINT('',#221214); #65830=VERTEX_POINT('',#221218); #65831=VERTEX_POINT('',#221220); #65832=VERTEX_POINT('',#221224); #65833=VERTEX_POINT('',#221226); #65834=VERTEX_POINT('',#221230); #65835=VERTEX_POINT('',#221232); #65836=VERTEX_POINT('',#221236); #65837=VERTEX_POINT('',#221238); #65838=VERTEX_POINT('',#221242); #65839=VERTEX_POINT('',#221244); #65840=VERTEX_POINT('',#221248); #65841=VERTEX_POINT('',#221250); #65842=VERTEX_POINT('',#221254); #65843=VERTEX_POINT('',#221256); #65844=VERTEX_POINT('',#221260); #65845=VERTEX_POINT('',#221262); #65846=VERTEX_POINT('',#221266); #65847=VERTEX_POINT('',#221268); #65848=VERTEX_POINT('',#221272); #65849=VERTEX_POINT('',#221274); #65850=VERTEX_POINT('',#221278); #65851=VERTEX_POINT('',#221280); #65852=VERTEX_POINT('',#221284); #65853=VERTEX_POINT('',#221286); #65854=VERTEX_POINT('',#221290); #65855=VERTEX_POINT('',#221292); #65856=VERTEX_POINT('',#221296); #65857=VERTEX_POINT('',#221298); #65858=VERTEX_POINT('',#221302); #65859=VERTEX_POINT('',#221304); #65860=VERTEX_POINT('',#221308); #65861=VERTEX_POINT('',#221310); #65862=VERTEX_POINT('',#221314); #65863=VERTEX_POINT('',#221316); #65864=VERTEX_POINT('',#221320); #65865=VERTEX_POINT('',#221322); #65866=VERTEX_POINT('',#221326); #65867=VERTEX_POINT('',#221328); #65868=VERTEX_POINT('',#221332); #65869=VERTEX_POINT('',#221334); #65870=VERTEX_POINT('',#221338); #65871=VERTEX_POINT('',#221340); #65872=VERTEX_POINT('',#221344); #65873=VERTEX_POINT('',#221346); #65874=VERTEX_POINT('',#221350); #65875=VERTEX_POINT('',#221352); #65876=VERTEX_POINT('',#221356); #65877=VERTEX_POINT('',#221358); #65878=VERTEX_POINT('',#221362); #65879=VERTEX_POINT('',#221364); #65880=VERTEX_POINT('',#221368); #65881=VERTEX_POINT('',#221370); #65882=VERTEX_POINT('',#221374); #65883=VERTEX_POINT('',#221376); #65884=VERTEX_POINT('',#221380); #65885=VERTEX_POINT('',#221382); #65886=VERTEX_POINT('',#221386); #65887=VERTEX_POINT('',#221388); #65888=VERTEX_POINT('',#221392); #65889=VERTEX_POINT('',#221394); #65890=VERTEX_POINT('',#221398); #65891=VERTEX_POINT('',#221400); #65892=VERTEX_POINT('',#221404); #65893=VERTEX_POINT('',#221406); #65894=VERTEX_POINT('',#221410); #65895=VERTEX_POINT('',#221412); #65896=VERTEX_POINT('',#221416); #65897=VERTEX_POINT('',#221418); #65898=VERTEX_POINT('',#221422); #65899=VERTEX_POINT('',#221424); #65900=VERTEX_POINT('',#221428); #65901=VERTEX_POINT('',#221430); #65902=VERTEX_POINT('',#221434); #65903=VERTEX_POINT('',#221436); #65904=VERTEX_POINT('',#221440); #65905=VERTEX_POINT('',#221442); #65906=VERTEX_POINT('',#221446); #65907=VERTEX_POINT('',#221448); #65908=VERTEX_POINT('',#221452); #65909=VERTEX_POINT('',#221454); #65910=VERTEX_POINT('',#221458); #65911=VERTEX_POINT('',#221460); #65912=VERTEX_POINT('',#221464); #65913=VERTEX_POINT('',#221466); #65914=VERTEX_POINT('',#221470); #65915=VERTEX_POINT('',#221472); #65916=VERTEX_POINT('',#221476); #65917=VERTEX_POINT('',#221478); #65918=VERTEX_POINT('',#221482); #65919=VERTEX_POINT('',#221484); #65920=VERTEX_POINT('',#221488); #65921=VERTEX_POINT('',#221490); #65922=VERTEX_POINT('',#221494); #65923=VERTEX_POINT('',#221496); #65924=VERTEX_POINT('',#221500); #65925=VERTEX_POINT('',#221502); #65926=VERTEX_POINT('',#221506); #65927=VERTEX_POINT('',#221508); #65928=VERTEX_POINT('',#221512); #65929=VERTEX_POINT('',#221514); #65930=VERTEX_POINT('',#221518); #65931=VERTEX_POINT('',#221520); #65932=VERTEX_POINT('',#221524); #65933=VERTEX_POINT('',#221526); #65934=VERTEX_POINT('',#221530); #65935=VERTEX_POINT('',#221532); #65936=VERTEX_POINT('',#221536); #65937=VERTEX_POINT('',#221538); #65938=VERTEX_POINT('',#221542); #65939=VERTEX_POINT('',#221544); #65940=VERTEX_POINT('',#221548); #65941=VERTEX_POINT('',#221550); #65942=VERTEX_POINT('',#221554); #65943=VERTEX_POINT('',#221556); #65944=VERTEX_POINT('',#221560); #65945=VERTEX_POINT('',#221562); #65946=VERTEX_POINT('',#221566); #65947=VERTEX_POINT('',#221568); #65948=VERTEX_POINT('',#221572); #65949=VERTEX_POINT('',#221574); #65950=VERTEX_POINT('',#221578); #65951=VERTEX_POINT('',#221580); #65952=VERTEX_POINT('',#221584); #65953=VERTEX_POINT('',#221586); #65954=VERTEX_POINT('',#221590); #65955=VERTEX_POINT('',#221592); #65956=VERTEX_POINT('',#221596); #65957=VERTEX_POINT('',#221598); #65958=VERTEX_POINT('',#221602); #65959=VERTEX_POINT('',#221604); #65960=VERTEX_POINT('',#221608); #65961=VERTEX_POINT('',#221610); #65962=VERTEX_POINT('',#221618); #65963=VERTEX_POINT('',#221619); #65964=VERTEX_POINT('',#221621); #65965=VERTEX_POINT('',#221623); #65966=VERTEX_POINT('',#221627); #65967=VERTEX_POINT('',#221629); #65968=VERTEX_POINT('',#221633); #65969=VERTEX_POINT('',#221635); #65970=VERTEX_POINT('',#221639); #65971=VERTEX_POINT('',#221641); #65972=VERTEX_POINT('',#221645); #65973=VERTEX_POINT('',#221647); #65974=VERTEX_POINT('',#221651); #65975=VERTEX_POINT('',#221653); #65976=VERTEX_POINT('',#221657); #65977=VERTEX_POINT('',#221659); #65978=VERTEX_POINT('',#221663); #65979=VERTEX_POINT('',#221665); #65980=VERTEX_POINT('',#221669); #65981=VERTEX_POINT('',#221671); #65982=VERTEX_POINT('',#221675); #65983=VERTEX_POINT('',#221677); #65984=VERTEX_POINT('',#221681); #65985=VERTEX_POINT('',#221683); #65986=VERTEX_POINT('',#221687); #65987=VERTEX_POINT('',#221689); #65988=VERTEX_POINT('',#221693); #65989=VERTEX_POINT('',#221695); #65990=VERTEX_POINT('',#221699); #65991=VERTEX_POINT('',#221701); #65992=VERTEX_POINT('',#221705); #65993=VERTEX_POINT('',#221707); #65994=VERTEX_POINT('',#221711); #65995=VERTEX_POINT('',#221713); #65996=VERTEX_POINT('',#221717); #65997=VERTEX_POINT('',#221719); #65998=VERTEX_POINT('',#221723); #65999=VERTEX_POINT('',#221725); #66000=VERTEX_POINT('',#221729); #66001=VERTEX_POINT('',#221731); #66002=VERTEX_POINT('',#221735); #66003=VERTEX_POINT('',#221737); #66004=VERTEX_POINT('',#221741); #66005=VERTEX_POINT('',#221743); #66006=VERTEX_POINT('',#221747); #66007=VERTEX_POINT('',#221749); #66008=VERTEX_POINT('',#221753); #66009=VERTEX_POINT('',#221755); #66010=VERTEX_POINT('',#221759); #66011=VERTEX_POINT('',#221761); #66012=VERTEX_POINT('',#221765); #66013=VERTEX_POINT('',#221767); #66014=VERTEX_POINT('',#221771); #66015=VERTEX_POINT('',#221773); #66016=VERTEX_POINT('',#221777); #66017=VERTEX_POINT('',#221779); #66018=VERTEX_POINT('',#221783); #66019=VERTEX_POINT('',#221785); #66020=VERTEX_POINT('',#221789); #66021=VERTEX_POINT('',#221791); #66022=VERTEX_POINT('',#221795); #66023=VERTEX_POINT('',#221797); #66024=VERTEX_POINT('',#221801); #66025=VERTEX_POINT('',#221803); #66026=VERTEX_POINT('',#221807); #66027=VERTEX_POINT('',#221809); #66028=VERTEX_POINT('',#221813); #66029=VERTEX_POINT('',#221815); #66030=VERTEX_POINT('',#221819); #66031=VERTEX_POINT('',#221821); #66032=VERTEX_POINT('',#221825); #66033=VERTEX_POINT('',#221827); #66034=VERTEX_POINT('',#221831); #66035=VERTEX_POINT('',#221833); #66036=VERTEX_POINT('',#221837); #66037=VERTEX_POINT('',#221839); #66038=VERTEX_POINT('',#221843); #66039=VERTEX_POINT('',#221845); #66040=VERTEX_POINT('',#221849); #66041=VERTEX_POINT('',#221851); #66042=VERTEX_POINT('',#221855); #66043=VERTEX_POINT('',#221857); #66044=VERTEX_POINT('',#221861); #66045=VERTEX_POINT('',#221863); #66046=VERTEX_POINT('',#221867); #66047=VERTEX_POINT('',#221869); #66048=VERTEX_POINT('',#221873); #66049=VERTEX_POINT('',#221875); #66050=VERTEX_POINT('',#221879); #66051=VERTEX_POINT('',#221881); #66052=VERTEX_POINT('',#221885); #66053=VERTEX_POINT('',#221887); #66054=VERTEX_POINT('',#221891); #66055=VERTEX_POINT('',#221893); #66056=VERTEX_POINT('',#221897); #66057=VERTEX_POINT('',#221899); #66058=VERTEX_POINT('',#221903); #66059=VERTEX_POINT('',#221905); #66060=VERTEX_POINT('',#221909); #66061=VERTEX_POINT('',#221911); #66062=VERTEX_POINT('',#221915); #66063=VERTEX_POINT('',#221917); #66064=VERTEX_POINT('',#221921); #66065=VERTEX_POINT('',#221923); #66066=VERTEX_POINT('',#221927); #66067=VERTEX_POINT('',#221929); #66068=VERTEX_POINT('',#221933); #66069=VERTEX_POINT('',#221935); #66070=VERTEX_POINT('',#221939); #66071=VERTEX_POINT('',#221941); #66072=VERTEX_POINT('',#221945); #66073=VERTEX_POINT('',#221947); #66074=VERTEX_POINT('',#221951); #66075=VERTEX_POINT('',#221953); #66076=VERTEX_POINT('',#221957); #66077=VERTEX_POINT('',#221959); #66078=VERTEX_POINT('',#221963); #66079=VERTEX_POINT('',#221965); #66080=VERTEX_POINT('',#221969); #66081=VERTEX_POINT('',#221971); #66082=VERTEX_POINT('',#221975); #66083=VERTEX_POINT('',#221977); #66084=VERTEX_POINT('',#221981); #66085=VERTEX_POINT('',#221983); #66086=VERTEX_POINT('',#221987); #66087=VERTEX_POINT('',#221989); #66088=VERTEX_POINT('',#221993); #66089=VERTEX_POINT('',#221995); #66090=VERTEX_POINT('',#221999); #66091=VERTEX_POINT('',#222001); #66092=VERTEX_POINT('',#222005); #66093=VERTEX_POINT('',#222007); #66094=VERTEX_POINT('',#222011); #66095=VERTEX_POINT('',#222013); #66096=VERTEX_POINT('',#222017); #66097=VERTEX_POINT('',#222019); #66098=VERTEX_POINT('',#222023); #66099=VERTEX_POINT('',#222025); #66100=VERTEX_POINT('',#222029); #66101=VERTEX_POINT('',#222031); #66102=VERTEX_POINT('',#222035); #66103=VERTEX_POINT('',#222037); #66104=VERTEX_POINT('',#222041); #66105=VERTEX_POINT('',#222043); #66106=VERTEX_POINT('',#222047); #66107=VERTEX_POINT('',#222049); #66108=VERTEX_POINT('',#222053); #66109=VERTEX_POINT('',#222055); #66110=VERTEX_POINT('',#222059); #66111=VERTEX_POINT('',#222061); #66112=VERTEX_POINT('',#222065); #66113=VERTEX_POINT('',#222067); #66114=VERTEX_POINT('',#222071); #66115=VERTEX_POINT('',#222073); #66116=VERTEX_POINT('',#222077); #66117=VERTEX_POINT('',#222079); #66118=VERTEX_POINT('',#222083); #66119=VERTEX_POINT('',#222085); #66120=VERTEX_POINT('',#222089); #66121=VERTEX_POINT('',#222091); #66122=VERTEX_POINT('',#222095); #66123=VERTEX_POINT('',#222097); #66124=VERTEX_POINT('',#222101); #66125=VERTEX_POINT('',#222103); #66126=VERTEX_POINT('',#222107); #66127=VERTEX_POINT('',#222109); #66128=VERTEX_POINT('',#222113); #66129=VERTEX_POINT('',#222115); #66130=VERTEX_POINT('',#222119); #66131=VERTEX_POINT('',#222121); #66132=VERTEX_POINT('',#222125); #66133=VERTEX_POINT('',#222127); #66134=VERTEX_POINT('',#222131); #66135=VERTEX_POINT('',#222133); #66136=VERTEX_POINT('',#222137); #66137=VERTEX_POINT('',#222139); #66138=VERTEX_POINT('',#222143); #66139=VERTEX_POINT('',#222145); #66140=VERTEX_POINT('',#222149); #66141=VERTEX_POINT('',#222151); #66142=VERTEX_POINT('',#222155); #66143=VERTEX_POINT('',#222157); #66144=VERTEX_POINT('',#222161); #66145=VERTEX_POINT('',#222163); #66146=VERTEX_POINT('',#222167); #66147=VERTEX_POINT('',#222169); #66148=VERTEX_POINT('',#222173); #66149=VERTEX_POINT('',#222175); #66150=VERTEX_POINT('',#222179); #66151=VERTEX_POINT('',#222181); #66152=VERTEX_POINT('',#222185); #66153=VERTEX_POINT('',#222187); #66154=VERTEX_POINT('',#222191); #66155=VERTEX_POINT('',#222193); #66156=VERTEX_POINT('',#222197); #66157=VERTEX_POINT('',#222199); #66158=VERTEX_POINT('',#222203); #66159=VERTEX_POINT('',#222205); #66160=VERTEX_POINT('',#222209); #66161=VERTEX_POINT('',#222211); #66162=VERTEX_POINT('',#222215); #66163=VERTEX_POINT('',#222217); #66164=VERTEX_POINT('',#222221); #66165=VERTEX_POINT('',#222223); #66166=VERTEX_POINT('',#222227); #66167=VERTEX_POINT('',#222229); #66168=VERTEX_POINT('',#222233); #66169=VERTEX_POINT('',#222235); #66170=VERTEX_POINT('',#222239); #66171=VERTEX_POINT('',#222241); #66172=VERTEX_POINT('',#222245); #66173=VERTEX_POINT('',#222247); #66174=VERTEX_POINT('',#222251); #66175=VERTEX_POINT('',#222253); #66176=VERTEX_POINT('',#222257); #66177=VERTEX_POINT('',#222259); #66178=VERTEX_POINT('',#222263); #66179=VERTEX_POINT('',#222265); #66180=VERTEX_POINT('',#222269); #66181=VERTEX_POINT('',#222271); #66182=VERTEX_POINT('',#222275); #66183=VERTEX_POINT('',#222277); #66184=VERTEX_POINT('',#222281); #66185=VERTEX_POINT('',#222283); #66186=VERTEX_POINT('',#222287); #66187=VERTEX_POINT('',#222289); #66188=VERTEX_POINT('',#222293); #66189=VERTEX_POINT('',#222295); #66190=VERTEX_POINT('',#222299); #66191=VERTEX_POINT('',#222301); #66192=VERTEX_POINT('',#222305); #66193=VERTEX_POINT('',#222307); #66194=VERTEX_POINT('',#222311); #66195=VERTEX_POINT('',#222313); #66196=VERTEX_POINT('',#222317); #66197=VERTEX_POINT('',#222319); #66198=VERTEX_POINT('',#222323); #66199=VERTEX_POINT('',#222325); #66200=VERTEX_POINT('',#222329); #66201=VERTEX_POINT('',#222331); #66202=VERTEX_POINT('',#222335); #66203=VERTEX_POINT('',#222337); #66204=VERTEX_POINT('',#222341); #66205=VERTEX_POINT('',#222343); #66206=VERTEX_POINT('',#222347); #66207=VERTEX_POINT('',#222349); #66208=VERTEX_POINT('',#222353); #66209=VERTEX_POINT('',#222355); #66210=VERTEX_POINT('',#222359); #66211=VERTEX_POINT('',#222361); #66212=VERTEX_POINT('',#222365); #66213=VERTEX_POINT('',#222367); #66214=VERTEX_POINT('',#222371); #66215=VERTEX_POINT('',#222373); #66216=VERTEX_POINT('',#222377); #66217=VERTEX_POINT('',#222379); #66218=VERTEX_POINT('',#222383); #66219=VERTEX_POINT('',#222385); #66220=VERTEX_POINT('',#222389); #66221=VERTEX_POINT('',#222391); #66222=VERTEX_POINT('',#222395); #66223=VERTEX_POINT('',#222397); #66224=VERTEX_POINT('',#222401); #66225=VERTEX_POINT('',#222403); #66226=VERTEX_POINT('',#222407); #66227=VERTEX_POINT('',#222409); #66228=VERTEX_POINT('',#222413); #66229=VERTEX_POINT('',#222415); #66230=VERTEX_POINT('',#222419); #66231=VERTEX_POINT('',#222421); #66232=VERTEX_POINT('',#222425); #66233=VERTEX_POINT('',#222427); #66234=VERTEX_POINT('',#222431); #66235=VERTEX_POINT('',#222433); #66236=VERTEX_POINT('',#222437); #66237=VERTEX_POINT('',#222439); #66238=VERTEX_POINT('',#222443); #66239=VERTEX_POINT('',#222445); #66240=VERTEX_POINT('',#222449); #66241=VERTEX_POINT('',#222451); #66242=VERTEX_POINT('',#222455); #66243=VERTEX_POINT('',#222457); #66244=VERTEX_POINT('',#222461); #66245=VERTEX_POINT('',#222463); #66246=VERTEX_POINT('',#222467); #66247=VERTEX_POINT('',#222469); #66248=VERTEX_POINT('',#222473); #66249=VERTEX_POINT('',#222475); #66250=VERTEX_POINT('',#222479); #66251=VERTEX_POINT('',#222481); #66252=VERTEX_POINT('',#222485); #66253=VERTEX_POINT('',#222487); #66254=VERTEX_POINT('',#222491); #66255=VERTEX_POINT('',#222493); #66256=VERTEX_POINT('',#222497); #66257=VERTEX_POINT('',#222499); #66258=VERTEX_POINT('',#222503); #66259=VERTEX_POINT('',#222505); #66260=VERTEX_POINT('',#222509); #66261=VERTEX_POINT('',#222511); #66262=VERTEX_POINT('',#222515); #66263=VERTEX_POINT('',#222517); #66264=VERTEX_POINT('',#222521); #66265=VERTEX_POINT('',#222523); #66266=VERTEX_POINT('',#222527); #66267=VERTEX_POINT('',#222529); #66268=VERTEX_POINT('',#222533); #66269=VERTEX_POINT('',#222535); #66270=VERTEX_POINT('',#222539); #66271=VERTEX_POINT('',#222541); #66272=VERTEX_POINT('',#222545); #66273=VERTEX_POINT('',#222547); #66274=VERTEX_POINT('',#222551); #66275=VERTEX_POINT('',#222553); #66276=VERTEX_POINT('',#222557); #66277=VERTEX_POINT('',#222559); #66278=VERTEX_POINT('',#222563); #66279=VERTEX_POINT('',#222565); #66280=VERTEX_POINT('',#222569); #66281=VERTEX_POINT('',#222571); #66282=VERTEX_POINT('',#222575); #66283=VERTEX_POINT('',#222577); #66284=VERTEX_POINT('',#222581); #66285=VERTEX_POINT('',#222583); #66286=VERTEX_POINT('',#222587); #66287=VERTEX_POINT('',#222589); #66288=VERTEX_POINT('',#222597); #66289=VERTEX_POINT('',#222598); #66290=VERTEX_POINT('',#222600); #66291=VERTEX_POINT('',#222602); #66292=VERTEX_POINT('',#222606); #66293=VERTEX_POINT('',#222608); #66294=VERTEX_POINT('',#222612); #66295=VERTEX_POINT('',#222614); #66296=VERTEX_POINT('',#222618); #66297=VERTEX_POINT('',#222620); #66298=VERTEX_POINT('',#222624); #66299=VERTEX_POINT('',#222626); #66300=VERTEX_POINT('',#222630); #66301=VERTEX_POINT('',#222632); #66302=VERTEX_POINT('',#222636); #66303=VERTEX_POINT('',#222638); #66304=VERTEX_POINT('',#222642); #66305=VERTEX_POINT('',#222644); #66306=VERTEX_POINT('',#222648); #66307=VERTEX_POINT('',#222650); #66308=VERTEX_POINT('',#222654); #66309=VERTEX_POINT('',#222656); #66310=VERTEX_POINT('',#222660); #66311=VERTEX_POINT('',#222662); #66312=VERTEX_POINT('',#222666); #66313=VERTEX_POINT('',#222668); #66314=VERTEX_POINT('',#222672); #66315=VERTEX_POINT('',#222674); #66316=VERTEX_POINT('',#222678); #66317=VERTEX_POINT('',#222680); #66318=VERTEX_POINT('',#222684); #66319=VERTEX_POINT('',#222686); #66320=VERTEX_POINT('',#222690); #66321=VERTEX_POINT('',#222692); #66322=VERTEX_POINT('',#222696); #66323=VERTEX_POINT('',#222698); #66324=VERTEX_POINT('',#222702); #66325=VERTEX_POINT('',#222704); #66326=VERTEX_POINT('',#222708); #66327=VERTEX_POINT('',#222710); #66328=VERTEX_POINT('',#222714); #66329=VERTEX_POINT('',#222716); #66330=VERTEX_POINT('',#222720); #66331=VERTEX_POINT('',#222722); #66332=VERTEX_POINT('',#222726); #66333=VERTEX_POINT('',#222728); #66334=VERTEX_POINT('',#222732); #66335=VERTEX_POINT('',#222734); #66336=VERTEX_POINT('',#222738); #66337=VERTEX_POINT('',#222740); #66338=VERTEX_POINT('',#222744); #66339=VERTEX_POINT('',#222746); #66340=VERTEX_POINT('',#222750); #66341=VERTEX_POINT('',#222752); #66342=VERTEX_POINT('',#222756); #66343=VERTEX_POINT('',#222758); #66344=VERTEX_POINT('',#222762); #66345=VERTEX_POINT('',#222764); #66346=VERTEX_POINT('',#222768); #66347=VERTEX_POINT('',#222770); #66348=VERTEX_POINT('',#222774); #66349=VERTEX_POINT('',#222776); #66350=VERTEX_POINT('',#222780); #66351=VERTEX_POINT('',#222782); #66352=VERTEX_POINT('',#222786); #66353=VERTEX_POINT('',#222788); #66354=VERTEX_POINT('',#222792); #66355=VERTEX_POINT('',#222794); #66356=VERTEX_POINT('',#222798); #66357=VERTEX_POINT('',#222800); #66358=VERTEX_POINT('',#222804); #66359=VERTEX_POINT('',#222806); #66360=VERTEX_POINT('',#222810); #66361=VERTEX_POINT('',#222812); #66362=VERTEX_POINT('',#222816); #66363=VERTEX_POINT('',#222818); #66364=VERTEX_POINT('',#222822); #66365=VERTEX_POINT('',#222824); #66366=VERTEX_POINT('',#222828); #66367=VERTEX_POINT('',#222830); #66368=VERTEX_POINT('',#222834); #66369=VERTEX_POINT('',#222836); #66370=VERTEX_POINT('',#222840); #66371=VERTEX_POINT('',#222842); #66372=VERTEX_POINT('',#222846); #66373=VERTEX_POINT('',#222848); #66374=VERTEX_POINT('',#222852); #66375=VERTEX_POINT('',#222854); #66376=VERTEX_POINT('',#222858); #66377=VERTEX_POINT('',#222860); #66378=VERTEX_POINT('',#222864); #66379=VERTEX_POINT('',#222866); #66380=VERTEX_POINT('',#222870); #66381=VERTEX_POINT('',#222872); #66382=VERTEX_POINT('',#222876); #66383=VERTEX_POINT('',#222878); #66384=VERTEX_POINT('',#222882); #66385=VERTEX_POINT('',#222884); #66386=VERTEX_POINT('',#222888); #66387=VERTEX_POINT('',#222890); #66388=VERTEX_POINT('',#222894); #66389=VERTEX_POINT('',#222896); #66390=VERTEX_POINT('',#222900); #66391=VERTEX_POINT('',#222902); #66392=VERTEX_POINT('',#222906); #66393=VERTEX_POINT('',#222908); #66394=VERTEX_POINT('',#222912); #66395=VERTEX_POINT('',#222914); #66396=VERTEX_POINT('',#222918); #66397=VERTEX_POINT('',#222920); #66398=VERTEX_POINT('',#222924); #66399=VERTEX_POINT('',#222926); #66400=VERTEX_POINT('',#222930); #66401=VERTEX_POINT('',#222932); #66402=VERTEX_POINT('',#222936); #66403=VERTEX_POINT('',#222938); #66404=VERTEX_POINT('',#222942); #66405=VERTEX_POINT('',#222944); #66406=VERTEX_POINT('',#222948); #66407=VERTEX_POINT('',#222950); #66408=VERTEX_POINT('',#222954); #66409=VERTEX_POINT('',#222956); #66410=VERTEX_POINT('',#222960); #66411=VERTEX_POINT('',#222962); #66412=VERTEX_POINT('',#222966); #66413=VERTEX_POINT('',#222968); #66414=VERTEX_POINT('',#222972); #66415=VERTEX_POINT('',#222974); #66416=VERTEX_POINT('',#222978); #66417=VERTEX_POINT('',#222980); #66418=VERTEX_POINT('',#222984); #66419=VERTEX_POINT('',#222986); #66420=VERTEX_POINT('',#222990); #66421=VERTEX_POINT('',#222992); #66422=VERTEX_POINT('',#222996); #66423=VERTEX_POINT('',#222998); #66424=VERTEX_POINT('',#223002); #66425=VERTEX_POINT('',#223004); #66426=VERTEX_POINT('',#223008); #66427=VERTEX_POINT('',#223010); #66428=VERTEX_POINT('',#223014); #66429=VERTEX_POINT('',#223016); #66430=VERTEX_POINT('',#223020); #66431=VERTEX_POINT('',#223022); #66432=VERTEX_POINT('',#223026); #66433=VERTEX_POINT('',#223028); #66434=VERTEX_POINT('',#223032); #66435=VERTEX_POINT('',#223034); #66436=VERTEX_POINT('',#223038); #66437=VERTEX_POINT('',#223040); #66438=VERTEX_POINT('',#223044); #66439=VERTEX_POINT('',#223046); #66440=VERTEX_POINT('',#223050); #66441=VERTEX_POINT('',#223052); #66442=VERTEX_POINT('',#223056); #66443=VERTEX_POINT('',#223058); #66444=VERTEX_POINT('',#223062); #66445=VERTEX_POINT('',#223064); #66446=VERTEX_POINT('',#223068); #66447=VERTEX_POINT('',#223070); #66448=VERTEX_POINT('',#223074); #66449=VERTEX_POINT('',#223076); #66450=VERTEX_POINT('',#223080); #66451=VERTEX_POINT('',#223082); #66452=VERTEX_POINT('',#223086); #66453=VERTEX_POINT('',#223088); #66454=VERTEX_POINT('',#223092); #66455=VERTEX_POINT('',#223094); #66456=VERTEX_POINT('',#223098); #66457=VERTEX_POINT('',#223100); #66458=VERTEX_POINT('',#223104); #66459=VERTEX_POINT('',#223106); #66460=VERTEX_POINT('',#223110); #66461=VERTEX_POINT('',#223112); #66462=VERTEX_POINT('',#223116); #66463=VERTEX_POINT('',#223118); #66464=VERTEX_POINT('',#223122); #66465=VERTEX_POINT('',#223124); #66466=VERTEX_POINT('',#223128); #66467=VERTEX_POINT('',#223130); #66468=VERTEX_POINT('',#223134); #66469=VERTEX_POINT('',#223136); #66470=VERTEX_POINT('',#223140); #66471=VERTEX_POINT('',#223142); #66472=VERTEX_POINT('',#223146); #66473=VERTEX_POINT('',#223148); #66474=VERTEX_POINT('',#223152); #66475=VERTEX_POINT('',#223154); #66476=VERTEX_POINT('',#223158); #66477=VERTEX_POINT('',#223160); #66478=VERTEX_POINT('',#223164); #66479=VERTEX_POINT('',#223166); #66480=VERTEX_POINT('',#223170); #66481=VERTEX_POINT('',#223172); #66482=VERTEX_POINT('',#223176); #66483=VERTEX_POINT('',#223178); #66484=VERTEX_POINT('',#223182); #66485=VERTEX_POINT('',#223184); #66486=VERTEX_POINT('',#223188); #66487=VERTEX_POINT('',#223190); #66488=VERTEX_POINT('',#223194); #66489=VERTEX_POINT('',#223196); #66490=VERTEX_POINT('',#223200); #66491=VERTEX_POINT('',#223202); #66492=VERTEX_POINT('',#223206); #66493=VERTEX_POINT('',#223208); #66494=VERTEX_POINT('',#223212); #66495=VERTEX_POINT('',#223214); #66496=VERTEX_POINT('',#223218); #66497=VERTEX_POINT('',#223220); #66498=VERTEX_POINT('',#223224); #66499=VERTEX_POINT('',#223226); #66500=VERTEX_POINT('',#223230); #66501=VERTEX_POINT('',#223232); #66502=VERTEX_POINT('',#223236); #66503=VERTEX_POINT('',#223238); #66504=VERTEX_POINT('',#223242); #66505=VERTEX_POINT('',#223244); #66506=VERTEX_POINT('',#223248); #66507=VERTEX_POINT('',#223250); #66508=VERTEX_POINT('',#223254); #66509=VERTEX_POINT('',#223256); #66510=VERTEX_POINT('',#223260); #66511=VERTEX_POINT('',#223262); #66512=VERTEX_POINT('',#223266); #66513=VERTEX_POINT('',#223268); #66514=VERTEX_POINT('',#223272); #66515=VERTEX_POINT('',#223274); #66516=VERTEX_POINT('',#223278); #66517=VERTEX_POINT('',#223280); #66518=VERTEX_POINT('',#223288); #66519=VERTEX_POINT('',#223289); #66520=VERTEX_POINT('',#223291); #66521=VERTEX_POINT('',#223293); #66522=VERTEX_POINT('',#223297); #66523=VERTEX_POINT('',#223299); #66524=VERTEX_POINT('',#223303); #66525=VERTEX_POINT('',#223305); #66526=VERTEX_POINT('',#223309); #66527=VERTEX_POINT('',#223311); #66528=VERTEX_POINT('',#223315); #66529=VERTEX_POINT('',#223317); #66530=VERTEX_POINT('',#223324); #66531=VERTEX_POINT('',#223325); #66532=VERTEX_POINT('',#223327); #66533=VERTEX_POINT('',#223329); #66534=VERTEX_POINT('',#223333); #66535=VERTEX_POINT('',#223335); #66536=VERTEX_POINT('',#223339); #66537=VERTEX_POINT('',#223341); #66538=VERTEX_POINT('',#223345); #66539=VERTEX_POINT('',#223347); #66540=VERTEX_POINT('',#223351); #66541=VERTEX_POINT('',#223353); #66542=VERTEX_POINT('',#223357); #66543=VERTEX_POINT('',#223359); #66544=VERTEX_POINT('',#223363); #66545=VERTEX_POINT('',#223365); #66546=VERTEX_POINT('',#223369); #66547=VERTEX_POINT('',#223371); #66548=VERTEX_POINT('',#223375); #66549=VERTEX_POINT('',#223377); #66550=VERTEX_POINT('',#223381); #66551=VERTEX_POINT('',#223383); #66552=VERTEX_POINT('',#223387); #66553=VERTEX_POINT('',#223389); #66554=VERTEX_POINT('',#223393); #66555=VERTEX_POINT('',#223395); #66556=VERTEX_POINT('',#223399); #66557=VERTEX_POINT('',#223401); #66558=VERTEX_POINT('',#223405); #66559=VERTEX_POINT('',#223407); #66560=VERTEX_POINT('',#223411); #66561=VERTEX_POINT('',#223413); #66562=VERTEX_POINT('',#223417); #66563=VERTEX_POINT('',#223419); #66564=VERTEX_POINT('',#223423); #66565=VERTEX_POINT('',#223425); #66566=VERTEX_POINT('',#223429); #66567=VERTEX_POINT('',#223431); #66568=VERTEX_POINT('',#223435); #66569=VERTEX_POINT('',#223437); #66570=VERTEX_POINT('',#223441); #66571=VERTEX_POINT('',#223443); #66572=VERTEX_POINT('',#223447); #66573=VERTEX_POINT('',#223449); #66574=VERTEX_POINT('',#223453); #66575=VERTEX_POINT('',#223455); #66576=VERTEX_POINT('',#223459); #66577=VERTEX_POINT('',#223461); #66578=VERTEX_POINT('',#223465); #66579=VERTEX_POINT('',#223467); #66580=VERTEX_POINT('',#223471); #66581=VERTEX_POINT('',#223473); #66582=VERTEX_POINT('',#223477); #66583=VERTEX_POINT('',#223479); #66584=VERTEX_POINT('',#223483); #66585=VERTEX_POINT('',#223485); #66586=VERTEX_POINT('',#223489); #66587=VERTEX_POINT('',#223491); #66588=VERTEX_POINT('',#223495); #66589=VERTEX_POINT('',#223497); #66590=VERTEX_POINT('',#223501); #66591=VERTEX_POINT('',#223503); #66592=VERTEX_POINT('',#223507); #66593=VERTEX_POINT('',#223509); #66594=VERTEX_POINT('',#223513); #66595=VERTEX_POINT('',#223515); #66596=VERTEX_POINT('',#223519); #66597=VERTEX_POINT('',#223521); #66598=VERTEX_POINT('',#223525); #66599=VERTEX_POINT('',#223527); #66600=VERTEX_POINT('',#223531); #66601=VERTEX_POINT('',#223533); #66602=VERTEX_POINT('',#223537); #66603=VERTEX_POINT('',#223539); #66604=VERTEX_POINT('',#223543); #66605=VERTEX_POINT('',#223545); #66606=VERTEX_POINT('',#223549); #66607=VERTEX_POINT('',#223551); #66608=VERTEX_POINT('',#223555); #66609=VERTEX_POINT('',#223557); #66610=VERTEX_POINT('',#223561); #66611=VERTEX_POINT('',#223563); #66612=VERTEX_POINT('',#223567); #66613=VERTEX_POINT('',#223569); #66614=VERTEX_POINT('',#223573); #66615=VERTEX_POINT('',#223575); #66616=VERTEX_POINT('',#223579); #66617=VERTEX_POINT('',#223581); #66618=VERTEX_POINT('',#223585); #66619=VERTEX_POINT('',#223587); #66620=VERTEX_POINT('',#223591); #66621=VERTEX_POINT('',#223593); #66622=VERTEX_POINT('',#223597); #66623=VERTEX_POINT('',#223599); #66624=VERTEX_POINT('',#223603); #66625=VERTEX_POINT('',#223605); #66626=VERTEX_POINT('',#223609); #66627=VERTEX_POINT('',#223611); #66628=VERTEX_POINT('',#223615); #66629=VERTEX_POINT('',#223617); #66630=VERTEX_POINT('',#223621); #66631=VERTEX_POINT('',#223623); #66632=VERTEX_POINT('',#223627); #66633=VERTEX_POINT('',#223629); #66634=VERTEX_POINT('',#223633); #66635=VERTEX_POINT('',#223635); #66636=VERTEX_POINT('',#223639); #66637=VERTEX_POINT('',#223641); #66638=VERTEX_POINT('',#223645); #66639=VERTEX_POINT('',#223647); #66640=VERTEX_POINT('',#223651); #66641=VERTEX_POINT('',#223653); #66642=VERTEX_POINT('',#223657); #66643=VERTEX_POINT('',#223659); #66644=VERTEX_POINT('',#223663); #66645=VERTEX_POINT('',#223665); #66646=VERTEX_POINT('',#223669); #66647=VERTEX_POINT('',#223671); #66648=VERTEX_POINT('',#223675); #66649=VERTEX_POINT('',#223677); #66650=VERTEX_POINT('',#223681); #66651=VERTEX_POINT('',#223683); #66652=VERTEX_POINT('',#223687); #66653=VERTEX_POINT('',#223689); #66654=VERTEX_POINT('',#223693); #66655=VERTEX_POINT('',#223695); #66656=VERTEX_POINT('',#223699); #66657=VERTEX_POINT('',#223701); #66658=VERTEX_POINT('',#223705); #66659=VERTEX_POINT('',#223707); #66660=VERTEX_POINT('',#223711); #66661=VERTEX_POINT('',#223713); #66662=VERTEX_POINT('',#223717); #66663=VERTEX_POINT('',#223719); #66664=VERTEX_POINT('',#223723); #66665=VERTEX_POINT('',#223725); #66666=VERTEX_POINT('',#223729); #66667=VERTEX_POINT('',#223731); #66668=VERTEX_POINT('',#223735); #66669=VERTEX_POINT('',#223737); #66670=VERTEX_POINT('',#223741); #66671=VERTEX_POINT('',#223743); #66672=VERTEX_POINT('',#223747); #66673=VERTEX_POINT('',#223749); #66674=VERTEX_POINT('',#223753); #66675=VERTEX_POINT('',#223755); #66676=VERTEX_POINT('',#223759); #66677=VERTEX_POINT('',#223761); #66678=VERTEX_POINT('',#223765); #66679=VERTEX_POINT('',#223767); #66680=VERTEX_POINT('',#223771); #66681=VERTEX_POINT('',#223773); #66682=VERTEX_POINT('',#223777); #66683=VERTEX_POINT('',#223779); #66684=VERTEX_POINT('',#223783); #66685=VERTEX_POINT('',#223785); #66686=VERTEX_POINT('',#223789); #66687=VERTEX_POINT('',#223791); #66688=VERTEX_POINT('',#223795); #66689=VERTEX_POINT('',#223797); #66690=VERTEX_POINT('',#223801); #66691=VERTEX_POINT('',#223803); #66692=VERTEX_POINT('',#223811); #66693=VERTEX_POINT('',#223812); #66694=VERTEX_POINT('',#223814); #66695=VERTEX_POINT('',#223816); #66696=VERTEX_POINT('',#223820); #66697=VERTEX_POINT('',#223822); #66698=VERTEX_POINT('',#223826); #66699=VERTEX_POINT('',#223828); #66700=VERTEX_POINT('',#223832); #66701=VERTEX_POINT('',#223834); #66702=VERTEX_POINT('',#223838); #66703=VERTEX_POINT('',#223840); #66704=VERTEX_POINT('',#223844); #66705=VERTEX_POINT('',#223846); #66706=VERTEX_POINT('',#223850); #66707=VERTEX_POINT('',#223852); #66708=VERTEX_POINT('',#223856); #66709=VERTEX_POINT('',#223858); #66710=VERTEX_POINT('',#223862); #66711=VERTEX_POINT('',#223864); #66712=VERTEX_POINT('',#223868); #66713=VERTEX_POINT('',#223870); #66714=VERTEX_POINT('',#223874); #66715=VERTEX_POINT('',#223876); #66716=VERTEX_POINT('',#223884); #66717=VERTEX_POINT('',#223885); #66718=VERTEX_POINT('',#223887); #66719=VERTEX_POINT('',#223889); #66720=VERTEX_POINT('',#223893); #66721=VERTEX_POINT('',#223895); #66722=VERTEX_POINT('',#223899); #66723=VERTEX_POINT('',#223901); #66724=VERTEX_POINT('',#223905); #66725=VERTEX_POINT('',#223907); #66726=VERTEX_POINT('',#223911); #66727=VERTEX_POINT('',#223913); #66728=VERTEX_POINT('',#223917); #66729=VERTEX_POINT('',#223919); #66730=VERTEX_POINT('',#223923); #66731=VERTEX_POINT('',#223925); #66732=VERTEX_POINT('',#223932); #66733=VERTEX_POINT('',#223933); #66734=VERTEX_POINT('',#223935); #66735=VERTEX_POINT('',#223937); #66736=VERTEX_POINT('',#223941); #66737=VERTEX_POINT('',#223943); #66738=VERTEX_POINT('',#223947); #66739=VERTEX_POINT('',#223949); #66740=VERTEX_POINT('',#223953); #66741=VERTEX_POINT('',#223955); #66742=VERTEX_POINT('',#223959); #66743=VERTEX_POINT('',#223961); #66744=VERTEX_POINT('',#223965); #66745=VERTEX_POINT('',#223967); #66746=VERTEX_POINT('',#223971); #66747=VERTEX_POINT('',#223973); #66748=VERTEX_POINT('',#223977); #66749=VERTEX_POINT('',#223979); #66750=VERTEX_POINT('',#223983); #66751=VERTEX_POINT('',#223985); #66752=VERTEX_POINT('',#223989); #66753=VERTEX_POINT('',#223991); #66754=VERTEX_POINT('',#223995); #66755=VERTEX_POINT('',#223997); #66756=VERTEX_POINT('',#224001); #66757=VERTEX_POINT('',#224003); #66758=VERTEX_POINT('',#224007); #66759=VERTEX_POINT('',#224009); #66760=VERTEX_POINT('',#224013); #66761=VERTEX_POINT('',#224015); #66762=VERTEX_POINT('',#224019); #66763=VERTEX_POINT('',#224021); #66764=VERTEX_POINT('',#224025); #66765=VERTEX_POINT('',#224027); #66766=VERTEX_POINT('',#224031); #66767=VERTEX_POINT('',#224033); #66768=VERTEX_POINT('',#224037); #66769=VERTEX_POINT('',#224039); #66770=VERTEX_POINT('',#224043); #66771=VERTEX_POINT('',#224045); #66772=VERTEX_POINT('',#224049); #66773=VERTEX_POINT('',#224051); #66774=VERTEX_POINT('',#224055); #66775=VERTEX_POINT('',#224057); #66776=VERTEX_POINT('',#224061); #66777=VERTEX_POINT('',#224063); #66778=VERTEX_POINT('',#224067); #66779=VERTEX_POINT('',#224069); #66780=VERTEX_POINT('',#224073); #66781=VERTEX_POINT('',#224075); #66782=VERTEX_POINT('',#224079); #66783=VERTEX_POINT('',#224081); #66784=VERTEX_POINT('',#224085); #66785=VERTEX_POINT('',#224087); #66786=VERTEX_POINT('',#224091); #66787=VERTEX_POINT('',#224093); #66788=VERTEX_POINT('',#224097); #66789=VERTEX_POINT('',#224099); #66790=VERTEX_POINT('',#224103); #66791=VERTEX_POINT('',#224105); #66792=VERTEX_POINT('',#224109); #66793=VERTEX_POINT('',#224111); #66794=VERTEX_POINT('',#224115); #66795=VERTEX_POINT('',#224117); #66796=VERTEX_POINT('',#224121); #66797=VERTEX_POINT('',#224123); #66798=VERTEX_POINT('',#224127); #66799=VERTEX_POINT('',#224129); #66800=VERTEX_POINT('',#224133); #66801=VERTEX_POINT('',#224135); #66802=VERTEX_POINT('',#224139); #66803=VERTEX_POINT('',#224141); #66804=VERTEX_POINT('',#224145); #66805=VERTEX_POINT('',#224147); #66806=VERTEX_POINT('',#224151); #66807=VERTEX_POINT('',#224153); #66808=VERTEX_POINT('',#224157); #66809=VERTEX_POINT('',#224159); #66810=VERTEX_POINT('',#224163); #66811=VERTEX_POINT('',#224165); #66812=VERTEX_POINT('',#224169); #66813=VERTEX_POINT('',#224171); #66814=VERTEX_POINT('',#224175); #66815=VERTEX_POINT('',#224177); #66816=VERTEX_POINT('',#224181); #66817=VERTEX_POINT('',#224183); #66818=VERTEX_POINT('',#224187); #66819=VERTEX_POINT('',#224189); #66820=VERTEX_POINT('',#224193); #66821=VERTEX_POINT('',#224195); #66822=VERTEX_POINT('',#224199); #66823=VERTEX_POINT('',#224201); #66824=VERTEX_POINT('',#224205); #66825=VERTEX_POINT('',#224207); #66826=VERTEX_POINT('',#224211); #66827=VERTEX_POINT('',#224213); #66828=VERTEX_POINT('',#224217); #66829=VERTEX_POINT('',#224219); #66830=VERTEX_POINT('',#224223); #66831=VERTEX_POINT('',#224225); #66832=VERTEX_POINT('',#224229); #66833=VERTEX_POINT('',#224231); #66834=VERTEX_POINT('',#224235); #66835=VERTEX_POINT('',#224237); #66836=VERTEX_POINT('',#224241); #66837=VERTEX_POINT('',#224243); #66838=VERTEX_POINT('',#224247); #66839=VERTEX_POINT('',#224249); #66840=VERTEX_POINT('',#224253); #66841=VERTEX_POINT('',#224255); #66842=VERTEX_POINT('',#224259); #66843=VERTEX_POINT('',#224261); #66844=VERTEX_POINT('',#224265); #66845=VERTEX_POINT('',#224267); #66846=VERTEX_POINT('',#224271); #66847=VERTEX_POINT('',#224273); #66848=VERTEX_POINT('',#224277); #66849=VERTEX_POINT('',#224279); #66850=VERTEX_POINT('',#224283); #66851=VERTEX_POINT('',#224285); #66852=VERTEX_POINT('',#224289); #66853=VERTEX_POINT('',#224291); #66854=VERTEX_POINT('',#224295); #66855=VERTEX_POINT('',#224297); #66856=VERTEX_POINT('',#224301); #66857=VERTEX_POINT('',#224303); #66858=VERTEX_POINT('',#224307); #66859=VERTEX_POINT('',#224309); #66860=VERTEX_POINT('',#224313); #66861=VERTEX_POINT('',#224315); #66862=VERTEX_POINT('',#224319); #66863=VERTEX_POINT('',#224321); #66864=VERTEX_POINT('',#224325); #66865=VERTEX_POINT('',#224327); #66866=VERTEX_POINT('',#224331); #66867=VERTEX_POINT('',#224333); #66868=VERTEX_POINT('',#224337); #66869=VERTEX_POINT('',#224339); #66870=VERTEX_POINT('',#224343); #66871=VERTEX_POINT('',#224345); #66872=VERTEX_POINT('',#224349); #66873=VERTEX_POINT('',#224351); #66874=VERTEX_POINT('',#224355); #66875=VERTEX_POINT('',#224357); #66876=VERTEX_POINT('',#224361); #66877=VERTEX_POINT('',#224363); #66878=VERTEX_POINT('',#224367); #66879=VERTEX_POINT('',#224369); #66880=VERTEX_POINT('',#224373); #66881=VERTEX_POINT('',#224375); #66882=VERTEX_POINT('',#224379); #66883=VERTEX_POINT('',#224381); #66884=VERTEX_POINT('',#224385); #66885=VERTEX_POINT('',#224387); #66886=VERTEX_POINT('',#224391); #66887=VERTEX_POINT('',#224393); #66888=VERTEX_POINT('',#224397); #66889=VERTEX_POINT('',#224399); #66890=VERTEX_POINT('',#224403); #66891=VERTEX_POINT('',#224405); #66892=VERTEX_POINT('',#224409); #66893=VERTEX_POINT('',#224411); #66894=VERTEX_POINT('',#224415); #66895=VERTEX_POINT('',#224417); #66896=VERTEX_POINT('',#224421); #66897=VERTEX_POINT('',#224423); #66898=VERTEX_POINT('',#224427); #66899=VERTEX_POINT('',#224429); #66900=VERTEX_POINT('',#224433); #66901=VERTEX_POINT('',#224435); #66902=VERTEX_POINT('',#224439); #66903=VERTEX_POINT('',#224441); #66904=VERTEX_POINT('',#224445); #66905=VERTEX_POINT('',#224447); #66906=VERTEX_POINT('',#224451); #66907=VERTEX_POINT('',#224453); #66908=VERTEX_POINT('',#224457); #66909=VERTEX_POINT('',#224459); #66910=VERTEX_POINT('',#224463); #66911=VERTEX_POINT('',#224465); #66912=VERTEX_POINT('',#224469); #66913=VERTEX_POINT('',#224471); #66914=VERTEX_POINT('',#224475); #66915=VERTEX_POINT('',#224477); #66916=VERTEX_POINT('',#224481); #66917=VERTEX_POINT('',#224483); #66918=VERTEX_POINT('',#224487); #66919=VERTEX_POINT('',#224489); #66920=VERTEX_POINT('',#224493); #66921=VERTEX_POINT('',#224495); #66922=VERTEX_POINT('',#224499); #66923=VERTEX_POINT('',#224501); #66924=VERTEX_POINT('',#224505); #66925=VERTEX_POINT('',#224507); #66926=VERTEX_POINT('',#224511); #66927=VERTEX_POINT('',#224513); #66928=VERTEX_POINT('',#224517); #66929=VERTEX_POINT('',#224519); #66930=VERTEX_POINT('',#224523); #66931=VERTEX_POINT('',#224525); #66932=VERTEX_POINT('',#224529); #66933=VERTEX_POINT('',#224531); #66934=VERTEX_POINT('',#224535); #66935=VERTEX_POINT('',#224537); #66936=VERTEX_POINT('',#224541); #66937=VERTEX_POINT('',#224543); #66938=VERTEX_POINT('',#224547); #66939=VERTEX_POINT('',#224549); #66940=VERTEX_POINT('',#224553); #66941=VERTEX_POINT('',#224555); #66942=VERTEX_POINT('',#224559); #66943=VERTEX_POINT('',#224561); #66944=VERTEX_POINT('',#224565); #66945=VERTEX_POINT('',#224567); #66946=VERTEX_POINT('',#224571); #66947=VERTEX_POINT('',#224573); #66948=VERTEX_POINT('',#224577); #66949=VERTEX_POINT('',#224579); #66950=VERTEX_POINT('',#224583); #66951=VERTEX_POINT('',#224585); #66952=VERTEX_POINT('',#224589); #66953=VERTEX_POINT('',#224591); #66954=VERTEX_POINT('',#224595); #66955=VERTEX_POINT('',#224597); #66956=VERTEX_POINT('',#224601); #66957=VERTEX_POINT('',#224603); #66958=VERTEX_POINT('',#224607); #66959=VERTEX_POINT('',#224609); #66960=VERTEX_POINT('',#224613); #66961=VERTEX_POINT('',#224615); #66962=VERTEX_POINT('',#224619); #66963=VERTEX_POINT('',#224621); #66964=VERTEX_POINT('',#224625); #66965=VERTEX_POINT('',#224627); #66966=VERTEX_POINT('',#224631); #66967=VERTEX_POINT('',#224633); #66968=VERTEX_POINT('',#224637); #66969=VERTEX_POINT('',#224639); #66970=VERTEX_POINT('',#224643); #66971=VERTEX_POINT('',#224645); #66972=VERTEX_POINT('',#224649); #66973=VERTEX_POINT('',#224651); #66974=VERTEX_POINT('',#224655); #66975=VERTEX_POINT('',#224657); #66976=VERTEX_POINT('',#224661); #66977=VERTEX_POINT('',#224663); #66978=VERTEX_POINT('',#224667); #66979=VERTEX_POINT('',#224669); #66980=VERTEX_POINT('',#224673); #66981=VERTEX_POINT('',#224675); #66982=VERTEX_POINT('',#224679); #66983=VERTEX_POINT('',#224681); #66984=VERTEX_POINT('',#224685); #66985=VERTEX_POINT('',#224687); #66986=VERTEX_POINT('',#224691); #66987=VERTEX_POINT('',#224693); #66988=VERTEX_POINT('',#224697); #66989=VERTEX_POINT('',#224699); #66990=VERTEX_POINT('',#224703); #66991=VERTEX_POINT('',#224705); #66992=VERTEX_POINT('',#224709); #66993=VERTEX_POINT('',#224711); #66994=VERTEX_POINT('',#224715); #66995=VERTEX_POINT('',#224717); #66996=VERTEX_POINT('',#224721); #66997=VERTEX_POINT('',#224723); #66998=VERTEX_POINT('',#224727); #66999=VERTEX_POINT('',#224729); #67000=VERTEX_POINT('',#224733); #67001=VERTEX_POINT('',#224735); #67002=VERTEX_POINT('',#224739); #67003=VERTEX_POINT('',#224741); #67004=VERTEX_POINT('',#224745); #67005=VERTEX_POINT('',#224747); #67006=VERTEX_POINT('',#224751); #67007=VERTEX_POINT('',#224753); #67008=VERTEX_POINT('',#224757); #67009=VERTEX_POINT('',#224759); #67010=VERTEX_POINT('',#224767); #67011=VERTEX_POINT('',#224768); #67012=VERTEX_POINT('',#224770); #67013=VERTEX_POINT('',#224772); #67014=VERTEX_POINT('',#224776); #67015=VERTEX_POINT('',#224778); #67016=VERTEX_POINT('',#224782); #67017=VERTEX_POINT('',#224784); #67018=VERTEX_POINT('',#224788); #67019=VERTEX_POINT('',#224790); #67020=VERTEX_POINT('',#224794); #67021=VERTEX_POINT('',#224796); #67022=VERTEX_POINT('',#224800); #67023=VERTEX_POINT('',#224802); #67024=VERTEX_POINT('',#224806); #67025=VERTEX_POINT('',#224808); #67026=VERTEX_POINT('',#224812); #67027=VERTEX_POINT('',#224814); #67028=VERTEX_POINT('',#224821); #67029=VERTEX_POINT('',#224822); #67030=VERTEX_POINT('',#224824); #67031=VERTEX_POINT('',#224826); #67032=VERTEX_POINT('',#224830); #67033=VERTEX_POINT('',#224832); #67034=VERTEX_POINT('',#224836); #67035=VERTEX_POINT('',#224838); #67036=VERTEX_POINT('',#224842); #67037=VERTEX_POINT('',#224844); #67038=VERTEX_POINT('',#224848); #67039=VERTEX_POINT('',#224850); #67040=VERTEX_POINT('',#224854); #67041=VERTEX_POINT('',#224856); #67042=VERTEX_POINT('',#224860); #67043=VERTEX_POINT('',#224862); #67044=VERTEX_POINT('',#224866); #67045=VERTEX_POINT('',#224868); #67046=VERTEX_POINT('',#224872); #67047=VERTEX_POINT('',#224874); #67048=VERTEX_POINT('',#224878); #67049=VERTEX_POINT('',#224880); #67050=VERTEX_POINT('',#224884); #67051=VERTEX_POINT('',#224886); #67052=VERTEX_POINT('',#224890); #67053=VERTEX_POINT('',#224892); #67054=VERTEX_POINT('',#224896); #67055=VERTEX_POINT('',#224898); #67056=VERTEX_POINT('',#224902); #67057=VERTEX_POINT('',#224904); #67058=VERTEX_POINT('',#224908); #67059=VERTEX_POINT('',#224910); #67060=VERTEX_POINT('',#224914); #67061=VERTEX_POINT('',#224916); #67062=VERTEX_POINT('',#224920); #67063=VERTEX_POINT('',#224922); #67064=VERTEX_POINT('',#224926); #67065=VERTEX_POINT('',#224928); #67066=VERTEX_POINT('',#224932); #67067=VERTEX_POINT('',#224934); #67068=VERTEX_POINT('',#224938); #67069=VERTEX_POINT('',#224940); #67070=VERTEX_POINT('',#224944); #67071=VERTEX_POINT('',#224946); #67072=VERTEX_POINT('',#224950); #67073=VERTEX_POINT('',#224952); #67074=VERTEX_POINT('',#224956); #67075=VERTEX_POINT('',#224958); #67076=VERTEX_POINT('',#224962); #67077=VERTEX_POINT('',#224964); #67078=VERTEX_POINT('',#224968); #67079=VERTEX_POINT('',#224970); #67080=VERTEX_POINT('',#224974); #67081=VERTEX_POINT('',#224976); #67082=VERTEX_POINT('',#224980); #67083=VERTEX_POINT('',#224982); #67084=VERTEX_POINT('',#224986); #67085=VERTEX_POINT('',#224988); #67086=VERTEX_POINT('',#224996); #67087=VERTEX_POINT('',#224997); #67088=VERTEX_POINT('',#224999); #67089=VERTEX_POINT('',#225001); #67090=VERTEX_POINT('',#225005); #67091=VERTEX_POINT('',#225007); #67092=VERTEX_POINT('',#225011); #67093=VERTEX_POINT('',#225013); #67094=VERTEX_POINT('',#225017); #67095=VERTEX_POINT('',#225019); #67096=VERTEX_POINT('',#225026); #67097=VERTEX_POINT('',#225027); #67098=VERTEX_POINT('',#225029); #67099=VERTEX_POINT('',#225031); #67100=VERTEX_POINT('',#225035); #67101=VERTEX_POINT('',#225037); #67102=VERTEX_POINT('',#225041); #67103=VERTEX_POINT('',#225043); #67104=VERTEX_POINT('',#225047); #67105=VERTEX_POINT('',#225049); #67106=VERTEX_POINT('',#225053); #67107=VERTEX_POINT('',#225055); #67108=VERTEX_POINT('',#225059); #67109=VERTEX_POINT('',#225061); #67110=VERTEX_POINT('',#225065); #67111=VERTEX_POINT('',#225067); #67112=VERTEX_POINT('',#225071); #67113=VERTEX_POINT('',#225073); #67114=VERTEX_POINT('',#225077); #67115=VERTEX_POINT('',#225079); #67116=VERTEX_POINT('',#225083); #67117=VERTEX_POINT('',#225085); #67118=VERTEX_POINT('',#225089); #67119=VERTEX_POINT('',#225091); #67120=VERTEX_POINT('',#225095); #67121=VERTEX_POINT('',#225097); #67122=VERTEX_POINT('',#225101); #67123=VERTEX_POINT('',#225103); #67124=VERTEX_POINT('',#225107); #67125=VERTEX_POINT('',#225109); #67126=VERTEX_POINT('',#225113); #67127=VERTEX_POINT('',#225115); #67128=VERTEX_POINT('',#225119); #67129=VERTEX_POINT('',#225121); #67130=VERTEX_POINT('',#225125); #67131=VERTEX_POINT('',#225127); #67132=VERTEX_POINT('',#225131); #67133=VERTEX_POINT('',#225133); #67134=VERTEX_POINT('',#225137); #67135=VERTEX_POINT('',#225139); #67136=VERTEX_POINT('',#225143); #67137=VERTEX_POINT('',#225145); #67138=VERTEX_POINT('',#225149); #67139=VERTEX_POINT('',#225151); #67140=VERTEX_POINT('',#225155); #67141=VERTEX_POINT('',#225157); #67142=VERTEX_POINT('',#225161); #67143=VERTEX_POINT('',#225163); #67144=VERTEX_POINT('',#225167); #67145=VERTEX_POINT('',#225169); #67146=VERTEX_POINT('',#225173); #67147=VERTEX_POINT('',#225175); #67148=VERTEX_POINT('',#225179); #67149=VERTEX_POINT('',#225181); #67150=VERTEX_POINT('',#225185); #67151=VERTEX_POINT('',#225187); #67152=VERTEX_POINT('',#225191); #67153=VERTEX_POINT('',#225193); #67154=VERTEX_POINT('',#225197); #67155=VERTEX_POINT('',#225199); #67156=VERTEX_POINT('',#225203); #67157=VERTEX_POINT('',#225205); #67158=VERTEX_POINT('',#225209); #67159=VERTEX_POINT('',#225211); #67160=VERTEX_POINT('',#225215); #67161=VERTEX_POINT('',#225217); #67162=VERTEX_POINT('',#225221); #67163=VERTEX_POINT('',#225223); #67164=VERTEX_POINT('',#225227); #67165=VERTEX_POINT('',#225229); #67166=VERTEX_POINT('',#225233); #67167=VERTEX_POINT('',#225235); #67168=VERTEX_POINT('',#225239); #67169=VERTEX_POINT('',#225241); #67170=VERTEX_POINT('',#225245); #67171=VERTEX_POINT('',#225247); #67172=VERTEX_POINT('',#225251); #67173=VERTEX_POINT('',#225253); #67174=VERTEX_POINT('',#225257); #67175=VERTEX_POINT('',#225259); #67176=VERTEX_POINT('',#225263); #67177=VERTEX_POINT('',#225265); #67178=VERTEX_POINT('',#225269); #67179=VERTEX_POINT('',#225271); #67180=VERTEX_POINT('',#225275); #67181=VERTEX_POINT('',#225277); #67182=VERTEX_POINT('',#225281); #67183=VERTEX_POINT('',#225283); #67184=VERTEX_POINT('',#225287); #67185=VERTEX_POINT('',#225289); #67186=VERTEX_POINT('',#225293); #67187=VERTEX_POINT('',#225295); #67188=VERTEX_POINT('',#225299); #67189=VERTEX_POINT('',#225301); #67190=VERTEX_POINT('',#225305); #67191=VERTEX_POINT('',#225307); #67192=VERTEX_POINT('',#225311); #67193=VERTEX_POINT('',#225313); #67194=VERTEX_POINT('',#225317); #67195=VERTEX_POINT('',#225319); #67196=VERTEX_POINT('',#225323); #67197=VERTEX_POINT('',#225325); #67198=VERTEX_POINT('',#225329); #67199=VERTEX_POINT('',#225331); #67200=VERTEX_POINT('',#225335); #67201=VERTEX_POINT('',#225337); #67202=VERTEX_POINT('',#225341); #67203=VERTEX_POINT('',#225343); #67204=VERTEX_POINT('',#225347); #67205=VERTEX_POINT('',#225349); #67206=VERTEX_POINT('',#225353); #67207=VERTEX_POINT('',#225355); #67208=VERTEX_POINT('',#225363); #67209=VERTEX_POINT('',#225364); #67210=VERTEX_POINT('',#225366); #67211=VERTEX_POINT('',#225368); #67212=VERTEX_POINT('',#225372); #67213=VERTEX_POINT('',#225374); #67214=VERTEX_POINT('',#225378); #67215=VERTEX_POINT('',#225380); #67216=VERTEX_POINT('',#225384); #67217=VERTEX_POINT('',#225386); #67218=VERTEX_POINT('',#225390); #67219=VERTEX_POINT('',#225392); #67220=VERTEX_POINT('',#225396); #67221=VERTEX_POINT('',#225398); #67222=VERTEX_POINT('',#225402); #67223=VERTEX_POINT('',#225404); #67224=VERTEX_POINT('',#225408); #67225=VERTEX_POINT('',#225410); #67226=VERTEX_POINT('',#225414); #67227=VERTEX_POINT('',#225416); #67228=VERTEX_POINT('',#225420); #67229=VERTEX_POINT('',#225422); #67230=VERTEX_POINT('',#225426); #67231=VERTEX_POINT('',#225428); #67232=VERTEX_POINT('',#225432); #67233=VERTEX_POINT('',#225434); #67234=VERTEX_POINT('',#225438); #67235=VERTEX_POINT('',#225440); #67236=VERTEX_POINT('',#225444); #67237=VERTEX_POINT('',#225446); #67238=VERTEX_POINT('',#225450); #67239=VERTEX_POINT('',#225452); #67240=VERTEX_POINT('',#225456); #67241=VERTEX_POINT('',#225458); #67242=VERTEX_POINT('',#225462); #67243=VERTEX_POINT('',#225464); #67244=VERTEX_POINT('',#225468); #67245=VERTEX_POINT('',#225470); #67246=VERTEX_POINT('',#225474); #67247=VERTEX_POINT('',#225476); #67248=VERTEX_POINT('',#225480); #67249=VERTEX_POINT('',#225482); #67250=VERTEX_POINT('',#225486); #67251=VERTEX_POINT('',#225488); #67252=VERTEX_POINT('',#225492); #67253=VERTEX_POINT('',#225494); #67254=VERTEX_POINT('',#225498); #67255=VERTEX_POINT('',#225500); #67256=VERTEX_POINT('',#225504); #67257=VERTEX_POINT('',#225506); #67258=VERTEX_POINT('',#225510); #67259=VERTEX_POINT('',#225512); #67260=VERTEX_POINT('',#225516); #67261=VERTEX_POINT('',#225518); #67262=VERTEX_POINT('',#225522); #67263=VERTEX_POINT('',#225524); #67264=VERTEX_POINT('',#225528); #67265=VERTEX_POINT('',#225530); #67266=VERTEX_POINT('',#225534); #67267=VERTEX_POINT('',#225536); #67268=VERTEX_POINT('',#225540); #67269=VERTEX_POINT('',#225542); #67270=VERTEX_POINT('',#225546); #67271=VERTEX_POINT('',#225548); #67272=VERTEX_POINT('',#225552); #67273=VERTEX_POINT('',#225554); #67274=VERTEX_POINT('',#225558); #67275=VERTEX_POINT('',#225560); #67276=VERTEX_POINT('',#225564); #67277=VERTEX_POINT('',#225566); #67278=VERTEX_POINT('',#225570); #67279=VERTEX_POINT('',#225572); #67280=VERTEX_POINT('',#225576); #67281=VERTEX_POINT('',#225578); #67282=VERTEX_POINT('',#225582); #67283=VERTEX_POINT('',#225584); #67284=VERTEX_POINT('',#225588); #67285=VERTEX_POINT('',#225590); #67286=VERTEX_POINT('',#225594); #67287=VERTEX_POINT('',#225596); #67288=VERTEX_POINT('',#225600); #67289=VERTEX_POINT('',#225602); #67290=VERTEX_POINT('',#225606); #67291=VERTEX_POINT('',#225608); #67292=VERTEX_POINT('',#225612); #67293=VERTEX_POINT('',#225614); #67294=VERTEX_POINT('',#225618); #67295=VERTEX_POINT('',#225620); #67296=VERTEX_POINT('',#225624); #67297=VERTEX_POINT('',#225626); #67298=VERTEX_POINT('',#225630); #67299=VERTEX_POINT('',#225632); #67300=VERTEX_POINT('',#225636); #67301=VERTEX_POINT('',#225638); #67302=VERTEX_POINT('',#225642); #67303=VERTEX_POINT('',#225644); #67304=VERTEX_POINT('',#225648); #67305=VERTEX_POINT('',#225650); #67306=VERTEX_POINT('',#225654); #67307=VERTEX_POINT('',#225656); #67308=VERTEX_POINT('',#225660); #67309=VERTEX_POINT('',#225662); #67310=VERTEX_POINT('',#225666); #67311=VERTEX_POINT('',#225668); #67312=VERTEX_POINT('',#225672); #67313=VERTEX_POINT('',#225674); #67314=VERTEX_POINT('',#225678); #67315=VERTEX_POINT('',#225680); #67316=VERTEX_POINT('',#225684); #67317=VERTEX_POINT('',#225686); #67318=VERTEX_POINT('',#225690); #67319=VERTEX_POINT('',#225692); #67320=VERTEX_POINT('',#225696); #67321=VERTEX_POINT('',#225698); #67322=VERTEX_POINT('',#225702); #67323=VERTEX_POINT('',#225704); #67324=VERTEX_POINT('',#225708); #67325=VERTEX_POINT('',#225710); #67326=VERTEX_POINT('',#225714); #67327=VERTEX_POINT('',#225716); #67328=VERTEX_POINT('',#225720); #67329=VERTEX_POINT('',#225722); #67330=VERTEX_POINT('',#225726); #67331=VERTEX_POINT('',#225728); #67332=VERTEX_POINT('',#225732); #67333=VERTEX_POINT('',#225734); #67334=VERTEX_POINT('',#225738); #67335=VERTEX_POINT('',#225740); #67336=VERTEX_POINT('',#225744); #67337=VERTEX_POINT('',#225746); #67338=VERTEX_POINT('',#225750); #67339=VERTEX_POINT('',#225752); #67340=VERTEX_POINT('',#225756); #67341=VERTEX_POINT('',#225758); #67342=VERTEX_POINT('',#225762); #67343=VERTEX_POINT('',#225764); #67344=VERTEX_POINT('',#225768); #67345=VERTEX_POINT('',#225770); #67346=VERTEX_POINT('',#225774); #67347=VERTEX_POINT('',#225776); #67348=VERTEX_POINT('',#225780); #67349=VERTEX_POINT('',#225782); #67350=VERTEX_POINT('',#225786); #67351=VERTEX_POINT('',#225788); #67352=VERTEX_POINT('',#225792); #67353=VERTEX_POINT('',#225794); #67354=VERTEX_POINT('',#225798); #67355=VERTEX_POINT('',#225800); #67356=VERTEX_POINT('',#225804); #67357=VERTEX_POINT('',#225806); #67358=VERTEX_POINT('',#225810); #67359=VERTEX_POINT('',#225812); #67360=VERTEX_POINT('',#225816); #67361=VERTEX_POINT('',#225818); #67362=VERTEX_POINT('',#225822); #67363=VERTEX_POINT('',#225824); #67364=VERTEX_POINT('',#225828); #67365=VERTEX_POINT('',#225830); #67366=VERTEX_POINT('',#225834); #67367=VERTEX_POINT('',#225836); #67368=VERTEX_POINT('',#225840); #67369=VERTEX_POINT('',#225842); #67370=VERTEX_POINT('',#225846); #67371=VERTEX_POINT('',#225848); #67372=VERTEX_POINT('',#225852); #67373=VERTEX_POINT('',#225854); #67374=VERTEX_POINT('',#225858); #67375=VERTEX_POINT('',#225860); #67376=VERTEX_POINT('',#225864); #67377=VERTEX_POINT('',#225866); #67378=VERTEX_POINT('',#225870); #67379=VERTEX_POINT('',#225872); #67380=VERTEX_POINT('',#225876); #67381=VERTEX_POINT('',#225878); #67382=VERTEX_POINT('',#225882); #67383=VERTEX_POINT('',#225884); #67384=VERTEX_POINT('',#225888); #67385=VERTEX_POINT('',#225890); #67386=VERTEX_POINT('',#225894); #67387=VERTEX_POINT('',#225896); #67388=VERTEX_POINT('',#225900); #67389=VERTEX_POINT('',#225902); #67390=VERTEX_POINT('',#225906); #67391=VERTEX_POINT('',#225908); #67392=VERTEX_POINT('',#225912); #67393=VERTEX_POINT('',#225914); #67394=VERTEX_POINT('',#225918); #67395=VERTEX_POINT('',#225920); #67396=VERTEX_POINT('',#225924); #67397=VERTEX_POINT('',#225926); #67398=VERTEX_POINT('',#225930); #67399=VERTEX_POINT('',#225932); #67400=VERTEX_POINT('',#225936); #67401=VERTEX_POINT('',#225938); #67402=VERTEX_POINT('',#225942); #67403=VERTEX_POINT('',#225944); #67404=VERTEX_POINT('',#225948); #67405=VERTEX_POINT('',#225950); #67406=VERTEX_POINT('',#225954); #67407=VERTEX_POINT('',#225956); #67408=VERTEX_POINT('',#225960); #67409=VERTEX_POINT('',#225962); #67410=VERTEX_POINT('',#225966); #67411=VERTEX_POINT('',#225968); #67412=VERTEX_POINT('',#225972); #67413=VERTEX_POINT('',#225974); #67414=VERTEX_POINT('',#225978); #67415=VERTEX_POINT('',#225980); #67416=VERTEX_POINT('',#225984); #67417=VERTEX_POINT('',#225986); #67418=VERTEX_POINT('',#225990); #67419=VERTEX_POINT('',#225992); #67420=VERTEX_POINT('',#225996); #67421=VERTEX_POINT('',#225998); #67422=VERTEX_POINT('',#226002); #67423=VERTEX_POINT('',#226004); #67424=VERTEX_POINT('',#226008); #67425=VERTEX_POINT('',#226010); #67426=VERTEX_POINT('',#226014); #67427=VERTEX_POINT('',#226016); #67428=VERTEX_POINT('',#226020); #67429=VERTEX_POINT('',#226022); #67430=VERTEX_POINT('',#226026); #67431=VERTEX_POINT('',#226028); #67432=VERTEX_POINT('',#226032); #67433=VERTEX_POINT('',#226034); #67434=VERTEX_POINT('',#226038); #67435=VERTEX_POINT('',#226040); #67436=VERTEX_POINT('',#226044); #67437=VERTEX_POINT('',#226046); #67438=VERTEX_POINT('',#226050); #67439=VERTEX_POINT('',#226052); #67440=VERTEX_POINT('',#226056); #67441=VERTEX_POINT('',#226058); #67442=VERTEX_POINT('',#226062); #67443=VERTEX_POINT('',#226064); #67444=VERTEX_POINT('',#226068); #67445=VERTEX_POINT('',#226070); #67446=VERTEX_POINT('',#226074); #67447=VERTEX_POINT('',#226076); #67448=VERTEX_POINT('',#226080); #67449=VERTEX_POINT('',#226082); #67450=VERTEX_POINT('',#226086); #67451=VERTEX_POINT('',#226088); #67452=VERTEX_POINT('',#226096); #67453=VERTEX_POINT('',#226097); #67454=VERTEX_POINT('',#226099); #67455=VERTEX_POINT('',#226101); #67456=VERTEX_POINT('',#226105); #67457=VERTEX_POINT('',#226107); #67458=VERTEX_POINT('',#226111); #67459=VERTEX_POINT('',#226113); #67460=VERTEX_POINT('',#226117); #67461=VERTEX_POINT('',#226119); #67462=VERTEX_POINT('',#226123); #67463=VERTEX_POINT('',#226125); #67464=VERTEX_POINT('',#226129); #67465=VERTEX_POINT('',#226131); #67466=VERTEX_POINT('',#226138); #67467=VERTEX_POINT('',#226139); #67468=VERTEX_POINT('',#226141); #67469=VERTEX_POINT('',#226143); #67470=VERTEX_POINT('',#226147); #67471=VERTEX_POINT('',#226149); #67472=VERTEX_POINT('',#226153); #67473=VERTEX_POINT('',#226155); #67474=VERTEX_POINT('',#226159); #67475=VERTEX_POINT('',#226161); #67476=VERTEX_POINT('',#226165); #67477=VERTEX_POINT('',#226167); #67478=VERTEX_POINT('',#226175); #67479=VERTEX_POINT('',#226176); #67480=VERTEX_POINT('',#226178); #67481=VERTEX_POINT('',#226180); #67482=VERTEX_POINT('',#226184); #67483=VERTEX_POINT('',#226186); #67484=VERTEX_POINT('',#226190); #67485=VERTEX_POINT('',#226192); #67486=VERTEX_POINT('',#226196); #67487=VERTEX_POINT('',#226198); #67488=VERTEX_POINT('',#226202); #67489=VERTEX_POINT('',#226204); #67490=VERTEX_POINT('',#226208); #67491=VERTEX_POINT('',#226210); #67492=VERTEX_POINT('',#226214); #67493=VERTEX_POINT('',#226216); #67494=VERTEX_POINT('',#226220); #67495=VERTEX_POINT('',#226222); #67496=VERTEX_POINT('',#226226); #67497=VERTEX_POINT('',#226228); #67498=VERTEX_POINT('',#226232); #67499=VERTEX_POINT('',#226234); #67500=VERTEX_POINT('',#226238); #67501=VERTEX_POINT('',#226240); #67502=VERTEX_POINT('',#226244); #67503=VERTEX_POINT('',#226246); #67504=VERTEX_POINT('',#226250); #67505=VERTEX_POINT('',#226252); #67506=VERTEX_POINT('',#226256); #67507=VERTEX_POINT('',#226258); #67508=VERTEX_POINT('',#226262); #67509=VERTEX_POINT('',#226264); #67510=VERTEX_POINT('',#226268); #67511=VERTEX_POINT('',#226270); #67512=VERTEX_POINT('',#226274); #67513=VERTEX_POINT('',#226276); #67514=VERTEX_POINT('',#226280); #67515=VERTEX_POINT('',#226282); #67516=VERTEX_POINT('',#226286); #67517=VERTEX_POINT('',#226288); #67518=VERTEX_POINT('',#226292); #67519=VERTEX_POINT('',#226294); #67520=VERTEX_POINT('',#226298); #67521=VERTEX_POINT('',#226300); #67522=VERTEX_POINT('',#226304); #67523=VERTEX_POINT('',#226306); #67524=VERTEX_POINT('',#226310); #67525=VERTEX_POINT('',#226312); #67526=VERTEX_POINT('',#226316); #67527=VERTEX_POINT('',#226318); #67528=VERTEX_POINT('',#226322); #67529=VERTEX_POINT('',#226324); #67530=VERTEX_POINT('',#226328); #67531=VERTEX_POINT('',#226330); #67532=VERTEX_POINT('',#226334); #67533=VERTEX_POINT('',#226336); #67534=VERTEX_POINT('',#226340); #67535=VERTEX_POINT('',#226342); #67536=VERTEX_POINT('',#226350); #67537=VERTEX_POINT('',#226351); #67538=VERTEX_POINT('',#226353); #67539=VERTEX_POINT('',#226355); #67540=VERTEX_POINT('',#226359); #67541=VERTEX_POINT('',#226361); #67542=VERTEX_POINT('',#226365); #67543=VERTEX_POINT('',#226367); #67544=VERTEX_POINT('',#226371); #67545=VERTEX_POINT('',#226373); #67546=VERTEX_POINT('',#226377); #67547=VERTEX_POINT('',#226379); #67548=VERTEX_POINT('',#226383); #67549=VERTEX_POINT('',#226385); #67550=VERTEX_POINT('',#226389); #67551=VERTEX_POINT('',#226391); #67552=VERTEX_POINT('',#226395); #67553=VERTEX_POINT('',#226397); #67554=VERTEX_POINT('',#226401); #67555=VERTEX_POINT('',#226403); #67556=VERTEX_POINT('',#226407); #67557=VERTEX_POINT('',#226409); #67558=VERTEX_POINT('',#226413); #67559=VERTEX_POINT('',#226415); #67560=VERTEX_POINT('',#226419); #67561=VERTEX_POINT('',#226421); #67562=VERTEX_POINT('',#226428); #67563=VERTEX_POINT('',#226429); #67564=VERTEX_POINT('',#226431); #67565=VERTEX_POINT('',#226433); #67566=VERTEX_POINT('',#226437); #67567=VERTEX_POINT('',#226439); #67568=VERTEX_POINT('',#226443); #67569=VERTEX_POINT('',#226445); #67570=VERTEX_POINT('',#226449); #67571=VERTEX_POINT('',#226451); #67572=VERTEX_POINT('',#226455); #67573=VERTEX_POINT('',#226457); #67574=VERTEX_POINT('',#226461); #67575=VERTEX_POINT('',#226463); #67576=VERTEX_POINT('',#226467); #67577=VERTEX_POINT('',#226469); #67578=VERTEX_POINT('',#226473); #67579=VERTEX_POINT('',#226475); #67580=VERTEX_POINT('',#226479); #67581=VERTEX_POINT('',#226481); #67582=VERTEX_POINT('',#226485); #67583=VERTEX_POINT('',#226487); #67584=VERTEX_POINT('',#226491); #67585=VERTEX_POINT('',#226493); #67586=VERTEX_POINT('',#226497); #67587=VERTEX_POINT('',#226499); #67588=VERTEX_POINT('',#226503); #67589=VERTEX_POINT('',#226505); #67590=VERTEX_POINT('',#226509); #67591=VERTEX_POINT('',#226511); #67592=VERTEX_POINT('',#226515); #67593=VERTEX_POINT('',#226517); #67594=VERTEX_POINT('',#226521); #67595=VERTEX_POINT('',#226523); #67596=VERTEX_POINT('',#226527); #67597=VERTEX_POINT('',#226529); #67598=VERTEX_POINT('',#226533); #67599=VERTEX_POINT('',#226535); #67600=VERTEX_POINT('',#226539); #67601=VERTEX_POINT('',#226541); #67602=VERTEX_POINT('',#226545); #67603=VERTEX_POINT('',#226547); #67604=VERTEX_POINT('',#226551); #67605=VERTEX_POINT('',#226553); #67606=VERTEX_POINT('',#226557); #67607=VERTEX_POINT('',#226559); #67608=VERTEX_POINT('',#226563); #67609=VERTEX_POINT('',#226565); #67610=VERTEX_POINT('',#226569); #67611=VERTEX_POINT('',#226571); #67612=VERTEX_POINT('',#226575); #67613=VERTEX_POINT('',#226577); #67614=VERTEX_POINT('',#226581); #67615=VERTEX_POINT('',#226583); #67616=VERTEX_POINT('',#226587); #67617=VERTEX_POINT('',#226589); #67618=VERTEX_POINT('',#226593); #67619=VERTEX_POINT('',#226595); #67620=VERTEX_POINT('',#226599); #67621=VERTEX_POINT('',#226601); #67622=VERTEX_POINT('',#226605); #67623=VERTEX_POINT('',#226607); #67624=VERTEX_POINT('',#226611); #67625=VERTEX_POINT('',#226613); #67626=VERTEX_POINT('',#226617); #67627=VERTEX_POINT('',#226619); #67628=VERTEX_POINT('',#226623); #67629=VERTEX_POINT('',#226625); #67630=VERTEX_POINT('',#226629); #67631=VERTEX_POINT('',#226631); #67632=VERTEX_POINT('',#226635); #67633=VERTEX_POINT('',#226637); #67634=VERTEX_POINT('',#226641); #67635=VERTEX_POINT('',#226643); #67636=VERTEX_POINT('',#226647); #67637=VERTEX_POINT('',#226649); #67638=VERTEX_POINT('',#226653); #67639=VERTEX_POINT('',#226655); #67640=VERTEX_POINT('',#226659); #67641=VERTEX_POINT('',#226661); #67642=VERTEX_POINT('',#226665); #67643=VERTEX_POINT('',#226667); #67644=VERTEX_POINT('',#226671); #67645=VERTEX_POINT('',#226673); #67646=VERTEX_POINT('',#226677); #67647=VERTEX_POINT('',#226679); #67648=VERTEX_POINT('',#226683); #67649=VERTEX_POINT('',#226685); #67650=VERTEX_POINT('',#226689); #67651=VERTEX_POINT('',#226691); #67652=VERTEX_POINT('',#226695); #67653=VERTEX_POINT('',#226697); #67654=VERTEX_POINT('',#226701); #67655=VERTEX_POINT('',#226703); #67656=VERTEX_POINT('',#226707); #67657=VERTEX_POINT('',#226709); #67658=VERTEX_POINT('',#226713); #67659=VERTEX_POINT('',#226715); #67660=VERTEX_POINT('',#226719); #67661=VERTEX_POINT('',#226721); #67662=VERTEX_POINT('',#226725); #67663=VERTEX_POINT('',#226727); #67664=VERTEX_POINT('',#226731); #67665=VERTEX_POINT('',#226733); #67666=VERTEX_POINT('',#226737); #67667=VERTEX_POINT('',#226739); #67668=VERTEX_POINT('',#226743); #67669=VERTEX_POINT('',#226745); #67670=VERTEX_POINT('',#226749); #67671=VERTEX_POINT('',#226751); #67672=VERTEX_POINT('',#226755); #67673=VERTEX_POINT('',#226757); #67674=VERTEX_POINT('',#226761); #67675=VERTEX_POINT('',#226763); #67676=VERTEX_POINT('',#226767); #67677=VERTEX_POINT('',#226769); #67678=VERTEX_POINT('',#226773); #67679=VERTEX_POINT('',#226775); #67680=VERTEX_POINT('',#226779); #67681=VERTEX_POINT('',#226781); #67682=VERTEX_POINT('',#226785); #67683=VERTEX_POINT('',#226787); #67684=VERTEX_POINT('',#226791); #67685=VERTEX_POINT('',#226793); #67686=VERTEX_POINT('',#226797); #67687=VERTEX_POINT('',#226799); #67688=VERTEX_POINT('',#226803); #67689=VERTEX_POINT('',#226805); #67690=VERTEX_POINT('',#226809); #67691=VERTEX_POINT('',#226811); #67692=VERTEX_POINT('',#226815); #67693=VERTEX_POINT('',#226817); #67694=VERTEX_POINT('',#226821); #67695=VERTEX_POINT('',#226823); #67696=VERTEX_POINT('',#226827); #67697=VERTEX_POINT('',#226829); #67698=VERTEX_POINT('',#226833); #67699=VERTEX_POINT('',#226835); #67700=VERTEX_POINT('',#226839); #67701=VERTEX_POINT('',#226841); #67702=VERTEX_POINT('',#226845); #67703=VERTEX_POINT('',#226847); #67704=VERTEX_POINT('',#226851); #67705=VERTEX_POINT('',#226853); #67706=VERTEX_POINT('',#226857); #67707=VERTEX_POINT('',#226859); #67708=VERTEX_POINT('',#226863); #67709=VERTEX_POINT('',#226865); #67710=VERTEX_POINT('',#226869); #67711=VERTEX_POINT('',#226871); #67712=VERTEX_POINT('',#226875); #67713=VERTEX_POINT('',#226877); #67714=VERTEX_POINT('',#226881); #67715=VERTEX_POINT('',#226883); #67716=VERTEX_POINT('',#226887); #67717=VERTEX_POINT('',#226889); #67718=VERTEX_POINT('',#226893); #67719=VERTEX_POINT('',#226895); #67720=VERTEX_POINT('',#226899); #67721=VERTEX_POINT('',#226901); #67722=VERTEX_POINT('',#226905); #67723=VERTEX_POINT('',#226907); #67724=VERTEX_POINT('',#226911); #67725=VERTEX_POINT('',#226913); #67726=VERTEX_POINT('',#226917); #67727=VERTEX_POINT('',#226919); #67728=VERTEX_POINT('',#226923); #67729=VERTEX_POINT('',#226925); #67730=VERTEX_POINT('',#226929); #67731=VERTEX_POINT('',#226931); #67732=VERTEX_POINT('',#226935); #67733=VERTEX_POINT('',#226937); #67734=VERTEX_POINT('',#226941); #67735=VERTEX_POINT('',#226943); #67736=VERTEX_POINT('',#226947); #67737=VERTEX_POINT('',#226949); #67738=VERTEX_POINT('',#226953); #67739=VERTEX_POINT('',#226955); #67740=VERTEX_POINT('',#226959); #67741=VERTEX_POINT('',#226961); #67742=VERTEX_POINT('',#226965); #67743=VERTEX_POINT('',#226967); #67744=VERTEX_POINT('',#226971); #67745=VERTEX_POINT('',#226973); #67746=VERTEX_POINT('',#226977); #67747=VERTEX_POINT('',#226979); #67748=VERTEX_POINT('',#226983); #67749=VERTEX_POINT('',#226985); #67750=VERTEX_POINT('',#226989); #67751=VERTEX_POINT('',#226991); #67752=VERTEX_POINT('',#226995); #67753=VERTEX_POINT('',#226997); #67754=VERTEX_POINT('',#227001); #67755=VERTEX_POINT('',#227003); #67756=VERTEX_POINT('',#227007); #67757=VERTEX_POINT('',#227009); #67758=VERTEX_POINT('',#227013); #67759=VERTEX_POINT('',#227015); #67760=VERTEX_POINT('',#227019); #67761=VERTEX_POINT('',#227021); #67762=VERTEX_POINT('',#227025); #67763=VERTEX_POINT('',#227027); #67764=VERTEX_POINT('',#227031); #67765=VERTEX_POINT('',#227033); #67766=VERTEX_POINT('',#227037); #67767=VERTEX_POINT('',#227039); #67768=VERTEX_POINT('',#227043); #67769=VERTEX_POINT('',#227045); #67770=VERTEX_POINT('',#227049); #67771=VERTEX_POINT('',#227051); #67772=VERTEX_POINT('',#227055); #67773=VERTEX_POINT('',#227057); #67774=VERTEX_POINT('',#227061); #67775=VERTEX_POINT('',#227063); #67776=VERTEX_POINT('',#227067); #67777=VERTEX_POINT('',#227069); #67778=VERTEX_POINT('',#227073); #67779=VERTEX_POINT('',#227075); #67780=VERTEX_POINT('',#227079); #67781=VERTEX_POINT('',#227081); #67782=VERTEX_POINT('',#227085); #67783=VERTEX_POINT('',#227087); #67784=VERTEX_POINT('',#227091); #67785=VERTEX_POINT('',#227093); #67786=VERTEX_POINT('',#227097); #67787=VERTEX_POINT('',#227099); #67788=VERTEX_POINT('',#227103); #67789=VERTEX_POINT('',#227105); #67790=VERTEX_POINT('',#227109); #67791=VERTEX_POINT('',#227111); #67792=VERTEX_POINT('',#227115); #67793=VERTEX_POINT('',#227117); #67794=VERTEX_POINT('',#227121); #67795=VERTEX_POINT('',#227123); #67796=VERTEX_POINT('',#227127); #67797=VERTEX_POINT('',#227129); #67798=VERTEX_POINT('',#227133); #67799=VERTEX_POINT('',#227135); #67800=VERTEX_POINT('',#227139); #67801=VERTEX_POINT('',#227141); #67802=VERTEX_POINT('',#227145); #67803=VERTEX_POINT('',#227147); #67804=VERTEX_POINT('',#227151); #67805=VERTEX_POINT('',#227153); #67806=VERTEX_POINT('',#227157); #67807=VERTEX_POINT('',#227159); #67808=VERTEX_POINT('',#227163); #67809=VERTEX_POINT('',#227165); #67810=VERTEX_POINT('',#227169); #67811=VERTEX_POINT('',#227171); #67812=VERTEX_POINT('',#227175); #67813=VERTEX_POINT('',#227177); #67814=VERTEX_POINT('',#227181); #67815=VERTEX_POINT('',#227183); #67816=VERTEX_POINT('',#227187); #67817=VERTEX_POINT('',#227189); #67818=VERTEX_POINT('',#227193); #67819=VERTEX_POINT('',#227195); #67820=VERTEX_POINT('',#227199); #67821=VERTEX_POINT('',#227201); #67822=VERTEX_POINT('',#227205); #67823=VERTEX_POINT('',#227207); #67824=VERTEX_POINT('',#227211); #67825=VERTEX_POINT('',#227213); #67826=VERTEX_POINT('',#227217); #67827=VERTEX_POINT('',#227219); #67828=VERTEX_POINT('',#227223); #67829=VERTEX_POINT('',#227225); #67830=VERTEX_POINT('',#227229); #67831=VERTEX_POINT('',#227231); #67832=VERTEX_POINT('',#227235); #67833=VERTEX_POINT('',#227237); #67834=VERTEX_POINT('',#227241); #67835=VERTEX_POINT('',#227243); #67836=VERTEX_POINT('',#227247); #67837=VERTEX_POINT('',#227249); #67838=VERTEX_POINT('',#227253); #67839=VERTEX_POINT('',#227255); #67840=VERTEX_POINT('',#227259); #67841=VERTEX_POINT('',#227261); #67842=VERTEX_POINT('',#227265); #67843=VERTEX_POINT('',#227267); #67844=VERTEX_POINT('',#227271); #67845=VERTEX_POINT('',#227273); #67846=VERTEX_POINT('',#227277); #67847=VERTEX_POINT('',#227279); #67848=VERTEX_POINT('',#227287); #67849=VERTEX_POINT('',#227288); #67850=VERTEX_POINT('',#227290); #67851=VERTEX_POINT('',#227292); #67852=VERTEX_POINT('',#227296); #67853=VERTEX_POINT('',#227298); #67854=VERTEX_POINT('',#227302); #67855=VERTEX_POINT('',#227304); #67856=VERTEX_POINT('',#227308); #67857=VERTEX_POINT('',#227310); #67858=VERTEX_POINT('',#227314); #67859=VERTEX_POINT('',#227316); #67860=VERTEX_POINT('',#227320); #67861=VERTEX_POINT('',#227322); #67862=VERTEX_POINT('',#227326); #67863=VERTEX_POINT('',#227328); #67864=VERTEX_POINT('',#227332); #67865=VERTEX_POINT('',#227334); #67866=VERTEX_POINT('',#227338); #67867=VERTEX_POINT('',#227340); #67868=VERTEX_POINT('',#227344); #67869=VERTEX_POINT('',#227346); #67870=VERTEX_POINT('',#227350); #67871=VERTEX_POINT('',#227352); #67872=VERTEX_POINT('',#227356); #67873=VERTEX_POINT('',#227358); #67874=VERTEX_POINT('',#227362); #67875=VERTEX_POINT('',#227364); #67876=VERTEX_POINT('',#227368); #67877=VERTEX_POINT('',#227370); #67878=VERTEX_POINT('',#227374); #67879=VERTEX_POINT('',#227376); #67880=VERTEX_POINT('',#227380); #67881=VERTEX_POINT('',#227382); #67882=VERTEX_POINT('',#227386); #67883=VERTEX_POINT('',#227388); #67884=VERTEX_POINT('',#227392); #67885=VERTEX_POINT('',#227394); #67886=VERTEX_POINT('',#227398); #67887=VERTEX_POINT('',#227400); #67888=VERTEX_POINT('',#227404); #67889=VERTEX_POINT('',#227406); #67890=VERTEX_POINT('',#227410); #67891=VERTEX_POINT('',#227412); #67892=VERTEX_POINT('',#227416); #67893=VERTEX_POINT('',#227418); #67894=VERTEX_POINT('',#227422); #67895=VERTEX_POINT('',#227424); #67896=VERTEX_POINT('',#227428); #67897=VERTEX_POINT('',#227430); #67898=VERTEX_POINT('',#227434); #67899=VERTEX_POINT('',#227436); #67900=VERTEX_POINT('',#227440); #67901=VERTEX_POINT('',#227442); #67902=VERTEX_POINT('',#227446); #67903=VERTEX_POINT('',#227448); #67904=VERTEX_POINT('',#227452); #67905=VERTEX_POINT('',#227454); #67906=VERTEX_POINT('',#227458); #67907=VERTEX_POINT('',#227460); #67908=VERTEX_POINT('',#227464); #67909=VERTEX_POINT('',#227466); #67910=VERTEX_POINT('',#227470); #67911=VERTEX_POINT('',#227472); #67912=VERTEX_POINT('',#227476); #67913=VERTEX_POINT('',#227478); #67914=VERTEX_POINT('',#227482); #67915=VERTEX_POINT('',#227484); #67916=VERTEX_POINT('',#227488); #67917=VERTEX_POINT('',#227490); #67918=VERTEX_POINT('',#227494); #67919=VERTEX_POINT('',#227496); #67920=VERTEX_POINT('',#227500); #67921=VERTEX_POINT('',#227502); #67922=VERTEX_POINT('',#227506); #67923=VERTEX_POINT('',#227508); #67924=VERTEX_POINT('',#227512); #67925=VERTEX_POINT('',#227514); #67926=VERTEX_POINT('',#227518); #67927=VERTEX_POINT('',#227520); #67928=VERTEX_POINT('',#227524); #67929=VERTEX_POINT('',#227526); #67930=VERTEX_POINT('',#227530); #67931=VERTEX_POINT('',#227532); #67932=VERTEX_POINT('',#227536); #67933=VERTEX_POINT('',#227538); #67934=VERTEX_POINT('',#227542); #67935=VERTEX_POINT('',#227544); #67936=VERTEX_POINT('',#227548); #67937=VERTEX_POINT('',#227550); #67938=VERTEX_POINT('',#227554); #67939=VERTEX_POINT('',#227556); #67940=VERTEX_POINT('',#227560); #67941=VERTEX_POINT('',#227562); #67942=VERTEX_POINT('',#227566); #67943=VERTEX_POINT('',#227568); #67944=VERTEX_POINT('',#227572); #67945=VERTEX_POINT('',#227574); #67946=VERTEX_POINT('',#227578); #67947=VERTEX_POINT('',#227580); #67948=VERTEX_POINT('',#227584); #67949=VERTEX_POINT('',#227586); #67950=VERTEX_POINT('',#227590); #67951=VERTEX_POINT('',#227592); #67952=VERTEX_POINT('',#227596); #67953=VERTEX_POINT('',#227598); #67954=VERTEX_POINT('',#227602); #67955=VERTEX_POINT('',#227604); #67956=VERTEX_POINT('',#227608); #67957=VERTEX_POINT('',#227610); #67958=VERTEX_POINT('',#227614); #67959=VERTEX_POINT('',#227616); #67960=VERTEX_POINT('',#227620); #67961=VERTEX_POINT('',#227622); #67962=VERTEX_POINT('',#227626); #67963=VERTEX_POINT('',#227628); #67964=VERTEX_POINT('',#227632); #67965=VERTEX_POINT('',#227634); #67966=VERTEX_POINT('',#227638); #67967=VERTEX_POINT('',#227640); #67968=VERTEX_POINT('',#227644); #67969=VERTEX_POINT('',#227646); #67970=VERTEX_POINT('',#227650); #67971=VERTEX_POINT('',#227652); #67972=VERTEX_POINT('',#227656); #67973=VERTEX_POINT('',#227658); #67974=VERTEX_POINT('',#227662); #67975=VERTEX_POINT('',#227664); #67976=VERTEX_POINT('',#227668); #67977=VERTEX_POINT('',#227670); #67978=VERTEX_POINT('',#227674); #67979=VERTEX_POINT('',#227676); #67980=VERTEX_POINT('',#227680); #67981=VERTEX_POINT('',#227682); #67982=VERTEX_POINT('',#227686); #67983=VERTEX_POINT('',#227688); #67984=VERTEX_POINT('',#227692); #67985=VERTEX_POINT('',#227694); #67986=VERTEX_POINT('',#227698); #67987=VERTEX_POINT('',#227700); #67988=VERTEX_POINT('',#227704); #67989=VERTEX_POINT('',#227706); #67990=VERTEX_POINT('',#227710); #67991=VERTEX_POINT('',#227712); #67992=VERTEX_POINT('',#227716); #67993=VERTEX_POINT('',#227718); #67994=VERTEX_POINT('',#227722); #67995=VERTEX_POINT('',#227724); #67996=VERTEX_POINT('',#227728); #67997=VERTEX_POINT('',#227730); #67998=VERTEX_POINT('',#227734); #67999=VERTEX_POINT('',#227736); #68000=VERTEX_POINT('',#227740); #68001=VERTEX_POINT('',#227742); #68002=VERTEX_POINT('',#227746); #68003=VERTEX_POINT('',#227748); #68004=VERTEX_POINT('',#227752); #68005=VERTEX_POINT('',#227754); #68006=VERTEX_POINT('',#227758); #68007=VERTEX_POINT('',#227760); #68008=VERTEX_POINT('',#227764); #68009=VERTEX_POINT('',#227766); #68010=VERTEX_POINT('',#227770); #68011=VERTEX_POINT('',#227772); #68012=VERTEX_POINT('',#227776); #68013=VERTEX_POINT('',#227778); #68014=VERTEX_POINT('',#227782); #68015=VERTEX_POINT('',#227784); #68016=VERTEX_POINT('',#227788); #68017=VERTEX_POINT('',#227790); #68018=VERTEX_POINT('',#227794); #68019=VERTEX_POINT('',#227796); #68020=VERTEX_POINT('',#227800); #68021=VERTEX_POINT('',#227802); #68022=VERTEX_POINT('',#227806); #68023=VERTEX_POINT('',#227808); #68024=VERTEX_POINT('',#227812); #68025=VERTEX_POINT('',#227814); #68026=VERTEX_POINT('',#227818); #68027=VERTEX_POINT('',#227820); #68028=VERTEX_POINT('',#227824); #68029=VERTEX_POINT('',#227826); #68030=VERTEX_POINT('',#227830); #68031=VERTEX_POINT('',#227832); #68032=VERTEX_POINT('',#227836); #68033=VERTEX_POINT('',#227838); #68034=VERTEX_POINT('',#227842); #68035=VERTEX_POINT('',#227844); #68036=VERTEX_POINT('',#227848); #68037=VERTEX_POINT('',#227850); #68038=VERTEX_POINT('',#227854); #68039=VERTEX_POINT('',#227856); #68040=VERTEX_POINT('',#227860); #68041=VERTEX_POINT('',#227862); #68042=VERTEX_POINT('',#227866); #68043=VERTEX_POINT('',#227868); #68044=VERTEX_POINT('',#227872); #68045=VERTEX_POINT('',#227874); #68046=VERTEX_POINT('',#227878); #68047=VERTEX_POINT('',#227880); #68048=VERTEX_POINT('',#227884); #68049=VERTEX_POINT('',#227886); #68050=VERTEX_POINT('',#227890); #68051=VERTEX_POINT('',#227892); #68052=VERTEX_POINT('',#227896); #68053=VERTEX_POINT('',#227898); #68054=VERTEX_POINT('',#227902); #68055=VERTEX_POINT('',#227904); #68056=VERTEX_POINT('',#227908); #68057=VERTEX_POINT('',#227910); #68058=VERTEX_POINT('',#227914); #68059=VERTEX_POINT('',#227916); #68060=VERTEX_POINT('',#227920); #68061=VERTEX_POINT('',#227922); #68062=VERTEX_POINT('',#227926); #68063=VERTEX_POINT('',#227928); #68064=VERTEX_POINT('',#227932); #68065=VERTEX_POINT('',#227934); #68066=VERTEX_POINT('',#227938); #68067=VERTEX_POINT('',#227940); #68068=VERTEX_POINT('',#227944); #68069=VERTEX_POINT('',#227946); #68070=VERTEX_POINT('',#227950); #68071=VERTEX_POINT('',#227952); #68072=VERTEX_POINT('',#227956); #68073=VERTEX_POINT('',#227958); #68074=VERTEX_POINT('',#227962); #68075=VERTEX_POINT('',#227964); #68076=VERTEX_POINT('',#227968); #68077=VERTEX_POINT('',#227970); #68078=VERTEX_POINT('',#227974); #68079=VERTEX_POINT('',#227976); #68080=VERTEX_POINT('',#227980); #68081=VERTEX_POINT('',#227982); #68082=VERTEX_POINT('',#227986); #68083=VERTEX_POINT('',#227988); #68084=VERTEX_POINT('',#227992); #68085=VERTEX_POINT('',#227994); #68086=VERTEX_POINT('',#227998); #68087=VERTEX_POINT('',#228000); #68088=VERTEX_POINT('',#228004); #68089=VERTEX_POINT('',#228006); #68090=VERTEX_POINT('',#228010); #68091=VERTEX_POINT('',#228012); #68092=VERTEX_POINT('',#228016); #68093=VERTEX_POINT('',#228018); #68094=VERTEX_POINT('',#228022); #68095=VERTEX_POINT('',#228024); #68096=VERTEX_POINT('',#228028); #68097=VERTEX_POINT('',#228030); #68098=VERTEX_POINT('',#228034); #68099=VERTEX_POINT('',#228036); #68100=VERTEX_POINT('',#228040); #68101=VERTEX_POINT('',#228042); #68102=VERTEX_POINT('',#228046); #68103=VERTEX_POINT('',#228048); #68104=VERTEX_POINT('',#228052); #68105=VERTEX_POINT('',#228054); #68106=VERTEX_POINT('',#228058); #68107=VERTEX_POINT('',#228060); #68108=VERTEX_POINT('',#228064); #68109=VERTEX_POINT('',#228066); #68110=VERTEX_POINT('',#228070); #68111=VERTEX_POINT('',#228072); #68112=VERTEX_POINT('',#228080); #68113=VERTEX_POINT('',#228081); #68114=VERTEX_POINT('',#228083); #68115=VERTEX_POINT('',#228085); #68116=VERTEX_POINT('',#228089); #68117=VERTEX_POINT('',#228091); #68118=VERTEX_POINT('',#228095); #68119=VERTEX_POINT('',#228097); #68120=VERTEX_POINT('',#228101); #68121=VERTEX_POINT('',#228103); #68122=VERTEX_POINT('',#228107); #68123=VERTEX_POINT('',#228109); #68124=VERTEX_POINT('',#228113); #68125=VERTEX_POINT('',#228115); #68126=VERTEX_POINT('',#228119); #68127=VERTEX_POINT('',#228121); #68128=VERTEX_POINT('',#228125); #68129=VERTEX_POINT('',#228127); #68130=VERTEX_POINT('',#228131); #68131=VERTEX_POINT('',#228133); #68132=VERTEX_POINT('',#228137); #68133=VERTEX_POINT('',#228139); #68134=VERTEX_POINT('',#228143); #68135=VERTEX_POINT('',#228145); #68136=VERTEX_POINT('',#228149); #68137=VERTEX_POINT('',#228151); #68138=VERTEX_POINT('',#228155); #68139=VERTEX_POINT('',#228157); #68140=VERTEX_POINT('',#228161); #68141=VERTEX_POINT('',#228163); #68142=VERTEX_POINT('',#228167); #68143=VERTEX_POINT('',#228169); #68144=VERTEX_POINT('',#228173); #68145=VERTEX_POINT('',#228175); #68146=VERTEX_POINT('',#228179); #68147=VERTEX_POINT('',#228181); #68148=VERTEX_POINT('',#228185); #68149=VERTEX_POINT('',#228187); #68150=VERTEX_POINT('',#228191); #68151=VERTEX_POINT('',#228193); #68152=VERTEX_POINT('',#228197); #68153=VERTEX_POINT('',#228199); #68154=VERTEX_POINT('',#228203); #68155=VERTEX_POINT('',#228205); #68156=VERTEX_POINT('',#228209); #68157=VERTEX_POINT('',#228211); #68158=VERTEX_POINT('',#228215); #68159=VERTEX_POINT('',#228217); #68160=VERTEX_POINT('',#228221); #68161=VERTEX_POINT('',#228223); #68162=VERTEX_POINT('',#228227); #68163=VERTEX_POINT('',#228229); #68164=VERTEX_POINT('',#228233); #68165=VERTEX_POINT('',#228235); #68166=VERTEX_POINT('',#228239); #68167=VERTEX_POINT('',#228241); #68168=VERTEX_POINT('',#228245); #68169=VERTEX_POINT('',#228247); #68170=VERTEX_POINT('',#228251); #68171=VERTEX_POINT('',#228253); #68172=VERTEX_POINT('',#228257); #68173=VERTEX_POINT('',#228259); #68174=VERTEX_POINT('',#228263); #68175=VERTEX_POINT('',#228265); #68176=VERTEX_POINT('',#228269); #68177=VERTEX_POINT('',#228271); #68178=VERTEX_POINT('',#228275); #68179=VERTEX_POINT('',#228277); #68180=VERTEX_POINT('',#228281); #68181=VERTEX_POINT('',#228283); #68182=VERTEX_POINT('',#228287); #68183=VERTEX_POINT('',#228289); #68184=VERTEX_POINT('',#228293); #68185=VERTEX_POINT('',#228295); #68186=VERTEX_POINT('',#228299); #68187=VERTEX_POINT('',#228301); #68188=VERTEX_POINT('',#228309); #68189=VERTEX_POINT('',#228310); #68190=VERTEX_POINT('',#228312); #68191=VERTEX_POINT('',#228314); #68192=VERTEX_POINT('',#228318); #68193=VERTEX_POINT('',#228320); #68194=VERTEX_POINT('',#228324); #68195=VERTEX_POINT('',#228326); #68196=VERTEX_POINT('',#228330); #68197=VERTEX_POINT('',#228332); #68198=VERTEX_POINT('',#228336); #68199=VERTEX_POINT('',#228338); #68200=VERTEX_POINT('',#228342); #68201=VERTEX_POINT('',#228344); #68202=VERTEX_POINT('',#228348); #68203=VERTEX_POINT('',#228350); #68204=VERTEX_POINT('',#228354); #68205=VERTEX_POINT('',#228356); #68206=VERTEX_POINT('',#228360); #68207=VERTEX_POINT('',#228362); #68208=VERTEX_POINT('',#228366); #68209=VERTEX_POINT('',#228368); #68210=VERTEX_POINT('',#228372); #68211=VERTEX_POINT('',#228374); #68212=VERTEX_POINT('',#228378); #68213=VERTEX_POINT('',#228380); #68214=VERTEX_POINT('',#228384); #68215=VERTEX_POINT('',#228386); #68216=VERTEX_POINT('',#228390); #68217=VERTEX_POINT('',#228392); #68218=VERTEX_POINT('',#228396); #68219=VERTEX_POINT('',#228398); #68220=VERTEX_POINT('',#228402); #68221=VERTEX_POINT('',#228404); #68222=VERTEX_POINT('',#228408); #68223=VERTEX_POINT('',#228410); #68224=VERTEX_POINT('',#228414); #68225=VERTEX_POINT('',#228416); #68226=VERTEX_POINT('',#228420); #68227=VERTEX_POINT('',#228422); #68228=VERTEX_POINT('',#228426); #68229=VERTEX_POINT('',#228428); #68230=VERTEX_POINT('',#228432); #68231=VERTEX_POINT('',#228434); #68232=VERTEX_POINT('',#228438); #68233=VERTEX_POINT('',#228440); #68234=VERTEX_POINT('',#228447); #68235=VERTEX_POINT('',#228448); #68236=VERTEX_POINT('',#228450); #68237=VERTEX_POINT('',#228452); #68238=VERTEX_POINT('',#228456); #68239=VERTEX_POINT('',#228458); #68240=VERTEX_POINT('',#228462); #68241=VERTEX_POINT('',#228464); #68242=VERTEX_POINT('',#228468); #68243=VERTEX_POINT('',#228470); #68244=VERTEX_POINT('',#228474); #68245=VERTEX_POINT('',#228476); #68246=VERTEX_POINT('',#228480); #68247=VERTEX_POINT('',#228482); #68248=VERTEX_POINT('',#228486); #68249=VERTEX_POINT('',#228488); #68250=VERTEX_POINT('',#228492); #68251=VERTEX_POINT('',#228494); #68252=VERTEX_POINT('',#228498); #68253=VERTEX_POINT('',#228500); #68254=VERTEX_POINT('',#228504); #68255=VERTEX_POINT('',#228506); #68256=VERTEX_POINT('',#228510); #68257=VERTEX_POINT('',#228512); #68258=VERTEX_POINT('',#228516); #68259=VERTEX_POINT('',#228518); #68260=VERTEX_POINT('',#228522); #68261=VERTEX_POINT('',#228524); #68262=VERTEX_POINT('',#228528); #68263=VERTEX_POINT('',#228530); #68264=VERTEX_POINT('',#228534); #68265=VERTEX_POINT('',#228536); #68266=VERTEX_POINT('',#228540); #68267=VERTEX_POINT('',#228542); #68268=VERTEX_POINT('',#228546); #68269=VERTEX_POINT('',#228548); #68270=VERTEX_POINT('',#228552); #68271=VERTEX_POINT('',#228554); #68272=VERTEX_POINT('',#228558); #68273=VERTEX_POINT('',#228560); #68274=VERTEX_POINT('',#228564); #68275=VERTEX_POINT('',#228566); #68276=VERTEX_POINT('',#228570); #68277=VERTEX_POINT('',#228572); #68278=VERTEX_POINT('',#228576); #68279=VERTEX_POINT('',#228578); #68280=VERTEX_POINT('',#228582); #68281=VERTEX_POINT('',#228584); #68282=VERTEX_POINT('',#228588); #68283=VERTEX_POINT('',#228590); #68284=VERTEX_POINT('',#228594); #68285=VERTEX_POINT('',#228596); #68286=VERTEX_POINT('',#228600); #68287=VERTEX_POINT('',#228602); #68288=VERTEX_POINT('',#228606); #68289=VERTEX_POINT('',#228608); #68290=VERTEX_POINT('',#228612); #68291=VERTEX_POINT('',#228614); #68292=VERTEX_POINT('',#228618); #68293=VERTEX_POINT('',#228620); #68294=VERTEX_POINT('',#228624); #68295=VERTEX_POINT('',#228626); #68296=VERTEX_POINT('',#228630); #68297=VERTEX_POINT('',#228632); #68298=VERTEX_POINT('',#228636); #68299=VERTEX_POINT('',#228638); #68300=VERTEX_POINT('',#228642); #68301=VERTEX_POINT('',#228644); #68302=VERTEX_POINT('',#228648); #68303=VERTEX_POINT('',#228650); #68304=VERTEX_POINT('',#228654); #68305=VERTEX_POINT('',#228656); #68306=VERTEX_POINT('',#228660); #68307=VERTEX_POINT('',#228662); #68308=VERTEX_POINT('',#228666); #68309=VERTEX_POINT('',#228668); #68310=VERTEX_POINT('',#228672); #68311=VERTEX_POINT('',#228674); #68312=VERTEX_POINT('',#228678); #68313=VERTEX_POINT('',#228680); #68314=VERTEX_POINT('',#228684); #68315=VERTEX_POINT('',#228686); #68316=VERTEX_POINT('',#228690); #68317=VERTEX_POINT('',#228692); #68318=VERTEX_POINT('',#228696); #68319=VERTEX_POINT('',#228698); #68320=VERTEX_POINT('',#228702); #68321=VERTEX_POINT('',#228704); #68322=VERTEX_POINT('',#228708); #68323=VERTEX_POINT('',#228710); #68324=VERTEX_POINT('',#228714); #68325=VERTEX_POINT('',#228716); #68326=VERTEX_POINT('',#228720); #68327=VERTEX_POINT('',#228722); #68328=VERTEX_POINT('',#228726); #68329=VERTEX_POINT('',#228728); #68330=VERTEX_POINT('',#228732); #68331=VERTEX_POINT('',#228734); #68332=VERTEX_POINT('',#228738); #68333=VERTEX_POINT('',#228740); #68334=VERTEX_POINT('',#228744); #68335=VERTEX_POINT('',#228746); #68336=VERTEX_POINT('',#228750); #68337=VERTEX_POINT('',#228752); #68338=VERTEX_POINT('',#228756); #68339=VERTEX_POINT('',#228758); #68340=VERTEX_POINT('',#228762); #68341=VERTEX_POINT('',#228764); #68342=VERTEX_POINT('',#228768); #68343=VERTEX_POINT('',#228770); #68344=VERTEX_POINT('',#228774); #68345=VERTEX_POINT('',#228776); #68346=VERTEX_POINT('',#228780); #68347=VERTEX_POINT('',#228782); #68348=VERTEX_POINT('',#228786); #68349=VERTEX_POINT('',#228788); #68350=VERTEX_POINT('',#228792); #68351=VERTEX_POINT('',#228794); #68352=VERTEX_POINT('',#228798); #68353=VERTEX_POINT('',#228800); #68354=VERTEX_POINT('',#228804); #68355=VERTEX_POINT('',#228806); #68356=VERTEX_POINT('',#228810); #68357=VERTEX_POINT('',#228812); #68358=VERTEX_POINT('',#228816); #68359=VERTEX_POINT('',#228818); #68360=VERTEX_POINT('',#228822); #68361=VERTEX_POINT('',#228824); #68362=VERTEX_POINT('',#228828); #68363=VERTEX_POINT('',#228830); #68364=VERTEX_POINT('',#228834); #68365=VERTEX_POINT('',#228836); #68366=VERTEX_POINT('',#228840); #68367=VERTEX_POINT('',#228842); #68368=VERTEX_POINT('',#228846); #68369=VERTEX_POINT('',#228848); #68370=VERTEX_POINT('',#228852); #68371=VERTEX_POINT('',#228854); #68372=VERTEX_POINT('',#228858); #68373=VERTEX_POINT('',#228860); #68374=VERTEX_POINT('',#228864); #68375=VERTEX_POINT('',#228866); #68376=VERTEX_POINT('',#228870); #68377=VERTEX_POINT('',#228872); #68378=VERTEX_POINT('',#228876); #68379=VERTEX_POINT('',#228878); #68380=VERTEX_POINT('',#228882); #68381=VERTEX_POINT('',#228884); #68382=VERTEX_POINT('',#228888); #68383=VERTEX_POINT('',#228890); #68384=VERTEX_POINT('',#228894); #68385=VERTEX_POINT('',#228896); #68386=VERTEX_POINT('',#228900); #68387=VERTEX_POINT('',#228902); #68388=VERTEX_POINT('',#228906); #68389=VERTEX_POINT('',#228908); #68390=VERTEX_POINT('',#228912); #68391=VERTEX_POINT('',#228914); #68392=VERTEX_POINT('',#228918); #68393=VERTEX_POINT('',#228920); #68394=VERTEX_POINT('',#228924); #68395=VERTEX_POINT('',#228926); #68396=VERTEX_POINT('',#228930); #68397=VERTEX_POINT('',#228932); #68398=VERTEX_POINT('',#228936); #68399=VERTEX_POINT('',#228938); #68400=VERTEX_POINT('',#228942); #68401=VERTEX_POINT('',#228944); #68402=VERTEX_POINT('',#228948); #68403=VERTEX_POINT('',#228950); #68404=VERTEX_POINT('',#228954); #68405=VERTEX_POINT('',#228956); #68406=VERTEX_POINT('',#228960); #68407=VERTEX_POINT('',#228962); #68408=VERTEX_POINT('',#228966); #68409=VERTEX_POINT('',#228968); #68410=VERTEX_POINT('',#228972); #68411=VERTEX_POINT('',#228974); #68412=VERTEX_POINT('',#228978); #68413=VERTEX_POINT('',#228980); #68414=VERTEX_POINT('',#228984); #68415=VERTEX_POINT('',#228986); #68416=VERTEX_POINT('',#228990); #68417=VERTEX_POINT('',#228992); #68418=VERTEX_POINT('',#228996); #68419=VERTEX_POINT('',#228998); #68420=VERTEX_POINT('',#229002); #68421=VERTEX_POINT('',#229004); #68422=VERTEX_POINT('',#229008); #68423=VERTEX_POINT('',#229010); #68424=VERTEX_POINT('',#229014); #68425=VERTEX_POINT('',#229016); #68426=VERTEX_POINT('',#229020); #68427=VERTEX_POINT('',#229022); #68428=VERTEX_POINT('',#229026); #68429=VERTEX_POINT('',#229028); #68430=VERTEX_POINT('',#229032); #68431=VERTEX_POINT('',#229034); #68432=VERTEX_POINT('',#229038); #68433=VERTEX_POINT('',#229040); #68434=VERTEX_POINT('',#229044); #68435=VERTEX_POINT('',#229046); #68436=VERTEX_POINT('',#229050); #68437=VERTEX_POINT('',#229052); #68438=VERTEX_POINT('',#229056); #68439=VERTEX_POINT('',#229058); #68440=VERTEX_POINT('',#229062); #68441=VERTEX_POINT('',#229064); #68442=VERTEX_POINT('',#229068); #68443=VERTEX_POINT('',#229070); #68444=VERTEX_POINT('',#229074); #68445=VERTEX_POINT('',#229076); #68446=VERTEX_POINT('',#229080); #68447=VERTEX_POINT('',#229082); #68448=VERTEX_POINT('',#229086); #68449=VERTEX_POINT('',#229088); #68450=VERTEX_POINT('',#229092); #68451=VERTEX_POINT('',#229094); #68452=VERTEX_POINT('',#229098); #68453=VERTEX_POINT('',#229100); #68454=VERTEX_POINT('',#229104); #68455=VERTEX_POINT('',#229106); #68456=VERTEX_POINT('',#229110); #68457=VERTEX_POINT('',#229112); #68458=VERTEX_POINT('',#229116); #68459=VERTEX_POINT('',#229118); #68460=VERTEX_POINT('',#229122); #68461=VERTEX_POINT('',#229124); #68462=VERTEX_POINT('',#229128); #68463=VERTEX_POINT('',#229130); #68464=VERTEX_POINT('',#229134); #68465=VERTEX_POINT('',#229136); #68466=VERTEX_POINT('',#229140); #68467=VERTEX_POINT('',#229142); #68468=VERTEX_POINT('',#229146); #68469=VERTEX_POINT('',#229148); #68470=VERTEX_POINT('',#229152); #68471=VERTEX_POINT('',#229154); #68472=VERTEX_POINT('',#229158); #68473=VERTEX_POINT('',#229160); #68474=VERTEX_POINT('',#229164); #68475=VERTEX_POINT('',#229166); #68476=VERTEX_POINT('',#229170); #68477=VERTEX_POINT('',#229172); #68478=VERTEX_POINT('',#229180); #68479=VERTEX_POINT('',#229181); #68480=VERTEX_POINT('',#229183); #68481=VERTEX_POINT('',#229185); #68482=VERTEX_POINT('',#229189); #68483=VERTEX_POINT('',#229191); #68484=VERTEX_POINT('',#229195); #68485=VERTEX_POINT('',#229197); #68486=VERTEX_POINT('',#229201); #68487=VERTEX_POINT('',#229203); #68488=VERTEX_POINT('',#229210); #68489=VERTEX_POINT('',#229211); #68490=VERTEX_POINT('',#229213); #68491=VERTEX_POINT('',#229215); #68492=VERTEX_POINT('',#229219); #68493=VERTEX_POINT('',#229221); #68494=VERTEX_POINT('',#229225); #68495=VERTEX_POINT('',#229227); #68496=VERTEX_POINT('',#229231); #68497=VERTEX_POINT('',#229233); #68498=VERTEX_POINT('',#229237); #68499=VERTEX_POINT('',#229239); #68500=VERTEX_POINT('',#229243); #68501=VERTEX_POINT('',#229245); #68502=VERTEX_POINT('',#229249); #68503=VERTEX_POINT('',#229251); #68504=VERTEX_POINT('',#229255); #68505=VERTEX_POINT('',#229257); #68506=VERTEX_POINT('',#229261); #68507=VERTEX_POINT('',#229263); #68508=VERTEX_POINT('',#229267); #68509=VERTEX_POINT('',#229269); #68510=VERTEX_POINT('',#229273); #68511=VERTEX_POINT('',#229275); #68512=VERTEX_POINT('',#229279); #68513=VERTEX_POINT('',#229281); #68514=VERTEX_POINT('',#229285); #68515=VERTEX_POINT('',#229287); #68516=VERTEX_POINT('',#229291); #68517=VERTEX_POINT('',#229293); #68518=VERTEX_POINT('',#229297); #68519=VERTEX_POINT('',#229299); #68520=VERTEX_POINT('',#229303); #68521=VERTEX_POINT('',#229305); #68522=VERTEX_POINT('',#229309); #68523=VERTEX_POINT('',#229311); #68524=VERTEX_POINT('',#229315); #68525=VERTEX_POINT('',#229317); #68526=VERTEX_POINT('',#229321); #68527=VERTEX_POINT('',#229323); #68528=VERTEX_POINT('',#229327); #68529=VERTEX_POINT('',#229329); #68530=VERTEX_POINT('',#229333); #68531=VERTEX_POINT('',#229335); #68532=VERTEX_POINT('',#229339); #68533=VERTEX_POINT('',#229341); #68534=VERTEX_POINT('',#229345); #68535=VERTEX_POINT('',#229347); #68536=VERTEX_POINT('',#229351); #68537=VERTEX_POINT('',#229353); #68538=VERTEX_POINT('',#229357); #68539=VERTEX_POINT('',#229359); #68540=VERTEX_POINT('',#229363); #68541=VERTEX_POINT('',#229365); #68542=VERTEX_POINT('',#229369); #68543=VERTEX_POINT('',#229371); #68544=VERTEX_POINT('',#229375); #68545=VERTEX_POINT('',#229377); #68546=VERTEX_POINT('',#229381); #68547=VERTEX_POINT('',#229383); #68548=VERTEX_POINT('',#229387); #68549=VERTEX_POINT('',#229389); #68550=VERTEX_POINT('',#229393); #68551=VERTEX_POINT('',#229395); #68552=VERTEX_POINT('',#229399); #68553=VERTEX_POINT('',#229401); #68554=VERTEX_POINT('',#229405); #68555=VERTEX_POINT('',#229407); #68556=VERTEX_POINT('',#229411); #68557=VERTEX_POINT('',#229413); #68558=VERTEX_POINT('',#229417); #68559=VERTEX_POINT('',#229419); #68560=VERTEX_POINT('',#229423); #68561=VERTEX_POINT('',#229425); #68562=VERTEX_POINT('',#229429); #68563=VERTEX_POINT('',#229431); #68564=VERTEX_POINT('',#229435); #68565=VERTEX_POINT('',#229437); #68566=VERTEX_POINT('',#229441); #68567=VERTEX_POINT('',#229443); #68568=VERTEX_POINT('',#229447); #68569=VERTEX_POINT('',#229449); #68570=VERTEX_POINT('',#229453); #68571=VERTEX_POINT('',#229455); #68572=VERTEX_POINT('',#229459); #68573=VERTEX_POINT('',#229461); #68574=VERTEX_POINT('',#229465); #68575=VERTEX_POINT('',#229467); #68576=VERTEX_POINT('',#229471); #68577=VERTEX_POINT('',#229473); #68578=VERTEX_POINT('',#229477); #68579=VERTEX_POINT('',#229479); #68580=VERTEX_POINT('',#229483); #68581=VERTEX_POINT('',#229485); #68582=VERTEX_POINT('',#229489); #68583=VERTEX_POINT('',#229491); #68584=VERTEX_POINT('',#229495); #68585=VERTEX_POINT('',#229497); #68586=VERTEX_POINT('',#229501); #68587=VERTEX_POINT('',#229503); #68588=VERTEX_POINT('',#229507); #68589=VERTEX_POINT('',#229509); #68590=VERTEX_POINT('',#229513); #68591=VERTEX_POINT('',#229515); #68592=VERTEX_POINT('',#229519); #68593=VERTEX_POINT('',#229521); #68594=VERTEX_POINT('',#229525); #68595=VERTEX_POINT('',#229527); #68596=VERTEX_POINT('',#229531); #68597=VERTEX_POINT('',#229533); #68598=VERTEX_POINT('',#229537); #68599=VERTEX_POINT('',#229539); #68600=VERTEX_POINT('',#229543); #68601=VERTEX_POINT('',#229545); #68602=VERTEX_POINT('',#229553); #68603=VERTEX_POINT('',#229554); #68604=VERTEX_POINT('',#229556); #68605=VERTEX_POINT('',#229558); #68606=VERTEX_POINT('',#229562); #68607=VERTEX_POINT('',#229564); #68608=VERTEX_POINT('',#229568); #68609=VERTEX_POINT('',#229570); #68610=VERTEX_POINT('',#229574); #68611=VERTEX_POINT('',#229576); #68612=VERTEX_POINT('',#229580); #68613=VERTEX_POINT('',#229582); #68614=VERTEX_POINT('',#229586); #68615=VERTEX_POINT('',#229588); #68616=VERTEX_POINT('',#229596); #68617=VERTEX_POINT('',#229597); #68618=VERTEX_POINT('',#229599); #68619=VERTEX_POINT('',#229601); #68620=VERTEX_POINT('',#229605); #68621=VERTEX_POINT('',#229607); #68622=VERTEX_POINT('',#229611); #68623=VERTEX_POINT('',#229613); #68624=VERTEX_POINT('',#229617); #68625=VERTEX_POINT('',#229619); #68626=VERTEX_POINT('',#229623); #68627=VERTEX_POINT('',#229625); #68628=VERTEX_POINT('',#229629); #68629=VERTEX_POINT('',#229631); #68630=VERTEX_POINT('',#229635); #68631=VERTEX_POINT('',#229637); #68632=VERTEX_POINT('',#229641); #68633=VERTEX_POINT('',#229643); #68634=VERTEX_POINT('',#229647); #68635=VERTEX_POINT('',#229649); #68636=VERTEX_POINT('',#229653); #68637=VERTEX_POINT('',#229655); #68638=VERTEX_POINT('',#229659); #68639=VERTEX_POINT('',#229661); #68640=VERTEX_POINT('',#229665); #68641=VERTEX_POINT('',#229667); #68642=VERTEX_POINT('',#229671); #68643=VERTEX_POINT('',#229673); #68644=VERTEX_POINT('',#229677); #68645=VERTEX_POINT('',#229679); #68646=VERTEX_POINT('',#229683); #68647=VERTEX_POINT('',#229685); #68648=VERTEX_POINT('',#229689); #68649=VERTEX_POINT('',#229691); #68650=VERTEX_POINT('',#229695); #68651=VERTEX_POINT('',#229697); #68652=VERTEX_POINT('',#229701); #68653=VERTEX_POINT('',#229703); #68654=VERTEX_POINT('',#229707); #68655=VERTEX_POINT('',#229709); #68656=VERTEX_POINT('',#229713); #68657=VERTEX_POINT('',#229715); #68658=VERTEX_POINT('',#229719); #68659=VERTEX_POINT('',#229721); #68660=VERTEX_POINT('',#229725); #68661=VERTEX_POINT('',#229727); #68662=VERTEX_POINT('',#229731); #68663=VERTEX_POINT('',#229733); #68664=VERTEX_POINT('',#229737); #68665=VERTEX_POINT('',#229739); #68666=VERTEX_POINT('',#229743); #68667=VERTEX_POINT('',#229745); #68668=VERTEX_POINT('',#229749); #68669=VERTEX_POINT('',#229751); #68670=VERTEX_POINT('',#229755); #68671=VERTEX_POINT('',#229757); #68672=VERTEX_POINT('',#229761); #68673=VERTEX_POINT('',#229763); #68674=VERTEX_POINT('',#229767); #68675=VERTEX_POINT('',#229769); #68676=VERTEX_POINT('',#229773); #68677=VERTEX_POINT('',#229775); #68678=VERTEX_POINT('',#229779); #68679=VERTEX_POINT('',#229781); #68680=VERTEX_POINT('',#229785); #68681=VERTEX_POINT('',#229787); #68682=VERTEX_POINT('',#229791); #68683=VERTEX_POINT('',#229793); #68684=VERTEX_POINT('',#229797); #68685=VERTEX_POINT('',#229799); #68686=VERTEX_POINT('',#229803); #68687=VERTEX_POINT('',#229805); #68688=VERTEX_POINT('',#229809); #68689=VERTEX_POINT('',#229811); #68690=VERTEX_POINT('',#229815); #68691=VERTEX_POINT('',#229817); #68692=VERTEX_POINT('',#229821); #68693=VERTEX_POINT('',#229823); #68694=VERTEX_POINT('',#229827); #68695=VERTEX_POINT('',#229829); #68696=VERTEX_POINT('',#229833); #68697=VERTEX_POINT('',#229835); #68698=VERTEX_POINT('',#229839); #68699=VERTEX_POINT('',#229841); #68700=VERTEX_POINT('',#229845); #68701=VERTEX_POINT('',#229847); #68702=VERTEX_POINT('',#229851); #68703=VERTEX_POINT('',#229853); #68704=VERTEX_POINT('',#229857); #68705=VERTEX_POINT('',#229859); #68706=VERTEX_POINT('',#229863); #68707=VERTEX_POINT('',#229865); #68708=VERTEX_POINT('',#229869); #68709=VERTEX_POINT('',#229871); #68710=VERTEX_POINT('',#229875); #68711=VERTEX_POINT('',#229877); #68712=VERTEX_POINT('',#229881); #68713=VERTEX_POINT('',#229883); #68714=VERTEX_POINT('',#229887); #68715=VERTEX_POINT('',#229889); #68716=VERTEX_POINT('',#229893); #68717=VERTEX_POINT('',#229895); #68718=VERTEX_POINT('',#229899); #68719=VERTEX_POINT('',#229901); #68720=VERTEX_POINT('',#229905); #68721=VERTEX_POINT('',#229907); #68722=VERTEX_POINT('',#229911); #68723=VERTEX_POINT('',#229913); #68724=VERTEX_POINT('',#229917); #68725=VERTEX_POINT('',#229919); #68726=VERTEX_POINT('',#229923); #68727=VERTEX_POINT('',#229925); #68728=VERTEX_POINT('',#229929); #68729=VERTEX_POINT('',#229931); #68730=VERTEX_POINT('',#229935); #68731=VERTEX_POINT('',#229937); #68732=VERTEX_POINT('',#229941); #68733=VERTEX_POINT('',#229943); #68734=VERTEX_POINT('',#229947); #68735=VERTEX_POINT('',#229949); #68736=VERTEX_POINT('',#229953); #68737=VERTEX_POINT('',#229955); #68738=VERTEX_POINT('',#229959); #68739=VERTEX_POINT('',#229961); #68740=VERTEX_POINT('',#229965); #68741=VERTEX_POINT('',#229967); #68742=VERTEX_POINT('',#229971); #68743=VERTEX_POINT('',#229973); #68744=VERTEX_POINT('',#229977); #68745=VERTEX_POINT('',#229979); #68746=VERTEX_POINT('',#229983); #68747=VERTEX_POINT('',#229985); #68748=VERTEX_POINT('',#229989); #68749=VERTEX_POINT('',#229991); #68750=VERTEX_POINT('',#229995); #68751=VERTEX_POINT('',#229997); #68752=VERTEX_POINT('',#230001); #68753=VERTEX_POINT('',#230003); #68754=VERTEX_POINT('',#230007); #68755=VERTEX_POINT('',#230009); #68756=VERTEX_POINT('',#230013); #68757=VERTEX_POINT('',#230015); #68758=VERTEX_POINT('',#230019); #68759=VERTEX_POINT('',#230021); #68760=VERTEX_POINT('',#230025); #68761=VERTEX_POINT('',#230027); #68762=VERTEX_POINT('',#230031); #68763=VERTEX_POINT('',#230033); #68764=VERTEX_POINT('',#230037); #68765=VERTEX_POINT('',#230039); #68766=VERTEX_POINT('',#230043); #68767=VERTEX_POINT('',#230045); #68768=VERTEX_POINT('',#230049); #68769=VERTEX_POINT('',#230051); #68770=VERTEX_POINT('',#230055); #68771=VERTEX_POINT('',#230057); #68772=VERTEX_POINT('',#230061); #68773=VERTEX_POINT('',#230063); #68774=VERTEX_POINT('',#230067); #68775=VERTEX_POINT('',#230069); #68776=VERTEX_POINT('',#230073); #68777=VERTEX_POINT('',#230075); #68778=VERTEX_POINT('',#230079); #68779=VERTEX_POINT('',#230081); #68780=VERTEX_POINT('',#230085); #68781=VERTEX_POINT('',#230087); #68782=VERTEX_POINT('',#230091); #68783=VERTEX_POINT('',#230093); #68784=VERTEX_POINT('',#230097); #68785=VERTEX_POINT('',#230099); #68786=VERTEX_POINT('',#230103); #68787=VERTEX_POINT('',#230105); #68788=VERTEX_POINT('',#230109); #68789=VERTEX_POINT('',#230111); #68790=VERTEX_POINT('',#230115); #68791=VERTEX_POINT('',#230117); #68792=VERTEX_POINT('',#230121); #68793=VERTEX_POINT('',#230123); #68794=VERTEX_POINT('',#230127); #68795=VERTEX_POINT('',#230129); #68796=VERTEX_POINT('',#230133); #68797=VERTEX_POINT('',#230135); #68798=VERTEX_POINT('',#230139); #68799=VERTEX_POINT('',#230141); #68800=VERTEX_POINT('',#230145); #68801=VERTEX_POINT('',#230147); #68802=VERTEX_POINT('',#230151); #68803=VERTEX_POINT('',#230153); #68804=VERTEX_POINT('',#230157); #68805=VERTEX_POINT('',#230159); #68806=VERTEX_POINT('',#230163); #68807=VERTEX_POINT('',#230165); #68808=VERTEX_POINT('',#230169); #68809=VERTEX_POINT('',#230171); #68810=VERTEX_POINT('',#230175); #68811=VERTEX_POINT('',#230177); #68812=VERTEX_POINT('',#230181); #68813=VERTEX_POINT('',#230183); #68814=VERTEX_POINT('',#230187); #68815=VERTEX_POINT('',#230189); #68816=VERTEX_POINT('',#230193); #68817=VERTEX_POINT('',#230195); #68818=VERTEX_POINT('',#230199); #68819=VERTEX_POINT('',#230201); #68820=VERTEX_POINT('',#230205); #68821=VERTEX_POINT('',#230207); #68822=VERTEX_POINT('',#230211); #68823=VERTEX_POINT('',#230213); #68824=VERTEX_POINT('',#230217); #68825=VERTEX_POINT('',#230219); #68826=VERTEX_POINT('',#230223); #68827=VERTEX_POINT('',#230225); #68828=VERTEX_POINT('',#230229); #68829=VERTEX_POINT('',#230231); #68830=VERTEX_POINT('',#230235); #68831=VERTEX_POINT('',#230237); #68832=VERTEX_POINT('',#230241); #68833=VERTEX_POINT('',#230243); #68834=VERTEX_POINT('',#230247); #68835=VERTEX_POINT('',#230249); #68836=VERTEX_POINT('',#230253); #68837=VERTEX_POINT('',#230255); #68838=VERTEX_POINT('',#230259); #68839=VERTEX_POINT('',#230261); #68840=VERTEX_POINT('',#230265); #68841=VERTEX_POINT('',#230267); #68842=VERTEX_POINT('',#230271); #68843=VERTEX_POINT('',#230273); #68844=VERTEX_POINT('',#230277); #68845=VERTEX_POINT('',#230279); #68846=VERTEX_POINT('',#230283); #68847=VERTEX_POINT('',#230285); #68848=VERTEX_POINT('',#230289); #68849=VERTEX_POINT('',#230291); #68850=VERTEX_POINT('',#230295); #68851=VERTEX_POINT('',#230297); #68852=VERTEX_POINT('',#230301); #68853=VERTEX_POINT('',#230303); #68854=VERTEX_POINT('',#230311); #68855=VERTEX_POINT('',#230312); #68856=VERTEX_POINT('',#230314); #68857=VERTEX_POINT('',#230316); #68858=VERTEX_POINT('',#230320); #68859=VERTEX_POINT('',#230322); #68860=VERTEX_POINT('',#230326); #68861=VERTEX_POINT('',#230328); #68862=VERTEX_POINT('',#230332); #68863=VERTEX_POINT('',#230334); #68864=VERTEX_POINT('',#230338); #68865=VERTEX_POINT('',#230340); #68866=VERTEX_POINT('',#230344); #68867=VERTEX_POINT('',#230346); #68868=VERTEX_POINT('',#230350); #68869=VERTEX_POINT('',#230352); #68870=VERTEX_POINT('',#230356); #68871=VERTEX_POINT('',#230358); #68872=VERTEX_POINT('',#230362); #68873=VERTEX_POINT('',#230364); #68874=VERTEX_POINT('',#230368); #68875=VERTEX_POINT('',#230370); #68876=VERTEX_POINT('',#230374); #68877=VERTEX_POINT('',#230376); #68878=VERTEX_POINT('',#230383); #68879=VERTEX_POINT('',#230384); #68880=VERTEX_POINT('',#230386); #68881=VERTEX_POINT('',#230388); #68882=VERTEX_POINT('',#230392); #68883=VERTEX_POINT('',#230394); #68884=VERTEX_POINT('',#230398); #68885=VERTEX_POINT('',#230400); #68886=VERTEX_POINT('',#230404); #68887=VERTEX_POINT('',#230406); #68888=VERTEX_POINT('',#230410); #68889=VERTEX_POINT('',#230412); #68890=VERTEX_POINT('',#230416); #68891=VERTEX_POINT('',#230418); #68892=VERTEX_POINT('',#230422); #68893=VERTEX_POINT('',#230424); #68894=VERTEX_POINT('',#230428); #68895=VERTEX_POINT('',#230430); #68896=VERTEX_POINT('',#230434); #68897=VERTEX_POINT('',#230436); #68898=VERTEX_POINT('',#230440); #68899=VERTEX_POINT('',#230442); #68900=VERTEX_POINT('',#230446); #68901=VERTEX_POINT('',#230448); #68902=VERTEX_POINT('',#230452); #68903=VERTEX_POINT('',#230454); #68904=VERTEX_POINT('',#230458); #68905=VERTEX_POINT('',#230460); #68906=VERTEX_POINT('',#230464); #68907=VERTEX_POINT('',#230466); #68908=VERTEX_POINT('',#230470); #68909=VERTEX_POINT('',#230472); #68910=VERTEX_POINT('',#230476); #68911=VERTEX_POINT('',#230478); #68912=VERTEX_POINT('',#230482); #68913=VERTEX_POINT('',#230484); #68914=VERTEX_POINT('',#230488); #68915=VERTEX_POINT('',#230490); #68916=VERTEX_POINT('',#230494); #68917=VERTEX_POINT('',#230496); #68918=VERTEX_POINT('',#230500); #68919=VERTEX_POINT('',#230502); #68920=VERTEX_POINT('',#230506); #68921=VERTEX_POINT('',#230508); #68922=VERTEX_POINT('',#230512); #68923=VERTEX_POINT('',#230514); #68924=VERTEX_POINT('',#230518); #68925=VERTEX_POINT('',#230520); #68926=VERTEX_POINT('',#230524); #68927=VERTEX_POINT('',#230526); #68928=VERTEX_POINT('',#230530); #68929=VERTEX_POINT('',#230532); #68930=VERTEX_POINT('',#230536); #68931=VERTEX_POINT('',#230538); #68932=VERTEX_POINT('',#230542); #68933=VERTEX_POINT('',#230544); #68934=VERTEX_POINT('',#230548); #68935=VERTEX_POINT('',#230550); #68936=VERTEX_POINT('',#230554); #68937=VERTEX_POINT('',#230556); #68938=VERTEX_POINT('',#230560); #68939=VERTEX_POINT('',#230562); #68940=VERTEX_POINT('',#230566); #68941=VERTEX_POINT('',#230568); #68942=VERTEX_POINT('',#230572); #68943=VERTEX_POINT('',#230574); #68944=VERTEX_POINT('',#230578); #68945=VERTEX_POINT('',#230580); #68946=VERTEX_POINT('',#230584); #68947=VERTEX_POINT('',#230586); #68948=VERTEX_POINT('',#230590); #68949=VERTEX_POINT('',#230592); #68950=VERTEX_POINT('',#230596); #68951=VERTEX_POINT('',#230598); #68952=VERTEX_POINT('',#230602); #68953=VERTEX_POINT('',#230604); #68954=VERTEX_POINT('',#230608); #68955=VERTEX_POINT('',#230610); #68956=VERTEX_POINT('',#230614); #68957=VERTEX_POINT('',#230616); #68958=VERTEX_POINT('',#230620); #68959=VERTEX_POINT('',#230622); #68960=VERTEX_POINT('',#230626); #68961=VERTEX_POINT('',#230628); #68962=VERTEX_POINT('',#230632); #68963=VERTEX_POINT('',#230634); #68964=VERTEX_POINT('',#230638); #68965=VERTEX_POINT('',#230640); #68966=VERTEX_POINT('',#230644); #68967=VERTEX_POINT('',#230646); #68968=VERTEX_POINT('',#230650); #68969=VERTEX_POINT('',#230652); #68970=VERTEX_POINT('',#230656); #68971=VERTEX_POINT('',#230658); #68972=VERTEX_POINT('',#230662); #68973=VERTEX_POINT('',#230664); #68974=VERTEX_POINT('',#230668); #68975=VERTEX_POINT('',#230670); #68976=VERTEX_POINT('',#230674); #68977=VERTEX_POINT('',#230676); #68978=VERTEX_POINT('',#230680); #68979=VERTEX_POINT('',#230682); #68980=VERTEX_POINT('',#230686); #68981=VERTEX_POINT('',#230688); #68982=VERTEX_POINT('',#230692); #68983=VERTEX_POINT('',#230694); #68984=VERTEX_POINT('',#230698); #68985=VERTEX_POINT('',#230700); #68986=VERTEX_POINT('',#230704); #68987=VERTEX_POINT('',#230706); #68988=VERTEX_POINT('',#230710); #68989=VERTEX_POINT('',#230712); #68990=VERTEX_POINT('',#230716); #68991=VERTEX_POINT('',#230718); #68992=VERTEX_POINT('',#230722); #68993=VERTEX_POINT('',#230724); #68994=VERTEX_POINT('',#230728); #68995=VERTEX_POINT('',#230730); #68996=VERTEX_POINT('',#230734); #68997=VERTEX_POINT('',#230736); #68998=VERTEX_POINT('',#230740); #68999=VERTEX_POINT('',#230742); #69000=VERTEX_POINT('',#230746); #69001=VERTEX_POINT('',#230748); #69002=VERTEX_POINT('',#230752); #69003=VERTEX_POINT('',#230754); #69004=VERTEX_POINT('',#230758); #69005=VERTEX_POINT('',#230760); #69006=VERTEX_POINT('',#230764); #69007=VERTEX_POINT('',#230766); #69008=VERTEX_POINT('',#230770); #69009=VERTEX_POINT('',#230772); #69010=VERTEX_POINT('',#230776); #69011=VERTEX_POINT('',#230778); #69012=VERTEX_POINT('',#230782); #69013=VERTEX_POINT('',#230784); #69014=VERTEX_POINT('',#230788); #69015=VERTEX_POINT('',#230790); #69016=VERTEX_POINT('',#230794); #69017=VERTEX_POINT('',#230796); #69018=VERTEX_POINT('',#230800); #69019=VERTEX_POINT('',#230802); #69020=VERTEX_POINT('',#230806); #69021=VERTEX_POINT('',#230808); #69022=VERTEX_POINT('',#230812); #69023=VERTEX_POINT('',#230814); #69024=VERTEX_POINT('',#230818); #69025=VERTEX_POINT('',#230820); #69026=VERTEX_POINT('',#230824); #69027=VERTEX_POINT('',#230826); #69028=VERTEX_POINT('',#230830); #69029=VERTEX_POINT('',#230832); #69030=VERTEX_POINT('',#230836); #69031=VERTEX_POINT('',#230838); #69032=VERTEX_POINT('',#230842); #69033=VERTEX_POINT('',#230844); #69034=VERTEX_POINT('',#230848); #69035=VERTEX_POINT('',#230850); #69036=VERTEX_POINT('',#230854); #69037=VERTEX_POINT('',#230856); #69038=VERTEX_POINT('',#230860); #69039=VERTEX_POINT('',#230862); #69040=VERTEX_POINT('',#230866); #69041=VERTEX_POINT('',#230868); #69042=VERTEX_POINT('',#230872); #69043=VERTEX_POINT('',#230874); #69044=VERTEX_POINT('',#230878); #69045=VERTEX_POINT('',#230880); #69046=VERTEX_POINT('',#230884); #69047=VERTEX_POINT('',#230886); #69048=VERTEX_POINT('',#230890); #69049=VERTEX_POINT('',#230892); #69050=VERTEX_POINT('',#230896); #69051=VERTEX_POINT('',#230898); #69052=VERTEX_POINT('',#230902); #69053=VERTEX_POINT('',#230904); #69054=VERTEX_POINT('',#230908); #69055=VERTEX_POINT('',#230910); #69056=VERTEX_POINT('',#230914); #69057=VERTEX_POINT('',#230916); #69058=VERTEX_POINT('',#230920); #69059=VERTEX_POINT('',#230922); #69060=VERTEX_POINT('',#230926); #69061=VERTEX_POINT('',#230928); #69062=VERTEX_POINT('',#230932); #69063=VERTEX_POINT('',#230934); #69064=VERTEX_POINT('',#230938); #69065=VERTEX_POINT('',#230940); #69066=VERTEX_POINT('',#230944); #69067=VERTEX_POINT('',#230946); #69068=VERTEX_POINT('',#230950); #69069=VERTEX_POINT('',#230952); #69070=VERTEX_POINT('',#230956); #69071=VERTEX_POINT('',#230958); #69072=VERTEX_POINT('',#230962); #69073=VERTEX_POINT('',#230964); #69074=VERTEX_POINT('',#230968); #69075=VERTEX_POINT('',#230970); #69076=VERTEX_POINT('',#230974); #69077=VERTEX_POINT('',#230976); #69078=VERTEX_POINT('',#230980); #69079=VERTEX_POINT('',#230982); #69080=VERTEX_POINT('',#230986); #69081=VERTEX_POINT('',#230988); #69082=VERTEX_POINT('',#230992); #69083=VERTEX_POINT('',#230994); #69084=VERTEX_POINT('',#230998); #69085=VERTEX_POINT('',#231000); #69086=VERTEX_POINT('',#231004); #69087=VERTEX_POINT('',#231006); #69088=VERTEX_POINT('',#231010); #69089=VERTEX_POINT('',#231012); #69090=VERTEX_POINT('',#231016); #69091=VERTEX_POINT('',#231018); #69092=VERTEX_POINT('',#231022); #69093=VERTEX_POINT('',#231024); #69094=VERTEX_POINT('',#231028); #69095=VERTEX_POINT('',#231030); #69096=VERTEX_POINT('',#231034); #69097=VERTEX_POINT('',#231036); #69098=VERTEX_POINT('',#231040); #69099=VERTEX_POINT('',#231042); #69100=VERTEX_POINT('',#231046); #69101=VERTEX_POINT('',#231048); #69102=VERTEX_POINT('',#231052); #69103=VERTEX_POINT('',#231054); #69104=VERTEX_POINT('',#231058); #69105=VERTEX_POINT('',#231060); #69106=VERTEX_POINT('',#231064); #69107=VERTEX_POINT('',#231066); #69108=VERTEX_POINT('',#231070); #69109=VERTEX_POINT('',#231072); #69110=VERTEX_POINT('',#231076); #69111=VERTEX_POINT('',#231078); #69112=VERTEX_POINT('',#231082); #69113=VERTEX_POINT('',#231084); #69114=VERTEX_POINT('',#231088); #69115=VERTEX_POINT('',#231090); #69116=VERTEX_POINT('',#231094); #69117=VERTEX_POINT('',#231096); #69118=VERTEX_POINT('',#231100); #69119=VERTEX_POINT('',#231102); #69120=VERTEX_POINT('',#231106); #69121=VERTEX_POINT('',#231108); #69122=VERTEX_POINT('',#231112); #69123=VERTEX_POINT('',#231114); #69124=VERTEX_POINT('',#231118); #69125=VERTEX_POINT('',#231120); #69126=VERTEX_POINT('',#231124); #69127=VERTEX_POINT('',#231126); #69128=VERTEX_POINT('',#231130); #69129=VERTEX_POINT('',#231132); #69130=VERTEX_POINT('',#231136); #69131=VERTEX_POINT('',#231138); #69132=VERTEX_POINT('',#231142); #69133=VERTEX_POINT('',#231144); #69134=VERTEX_POINT('',#231148); #69135=VERTEX_POINT('',#231150); #69136=VERTEX_POINT('',#231154); #69137=VERTEX_POINT('',#231156); #69138=VERTEX_POINT('',#231160); #69139=VERTEX_POINT('',#231162); #69140=VERTEX_POINT('',#231166); #69141=VERTEX_POINT('',#231168); #69142=VERTEX_POINT('',#231172); #69143=VERTEX_POINT('',#231174); #69144=VERTEX_POINT('',#231178); #69145=VERTEX_POINT('',#231180); #69146=VERTEX_POINT('',#231184); #69147=VERTEX_POINT('',#231186); #69148=VERTEX_POINT('',#231190); #69149=VERTEX_POINT('',#231192); #69150=VERTEX_POINT('',#231196); #69151=VERTEX_POINT('',#231198); #69152=VERTEX_POINT('',#231206); #69153=VERTEX_POINT('',#231207); #69154=VERTEX_POINT('',#231209); #69155=VERTEX_POINT('',#231211); #69156=VERTEX_POINT('',#231215); #69157=VERTEX_POINT('',#231217); #69158=VERTEX_POINT('',#231221); #69159=VERTEX_POINT('',#231223); #69160=VERTEX_POINT('',#231227); #69161=VERTEX_POINT('',#231229); #69162=VERTEX_POINT('',#231233); #69163=VERTEX_POINT('',#231235); #69164=VERTEX_POINT('',#231239); #69165=VERTEX_POINT('',#231241); #69166=VERTEX_POINT('',#231248); #69167=VERTEX_POINT('',#231249); #69168=VERTEX_POINT('',#231251); #69169=VERTEX_POINT('',#231253); #69170=VERTEX_POINT('',#231257); #69171=VERTEX_POINT('',#231259); #69172=VERTEX_POINT('',#231263); #69173=VERTEX_POINT('',#231265); #69174=VERTEX_POINT('',#231269); #69175=VERTEX_POINT('',#231271); #69176=VERTEX_POINT('',#231275); #69177=VERTEX_POINT('',#231277); #69178=VERTEX_POINT('',#231281); #69179=VERTEX_POINT('',#231283); #69180=VERTEX_POINT('',#231287); #69181=VERTEX_POINT('',#231289); #69182=VERTEX_POINT('',#231296); #69183=VERTEX_POINT('',#231297); #69184=VERTEX_POINT('',#231299); #69185=VERTEX_POINT('',#231301); #69186=VERTEX_POINT('',#231305); #69187=VERTEX_POINT('',#231307); #69188=VERTEX_POINT('',#231311); #69189=VERTEX_POINT('',#231313); #69190=VERTEX_POINT('',#231317); #69191=VERTEX_POINT('',#231319); #69192=VERTEX_POINT('',#231323); #69193=VERTEX_POINT('',#231325); #69194=VERTEX_POINT('',#231329); #69195=VERTEX_POINT('',#231331); #69196=VERTEX_POINT('',#231335); #69197=VERTEX_POINT('',#231337); #69198=VERTEX_POINT('',#231341); #69199=VERTEX_POINT('',#231343); #69200=VERTEX_POINT('',#231347); #69201=VERTEX_POINT('',#231349); #69202=VERTEX_POINT('',#231353); #69203=VERTEX_POINT('',#231355); #69204=VERTEX_POINT('',#231359); #69205=VERTEX_POINT('',#231361); #69206=VERTEX_POINT('',#231365); #69207=VERTEX_POINT('',#231367); #69208=VERTEX_POINT('',#231371); #69209=VERTEX_POINT('',#231373); #69210=VERTEX_POINT('',#231377); #69211=VERTEX_POINT('',#231379); #69212=VERTEX_POINT('',#231383); #69213=VERTEX_POINT('',#231385); #69214=VERTEX_POINT('',#231389); #69215=VERTEX_POINT('',#231391); #69216=VERTEX_POINT('',#231395); #69217=VERTEX_POINT('',#231397); #69218=VERTEX_POINT('',#231401); #69219=VERTEX_POINT('',#231403); #69220=VERTEX_POINT('',#231407); #69221=VERTEX_POINT('',#231409); #69222=VERTEX_POINT('',#231413); #69223=VERTEX_POINT('',#231415); #69224=VERTEX_POINT('',#231419); #69225=VERTEX_POINT('',#231421); #69226=VERTEX_POINT('',#231425); #69227=VERTEX_POINT('',#231427); #69228=VERTEX_POINT('',#231431); #69229=VERTEX_POINT('',#231433); #69230=VERTEX_POINT('',#231437); #69231=VERTEX_POINT('',#231439); #69232=VERTEX_POINT('',#231443); #69233=VERTEX_POINT('',#231445); #69234=VERTEX_POINT('',#231449); #69235=VERTEX_POINT('',#231451); #69236=VERTEX_POINT('',#231455); #69237=VERTEX_POINT('',#231457); #69238=VERTEX_POINT('',#231461); #69239=VERTEX_POINT('',#231463); #69240=VERTEX_POINT('',#231467); #69241=VERTEX_POINT('',#231469); #69242=VERTEX_POINT('',#231473); #69243=VERTEX_POINT('',#231475); #69244=VERTEX_POINT('',#231479); #69245=VERTEX_POINT('',#231481); #69246=VERTEX_POINT('',#231485); #69247=VERTEX_POINT('',#231487); #69248=VERTEX_POINT('',#231491); #69249=VERTEX_POINT('',#231493); #69250=VERTEX_POINT('',#231497); #69251=VERTEX_POINT('',#231499); #69252=VERTEX_POINT('',#231503); #69253=VERTEX_POINT('',#231505); #69254=VERTEX_POINT('',#231509); #69255=VERTEX_POINT('',#231511); #69256=VERTEX_POINT('',#231515); #69257=VERTEX_POINT('',#231517); #69258=VERTEX_POINT('',#231521); #69259=VERTEX_POINT('',#231523); #69260=VERTEX_POINT('',#231527); #69261=VERTEX_POINT('',#231529); #69262=VERTEX_POINT('',#231533); #69263=VERTEX_POINT('',#231535); #69264=VERTEX_POINT('',#231539); #69265=VERTEX_POINT('',#231541); #69266=VERTEX_POINT('',#231545); #69267=VERTEX_POINT('',#231547); #69268=VERTEX_POINT('',#231551); #69269=VERTEX_POINT('',#231553); #69270=VERTEX_POINT('',#231557); #69271=VERTEX_POINT('',#231559); #69272=VERTEX_POINT('',#231563); #69273=VERTEX_POINT('',#231565); #69274=VERTEX_POINT('',#231569); #69275=VERTEX_POINT('',#231571); #69276=VERTEX_POINT('',#231575); #69277=VERTEX_POINT('',#231577); #69278=VERTEX_POINT('',#231581); #69279=VERTEX_POINT('',#231583); #69280=VERTEX_POINT('',#231587); #69281=VERTEX_POINT('',#231589); #69282=VERTEX_POINT('',#231593); #69283=VERTEX_POINT('',#231595); #69284=VERTEX_POINT('',#231599); #69285=VERTEX_POINT('',#231601); #69286=VERTEX_POINT('',#231605); #69287=VERTEX_POINT('',#231607); #69288=VERTEX_POINT('',#231611); #69289=VERTEX_POINT('',#231613); #69290=VERTEX_POINT('',#231617); #69291=VERTEX_POINT('',#231619); #69292=VERTEX_POINT('',#231623); #69293=VERTEX_POINT('',#231625); #69294=VERTEX_POINT('',#231629); #69295=VERTEX_POINT('',#231631); #69296=VERTEX_POINT('',#231635); #69297=VERTEX_POINT('',#231637); #69298=VERTEX_POINT('',#231641); #69299=VERTEX_POINT('',#231643); #69300=VERTEX_POINT('',#231647); #69301=VERTEX_POINT('',#231649); #69302=VERTEX_POINT('',#231657); #69303=VERTEX_POINT('',#231658); #69304=VERTEX_POINT('',#231660); #69305=VERTEX_POINT('',#231662); #69306=VERTEX_POINT('',#231666); #69307=VERTEX_POINT('',#231668); #69308=VERTEX_POINT('',#231672); #69309=VERTEX_POINT('',#231674); #69310=VERTEX_POINT('',#231678); #69311=VERTEX_POINT('',#231680); #69312=VERTEX_POINT('',#231684); #69313=VERTEX_POINT('',#231686); #69314=VERTEX_POINT('',#231690); #69315=VERTEX_POINT('',#231692); #69316=VERTEX_POINT('',#231696); #69317=VERTEX_POINT('',#231698); #69318=VERTEX_POINT('',#231702); #69319=VERTEX_POINT('',#231704); #69320=VERTEX_POINT('',#231708); #69321=VERTEX_POINT('',#231710); #69322=VERTEX_POINT('',#231714); #69323=VERTEX_POINT('',#231716); #69324=VERTEX_POINT('',#231720); #69325=VERTEX_POINT('',#231722); #69326=VERTEX_POINT('',#231726); #69327=VERTEX_POINT('',#231728); #69328=VERTEX_POINT('',#231732); #69329=VERTEX_POINT('',#231734); #69330=VERTEX_POINT('',#231738); #69331=VERTEX_POINT('',#231740); #69332=VERTEX_POINT('',#231744); #69333=VERTEX_POINT('',#231746); #69334=VERTEX_POINT('',#231750); #69335=VERTEX_POINT('',#231752); #69336=VERTEX_POINT('',#231756); #69337=VERTEX_POINT('',#231758); #69338=VERTEX_POINT('',#231762); #69339=VERTEX_POINT('',#231764); #69340=VERTEX_POINT('',#231768); #69341=VERTEX_POINT('',#231770); #69342=VERTEX_POINT('',#231774); #69343=VERTEX_POINT('',#231776); #69344=VERTEX_POINT('',#231780); #69345=VERTEX_POINT('',#231782); #69346=VERTEX_POINT('',#231786); #69347=VERTEX_POINT('',#231788); #69348=VERTEX_POINT('',#231792); #69349=VERTEX_POINT('',#231794); #69350=VERTEX_POINT('',#231798); #69351=VERTEX_POINT('',#231800); #69352=VERTEX_POINT('',#231804); #69353=VERTEX_POINT('',#231806); #69354=VERTEX_POINT('',#231810); #69355=VERTEX_POINT('',#231812); #69356=VERTEX_POINT('',#231816); #69357=VERTEX_POINT('',#231818); #69358=VERTEX_POINT('',#231822); #69359=VERTEX_POINT('',#231824); #69360=VERTEX_POINT('',#231828); #69361=VERTEX_POINT('',#231830); #69362=VERTEX_POINT('',#231834); #69363=VERTEX_POINT('',#231836); #69364=VERTEX_POINT('',#231840); #69365=VERTEX_POINT('',#231842); #69366=VERTEX_POINT('',#231846); #69367=VERTEX_POINT('',#231848); #69368=VERTEX_POINT('',#231852); #69369=VERTEX_POINT('',#231854); #69370=VERTEX_POINT('',#231858); #69371=VERTEX_POINT('',#231860); #69372=VERTEX_POINT('',#231864); #69373=VERTEX_POINT('',#231866); #69374=VERTEX_POINT('',#231870); #69375=VERTEX_POINT('',#231872); #69376=VERTEX_POINT('',#231876); #69377=VERTEX_POINT('',#231878); #69378=VERTEX_POINT('',#231882); #69379=VERTEX_POINT('',#231884); #69380=VERTEX_POINT('',#231888); #69381=VERTEX_POINT('',#231890); #69382=VERTEX_POINT('',#231894); #69383=VERTEX_POINT('',#231896); #69384=VERTEX_POINT('',#231900); #69385=VERTEX_POINT('',#231902); #69386=VERTEX_POINT('',#231906); #69387=VERTEX_POINT('',#231908); #69388=VERTEX_POINT('',#231912); #69389=VERTEX_POINT('',#231914); #69390=VERTEX_POINT('',#231918); #69391=VERTEX_POINT('',#231920); #69392=VERTEX_POINT('',#231924); #69393=VERTEX_POINT('',#231926); #69394=VERTEX_POINT('',#231930); #69395=VERTEX_POINT('',#231932); #69396=VERTEX_POINT('',#231936); #69397=VERTEX_POINT('',#231938); #69398=VERTEX_POINT('',#231942); #69399=VERTEX_POINT('',#231944); #69400=VERTEX_POINT('',#231948); #69401=VERTEX_POINT('',#231950); #69402=VERTEX_POINT('',#231954); #69403=VERTEX_POINT('',#231956); #69404=VERTEX_POINT('',#231960); #69405=VERTEX_POINT('',#231962); #69406=VERTEX_POINT('',#231966); #69407=VERTEX_POINT('',#231968); #69408=VERTEX_POINT('',#231972); #69409=VERTEX_POINT('',#231974); #69410=VERTEX_POINT('',#231978); #69411=VERTEX_POINT('',#231980); #69412=VERTEX_POINT('',#231984); #69413=VERTEX_POINT('',#231986); #69414=VERTEX_POINT('',#231990); #69415=VERTEX_POINT('',#231992); #69416=VERTEX_POINT('',#231996); #69417=VERTEX_POINT('',#231998); #69418=VERTEX_POINT('',#232002); #69419=VERTEX_POINT('',#232004); #69420=VERTEX_POINT('',#232008); #69421=VERTEX_POINT('',#232010); #69422=VERTEX_POINT('',#232014); #69423=VERTEX_POINT('',#232016); #69424=VERTEX_POINT('',#232020); #69425=VERTEX_POINT('',#232022); #69426=VERTEX_POINT('',#232026); #69427=VERTEX_POINT('',#232028); #69428=VERTEX_POINT('',#232032); #69429=VERTEX_POINT('',#232034); #69430=VERTEX_POINT('',#232038); #69431=VERTEX_POINT('',#232040); #69432=VERTEX_POINT('',#232044); #69433=VERTEX_POINT('',#232046); #69434=VERTEX_POINT('',#232050); #69435=VERTEX_POINT('',#232052); #69436=VERTEX_POINT('',#232056); #69437=VERTEX_POINT('',#232058); #69438=VERTEX_POINT('',#232062); #69439=VERTEX_POINT('',#232064); #69440=VERTEX_POINT('',#232068); #69441=VERTEX_POINT('',#232070); #69442=VERTEX_POINT('',#232074); #69443=VERTEX_POINT('',#232076); #69444=VERTEX_POINT('',#232080); #69445=VERTEX_POINT('',#232082); #69446=VERTEX_POINT('',#232086); #69447=VERTEX_POINT('',#232088); #69448=VERTEX_POINT('',#232092); #69449=VERTEX_POINT('',#232094); #69450=VERTEX_POINT('',#232098); #69451=VERTEX_POINT('',#232100); #69452=VERTEX_POINT('',#232104); #69453=VERTEX_POINT('',#232106); #69454=VERTEX_POINT('',#232110); #69455=VERTEX_POINT('',#232112); #69456=VERTEX_POINT('',#232116); #69457=VERTEX_POINT('',#232118); #69458=VERTEX_POINT('',#232122); #69459=VERTEX_POINT('',#232124); #69460=VERTEX_POINT('',#232128); #69461=VERTEX_POINT('',#232130); #69462=VERTEX_POINT('',#232134); #69463=VERTEX_POINT('',#232136); #69464=VERTEX_POINT('',#232140); #69465=VERTEX_POINT('',#232142); #69466=VERTEX_POINT('',#232146); #69467=VERTEX_POINT('',#232148); #69468=VERTEX_POINT('',#232152); #69469=VERTEX_POINT('',#232154); #69470=VERTEX_POINT('',#232158); #69471=VERTEX_POINT('',#232160); #69472=VERTEX_POINT('',#232164); #69473=VERTEX_POINT('',#232166); #69474=VERTEX_POINT('',#232170); #69475=VERTEX_POINT('',#232172); #69476=VERTEX_POINT('',#232176); #69477=VERTEX_POINT('',#232178); #69478=VERTEX_POINT('',#232182); #69479=VERTEX_POINT('',#232184); #69480=VERTEX_POINT('',#232188); #69481=VERTEX_POINT('',#232190); #69482=VERTEX_POINT('',#232194); #69483=VERTEX_POINT('',#232196); #69484=VERTEX_POINT('',#232200); #69485=VERTEX_POINT('',#232202); #69486=VERTEX_POINT('',#232206); #69487=VERTEX_POINT('',#232208); #69488=VERTEX_POINT('',#232212); #69489=VERTEX_POINT('',#232214); #69490=VERTEX_POINT('',#232218); #69491=VERTEX_POINT('',#232220); #69492=VERTEX_POINT('',#232224); #69493=VERTEX_POINT('',#232226); #69494=VERTEX_POINT('',#232230); #69495=VERTEX_POINT('',#232232); #69496=VERTEX_POINT('',#232236); #69497=VERTEX_POINT('',#232238); #69498=VERTEX_POINT('',#232242); #69499=VERTEX_POINT('',#232244); #69500=VERTEX_POINT('',#232248); #69501=VERTEX_POINT('',#232250); #69502=VERTEX_POINT('',#232254); #69503=VERTEX_POINT('',#232256); #69504=VERTEX_POINT('',#232260); #69505=VERTEX_POINT('',#232262); #69506=VERTEX_POINT('',#232266); #69507=VERTEX_POINT('',#232268); #69508=VERTEX_POINT('',#232272); #69509=VERTEX_POINT('',#232274); #69510=VERTEX_POINT('',#232282); #69511=VERTEX_POINT('',#232283); #69512=VERTEX_POINT('',#232285); #69513=VERTEX_POINT('',#232287); #69514=VERTEX_POINT('',#232291); #69515=VERTEX_POINT('',#232293); #69516=VERTEX_POINT('',#232297); #69517=VERTEX_POINT('',#232299); #69518=VERTEX_POINT('',#232307); #69519=VERTEX_POINT('',#232308); #69520=VERTEX_POINT('',#232310); #69521=VERTEX_POINT('',#232312); #69522=VERTEX_POINT('',#232316); #69523=VERTEX_POINT('',#232318); #69524=VERTEX_POINT('',#232322); #69525=VERTEX_POINT('',#232324); #69526=VERTEX_POINT('',#232328); #69527=VERTEX_POINT('',#232330); #69528=VERTEX_POINT('',#232334); #69529=VERTEX_POINT('',#232336); #69530=VERTEX_POINT('',#232340); #69531=VERTEX_POINT('',#232342); #69532=VERTEX_POINT('',#232346); #69533=VERTEX_POINT('',#232348); #69534=VERTEX_POINT('',#232352); #69535=VERTEX_POINT('',#232354); #69536=VERTEX_POINT('',#232358); #69537=VERTEX_POINT('',#232360); #69538=VERTEX_POINT('',#232367); #69539=VERTEX_POINT('',#232368); #69540=VERTEX_POINT('',#232370); #69541=VERTEX_POINT('',#232372); #69542=VERTEX_POINT('',#232376); #69543=VERTEX_POINT('',#232378); #69544=VERTEX_POINT('',#232382); #69545=VERTEX_POINT('',#232384); #69546=VERTEX_POINT('',#232388); #69547=VERTEX_POINT('',#232390); #69548=VERTEX_POINT('',#232394); #69549=VERTEX_POINT('',#232396); #69550=VERTEX_POINT('',#232400); #69551=VERTEX_POINT('',#232402); #69552=VERTEX_POINT('',#232406); #69553=VERTEX_POINT('',#232408); #69554=VERTEX_POINT('',#232412); #69555=VERTEX_POINT('',#232414); #69556=VERTEX_POINT('',#232418); #69557=VERTEX_POINT('',#232420); #69558=VERTEX_POINT('',#232424); #69559=VERTEX_POINT('',#232426); #69560=VERTEX_POINT('',#232430); #69561=VERTEX_POINT('',#232432); #69562=VERTEX_POINT('',#232436); #69563=VERTEX_POINT('',#232438); #69564=VERTEX_POINT('',#232442); #69565=VERTEX_POINT('',#232444); #69566=VERTEX_POINT('',#232448); #69567=VERTEX_POINT('',#232450); #69568=VERTEX_POINT('',#232454); #69569=VERTEX_POINT('',#232456); #69570=VERTEX_POINT('',#232460); #69571=VERTEX_POINT('',#232462); #69572=VERTEX_POINT('',#232466); #69573=VERTEX_POINT('',#232468); #69574=VERTEX_POINT('',#232472); #69575=VERTEX_POINT('',#232474); #69576=VERTEX_POINT('',#232478); #69577=VERTEX_POINT('',#232480); #69578=VERTEX_POINT('',#232484); #69579=VERTEX_POINT('',#232486); #69580=VERTEX_POINT('',#232490); #69581=VERTEX_POINT('',#232492); #69582=VERTEX_POINT('',#232496); #69583=VERTEX_POINT('',#232498); #69584=VERTEX_POINT('',#232502); #69585=VERTEX_POINT('',#232504); #69586=VERTEX_POINT('',#232508); #69587=VERTEX_POINT('',#232510); #69588=VERTEX_POINT('',#232514); #69589=VERTEX_POINT('',#232516); #69590=VERTEX_POINT('',#232520); #69591=VERTEX_POINT('',#232522); #69592=VERTEX_POINT('',#232526); #69593=VERTEX_POINT('',#232528); #69594=VERTEX_POINT('',#232532); #69595=VERTEX_POINT('',#232534); #69596=VERTEX_POINT('',#232538); #69597=VERTEX_POINT('',#232540); #69598=VERTEX_POINT('',#232544); #69599=VERTEX_POINT('',#232546); #69600=VERTEX_POINT('',#232550); #69601=VERTEX_POINT('',#232552); #69602=VERTEX_POINT('',#232556); #69603=VERTEX_POINT('',#232558); #69604=VERTEX_POINT('',#232562); #69605=VERTEX_POINT('',#232564); #69606=VERTEX_POINT('',#232568); #69607=VERTEX_POINT('',#232570); #69608=VERTEX_POINT('',#232574); #69609=VERTEX_POINT('',#232576); #69610=VERTEX_POINT('',#232580); #69611=VERTEX_POINT('',#232582); #69612=VERTEX_POINT('',#232586); #69613=VERTEX_POINT('',#232588); #69614=VERTEX_POINT('',#232592); #69615=VERTEX_POINT('',#232594); #69616=VERTEX_POINT('',#232598); #69617=VERTEX_POINT('',#232600); #69618=VERTEX_POINT('',#232604); #69619=VERTEX_POINT('',#232606); #69620=VERTEX_POINT('',#232610); #69621=VERTEX_POINT('',#232612); #69622=VERTEX_POINT('',#232616); #69623=VERTEX_POINT('',#232618); #69624=VERTEX_POINT('',#232622); #69625=VERTEX_POINT('',#232624); #69626=VERTEX_POINT('',#232628); #69627=VERTEX_POINT('',#232630); #69628=VERTEX_POINT('',#232634); #69629=VERTEX_POINT('',#232636); #69630=VERTEX_POINT('',#232640); #69631=VERTEX_POINT('',#232642); #69632=VERTEX_POINT('',#232646); #69633=VERTEX_POINT('',#232648); #69634=VERTEX_POINT('',#232652); #69635=VERTEX_POINT('',#232654); #69636=VERTEX_POINT('',#232658); #69637=VERTEX_POINT('',#232660); #69638=VERTEX_POINT('',#232664); #69639=VERTEX_POINT('',#232666); #69640=VERTEX_POINT('',#232670); #69641=VERTEX_POINT('',#232672); #69642=VERTEX_POINT('',#232676); #69643=VERTEX_POINT('',#232678); #69644=VERTEX_POINT('',#232682); #69645=VERTEX_POINT('',#232684); #69646=VERTEX_POINT('',#232688); #69647=VERTEX_POINT('',#232690); #69648=VERTEX_POINT('',#232694); #69649=VERTEX_POINT('',#232696); #69650=VERTEX_POINT('',#232700); #69651=VERTEX_POINT('',#232702); #69652=VERTEX_POINT('',#232706); #69653=VERTEX_POINT('',#232708); #69654=VERTEX_POINT('',#232712); #69655=VERTEX_POINT('',#232714); #69656=VERTEX_POINT('',#232718); #69657=VERTEX_POINT('',#232720); #69658=VERTEX_POINT('',#232724); #69659=VERTEX_POINT('',#232726); #69660=VERTEX_POINT('',#232730); #69661=VERTEX_POINT('',#232732); #69662=VERTEX_POINT('',#232736); #69663=VERTEX_POINT('',#232738); #69664=VERTEX_POINT('',#232742); #69665=VERTEX_POINT('',#232744); #69666=VERTEX_POINT('',#232748); #69667=VERTEX_POINT('',#232750); #69668=VERTEX_POINT('',#232754); #69669=VERTEX_POINT('',#232756); #69670=VERTEX_POINT('',#232760); #69671=VERTEX_POINT('',#232762); #69672=VERTEX_POINT('',#232766); #69673=VERTEX_POINT('',#232768); #69674=VERTEX_POINT('',#232772); #69675=VERTEX_POINT('',#232774); #69676=VERTEX_POINT('',#232778); #69677=VERTEX_POINT('',#232780); #69678=VERTEX_POINT('',#232784); #69679=VERTEX_POINT('',#232786); #69680=VERTEX_POINT('',#232790); #69681=VERTEX_POINT('',#232792); #69682=VERTEX_POINT('',#232796); #69683=VERTEX_POINT('',#232798); #69684=VERTEX_POINT('',#232802); #69685=VERTEX_POINT('',#232804); #69686=VERTEX_POINT('',#232808); #69687=VERTEX_POINT('',#232810); #69688=VERTEX_POINT('',#232814); #69689=VERTEX_POINT('',#232816); #69690=VERTEX_POINT('',#232820); #69691=VERTEX_POINT('',#232822); #69692=VERTEX_POINT('',#232826); #69693=VERTEX_POINT('',#232828); #69694=VERTEX_POINT('',#232832); #69695=VERTEX_POINT('',#232834); #69696=VERTEX_POINT('',#232838); #69697=VERTEX_POINT('',#232840); #69698=VERTEX_POINT('',#232844); #69699=VERTEX_POINT('',#232846); #69700=VERTEX_POINT('',#232850); #69701=VERTEX_POINT('',#232852); #69702=VERTEX_POINT('',#232856); #69703=VERTEX_POINT('',#232858); #69704=VERTEX_POINT('',#232862); #69705=VERTEX_POINT('',#232864); #69706=VERTEX_POINT('',#232868); #69707=VERTEX_POINT('',#232870); #69708=VERTEX_POINT('',#232874); #69709=VERTEX_POINT('',#232876); #69710=VERTEX_POINT('',#232880); #69711=VERTEX_POINT('',#232882); #69712=VERTEX_POINT('',#232886); #69713=VERTEX_POINT('',#232888); #69714=VERTEX_POINT('',#232892); #69715=VERTEX_POINT('',#232894); #69716=VERTEX_POINT('',#232898); #69717=VERTEX_POINT('',#232900); #69718=VERTEX_POINT('',#232904); #69719=VERTEX_POINT('',#232906); #69720=VERTEX_POINT('',#232910); #69721=VERTEX_POINT('',#232912); #69722=VERTEX_POINT('',#232916); #69723=VERTEX_POINT('',#232918); #69724=VERTEX_POINT('',#232922); #69725=VERTEX_POINT('',#232924); #69726=VERTEX_POINT('',#232928); #69727=VERTEX_POINT('',#232930); #69728=VERTEX_POINT('',#232934); #69729=VERTEX_POINT('',#232936); #69730=VERTEX_POINT('',#232940); #69731=VERTEX_POINT('',#232942); #69732=VERTEX_POINT('',#232946); #69733=VERTEX_POINT('',#232948); #69734=VERTEX_POINT('',#232952); #69735=VERTEX_POINT('',#232954); #69736=VERTEX_POINT('',#232958); #69737=VERTEX_POINT('',#232960); #69738=VERTEX_POINT('',#232964); #69739=VERTEX_POINT('',#232966); #69740=VERTEX_POINT('',#232970); #69741=VERTEX_POINT('',#232972); #69742=VERTEX_POINT('',#232976); #69743=VERTEX_POINT('',#232978); #69744=VERTEX_POINT('',#232982); #69745=VERTEX_POINT('',#232984); #69746=VERTEX_POINT('',#232988); #69747=VERTEX_POINT('',#232990); #69748=VERTEX_POINT('',#232994); #69749=VERTEX_POINT('',#232996); #69750=VERTEX_POINT('',#233000); #69751=VERTEX_POINT('',#233002); #69752=VERTEX_POINT('',#233006); #69753=VERTEX_POINT('',#233008); #69754=VERTEX_POINT('',#233012); #69755=VERTEX_POINT('',#233014); #69756=VERTEX_POINT('',#233022); #69757=VERTEX_POINT('',#233023); #69758=VERTEX_POINT('',#233025); #69759=VERTEX_POINT('',#233027); #69760=VERTEX_POINT('',#233031); #69761=VERTEX_POINT('',#233033); #69762=VERTEX_POINT('',#233037); #69763=VERTEX_POINT('',#233039); #69764=VERTEX_POINT('',#233047); #69765=VERTEX_POINT('',#233048); #69766=VERTEX_POINT('',#233050); #69767=VERTEX_POINT('',#233052); #69768=VERTEX_POINT('',#233056); #69769=VERTEX_POINT('',#233058); #69770=VERTEX_POINT('',#233062); #69771=VERTEX_POINT('',#233064); #69772=VERTEX_POINT('',#233068); #69773=VERTEX_POINT('',#233070); #69774=VERTEX_POINT('',#233074); #69775=VERTEX_POINT('',#233076); #69776=VERTEX_POINT('',#233080); #69777=VERTEX_POINT('',#233082); #69778=VERTEX_POINT('',#233086); #69779=VERTEX_POINT('',#233088); #69780=VERTEX_POINT('',#233092); #69781=VERTEX_POINT('',#233094); #69782=VERTEX_POINT('',#233098); #69783=VERTEX_POINT('',#233100); #69784=VERTEX_POINT('',#233104); #69785=VERTEX_POINT('',#233106); #69786=VERTEX_POINT('',#233110); #69787=VERTEX_POINT('',#233112); #69788=VERTEX_POINT('',#233116); #69789=VERTEX_POINT('',#233118); #69790=VERTEX_POINT('',#233122); #69791=VERTEX_POINT('',#233124); #69792=VERTEX_POINT('',#233128); #69793=VERTEX_POINT('',#233130); #69794=VERTEX_POINT('',#233134); #69795=VERTEX_POINT('',#233136); #69796=VERTEX_POINT('',#233143); #69797=VERTEX_POINT('',#233144); #69798=VERTEX_POINT('',#233146); #69799=VERTEX_POINT('',#233148); #69800=VERTEX_POINT('',#233152); #69801=VERTEX_POINT('',#233154); #69802=VERTEX_POINT('',#233158); #69803=VERTEX_POINT('',#233160); #69804=VERTEX_POINT('',#233164); #69805=VERTEX_POINT('',#233166); #69806=VERTEX_POINT('',#233170); #69807=VERTEX_POINT('',#233172); #69808=VERTEX_POINT('',#233176); #69809=VERTEX_POINT('',#233178); #69810=VERTEX_POINT('',#233182); #69811=VERTEX_POINT('',#233184); #69812=VERTEX_POINT('',#233188); #69813=VERTEX_POINT('',#233190); #69814=VERTEX_POINT('',#233194); #69815=VERTEX_POINT('',#233196); #69816=VERTEX_POINT('',#233200); #69817=VERTEX_POINT('',#233202); #69818=VERTEX_POINT('',#233206); #69819=VERTEX_POINT('',#233208); #69820=VERTEX_POINT('',#233212); #69821=VERTEX_POINT('',#233214); #69822=VERTEX_POINT('',#233218); #69823=VERTEX_POINT('',#233220); #69824=VERTEX_POINT('',#233224); #69825=VERTEX_POINT('',#233226); #69826=VERTEX_POINT('',#233230); #69827=VERTEX_POINT('',#233232); #69828=VERTEX_POINT('',#233236); #69829=VERTEX_POINT('',#233238); #69830=VERTEX_POINT('',#233242); #69831=VERTEX_POINT('',#233244); #69832=VERTEX_POINT('',#233248); #69833=VERTEX_POINT('',#233250); #69834=VERTEX_POINT('',#233254); #69835=VERTEX_POINT('',#233256); #69836=VERTEX_POINT('',#233260); #69837=VERTEX_POINT('',#233262); #69838=VERTEX_POINT('',#233266); #69839=VERTEX_POINT('',#233268); #69840=VERTEX_POINT('',#233272); #69841=VERTEX_POINT('',#233274); #69842=VERTEX_POINT('',#233278); #69843=VERTEX_POINT('',#233280); #69844=VERTEX_POINT('',#233284); #69845=VERTEX_POINT('',#233286); #69846=VERTEX_POINT('',#233290); #69847=VERTEX_POINT('',#233292); #69848=VERTEX_POINT('',#233296); #69849=VERTEX_POINT('',#233298); #69850=VERTEX_POINT('',#233302); #69851=VERTEX_POINT('',#233304); #69852=VERTEX_POINT('',#233308); #69853=VERTEX_POINT('',#233310); #69854=VERTEX_POINT('',#233314); #69855=VERTEX_POINT('',#233316); #69856=VERTEX_POINT('',#233320); #69857=VERTEX_POINT('',#233322); #69858=VERTEX_POINT('',#233326); #69859=VERTEX_POINT('',#233328); #69860=VERTEX_POINT('',#233332); #69861=VERTEX_POINT('',#233334); #69862=VERTEX_POINT('',#233338); #69863=VERTEX_POINT('',#233340); #69864=VERTEX_POINT('',#233344); #69865=VERTEX_POINT('',#233346); #69866=VERTEX_POINT('',#233350); #69867=VERTEX_POINT('',#233352); #69868=VERTEX_POINT('',#233356); #69869=VERTEX_POINT('',#233358); #69870=VERTEX_POINT('',#233362); #69871=VERTEX_POINT('',#233364); #69872=VERTEX_POINT('',#233368); #69873=VERTEX_POINT('',#233370); #69874=VERTEX_POINT('',#233374); #69875=VERTEX_POINT('',#233376); #69876=VERTEX_POINT('',#233380); #69877=VERTEX_POINT('',#233382); #69878=VERTEX_POINT('',#233386); #69879=VERTEX_POINT('',#233388); #69880=VERTEX_POINT('',#233392); #69881=VERTEX_POINT('',#233394); #69882=VERTEX_POINT('',#233398); #69883=VERTEX_POINT('',#233400); #69884=VERTEX_POINT('',#233404); #69885=VERTEX_POINT('',#233406); #69886=VERTEX_POINT('',#233410); #69887=VERTEX_POINT('',#233412); #69888=VERTEX_POINT('',#233416); #69889=VERTEX_POINT('',#233418); #69890=VERTEX_POINT('',#233422); #69891=VERTEX_POINT('',#233424); #69892=VERTEX_POINT('',#233428); #69893=VERTEX_POINT('',#233430); #69894=VERTEX_POINT('',#233434); #69895=VERTEX_POINT('',#233436); #69896=VERTEX_POINT('',#233440); #69897=VERTEX_POINT('',#233442); #69898=VERTEX_POINT('',#233446); #69899=VERTEX_POINT('',#233448); #69900=VERTEX_POINT('',#233452); #69901=VERTEX_POINT('',#233454); #69902=VERTEX_POINT('',#233458); #69903=VERTEX_POINT('',#233460); #69904=VERTEX_POINT('',#233464); #69905=VERTEX_POINT('',#233466); #69906=VERTEX_POINT('',#233470); #69907=VERTEX_POINT('',#233472); #69908=VERTEX_POINT('',#233476); #69909=VERTEX_POINT('',#233478); #69910=VERTEX_POINT('',#233482); #69911=VERTEX_POINT('',#233484); #69912=VERTEX_POINT('',#233488); #69913=VERTEX_POINT('',#233490); #69914=VERTEX_POINT('',#233494); #69915=VERTEX_POINT('',#233496); #69916=VERTEX_POINT('',#233500); #69917=VERTEX_POINT('',#233502); #69918=VERTEX_POINT('',#233506); #69919=VERTEX_POINT('',#233508); #69920=VERTEX_POINT('',#233512); #69921=VERTEX_POINT('',#233514); #69922=VERTEX_POINT('',#233518); #69923=VERTEX_POINT('',#233520); #69924=VERTEX_POINT('',#233524); #69925=VERTEX_POINT('',#233526); #69926=VERTEX_POINT('',#233530); #69927=VERTEX_POINT('',#233532); #69928=VERTEX_POINT('',#233536); #69929=VERTEX_POINT('',#233538); #69930=VERTEX_POINT('',#233542); #69931=VERTEX_POINT('',#233544); #69932=VERTEX_POINT('',#233548); #69933=VERTEX_POINT('',#233550); #69934=VERTEX_POINT('',#233554); #69935=VERTEX_POINT('',#233556); #69936=VERTEX_POINT('',#233560); #69937=VERTEX_POINT('',#233562); #69938=VERTEX_POINT('',#233566); #69939=VERTEX_POINT('',#233568); #69940=VERTEX_POINT('',#233572); #69941=VERTEX_POINT('',#233574); #69942=VERTEX_POINT('',#233578); #69943=VERTEX_POINT('',#233580); #69944=VERTEX_POINT('',#233584); #69945=VERTEX_POINT('',#233586); #69946=VERTEX_POINT('',#233590); #69947=VERTEX_POINT('',#233592); #69948=VERTEX_POINT('',#233596); #69949=VERTEX_POINT('',#233598); #69950=VERTEX_POINT('',#233602); #69951=VERTEX_POINT('',#233604); #69952=VERTEX_POINT('',#233608); #69953=VERTEX_POINT('',#233610); #69954=VERTEX_POINT('',#233614); #69955=VERTEX_POINT('',#233616); #69956=VERTEX_POINT('',#233620); #69957=VERTEX_POINT('',#233622); #69958=VERTEX_POINT('',#233626); #69959=VERTEX_POINT('',#233628); #69960=VERTEX_POINT('',#233632); #69961=VERTEX_POINT('',#233634); #69962=VERTEX_POINT('',#233638); #69963=VERTEX_POINT('',#233640); #69964=VERTEX_POINT('',#233644); #69965=VERTEX_POINT('',#233646); #69966=VERTEX_POINT('',#233650); #69967=VERTEX_POINT('',#233652); #69968=VERTEX_POINT('',#233656); #69969=VERTEX_POINT('',#233658); #69970=VERTEX_POINT('',#233662); #69971=VERTEX_POINT('',#233664); #69972=VERTEX_POINT('',#233672); #69973=VERTEX_POINT('',#233673); #69974=VERTEX_POINT('',#233675); #69975=VERTEX_POINT('',#233677); #69976=VERTEX_POINT('',#233681); #69977=VERTEX_POINT('',#233683); #69978=VERTEX_POINT('',#233687); #69979=VERTEX_POINT('',#233689); #69980=VERTEX_POINT('',#233693); #69981=VERTEX_POINT('',#233695); #69982=VERTEX_POINT('',#233702); #69983=VERTEX_POINT('',#233703); #69984=VERTEX_POINT('',#233705); #69985=VERTEX_POINT('',#233707); #69986=VERTEX_POINT('',#233711); #69987=VERTEX_POINT('',#233713); #69988=VERTEX_POINT('',#233717); #69989=VERTEX_POINT('',#233719); #69990=VERTEX_POINT('',#233723); #69991=VERTEX_POINT('',#233725); #69992=VERTEX_POINT('',#233729); #69993=VERTEX_POINT('',#233731); #69994=VERTEX_POINT('',#233735); #69995=VERTEX_POINT('',#233737); #69996=VERTEX_POINT('',#233741); #69997=VERTEX_POINT('',#233743); #69998=VERTEX_POINT('',#233747); #69999=VERTEX_POINT('',#233749); #70000=VERTEX_POINT('',#233753); #70001=VERTEX_POINT('',#233755); #70002=VERTEX_POINT('',#233759); #70003=VERTEX_POINT('',#233761); #70004=VERTEX_POINT('',#233765); #70005=VERTEX_POINT('',#233767); #70006=VERTEX_POINT('',#233771); #70007=VERTEX_POINT('',#233773); #70008=VERTEX_POINT('',#233777); #70009=VERTEX_POINT('',#233779); #70010=VERTEX_POINT('',#233783); #70011=VERTEX_POINT('',#233785); #70012=VERTEX_POINT('',#233789); #70013=VERTEX_POINT('',#233791); #70014=VERTEX_POINT('',#233795); #70015=VERTEX_POINT('',#233797); #70016=VERTEX_POINT('',#233801); #70017=VERTEX_POINT('',#233803); #70018=VERTEX_POINT('',#233807); #70019=VERTEX_POINT('',#233809); #70020=VERTEX_POINT('',#233813); #70021=VERTEX_POINT('',#233815); #70022=VERTEX_POINT('',#233819); #70023=VERTEX_POINT('',#233821); #70024=VERTEX_POINT('',#233825); #70025=VERTEX_POINT('',#233827); #70026=VERTEX_POINT('',#233831); #70027=VERTEX_POINT('',#233833); #70028=VERTEX_POINT('',#233837); #70029=VERTEX_POINT('',#233839); #70030=VERTEX_POINT('',#233843); #70031=VERTEX_POINT('',#233845); #70032=VERTEX_POINT('',#233849); #70033=VERTEX_POINT('',#233851); #70034=VERTEX_POINT('',#233855); #70035=VERTEX_POINT('',#233857); #70036=VERTEX_POINT('',#233861); #70037=VERTEX_POINT('',#233863); #70038=VERTEX_POINT('',#233867); #70039=VERTEX_POINT('',#233869); #70040=VERTEX_POINT('',#233873); #70041=VERTEX_POINT('',#233875); #70042=VERTEX_POINT('',#233879); #70043=VERTEX_POINT('',#233881); #70044=VERTEX_POINT('',#233885); #70045=VERTEX_POINT('',#233887); #70046=VERTEX_POINT('',#233891); #70047=VERTEX_POINT('',#233893); #70048=VERTEX_POINT('',#233897); #70049=VERTEX_POINT('',#233899); #70050=VERTEX_POINT('',#233903); #70051=VERTEX_POINT('',#233905); #70052=VERTEX_POINT('',#233909); #70053=VERTEX_POINT('',#233911); #70054=VERTEX_POINT('',#233915); #70055=VERTEX_POINT('',#233917); #70056=VERTEX_POINT('',#233921); #70057=VERTEX_POINT('',#233923); #70058=VERTEX_POINT('',#233927); #70059=VERTEX_POINT('',#233929); #70060=VERTEX_POINT('',#233933); #70061=VERTEX_POINT('',#233935); #70062=VERTEX_POINT('',#233939); #70063=VERTEX_POINT('',#233941); #70064=VERTEX_POINT('',#233945); #70065=VERTEX_POINT('',#233947); #70066=VERTEX_POINT('',#233951); #70067=VERTEX_POINT('',#233953); #70068=VERTEX_POINT('',#233957); #70069=VERTEX_POINT('',#233959); #70070=VERTEX_POINT('',#233963); #70071=VERTEX_POINT('',#233965); #70072=VERTEX_POINT('',#233969); #70073=VERTEX_POINT('',#233971); #70074=VERTEX_POINT('',#233975); #70075=VERTEX_POINT('',#233977); #70076=VERTEX_POINT('',#233981); #70077=VERTEX_POINT('',#233983); #70078=VERTEX_POINT('',#233987); #70079=VERTEX_POINT('',#233989); #70080=VERTEX_POINT('',#233993); #70081=VERTEX_POINT('',#233995); #70082=VERTEX_POINT('',#233999); #70083=VERTEX_POINT('',#234001); #70084=VERTEX_POINT('',#234005); #70085=VERTEX_POINT('',#234007); #70086=VERTEX_POINT('',#234011); #70087=VERTEX_POINT('',#234013); #70088=VERTEX_POINT('',#234017); #70089=VERTEX_POINT('',#234019); #70090=VERTEX_POINT('',#234023); #70091=VERTEX_POINT('',#234025); #70092=VERTEX_POINT('',#234029); #70093=VERTEX_POINT('',#234031); #70094=VERTEX_POINT('',#234035); #70095=VERTEX_POINT('',#234037); #70096=VERTEX_POINT('',#234041); #70097=VERTEX_POINT('',#234043); #70098=VERTEX_POINT('',#234047); #70099=VERTEX_POINT('',#234049); #70100=VERTEX_POINT('',#234053); #70101=VERTEX_POINT('',#234055); #70102=VERTEX_POINT('',#234059); #70103=VERTEX_POINT('',#234061); #70104=VERTEX_POINT('',#234065); #70105=VERTEX_POINT('',#234067); #70106=VERTEX_POINT('',#234071); #70107=VERTEX_POINT('',#234073); #70108=VERTEX_POINT('',#234077); #70109=VERTEX_POINT('',#234079); #70110=VERTEX_POINT('',#234083); #70111=VERTEX_POINT('',#234085); #70112=VERTEX_POINT('',#234089); #70113=VERTEX_POINT('',#234091); #70114=VERTEX_POINT('',#234095); #70115=VERTEX_POINT('',#234097); #70116=VERTEX_POINT('',#234101); #70117=VERTEX_POINT('',#234103); #70118=VERTEX_POINT('',#234107); #70119=VERTEX_POINT('',#234109); #70120=VERTEX_POINT('',#234113); #70121=VERTEX_POINT('',#234115); #70122=VERTEX_POINT('',#234119); #70123=VERTEX_POINT('',#234121); #70124=VERTEX_POINT('',#234125); #70125=VERTEX_POINT('',#234127); #70126=VERTEX_POINT('',#234131); #70127=VERTEX_POINT('',#234133); #70128=VERTEX_POINT('',#234137); #70129=VERTEX_POINT('',#234139); #70130=VERTEX_POINT('',#234143); #70131=VERTEX_POINT('',#234145); #70132=VERTEX_POINT('',#234149); #70133=VERTEX_POINT('',#234151); #70134=VERTEX_POINT('',#234155); #70135=VERTEX_POINT('',#234157); #70136=VERTEX_POINT('',#234161); #70137=VERTEX_POINT('',#234163); #70138=VERTEX_POINT('',#234167); #70139=VERTEX_POINT('',#234169); #70140=VERTEX_POINT('',#234173); #70141=VERTEX_POINT('',#234175); #70142=VERTEX_POINT('',#234179); #70143=VERTEX_POINT('',#234181); #70144=VERTEX_POINT('',#234185); #70145=VERTEX_POINT('',#234187); #70146=VERTEX_POINT('',#234191); #70147=VERTEX_POINT('',#234193); #70148=VERTEX_POINT('',#234197); #70149=VERTEX_POINT('',#234199); #70150=VERTEX_POINT('',#234203); #70151=VERTEX_POINT('',#234205); #70152=VERTEX_POINT('',#234209); #70153=VERTEX_POINT('',#234211); #70154=VERTEX_POINT('',#234215); #70155=VERTEX_POINT('',#234217); #70156=VERTEX_POINT('',#234221); #70157=VERTEX_POINT('',#234223); #70158=VERTEX_POINT('',#234227); #70159=VERTEX_POINT('',#234229); #70160=VERTEX_POINT('',#234233); #70161=VERTEX_POINT('',#234235); #70162=VERTEX_POINT('',#234239); #70163=VERTEX_POINT('',#234241); #70164=VERTEX_POINT('',#234245); #70165=VERTEX_POINT('',#234247); #70166=VERTEX_POINT('',#234251); #70167=VERTEX_POINT('',#234253); #70168=VERTEX_POINT('',#234257); #70169=VERTEX_POINT('',#234259); #70170=VERTEX_POINT('',#234263); #70171=VERTEX_POINT('',#234265); #70172=VERTEX_POINT('',#234269); #70173=VERTEX_POINT('',#234271); #70174=VERTEX_POINT('',#234275); #70175=VERTEX_POINT('',#234277); #70176=VERTEX_POINT('',#234281); #70177=VERTEX_POINT('',#234283); #70178=VERTEX_POINT('',#234287); #70179=VERTEX_POINT('',#234289); #70180=VERTEX_POINT('',#234293); #70181=VERTEX_POINT('',#234295); #70182=VERTEX_POINT('',#234299); #70183=VERTEX_POINT('',#234301); #70184=VERTEX_POINT('',#234305); #70185=VERTEX_POINT('',#234307); #70186=VERTEX_POINT('',#234311); #70187=VERTEX_POINT('',#234313); #70188=VERTEX_POINT('',#234317); #70189=VERTEX_POINT('',#234319); #70190=VERTEX_POINT('',#234323); #70191=VERTEX_POINT('',#234325); #70192=VERTEX_POINT('',#234329); #70193=VERTEX_POINT('',#234331); #70194=VERTEX_POINT('',#234335); #70195=VERTEX_POINT('',#234337); #70196=VERTEX_POINT('',#234341); #70197=VERTEX_POINT('',#234343); #70198=VERTEX_POINT('',#234347); #70199=VERTEX_POINT('',#234349); #70200=VERTEX_POINT('',#234353); #70201=VERTEX_POINT('',#234355); #70202=VERTEX_POINT('',#234359); #70203=VERTEX_POINT('',#234361); #70204=VERTEX_POINT('',#234369); #70205=VERTEX_POINT('',#234370); #70206=VERTEX_POINT('',#234372); #70207=VERTEX_POINT('',#234374); #70208=VERTEX_POINT('',#234378); #70209=VERTEX_POINT('',#234380); #70210=VERTEX_POINT('',#234384); #70211=VERTEX_POINT('',#234386); #70212=VERTEX_POINT('',#234390); #70213=VERTEX_POINT('',#234392); #70214=VERTEX_POINT('',#234396); #70215=VERTEX_POINT('',#234398); #70216=VERTEX_POINT('',#234402); #70217=VERTEX_POINT('',#234404); #70218=VERTEX_POINT('',#234408); #70219=VERTEX_POINT('',#234410); #70220=VERTEX_POINT('',#234414); #70221=VERTEX_POINT('',#234416); #70222=VERTEX_POINT('',#234420); #70223=VERTEX_POINT('',#234422); #70224=VERTEX_POINT('',#234426); #70225=VERTEX_POINT('',#234428); #70226=VERTEX_POINT('',#234432); #70227=VERTEX_POINT('',#234434); #70228=VERTEX_POINT('',#234438); #70229=VERTEX_POINT('',#234440); #70230=VERTEX_POINT('',#234444); #70231=VERTEX_POINT('',#234446); #70232=VERTEX_POINT('',#234450); #70233=VERTEX_POINT('',#234452); #70234=VERTEX_POINT('',#234456); #70235=VERTEX_POINT('',#234458); #70236=VERTEX_POINT('',#234462); #70237=VERTEX_POINT('',#234464); #70238=VERTEX_POINT('',#234468); #70239=VERTEX_POINT('',#234470); #70240=VERTEX_POINT('',#234474); #70241=VERTEX_POINT('',#234476); #70242=VERTEX_POINT('',#234480); #70243=VERTEX_POINT('',#234482); #70244=VERTEX_POINT('',#234486); #70245=VERTEX_POINT('',#234488); #70246=VERTEX_POINT('',#234492); #70247=VERTEX_POINT('',#234494); #70248=VERTEX_POINT('',#234498); #70249=VERTEX_POINT('',#234500); #70250=VERTEX_POINT('',#234504); #70251=VERTEX_POINT('',#234506); #70252=VERTEX_POINT('',#234510); #70253=VERTEX_POINT('',#234512); #70254=VERTEX_POINT('',#234516); #70255=VERTEX_POINT('',#234518); #70256=VERTEX_POINT('',#234522); #70257=VERTEX_POINT('',#234524); #70258=VERTEX_POINT('',#234528); #70259=VERTEX_POINT('',#234530); #70260=VERTEX_POINT('',#234534); #70261=VERTEX_POINT('',#234536); #70262=VERTEX_POINT('',#234540); #70263=VERTEX_POINT('',#234542); #70264=VERTEX_POINT('',#234546); #70265=VERTEX_POINT('',#234548); #70266=VERTEX_POINT('',#234552); #70267=VERTEX_POINT('',#234554); #70268=VERTEX_POINT('',#234558); #70269=VERTEX_POINT('',#234560); #70270=VERTEX_POINT('',#234564); #70271=VERTEX_POINT('',#234566); #70272=VERTEX_POINT('',#234570); #70273=VERTEX_POINT('',#234572); #70274=VERTEX_POINT('',#234576); #70275=VERTEX_POINT('',#234578); #70276=VERTEX_POINT('',#234582); #70277=VERTEX_POINT('',#234584); #70278=VERTEX_POINT('',#234588); #70279=VERTEX_POINT('',#234590); #70280=VERTEX_POINT('',#234594); #70281=VERTEX_POINT('',#234596); #70282=VERTEX_POINT('',#234600); #70283=VERTEX_POINT('',#234602); #70284=VERTEX_POINT('',#234606); #70285=VERTEX_POINT('',#234608); #70286=VERTEX_POINT('',#234612); #70287=VERTEX_POINT('',#234614); #70288=VERTEX_POINT('',#234618); #70289=VERTEX_POINT('',#234620); #70290=VERTEX_POINT('',#234624); #70291=VERTEX_POINT('',#234626); #70292=VERTEX_POINT('',#234630); #70293=VERTEX_POINT('',#234632); #70294=VERTEX_POINT('',#234640); #70295=VERTEX_POINT('',#234641); #70296=VERTEX_POINT('',#234643); #70297=VERTEX_POINT('',#234645); #70298=VERTEX_POINT('',#234649); #70299=VERTEX_POINT('',#234651); #70300=VERTEX_POINT('',#234655); #70301=VERTEX_POINT('',#234657); #70302=VERTEX_POINT('',#234661); #70303=VERTEX_POINT('',#234663); #70304=VERTEX_POINT('',#234667); #70305=VERTEX_POINT('',#234669); #70306=VERTEX_POINT('',#234673); #70307=VERTEX_POINT('',#234675); #70308=VERTEX_POINT('',#234679); #70309=VERTEX_POINT('',#234681); #70310=VERTEX_POINT('',#234685); #70311=VERTEX_POINT('',#234687); #70312=VERTEX_POINT('',#234691); #70313=VERTEX_POINT('',#234693); #70314=VERTEX_POINT('',#234697); #70315=VERTEX_POINT('',#234699); #70316=VERTEX_POINT('',#234703); #70317=VERTEX_POINT('',#234705); #70318=VERTEX_POINT('',#234709); #70319=VERTEX_POINT('',#234711); #70320=VERTEX_POINT('',#234715); #70321=VERTEX_POINT('',#234717); #70322=VERTEX_POINT('',#234721); #70323=VERTEX_POINT('',#234723); #70324=VERTEX_POINT('',#234727); #70325=VERTEX_POINT('',#234729); #70326=VERTEX_POINT('',#234733); #70327=VERTEX_POINT('',#234735); #70328=VERTEX_POINT('',#234739); #70329=VERTEX_POINT('',#234741); #70330=VERTEX_POINT('',#234745); #70331=VERTEX_POINT('',#234747); #70332=VERTEX_POINT('',#234751); #70333=VERTEX_POINT('',#234753); #70334=VERTEX_POINT('',#234757); #70335=VERTEX_POINT('',#234759); #70336=VERTEX_POINT('',#234763); #70337=VERTEX_POINT('',#234765); #70338=VERTEX_POINT('',#234773); #70339=VERTEX_POINT('',#234774); #70340=VERTEX_POINT('',#234776); #70341=VERTEX_POINT('',#234778); #70342=VERTEX_POINT('',#234782); #70343=VERTEX_POINT('',#234784); #70344=VERTEX_POINT('',#234788); #70345=VERTEX_POINT('',#234790); #70346=VERTEX_POINT('',#234794); #70347=VERTEX_POINT('',#234796); #70348=VERTEX_POINT('',#234800); #70349=VERTEX_POINT('',#234802); #70350=VERTEX_POINT('',#234806); #70351=VERTEX_POINT('',#234808); #70352=VERTEX_POINT('',#234812); #70353=VERTEX_POINT('',#234814); #70354=VERTEX_POINT('',#234818); #70355=VERTEX_POINT('',#234820); #70356=VERTEX_POINT('',#234824); #70357=VERTEX_POINT('',#234826); #70358=VERTEX_POINT('',#234830); #70359=VERTEX_POINT('',#234832); #70360=VERTEX_POINT('',#234836); #70361=VERTEX_POINT('',#234838); #70362=VERTEX_POINT('',#234842); #70363=VERTEX_POINT('',#234844); #70364=VERTEX_POINT('',#234848); #70365=VERTEX_POINT('',#234850); #70366=VERTEX_POINT('',#234854); #70367=VERTEX_POINT('',#234856); #70368=VERTEX_POINT('',#234860); #70369=VERTEX_POINT('',#234862); #70370=VERTEX_POINT('',#234866); #70371=VERTEX_POINT('',#234868); #70372=VERTEX_POINT('',#234872); #70373=VERTEX_POINT('',#234874); #70374=VERTEX_POINT('',#234878); #70375=VERTEX_POINT('',#234880); #70376=VERTEX_POINT('',#234884); #70377=VERTEX_POINT('',#234886); #70378=VERTEX_POINT('',#234890); #70379=VERTEX_POINT('',#234892); #70380=VERTEX_POINT('',#234896); #70381=VERTEX_POINT('',#234898); #70382=VERTEX_POINT('',#234902); #70383=VERTEX_POINT('',#234904); #70384=VERTEX_POINT('',#234908); #70385=VERTEX_POINT('',#234910); #70386=VERTEX_POINT('',#234914); #70387=VERTEX_POINT('',#234916); #70388=VERTEX_POINT('',#234920); #70389=VERTEX_POINT('',#234922); #70390=VERTEX_POINT('',#234926); #70391=VERTEX_POINT('',#234928); #70392=VERTEX_POINT('',#234932); #70393=VERTEX_POINT('',#234934); #70394=VERTEX_POINT('',#234938); #70395=VERTEX_POINT('',#234940); #70396=VERTEX_POINT('',#234944); #70397=VERTEX_POINT('',#234946); #70398=VERTEX_POINT('',#234950); #70399=VERTEX_POINT('',#234952); #70400=VERTEX_POINT('',#234956); #70401=VERTEX_POINT('',#234958); #70402=VERTEX_POINT('',#234962); #70403=VERTEX_POINT('',#234964); #70404=VERTEX_POINT('',#234968); #70405=VERTEX_POINT('',#234970); #70406=VERTEX_POINT('',#234974); #70407=VERTEX_POINT('',#234976); #70408=VERTEX_POINT('',#234980); #70409=VERTEX_POINT('',#234982); #70410=VERTEX_POINT('',#234986); #70411=VERTEX_POINT('',#234988); #70412=VERTEX_POINT('',#234992); #70413=VERTEX_POINT('',#234994); #70414=VERTEX_POINT('',#234998); #70415=VERTEX_POINT('',#235000); #70416=VERTEX_POINT('',#235004); #70417=VERTEX_POINT('',#235006); #70418=VERTEX_POINT('',#235010); #70419=VERTEX_POINT('',#235012); #70420=VERTEX_POINT('',#235016); #70421=VERTEX_POINT('',#235018); #70422=VERTEX_POINT('',#235022); #70423=VERTEX_POINT('',#235024); #70424=VERTEX_POINT('',#235028); #70425=VERTEX_POINT('',#235030); #70426=VERTEX_POINT('',#235034); #70427=VERTEX_POINT('',#235036); #70428=VERTEX_POINT('',#235040); #70429=VERTEX_POINT('',#235042); #70430=VERTEX_POINT('',#235046); #70431=VERTEX_POINT('',#235048); #70432=VERTEX_POINT('',#235052); #70433=VERTEX_POINT('',#235054); #70434=VERTEX_POINT('',#235058); #70435=VERTEX_POINT('',#235060); #70436=VERTEX_POINT('',#235064); #70437=VERTEX_POINT('',#235066); #70438=VERTEX_POINT('',#235070); #70439=VERTEX_POINT('',#235072); #70440=VERTEX_POINT('',#235076); #70441=VERTEX_POINT('',#235078); #70442=VERTEX_POINT('',#235082); #70443=VERTEX_POINT('',#235084); #70444=VERTEX_POINT('',#235088); #70445=VERTEX_POINT('',#235090); #70446=VERTEX_POINT('',#235094); #70447=VERTEX_POINT('',#235096); #70448=VERTEX_POINT('',#235100); #70449=VERTEX_POINT('',#235102); #70450=VERTEX_POINT('',#235106); #70451=VERTEX_POINT('',#235108); #70452=VERTEX_POINT('',#235112); #70453=VERTEX_POINT('',#235114); #70454=VERTEX_POINT('',#235118); #70455=VERTEX_POINT('',#235120); #70456=VERTEX_POINT('',#235124); #70457=VERTEX_POINT('',#235126); #70458=VERTEX_POINT('',#235130); #70459=VERTEX_POINT('',#235132); #70460=VERTEX_POINT('',#235136); #70461=VERTEX_POINT('',#235138); #70462=VERTEX_POINT('',#235142); #70463=VERTEX_POINT('',#235144); #70464=VERTEX_POINT('',#235148); #70465=VERTEX_POINT('',#235150); #70466=VERTEX_POINT('',#235154); #70467=VERTEX_POINT('',#235156); #70468=VERTEX_POINT('',#235160); #70469=VERTEX_POINT('',#235162); #70470=VERTEX_POINT('',#235166); #70471=VERTEX_POINT('',#235168); #70472=VERTEX_POINT('',#235172); #70473=VERTEX_POINT('',#235174); #70474=VERTEX_POINT('',#235178); #70475=VERTEX_POINT('',#235180); #70476=VERTEX_POINT('',#235184); #70477=VERTEX_POINT('',#235186); #70478=VERTEX_POINT('',#235190); #70479=VERTEX_POINT('',#235192); #70480=VERTEX_POINT('',#235196); #70481=VERTEX_POINT('',#235198); #70482=VERTEX_POINT('',#235202); #70483=VERTEX_POINT('',#235204); #70484=VERTEX_POINT('',#235208); #70485=VERTEX_POINT('',#235210); #70486=VERTEX_POINT('',#235214); #70487=VERTEX_POINT('',#235216); #70488=VERTEX_POINT('',#235220); #70489=VERTEX_POINT('',#235222); #70490=VERTEX_POINT('',#235226); #70491=VERTEX_POINT('',#235228); #70492=VERTEX_POINT('',#235232); #70493=VERTEX_POINT('',#235234); #70494=VERTEX_POINT('',#235238); #70495=VERTEX_POINT('',#235240); #70496=VERTEX_POINT('',#235244); #70497=VERTEX_POINT('',#235246); #70498=VERTEX_POINT('',#235250); #70499=VERTEX_POINT('',#235252); #70500=VERTEX_POINT('',#235256); #70501=VERTEX_POINT('',#235258); #70502=VERTEX_POINT('',#235262); #70503=VERTEX_POINT('',#235264); #70504=VERTEX_POINT('',#235268); #70505=VERTEX_POINT('',#235270); #70506=VERTEX_POINT('',#235274); #70507=VERTEX_POINT('',#235276); #70508=VERTEX_POINT('',#235280); #70509=VERTEX_POINT('',#235282); #70510=VERTEX_POINT('',#235286); #70511=VERTEX_POINT('',#235288); #70512=VERTEX_POINT('',#235292); #70513=VERTEX_POINT('',#235294); #70514=VERTEX_POINT('',#235298); #70515=VERTEX_POINT('',#235300); #70516=VERTEX_POINT('',#235304); #70517=VERTEX_POINT('',#235306); #70518=VERTEX_POINT('',#235310); #70519=VERTEX_POINT('',#235312); #70520=VERTEX_POINT('',#235316); #70521=VERTEX_POINT('',#235318); #70522=VERTEX_POINT('',#235322); #70523=VERTEX_POINT('',#235324); #70524=VERTEX_POINT('',#235328); #70525=VERTEX_POINT('',#235330); #70526=VERTEX_POINT('',#235334); #70527=VERTEX_POINT('',#235336); #70528=VERTEX_POINT('',#235340); #70529=VERTEX_POINT('',#235342); #70530=VERTEX_POINT('',#235346); #70531=VERTEX_POINT('',#235348); #70532=VERTEX_POINT('',#235352); #70533=VERTEX_POINT('',#235354); #70534=VERTEX_POINT('',#235358); #70535=VERTEX_POINT('',#235360); #70536=VERTEX_POINT('',#235364); #70537=VERTEX_POINT('',#235366); #70538=VERTEX_POINT('',#235370); #70539=VERTEX_POINT('',#235372); #70540=VERTEX_POINT('',#235376); #70541=VERTEX_POINT('',#235378); #70542=VERTEX_POINT('',#235382); #70543=VERTEX_POINT('',#235384); #70544=VERTEX_POINT('',#235388); #70545=VERTEX_POINT('',#235390); #70546=VERTEX_POINT('',#235394); #70547=VERTEX_POINT('',#235396); #70548=VERTEX_POINT('',#235400); #70549=VERTEX_POINT('',#235402); #70550=VERTEX_POINT('',#235406); #70551=VERTEX_POINT('',#235408); #70552=VERTEX_POINT('',#235412); #70553=VERTEX_POINT('',#235414); #70554=VERTEX_POINT('',#235418); #70555=VERTEX_POINT('',#235420); #70556=VERTEX_POINT('',#235424); #70557=VERTEX_POINT('',#235426); #70558=VERTEX_POINT('',#235430); #70559=VERTEX_POINT('',#235432); #70560=VERTEX_POINT('',#235436); #70561=VERTEX_POINT('',#235438); #70562=VERTEX_POINT('',#235442); #70563=VERTEX_POINT('',#235444); #70564=VERTEX_POINT('',#235452); #70565=VERTEX_POINT('',#235453); #70566=VERTEX_POINT('',#235455); #70567=VERTEX_POINT('',#235457); #70568=VERTEX_POINT('',#235461); #70569=VERTEX_POINT('',#235463); #70570=VERTEX_POINT('',#235467); #70571=VERTEX_POINT('',#235469); #70572=VERTEX_POINT('',#235473); #70573=VERTEX_POINT('',#235475); #70574=VERTEX_POINT('',#235479); #70575=VERTEX_POINT('',#235481); #70576=VERTEX_POINT('',#235485); #70577=VERTEX_POINT('',#235487); #70578=VERTEX_POINT('',#235491); #70579=VERTEX_POINT('',#235493); #70580=VERTEX_POINT('',#235500); #70581=VERTEX_POINT('',#235501); #70582=VERTEX_POINT('',#235503); #70583=VERTEX_POINT('',#235505); #70584=VERTEX_POINT('',#235509); #70585=VERTEX_POINT('',#235511); #70586=VERTEX_POINT('',#235515); #70587=VERTEX_POINT('',#235517); #70588=VERTEX_POINT('',#235521); #70589=VERTEX_POINT('',#235523); #70590=VERTEX_POINT('',#235527); #70591=VERTEX_POINT('',#235529); #70592=VERTEX_POINT('',#235533); #70593=VERTEX_POINT('',#235535); #70594=VERTEX_POINT('',#235542); #70595=VERTEX_POINT('',#235543); #70596=VERTEX_POINT('',#235545); #70597=VERTEX_POINT('',#235547); #70598=VERTEX_POINT('',#235551); #70599=VERTEX_POINT('',#235553); #70600=VERTEX_POINT('',#235557); #70601=VERTEX_POINT('',#235559); #70602=VERTEX_POINT('',#235563); #70603=VERTEX_POINT('',#235565); #70604=VERTEX_POINT('',#235569); #70605=VERTEX_POINT('',#235571); #70606=VERTEX_POINT('',#235575); #70607=VERTEX_POINT('',#235577); #70608=VERTEX_POINT('',#235581); #70609=VERTEX_POINT('',#235583); #70610=VERTEX_POINT('',#235587); #70611=VERTEX_POINT('',#235589); #70612=VERTEX_POINT('',#235593); #70613=VERTEX_POINT('',#235595); #70614=VERTEX_POINT('',#235599); #70615=VERTEX_POINT('',#235601); #70616=VERTEX_POINT('',#235605); #70617=VERTEX_POINT('',#235607); #70618=VERTEX_POINT('',#235611); #70619=VERTEX_POINT('',#235613); #70620=VERTEX_POINT('',#235617); #70621=VERTEX_POINT('',#235619); #70622=VERTEX_POINT('',#235623); #70623=VERTEX_POINT('',#235625); #70624=VERTEX_POINT('',#235629); #70625=VERTEX_POINT('',#235631); #70626=VERTEX_POINT('',#235635); #70627=VERTEX_POINT('',#235637); #70628=VERTEX_POINT('',#235641); #70629=VERTEX_POINT('',#235643); #70630=VERTEX_POINT('',#235647); #70631=VERTEX_POINT('',#235649); #70632=VERTEX_POINT('',#235653); #70633=VERTEX_POINT('',#235655); #70634=VERTEX_POINT('',#235659); #70635=VERTEX_POINT('',#235661); #70636=VERTEX_POINT('',#235665); #70637=VERTEX_POINT('',#235667); #70638=VERTEX_POINT('',#235671); #70639=VERTEX_POINT('',#235673); #70640=VERTEX_POINT('',#235677); #70641=VERTEX_POINT('',#235679); #70642=VERTEX_POINT('',#235683); #70643=VERTEX_POINT('',#235685); #70644=VERTEX_POINT('',#235689); #70645=VERTEX_POINT('',#235691); #70646=VERTEX_POINT('',#235695); #70647=VERTEX_POINT('',#235697); #70648=VERTEX_POINT('',#235701); #70649=VERTEX_POINT('',#235703); #70650=VERTEX_POINT('',#235707); #70651=VERTEX_POINT('',#235709); #70652=VERTEX_POINT('',#235713); #70653=VERTEX_POINT('',#235715); #70654=VERTEX_POINT('',#235719); #70655=VERTEX_POINT('',#235721); #70656=VERTEX_POINT('',#235725); #70657=VERTEX_POINT('',#235727); #70658=VERTEX_POINT('',#235731); #70659=VERTEX_POINT('',#235733); #70660=VERTEX_POINT('',#235737); #70661=VERTEX_POINT('',#235739); #70662=VERTEX_POINT('',#235743); #70663=VERTEX_POINT('',#235745); #70664=VERTEX_POINT('',#235749); #70665=VERTEX_POINT('',#235751); #70666=VERTEX_POINT('',#235755); #70667=VERTEX_POINT('',#235757); #70668=VERTEX_POINT('',#235761); #70669=VERTEX_POINT('',#235763); #70670=VERTEX_POINT('',#235767); #70671=VERTEX_POINT('',#235769); #70672=VERTEX_POINT('',#235773); #70673=VERTEX_POINT('',#235775); #70674=VERTEX_POINT('',#235779); #70675=VERTEX_POINT('',#235781); #70676=VERTEX_POINT('',#235785); #70677=VERTEX_POINT('',#235787); #70678=VERTEX_POINT('',#235791); #70679=VERTEX_POINT('',#235793); #70680=VERTEX_POINT('',#235797); #70681=VERTEX_POINT('',#235799); #70682=VERTEX_POINT('',#235803); #70683=VERTEX_POINT('',#235805); #70684=VERTEX_POINT('',#235809); #70685=VERTEX_POINT('',#235811); #70686=VERTEX_POINT('',#235815); #70687=VERTEX_POINT('',#235817); #70688=VERTEX_POINT('',#235821); #70689=VERTEX_POINT('',#235823); #70690=VERTEX_POINT('',#235827); #70691=VERTEX_POINT('',#235829); #70692=VERTEX_POINT('',#235833); #70693=VERTEX_POINT('',#235835); #70694=VERTEX_POINT('',#235839); #70695=VERTEX_POINT('',#235841); #70696=VERTEX_POINT('',#235845); #70697=VERTEX_POINT('',#235847); #70698=VERTEX_POINT('',#235851); #70699=VERTEX_POINT('',#235853); #70700=VERTEX_POINT('',#235857); #70701=VERTEX_POINT('',#235859); #70702=VERTEX_POINT('',#235863); #70703=VERTEX_POINT('',#235865); #70704=VERTEX_POINT('',#235869); #70705=VERTEX_POINT('',#235871); #70706=VERTEX_POINT('',#235875); #70707=VERTEX_POINT('',#235877); #70708=VERTEX_POINT('',#235881); #70709=VERTEX_POINT('',#235883); #70710=VERTEX_POINT('',#235887); #70711=VERTEX_POINT('',#235889); #70712=VERTEX_POINT('',#235893); #70713=VERTEX_POINT('',#235895); #70714=VERTEX_POINT('',#235899); #70715=VERTEX_POINT('',#235901); #70716=VERTEX_POINT('',#235905); #70717=VERTEX_POINT('',#235907); #70718=VERTEX_POINT('',#235911); #70719=VERTEX_POINT('',#235913); #70720=VERTEX_POINT('',#235917); #70721=VERTEX_POINT('',#235919); #70722=VERTEX_POINT('',#235923); #70723=VERTEX_POINT('',#235925); #70724=VERTEX_POINT('',#235929); #70725=VERTEX_POINT('',#235931); #70726=VERTEX_POINT('',#235939); #70727=VERTEX_POINT('',#235940); #70728=VERTEX_POINT('',#235942); #70729=VERTEX_POINT('',#235944); #70730=VERTEX_POINT('',#235948); #70731=VERTEX_POINT('',#235950); #70732=VERTEX_POINT('',#235954); #70733=VERTEX_POINT('',#235956); #70734=VERTEX_POINT('',#235960); #70735=VERTEX_POINT('',#235962); #70736=VERTEX_POINT('',#235966); #70737=VERTEX_POINT('',#235968); #70738=VERTEX_POINT('',#235972); #70739=VERTEX_POINT('',#235974); #70740=VERTEX_POINT('',#235978); #70741=VERTEX_POINT('',#235980); #70742=VERTEX_POINT('',#235984); #70743=VERTEX_POINT('',#235986); #70744=VERTEX_POINT('',#235990); #70745=VERTEX_POINT('',#235992); #70746=VERTEX_POINT('',#235996); #70747=VERTEX_POINT('',#235998); #70748=VERTEX_POINT('',#236002); #70749=VERTEX_POINT('',#236004); #70750=VERTEX_POINT('',#236008); #70751=VERTEX_POINT('',#236010); #70752=VERTEX_POINT('',#236014); #70753=VERTEX_POINT('',#236016); #70754=VERTEX_POINT('',#236020); #70755=VERTEX_POINT('',#236022); #70756=VERTEX_POINT('',#236026); #70757=VERTEX_POINT('',#236028); #70758=VERTEX_POINT('',#236032); #70759=VERTEX_POINT('',#236034); #70760=VERTEX_POINT('',#236038); #70761=VERTEX_POINT('',#236040); #70762=VERTEX_POINT('',#236044); #70763=VERTEX_POINT('',#236046); #70764=VERTEX_POINT('',#236050); #70765=VERTEX_POINT('',#236052); #70766=VERTEX_POINT('',#236056); #70767=VERTEX_POINT('',#236058); #70768=VERTEX_POINT('',#236062); #70769=VERTEX_POINT('',#236064); #70770=VERTEX_POINT('',#236068); #70771=VERTEX_POINT('',#236070); #70772=VERTEX_POINT('',#236074); #70773=VERTEX_POINT('',#236076); #70774=VERTEX_POINT('',#236080); #70775=VERTEX_POINT('',#236082); #70776=VERTEX_POINT('',#236086); #70777=VERTEX_POINT('',#236088); #70778=VERTEX_POINT('',#236092); #70779=VERTEX_POINT('',#236094); #70780=VERTEX_POINT('',#236098); #70781=VERTEX_POINT('',#236100); #70782=VERTEX_POINT('',#236104); #70783=VERTEX_POINT('',#236106); #70784=VERTEX_POINT('',#236110); #70785=VERTEX_POINT('',#236112); #70786=VERTEX_POINT('',#236116); #70787=VERTEX_POINT('',#236118); #70788=VERTEX_POINT('',#236122); #70789=VERTEX_POINT('',#236124); #70790=VERTEX_POINT('',#236128); #70791=VERTEX_POINT('',#236130); #70792=VERTEX_POINT('',#236134); #70793=VERTEX_POINT('',#236136); #70794=VERTEX_POINT('',#236140); #70795=VERTEX_POINT('',#236142); #70796=VERTEX_POINT('',#236146); #70797=VERTEX_POINT('',#236148); #70798=VERTEX_POINT('',#236152); #70799=VERTEX_POINT('',#236154); #70800=VERTEX_POINT('',#236158); #70801=VERTEX_POINT('',#236160); #70802=VERTEX_POINT('',#236164); #70803=VERTEX_POINT('',#236166); #70804=VERTEX_POINT('',#236170); #70805=VERTEX_POINT('',#236172); #70806=VERTEX_POINT('',#236176); #70807=VERTEX_POINT('',#236178); #70808=VERTEX_POINT('',#236182); #70809=VERTEX_POINT('',#236184); #70810=VERTEX_POINT('',#236188); #70811=VERTEX_POINT('',#236190); #70812=VERTEX_POINT('',#236194); #70813=VERTEX_POINT('',#236196); #70814=VERTEX_POINT('',#236200); #70815=VERTEX_POINT('',#236202); #70816=VERTEX_POINT('',#236206); #70817=VERTEX_POINT('',#236208); #70818=VERTEX_POINT('',#236212); #70819=VERTEX_POINT('',#236214); #70820=VERTEX_POINT('',#236218); #70821=VERTEX_POINT('',#236220); #70822=VERTEX_POINT('',#236224); #70823=VERTEX_POINT('',#236226); #70824=VERTEX_POINT('',#236230); #70825=VERTEX_POINT('',#236232); #70826=VERTEX_POINT('',#236236); #70827=VERTEX_POINT('',#236238); #70828=VERTEX_POINT('',#236242); #70829=VERTEX_POINT('',#236244); #70830=VERTEX_POINT('',#236248); #70831=VERTEX_POINT('',#236250); #70832=VERTEX_POINT('',#236254); #70833=VERTEX_POINT('',#236256); #70834=VERTEX_POINT('',#236260); #70835=VERTEX_POINT('',#236262); #70836=VERTEX_POINT('',#236266); #70837=VERTEX_POINT('',#236268); #70838=VERTEX_POINT('',#236272); #70839=VERTEX_POINT('',#236274); #70840=VERTEX_POINT('',#236278); #70841=VERTEX_POINT('',#236280); #70842=VERTEX_POINT('',#236284); #70843=VERTEX_POINT('',#236286); #70844=VERTEX_POINT('',#236290); #70845=VERTEX_POINT('',#236292); #70846=VERTEX_POINT('',#236296); #70847=VERTEX_POINT('',#236298); #70848=VERTEX_POINT('',#236302); #70849=VERTEX_POINT('',#236304); #70850=VERTEX_POINT('',#236308); #70851=VERTEX_POINT('',#236310); #70852=VERTEX_POINT('',#236314); #70853=VERTEX_POINT('',#236316); #70854=VERTEX_POINT('',#236320); #70855=VERTEX_POINT('',#236322); #70856=VERTEX_POINT('',#236326); #70857=VERTEX_POINT('',#236328); #70858=VERTEX_POINT('',#236332); #70859=VERTEX_POINT('',#236334); #70860=VERTEX_POINT('',#236338); #70861=VERTEX_POINT('',#236340); #70862=VERTEX_POINT('',#236344); #70863=VERTEX_POINT('',#236346); #70864=VERTEX_POINT('',#236350); #70865=VERTEX_POINT('',#236352); #70866=VERTEX_POINT('',#236356); #70867=VERTEX_POINT('',#236358); #70868=VERTEX_POINT('',#236362); #70869=VERTEX_POINT('',#236364); #70870=VERTEX_POINT('',#236368); #70871=VERTEX_POINT('',#236370); #70872=VERTEX_POINT('',#236374); #70873=VERTEX_POINT('',#236376); #70874=VERTEX_POINT('',#236380); #70875=VERTEX_POINT('',#236382); #70876=VERTEX_POINT('',#236386); #70877=VERTEX_POINT('',#236388); #70878=VERTEX_POINT('',#236392); #70879=VERTEX_POINT('',#236394); #70880=VERTEX_POINT('',#236398); #70881=VERTEX_POINT('',#236400); #70882=VERTEX_POINT('',#236404); #70883=VERTEX_POINT('',#236406); #70884=VERTEX_POINT('',#236410); #70885=VERTEX_POINT('',#236412); #70886=VERTEX_POINT('',#236416); #70887=VERTEX_POINT('',#236418); #70888=VERTEX_POINT('',#236422); #70889=VERTEX_POINT('',#236424); #70890=VERTEX_POINT('',#236428); #70891=VERTEX_POINT('',#236430); #70892=VERTEX_POINT('',#236434); #70893=VERTEX_POINT('',#236436); #70894=VERTEX_POINT('',#236440); #70895=VERTEX_POINT('',#236442); #70896=VERTEX_POINT('',#236446); #70897=VERTEX_POINT('',#236448); #70898=VERTEX_POINT('',#236452); #70899=VERTEX_POINT('',#236454); #70900=VERTEX_POINT('',#236458); #70901=VERTEX_POINT('',#236460); #70902=VERTEX_POINT('',#236464); #70903=VERTEX_POINT('',#236466); #70904=VERTEX_POINT('',#236470); #70905=VERTEX_POINT('',#236472); #70906=VERTEX_POINT('',#236476); #70907=VERTEX_POINT('',#236478); #70908=VERTEX_POINT('',#236482); #70909=VERTEX_POINT('',#236484); #70910=VERTEX_POINT('',#236488); #70911=VERTEX_POINT('',#236490); #70912=VERTEX_POINT('',#236494); #70913=VERTEX_POINT('',#236496); #70914=VERTEX_POINT('',#236500); #70915=VERTEX_POINT('',#236502); #70916=VERTEX_POINT('',#236506); #70917=VERTEX_POINT('',#236508); #70918=VERTEX_POINT('',#236512); #70919=VERTEX_POINT('',#236514); #70920=VERTEX_POINT('',#236518); #70921=VERTEX_POINT('',#236520); #70922=VERTEX_POINT('',#236524); #70923=VERTEX_POINT('',#236526); #70924=VERTEX_POINT('',#236530); #70925=VERTEX_POINT('',#236532); #70926=VERTEX_POINT('',#236536); #70927=VERTEX_POINT('',#236538); #70928=VERTEX_POINT('',#236542); #70929=VERTEX_POINT('',#236544); #70930=VERTEX_POINT('',#236548); #70931=VERTEX_POINT('',#236550); #70932=VERTEX_POINT('',#236554); #70933=VERTEX_POINT('',#236556); #70934=VERTEX_POINT('',#236560); #70935=VERTEX_POINT('',#236562); #70936=VERTEX_POINT('',#236566); #70937=VERTEX_POINT('',#236568); #70938=VERTEX_POINT('',#236572); #70939=VERTEX_POINT('',#236574); #70940=VERTEX_POINT('',#236578); #70941=VERTEX_POINT('',#236580); #70942=VERTEX_POINT('',#236584); #70943=VERTEX_POINT('',#236586); #70944=VERTEX_POINT('',#236590); #70945=VERTEX_POINT('',#236592); #70946=VERTEX_POINT('',#236596); #70947=VERTEX_POINT('',#236598); #70948=VERTEX_POINT('',#236602); #70949=VERTEX_POINT('',#236604); #70950=VERTEX_POINT('',#236608); #70951=VERTEX_POINT('',#236610); #70952=VERTEX_POINT('',#236614); #70953=VERTEX_POINT('',#236616); #70954=VERTEX_POINT('',#236620); #70955=VERTEX_POINT('',#236622); #70956=VERTEX_POINT('',#236626); #70957=VERTEX_POINT('',#236628); #70958=VERTEX_POINT('',#236632); #70959=VERTEX_POINT('',#236634); #70960=VERTEX_POINT('',#236638); #70961=VERTEX_POINT('',#236640); #70962=VERTEX_POINT('',#236644); #70963=VERTEX_POINT('',#236646); #70964=VERTEX_POINT('',#236650); #70965=VERTEX_POINT('',#236652); #70966=VERTEX_POINT('',#236656); #70967=VERTEX_POINT('',#236658); #70968=VERTEX_POINT('',#236662); #70969=VERTEX_POINT('',#236664); #70970=VERTEX_POINT('',#236668); #70971=VERTEX_POINT('',#236670); #70972=VERTEX_POINT('',#236674); #70973=VERTEX_POINT('',#236676); #70974=VERTEX_POINT('',#236680); #70975=VERTEX_POINT('',#236682); #70976=VERTEX_POINT('',#236686); #70977=VERTEX_POINT('',#236688); #70978=VERTEX_POINT('',#236692); #70979=VERTEX_POINT('',#236694); #70980=VERTEX_POINT('',#236698); #70981=VERTEX_POINT('',#236700); #70982=VERTEX_POINT('',#236704); #70983=VERTEX_POINT('',#236706); #70984=VERTEX_POINT('',#236710); #70985=VERTEX_POINT('',#236712); #70986=VERTEX_POINT('',#236716); #70987=VERTEX_POINT('',#236718); #70988=VERTEX_POINT('',#236722); #70989=VERTEX_POINT('',#236724); #70990=VERTEX_POINT('',#236728); #70991=VERTEX_POINT('',#236730); #70992=VERTEX_POINT('',#236734); #70993=VERTEX_POINT('',#236736); #70994=VERTEX_POINT('',#236740); #70995=VERTEX_POINT('',#236742); #70996=VERTEX_POINT('',#236746); #70997=VERTEX_POINT('',#236748); #70998=VERTEX_POINT('',#236752); #70999=VERTEX_POINT('',#236754); #71000=VERTEX_POINT('',#236758); #71001=VERTEX_POINT('',#236760); #71002=VERTEX_POINT('',#236764); #71003=VERTEX_POINT('',#236766); #71004=VERTEX_POINT('',#236770); #71005=VERTEX_POINT('',#236772); #71006=VERTEX_POINT('',#236776); #71007=VERTEX_POINT('',#236778); #71008=VERTEX_POINT('',#236782); #71009=VERTEX_POINT('',#236784); #71010=VERTEX_POINT('',#236788); #71011=VERTEX_POINT('',#236790); #71012=VERTEX_POINT('',#236794); #71013=VERTEX_POINT('',#236796); #71014=VERTEX_POINT('',#236800); #71015=VERTEX_POINT('',#236802); #71016=VERTEX_POINT('',#236806); #71017=VERTEX_POINT('',#236808); #71018=VERTEX_POINT('',#236812); #71019=VERTEX_POINT('',#236814); #71020=VERTEX_POINT('',#236818); #71021=VERTEX_POINT('',#236820); #71022=VERTEX_POINT('',#236824); #71023=VERTEX_POINT('',#236826); #71024=VERTEX_POINT('',#236830); #71025=VERTEX_POINT('',#236832); #71026=VERTEX_POINT('',#236836); #71027=VERTEX_POINT('',#236838); #71028=VERTEX_POINT('',#236842); #71029=VERTEX_POINT('',#236844); #71030=VERTEX_POINT('',#236848); #71031=VERTEX_POINT('',#236850); #71032=VERTEX_POINT('',#236854); #71033=VERTEX_POINT('',#236856); #71034=VERTEX_POINT('',#236860); #71035=VERTEX_POINT('',#236862); #71036=VERTEX_POINT('',#236866); #71037=VERTEX_POINT('',#236868); #71038=VERTEX_POINT('',#236872); #71039=VERTEX_POINT('',#236874); #71040=VERTEX_POINT('',#236878); #71041=VERTEX_POINT('',#236880); #71042=VERTEX_POINT('',#236884); #71043=VERTEX_POINT('',#236886); #71044=VERTEX_POINT('',#236890); #71045=VERTEX_POINT('',#236892); #71046=VERTEX_POINT('',#236896); #71047=VERTEX_POINT('',#236898); #71048=VERTEX_POINT('',#236902); #71049=VERTEX_POINT('',#236904); #71050=VERTEX_POINT('',#236908); #71051=VERTEX_POINT('',#236910); #71052=VERTEX_POINT('',#236914); #71053=VERTEX_POINT('',#236916); #71054=VERTEX_POINT('',#236920); #71055=VERTEX_POINT('',#236922); #71056=VERTEX_POINT('',#236926); #71057=VERTEX_POINT('',#236928); #71058=VERTEX_POINT('',#236932); #71059=VERTEX_POINT('',#236934); #71060=VERTEX_POINT('',#236938); #71061=VERTEX_POINT('',#236940); #71062=VERTEX_POINT('',#236944); #71063=VERTEX_POINT('',#236946); #71064=VERTEX_POINT('',#236950); #71065=VERTEX_POINT('',#236952); #71066=VERTEX_POINT('',#236956); #71067=VERTEX_POINT('',#236958); #71068=VERTEX_POINT('',#236962); #71069=VERTEX_POINT('',#236964); #71070=VERTEX_POINT('',#236968); #71071=VERTEX_POINT('',#236970); #71072=VERTEX_POINT('',#236974); #71073=VERTEX_POINT('',#236976); #71074=VERTEX_POINT('',#236980); #71075=VERTEX_POINT('',#236982); #71076=VERTEX_POINT('',#236986); #71077=VERTEX_POINT('',#236988); #71078=VERTEX_POINT('',#236992); #71079=VERTEX_POINT('',#236994); #71080=VERTEX_POINT('',#236998); #71081=VERTEX_POINT('',#237000); #71082=VERTEX_POINT('',#237004); #71083=VERTEX_POINT('',#237006); #71084=VERTEX_POINT('',#237014); #71085=VERTEX_POINT('',#237015); #71086=VERTEX_POINT('',#237017); #71087=VERTEX_POINT('',#237019); #71088=VERTEX_POINT('',#237023); #71089=VERTEX_POINT('',#237025); #71090=VERTEX_POINT('',#237029); #71091=VERTEX_POINT('',#237031); #71092=VERTEX_POINT('',#237035); #71093=VERTEX_POINT('',#237037); #71094=VERTEX_POINT('',#237041); #71095=VERTEX_POINT('',#237043); #71096=VERTEX_POINT('',#237047); #71097=VERTEX_POINT('',#237049); #71098=VERTEX_POINT('',#237053); #71099=VERTEX_POINT('',#237055); #71100=VERTEX_POINT('',#237059); #71101=VERTEX_POINT('',#237061); #71102=VERTEX_POINT('',#237065); #71103=VERTEX_POINT('',#237067); #71104=VERTEX_POINT('',#237071); #71105=VERTEX_POINT('',#237073); #71106=VERTEX_POINT('',#237080); #71107=VERTEX_POINT('',#237081); #71108=VERTEX_POINT('',#237083); #71109=VERTEX_POINT('',#237085); #71110=VERTEX_POINT('',#237089); #71111=VERTEX_POINT('',#237091); #71112=VERTEX_POINT('',#237095); #71113=VERTEX_POINT('',#237097); #71114=VERTEX_POINT('',#237101); #71115=VERTEX_POINT('',#237103); #71116=VERTEX_POINT('',#237107); #71117=VERTEX_POINT('',#237109); #71118=VERTEX_POINT('',#237113); #71119=VERTEX_POINT('',#237115); #71120=VERTEX_POINT('',#237119); #71121=VERTEX_POINT('',#237121); #71122=VERTEX_POINT('',#237125); #71123=VERTEX_POINT('',#237127); #71124=VERTEX_POINT('',#237131); #71125=VERTEX_POINT('',#237133); #71126=VERTEX_POINT('',#237141); #71127=VERTEX_POINT('',#237142); #71128=VERTEX_POINT('',#237144); #71129=VERTEX_POINT('',#237146); #71130=VERTEX_POINT('',#237150); #71131=VERTEX_POINT('',#237152); #71132=VERTEX_POINT('',#237156); #71133=VERTEX_POINT('',#237158); #71134=VERTEX_POINT('',#237162); #71135=VERTEX_POINT('',#237164); #71136=VERTEX_POINT('',#237168); #71137=VERTEX_POINT('',#237170); #71138=VERTEX_POINT('',#237174); #71139=VERTEX_POINT('',#237176); #71140=VERTEX_POINT('',#237180); #71141=VERTEX_POINT('',#237182); #71142=VERTEX_POINT('',#237186); #71143=VERTEX_POINT('',#237188); #71144=VERTEX_POINT('',#237192); #71145=VERTEX_POINT('',#237194); #71146=VERTEX_POINT('',#237198); #71147=VERTEX_POINT('',#237200); #71148=VERTEX_POINT('',#237204); #71149=VERTEX_POINT('',#237206); #71150=VERTEX_POINT('',#237210); #71151=VERTEX_POINT('',#237212); #71152=VERTEX_POINT('',#237216); #71153=VERTEX_POINT('',#237218); #71154=VERTEX_POINT('',#237222); #71155=VERTEX_POINT('',#237224); #71156=VERTEX_POINT('',#237228); #71157=VERTEX_POINT('',#237230); #71158=VERTEX_POINT('',#237234); #71159=VERTEX_POINT('',#237236); #71160=VERTEX_POINT('',#237240); #71161=VERTEX_POINT('',#237242); #71162=VERTEX_POINT('',#237246); #71163=VERTEX_POINT('',#237248); #71164=VERTEX_POINT('',#237252); #71165=VERTEX_POINT('',#237254); #71166=VERTEX_POINT('',#237258); #71167=VERTEX_POINT('',#237260); #71168=VERTEX_POINT('',#237264); #71169=VERTEX_POINT('',#237266); #71170=VERTEX_POINT('',#237270); #71171=VERTEX_POINT('',#237272); #71172=VERTEX_POINT('',#237276); #71173=VERTEX_POINT('',#237278); #71174=VERTEX_POINT('',#237282); #71175=VERTEX_POINT('',#237284); #71176=VERTEX_POINT('',#237288); #71177=VERTEX_POINT('',#237290); #71178=VERTEX_POINT('',#237294); #71179=VERTEX_POINT('',#237296); #71180=VERTEX_POINT('',#237300); #71181=VERTEX_POINT('',#237302); #71182=VERTEX_POINT('',#237306); #71183=VERTEX_POINT('',#237308); #71184=VERTEX_POINT('',#237312); #71185=VERTEX_POINT('',#237314); #71186=VERTEX_POINT('',#237318); #71187=VERTEX_POINT('',#237320); #71188=VERTEX_POINT('',#237324); #71189=VERTEX_POINT('',#237326); #71190=VERTEX_POINT('',#237330); #71191=VERTEX_POINT('',#237332); #71192=VERTEX_POINT('',#237336); #71193=VERTEX_POINT('',#237338); #71194=VERTEX_POINT('',#237342); #71195=VERTEX_POINT('',#237344); #71196=VERTEX_POINT('',#237348); #71197=VERTEX_POINT('',#237350); #71198=VERTEX_POINT('',#237354); #71199=VERTEX_POINT('',#237356); #71200=VERTEX_POINT('',#237360); #71201=VERTEX_POINT('',#237362); #71202=VERTEX_POINT('',#237366); #71203=VERTEX_POINT('',#237368); #71204=VERTEX_POINT('',#237372); #71205=VERTEX_POINT('',#237374); #71206=VERTEX_POINT('',#237378); #71207=VERTEX_POINT('',#237380); #71208=VERTEX_POINT('',#237384); #71209=VERTEX_POINT('',#237386); #71210=VERTEX_POINT('',#237390); #71211=VERTEX_POINT('',#237392); #71212=VERTEX_POINT('',#237396); #71213=VERTEX_POINT('',#237398); #71214=VERTEX_POINT('',#237406); #71215=VERTEX_POINT('',#237407); #71216=VERTEX_POINT('',#237409); #71217=VERTEX_POINT('',#237411); #71218=VERTEX_POINT('',#237415); #71219=VERTEX_POINT('',#237417); #71220=VERTEX_POINT('',#237421); #71221=VERTEX_POINT('',#237423); #71222=VERTEX_POINT('',#237427); #71223=VERTEX_POINT('',#237429); #71224=VERTEX_POINT('',#237433); #71225=VERTEX_POINT('',#237435); #71226=VERTEX_POINT('',#237439); #71227=VERTEX_POINT('',#237441); #71228=VERTEX_POINT('',#237445); #71229=VERTEX_POINT('',#237447); #71230=VERTEX_POINT('',#237451); #71231=VERTEX_POINT('',#237453); #71232=VERTEX_POINT('',#237457); #71233=VERTEX_POINT('',#237459); #71234=VERTEX_POINT('',#237463); #71235=VERTEX_POINT('',#237465); #71236=VERTEX_POINT('',#237469); #71237=VERTEX_POINT('',#237471); #71238=VERTEX_POINT('',#237475); #71239=VERTEX_POINT('',#237477); #71240=VERTEX_POINT('',#237481); #71241=VERTEX_POINT('',#237483); #71242=VERTEX_POINT('',#237487); #71243=VERTEX_POINT('',#237489); #71244=VERTEX_POINT('',#237493); #71245=VERTEX_POINT('',#237495); #71246=VERTEX_POINT('',#237499); #71247=VERTEX_POINT('',#237501); #71248=VERTEX_POINT('',#237505); #71249=VERTEX_POINT('',#237507); #71250=VERTEX_POINT('',#237511); #71251=VERTEX_POINT('',#237513); #71252=VERTEX_POINT('',#237517); #71253=VERTEX_POINT('',#237519); #71254=VERTEX_POINT('',#237523); #71255=VERTEX_POINT('',#237525); #71256=VERTEX_POINT('',#237529); #71257=VERTEX_POINT('',#237531); #71258=VERTEX_POINT('',#237535); #71259=VERTEX_POINT('',#237537); #71260=VERTEX_POINT('',#237541); #71261=VERTEX_POINT('',#237543); #71262=VERTEX_POINT('',#237547); #71263=VERTEX_POINT('',#237549); #71264=VERTEX_POINT('',#237553); #71265=VERTEX_POINT('',#237555); #71266=VERTEX_POINT('',#237559); #71267=VERTEX_POINT('',#237561); #71268=VERTEX_POINT('',#237565); #71269=VERTEX_POINT('',#237567); #71270=VERTEX_POINT('',#237571); #71271=VERTEX_POINT('',#237573); #71272=VERTEX_POINT('',#237577); #71273=VERTEX_POINT('',#237579); #71274=VERTEX_POINT('',#237583); #71275=VERTEX_POINT('',#237585); #71276=VERTEX_POINT('',#237589); #71277=VERTEX_POINT('',#237591); #71278=VERTEX_POINT('',#237595); #71279=VERTEX_POINT('',#237597); #71280=VERTEX_POINT('',#237601); #71281=VERTEX_POINT('',#237603); #71282=VERTEX_POINT('',#237607); #71283=VERTEX_POINT('',#237609); #71284=VERTEX_POINT('',#237613); #71285=VERTEX_POINT('',#237615); #71286=VERTEX_POINT('',#237619); #71287=VERTEX_POINT('',#237621); #71288=VERTEX_POINT('',#237625); #71289=VERTEX_POINT('',#237627); #71290=VERTEX_POINT('',#237631); #71291=VERTEX_POINT('',#237633); #71292=VERTEX_POINT('',#237637); #71293=VERTEX_POINT('',#237639); #71294=VERTEX_POINT('',#237643); #71295=VERTEX_POINT('',#237645); #71296=VERTEX_POINT('',#237649); #71297=VERTEX_POINT('',#237651); #71298=VERTEX_POINT('',#237655); #71299=VERTEX_POINT('',#237657); #71300=VERTEX_POINT('',#237661); #71301=VERTEX_POINT('',#237663); #71302=VERTEX_POINT('',#237667); #71303=VERTEX_POINT('',#237669); #71304=VERTEX_POINT('',#237673); #71305=VERTEX_POINT('',#237675); #71306=VERTEX_POINT('',#237679); #71307=VERTEX_POINT('',#237681); #71308=VERTEX_POINT('',#237685); #71309=VERTEX_POINT('',#237687); #71310=VERTEX_POINT('',#237691); #71311=VERTEX_POINT('',#237693); #71312=VERTEX_POINT('',#237697); #71313=VERTEX_POINT('',#237699); #71314=VERTEX_POINT('',#237703); #71315=VERTEX_POINT('',#237705); #71316=VERTEX_POINT('',#237709); #71317=VERTEX_POINT('',#237711); #71318=VERTEX_POINT('',#237715); #71319=VERTEX_POINT('',#237717); #71320=VERTEX_POINT('',#237721); #71321=VERTEX_POINT('',#237723); #71322=VERTEX_POINT('',#237727); #71323=VERTEX_POINT('',#237729); #71324=VERTEX_POINT('',#237733); #71325=VERTEX_POINT('',#237735); #71326=VERTEX_POINT('',#237739); #71327=VERTEX_POINT('',#237741); #71328=VERTEX_POINT('',#237745); #71329=VERTEX_POINT('',#237747); #71330=VERTEX_POINT('',#237751); #71331=VERTEX_POINT('',#237753); #71332=VERTEX_POINT('',#237757); #71333=VERTEX_POINT('',#237759); #71334=VERTEX_POINT('',#237763); #71335=VERTEX_POINT('',#237765); #71336=VERTEX_POINT('',#237769); #71337=VERTEX_POINT('',#237771); #71338=VERTEX_POINT('',#237775); #71339=VERTEX_POINT('',#237777); #71340=VERTEX_POINT('',#237781); #71341=VERTEX_POINT('',#237783); #71342=VERTEX_POINT('',#237787); #71343=VERTEX_POINT('',#237789); #71344=VERTEX_POINT('',#237793); #71345=VERTEX_POINT('',#237795); #71346=VERTEX_POINT('',#237799); #71347=VERTEX_POINT('',#237801); #71348=VERTEX_POINT('',#237805); #71349=VERTEX_POINT('',#237807); #71350=VERTEX_POINT('',#237811); #71351=VERTEX_POINT('',#237813); #71352=VERTEX_POINT('',#237817); #71353=VERTEX_POINT('',#237819); #71354=VERTEX_POINT('',#237823); #71355=VERTEX_POINT('',#237825); #71356=VERTEX_POINT('',#237829); #71357=VERTEX_POINT('',#237831); #71358=VERTEX_POINT('',#237835); #71359=VERTEX_POINT('',#237837); #71360=VERTEX_POINT('',#237841); #71361=VERTEX_POINT('',#237843); #71362=VERTEX_POINT('',#237847); #71363=VERTEX_POINT('',#237849); #71364=VERTEX_POINT('',#237853); #71365=VERTEX_POINT('',#237855); #71366=VERTEX_POINT('',#237859); #71367=VERTEX_POINT('',#237861); #71368=VERTEX_POINT('',#237865); #71369=VERTEX_POINT('',#237867); #71370=VERTEX_POINT('',#237871); #71371=VERTEX_POINT('',#237873); #71372=VERTEX_POINT('',#237877); #71373=VERTEX_POINT('',#237879); #71374=VERTEX_POINT('',#237883); #71375=VERTEX_POINT('',#237885); #71376=VERTEX_POINT('',#237889); #71377=VERTEX_POINT('',#237891); #71378=VERTEX_POINT('',#237895); #71379=VERTEX_POINT('',#237897); #71380=VERTEX_POINT('',#237901); #71381=VERTEX_POINT('',#237903); #71382=VERTEX_POINT('',#237907); #71383=VERTEX_POINT('',#237909); #71384=VERTEX_POINT('',#237913); #71385=VERTEX_POINT('',#237915); #71386=VERTEX_POINT('',#237919); #71387=VERTEX_POINT('',#237921); #71388=VERTEX_POINT('',#237925); #71389=VERTEX_POINT('',#237927); #71390=VERTEX_POINT('',#237931); #71391=VERTEX_POINT('',#237933); #71392=VERTEX_POINT('',#237937); #71393=VERTEX_POINT('',#237939); #71394=VERTEX_POINT('',#237943); #71395=VERTEX_POINT('',#237945); #71396=VERTEX_POINT('',#237949); #71397=VERTEX_POINT('',#237951); #71398=VERTEX_POINT('',#237955); #71399=VERTEX_POINT('',#237957); #71400=VERTEX_POINT('',#237961); #71401=VERTEX_POINT('',#237963); #71402=VERTEX_POINT('',#237967); #71403=VERTEX_POINT('',#237969); #71404=VERTEX_POINT('',#237973); #71405=VERTEX_POINT('',#237975); #71406=VERTEX_POINT('',#237979); #71407=VERTEX_POINT('',#237981); #71408=VERTEX_POINT('',#237985); #71409=VERTEX_POINT('',#237987); #71410=VERTEX_POINT('',#237991); #71411=VERTEX_POINT('',#237993); #71412=VERTEX_POINT('',#237997); #71413=VERTEX_POINT('',#237999); #71414=VERTEX_POINT('',#238003); #71415=VERTEX_POINT('',#238005); #71416=VERTEX_POINT('',#238009); #71417=VERTEX_POINT('',#238011); #71418=VERTEX_POINT('',#238015); #71419=VERTEX_POINT('',#238017); #71420=VERTEX_POINT('',#238021); #71421=VERTEX_POINT('',#238023); #71422=VERTEX_POINT('',#238027); #71423=VERTEX_POINT('',#238029); #71424=VERTEX_POINT('',#238033); #71425=VERTEX_POINT('',#238035); #71426=VERTEX_POINT('',#238039); #71427=VERTEX_POINT('',#238041); #71428=VERTEX_POINT('',#238045); #71429=VERTEX_POINT('',#238047); #71430=VERTEX_POINT('',#238051); #71431=VERTEX_POINT('',#238053); #71432=VERTEX_POINT('',#238057); #71433=VERTEX_POINT('',#238059); #71434=VERTEX_POINT('',#238063); #71435=VERTEX_POINT('',#238065); #71436=VERTEX_POINT('',#238069); #71437=VERTEX_POINT('',#238071); #71438=VERTEX_POINT('',#238075); #71439=VERTEX_POINT('',#238077); #71440=VERTEX_POINT('',#238081); #71441=VERTEX_POINT('',#238083); #71442=VERTEX_POINT('',#238087); #71443=VERTEX_POINT('',#238089); #71444=VERTEX_POINT('',#238093); #71445=VERTEX_POINT('',#238095); #71446=VERTEX_POINT('',#238103); #71447=VERTEX_POINT('',#238104); #71448=VERTEX_POINT('',#238106); #71449=VERTEX_POINT('',#238108); #71450=VERTEX_POINT('',#238110); #71451=VERTEX_POINT('',#238112); #71452=VERTEX_POINT('',#238114); #71453=VERTEX_POINT('',#238116); #71454=VERTEX_POINT('',#238118); #71455=VERTEX_POINT('',#238120); #71456=VERTEX_POINT('',#238122); #71457=VERTEX_POINT('',#238124); #71458=VERTEX_POINT('',#238126); #71459=VERTEX_POINT('',#238128); #71460=VERTEX_POINT('',#238130); #71461=VERTEX_POINT('',#238132); #71462=VERTEX_POINT('',#238134); #71463=VERTEX_POINT('',#238136); #71464=VERTEX_POINT('',#238138); #71465=VERTEX_POINT('',#238140); #71466=VERTEX_POINT('',#238142); #71467=VERTEX_POINT('',#238144); #71468=VERTEX_POINT('',#238146); #71469=VERTEX_POINT('',#238148); #71470=VERTEX_POINT('',#238150); #71471=VERTEX_POINT('',#238152); #71472=VERTEX_POINT('',#238154); #71473=VERTEX_POINT('',#238156); #71474=VERTEX_POINT('',#238158); #71475=VERTEX_POINT('',#238160); #71476=VERTEX_POINT('',#238162); #71477=VERTEX_POINT('',#238164); #71478=VERTEX_POINT('',#238166); #71479=VERTEX_POINT('',#238168); #71480=VERTEX_POINT('',#238170); #71481=VERTEX_POINT('',#238172); #71482=VERTEX_POINT('',#238174); #71483=VERTEX_POINT('',#238176); #71484=VERTEX_POINT('',#238178); #71485=VERTEX_POINT('',#238180); #71486=VERTEX_POINT('',#238182); #71487=VERTEX_POINT('',#238184); #71488=VERTEX_POINT('',#238186); #71489=VERTEX_POINT('',#238188); #71490=VERTEX_POINT('',#238190); #71491=VERTEX_POINT('',#238192); #71492=VERTEX_POINT('',#238194); #71493=VERTEX_POINT('',#238196); #71494=VERTEX_POINT('',#238199); #71495=VERTEX_POINT('',#238201); #71496=VERTEX_POINT('',#238203); #71497=VERTEX_POINT('',#238205); #71498=VERTEX_POINT('',#238229); #71499=VERTEX_POINT('',#238230); #71500=VERTEX_POINT('',#238232); #71501=VERTEX_POINT('',#238234); #71502=VERTEX_POINT('',#238238); #71503=VERTEX_POINT('',#238240); #71504=VERTEX_POINT('',#238244); #71505=VERTEX_POINT('',#238245); #71506=VERTEX_POINT('',#238247); #71507=VERTEX_POINT('',#238249); #71508=VERTEX_POINT('',#238254); #71509=VERTEX_POINT('',#238256); #71510=VERTEX_POINT('',#238258); #71511=VERTEX_POINT('',#238260); #71512=VERTEX_POINT('',#238262); #71513=VERTEX_POINT('',#238264); #71514=VERTEX_POINT('',#238266); #71515=VERTEX_POINT('',#238269); #71516=VERTEX_POINT('',#238271); #71517=VERTEX_POINT('',#238273); #71518=VERTEX_POINT('',#238276); #71519=VERTEX_POINT('',#238280); #71520=VERTEX_POINT('',#238281); #71521=VERTEX_POINT('',#238283); #71522=VERTEX_POINT('',#238285); #71523=VERTEX_POINT('',#238289); #71524=VERTEX_POINT('',#238292); #71525=VERTEX_POINT('',#238296); #71526=VERTEX_POINT('',#238297); #71527=VERTEX_POINT('',#238299); #71528=VERTEX_POINT('',#238301); #71529=VERTEX_POINT('',#238305); #71530=VERTEX_POINT('',#238307); #71531=VERTEX_POINT('',#238309); #71532=VERTEX_POINT('',#238311); #71533=VERTEX_POINT('',#238313); #71534=VERTEX_POINT('',#238315); #71535=VERTEX_POINT('',#238319); #71536=VERTEX_POINT('',#238323); #71537=VERTEX_POINT('',#238325); #71538=VERTEX_POINT('',#238327); #71539=VERTEX_POINT('',#238331); #71540=VERTEX_POINT('',#238333); #71541=VERTEX_POINT('',#238337); #71542=VERTEX_POINT('',#238341); #71543=VERTEX_POINT('',#238343); #71544=VERTEX_POINT('',#238345); #71545=VERTEX_POINT('',#238350); #71546=VERTEX_POINT('',#238352); #71547=VERTEX_POINT('',#238356); #71548=VERTEX_POINT('',#238358); #71549=VERTEX_POINT('',#238362); #71550=VERTEX_POINT('',#238364); #71551=VERTEX_POINT('',#238366); #71552=VERTEX_POINT('',#238368); #71553=VERTEX_POINT('',#238371); #71554=VERTEX_POINT('',#238375); #71555=VERTEX_POINT('',#238377); #71556=VERTEX_POINT('',#238379); #71557=VERTEX_POINT('',#238381); #71558=VERTEX_POINT('',#238383); #71559=VERTEX_POINT('',#238385); #71560=VERTEX_POINT('',#238388); #71561=VERTEX_POINT('',#238390); #71562=VERTEX_POINT('',#238392); #71563=VERTEX_POINT('',#238394); #71564=VERTEX_POINT('',#238396); #71565=VERTEX_POINT('',#238398); #71566=VERTEX_POINT('',#238400); #71567=VERTEX_POINT('',#238402); #71568=VERTEX_POINT('',#238404); #71569=VERTEX_POINT('',#238408); #71570=VERTEX_POINT('',#238412); #71571=VERTEX_POINT('',#238414); #71572=VERTEX_POINT('',#238416); #71573=VERTEX_POINT('',#238418); #71574=VERTEX_POINT('',#238420); #71575=VERTEX_POINT('',#238422); #71576=VERTEX_POINT('',#238424); #71577=VERTEX_POINT('',#238426); #71578=VERTEX_POINT('',#238428); #71579=VERTEX_POINT('',#238430); #71580=VERTEX_POINT('',#238432); #71581=VERTEX_POINT('',#238434); #71582=VERTEX_POINT('',#238436); #71583=VERTEX_POINT('',#238438); #71584=VERTEX_POINT('',#238441); #71585=VERTEX_POINT('',#238444); #71586=VERTEX_POINT('',#238446); #71587=VERTEX_POINT('',#238450); #71588=VERTEX_POINT('',#238454); #71589=VERTEX_POINT('',#238458); #71590=VERTEX_POINT('',#238460); #71591=VERTEX_POINT('',#238471); #71592=VERTEX_POINT('',#238473); #71593=VERTEX_POINT('',#238475); #71594=VERTEX_POINT('',#238495); #71595=VERTEX_POINT('',#238499); #71596=VERTEX_POINT('',#238503); #71597=VERTEX_POINT('',#238507); #71598=VERTEX_POINT('',#238509); #71599=VERTEX_POINT('',#238513); #71600=VERTEX_POINT('',#238517); #71601=VERTEX_POINT('',#238521); #71602=VERTEX_POINT('',#238525); #71603=VERTEX_POINT('',#238527); #71604=VERTEX_POINT('',#238531); #71605=VERTEX_POINT('',#238537); #71606=VERTEX_POINT('',#238541); #71607=VERTEX_POINT('',#238549); #71608=VERTEX_POINT('',#238551); #71609=VERTEX_POINT('',#238556); #71610=VERTEX_POINT('',#238558); #71611=VERTEX_POINT('',#238563); #71612=VERTEX_POINT('',#238570); #71613=VERTEX_POINT('',#238576); #71614=VERTEX_POINT('',#238582); #71615=VERTEX_POINT('',#238588); #71616=VERTEX_POINT('',#238590); #71617=VERTEX_POINT('',#238594); #71618=VERTEX_POINT('',#238595); #71619=VERTEX_POINT('',#238597); #71620=VERTEX_POINT('',#238599); #71621=VERTEX_POINT('',#238602); #71622=VERTEX_POINT('',#238604); #71623=VERTEX_POINT('',#238609); #71624=VERTEX_POINT('',#238615); #71625=VERTEX_POINT('',#238621); #71626=VERTEX_POINT('',#238625); #71627=VERTEX_POINT('',#238629); #71628=VERTEX_POINT('',#238630); #71629=VERTEX_POINT('',#238632); #71630=VERTEX_POINT('',#238634); #71631=VERTEX_POINT('',#238638); #71632=VERTEX_POINT('',#238642); #71633=VERTEX_POINT('',#238646); #71634=VERTEX_POINT('',#238648); #71635=VERTEX_POINT('',#238650); #71636=VERTEX_POINT('',#238652); #71637=VERTEX_POINT('',#238654); #71638=VERTEX_POINT('',#238656); #71639=VERTEX_POINT('',#238658); #71640=VERTEX_POINT('',#238660); #71641=VERTEX_POINT('',#238662); #71642=VERTEX_POINT('',#238664); #71643=VERTEX_POINT('',#238666); #71644=VERTEX_POINT('',#238668); #71645=VERTEX_POINT('',#238670); #71646=VERTEX_POINT('',#238672); #71647=VERTEX_POINT('',#238674); #71648=VERTEX_POINT('',#238676); #71649=VERTEX_POINT('',#238678); #71650=VERTEX_POINT('',#238680); #71651=VERTEX_POINT('',#238682); #71652=VERTEX_POINT('',#238685); #71653=VERTEX_POINT('',#238687); #71654=VERTEX_POINT('',#238689); #71655=VERTEX_POINT('',#238691); #71656=VERTEX_POINT('',#238693); #71657=VERTEX_POINT('',#238695); #71658=VERTEX_POINT('',#238697); #71659=VERTEX_POINT('',#238699); #71660=VERTEX_POINT('',#238701); #71661=VERTEX_POINT('',#238703); #71662=VERTEX_POINT('',#238705); #71663=VERTEX_POINT('',#238707); #71664=VERTEX_POINT('',#238709); #71665=VERTEX_POINT('',#238711); #71666=VERTEX_POINT('',#238713); #71667=VERTEX_POINT('',#238715); #71668=VERTEX_POINT('',#238717); #71669=VERTEX_POINT('',#238719); #71670=VERTEX_POINT('',#238721); #71671=VERTEX_POINT('',#238723); #71672=VERTEX_POINT('',#238725); #71673=VERTEX_POINT('',#238727); #71674=VERTEX_POINT('',#238729); #71675=VERTEX_POINT('',#238731); #71676=VERTEX_POINT('',#238735); #71677=VERTEX_POINT('',#238737); #71678=VERTEX_POINT('',#238741); #71679=VERTEX_POINT('',#238836); #71680=VERTEX_POINT('',#238839); #71681=VERTEX_POINT('',#238843); #71682=VERTEX_POINT('',#238849); #71683=VERTEX_POINT('',#238850); #71684=VERTEX_POINT('',#238852); #71685=VERTEX_POINT('',#238889); #71686=VERTEX_POINT('',#238905); #71687=VERTEX_POINT('',#238906); #71688=VERTEX_POINT('',#238958); #71689=VERTEX_POINT('',#238959); #71690=VERTEX_POINT('',#239011); #71691=VERTEX_POINT('',#239012); #71692=VERTEX_POINT('',#239064); #71693=VERTEX_POINT('',#239065); #71694=VERTEX_POINT('',#239117); #71695=VERTEX_POINT('',#239118); #71696=VERTEX_POINT('',#239170); #71697=VERTEX_POINT('',#239171); #71698=VERTEX_POINT('',#239223); #71699=VERTEX_POINT('',#239224); #71700=VERTEX_POINT('',#239276); #71701=VERTEX_POINT('',#239277); #71702=VERTEX_POINT('',#239331); #71703=VERTEX_POINT('',#239332); #71704=VERTEX_POINT('',#239384); #71705=VERTEX_POINT('',#239385); #71706=VERTEX_POINT('',#239437); #71707=VERTEX_POINT('',#239438); #71708=VERTEX_POINT('',#239490); #71709=VERTEX_POINT('',#239491); #71710=VERTEX_POINT('',#239543); #71711=VERTEX_POINT('',#239544); #71712=VERTEX_POINT('',#239596); #71713=VERTEX_POINT('',#239597); #71714=VERTEX_POINT('',#239649); #71715=VERTEX_POINT('',#239650); #71716=VERTEX_POINT('',#239702); #71717=VERTEX_POINT('',#239703); #71718=VERTEX_POINT('',#239705); #71719=VERTEX_POINT('',#239740); #71720=VERTEX_POINT('',#239741); #71721=VERTEX_POINT('',#239765); #71722=VERTEX_POINT('',#239766); #71723=VERTEX_POINT('',#239768); #71724=VERTEX_POINT('',#239787); #71725=VERTEX_POINT('',#239806); #71726=VERTEX_POINT('',#239807); #71727=VERTEX_POINT('',#239882); #71728=VERTEX_POINT('',#239883); #71729=VERTEX_POINT('',#239960); #71730=VERTEX_POINT('',#239961); #71731=VERTEX_POINT('',#240036); #71732=VERTEX_POINT('',#240037); #71733=VERTEX_POINT('',#240112); #71734=VERTEX_POINT('',#240113); #71735=VERTEX_POINT('',#240190); #71736=VERTEX_POINT('',#240191); #71737=VERTEX_POINT('',#240266); #71738=VERTEX_POINT('',#240267); #71739=VERTEX_POINT('',#240342); #71740=VERTEX_POINT('',#240343); #71741=VERTEX_POINT('',#240418); #71742=VERTEX_POINT('',#240419); #71743=VERTEX_POINT('',#240496); #71744=VERTEX_POINT('',#240497); #71745=VERTEX_POINT('',#240572); #71746=VERTEX_POINT('',#240573); #71747=VERTEX_POINT('',#240648); #71748=VERTEX_POINT('',#240649); #71749=VERTEX_POINT('',#240726); #71750=VERTEX_POINT('',#240727); #71751=VERTEX_POINT('',#240802); #71752=VERTEX_POINT('',#240803); #71753=VERTEX_POINT('',#240878); #71754=VERTEX_POINT('',#240879); #71755=VERTEX_POINT('',#240882); #71756=VERTEX_POINT('',#240884); #71757=VERTEX_POINT('',#240907); #71758=VERTEX_POINT('',#241008); #71759=VERTEX_POINT('',#241066); #71760=VERTEX_POINT('',#241070); #71761=VERTEX_POINT('',#241071); #71762=VERTEX_POINT('',#241073); #71763=VERTEX_POINT('',#241075); #71764=VERTEX_POINT('',#241077); #71765=VERTEX_POINT('',#241079); #71766=VERTEX_POINT('',#241081); #71767=VERTEX_POINT('',#241085); #71768=VERTEX_POINT('',#241086); #71769=VERTEX_POINT('',#241090); #71770=VERTEX_POINT('',#241105); #71771=VERTEX_POINT('',#241109); #71772=VERTEX_POINT('',#241124); #71773=VERTEX_POINT('',#241128); #71774=VERTEX_POINT('',#241143); #71775=VERTEX_POINT('',#241147); #71776=VERTEX_POINT('',#241150); #71777=VERTEX_POINT('',#241154); #71778=VERTEX_POINT('',#241169); #71779=VERTEX_POINT('',#241173); #71780=VERTEX_POINT('',#241188); #71781=VERTEX_POINT('',#241207); #71782=VERTEX_POINT('',#241209); #71783=VERTEX_POINT('',#241213); #71784=VERTEX_POINT('',#241217); #71785=VERTEX_POINT('',#241221); #71786=VERTEX_POINT('',#241225); #71787=VERTEX_POINT('',#241226); #71788=VERTEX_POINT('',#241231); #71789=VERTEX_POINT('',#241233); #71790=VERTEX_POINT('',#241237); #71791=VERTEX_POINT('',#241242); #71792=VERTEX_POINT('',#241244); #71793=VERTEX_POINT('',#241248); #71794=VERTEX_POINT('',#241250); #71795=VERTEX_POINT('',#241254); #71796=VERTEX_POINT('',#241256); #71797=VERTEX_POINT('',#241260); #71798=VERTEX_POINT('',#241262); #71799=VERTEX_POINT('',#241266); #71800=VERTEX_POINT('',#241268); #71801=VERTEX_POINT('',#241273); #71802=VERTEX_POINT('',#241291); #71803=VERTEX_POINT('',#242190); #71804=VERTEX_POINT('',#242191); #71805=VERTEX_POINT('',#242193); #71806=VERTEX_POINT('',#242195); #71807=VERTEX_POINT('',#242199); #71808=VERTEX_POINT('',#242201); #71809=VERTEX_POINT('',#242205); #71810=VERTEX_POINT('',#242207); #71811=VERTEX_POINT('',#242211); #71812=VERTEX_POINT('',#242213); #71813=VERTEX_POINT('',#242217); #71814=VERTEX_POINT('',#242219); #71815=VERTEX_POINT('',#242223); #71816=VERTEX_POINT('',#242225); #71817=VERTEX_POINT('',#242229); #71818=VERTEX_POINT('',#242231); #71819=VERTEX_POINT('',#242235); #71820=VERTEX_POINT('',#242237); #71821=VERTEX_POINT('',#242241); #71822=VERTEX_POINT('',#242243); #71823=VERTEX_POINT('',#242247); #71824=VERTEX_POINT('',#242249); #71825=VERTEX_POINT('',#242253); #71826=VERTEX_POINT('',#242255); #71827=VERTEX_POINT('',#242259); #71828=VERTEX_POINT('',#242261); #71829=VERTEX_POINT('',#242265); #71830=VERTEX_POINT('',#242267); #71831=VERTEX_POINT('',#242274); #71832=VERTEX_POINT('',#242275); #71833=VERTEX_POINT('',#242277); #71834=VERTEX_POINT('',#242279); #71835=VERTEX_POINT('',#242283); #71836=VERTEX_POINT('',#242285); #71837=VERTEX_POINT('',#242289); #71838=VERTEX_POINT('',#242291); #71839=VERTEX_POINT('',#242295); #71840=VERTEX_POINT('',#242297); #71841=VERTEX_POINT('',#242301); #71842=VERTEX_POINT('',#242303); #71843=VERTEX_POINT('',#242307); #71844=VERTEX_POINT('',#242309); #71845=VERTEX_POINT('',#242313); #71846=VERTEX_POINT('',#242315); #71847=VERTEX_POINT('',#242319); #71848=VERTEX_POINT('',#242321); #71849=VERTEX_POINT('',#242325); #71850=VERTEX_POINT('',#242327); #71851=VERTEX_POINT('',#242331); #71852=VERTEX_POINT('',#242333); #71853=VERTEX_POINT('',#242337); #71854=VERTEX_POINT('',#242339); #71855=VERTEX_POINT('',#242343); #71856=VERTEX_POINT('',#242345); #71857=VERTEX_POINT('',#242349); #71858=VERTEX_POINT('',#242351); #71859=VERTEX_POINT('',#242355); #71860=VERTEX_POINT('',#242357); #71861=VERTEX_POINT('',#242361); #71862=VERTEX_POINT('',#242363); #71863=VERTEX_POINT('',#242371); #71864=VERTEX_POINT('',#242372); #71865=VERTEX_POINT('',#242374); #71866=VERTEX_POINT('',#242376); #71867=VERTEX_POINT('',#242380); #71868=VERTEX_POINT('',#242382); #71869=VERTEX_POINT('',#242386); #71870=VERTEX_POINT('',#242388); #71871=VERTEX_POINT('',#242396); #71872=VERTEX_POINT('',#242397); #71873=VERTEX_POINT('',#242399); #71874=VERTEX_POINT('',#242401); #71875=VERTEX_POINT('',#242405); #71876=VERTEX_POINT('',#242407); #71877=VERTEX_POINT('',#242411); #71878=VERTEX_POINT('',#242413); #71879=VERTEX_POINT('',#242420); #71880=VERTEX_POINT('',#242421); #71881=VERTEX_POINT('',#242423); #71882=VERTEX_POINT('',#242425); #71883=VERTEX_POINT('',#242429); #71884=VERTEX_POINT('',#242431); #71885=VERTEX_POINT('',#242435); #71886=VERTEX_POINT('',#242437); #71887=VERTEX_POINT('',#242441); #71888=VERTEX_POINT('',#242443); #71889=VERTEX_POINT('',#242447); #71890=VERTEX_POINT('',#242449); #71891=VERTEX_POINT('',#242453); #71892=VERTEX_POINT('',#242455); #71893=VERTEX_POINT('',#242459); #71894=VERTEX_POINT('',#242461); #71895=VERTEX_POINT('',#242465); #71896=VERTEX_POINT('',#242467); #71897=VERTEX_POINT('',#242471); #71898=VERTEX_POINT('',#242473); #71899=VERTEX_POINT('',#242477); #71900=VERTEX_POINT('',#242479); #71901=VERTEX_POINT('',#242483); #71902=VERTEX_POINT('',#242485); #71903=VERTEX_POINT('',#242489); #71904=VERTEX_POINT('',#242491); #71905=VERTEX_POINT('',#242495); #71906=VERTEX_POINT('',#242497); #71907=VERTEX_POINT('',#242501); #71908=VERTEX_POINT('',#242503); #71909=VERTEX_POINT('',#242507); #71910=VERTEX_POINT('',#242509); #71911=VERTEX_POINT('',#242513); #71912=VERTEX_POINT('',#242515); #71913=VERTEX_POINT('',#242519); #71914=VERTEX_POINT('',#242521); #71915=VERTEX_POINT('',#242525); #71916=VERTEX_POINT('',#242527); #71917=VERTEX_POINT('',#242531); #71918=VERTEX_POINT('',#242533); #71919=VERTEX_POINT('',#242537); #71920=VERTEX_POINT('',#242539); #71921=VERTEX_POINT('',#242543); #71922=VERTEX_POINT('',#242545); #71923=VERTEX_POINT('',#242549); #71924=VERTEX_POINT('',#242551); #71925=VERTEX_POINT('',#242555); #71926=VERTEX_POINT('',#242557); #71927=VERTEX_POINT('',#242561); #71928=VERTEX_POINT('',#242563); #71929=VERTEX_POINT('',#242567); #71930=VERTEX_POINT('',#242569); #71931=VERTEX_POINT('',#242573); #71932=VERTEX_POINT('',#242575); #71933=VERTEX_POINT('',#242579); #71934=VERTEX_POINT('',#242581); #71935=VERTEX_POINT('',#242585); #71936=VERTEX_POINT('',#242587); #71937=VERTEX_POINT('',#242591); #71938=VERTEX_POINT('',#242593); #71939=VERTEX_POINT('',#242597); #71940=VERTEX_POINT('',#242599); #71941=VERTEX_POINT('',#242603); #71942=VERTEX_POINT('',#242605); #71943=VERTEX_POINT('',#242609); #71944=VERTEX_POINT('',#242611); #71945=VERTEX_POINT('',#242619); #71946=VERTEX_POINT('',#242620); #71947=VERTEX_POINT('',#242622); #71948=VERTEX_POINT('',#242624); #71949=VERTEX_POINT('',#242628); #71950=VERTEX_POINT('',#242630); #71951=VERTEX_POINT('',#242634); #71952=VERTEX_POINT('',#242636); #71953=VERTEX_POINT('',#242640); #71954=VERTEX_POINT('',#242642); #71955=VERTEX_POINT('',#242646); #71956=VERTEX_POINT('',#242648); #71957=VERTEX_POINT('',#242652); #71958=VERTEX_POINT('',#242654); #71959=VERTEX_POINT('',#242658); #71960=VERTEX_POINT('',#242660); #71961=VERTEX_POINT('',#242664); #71962=VERTEX_POINT('',#242666); #71963=VERTEX_POINT('',#242670); #71964=VERTEX_POINT('',#242672); #71965=VERTEX_POINT('',#242676); #71966=VERTEX_POINT('',#242678); #71967=VERTEX_POINT('',#242682); #71968=VERTEX_POINT('',#242684); #71969=VERTEX_POINT('',#242688); #71970=VERTEX_POINT('',#242690); #71971=VERTEX_POINT('',#242694); #71972=VERTEX_POINT('',#242696); #71973=VERTEX_POINT('',#242700); #71974=VERTEX_POINT('',#242702); #71975=VERTEX_POINT('',#242706); #71976=VERTEX_POINT('',#242708); #71977=VERTEX_POINT('',#242712); #71978=VERTEX_POINT('',#242714); #71979=VERTEX_POINT('',#242718); #71980=VERTEX_POINT('',#242720); #71981=VERTEX_POINT('',#242724); #71982=VERTEX_POINT('',#242726); #71983=VERTEX_POINT('',#242730); #71984=VERTEX_POINT('',#242732); #71985=VERTEX_POINT('',#242740); #71986=VERTEX_POINT('',#242741); #71987=VERTEX_POINT('',#242743); #71988=VERTEX_POINT('',#242745); #71989=VERTEX_POINT('',#242749); #71990=VERTEX_POINT('',#242751); #71991=VERTEX_POINT('',#242755); #71992=VERTEX_POINT('',#242757); #71993=VERTEX_POINT('',#242761); #71994=VERTEX_POINT('',#242763); #71995=VERTEX_POINT('',#242767); #71996=VERTEX_POINT('',#242769); #71997=VERTEX_POINT('',#242773); #71998=VERTEX_POINT('',#242775); #71999=VERTEX_POINT('',#242779); #72000=VERTEX_POINT('',#242781); #72001=VERTEX_POINT('',#242785); #72002=VERTEX_POINT('',#242787); #72003=VERTEX_POINT('',#242791); #72004=VERTEX_POINT('',#242793); #72005=VERTEX_POINT('',#242797); #72006=VERTEX_POINT('',#242799); #72007=VERTEX_POINT('',#242806); #72008=VERTEX_POINT('',#242807); #72009=VERTEX_POINT('',#242809); #72010=VERTEX_POINT('',#242811); #72011=VERTEX_POINT('',#242815); #72012=VERTEX_POINT('',#242817); #72013=VERTEX_POINT('',#242821); #72014=VERTEX_POINT('',#242823); #72015=VERTEX_POINT('',#242827); #72016=VERTEX_POINT('',#242829); #72017=VERTEX_POINT('',#242833); #72018=VERTEX_POINT('',#242835); #72019=VERTEX_POINT('',#242839); #72020=VERTEX_POINT('',#242841); #72021=VERTEX_POINT('',#242845); #72022=VERTEX_POINT('',#242847); #72023=VERTEX_POINT('',#242851); #72024=VERTEX_POINT('',#242853); #72025=VERTEX_POINT('',#242857); #72026=VERTEX_POINT('',#242859); #72027=VERTEX_POINT('',#242863); #72028=VERTEX_POINT('',#242865); #72029=VERTEX_POINT('',#242869); #72030=VERTEX_POINT('',#242871); #72031=VERTEX_POINT('',#242875); #72032=VERTEX_POINT('',#242877); #72033=VERTEX_POINT('',#242881); #72034=VERTEX_POINT('',#242883); #72035=VERTEX_POINT('',#242887); #72036=VERTEX_POINT('',#242889); #72037=VERTEX_POINT('',#242893); #72038=VERTEX_POINT('',#242895); #72039=VERTEX_POINT('',#242899); #72040=VERTEX_POINT('',#242901); #72041=VERTEX_POINT('',#242905); #72042=VERTEX_POINT('',#242907); #72043=VERTEX_POINT('',#242911); #72044=VERTEX_POINT('',#242913); #72045=VERTEX_POINT('',#242917); #72046=VERTEX_POINT('',#242919); #72047=VERTEX_POINT('',#242923); #72048=VERTEX_POINT('',#242925); #72049=VERTEX_POINT('',#242933); #72050=VERTEX_POINT('',#242934); #72051=VERTEX_POINT('',#242936); #72052=VERTEX_POINT('',#242938); #72053=VERTEX_POINT('',#242942); #72054=VERTEX_POINT('',#242944); #72055=VERTEX_POINT('',#242948); #72056=VERTEX_POINT('',#242950); #72057=VERTEX_POINT('',#242954); #72058=VERTEX_POINT('',#242956); #72059=VERTEX_POINT('',#242960); #72060=VERTEX_POINT('',#242962); #72061=VERTEX_POINT('',#242966); #72062=VERTEX_POINT('',#242968); #72063=VERTEX_POINT('',#242972); #72064=VERTEX_POINT('',#242974); #72065=VERTEX_POINT('',#242978); #72066=VERTEX_POINT('',#242980); #72067=VERTEX_POINT('',#242984); #72068=VERTEX_POINT('',#242986); #72069=VERTEX_POINT('',#242990); #72070=VERTEX_POINT('',#242992); #72071=VERTEX_POINT('',#242996); #72072=VERTEX_POINT('',#242998); #72073=VERTEX_POINT('',#243002); #72074=VERTEX_POINT('',#243004); #72075=VERTEX_POINT('',#243008); #72076=VERTEX_POINT('',#243010); #72077=VERTEX_POINT('',#243014); #72078=VERTEX_POINT('',#243016); #72079=VERTEX_POINT('',#243020); #72080=VERTEX_POINT('',#243022); #72081=VERTEX_POINT('',#243026); #72082=VERTEX_POINT('',#243028); #72083=VERTEX_POINT('',#243032); #72084=VERTEX_POINT('',#243034); #72085=VERTEX_POINT('',#243038); #72086=VERTEX_POINT('',#243040); #72087=VERTEX_POINT('',#243044); #72088=VERTEX_POINT('',#243046); #72089=VERTEX_POINT('',#243050); #72090=VERTEX_POINT('',#243052); #72091=VERTEX_POINT('',#243056); #72092=VERTEX_POINT('',#243058); #72093=VERTEX_POINT('',#243062); #72094=VERTEX_POINT('',#243064); #72095=VERTEX_POINT('',#243068); #72096=VERTEX_POINT('',#243070); #72097=VERTEX_POINT('',#243074); #72098=VERTEX_POINT('',#243076); #72099=VERTEX_POINT('',#243080); #72100=VERTEX_POINT('',#243082); #72101=VERTEX_POINT('',#243086); #72102=VERTEX_POINT('',#243088); #72103=VERTEX_POINT('',#243092); #72104=VERTEX_POINT('',#243094); #72105=VERTEX_POINT('',#243098); #72106=VERTEX_POINT('',#243100); #72107=VERTEX_POINT('',#243104); #72108=VERTEX_POINT('',#243106); #72109=VERTEX_POINT('',#243110); #72110=VERTEX_POINT('',#243112); #72111=VERTEX_POINT('',#243116); #72112=VERTEX_POINT('',#243118); #72113=VERTEX_POINT('',#243122); #72114=VERTEX_POINT('',#243124); #72115=VERTEX_POINT('',#243128); #72116=VERTEX_POINT('',#243130); #72117=VERTEX_POINT('',#243134); #72118=VERTEX_POINT('',#243136); #72119=VERTEX_POINT('',#243144); #72120=VERTEX_POINT('',#243145); #72121=VERTEX_POINT('',#243147); #72122=VERTEX_POINT('',#243149); #72123=VERTEX_POINT('',#243153); #72124=VERTEX_POINT('',#243155); #72125=VERTEX_POINT('',#243159); #72126=VERTEX_POINT('',#243161); #72127=VERTEX_POINT('',#243169); #72128=VERTEX_POINT('',#243170); #72129=VERTEX_POINT('',#243172); #72130=VERTEX_POINT('',#243174); #72131=VERTEX_POINT('',#243178); #72132=VERTEX_POINT('',#243180); #72133=VERTEX_POINT('',#243184); #72134=VERTEX_POINT('',#243186); #72135=VERTEX_POINT('',#243190); #72136=VERTEX_POINT('',#243192); #72137=VERTEX_POINT('',#243196); #72138=VERTEX_POINT('',#243198); #72139=VERTEX_POINT('',#243207); #72140=VERTEX_POINT('',#243208); #72141=VERTEX_POINT('',#243210); #72142=VERTEX_POINT('',#243212); #72143=VERTEX_POINT('',#243216); #72144=VERTEX_POINT('',#243218); #72145=VERTEX_POINT('',#243222); #72146=VERTEX_POINT('',#243224); #72147=VERTEX_POINT('',#243233); #72148=VERTEX_POINT('',#243234); #72149=VERTEX_POINT('',#243236); #72150=VERTEX_POINT('',#243238); #72151=VERTEX_POINT('',#243242); #72152=VERTEX_POINT('',#243244); #72153=VERTEX_POINT('',#243248); #72154=VERTEX_POINT('',#243250); #72155=VERTEX_POINT('',#243259); #72156=VERTEX_POINT('',#243260); #72157=VERTEX_POINT('',#243262); #72158=VERTEX_POINT('',#243264); #72159=VERTEX_POINT('',#243268); #72160=VERTEX_POINT('',#243270); #72161=VERTEX_POINT('',#243274); #72162=VERTEX_POINT('',#243276); #72163=VERTEX_POINT('',#243284); #72164=VERTEX_POINT('',#243286); #72165=VERTEX_POINT('',#243290); #72166=VERTEX_POINT('',#243292); #72167=VERTEX_POINT('',#243296); #72168=VERTEX_POINT('',#243298); #72169=VERTEX_POINT('',#243302); #72170=VERTEX_POINT('',#243304); #72171=VERTEX_POINT('',#243308); #72172=VERTEX_POINT('',#243310); #72173=VERTEX_POINT('',#243317); #72174=VERTEX_POINT('',#243319); #72175=VERTEX_POINT('',#243323); #72176=VERTEX_POINT('',#243325); #72177=VERTEX_POINT('',#243329); #72178=VERTEX_POINT('',#243331); #72179=VERTEX_POINT('',#243339); #72180=VERTEX_POINT('',#243340); #72181=VERTEX_POINT('',#243342); #72182=VERTEX_POINT('',#243344); #72183=VERTEX_POINT('',#243348); #72184=VERTEX_POINT('',#243350); #72185=VERTEX_POINT('',#243354); #72186=VERTEX_POINT('',#243356); #72187=VERTEX_POINT('',#243366); #72188=VERTEX_POINT('',#243367); #72189=VERTEX_POINT('',#243369); #72190=VERTEX_POINT('',#243371); #72191=VERTEX_POINT('',#243375); #72192=VERTEX_POINT('',#243377); #72193=VERTEX_POINT('',#243381); #72194=VERTEX_POINT('',#243383); #72195=VERTEX_POINT('',#243392); #72196=VERTEX_POINT('',#243393); #72197=VERTEX_POINT('',#243395); #72198=VERTEX_POINT('',#243397); #72199=VERTEX_POINT('',#243401); #72200=VERTEX_POINT('',#243403); #72201=VERTEX_POINT('',#243407); #72202=VERTEX_POINT('',#243409); #72203=VERTEX_POINT('',#243413); #72204=VERTEX_POINT('',#243415); #72205=VERTEX_POINT('',#243420); #72206=VERTEX_POINT('',#243422); #72207=VERTEX_POINT('',#243429); #72208=VERTEX_POINT('',#243431); #72209=VERTEX_POINT('',#243435); #72210=VERTEX_POINT('',#243437); #72211=VERTEX_POINT('',#243444); #72212=VERTEX_POINT('',#243446); #72213=VERTEX_POINT('',#243450); #72214=VERTEX_POINT('',#243452); #72215=VERTEX_POINT('',#243460); #72216=VERTEX_POINT('',#243461); #72217=VERTEX_POINT('',#243463); #72218=VERTEX_POINT('',#243465); #72219=VERTEX_POINT('',#243472); #72220=VERTEX_POINT('',#243474); #72221=VERTEX_POINT('',#243483); #72222=VERTEX_POINT('',#243484); #72223=VERTEX_POINT('',#243486); #72224=VERTEX_POINT('',#243488); #72225=VERTEX_POINT('',#243492); #72226=VERTEX_POINT('',#243494); #72227=VERTEX_POINT('',#243498); #72228=VERTEX_POINT('',#243500); #72229=VERTEX_POINT('',#243504); #72230=VERTEX_POINT('',#243506); #72231=VERTEX_POINT('',#243510); #72232=VERTEX_POINT('',#243512); #72233=VERTEX_POINT('',#243520); #72234=VERTEX_POINT('',#243522); #72235=VERTEX_POINT('',#243530); #72236=VERTEX_POINT('',#243531); #72237=VERTEX_POINT('',#243533); #72238=VERTEX_POINT('',#243535); #72239=VERTEX_POINT('',#243542); #72240=VERTEX_POINT('',#243544); #72241=VERTEX_POINT('',#243553); #72242=VERTEX_POINT('',#243554); #72243=VERTEX_POINT('',#243556); #72244=VERTEX_POINT('',#243558); #72245=VERTEX_POINT('',#243562); #72246=VERTEX_POINT('',#243564); #72247=VERTEX_POINT('',#243568); #72248=VERTEX_POINT('',#243570); #72249=VERTEX_POINT('',#243580); #72250=VERTEX_POINT('',#243582); #72251=VERTEX_POINT('',#243589); #72252=VERTEX_POINT('',#243591); #72253=VERTEX_POINT('',#243595); #72254=VERTEX_POINT('',#243597); #72255=VERTEX_POINT('',#243601); #72256=VERTEX_POINT('',#243603); #72257=VERTEX_POINT('',#243607); #72258=VERTEX_POINT('',#243609); #72259=VERTEX_POINT('',#243614); #72260=VERTEX_POINT('',#243616); #72261=VERTEX_POINT('',#243620); #72262=VERTEX_POINT('',#243622); #72263=VERTEX_POINT('',#243627); #72264=VERTEX_POINT('',#243629); #72265=VERTEX_POINT('',#243636); #72266=VERTEX_POINT('',#243638); #72267=VERTEX_POINT('',#243646); #72268=VERTEX_POINT('',#243647); #72269=VERTEX_POINT('',#243649); #72270=VERTEX_POINT('',#243651); #72271=VERTEX_POINT('',#243659); #72272=VERTEX_POINT('',#243661); #72273=VERTEX_POINT('',#243669); #72274=VERTEX_POINT('',#243670); #72275=VERTEX_POINT('',#243672); #72276=VERTEX_POINT('',#243674); #72277=VERTEX_POINT('',#243678); #72278=VERTEX_POINT('',#243680); #72279=VERTEX_POINT('',#243684); #72280=VERTEX_POINT('',#243686); #72281=VERTEX_POINT('',#243690); #72282=VERTEX_POINT('',#243692); #72283=VERTEX_POINT('',#243702); #72284=VERTEX_POINT('',#243704); #72285=VERTEX_POINT('',#243708); #72286=VERTEX_POINT('',#243710); #72287=VERTEX_POINT('',#243714); #72288=VERTEX_POINT('',#243716); #72289=VERTEX_POINT('',#243720); #72290=VERTEX_POINT('',#243722); #72291=VERTEX_POINT('',#243726); #72292=VERTEX_POINT('',#243728); #72293=VERTEX_POINT('',#243738); #72294=VERTEX_POINT('',#243739); #72295=VERTEX_POINT('',#243741); #72296=VERTEX_POINT('',#243743); #72297=VERTEX_POINT('',#243747); #72298=VERTEX_POINT('',#243749); #72299=VERTEX_POINT('',#243754); #72300=VERTEX_POINT('',#243756); #72301=VERTEX_POINT('',#243764); #72302=VERTEX_POINT('',#243765); #72303=VERTEX_POINT('',#243767); #72304=VERTEX_POINT('',#243769); #72305=VERTEX_POINT('',#243773); #72306=VERTEX_POINT('',#243775); #72307=VERTEX_POINT('',#243779); #72308=VERTEX_POINT('',#243781); #72309=VERTEX_POINT('',#243788); #72310=VERTEX_POINT('',#243790); #72311=VERTEX_POINT('',#243795); #72312=VERTEX_POINT('',#243797); #72313=VERTEX_POINT('',#243801); #72314=VERTEX_POINT('',#243803); #72315=VERTEX_POINT('',#243807); #72316=VERTEX_POINT('',#243809); #72317=VERTEX_POINT('',#243813); #72318=VERTEX_POINT('',#243815); #72319=VERTEX_POINT('',#243819); #72320=VERTEX_POINT('',#243821); #72321=VERTEX_POINT('',#243825); #72322=VERTEX_POINT('',#243827); #72323=VERTEX_POINT('',#243831); #72324=VERTEX_POINT('',#243833); #72325=VERTEX_POINT('',#243837); #72326=VERTEX_POINT('',#243839); #72327=VERTEX_POINT('',#243844); #72328=VERTEX_POINT('',#243846); #72329=VERTEX_POINT('',#243850); #72330=VERTEX_POINT('',#243852); #72331=VERTEX_POINT('',#243859); #72332=VERTEX_POINT('',#243861); #72333=VERTEX_POINT('',#243865); #72334=VERTEX_POINT('',#243867); #72335=VERTEX_POINT('',#243871); #72336=VERTEX_POINT('',#243873); #72337=VERTEX_POINT('',#243877); #72338=VERTEX_POINT('',#243879); #72339=VERTEX_POINT('',#243883); #72340=VERTEX_POINT('',#243885); #72341=VERTEX_POINT('',#243892); #72342=VERTEX_POINT('',#243894); #72343=VERTEX_POINT('',#243898); #72344=VERTEX_POINT('',#243900); #72345=VERTEX_POINT('',#243907); #72346=VERTEX_POINT('',#243909); #72347=VERTEX_POINT('',#243913); #72348=VERTEX_POINT('',#243915); #72349=VERTEX_POINT('',#243919); #72350=VERTEX_POINT('',#243921); #72351=VERTEX_POINT('',#243925); #72352=VERTEX_POINT('',#243927); #72353=VERTEX_POINT('',#243935); #72354=VERTEX_POINT('',#243936); #72355=VERTEX_POINT('',#243938); #72356=VERTEX_POINT('',#243940); #72357=VERTEX_POINT('',#243944); #72358=VERTEX_POINT('',#243946); #72359=VERTEX_POINT('',#243957); #72360=VERTEX_POINT('',#243958); #72361=VERTEX_POINT('',#243960); #72362=VERTEX_POINT('',#243962); #72363=VERTEX_POINT('',#243966); #72364=VERTEX_POINT('',#243968); #72365=VERTEX_POINT('',#243973); #72366=VERTEX_POINT('',#243975); #72367=VERTEX_POINT('',#243983); #72368=VERTEX_POINT('',#243984); #72369=VERTEX_POINT('',#243986); #72370=VERTEX_POINT('',#243988); #72371=VERTEX_POINT('',#243992); #72372=VERTEX_POINT('',#243994); #72373=VERTEX_POINT('',#243998); #72374=VERTEX_POINT('',#244000); #72375=VERTEX_POINT('',#244008); #72376=VERTEX_POINT('',#244010); #72377=VERTEX_POINT('',#244014); #72378=VERTEX_POINT('',#244016); #72379=VERTEX_POINT('',#244023); #72380=VERTEX_POINT('',#244025); #72381=VERTEX_POINT('',#244029); #72382=VERTEX_POINT('',#244031); #72383=VERTEX_POINT('',#244038); #72384=VERTEX_POINT('',#244040); #72385=VERTEX_POINT('',#244044); #72386=VERTEX_POINT('',#244046); #72387=VERTEX_POINT('',#244057); #72388=VERTEX_POINT('',#244058); #72389=VERTEX_POINT('',#244060); #72390=VERTEX_POINT('',#244062); #72391=VERTEX_POINT('',#244066); #72392=VERTEX_POINT('',#244068); #72393=VERTEX_POINT('',#244072); #72394=VERTEX_POINT('',#244074); #72395=VERTEX_POINT('',#244078); #72396=VERTEX_POINT('',#244080); #72397=VERTEX_POINT('',#244091); #72398=VERTEX_POINT('',#244092); #72399=VERTEX_POINT('',#244094); #72400=VERTEX_POINT('',#244096); #72401=VERTEX_POINT('',#244100); #72402=VERTEX_POINT('',#244102); #72403=VERTEX_POINT('',#244106); #72404=VERTEX_POINT('',#244108); #72405=VERTEX_POINT('',#244112); #72406=VERTEX_POINT('',#244114); #72407=VERTEX_POINT('',#244118); #72408=VERTEX_POINT('',#244120); #72409=VERTEX_POINT('',#244124); #72410=VERTEX_POINT('',#244126); #72411=VERTEX_POINT('',#244130); #72412=VERTEX_POINT('',#244132); #72413=VERTEX_POINT('',#244136); #72414=VERTEX_POINT('',#244138); #72415=VERTEX_POINT('',#244142); #72416=VERTEX_POINT('',#244144); #72417=VERTEX_POINT('',#244148); #72418=VERTEX_POINT('',#244150); #72419=VERTEX_POINT('',#244154); #72420=VERTEX_POINT('',#244156); #72421=VERTEX_POINT('',#244160); #72422=VERTEX_POINT('',#244162); #72423=VERTEX_POINT('',#244166); #72424=VERTEX_POINT('',#244168); #72425=VERTEX_POINT('',#244172); #72426=VERTEX_POINT('',#244174); #72427=VERTEX_POINT('',#244178); #72428=VERTEX_POINT('',#244180); #72429=VERTEX_POINT('',#244184); #72430=VERTEX_POINT('',#244186); #72431=VERTEX_POINT('',#244190); #72432=VERTEX_POINT('',#244192); #72433=VERTEX_POINT('',#244196); #72434=VERTEX_POINT('',#244198); #72435=VERTEX_POINT('',#244203); #72436=VERTEX_POINT('',#244205); #72437=VERTEX_POINT('',#244215); #72438=VERTEX_POINT('',#244216); #72439=VERTEX_POINT('',#244218); #72440=VERTEX_POINT('',#244220); #72441=VERTEX_POINT('',#244227); #72442=VERTEX_POINT('',#244229); #72443=VERTEX_POINT('',#244233); #72444=VERTEX_POINT('',#244235); #72445=VERTEX_POINT('',#244239); #72446=VERTEX_POINT('',#244241); #72447=VERTEX_POINT('',#244249); #72448=VERTEX_POINT('',#244251); #72449=VERTEX_POINT('',#244255); #72450=VERTEX_POINT('',#244257); #72451=VERTEX_POINT('',#244261); #72452=VERTEX_POINT('',#244263); #72453=VERTEX_POINT('',#244271); #72454=VERTEX_POINT('',#244272); #72455=VERTEX_POINT('',#244274); #72456=VERTEX_POINT('',#244276); #72457=VERTEX_POINT('',#244283); #72458=VERTEX_POINT('',#244285); #72459=VERTEX_POINT('',#244293); #72460=VERTEX_POINT('',#244294); #72461=VERTEX_POINT('',#244296); #72462=VERTEX_POINT('',#244298); #72463=VERTEX_POINT('',#244302); #72464=VERTEX_POINT('',#244304); #72465=VERTEX_POINT('',#244308); #72466=VERTEX_POINT('',#244310); #72467=VERTEX_POINT('',#244317); #72468=VERTEX_POINT('',#244318); #72469=VERTEX_POINT('',#244320); #72470=VERTEX_POINT('',#244322); #72471=VERTEX_POINT('',#244326); #72472=VERTEX_POINT('',#244328); #72473=VERTEX_POINT('',#244332); #72474=VERTEX_POINT('',#244334); #72475=VERTEX_POINT('',#244342); #72476=VERTEX_POINT('',#244343); #72477=VERTEX_POINT('',#244345); #72478=VERTEX_POINT('',#244347); #72479=VERTEX_POINT('',#244351); #72480=VERTEX_POINT('',#244353); #72481=VERTEX_POINT('',#244357); #72482=VERTEX_POINT('',#244359); #72483=VERTEX_POINT('',#244363); #72484=VERTEX_POINT('',#244365); #72485=VERTEX_POINT('',#244369); #72486=VERTEX_POINT('',#244371); #72487=VERTEX_POINT('',#244375); #72488=VERTEX_POINT('',#244377); #72489=VERTEX_POINT('',#244381); #72490=VERTEX_POINT('',#244383); #72491=VERTEX_POINT('',#244387); #72492=VERTEX_POINT('',#244389); #72493=VERTEX_POINT('',#244393); #72494=VERTEX_POINT('',#244395); #72495=VERTEX_POINT('',#244399); #72496=VERTEX_POINT('',#244401); #72497=VERTEX_POINT('',#244405); #72498=VERTEX_POINT('',#244407); #72499=VERTEX_POINT('',#244411); #72500=VERTEX_POINT('',#244413); #72501=VERTEX_POINT('',#244417); #72502=VERTEX_POINT('',#244419); #72503=VERTEX_POINT('',#244423); #72504=VERTEX_POINT('',#244425); #72505=VERTEX_POINT('',#244429); #72506=VERTEX_POINT('',#244431); #72507=VERTEX_POINT('',#244435); #72508=VERTEX_POINT('',#244437); #72509=VERTEX_POINT('',#244441); #72510=VERTEX_POINT('',#244443); #72511=VERTEX_POINT('',#244447); #72512=VERTEX_POINT('',#244449); #72513=VERTEX_POINT('',#244453); #72514=VERTEX_POINT('',#244455); #72515=VERTEX_POINT('',#244459); #72516=VERTEX_POINT('',#244461); #72517=VERTEX_POINT('',#244465); #72518=VERTEX_POINT('',#244467); #72519=VERTEX_POINT('',#244471); #72520=VERTEX_POINT('',#244473); #72521=VERTEX_POINT('',#244477); #72522=VERTEX_POINT('',#244479); #72523=VERTEX_POINT('',#244487); #72524=VERTEX_POINT('',#244488); #72525=VERTEX_POINT('',#244490); #72526=VERTEX_POINT('',#244492); #72527=VERTEX_POINT('',#244496); #72528=VERTEX_POINT('',#244498); #72529=VERTEX_POINT('',#244502); #72530=VERTEX_POINT('',#244504); #72531=VERTEX_POINT('',#244508); #72532=VERTEX_POINT('',#244510); #72533=VERTEX_POINT('',#244514); #72534=VERTEX_POINT('',#244516); #72535=VERTEX_POINT('',#244520); #72536=VERTEX_POINT('',#244522); #72537=VERTEX_POINT('',#244526); #72538=VERTEX_POINT('',#244528); #72539=VERTEX_POINT('',#244532); #72540=VERTEX_POINT('',#244534); #72541=VERTEX_POINT('',#244541); #72542=VERTEX_POINT('',#244542); #72543=VERTEX_POINT('',#244544); #72544=VERTEX_POINT('',#244546); #72545=VERTEX_POINT('',#244550); #72546=VERTEX_POINT('',#244552); #72547=VERTEX_POINT('',#244556); #72548=VERTEX_POINT('',#244558); #72549=VERTEX_POINT('',#244562); #72550=VERTEX_POINT('',#244564); #72551=VERTEX_POINT('',#244568); #72552=VERTEX_POINT('',#244570); #72553=VERTEX_POINT('',#244574); #72554=VERTEX_POINT('',#244576); #72555=VERTEX_POINT('',#244580); #72556=VERTEX_POINT('',#244582); #72557=VERTEX_POINT('',#244586); #72558=VERTEX_POINT('',#244588); #72559=VERTEX_POINT('',#244592); #72560=VERTEX_POINT('',#244594); #72561=VERTEX_POINT('',#244598); #72562=VERTEX_POINT('',#244600); #72563=VERTEX_POINT('',#244604); #72564=VERTEX_POINT('',#244606); #72565=VERTEX_POINT('',#244610); #72566=VERTEX_POINT('',#244612); #72567=VERTEX_POINT('',#244616); #72568=VERTEX_POINT('',#244618); #72569=VERTEX_POINT('',#244622); #72570=VERTEX_POINT('',#244624); #72571=VERTEX_POINT('',#244628); #72572=VERTEX_POINT('',#244630); #72573=VERTEX_POINT('',#244634); #72574=VERTEX_POINT('',#244636); #72575=VERTEX_POINT('',#244640); #72576=VERTEX_POINT('',#244642); #72577=VERTEX_POINT('',#244646); #72578=VERTEX_POINT('',#244648); #72579=VERTEX_POINT('',#244652); #72580=VERTEX_POINT('',#244654); #72581=VERTEX_POINT('',#244658); #72582=VERTEX_POINT('',#244660); #72583=VERTEX_POINT('',#244664); #72584=VERTEX_POINT('',#244666); #72585=VERTEX_POINT('',#244670); #72586=VERTEX_POINT('',#244672); #72587=VERTEX_POINT('',#244676); #72588=VERTEX_POINT('',#244678); #72589=VERTEX_POINT('',#244682); #72590=VERTEX_POINT('',#244684); #72591=VERTEX_POINT('',#244688); #72592=VERTEX_POINT('',#244690); #72593=VERTEX_POINT('',#244694); #72594=VERTEX_POINT('',#244696); #72595=VERTEX_POINT('',#244700); #72596=VERTEX_POINT('',#244702); #72597=VERTEX_POINT('',#244706); #72598=VERTEX_POINT('',#244708); #72599=VERTEX_POINT('',#244712); #72600=VERTEX_POINT('',#244714); #72601=VERTEX_POINT('',#244718); #72602=VERTEX_POINT('',#244720); #72603=VERTEX_POINT('',#244728); #72604=VERTEX_POINT('',#244729); #72605=VERTEX_POINT('',#244731); #72606=VERTEX_POINT('',#244733); #72607=VERTEX_POINT('',#244737); #72608=VERTEX_POINT('',#244739); #72609=VERTEX_POINT('',#244743); #72610=VERTEX_POINT('',#244745); #72611=VERTEX_POINT('',#244749); #72612=VERTEX_POINT('',#244751); #72613=VERTEX_POINT('',#244755); #72614=VERTEX_POINT('',#244757); #72615=VERTEX_POINT('',#244761); #72616=VERTEX_POINT('',#244763); #72617=VERTEX_POINT('',#244767); #72618=VERTEX_POINT('',#244769); #72619=VERTEX_POINT('',#244773); #72620=VERTEX_POINT('',#244775); #72621=VERTEX_POINT('',#244779); #72622=VERTEX_POINT('',#244781); #72623=VERTEX_POINT('',#244785); #72624=VERTEX_POINT('',#244787); #72625=VERTEX_POINT('',#244795); #72626=VERTEX_POINT('',#244796); #72627=VERTEX_POINT('',#244798); #72628=VERTEX_POINT('',#244800); #72629=VERTEX_POINT('',#244804); #72630=VERTEX_POINT('',#244806); #72631=VERTEX_POINT('',#244810); #72632=VERTEX_POINT('',#244812); #72633=VERTEX_POINT('',#244816); #72634=VERTEX_POINT('',#244818); #72635=VERTEX_POINT('',#244822); #72636=VERTEX_POINT('',#244824); #72637=VERTEX_POINT('',#244828); #72638=VERTEX_POINT('',#244830); #72639=VERTEX_POINT('',#244834); #72640=VERTEX_POINT('',#244836); #72641=VERTEX_POINT('',#244840); #72642=VERTEX_POINT('',#244842); #72643=VERTEX_POINT('',#244846); #72644=VERTEX_POINT('',#244848); #72645=VERTEX_POINT('',#244855); #72646=VERTEX_POINT('',#244856); #72647=VERTEX_POINT('',#244858); #72648=VERTEX_POINT('',#244860); #72649=VERTEX_POINT('',#244864); #72650=VERTEX_POINT('',#244866); #72651=VERTEX_POINT('',#244870); #72652=VERTEX_POINT('',#244872); #72653=VERTEX_POINT('',#244876); #72654=VERTEX_POINT('',#244878); #72655=VERTEX_POINT('',#244882); #72656=VERTEX_POINT('',#244884); #72657=VERTEX_POINT('',#244888); #72658=VERTEX_POINT('',#244890); #72659=VERTEX_POINT('',#244894); #72660=VERTEX_POINT('',#244896); #72661=VERTEX_POINT('',#244900); #72662=VERTEX_POINT('',#244902); #72663=VERTEX_POINT('',#244906); #72664=VERTEX_POINT('',#244908); #72665=VERTEX_POINT('',#244912); #72666=VERTEX_POINT('',#244914); #72667=VERTEX_POINT('',#244918); #72668=VERTEX_POINT('',#244920); #72669=VERTEX_POINT('',#244924); #72670=VERTEX_POINT('',#244926); #72671=VERTEX_POINT('',#244930); #72672=VERTEX_POINT('',#244932); #72673=VERTEX_POINT('',#244936); #72674=VERTEX_POINT('',#244938); #72675=VERTEX_POINT('',#244942); #72676=VERTEX_POINT('',#244944); #72677=VERTEX_POINT('',#244948); #72678=VERTEX_POINT('',#244950); #72679=VERTEX_POINT('',#244954); #72680=VERTEX_POINT('',#244956); #72681=VERTEX_POINT('',#244960); #72682=VERTEX_POINT('',#244962); #72683=VERTEX_POINT('',#244966); #72684=VERTEX_POINT('',#244968); #72685=VERTEX_POINT('',#244972); #72686=VERTEX_POINT('',#244974); #72687=VERTEX_POINT('',#244978); #72688=VERTEX_POINT('',#244980); #72689=VERTEX_POINT('',#244984); #72690=VERTEX_POINT('',#244986); #72691=VERTEX_POINT('',#244990); #72692=VERTEX_POINT('',#244992); #72693=VERTEX_POINT('',#244996); #72694=VERTEX_POINT('',#244998); #72695=VERTEX_POINT('',#245002); #72696=VERTEX_POINT('',#245004); #72697=VERTEX_POINT('',#245008); #72698=VERTEX_POINT('',#245010); #72699=VERTEX_POINT('',#245014); #72700=VERTEX_POINT('',#245016); #72701=VERTEX_POINT('',#245020); #72702=VERTEX_POINT('',#245022); #72703=VERTEX_POINT('',#245026); #72704=VERTEX_POINT('',#245028); #72705=VERTEX_POINT('',#245032); #72706=VERTEX_POINT('',#245034); #72707=VERTEX_POINT('',#245038); #72708=VERTEX_POINT('',#245040); #72709=VERTEX_POINT('',#245044); #72710=VERTEX_POINT('',#245046); #72711=VERTEX_POINT('',#245050); #72712=VERTEX_POINT('',#245052); #72713=VERTEX_POINT('',#245056); #72714=VERTEX_POINT('',#245058); #72715=VERTEX_POINT('',#245062); #72716=VERTEX_POINT('',#245064); #72717=VERTEX_POINT('',#245068); #72718=VERTEX_POINT('',#245070); #72719=VERTEX_POINT('',#245074); #72720=VERTEX_POINT('',#245076); #72721=VERTEX_POINT('',#245084); #72722=VERTEX_POINT('',#245085); #72723=VERTEX_POINT('',#245087); #72724=VERTEX_POINT('',#245089); #72725=VERTEX_POINT('',#245093); #72726=VERTEX_POINT('',#245095); #72727=VERTEX_POINT('',#245099); #72728=VERTEX_POINT('',#245101); #72729=VERTEX_POINT('',#245105); #72730=VERTEX_POINT('',#245107); #72731=VERTEX_POINT('',#245111); #72732=VERTEX_POINT('',#245113); #72733=VERTEX_POINT('',#245117); #72734=VERTEX_POINT('',#245119); #72735=VERTEX_POINT('',#245123); #72736=VERTEX_POINT('',#245125); #72737=VERTEX_POINT('',#245129); #72738=VERTEX_POINT('',#245131); #72739=VERTEX_POINT('',#245135); #72740=VERTEX_POINT('',#245137); #72741=VERTEX_POINT('',#245141); #72742=VERTEX_POINT('',#245143); #72743=VERTEX_POINT('',#245147); #72744=VERTEX_POINT('',#245149); #72745=VERTEX_POINT('',#245153); #72746=VERTEX_POINT('',#245155); #72747=VERTEX_POINT('',#245159); #72748=VERTEX_POINT('',#245161); #72749=VERTEX_POINT('',#245165); #72750=VERTEX_POINT('',#245167); #72751=VERTEX_POINT('',#245171); #72752=VERTEX_POINT('',#245173); #72753=VERTEX_POINT('',#245177); #72754=VERTEX_POINT('',#245179); #72755=VERTEX_POINT('',#245183); #72756=VERTEX_POINT('',#245185); #72757=VERTEX_POINT('',#245189); #72758=VERTEX_POINT('',#245191); #72759=VERTEX_POINT('',#245195); #72760=VERTEX_POINT('',#245197); #72761=VERTEX_POINT('',#245201); #72762=VERTEX_POINT('',#245203); #72763=VERTEX_POINT('',#245207); #72764=VERTEX_POINT('',#245209); #72765=VERTEX_POINT('',#245213); #72766=VERTEX_POINT('',#245215); #72767=VERTEX_POINT('',#245219); #72768=VERTEX_POINT('',#245221); #72769=VERTEX_POINT('',#245225); #72770=VERTEX_POINT('',#245227); #72771=VERTEX_POINT('',#245231); #72772=VERTEX_POINT('',#245233); #72773=VERTEX_POINT('',#245237); #72774=VERTEX_POINT('',#245239); #72775=VERTEX_POINT('',#245243); #72776=VERTEX_POINT('',#245245); #72777=VERTEX_POINT('',#245249); #72778=VERTEX_POINT('',#245251); #72779=VERTEX_POINT('',#245255); #72780=VERTEX_POINT('',#245257); #72781=VERTEX_POINT('',#245261); #72782=VERTEX_POINT('',#245263); #72783=VERTEX_POINT('',#245267); #72784=VERTEX_POINT('',#245269); #72785=VERTEX_POINT('',#245273); #72786=VERTEX_POINT('',#245275); #72787=VERTEX_POINT('',#245279); #72788=VERTEX_POINT('',#245281); #72789=VERTEX_POINT('',#245285); #72790=VERTEX_POINT('',#245287); #72791=VERTEX_POINT('',#245291); #72792=VERTEX_POINT('',#245293); #72793=VERTEX_POINT('',#245297); #72794=VERTEX_POINT('',#245299); #72795=VERTEX_POINT('',#245303); #72796=VERTEX_POINT('',#245305); #72797=VERTEX_POINT('',#245309); #72798=VERTEX_POINT('',#245311); #72799=VERTEX_POINT('',#245315); #72800=VERTEX_POINT('',#245317); #72801=VERTEX_POINT('',#245321); #72802=VERTEX_POINT('',#245323); #72803=VERTEX_POINT('',#245331); #72804=VERTEX_POINT('',#245332); #72805=VERTEX_POINT('',#245334); #72806=VERTEX_POINT('',#245336); #72807=VERTEX_POINT('',#245340); #72808=VERTEX_POINT('',#245342); #72809=VERTEX_POINT('',#245346); #72810=VERTEX_POINT('',#245348); #72811=VERTEX_POINT('',#245356); #72812=VERTEX_POINT('',#245357); #72813=VERTEX_POINT('',#245359); #72814=VERTEX_POINT('',#245361); #72815=VERTEX_POINT('',#245365); #72816=VERTEX_POINT('',#245367); #72817=VERTEX_POINT('',#245371); #72818=VERTEX_POINT('',#245373); #72819=VERTEX_POINT('',#245380); #72820=VERTEX_POINT('',#245381); #72821=VERTEX_POINT('',#245383); #72822=VERTEX_POINT('',#245385); #72823=VERTEX_POINT('',#245389); #72824=VERTEX_POINT('',#245391); #72825=VERTEX_POINT('',#245395); #72826=VERTEX_POINT('',#245397); #72827=VERTEX_POINT('',#245405); #72828=VERTEX_POINT('',#245406); #72829=VERTEX_POINT('',#245408); #72830=VERTEX_POINT('',#245410); #72831=VERTEX_POINT('',#245414); #72832=VERTEX_POINT('',#245416); #72833=VERTEX_POINT('',#245420); #72834=VERTEX_POINT('',#245422); #72835=VERTEX_POINT('',#245426); #72836=VERTEX_POINT('',#245428); #72837=VERTEX_POINT('',#245432); #72838=VERTEX_POINT('',#245434); #72839=VERTEX_POINT('',#245438); #72840=VERTEX_POINT('',#245440); #72841=VERTEX_POINT('',#245444); #72842=VERTEX_POINT('',#245446); #72843=VERTEX_POINT('',#245453); #72844=VERTEX_POINT('',#245454); #72845=VERTEX_POINT('',#245456); #72846=VERTEX_POINT('',#245458); #72847=VERTEX_POINT('',#245462); #72848=VERTEX_POINT('',#245464); #72849=VERTEX_POINT('',#245468); #72850=VERTEX_POINT('',#245470); #72851=VERTEX_POINT('',#245474); #72852=VERTEX_POINT('',#245476); #72853=VERTEX_POINT('',#245480); #72854=VERTEX_POINT('',#245482); #72855=VERTEX_POINT('',#245486); #72856=VERTEX_POINT('',#245488); #72857=VERTEX_POINT('',#245492); #72858=VERTEX_POINT('',#245494); #72859=VERTEX_POINT('',#245498); #72860=VERTEX_POINT('',#245500); #72861=VERTEX_POINT('',#245504); #72862=VERTEX_POINT('',#245506); #72863=VERTEX_POINT('',#245510); #72864=VERTEX_POINT('',#245512); #72865=VERTEX_POINT('',#245516); #72866=VERTEX_POINT('',#245518); #72867=VERTEX_POINT('',#245522); #72868=VERTEX_POINT('',#245524); #72869=VERTEX_POINT('',#245528); #72870=VERTEX_POINT('',#245530); #72871=VERTEX_POINT('',#245534); #72872=VERTEX_POINT('',#245536); #72873=VERTEX_POINT('',#245540); #72874=VERTEX_POINT('',#245542); #72875=VERTEX_POINT('',#245546); #72876=VERTEX_POINT('',#245548); #72877=VERTEX_POINT('',#245552); #72878=VERTEX_POINT('',#245554); #72879=VERTEX_POINT('',#245558); #72880=VERTEX_POINT('',#245560); #72881=VERTEX_POINT('',#245564); #72882=VERTEX_POINT('',#245566); #72883=VERTEX_POINT('',#245570); #72884=VERTEX_POINT('',#245572); #72885=VERTEX_POINT('',#245576); #72886=VERTEX_POINT('',#245578); #72887=VERTEX_POINT('',#245582); #72888=VERTEX_POINT('',#245584); #72889=VERTEX_POINT('',#245588); #72890=VERTEX_POINT('',#245590); #72891=VERTEX_POINT('',#245594); #72892=VERTEX_POINT('',#245596); #72893=VERTEX_POINT('',#245600); #72894=VERTEX_POINT('',#245602); #72895=VERTEX_POINT('',#245606); #72896=VERTEX_POINT('',#245608); #72897=VERTEX_POINT('',#245612); #72898=VERTEX_POINT('',#245614); #72899=VERTEX_POINT('',#245618); #72900=VERTEX_POINT('',#245620); #72901=VERTEX_POINT('',#245624); #72902=VERTEX_POINT('',#245626); #72903=VERTEX_POINT('',#245630); #72904=VERTEX_POINT('',#245632); #72905=VERTEX_POINT('',#245636); #72906=VERTEX_POINT('',#245638); #72907=VERTEX_POINT('',#245642); #72908=VERTEX_POINT('',#245644); #72909=VERTEX_POINT('',#245648); #72910=VERTEX_POINT('',#245650); #72911=VERTEX_POINT('',#245654); #72912=VERTEX_POINT('',#245656); #72913=VERTEX_POINT('',#245664); #72914=VERTEX_POINT('',#245665); #72915=VERTEX_POINT('',#245667); #72916=VERTEX_POINT('',#245669); #72917=VERTEX_POINT('',#245673); #72918=VERTEX_POINT('',#245675); #72919=VERTEX_POINT('',#245679); #72920=VERTEX_POINT('',#245681); #72921=VERTEX_POINT('',#245685); #72922=VERTEX_POINT('',#245687); #72923=VERTEX_POINT('',#245691); #72924=VERTEX_POINT('',#245693); #72925=VERTEX_POINT('',#245697); #72926=VERTEX_POINT('',#245699); #72927=VERTEX_POINT('',#245703); #72928=VERTEX_POINT('',#245705); #72929=VERTEX_POINT('',#245709); #72930=VERTEX_POINT('',#245711); #72931=VERTEX_POINT('',#245715); #72932=VERTEX_POINT('',#245717); #72933=VERTEX_POINT('',#245721); #72934=VERTEX_POINT('',#245723); #72935=VERTEX_POINT('',#245727); #72936=VERTEX_POINT('',#245729); #72937=VERTEX_POINT('',#245733); #72938=VERTEX_POINT('',#245735); #72939=VERTEX_POINT('',#245739); #72940=VERTEX_POINT('',#245741); #72941=VERTEX_POINT('',#245745); #72942=VERTEX_POINT('',#245747); #72943=VERTEX_POINT('',#245751); #72944=VERTEX_POINT('',#245753); #72945=VERTEX_POINT('',#245757); #72946=VERTEX_POINT('',#245759); #72947=VERTEX_POINT('',#245763); #72948=VERTEX_POINT('',#245765); #72949=VERTEX_POINT('',#245769); #72950=VERTEX_POINT('',#245771); #72951=VERTEX_POINT('',#245775); #72952=VERTEX_POINT('',#245777); #72953=VERTEX_POINT('',#245781); #72954=VERTEX_POINT('',#245783); #72955=VERTEX_POINT('',#245787); #72956=VERTEX_POINT('',#245789); #72957=VERTEX_POINT('',#245793); #72958=VERTEX_POINT('',#245795); #72959=VERTEX_POINT('',#245799); #72960=VERTEX_POINT('',#245801); #72961=VERTEX_POINT('',#245805); #72962=VERTEX_POINT('',#245807); #72963=VERTEX_POINT('',#245811); #72964=VERTEX_POINT('',#245813); #72965=VERTEX_POINT('',#245817); #72966=VERTEX_POINT('',#245819); #72967=VERTEX_POINT('',#245823); #72968=VERTEX_POINT('',#245825); #72969=VERTEX_POINT('',#245829); #72970=VERTEX_POINT('',#245831); #72971=VERTEX_POINT('',#245835); #72972=VERTEX_POINT('',#245837); #72973=VERTEX_POINT('',#245841); #72974=VERTEX_POINT('',#245843); #72975=VERTEX_POINT('',#245847); #72976=VERTEX_POINT('',#245849); #72977=VERTEX_POINT('',#245853); #72978=VERTEX_POINT('',#245855); #72979=VERTEX_POINT('',#245859); #72980=VERTEX_POINT('',#245861); #72981=VERTEX_POINT('',#245865); #72982=VERTEX_POINT('',#245867); #72983=VERTEX_POINT('',#245871); #72984=VERTEX_POINT('',#245873); #72985=VERTEX_POINT('',#245877); #72986=VERTEX_POINT('',#245879); #72987=VERTEX_POINT('',#245883); #72988=VERTEX_POINT('',#245885); #72989=VERTEX_POINT('',#245889); #72990=VERTEX_POINT('',#245891); #72991=VERTEX_POINT('',#245895); #72992=VERTEX_POINT('',#245897); #72993=VERTEX_POINT('',#245901); #72994=VERTEX_POINT('',#245903); #72995=VERTEX_POINT('',#245907); #72996=VERTEX_POINT('',#245909); #72997=VERTEX_POINT('',#245913); #72998=VERTEX_POINT('',#245915); #72999=VERTEX_POINT('',#245919); #73000=VERTEX_POINT('',#245921); #73001=VERTEX_POINT('',#245925); #73002=VERTEX_POINT('',#245927); #73003=VERTEX_POINT('',#245931); #73004=VERTEX_POINT('',#245933); #73005=VERTEX_POINT('',#245941); #73006=VERTEX_POINT('',#245942); #73007=VERTEX_POINT('',#245944); #73008=VERTEX_POINT('',#245946); #73009=VERTEX_POINT('',#245950); #73010=VERTEX_POINT('',#245952); #73011=VERTEX_POINT('',#245956); #73012=VERTEX_POINT('',#245958); #73013=VERTEX_POINT('',#245962); #73014=VERTEX_POINT('',#245964); #73015=VERTEX_POINT('',#245968); #73016=VERTEX_POINT('',#245970); #73017=VERTEX_POINT('',#245974); #73018=VERTEX_POINT('',#245976); #73019=VERTEX_POINT('',#245980); #73020=VERTEX_POINT('',#245982); #73021=VERTEX_POINT('',#245986); #73022=VERTEX_POINT('',#245988); #73023=VERTEX_POINT('',#245992); #73024=VERTEX_POINT('',#245994); #73025=VERTEX_POINT('',#245998); #73026=VERTEX_POINT('',#246000); #73027=VERTEX_POINT('',#246004); #73028=VERTEX_POINT('',#246006); #73029=VERTEX_POINT('',#246010); #73030=VERTEX_POINT('',#246012); #73031=VERTEX_POINT('',#246016); #73032=VERTEX_POINT('',#246018); #73033=VERTEX_POINT('',#246022); #73034=VERTEX_POINT('',#246024); #73035=VERTEX_POINT('',#246028); #73036=VERTEX_POINT('',#246030); #73037=VERTEX_POINT('',#246034); #73038=VERTEX_POINT('',#246036); #73039=VERTEX_POINT('',#246040); #73040=VERTEX_POINT('',#246042); #73041=VERTEX_POINT('',#246046); #73042=VERTEX_POINT('',#246048); #73043=VERTEX_POINT('',#246052); #73044=VERTEX_POINT('',#246054); #73045=VERTEX_POINT('',#246058); #73046=VERTEX_POINT('',#246060); #73047=VERTEX_POINT('',#246064); #73048=VERTEX_POINT('',#246066); #73049=VERTEX_POINT('',#246070); #73050=VERTEX_POINT('',#246072); #73051=VERTEX_POINT('',#246076); #73052=VERTEX_POINT('',#246078); #73053=VERTEX_POINT('',#246082); #73054=VERTEX_POINT('',#246084); #73055=VERTEX_POINT('',#246088); #73056=VERTEX_POINT('',#246090); #73057=VERTEX_POINT('',#246094); #73058=VERTEX_POINT('',#246096); #73059=VERTEX_POINT('',#246100); #73060=VERTEX_POINT('',#246102); #73061=VERTEX_POINT('',#246106); #73062=VERTEX_POINT('',#246108); #73063=VERTEX_POINT('',#246112); #73064=VERTEX_POINT('',#246114); #73065=VERTEX_POINT('',#246118); #73066=VERTEX_POINT('',#246120); #73067=VERTEX_POINT('',#246124); #73068=VERTEX_POINT('',#246126); #73069=VERTEX_POINT('',#246130); #73070=VERTEX_POINT('',#246132); #73071=VERTEX_POINT('',#246136); #73072=VERTEX_POINT('',#246138); #73073=VERTEX_POINT('',#246142); #73074=VERTEX_POINT('',#246144); #73075=VERTEX_POINT('',#246148); #73076=VERTEX_POINT('',#246150); #73077=VERTEX_POINT('',#246154); #73078=VERTEX_POINT('',#246156); #73079=VERTEX_POINT('',#246164); #73080=VERTEX_POINT('',#246165); #73081=VERTEX_POINT('',#246167); #73082=VERTEX_POINT('',#246169); #73083=VERTEX_POINT('',#246173); #73084=VERTEX_POINT('',#246175); #73085=VERTEX_POINT('',#246179); #73086=VERTEX_POINT('',#246181); #73087=VERTEX_POINT('',#246185); #73088=VERTEX_POINT('',#246187); #73089=VERTEX_POINT('',#246191); #73090=VERTEX_POINT('',#246193); #73091=VERTEX_POINT('',#246197); #73092=VERTEX_POINT('',#246199); #73093=VERTEX_POINT('',#246203); #73094=VERTEX_POINT('',#246205); #73095=VERTEX_POINT('',#246209); #73096=VERTEX_POINT('',#246211); #73097=VERTEX_POINT('',#246215); #73098=VERTEX_POINT('',#246217); #73099=VERTEX_POINT('',#246221); #73100=VERTEX_POINT('',#246223); #73101=VERTEX_POINT('',#246231); #73102=VERTEX_POINT('',#246232); #73103=VERTEX_POINT('',#246234); #73104=VERTEX_POINT('',#246236); #73105=VERTEX_POINT('',#246240); #73106=VERTEX_POINT('',#246242); #73107=VERTEX_POINT('',#246246); #73108=VERTEX_POINT('',#246248); #73109=VERTEX_POINT('',#246252); #73110=VERTEX_POINT('',#246254); #73111=VERTEX_POINT('',#246258); #73112=VERTEX_POINT('',#246260); #73113=VERTEX_POINT('',#246264); #73114=VERTEX_POINT('',#246266); #73115=VERTEX_POINT('',#246270); #73116=VERTEX_POINT('',#246272); #73117=VERTEX_POINT('',#246276); #73118=VERTEX_POINT('',#246278); #73119=VERTEX_POINT('',#246282); #73120=VERTEX_POINT('',#246284); #73121=VERTEX_POINT('',#246288); #73122=VERTEX_POINT('',#246290); #73123=VERTEX_POINT('',#246294); #73124=VERTEX_POINT('',#246296); #73125=VERTEX_POINT('',#246300); #73126=VERTEX_POINT('',#246302); #73127=VERTEX_POINT('',#246306); #73128=VERTEX_POINT('',#246308); #73129=VERTEX_POINT('',#246312); #73130=VERTEX_POINT('',#246314); #73131=VERTEX_POINT('',#246318); #73132=VERTEX_POINT('',#246320); #73133=VERTEX_POINT('',#246324); #73134=VERTEX_POINT('',#246326); #73135=VERTEX_POINT('',#246330); #73136=VERTEX_POINT('',#246332); #73137=VERTEX_POINT('',#246336); #73138=VERTEX_POINT('',#246338); #73139=VERTEX_POINT('',#246342); #73140=VERTEX_POINT('',#246344); #73141=VERTEX_POINT('',#246348); #73142=VERTEX_POINT('',#246350); #73143=VERTEX_POINT('',#246354); #73144=VERTEX_POINT('',#246356); #73145=VERTEX_POINT('',#246360); #73146=VERTEX_POINT('',#246362); #73147=VERTEX_POINT('',#246366); #73148=VERTEX_POINT('',#246368); #73149=VERTEX_POINT('',#246372); #73150=VERTEX_POINT('',#246374); #73151=VERTEX_POINT('',#246378); #73152=VERTEX_POINT('',#246380); #73153=VERTEX_POINT('',#246384); #73154=VERTEX_POINT('',#246386); #73155=VERTEX_POINT('',#246390); #73156=VERTEX_POINT('',#246392); #73157=VERTEX_POINT('',#246396); #73158=VERTEX_POINT('',#246398); #73159=VERTEX_POINT('',#246402); #73160=VERTEX_POINT('',#246404); #73161=VERTEX_POINT('',#246408); #73162=VERTEX_POINT('',#246410); #73163=VERTEX_POINT('',#246414); #73164=VERTEX_POINT('',#246416); #73165=VERTEX_POINT('',#246420); #73166=VERTEX_POINT('',#246422); #73167=VERTEX_POINT('',#246426); #73168=VERTEX_POINT('',#246428); #73169=VERTEX_POINT('',#246432); #73170=VERTEX_POINT('',#246434); #73171=VERTEX_POINT('',#246438); #73172=VERTEX_POINT('',#246440); #73173=VERTEX_POINT('',#246444); #73174=VERTEX_POINT('',#246446); #73175=VERTEX_POINT('',#246450); #73176=VERTEX_POINT('',#246452); #73177=VERTEX_POINT('',#246456); #73178=VERTEX_POINT('',#246458); #73179=VERTEX_POINT('',#246462); #73180=VERTEX_POINT('',#246464); #73181=VERTEX_POINT('',#246468); #73182=VERTEX_POINT('',#246470); #73183=VERTEX_POINT('',#246474); #73184=VERTEX_POINT('',#246476); #73185=VERTEX_POINT('',#246480); #73186=VERTEX_POINT('',#246482); #73187=VERTEX_POINT('',#246486); #73188=VERTEX_POINT('',#246488); #73189=VERTEX_POINT('',#246492); #73190=VERTEX_POINT('',#246494); #73191=VERTEX_POINT('',#246498); #73192=VERTEX_POINT('',#246500); #73193=VERTEX_POINT('',#246504); #73194=VERTEX_POINT('',#246506); #73195=VERTEX_POINT('',#246510); #73196=VERTEX_POINT('',#246512); #73197=VERTEX_POINT('',#246516); #73198=VERTEX_POINT('',#246518); #73199=VERTEX_POINT('',#246522); #73200=VERTEX_POINT('',#246524); #73201=VERTEX_POINT('',#246528); #73202=VERTEX_POINT('',#246530); #73203=VERTEX_POINT('',#246538); #73204=VERTEX_POINT('',#246539); #73205=VERTEX_POINT('',#246541); #73206=VERTEX_POINT('',#246543); #73207=VERTEX_POINT('',#246547); #73208=VERTEX_POINT('',#246549); #73209=VERTEX_POINT('',#246553); #73210=VERTEX_POINT('',#246555); #73211=VERTEX_POINT('',#246559); #73212=VERTEX_POINT('',#246561); #73213=VERTEX_POINT('',#246565); #73214=VERTEX_POINT('',#246567); #73215=VERTEX_POINT('',#246571); #73216=VERTEX_POINT('',#246573); #73217=VERTEX_POINT('',#246577); #73218=VERTEX_POINT('',#246579); #73219=VERTEX_POINT('',#246583); #73220=VERTEX_POINT('',#246585); #73221=VERTEX_POINT('',#246589); #73222=VERTEX_POINT('',#246591); #73223=VERTEX_POINT('',#246595); #73224=VERTEX_POINT('',#246597); #73225=VERTEX_POINT('',#246601); #73226=VERTEX_POINT('',#246603); #73227=VERTEX_POINT('',#246607); #73228=VERTEX_POINT('',#246609); #73229=VERTEX_POINT('',#246613); #73230=VERTEX_POINT('',#246615); #73231=VERTEX_POINT('',#246622); #73232=VERTEX_POINT('',#246623); #73233=VERTEX_POINT('',#246625); #73234=VERTEX_POINT('',#246627); #73235=VERTEX_POINT('',#246631); #73236=VERTEX_POINT('',#246633); #73237=VERTEX_POINT('',#246637); #73238=VERTEX_POINT('',#246639); #73239=VERTEX_POINT('',#246643); #73240=VERTEX_POINT('',#246645); #73241=VERTEX_POINT('',#246649); #73242=VERTEX_POINT('',#246651); #73243=VERTEX_POINT('',#246655); #73244=VERTEX_POINT('',#246657); #73245=VERTEX_POINT('',#246661); #73246=VERTEX_POINT('',#246663); #73247=VERTEX_POINT('',#246667); #73248=VERTEX_POINT('',#246669); #73249=VERTEX_POINT('',#246673); #73250=VERTEX_POINT('',#246675); #73251=VERTEX_POINT('',#246679); #73252=VERTEX_POINT('',#246681); #73253=VERTEX_POINT('',#246685); #73254=VERTEX_POINT('',#246687); #73255=VERTEX_POINT('',#246691); #73256=VERTEX_POINT('',#246693); #73257=VERTEX_POINT('',#246697); #73258=VERTEX_POINT('',#246699); #73259=VERTEX_POINT('',#246703); #73260=VERTEX_POINT('',#246705); #73261=VERTEX_POINT('',#246709); #73262=VERTEX_POINT('',#246711); #73263=VERTEX_POINT('',#246719); #73264=VERTEX_POINT('',#246720); #73265=VERTEX_POINT('',#246722); #73266=VERTEX_POINT('',#246724); #73267=VERTEX_POINT('',#246728); #73268=VERTEX_POINT('',#246730); #73269=VERTEX_POINT('',#246734); #73270=VERTEX_POINT('',#246736); #73271=VERTEX_POINT('',#246740); #73272=VERTEX_POINT('',#246742); #73273=VERTEX_POINT('',#246746); #73274=VERTEX_POINT('',#246748); #73275=VERTEX_POINT('',#246752); #73276=VERTEX_POINT('',#246754); #73277=VERTEX_POINT('',#246758); #73278=VERTEX_POINT('',#246760); #73279=VERTEX_POINT('',#246764); #73280=VERTEX_POINT('',#246766); #73281=VERTEX_POINT('',#246770); #73282=VERTEX_POINT('',#246772); #73283=VERTEX_POINT('',#246776); #73284=VERTEX_POINT('',#246778); #73285=VERTEX_POINT('',#246782); #73286=VERTEX_POINT('',#246784); #73287=VERTEX_POINT('',#246788); #73288=VERTEX_POINT('',#246790); #73289=VERTEX_POINT('',#246794); #73290=VERTEX_POINT('',#246796); #73291=VERTEX_POINT('',#246803); #73292=VERTEX_POINT('',#246804); #73293=VERTEX_POINT('',#246806); #73294=VERTEX_POINT('',#246808); #73295=VERTEX_POINT('',#246812); #73296=VERTEX_POINT('',#246814); #73297=VERTEX_POINT('',#246818); #73298=VERTEX_POINT('',#246820); #73299=VERTEX_POINT('',#246824); #73300=VERTEX_POINT('',#246826); #73301=VERTEX_POINT('',#246830); #73302=VERTEX_POINT('',#246832); #73303=VERTEX_POINT('',#246836); #73304=VERTEX_POINT('',#246838); #73305=VERTEX_POINT('',#246842); #73306=VERTEX_POINT('',#246844); #73307=VERTEX_POINT('',#246848); #73308=VERTEX_POINT('',#246850); #73309=VERTEX_POINT('',#246854); #73310=VERTEX_POINT('',#246856); #73311=VERTEX_POINT('',#246860); #73312=VERTEX_POINT('',#246862); #73313=VERTEX_POINT('',#246866); #73314=VERTEX_POINT('',#246868); #73315=VERTEX_POINT('',#246872); #73316=VERTEX_POINT('',#246874); #73317=VERTEX_POINT('',#246878); #73318=VERTEX_POINT('',#246880); #73319=VERTEX_POINT('',#246884); #73320=VERTEX_POINT('',#246886); #73321=VERTEX_POINT('',#246890); #73322=VERTEX_POINT('',#246892); #73323=VERTEX_POINT('',#246900); #73324=VERTEX_POINT('',#246901); #73325=VERTEX_POINT('',#246903); #73326=VERTEX_POINT('',#246905); #73327=VERTEX_POINT('',#246909); #73328=VERTEX_POINT('',#246911); #73329=VERTEX_POINT('',#246915); #73330=VERTEX_POINT('',#246917); #73331=VERTEX_POINT('',#246921); #73332=VERTEX_POINT('',#246923); #73333=VERTEX_POINT('',#246927); #73334=VERTEX_POINT('',#246929); #73335=VERTEX_POINT('',#246933); #73336=VERTEX_POINT('',#246935); #73337=VERTEX_POINT('',#246939); #73338=VERTEX_POINT('',#246941); #73339=VERTEX_POINT('',#246945); #73340=VERTEX_POINT('',#246947); #73341=VERTEX_POINT('',#246951); #73342=VERTEX_POINT('',#246953); #73343=VERTEX_POINT('',#246957); #73344=VERTEX_POINT('',#246959); #73345=VERTEX_POINT('',#246963); #73346=VERTEX_POINT('',#246965); #73347=VERTEX_POINT('',#246969); #73348=VERTEX_POINT('',#246971); #73349=VERTEX_POINT('',#246975); #73350=VERTEX_POINT('',#246977); #73351=VERTEX_POINT('',#246984); #73352=VERTEX_POINT('',#246985); #73353=VERTEX_POINT('',#246987); #73354=VERTEX_POINT('',#246989); #73355=VERTEX_POINT('',#246993); #73356=VERTEX_POINT('',#246995); #73357=VERTEX_POINT('',#246999); #73358=VERTEX_POINT('',#247001); #73359=VERTEX_POINT('',#247005); #73360=VERTEX_POINT('',#247007); #73361=VERTEX_POINT('',#247011); #73362=VERTEX_POINT('',#247013); #73363=VERTEX_POINT('',#247017); #73364=VERTEX_POINT('',#247019); #73365=VERTEX_POINT('',#247023); #73366=VERTEX_POINT('',#247025); #73367=VERTEX_POINT('',#247029); #73368=VERTEX_POINT('',#247031); #73369=VERTEX_POINT('',#247035); #73370=VERTEX_POINT('',#247037); #73371=VERTEX_POINT('',#247041); #73372=VERTEX_POINT('',#247043); #73373=VERTEX_POINT('',#247047); #73374=VERTEX_POINT('',#247049); #73375=VERTEX_POINT('',#247053); #73376=VERTEX_POINT('',#247055); #73377=VERTEX_POINT('',#247059); #73378=VERTEX_POINT('',#247061); #73379=VERTEX_POINT('',#247065); #73380=VERTEX_POINT('',#247067); #73381=VERTEX_POINT('',#247071); #73382=VERTEX_POINT('',#247073); #73383=VERTEX_POINT('',#247081); #73384=VERTEX_POINT('',#247082); #73385=VERTEX_POINT('',#247084); #73386=VERTEX_POINT('',#247086); #73387=VERTEX_POINT('',#247090); #73388=VERTEX_POINT('',#247092); #73389=VERTEX_POINT('',#247096); #73390=VERTEX_POINT('',#247098); #73391=VERTEX_POINT('',#247102); #73392=VERTEX_POINT('',#247104); #73393=VERTEX_POINT('',#247108); #73394=VERTEX_POINT('',#247110); #73395=VERTEX_POINT('',#247114); #73396=VERTEX_POINT('',#247116); #73397=VERTEX_POINT('',#247120); #73398=VERTEX_POINT('',#247122); #73399=VERTEX_POINT('',#247126); #73400=VERTEX_POINT('',#247128); #73401=VERTEX_POINT('',#247132); #73402=VERTEX_POINT('',#247134); #73403=VERTEX_POINT('',#247138); #73404=VERTEX_POINT('',#247140); #73405=VERTEX_POINT('',#247144); #73406=VERTEX_POINT('',#247146); #73407=VERTEX_POINT('',#247150); #73408=VERTEX_POINT('',#247152); #73409=VERTEX_POINT('',#247156); #73410=VERTEX_POINT('',#247158); #73411=VERTEX_POINT('',#247165); #73412=VERTEX_POINT('',#247166); #73413=VERTEX_POINT('',#247168); #73414=VERTEX_POINT('',#247170); #73415=VERTEX_POINT('',#247174); #73416=VERTEX_POINT('',#247176); #73417=VERTEX_POINT('',#247180); #73418=VERTEX_POINT('',#247182); #73419=VERTEX_POINT('',#247186); #73420=VERTEX_POINT('',#247188); #73421=VERTEX_POINT('',#247192); #73422=VERTEX_POINT('',#247194); #73423=VERTEX_POINT('',#247198); #73424=VERTEX_POINT('',#247200); #73425=VERTEX_POINT('',#247204); #73426=VERTEX_POINT('',#247206); #73427=VERTEX_POINT('',#247210); #73428=VERTEX_POINT('',#247212); #73429=VERTEX_POINT('',#247216); #73430=VERTEX_POINT('',#247218); #73431=VERTEX_POINT('',#247222); #73432=VERTEX_POINT('',#247224); #73433=VERTEX_POINT('',#247228); #73434=VERTEX_POINT('',#247230); #73435=VERTEX_POINT('',#247234); #73436=VERTEX_POINT('',#247236); #73437=VERTEX_POINT('',#247240); #73438=VERTEX_POINT('',#247242); #73439=VERTEX_POINT('',#247246); #73440=VERTEX_POINT('',#247248); #73441=VERTEX_POINT('',#247252); #73442=VERTEX_POINT('',#247254); #73443=VERTEX_POINT('',#247262); #73444=VERTEX_POINT('',#247263); #73445=VERTEX_POINT('',#247265); #73446=VERTEX_POINT('',#247267); #73447=VERTEX_POINT('',#247271); #73448=VERTEX_POINT('',#247273); #73449=VERTEX_POINT('',#247277); #73450=VERTEX_POINT('',#247279); #73451=VERTEX_POINT('',#247283); #73452=VERTEX_POINT('',#247285); #73453=VERTEX_POINT('',#247289); #73454=VERTEX_POINT('',#247291); #73455=VERTEX_POINT('',#247295); #73456=VERTEX_POINT('',#247297); #73457=VERTEX_POINT('',#247301); #73458=VERTEX_POINT('',#247303); #73459=VERTEX_POINT('',#247307); #73460=VERTEX_POINT('',#247309); #73461=VERTEX_POINT('',#247313); #73462=VERTEX_POINT('',#247315); #73463=VERTEX_POINT('',#247319); #73464=VERTEX_POINT('',#247321); #73465=VERTEX_POINT('',#247325); #73466=VERTEX_POINT('',#247327); #73467=VERTEX_POINT('',#247331); #73468=VERTEX_POINT('',#247333); #73469=VERTEX_POINT('',#247337); #73470=VERTEX_POINT('',#247339); #73471=VERTEX_POINT('',#247343); #73472=VERTEX_POINT('',#247345); #73473=VERTEX_POINT('',#247349); #73474=VERTEX_POINT('',#247351); #73475=VERTEX_POINT('',#247355); #73476=VERTEX_POINT('',#247357); #73477=VERTEX_POINT('',#247361); #73478=VERTEX_POINT('',#247363); #73479=VERTEX_POINT('',#247367); #73480=VERTEX_POINT('',#247369); #73481=VERTEX_POINT('',#247373); #73482=VERTEX_POINT('',#247375); #73483=VERTEX_POINT('',#247379); #73484=VERTEX_POINT('',#247381); #73485=VERTEX_POINT('',#247385); #73486=VERTEX_POINT('',#247387); #73487=VERTEX_POINT('',#247391); #73488=VERTEX_POINT('',#247393); #73489=VERTEX_POINT('',#247397); #73490=VERTEX_POINT('',#247399); #73491=VERTEX_POINT('',#247403); #73492=VERTEX_POINT('',#247405); #73493=VERTEX_POINT('',#247409); #73494=VERTEX_POINT('',#247411); #73495=VERTEX_POINT('',#247415); #73496=VERTEX_POINT('',#247417); #73497=VERTEX_POINT('',#247421); #73498=VERTEX_POINT('',#247423); #73499=VERTEX_POINT('',#247427); #73500=VERTEX_POINT('',#247429); #73501=VERTEX_POINT('',#247433); #73502=VERTEX_POINT('',#247435); #73503=VERTEX_POINT('',#247439); #73504=VERTEX_POINT('',#247441); #73505=VERTEX_POINT('',#247449); #73506=VERTEX_POINT('',#247450); #73507=VERTEX_POINT('',#247452); #73508=VERTEX_POINT('',#247454); #73509=VERTEX_POINT('',#247458); #73510=VERTEX_POINT('',#247460); #73511=VERTEX_POINT('',#247464); #73512=VERTEX_POINT('',#247466); #73513=VERTEX_POINT('',#247470); #73514=VERTEX_POINT('',#247472); #73515=VERTEX_POINT('',#247476); #73516=VERTEX_POINT('',#247478); #73517=VERTEX_POINT('',#247482); #73518=VERTEX_POINT('',#247484); #73519=VERTEX_POINT('',#247488); #73520=VERTEX_POINT('',#247490); #73521=VERTEX_POINT('',#247494); #73522=VERTEX_POINT('',#247496); #73523=VERTEX_POINT('',#247500); #73524=VERTEX_POINT('',#247502); #73525=VERTEX_POINT('',#247506); #73526=VERTEX_POINT('',#247508); #73527=VERTEX_POINT('',#247512); #73528=VERTEX_POINT('',#247514); #73529=VERTEX_POINT('',#247518); #73530=VERTEX_POINT('',#247520); #73531=VERTEX_POINT('',#247524); #73532=VERTEX_POINT('',#247526); #73533=VERTEX_POINT('',#247530); #73534=VERTEX_POINT('',#247532); #73535=VERTEX_POINT('',#247536); #73536=VERTEX_POINT('',#247538); #73537=VERTEX_POINT('',#247542); #73538=VERTEX_POINT('',#247544); #73539=VERTEX_POINT('',#247548); #73540=VERTEX_POINT('',#247550); #73541=VERTEX_POINT('',#247554); #73542=VERTEX_POINT('',#247556); #73543=VERTEX_POINT('',#247560); #73544=VERTEX_POINT('',#247562); #73545=VERTEX_POINT('',#247566); #73546=VERTEX_POINT('',#247568); #73547=VERTEX_POINT('',#247572); #73548=VERTEX_POINT('',#247574); #73549=VERTEX_POINT('',#247578); #73550=VERTEX_POINT('',#247580); #73551=VERTEX_POINT('',#247584); #73552=VERTEX_POINT('',#247586); #73553=VERTEX_POINT('',#247590); #73554=VERTEX_POINT('',#247592); #73555=VERTEX_POINT('',#247596); #73556=VERTEX_POINT('',#247598); #73557=VERTEX_POINT('',#247602); #73558=VERTEX_POINT('',#247604); #73559=VERTEX_POINT('',#247608); #73560=VERTEX_POINT('',#247610); #73561=VERTEX_POINT('',#247614); #73562=VERTEX_POINT('',#247616); #73563=VERTEX_POINT('',#247620); #73564=VERTEX_POINT('',#247622); #73565=VERTEX_POINT('',#247626); #73566=VERTEX_POINT('',#247628); #73567=VERTEX_POINT('',#247632); #73568=VERTEX_POINT('',#247634); #73569=VERTEX_POINT('',#247638); #73570=VERTEX_POINT('',#247640); #73571=VERTEX_POINT('',#247644); #73572=VERTEX_POINT('',#247646); #73573=VERTEX_POINT('',#247650); #73574=VERTEX_POINT('',#247652); #73575=VERTEX_POINT('',#247656); #73576=VERTEX_POINT('',#247658); #73577=VERTEX_POINT('',#247662); #73578=VERTEX_POINT('',#247664); #73579=VERTEX_POINT('',#247672); #73580=VERTEX_POINT('',#247673); #73581=VERTEX_POINT('',#247675); #73582=VERTEX_POINT('',#247677); #73583=VERTEX_POINT('',#247681); #73584=VERTEX_POINT('',#247683); #73585=VERTEX_POINT('',#247687); #73586=VERTEX_POINT('',#247689); #73587=VERTEX_POINT('',#247693); #73588=VERTEX_POINT('',#247695); #73589=VERTEX_POINT('',#247699); #73590=VERTEX_POINT('',#247701); #73591=VERTEX_POINT('',#247705); #73592=VERTEX_POINT('',#247707); #73593=VERTEX_POINT('',#247711); #73594=VERTEX_POINT('',#247713); #73595=VERTEX_POINT('',#247717); #73596=VERTEX_POINT('',#247719); #73597=VERTEX_POINT('',#247723); #73598=VERTEX_POINT('',#247725); #73599=VERTEX_POINT('',#247729); #73600=VERTEX_POINT('',#247731); #73601=VERTEX_POINT('',#247735); #73602=VERTEX_POINT('',#247737); #73603=VERTEX_POINT('',#247741); #73604=VERTEX_POINT('',#247743); #73605=VERTEX_POINT('',#247747); #73606=VERTEX_POINT('',#247749); #73607=VERTEX_POINT('',#247753); #73608=VERTEX_POINT('',#247755); #73609=VERTEX_POINT('',#247759); #73610=VERTEX_POINT('',#247761); #73611=VERTEX_POINT('',#247765); #73612=VERTEX_POINT('',#247767); #73613=VERTEX_POINT('',#247771); #73614=VERTEX_POINT('',#247773); #73615=VERTEX_POINT('',#247777); #73616=VERTEX_POINT('',#247779); #73617=VERTEX_POINT('',#247783); #73618=VERTEX_POINT('',#247785); #73619=VERTEX_POINT('',#247789); #73620=VERTEX_POINT('',#247791); #73621=VERTEX_POINT('',#247795); #73622=VERTEX_POINT('',#247797); #73623=VERTEX_POINT('',#247801); #73624=VERTEX_POINT('',#247803); #73625=VERTEX_POINT('',#247807); #73626=VERTEX_POINT('',#247809); #73627=VERTEX_POINT('',#247813); #73628=VERTEX_POINT('',#247815); #73629=VERTEX_POINT('',#247819); #73630=VERTEX_POINT('',#247821); #73631=VERTEX_POINT('',#247825); #73632=VERTEX_POINT('',#247827); #73633=VERTEX_POINT('',#247831); #73634=VERTEX_POINT('',#247833); #73635=VERTEX_POINT('',#247837); #73636=VERTEX_POINT('',#247839); #73637=VERTEX_POINT('',#247847); #73638=VERTEX_POINT('',#247848); #73639=VERTEX_POINT('',#247850); #73640=VERTEX_POINT('',#247852); #73641=VERTEX_POINT('',#247856); #73642=VERTEX_POINT('',#247858); #73643=VERTEX_POINT('',#247862); #73644=VERTEX_POINT('',#247864); #73645=VERTEX_POINT('',#247868); #73646=VERTEX_POINT('',#247870); #73647=VERTEX_POINT('',#247874); #73648=VERTEX_POINT('',#247876); #73649=VERTEX_POINT('',#247880); #73650=VERTEX_POINT('',#247882); #73651=VERTEX_POINT('',#247886); #73652=VERTEX_POINT('',#247888); #73653=VERTEX_POINT('',#247892); #73654=VERTEX_POINT('',#247894); #73655=VERTEX_POINT('',#247898); #73656=VERTEX_POINT('',#247900); #73657=VERTEX_POINT('',#247908); #73658=VERTEX_POINT('',#247909); #73659=VERTEX_POINT('',#247911); #73660=VERTEX_POINT('',#247913); #73661=VERTEX_POINT('',#247917); #73662=VERTEX_POINT('',#247919); #73663=VERTEX_POINT('',#247923); #73664=VERTEX_POINT('',#247925); #73665=VERTEX_POINT('',#247929); #73666=VERTEX_POINT('',#247931); #73667=VERTEX_POINT('',#247935); #73668=VERTEX_POINT('',#247937); #73669=VERTEX_POINT('',#247941); #73670=VERTEX_POINT('',#247943); #73671=VERTEX_POINT('',#247947); #73672=VERTEX_POINT('',#247949); #73673=VERTEX_POINT('',#247953); #73674=VERTEX_POINT('',#247955); #73675=VERTEX_POINT('',#247959); #73676=VERTEX_POINT('',#247961); #73677=VERTEX_POINT('',#247965); #73678=VERTEX_POINT('',#247967); #73679=VERTEX_POINT('',#247971); #73680=VERTEX_POINT('',#247973); #73681=VERTEX_POINT('',#247977); #73682=VERTEX_POINT('',#247979); #73683=VERTEX_POINT('',#247983); #73684=VERTEX_POINT('',#247985); #73685=VERTEX_POINT('',#247989); #73686=VERTEX_POINT('',#247991); #73687=VERTEX_POINT('',#247995); #73688=VERTEX_POINT('',#247997); #73689=VERTEX_POINT('',#248001); #73690=VERTEX_POINT('',#248003); #73691=VERTEX_POINT('',#248007); #73692=VERTEX_POINT('',#248009); #73693=VERTEX_POINT('',#248013); #73694=VERTEX_POINT('',#248015); #73695=VERTEX_POINT('',#248019); #73696=VERTEX_POINT('',#248021); #73697=VERTEX_POINT('',#248029); #73698=VERTEX_POINT('',#248030); #73699=VERTEX_POINT('',#248032); #73700=VERTEX_POINT('',#248034); #73701=VERTEX_POINT('',#248038); #73702=VERTEX_POINT('',#248040); #73703=VERTEX_POINT('',#248044); #73704=VERTEX_POINT('',#248046); #73705=VERTEX_POINT('',#248050); #73706=VERTEX_POINT('',#248052); #73707=VERTEX_POINT('',#248056); #73708=VERTEX_POINT('',#248058); #73709=VERTEX_POINT('',#248062); #73710=VERTEX_POINT('',#248064); #73711=VERTEX_POINT('',#248068); #73712=VERTEX_POINT('',#248070); #73713=VERTEX_POINT('',#248079); #73714=VERTEX_POINT('',#248080); #73715=VERTEX_POINT('',#248082); #73716=VERTEX_POINT('',#248084); #73717=VERTEX_POINT('',#248088); #73718=VERTEX_POINT('',#248090); #73719=VERTEX_POINT('',#248094); #73720=VERTEX_POINT('',#248096); #73721=VERTEX_POINT('',#248100); #73722=VERTEX_POINT('',#248102); #73723=VERTEX_POINT('',#248106); #73724=VERTEX_POINT('',#248108); #73725=VERTEX_POINT('',#248115); #73726=VERTEX_POINT('',#248117); #73727=VERTEX_POINT('',#248125); #73728=VERTEX_POINT('',#248126); #73729=VERTEX_POINT('',#248128); #73730=VERTEX_POINT('',#248130); #73731=VERTEX_POINT('',#248134); #73732=VERTEX_POINT('',#248136); #73733=VERTEX_POINT('',#248140); #73734=VERTEX_POINT('',#248142); #73735=VERTEX_POINT('',#248149); #73736=VERTEX_POINT('',#248150); #73737=VERTEX_POINT('',#248152); #73738=VERTEX_POINT('',#248154); #73739=VERTEX_POINT('',#248158); #73740=VERTEX_POINT('',#248160); #73741=VERTEX_POINT('',#248164); #73742=VERTEX_POINT('',#248166); #73743=VERTEX_POINT('',#248174); #73744=VERTEX_POINT('',#248175); #73745=VERTEX_POINT('',#248177); #73746=VERTEX_POINT('',#248179); #73747=VERTEX_POINT('',#248183); #73748=VERTEX_POINT('',#248185); #73749=VERTEX_POINT('',#248189); #73750=VERTEX_POINT('',#248191); #73751=VERTEX_POINT('',#248199); #73752=VERTEX_POINT('',#248200); #73753=VERTEX_POINT('',#248202); #73754=VERTEX_POINT('',#248204); #73755=VERTEX_POINT('',#248208); #73756=VERTEX_POINT('',#248210); #73757=VERTEX_POINT('',#248214); #73758=VERTEX_POINT('',#248216); #73759=VERTEX_POINT('',#248224); #73760=VERTEX_POINT('',#248225); #73761=VERTEX_POINT('',#248227); #73762=VERTEX_POINT('',#248229); #73763=VERTEX_POINT('',#248233); #73764=VERTEX_POINT('',#248235); #73765=VERTEX_POINT('',#248239); #73766=VERTEX_POINT('',#248241); #73767=VERTEX_POINT('',#248245); #73768=VERTEX_POINT('',#248247); #73769=VERTEX_POINT('',#248251); #73770=VERTEX_POINT('',#248253); #73771=VERTEX_POINT('',#248257); #73772=VERTEX_POINT('',#248259); #73773=VERTEX_POINT('',#248263); #73774=VERTEX_POINT('',#248265); #73775=VERTEX_POINT('',#248269); #73776=VERTEX_POINT('',#248271); #73777=VERTEX_POINT('',#248275); #73778=VERTEX_POINT('',#248277); #73779=VERTEX_POINT('',#248281); #73780=VERTEX_POINT('',#248283); #73781=VERTEX_POINT('',#248287); #73782=VERTEX_POINT('',#248289); #73783=VERTEX_POINT('',#248293); #73784=VERTEX_POINT('',#248295); #73785=VERTEX_POINT('',#248299); #73786=VERTEX_POINT('',#248301); #73787=VERTEX_POINT('',#248305); #73788=VERTEX_POINT('',#248307); #73789=VERTEX_POINT('',#248311); #73790=VERTEX_POINT('',#248313); #73791=VERTEX_POINT('',#248317); #73792=VERTEX_POINT('',#248319); #73793=VERTEX_POINT('',#248323); #73794=VERTEX_POINT('',#248325); #73795=VERTEX_POINT('',#248329); #73796=VERTEX_POINT('',#248331); #73797=VERTEX_POINT('',#248335); #73798=VERTEX_POINT('',#248337); #73799=VERTEX_POINT('',#248341); #73800=VERTEX_POINT('',#248343); #73801=VERTEX_POINT('',#248347); #73802=VERTEX_POINT('',#248349); #73803=VERTEX_POINT('',#248353); #73804=VERTEX_POINT('',#248355); #73805=VERTEX_POINT('',#248359); #73806=VERTEX_POINT('',#248361); #73807=VERTEX_POINT('',#248365); #73808=VERTEX_POINT('',#248367); #73809=VERTEX_POINT('',#248371); #73810=VERTEX_POINT('',#248373); #73811=VERTEX_POINT('',#248377); #73812=VERTEX_POINT('',#248379); #73813=VERTEX_POINT('',#248383); #73814=VERTEX_POINT('',#248385); #73815=VERTEX_POINT('',#248389); #73816=VERTEX_POINT('',#248391); #73817=VERTEX_POINT('',#248399); #73818=VERTEX_POINT('',#248400); #73819=VERTEX_POINT('',#248402); #73820=VERTEX_POINT('',#248404); #73821=VERTEX_POINT('',#248408); #73822=VERTEX_POINT('',#248410); #73823=VERTEX_POINT('',#248414); #73824=VERTEX_POINT('',#248416); #73825=VERTEX_POINT('',#248420); #73826=VERTEX_POINT('',#248422); #73827=VERTEX_POINT('',#248426); #73828=VERTEX_POINT('',#248428); #73829=VERTEX_POINT('',#248432); #73830=VERTEX_POINT('',#248434); #73831=VERTEX_POINT('',#248438); #73832=VERTEX_POINT('',#248440); #73833=VERTEX_POINT('',#248444); #73834=VERTEX_POINT('',#248446); #73835=VERTEX_POINT('',#248450); #73836=VERTEX_POINT('',#248452); #73837=VERTEX_POINT('',#248456); #73838=VERTEX_POINT('',#248458); #73839=VERTEX_POINT('',#248462); #73840=VERTEX_POINT('',#248464); #73841=VERTEX_POINT('',#248468); #73842=VERTEX_POINT('',#248470); #73843=VERTEX_POINT('',#248474); #73844=VERTEX_POINT('',#248476); #73845=VERTEX_POINT('',#248480); #73846=VERTEX_POINT('',#248482); #73847=VERTEX_POINT('',#248486); #73848=VERTEX_POINT('',#248488); #73849=VERTEX_POINT('',#248492); #73850=VERTEX_POINT('',#248494); #73851=VERTEX_POINT('',#248498); #73852=VERTEX_POINT('',#248500); #73853=VERTEX_POINT('',#248504); #73854=VERTEX_POINT('',#248506); #73855=VERTEX_POINT('',#248510); #73856=VERTEX_POINT('',#248512); #73857=VERTEX_POINT('',#248516); #73858=VERTEX_POINT('',#248518); #73859=VERTEX_POINT('',#248522); #73860=VERTEX_POINT('',#248524); #73861=VERTEX_POINT('',#248528); #73862=VERTEX_POINT('',#248530); #73863=VERTEX_POINT('',#248534); #73864=VERTEX_POINT('',#248536); #73865=VERTEX_POINT('',#248540); #73866=VERTEX_POINT('',#248542); #73867=VERTEX_POINT('',#248546); #73868=VERTEX_POINT('',#248548); #73869=VERTEX_POINT('',#248552); #73870=VERTEX_POINT('',#248554); #73871=VERTEX_POINT('',#248558); #73872=VERTEX_POINT('',#248560); #73873=VERTEX_POINT('',#248564); #73874=VERTEX_POINT('',#248566); #73875=VERTEX_POINT('',#248570); #73876=VERTEX_POINT('',#248572); #73877=VERTEX_POINT('',#248576); #73878=VERTEX_POINT('',#248578); #73879=VERTEX_POINT('',#248582); #73880=VERTEX_POINT('',#248584); #73881=VERTEX_POINT('',#248588); #73882=VERTEX_POINT('',#248590); #73883=VERTEX_POINT('',#248594); #73884=VERTEX_POINT('',#248596); #73885=VERTEX_POINT('',#248604); #73886=VERTEX_POINT('',#248605); #73887=VERTEX_POINT('',#248607); #73888=VERTEX_POINT('',#248609); #73889=VERTEX_POINT('',#248613); #73890=VERTEX_POINT('',#248615); #73891=VERTEX_POINT('',#248619); #73892=VERTEX_POINT('',#248621); #73893=VERTEX_POINT('',#248625); #73894=VERTEX_POINT('',#248627); #73895=VERTEX_POINT('',#248631); #73896=VERTEX_POINT('',#248633); #73897=VERTEX_POINT('',#248637); #73898=VERTEX_POINT('',#248639); #73899=VERTEX_POINT('',#248643); #73900=VERTEX_POINT('',#248645); #73901=VERTEX_POINT('',#248649); #73902=VERTEX_POINT('',#248651); #73903=VERTEX_POINT('',#248655); #73904=VERTEX_POINT('',#248657); #73905=VERTEX_POINT('',#248661); #73906=VERTEX_POINT('',#248663); #73907=VERTEX_POINT('',#248667); #73908=VERTEX_POINT('',#248669); #73909=VERTEX_POINT('',#248673); #73910=VERTEX_POINT('',#248675); #73911=VERTEX_POINT('',#248679); #73912=VERTEX_POINT('',#248681); #73913=VERTEX_POINT('',#248685); #73914=VERTEX_POINT('',#248687); #73915=VERTEX_POINT('',#248691); #73916=VERTEX_POINT('',#248693); #73917=VERTEX_POINT('',#248697); #73918=VERTEX_POINT('',#248699); #73919=VERTEX_POINT('',#248703); #73920=VERTEX_POINT('',#248705); #73921=VERTEX_POINT('',#248709); #73922=VERTEX_POINT('',#248711); #73923=VERTEX_POINT('',#248715); #73924=VERTEX_POINT('',#248717); #73925=VERTEX_POINT('',#248721); #73926=VERTEX_POINT('',#248723); #73927=VERTEX_POINT('',#248727); #73928=VERTEX_POINT('',#248729); #73929=VERTEX_POINT('',#248733); #73930=VERTEX_POINT('',#248735); #73931=VERTEX_POINT('',#248739); #73932=VERTEX_POINT('',#248741); #73933=VERTEX_POINT('',#248745); #73934=VERTEX_POINT('',#248747); #73935=VERTEX_POINT('',#248751); #73936=VERTEX_POINT('',#248753); #73937=VERTEX_POINT('',#248757); #73938=VERTEX_POINT('',#248759); #73939=VERTEX_POINT('',#248763); #73940=VERTEX_POINT('',#248765); #73941=VERTEX_POINT('',#248769); #73942=VERTEX_POINT('',#248771); #73943=VERTEX_POINT('',#248775); #73944=VERTEX_POINT('',#248777); #73945=VERTEX_POINT('',#248781); #73946=VERTEX_POINT('',#248783); #73947=VERTEX_POINT('',#248787); #73948=VERTEX_POINT('',#248789); #73949=VERTEX_POINT('',#248793); #73950=VERTEX_POINT('',#248795); #73951=VERTEX_POINT('',#248799); #73952=VERTEX_POINT('',#248801); #73953=VERTEX_POINT('',#248805); #73954=VERTEX_POINT('',#248807); #73955=VERTEX_POINT('',#248811); #73956=VERTEX_POINT('',#248813); #73957=VERTEX_POINT('',#248817); #73958=VERTEX_POINT('',#248819); #73959=VERTEX_POINT('',#248823); #73960=VERTEX_POINT('',#248825); #73961=VERTEX_POINT('',#248829); #73962=VERTEX_POINT('',#248831); #73963=VERTEX_POINT('',#248839); #73964=VERTEX_POINT('',#248840); #73965=VERTEX_POINT('',#248842); #73966=VERTEX_POINT('',#248844); #73967=VERTEX_POINT('',#248848); #73968=VERTEX_POINT('',#248850); #73969=VERTEX_POINT('',#248854); #73970=VERTEX_POINT('',#248856); #73971=VERTEX_POINT('',#248860); #73972=VERTEX_POINT('',#248862); #73973=VERTEX_POINT('',#248866); #73974=VERTEX_POINT('',#248868); #73975=VERTEX_POINT('',#248872); #73976=VERTEX_POINT('',#248874); #73977=VERTEX_POINT('',#248878); #73978=VERTEX_POINT('',#248880); #73979=VERTEX_POINT('',#248884); #73980=VERTEX_POINT('',#248886); #73981=VERTEX_POINT('',#248890); #73982=VERTEX_POINT('',#248892); #73983=VERTEX_POINT('',#248899); #73984=VERTEX_POINT('',#248900); #73985=VERTEX_POINT('',#248902); #73986=VERTEX_POINT('',#248904); #73987=VERTEX_POINT('',#248908); #73988=VERTEX_POINT('',#248910); #73989=VERTEX_POINT('',#248914); #73990=VERTEX_POINT('',#248916); #73991=VERTEX_POINT('',#248920); #73992=VERTEX_POINT('',#248922); #73993=VERTEX_POINT('',#248926); #73994=VERTEX_POINT('',#248928); #73995=VERTEX_POINT('',#248932); #73996=VERTEX_POINT('',#248934); #73997=VERTEX_POINT('',#248938); #73998=VERTEX_POINT('',#248940); #73999=VERTEX_POINT('',#248944); #74000=VERTEX_POINT('',#248946); #74001=VERTEX_POINT('',#248950); #74002=VERTEX_POINT('',#248952); #74003=VERTEX_POINT('',#248956); #74004=VERTEX_POINT('',#248958); #74005=VERTEX_POINT('',#248966); #74006=VERTEX_POINT('',#248967); #74007=VERTEX_POINT('',#248969); #74008=VERTEX_POINT('',#248971); #74009=VERTEX_POINT('',#248975); #74010=VERTEX_POINT('',#248977); #74011=VERTEX_POINT('',#248981); #74012=VERTEX_POINT('',#248983); #74013=VERTEX_POINT('',#248987); #74014=VERTEX_POINT('',#248989); #74015=VERTEX_POINT('',#248993); #74016=VERTEX_POINT('',#248995); #74017=VERTEX_POINT('',#248999); #74018=VERTEX_POINT('',#249001); #74019=VERTEX_POINT('',#249005); #74020=VERTEX_POINT('',#249007); #74021=VERTEX_POINT('',#249011); #74022=VERTEX_POINT('',#249013); #74023=VERTEX_POINT('',#249017); #74024=VERTEX_POINT('',#249019); #74025=VERTEX_POINT('',#249023); #74026=VERTEX_POINT('',#249025); #74027=VERTEX_POINT('',#249029); #74028=VERTEX_POINT('',#249031); #74029=VERTEX_POINT('',#249035); #74030=VERTEX_POINT('',#249037); #74031=VERTEX_POINT('',#249041); #74032=VERTEX_POINT('',#249043); #74033=VERTEX_POINT('',#249047); #74034=VERTEX_POINT('',#249049); #74035=VERTEX_POINT('',#249053); #74036=VERTEX_POINT('',#249055); #74037=VERTEX_POINT('',#249059); #74038=VERTEX_POINT('',#249061); #74039=VERTEX_POINT('',#249065); #74040=VERTEX_POINT('',#249067); #74041=VERTEX_POINT('',#249071); #74042=VERTEX_POINT('',#249073); #74043=VERTEX_POINT('',#249077); #74044=VERTEX_POINT('',#249079); #74045=VERTEX_POINT('',#249083); #74046=VERTEX_POINT('',#249085); #74047=VERTEX_POINT('',#249089); #74048=VERTEX_POINT('',#249091); #74049=VERTEX_POINT('',#249095); #74050=VERTEX_POINT('',#249097); #74051=VERTEX_POINT('',#249101); #74052=VERTEX_POINT('',#249103); #74053=VERTEX_POINT('',#249107); #74054=VERTEX_POINT('',#249109); #74055=VERTEX_POINT('',#249113); #74056=VERTEX_POINT('',#249115); #74057=VERTEX_POINT('',#249119); #74058=VERTEX_POINT('',#249121); #74059=VERTEX_POINT('',#249125); #74060=VERTEX_POINT('',#249127); #74061=VERTEX_POINT('',#249131); #74062=VERTEX_POINT('',#249133); #74063=VERTEX_POINT('',#249137); #74064=VERTEX_POINT('',#249139); #74065=VERTEX_POINT('',#249143); #74066=VERTEX_POINT('',#249145); #74067=VERTEX_POINT('',#249149); #74068=VERTEX_POINT('',#249151); #74069=VERTEX_POINT('',#249155); #74070=VERTEX_POINT('',#249157); #74071=VERTEX_POINT('',#249161); #74072=VERTEX_POINT('',#249163); #74073=VERTEX_POINT('',#249167); #74074=VERTEX_POINT('',#249169); #74075=VERTEX_POINT('',#249173); #74076=VERTEX_POINT('',#249175); #74077=VERTEX_POINT('',#249179); #74078=VERTEX_POINT('',#249181); #74079=VERTEX_POINT('',#249185); #74080=VERTEX_POINT('',#249187); #74081=VERTEX_POINT('',#249191); #74082=VERTEX_POINT('',#249193); #74083=VERTEX_POINT('',#249197); #74084=VERTEX_POINT('',#249199); #74085=VERTEX_POINT('',#249203); #74086=VERTEX_POINT('',#249205); #74087=VERTEX_POINT('',#249209); #74088=VERTEX_POINT('',#249211); #74089=VERTEX_POINT('',#249215); #74090=VERTEX_POINT('',#249217); #74091=VERTEX_POINT('',#249221); #74092=VERTEX_POINT('',#249223); #74093=VERTEX_POINT('',#249227); #74094=VERTEX_POINT('',#249229); #74095=VERTEX_POINT('',#249233); #74096=VERTEX_POINT('',#249235); #74097=VERTEX_POINT('',#249239); #74098=VERTEX_POINT('',#249241); #74099=VERTEX_POINT('',#249249); #74100=VERTEX_POINT('',#249250); #74101=VERTEX_POINT('',#249252); #74102=VERTEX_POINT('',#249254); #74103=VERTEX_POINT('',#249258); #74104=VERTEX_POINT('',#249260); #74105=VERTEX_POINT('',#249264); #74106=VERTEX_POINT('',#249266); #74107=VERTEX_POINT('',#249270); #74108=VERTEX_POINT('',#249272); #74109=VERTEX_POINT('',#249276); #74110=VERTEX_POINT('',#249278); #74111=VERTEX_POINT('',#249282); #74112=VERTEX_POINT('',#249284); #74113=VERTEX_POINT('',#249288); #74114=VERTEX_POINT('',#249290); #74115=VERTEX_POINT('',#249294); #74116=VERTEX_POINT('',#249296); #74117=VERTEX_POINT('',#249300); #74118=VERTEX_POINT('',#249302); #74119=VERTEX_POINT('',#249306); #74120=VERTEX_POINT('',#249308); #74121=VERTEX_POINT('',#249312); #74122=VERTEX_POINT('',#249314); #74123=VERTEX_POINT('',#249318); #74124=VERTEX_POINT('',#249320); #74125=VERTEX_POINT('',#249324); #74126=VERTEX_POINT('',#249326); #74127=VERTEX_POINT('',#249330); #74128=VERTEX_POINT('',#249332); #74129=VERTEX_POINT('',#249336); #74130=VERTEX_POINT('',#249338); #74131=VERTEX_POINT('',#249342); #74132=VERTEX_POINT('',#249344); #74133=VERTEX_POINT('',#249348); #74134=VERTEX_POINT('',#249350); #74135=VERTEX_POINT('',#249354); #74136=VERTEX_POINT('',#249356); #74137=VERTEX_POINT('',#249360); #74138=VERTEX_POINT('',#249362); #74139=VERTEX_POINT('',#249366); #74140=VERTEX_POINT('',#249368); #74141=VERTEX_POINT('',#249372); #74142=VERTEX_POINT('',#249374); #74143=VERTEX_POINT('',#249378); #74144=VERTEX_POINT('',#249380); #74145=VERTEX_POINT('',#249384); #74146=VERTEX_POINT('',#249386); #74147=VERTEX_POINT('',#249390); #74148=VERTEX_POINT('',#249392); #74149=VERTEX_POINT('',#249396); #74150=VERTEX_POINT('',#249398); #74151=VERTEX_POINT('',#249402); #74152=VERTEX_POINT('',#249404); #74153=VERTEX_POINT('',#249408); #74154=VERTEX_POINT('',#249410); #74155=VERTEX_POINT('',#249418); #74156=VERTEX_POINT('',#249419); #74157=VERTEX_POINT('',#249421); #74158=VERTEX_POINT('',#249423); #74159=VERTEX_POINT('',#249427); #74160=VERTEX_POINT('',#249429); #74161=VERTEX_POINT('',#249433); #74162=VERTEX_POINT('',#249435); #74163=VERTEX_POINT('',#249439); #74164=VERTEX_POINT('',#249441); #74165=VERTEX_POINT('',#249445); #74166=VERTEX_POINT('',#249447); #74167=VERTEX_POINT('',#249451); #74168=VERTEX_POINT('',#249453); #74169=VERTEX_POINT('',#249457); #74170=VERTEX_POINT('',#249459); #74171=VERTEX_POINT('',#249463); #74172=VERTEX_POINT('',#249465); #74173=VERTEX_POINT('',#249469); #74174=VERTEX_POINT('',#249471); #74175=VERTEX_POINT('',#249475); #74176=VERTEX_POINT('',#249477); #74177=VERTEX_POINT('',#249481); #74178=VERTEX_POINT('',#249483); #74179=VERTEX_POINT('',#249487); #74180=VERTEX_POINT('',#249489); #74181=VERTEX_POINT('',#249493); #74182=VERTEX_POINT('',#249495); #74183=VERTEX_POINT('',#249499); #74184=VERTEX_POINT('',#249501); #74185=VERTEX_POINT('',#249505); #74186=VERTEX_POINT('',#249507); #74187=VERTEX_POINT('',#249511); #74188=VERTEX_POINT('',#249513); #74189=VERTEX_POINT('',#249517); #74190=VERTEX_POINT('',#249519); #74191=VERTEX_POINT('',#249523); #74192=VERTEX_POINT('',#249525); #74193=VERTEX_POINT('',#249529); #74194=VERTEX_POINT('',#249531); #74195=VERTEX_POINT('',#249535); #74196=VERTEX_POINT('',#249537); #74197=VERTEX_POINT('',#249541); #74198=VERTEX_POINT('',#249543); #74199=VERTEX_POINT('',#249547); #74200=VERTEX_POINT('',#249549); #74201=VERTEX_POINT('',#249553); #74202=VERTEX_POINT('',#249555); #74203=VERTEX_POINT('',#249559); #74204=VERTEX_POINT('',#249561); #74205=VERTEX_POINT('',#249565); #74206=VERTEX_POINT('',#249567); #74207=VERTEX_POINT('',#249571); #74208=VERTEX_POINT('',#249573); #74209=VERTEX_POINT('',#249577); #74210=VERTEX_POINT('',#249579); #74211=VERTEX_POINT('',#249583); #74212=VERTEX_POINT('',#249585); #74213=VERTEX_POINT('',#249589); #74214=VERTEX_POINT('',#249591); #74215=VERTEX_POINT('',#249595); #74216=VERTEX_POINT('',#249597); #74217=VERTEX_POINT('',#249601); #74218=VERTEX_POINT('',#249603); #74219=VERTEX_POINT('',#249607); #74220=VERTEX_POINT('',#249609); #74221=VERTEX_POINT('',#249613); #74222=VERTEX_POINT('',#249615); #74223=VERTEX_POINT('',#249619); #74224=VERTEX_POINT('',#249621); #74225=VERTEX_POINT('',#249625); #74226=VERTEX_POINT('',#249627); #74227=VERTEX_POINT('',#249631); #74228=VERTEX_POINT('',#249633); #74229=VERTEX_POINT('',#249637); #74230=VERTEX_POINT('',#249639); #74231=VERTEX_POINT('',#249647); #74232=VERTEX_POINT('',#249648); #74233=VERTEX_POINT('',#249650); #74234=VERTEX_POINT('',#249652); #74235=VERTEX_POINT('',#249656); #74236=VERTEX_POINT('',#249658); #74237=VERTEX_POINT('',#249662); #74238=VERTEX_POINT('',#249664); #74239=VERTEX_POINT('',#249668); #74240=VERTEX_POINT('',#249670); #74241=VERTEX_POINT('',#249674); #74242=VERTEX_POINT('',#249676); #74243=VERTEX_POINT('',#249680); #74244=VERTEX_POINT('',#249682); #74245=VERTEX_POINT('',#249686); #74246=VERTEX_POINT('',#249688); #74247=VERTEX_POINT('',#249692); #74248=VERTEX_POINT('',#249694); #74249=VERTEX_POINT('',#249698); #74250=VERTEX_POINT('',#249700); #74251=VERTEX_POINT('',#249708); #74252=VERTEX_POINT('',#249709); #74253=VERTEX_POINT('',#249711); #74254=VERTEX_POINT('',#249713); #74255=VERTEX_POINT('',#249717); #74256=VERTEX_POINT('',#249719); #74257=VERTEX_POINT('',#249723); #74258=VERTEX_POINT('',#249725); #74259=VERTEX_POINT('',#249729); #74260=VERTEX_POINT('',#249731); #74261=VERTEX_POINT('',#249735); #74262=VERTEX_POINT('',#249737); #74263=VERTEX_POINT('',#249741); #74264=VERTEX_POINT('',#249743); #74265=VERTEX_POINT('',#249747); #74266=VERTEX_POINT('',#249749); #74267=VERTEX_POINT('',#249753); #74268=VERTEX_POINT('',#249755); #74269=VERTEX_POINT('',#249759); #74270=VERTEX_POINT('',#249761); #74271=VERTEX_POINT('',#249765); #74272=VERTEX_POINT('',#249767); #74273=VERTEX_POINT('',#249771); #74274=VERTEX_POINT('',#249773); #74275=VERTEX_POINT('',#249777); #74276=VERTEX_POINT('',#249779); #74277=VERTEX_POINT('',#249783); #74278=VERTEX_POINT('',#249785); #74279=VERTEX_POINT('',#249789); #74280=VERTEX_POINT('',#249791); #74281=VERTEX_POINT('',#249795); #74282=VERTEX_POINT('',#249797); #74283=VERTEX_POINT('',#249801); #74284=VERTEX_POINT('',#249803); #74285=VERTEX_POINT('',#249807); #74286=VERTEX_POINT('',#249809); #74287=VERTEX_POINT('',#249813); #74288=VERTEX_POINT('',#249815); #74289=VERTEX_POINT('',#249819); #74290=VERTEX_POINT('',#249821); #74291=VERTEX_POINT('',#249825); #74292=VERTEX_POINT('',#249827); #74293=VERTEX_POINT('',#249831); #74294=VERTEX_POINT('',#249833); #74295=VERTEX_POINT('',#249837); #74296=VERTEX_POINT('',#249839); #74297=VERTEX_POINT('',#249843); #74298=VERTEX_POINT('',#249845); #74299=VERTEX_POINT('',#249849); #74300=VERTEX_POINT('',#249851); #74301=VERTEX_POINT('',#249855); #74302=VERTEX_POINT('',#249857); #74303=VERTEX_POINT('',#249861); #74304=VERTEX_POINT('',#249863); #74305=VERTEX_POINT('',#249867); #74306=VERTEX_POINT('',#249869); #74307=VERTEX_POINT('',#249873); #74308=VERTEX_POINT('',#249875); #74309=VERTEX_POINT('',#249879); #74310=VERTEX_POINT('',#249881); #74311=VERTEX_POINT('',#249885); #74312=VERTEX_POINT('',#249887); #74313=VERTEX_POINT('',#249891); #74314=VERTEX_POINT('',#249893); #74315=VERTEX_POINT('',#249897); #74316=VERTEX_POINT('',#249899); #74317=VERTEX_POINT('',#249903); #74318=VERTEX_POINT('',#249905); #74319=VERTEX_POINT('',#249909); #74320=VERTEX_POINT('',#249911); #74321=VERTEX_POINT('',#249915); #74322=VERTEX_POINT('',#249917); #74323=VERTEX_POINT('',#249925); #74324=VERTEX_POINT('',#249926); #74325=VERTEX_POINT('',#249928); #74326=VERTEX_POINT('',#249930); #74327=VERTEX_POINT('',#249934); #74328=VERTEX_POINT('',#249936); #74329=VERTEX_POINT('',#249940); #74330=VERTEX_POINT('',#249942); #74331=VERTEX_POINT('',#249946); #74332=VERTEX_POINT('',#249948); #74333=VERTEX_POINT('',#249952); #74334=VERTEX_POINT('',#249954); #74335=VERTEX_POINT('',#249958); #74336=VERTEX_POINT('',#249960); #74337=VERTEX_POINT('',#249964); #74338=VERTEX_POINT('',#249966); #74339=VERTEX_POINT('',#249970); #74340=VERTEX_POINT('',#249972); #74341=VERTEX_POINT('',#249976); #74342=VERTEX_POINT('',#249978); #74343=VERTEX_POINT('',#249982); #74344=VERTEX_POINT('',#249984); #74345=VERTEX_POINT('',#249988); #74346=VERTEX_POINT('',#249990); #74347=VERTEX_POINT('',#249994); #74348=VERTEX_POINT('',#249996); #74349=VERTEX_POINT('',#250000); #74350=VERTEX_POINT('',#250002); #74351=VERTEX_POINT('',#250009); #74352=VERTEX_POINT('',#250010); #74353=VERTEX_POINT('',#250012); #74354=VERTEX_POINT('',#250014); #74355=VERTEX_POINT('',#250018); #74356=VERTEX_POINT('',#250020); #74357=VERTEX_POINT('',#250024); #74358=VERTEX_POINT('',#250026); #74359=VERTEX_POINT('',#250030); #74360=VERTEX_POINT('',#250032); #74361=VERTEX_POINT('',#250036); #74362=VERTEX_POINT('',#250038); #74363=VERTEX_POINT('',#250042); #74364=VERTEX_POINT('',#250044); #74365=VERTEX_POINT('',#250048); #74366=VERTEX_POINT('',#250050); #74367=VERTEX_POINT('',#250054); #74368=VERTEX_POINT('',#250056); #74369=VERTEX_POINT('',#250060); #74370=VERTEX_POINT('',#250062); #74371=VERTEX_POINT('',#250066); #74372=VERTEX_POINT('',#250068); #74373=VERTEX_POINT('',#250072); #74374=VERTEX_POINT('',#250074); #74375=VERTEX_POINT('',#250078); #74376=VERTEX_POINT('',#250080); #74377=VERTEX_POINT('',#250084); #74378=VERTEX_POINT('',#250086); #74379=VERTEX_POINT('',#250090); #74380=VERTEX_POINT('',#250092); #74381=VERTEX_POINT('',#250096); #74382=VERTEX_POINT('',#250098); #74383=VERTEX_POINT('',#250106); #74384=VERTEX_POINT('',#250107); #74385=VERTEX_POINT('',#250109); #74386=VERTEX_POINT('',#250111); #74387=VERTEX_POINT('',#250115); #74388=VERTEX_POINT('',#250117); #74389=VERTEX_POINT('',#250121); #74390=VERTEX_POINT('',#250123); #74391=VERTEX_POINT('',#250127); #74392=VERTEX_POINT('',#250129); #74393=VERTEX_POINT('',#250133); #74394=VERTEX_POINT('',#250135); #74395=VERTEX_POINT('',#250139); #74396=VERTEX_POINT('',#250141); #74397=VERTEX_POINT('',#250145); #74398=VERTEX_POINT('',#250147); #74399=VERTEX_POINT('',#250151); #74400=VERTEX_POINT('',#250153); #74401=VERTEX_POINT('',#250157); #74402=VERTEX_POINT('',#250159); #74403=VERTEX_POINT('',#250163); #74404=VERTEX_POINT('',#250165); #74405=VERTEX_POINT('',#250169); #74406=VERTEX_POINT('',#250171); #74407=VERTEX_POINT('',#250175); #74408=VERTEX_POINT('',#250177); #74409=VERTEX_POINT('',#250181); #74410=VERTEX_POINT('',#250183); #74411=VERTEX_POINT('',#250187); #74412=VERTEX_POINT('',#250189); #74413=VERTEX_POINT('',#250193); #74414=VERTEX_POINT('',#250195); #74415=VERTEX_POINT('',#250199); #74416=VERTEX_POINT('',#250201); #74417=VERTEX_POINT('',#250205); #74418=VERTEX_POINT('',#250207); #74419=VERTEX_POINT('',#250211); #74420=VERTEX_POINT('',#250213); #74421=VERTEX_POINT('',#250217); #74422=VERTEX_POINT('',#250219); #74423=VERTEX_POINT('',#250223); #74424=VERTEX_POINT('',#250225); #74425=VERTEX_POINT('',#250229); #74426=VERTEX_POINT('',#250231); #74427=VERTEX_POINT('',#250235); #74428=VERTEX_POINT('',#250237); #74429=VERTEX_POINT('',#250241); #74430=VERTEX_POINT('',#250243); #74431=VERTEX_POINT('',#250247); #74432=VERTEX_POINT('',#250249); #74433=VERTEX_POINT('',#250253); #74434=VERTEX_POINT('',#250255); #74435=VERTEX_POINT('',#250259); #74436=VERTEX_POINT('',#250261); #74437=VERTEX_POINT('',#250265); #74438=VERTEX_POINT('',#250267); #74439=VERTEX_POINT('',#250271); #74440=VERTEX_POINT('',#250273); #74441=VERTEX_POINT('',#250277); #74442=VERTEX_POINT('',#250279); #74443=VERTEX_POINT('',#250283); #74444=VERTEX_POINT('',#250285); #74445=VERTEX_POINT('',#250293); #74446=VERTEX_POINT('',#250294); #74447=VERTEX_POINT('',#250296); #74448=VERTEX_POINT('',#250298); #74449=VERTEX_POINT('',#250302); #74450=VERTEX_POINT('',#250304); #74451=VERTEX_POINT('',#250308); #74452=VERTEX_POINT('',#250310); #74453=VERTEX_POINT('',#250314); #74454=VERTEX_POINT('',#250316); #74455=VERTEX_POINT('',#250320); #74456=VERTEX_POINT('',#250322); #74457=VERTEX_POINT('',#250326); #74458=VERTEX_POINT('',#250328); #74459=VERTEX_POINT('',#250332); #74460=VERTEX_POINT('',#250334); #74461=VERTEX_POINT('',#250338); #74462=VERTEX_POINT('',#250340); #74463=VERTEX_POINT('',#250344); #74464=VERTEX_POINT('',#250346); #74465=VERTEX_POINT('',#250350); #74466=VERTEX_POINT('',#250352); #74467=VERTEX_POINT('',#250356); #74468=VERTEX_POINT('',#250358); #74469=VERTEX_POINT('',#250362); #74470=VERTEX_POINT('',#250364); #74471=VERTEX_POINT('',#250368); #74472=VERTEX_POINT('',#250370); #74473=VERTEX_POINT('',#250377); #74474=VERTEX_POINT('',#250378); #74475=VERTEX_POINT('',#250380); #74476=VERTEX_POINT('',#250382); #74477=VERTEX_POINT('',#250386); #74478=VERTEX_POINT('',#250388); #74479=VERTEX_POINT('',#250392); #74480=VERTEX_POINT('',#250394); #74481=VERTEX_POINT('',#250398); #74482=VERTEX_POINT('',#250400); #74483=VERTEX_POINT('',#250404); #74484=VERTEX_POINT('',#250406); #74485=VERTEX_POINT('',#250410); #74486=VERTEX_POINT('',#250412); #74487=VERTEX_POINT('',#250416); #74488=VERTEX_POINT('',#250418); #74489=VERTEX_POINT('',#250422); #74490=VERTEX_POINT('',#250424); #74491=VERTEX_POINT('',#250428); #74492=VERTEX_POINT('',#250430); #74493=VERTEX_POINT('',#250434); #74494=VERTEX_POINT('',#250436); #74495=VERTEX_POINT('',#250440); #74496=VERTEX_POINT('',#250442); #74497=VERTEX_POINT('',#250446); #74498=VERTEX_POINT('',#250448); #74499=VERTEX_POINT('',#250452); #74500=VERTEX_POINT('',#250454); #74501=VERTEX_POINT('',#250458); #74502=VERTEX_POINT('',#250460); #74503=VERTEX_POINT('',#250464); #74504=VERTEX_POINT('',#250466); #74505=VERTEX_POINT('',#250474); #74506=VERTEX_POINT('',#250475); #74507=VERTEX_POINT('',#250477); #74508=VERTEX_POINT('',#250479); #74509=VERTEX_POINT('',#250483); #74510=VERTEX_POINT('',#250485); #74511=VERTEX_POINT('',#250489); #74512=VERTEX_POINT('',#250491); #74513=VERTEX_POINT('',#250495); #74514=VERTEX_POINT('',#250497); #74515=VERTEX_POINT('',#250501); #74516=VERTEX_POINT('',#250503); #74517=VERTEX_POINT('',#250507); #74518=VERTEX_POINT('',#250509); #74519=VERTEX_POINT('',#250513); #74520=VERTEX_POINT('',#250515); #74521=VERTEX_POINT('',#250519); #74522=VERTEX_POINT('',#250521); #74523=VERTEX_POINT('',#250525); #74524=VERTEX_POINT('',#250527); #74525=VERTEX_POINT('',#250531); #74526=VERTEX_POINT('',#250533); #74527=VERTEX_POINT('',#250537); #74528=VERTEX_POINT('',#250539); #74529=VERTEX_POINT('',#250543); #74530=VERTEX_POINT('',#250545); #74531=VERTEX_POINT('',#250549); #74532=VERTEX_POINT('',#250551); #74533=VERTEX_POINT('',#250555); #74534=VERTEX_POINT('',#250557); #74535=VERTEX_POINT('',#250561); #74536=VERTEX_POINT('',#250563); #74537=VERTEX_POINT('',#250567); #74538=VERTEX_POINT('',#250569); #74539=VERTEX_POINT('',#250573); #74540=VERTEX_POINT('',#250575); #74541=VERTEX_POINT('',#250579); #74542=VERTEX_POINT('',#250581); #74543=VERTEX_POINT('',#250585); #74544=VERTEX_POINT('',#250587); #74545=VERTEX_POINT('',#250595); #74546=VERTEX_POINT('',#250596); #74547=VERTEX_POINT('',#250598); #74548=VERTEX_POINT('',#250600); #74549=VERTEX_POINT('',#250602); #74550=VERTEX_POINT('',#250604); #74551=VERTEX_POINT('',#250606); #74552=VERTEX_POINT('',#250608); #74553=VERTEX_POINT('',#250631); #74554=VERTEX_POINT('',#250632); #74555=VERTEX_POINT('',#250637); #74556=VERTEX_POINT('',#250641); #74557=VERTEX_POINT('',#250645); #74558=VERTEX_POINT('',#250649); #74559=VERTEX_POINT('',#250653); #74560=VERTEX_POINT('',#250657); #74561=VERTEX_POINT('',#250668); #74562=VERTEX_POINT('',#250669); #74563=VERTEX_POINT('',#250671); #74564=VERTEX_POINT('',#250673); #74565=VERTEX_POINT('',#250675); #74566=VERTEX_POINT('',#250677); #74567=VERTEX_POINT('',#250679); #74568=VERTEX_POINT('',#250681); #74569=VERTEX_POINT('',#250683); #74570=VERTEX_POINT('',#250685); #74571=VERTEX_POINT('',#250687); #74572=VERTEX_POINT('',#250689); #74573=VERTEX_POINT('',#250691); #74574=VERTEX_POINT('',#250693); #74575=VERTEX_POINT('',#250695); #74576=VERTEX_POINT('',#250697); #74577=VERTEX_POINT('',#250699); #74578=VERTEX_POINT('',#250701); #74579=VERTEX_POINT('',#250703); #74580=VERTEX_POINT('',#250705); #74581=VERTEX_POINT('',#250707); #74582=VERTEX_POINT('',#250709); #74583=VERTEX_POINT('',#250711); #74584=VERTEX_POINT('',#250713); #74585=VERTEX_POINT('',#250715); #74586=VERTEX_POINT('',#250717); #74587=VERTEX_POINT('',#250719); #74588=VERTEX_POINT('',#250721); #74589=VERTEX_POINT('',#250723); #74590=VERTEX_POINT('',#250725); #74591=VERTEX_POINT('',#250727); #74592=VERTEX_POINT('',#250729); #74593=VERTEX_POINT('',#250731); #74594=VERTEX_POINT('',#250733); #74595=VERTEX_POINT('',#250735); #74596=VERTEX_POINT('',#250737); #74597=VERTEX_POINT('',#250739); #74598=VERTEX_POINT('',#250741); #74599=VERTEX_POINT('',#250743); #74600=VERTEX_POINT('',#250745); #74601=VERTEX_POINT('',#250747); #74602=VERTEX_POINT('',#250749); #74603=VERTEX_POINT('',#250751); #74604=VERTEX_POINT('',#250753); #74605=VERTEX_POINT('',#250755); #74606=VERTEX_POINT('',#250757); #74607=VERTEX_POINT('',#250759); #74608=VERTEX_POINT('',#250761); #74609=VERTEX_POINT('',#250763); #74610=VERTEX_POINT('',#250765); #74611=VERTEX_POINT('',#250767); #74612=VERTEX_POINT('',#250769); #74613=VERTEX_POINT('',#250771); #74614=VERTEX_POINT('',#250773); #74615=VERTEX_POINT('',#250775); #74616=VERTEX_POINT('',#250777); #74617=VERTEX_POINT('',#250779); #74618=VERTEX_POINT('',#250781); #74619=VERTEX_POINT('',#250783); #74620=VERTEX_POINT('',#250785); #74621=VERTEX_POINT('',#250787); #74622=VERTEX_POINT('',#250789); #74623=VERTEX_POINT('',#250791); #74624=VERTEX_POINT('',#250793); #74625=VERTEX_POINT('',#250795); #74626=VERTEX_POINT('',#250797); #74627=VERTEX_POINT('',#250799); #74628=VERTEX_POINT('',#250801); #74629=VERTEX_POINT('',#250803); #74630=VERTEX_POINT('',#250805); #74631=VERTEX_POINT('',#250807); #74632=VERTEX_POINT('',#250809); #74633=VERTEX_POINT('',#250811); #74634=VERTEX_POINT('',#250813); #74635=VERTEX_POINT('',#250815); #74636=VERTEX_POINT('',#250817); #74637=VERTEX_POINT('',#250819); #74638=VERTEX_POINT('',#250821); #74639=VERTEX_POINT('',#250823); #74640=VERTEX_POINT('',#250825); #74641=VERTEX_POINT('',#250827); #74642=VERTEX_POINT('',#250829); #74643=VERTEX_POINT('',#250831); #74644=VERTEX_POINT('',#250833); #74645=VERTEX_POINT('',#250835); #74646=VERTEX_POINT('',#250837); #74647=VERTEX_POINT('',#250839); #74648=VERTEX_POINT('',#250841); #74649=VERTEX_POINT('',#250843); #74650=VERTEX_POINT('',#250845); #74651=VERTEX_POINT('',#250847); #74652=VERTEX_POINT('',#250849); #74653=VERTEX_POINT('',#250851); #74654=VERTEX_POINT('',#250853); #74655=VERTEX_POINT('',#250855); #74656=VERTEX_POINT('',#250857); #74657=VERTEX_POINT('',#250859); #74658=VERTEX_POINT('',#250861); #74659=VERTEX_POINT('',#250863); #74660=VERTEX_POINT('',#250865); #74661=VERTEX_POINT('',#250867); #74662=VERTEX_POINT('',#250869); #74663=VERTEX_POINT('',#250871); #74664=VERTEX_POINT('',#250873); #74665=VERTEX_POINT('',#250875); #74666=VERTEX_POINT('',#250877); #74667=VERTEX_POINT('',#250879); #74668=VERTEX_POINT('',#250881); #74669=VERTEX_POINT('',#250883); #74670=VERTEX_POINT('',#250885); #74671=VERTEX_POINT('',#250887); #74672=VERTEX_POINT('',#250889); #74673=VERTEX_POINT('',#250891); #74674=VERTEX_POINT('',#250893); #74675=VERTEX_POINT('',#250895); #74676=VERTEX_POINT('',#250897); #74677=VERTEX_POINT('',#250899); #74678=VERTEX_POINT('',#250901); #74679=VERTEX_POINT('',#250903); #74680=VERTEX_POINT('',#250905); #74681=VERTEX_POINT('',#250907); #74682=VERTEX_POINT('',#250909); #74683=VERTEX_POINT('',#250911); #74684=VERTEX_POINT('',#250913); #74685=VERTEX_POINT('',#250915); #74686=VERTEX_POINT('',#250917); #74687=VERTEX_POINT('',#250919); #74688=VERTEX_POINT('',#250921); #74689=VERTEX_POINT('',#250923); #74690=VERTEX_POINT('',#250925); #74691=VERTEX_POINT('',#250927); #74692=VERTEX_POINT('',#250929); #74693=VERTEX_POINT('',#250932); #74694=VERTEX_POINT('',#250933); #74695=VERTEX_POINT('',#250935); #74696=VERTEX_POINT('',#250937); #74697=VERTEX_POINT('',#250941); #74698=VERTEX_POINT('',#250942); #74699=VERTEX_POINT('',#250944); #74700=VERTEX_POINT('',#250946); #74701=VERTEX_POINT('',#250949); #74702=VERTEX_POINT('',#250951); #74703=VERTEX_POINT('',#250953); #74704=VERTEX_POINT('',#250955); #74705=VERTEX_POINT('',#250957); #74706=VERTEX_POINT('',#250959); #74707=VERTEX_POINT('',#250963); #74708=VERTEX_POINT('',#250964); #74709=VERTEX_POINT('',#250966); #74710=VERTEX_POINT('',#250968); #74711=VERTEX_POINT('',#250971); #74712=VERTEX_POINT('',#250973); #74713=VERTEX_POINT('',#250975); #74714=VERTEX_POINT('',#250977); #74715=VERTEX_POINT('',#250979); #74716=VERTEX_POINT('',#250981); #74717=VERTEX_POINT('',#250985); #74718=VERTEX_POINT('',#250986); #74719=VERTEX_POINT('',#250988); #74720=VERTEX_POINT('',#250990); #74721=VERTEX_POINT('',#250993); #74722=VERTEX_POINT('',#250995); #74723=VERTEX_POINT('',#250997); #74724=VERTEX_POINT('',#250999); #74725=VERTEX_POINT('',#251001); #74726=VERTEX_POINT('',#251003); #74727=VERTEX_POINT('',#251007); #74728=VERTEX_POINT('',#251008); #74729=VERTEX_POINT('',#251010); #74730=VERTEX_POINT('',#251012); #74731=VERTEX_POINT('',#251014); #74732=VERTEX_POINT('',#251016); #74733=VERTEX_POINT('',#251018); #74734=VERTEX_POINT('',#251020); #74735=VERTEX_POINT('',#251022); #74736=VERTEX_POINT('',#251024); #74737=VERTEX_POINT('',#251029); #74738=VERTEX_POINT('',#251030); #74739=VERTEX_POINT('',#251032); #74740=VERTEX_POINT('',#251034); #74741=VERTEX_POINT('',#251037); #74742=VERTEX_POINT('',#251039); #74743=VERTEX_POINT('',#251041); #74744=VERTEX_POINT('',#251043); #74745=VERTEX_POINT('',#251045); #74746=VERTEX_POINT('',#251047); #74747=VERTEX_POINT('',#251051); #74748=VERTEX_POINT('',#251052); #74749=VERTEX_POINT('',#251054); #74750=VERTEX_POINT('',#251056); #74751=VERTEX_POINT('',#251059); #74752=VERTEX_POINT('',#251061); #74753=VERTEX_POINT('',#251063); #74754=VERTEX_POINT('',#251065); #74755=VERTEX_POINT('',#251067); #74756=VERTEX_POINT('',#251069); #74757=VERTEX_POINT('',#251073); #74758=VERTEX_POINT('',#251074); #74759=VERTEX_POINT('',#251076); #74760=VERTEX_POINT('',#251078); #74761=VERTEX_POINT('',#251081); #74762=VERTEX_POINT('',#251083); #74763=VERTEX_POINT('',#251085); #74764=VERTEX_POINT('',#251087); #74765=VERTEX_POINT('',#251089); #74766=VERTEX_POINT('',#251091); #74767=VERTEX_POINT('',#251095); #74768=VERTEX_POINT('',#251096); #74769=VERTEX_POINT('',#251098); #74770=VERTEX_POINT('',#251100); #74771=VERTEX_POINT('',#251102); #74772=VERTEX_POINT('',#251104); #74773=VERTEX_POINT('',#251106); #74774=VERTEX_POINT('',#251108); #74775=VERTEX_POINT('',#251110); #74776=VERTEX_POINT('',#251112); #74777=VERTEX_POINT('',#251117); #74778=VERTEX_POINT('',#251118); #74779=VERTEX_POINT('',#251120); #74780=VERTEX_POINT('',#251122); #74781=VERTEX_POINT('',#251125); #74782=VERTEX_POINT('',#251127); #74783=VERTEX_POINT('',#251129); #74784=VERTEX_POINT('',#251131); #74785=VERTEX_POINT('',#251133); #74786=VERTEX_POINT('',#251135); #74787=VERTEX_POINT('',#251139); #74788=VERTEX_POINT('',#251140); #74789=VERTEX_POINT('',#251142); #74790=VERTEX_POINT('',#251144); #74791=VERTEX_POINT('',#251147); #74792=VERTEX_POINT('',#251149); #74793=VERTEX_POINT('',#251151); #74794=VERTEX_POINT('',#251153); #74795=VERTEX_POINT('',#251155); #74796=VERTEX_POINT('',#251157); #74797=VERTEX_POINT('',#251161); #74798=VERTEX_POINT('',#251162); #74799=VERTEX_POINT('',#251164); #74800=VERTEX_POINT('',#251166); #74801=VERTEX_POINT('',#251168); #74802=VERTEX_POINT('',#251170); #74803=VERTEX_POINT('',#251172); #74804=VERTEX_POINT('',#251174); #74805=VERTEX_POINT('',#251176); #74806=VERTEX_POINT('',#251178); #74807=VERTEX_POINT('',#251183); #74808=VERTEX_POINT('',#251184); #74809=VERTEX_POINT('',#251186); #74810=VERTEX_POINT('',#251188); #74811=VERTEX_POINT('',#251191); #74812=VERTEX_POINT('',#251193); #74813=VERTEX_POINT('',#251195); #74814=VERTEX_POINT('',#251197); #74815=VERTEX_POINT('',#251199); #74816=VERTEX_POINT('',#251201); #74817=VERTEX_POINT('',#251205); #74818=VERTEX_POINT('',#251206); #74819=VERTEX_POINT('',#251208); #74820=VERTEX_POINT('',#251210); #74821=VERTEX_POINT('',#251212); #74822=VERTEX_POINT('',#251214); #74823=VERTEX_POINT('',#251216); #74824=VERTEX_POINT('',#251218); #74825=VERTEX_POINT('',#251220); #74826=VERTEX_POINT('',#251222); #74827=VERTEX_POINT('',#251227); #74828=VERTEX_POINT('',#251228); #74829=VERTEX_POINT('',#251230); #74830=VERTEX_POINT('',#251232); #74831=VERTEX_POINT('',#251235); #74832=VERTEX_POINT('',#251237); #74833=VERTEX_POINT('',#251239); #74834=VERTEX_POINT('',#251241); #74835=VERTEX_POINT('',#251243); #74836=VERTEX_POINT('',#251245); #74837=VERTEX_POINT('',#251249); #74838=VERTEX_POINT('',#251250); #74839=VERTEX_POINT('',#251252); #74840=VERTEX_POINT('',#251254); #74841=VERTEX_POINT('',#251256); #74842=VERTEX_POINT('',#251258); #74843=VERTEX_POINT('',#251260); #74844=VERTEX_POINT('',#251262); #74845=VERTEX_POINT('',#251264); #74846=VERTEX_POINT('',#251266); #74847=VERTEX_POINT('',#251271); #74848=VERTEX_POINT('',#251272); #74849=VERTEX_POINT('',#251274); #74850=VERTEX_POINT('',#251276); #74851=VERTEX_POINT('',#251279); #74852=VERTEX_POINT('',#251281); #74853=VERTEX_POINT('',#251285); #74854=VERTEX_POINT('',#251286); #74855=VERTEX_POINT('',#251288); #74856=VERTEX_POINT('',#251290); #74857=VERTEX_POINT('',#251293); #74858=VERTEX_POINT('',#251295); #74859=VERTEX_POINT('',#251297); #74860=VERTEX_POINT('',#251299); #74861=VERTEX_POINT('',#251301); #74862=VERTEX_POINT('',#251303); #74863=VERTEX_POINT('',#251307); #74864=VERTEX_POINT('',#251308); #74865=VERTEX_POINT('',#251310); #74866=VERTEX_POINT('',#251312); #74867=VERTEX_POINT('',#251314); #74868=VERTEX_POINT('',#251316); #74869=VERTEX_POINT('',#251318); #74870=VERTEX_POINT('',#251320); #74871=VERTEX_POINT('',#251322); #74872=VERTEX_POINT('',#251324); #74873=VERTEX_POINT('',#251329); #74874=VERTEX_POINT('',#251330); #74875=VERTEX_POINT('',#251332); #74876=VERTEX_POINT('',#251334); #74877=VERTEX_POINT('',#251337); #74878=VERTEX_POINT('',#251339); #74879=VERTEX_POINT('',#251341); #74880=VERTEX_POINT('',#251343); #74881=VERTEX_POINT('',#251345); #74882=VERTEX_POINT('',#251347); #74883=VERTEX_POINT('',#251351); #74884=VERTEX_POINT('',#251352); #74885=VERTEX_POINT('',#251354); #74886=VERTEX_POINT('',#251356); #74887=VERTEX_POINT('',#251358); #74888=VERTEX_POINT('',#251360); #74889=VERTEX_POINT('',#251362); #74890=VERTEX_POINT('',#251364); #74891=VERTEX_POINT('',#251366); #74892=VERTEX_POINT('',#251368); #74893=VERTEX_POINT('',#251373); #74894=VERTEX_POINT('',#251374); #74895=VERTEX_POINT('',#251376); #74896=VERTEX_POINT('',#251378); #74897=VERTEX_POINT('',#251381); #74898=VERTEX_POINT('',#251383); #74899=VERTEX_POINT('',#251385); #74900=VERTEX_POINT('',#251387); #74901=VERTEX_POINT('',#251389); #74902=VERTEX_POINT('',#251391); #74903=VERTEX_POINT('',#251395); #74904=VERTEX_POINT('',#251396); #74905=VERTEX_POINT('',#251398); #74906=VERTEX_POINT('',#251400); #74907=VERTEX_POINT('',#251402); #74908=VERTEX_POINT('',#251404); #74909=VERTEX_POINT('',#251406); #74910=VERTEX_POINT('',#251408); #74911=VERTEX_POINT('',#251410); #74912=VERTEX_POINT('',#251412); #74913=VERTEX_POINT('',#251417); #74914=VERTEX_POINT('',#251418); #74915=VERTEX_POINT('',#251420); #74916=VERTEX_POINT('',#251422); #74917=VERTEX_POINT('',#251424); #74918=VERTEX_POINT('',#251426); #74919=VERTEX_POINT('',#251428); #74920=VERTEX_POINT('',#251430); #74921=VERTEX_POINT('',#251432); #74922=VERTEX_POINT('',#251434); #74923=VERTEX_POINT('',#251439); #74924=VERTEX_POINT('',#251440); #74925=VERTEX_POINT('',#251442); #74926=VERTEX_POINT('',#251444); #74927=VERTEX_POINT('',#251446); #74928=VERTEX_POINT('',#251448); #74929=VERTEX_POINT('',#251450); #74930=VERTEX_POINT('',#251452); #74931=VERTEX_POINT('',#251454); #74932=VERTEX_POINT('',#251456); #74933=VERTEX_POINT('',#251461); #74934=VERTEX_POINT('',#251462); #74935=VERTEX_POINT('',#251464); #74936=VERTEX_POINT('',#251466); #74937=VERTEX_POINT('',#251469); #74938=VERTEX_POINT('',#251471); #74939=VERTEX_POINT('',#251473); #74940=VERTEX_POINT('',#251475); #74941=VERTEX_POINT('',#251477); #74942=VERTEX_POINT('',#251479); #74943=VERTEX_POINT('',#251483); #74944=VERTEX_POINT('',#251484); #74945=VERTEX_POINT('',#251486); #74946=VERTEX_POINT('',#251488); #74947=VERTEX_POINT('',#251490); #74948=VERTEX_POINT('',#251492); #74949=VERTEX_POINT('',#251494); #74950=VERTEX_POINT('',#251496); #74951=VERTEX_POINT('',#251498); #74952=VERTEX_POINT('',#251500); #74953=VERTEX_POINT('',#251505); #74954=VERTEX_POINT('',#251506); #74955=VERTEX_POINT('',#251508); #74956=VERTEX_POINT('',#251510); #74957=VERTEX_POINT('',#251513); #74958=VERTEX_POINT('',#251515); #74959=VERTEX_POINT('',#251519); #74960=VERTEX_POINT('',#251520); #74961=VERTEX_POINT('',#251522); #74962=VERTEX_POINT('',#251524); #74963=VERTEX_POINT('',#251526); #74964=VERTEX_POINT('',#251528); #74965=VERTEX_POINT('',#251530); #74966=VERTEX_POINT('',#251532); #74967=VERTEX_POINT('',#251534); #74968=VERTEX_POINT('',#251536); #74969=VERTEX_POINT('',#251541); #74970=VERTEX_POINT('',#251542); #74971=VERTEX_POINT('',#251544); #74972=VERTEX_POINT('',#251546); #74973=VERTEX_POINT('',#251549); #74974=VERTEX_POINT('',#251551); #74975=VERTEX_POINT('',#251553); #74976=VERTEX_POINT('',#251555); #74977=VERTEX_POINT('',#251557); #74978=VERTEX_POINT('',#251559); #74979=VERTEX_POINT('',#251563); #74980=VERTEX_POINT('',#251564); #74981=VERTEX_POINT('',#251566); #74982=VERTEX_POINT('',#251568); #74983=VERTEX_POINT('',#251570); #74984=VERTEX_POINT('',#251572); #74985=VERTEX_POINT('',#251574); #74986=VERTEX_POINT('',#251576); #74987=VERTEX_POINT('',#251578); #74988=VERTEX_POINT('',#251580); #74989=VERTEX_POINT('',#251585); #74990=VERTEX_POINT('',#251586); #74991=VERTEX_POINT('',#251588); #74992=VERTEX_POINT('',#251590); #74993=VERTEX_POINT('',#251592); #74994=VERTEX_POINT('',#251594); #74995=VERTEX_POINT('',#251596); #74996=VERTEX_POINT('',#251598); #74997=VERTEX_POINT('',#251600); #74998=VERTEX_POINT('',#251602); #74999=VERTEX_POINT('',#251607); #75000=VERTEX_POINT('',#251608); #75001=VERTEX_POINT('',#251610); #75002=VERTEX_POINT('',#251612); #75003=VERTEX_POINT('',#251614); #75004=VERTEX_POINT('',#251616); #75005=VERTEX_POINT('',#251618); #75006=VERTEX_POINT('',#251620); #75007=VERTEX_POINT('',#251622); #75008=VERTEX_POINT('',#251624); #75009=VERTEX_POINT('',#251629); #75010=VERTEX_POINT('',#251630); #75011=VERTEX_POINT('',#251633); #75012=VERTEX_POINT('',#251635); #75013=VERTEX_POINT('',#251637); #75014=VERTEX_POINT('',#251639); #75015=VERTEX_POINT('',#251642); #75016=VERTEX_POINT('',#251644); #75017=VERTEX_POINT('',#251647); #75018=VERTEX_POINT('',#251649); #75019=VERTEX_POINT('',#251652); #75020=VERTEX_POINT('',#251654); #75021=VERTEX_POINT('',#251657); #75022=VERTEX_POINT('',#251659); #75023=VERTEX_POINT('',#251662); #75024=VERTEX_POINT('',#251664); #75025=VERTEX_POINT('',#251667); #75026=VERTEX_POINT('',#251669); #75027=VERTEX_POINT('',#251672); #75028=VERTEX_POINT('',#251674); #75029=VERTEX_POINT('',#251677); #75030=VERTEX_POINT('',#251679); #75031=VERTEX_POINT('',#251682); #75032=VERTEX_POINT('',#251684); #75033=VERTEX_POINT('',#251687); #75034=VERTEX_POINT('',#251689); #75035=VERTEX_POINT('',#251692); #75036=VERTEX_POINT('',#251694); #75037=VERTEX_POINT('',#251697); #75038=VERTEX_POINT('',#251699); #75039=VERTEX_POINT('',#251702); #75040=VERTEX_POINT('',#251704); #75041=VERTEX_POINT('',#251707); #75042=VERTEX_POINT('',#251709); #75043=VERTEX_POINT('',#251712); #75044=VERTEX_POINT('',#251714); #75045=VERTEX_POINT('',#251717); #75046=VERTEX_POINT('',#251719); #75047=VERTEX_POINT('',#251721); #75048=VERTEX_POINT('',#251723); #75049=VERTEX_POINT('',#251726); #75050=VERTEX_POINT('',#251728); #75051=VERTEX_POINT('',#251731); #75052=VERTEX_POINT('',#251733); #75053=VERTEX_POINT('',#251736); #75054=VERTEX_POINT('',#251738); #75055=VERTEX_POINT('',#251741); #75056=VERTEX_POINT('',#251743); #75057=VERTEX_POINT('',#251746); #75058=VERTEX_POINT('',#251748); #75059=VERTEX_POINT('',#251751); #75060=VERTEX_POINT('',#251753); #75061=VERTEX_POINT('',#251756); #75062=VERTEX_POINT('',#251758); #75063=VERTEX_POINT('',#251761); #75064=VERTEX_POINT('',#251763); #75065=VERTEX_POINT('',#251766); #75066=VERTEX_POINT('',#251768); #75067=VERTEX_POINT('',#251771); #75068=VERTEX_POINT('',#251773); #75069=VERTEX_POINT('',#251776); #75070=VERTEX_POINT('',#251778); #75071=VERTEX_POINT('',#251781); #75072=VERTEX_POINT('',#251783); #75073=VERTEX_POINT('',#251786); #75074=VERTEX_POINT('',#251788); #75075=VERTEX_POINT('',#251791); #75076=VERTEX_POINT('',#251793); #75077=VERTEX_POINT('',#251800); #75078=VERTEX_POINT('',#251801); #75079=VERTEX_POINT('',#251803); #75080=VERTEX_POINT('',#251805); #75081=VERTEX_POINT('',#251812); #75082=VERTEX_POINT('',#251813); #75083=VERTEX_POINT('',#251815); #75084=VERTEX_POINT('',#251817); #75085=VERTEX_POINT('',#251822); #75086=VERTEX_POINT('',#251826); #75087=VERTEX_POINT('',#251834); #75088=VERTEX_POINT('',#251838); #75089=VERTEX_POINT('',#251846); #75090=VERTEX_POINT('',#251850); #75091=VERTEX_POINT('',#251858); #75092=VERTEX_POINT('',#251862); #75093=VERTEX_POINT('',#251870); #75094=VERTEX_POINT('',#251874); #75095=VERTEX_POINT('',#251882); #75096=VERTEX_POINT('',#251886); #75097=VERTEX_POINT('',#251894); #75098=VERTEX_POINT('',#251898); #75099=VERTEX_POINT('',#251906); #75100=VERTEX_POINT('',#251910); #75101=VERTEX_POINT('',#251918); #75102=VERTEX_POINT('',#251922); #75103=VERTEX_POINT('',#251930); #75104=VERTEX_POINT('',#251934); #75105=VERTEX_POINT('',#251942); #75106=VERTEX_POINT('',#251946); #75107=VERTEX_POINT('',#251954); #75108=VERTEX_POINT('',#251958); #75109=VERTEX_POINT('',#251966); #75110=VERTEX_POINT('',#251970); #75111=VERTEX_POINT('',#251978); #75112=VERTEX_POINT('',#251982); #75113=VERTEX_POINT('',#251990); #75114=VERTEX_POINT('',#251994); #75115=VERTEX_POINT('',#252002); #75116=VERTEX_POINT('',#252006); #75117=VERTEX_POINT('',#252014); #75118=VERTEX_POINT('',#252018); #75119=VERTEX_POINT('',#252026); #75120=VERTEX_POINT('',#252030); #75121=VERTEX_POINT('',#252038); #75122=VERTEX_POINT('',#252042); #75123=VERTEX_POINT('',#252050); #75124=VERTEX_POINT('',#252054); #75125=VERTEX_POINT('',#252062); #75126=VERTEX_POINT('',#252066); #75127=VERTEX_POINT('',#252074); #75128=VERTEX_POINT('',#252078); #75129=VERTEX_POINT('',#252086); #75130=VERTEX_POINT('',#252090); #75131=VERTEX_POINT('',#252098); #75132=VERTEX_POINT('',#252102); #75133=VERTEX_POINT('',#252110); #75134=VERTEX_POINT('',#252114); #75135=VERTEX_POINT('',#252122); #75136=VERTEX_POINT('',#252126); #75137=VERTEX_POINT('',#252134); #75138=VERTEX_POINT('',#252138); #75139=VERTEX_POINT('',#252146); #75140=VERTEX_POINT('',#252150); #75141=VERTEX_POINT('',#252158); #75142=VERTEX_POINT('',#252162); #75143=VERTEX_POINT('',#252170); #75144=VERTEX_POINT('',#252174); #75145=VERTEX_POINT('',#252182); #75146=VERTEX_POINT('',#252186); #75147=VERTEX_POINT('',#252194); #75148=VERTEX_POINT('',#252198); #75149=VERTEX_POINT('',#252206); #75150=VERTEX_POINT('',#252210); #75151=VERTEX_POINT('',#252218); #75152=VERTEX_POINT('',#252222); #75153=VERTEX_POINT('',#252230); #75154=VERTEX_POINT('',#252234); #75155=VERTEX_POINT('',#252242); #75156=VERTEX_POINT('',#252246); #75157=VERTEX_POINT('',#252254); #75158=VERTEX_POINT('',#252258); #75159=VERTEX_POINT('',#252266); #75160=VERTEX_POINT('',#252270); #75161=VERTEX_POINT('',#252278); #75162=VERTEX_POINT('',#252282); #75163=VERTEX_POINT('',#252290); #75164=VERTEX_POINT('',#252294); #75165=VERTEX_POINT('',#252302); #75166=VERTEX_POINT('',#252306); #75167=VERTEX_POINT('',#252314); #75168=VERTEX_POINT('',#252318); #75169=VERTEX_POINT('',#252326); #75170=VERTEX_POINT('',#252330); #75171=VERTEX_POINT('',#252338); #75172=VERTEX_POINT('',#252342); #75173=VERTEX_POINT('',#252350); #75174=VERTEX_POINT('',#252354); #75175=VERTEX_POINT('',#252362); #75176=VERTEX_POINT('',#252366); #75177=VERTEX_POINT('',#252374); #75178=VERTEX_POINT('',#252378); #75179=VERTEX_POINT('',#252386); #75180=VERTEX_POINT('',#252390); #75181=VERTEX_POINT('',#252398); #75182=VERTEX_POINT('',#252402); #75183=VERTEX_POINT('',#252410); #75184=VERTEX_POINT('',#252414); #75185=VERTEX_POINT('',#252422); #75186=VERTEX_POINT('',#252426); #75187=VERTEX_POINT('',#252434); #75188=VERTEX_POINT('',#252438); #75189=VERTEX_POINT('',#252446); #75190=VERTEX_POINT('',#252450); #75191=VERTEX_POINT('',#252458); #75192=VERTEX_POINT('',#252462); #75193=VERTEX_POINT('',#252470); #75194=VERTEX_POINT('',#252474); #75195=VERTEX_POINT('',#252482); #75196=VERTEX_POINT('',#252486); #75197=VERTEX_POINT('',#252494); #75198=VERTEX_POINT('',#252498); #75199=VERTEX_POINT('',#252506); #75200=VERTEX_POINT('',#252510); #75201=VERTEX_POINT('',#252518); #75202=VERTEX_POINT('',#252522); #75203=VERTEX_POINT('',#252530); #75204=VERTEX_POINT('',#252534); #75205=VERTEX_POINT('',#252542); #75206=VERTEX_POINT('',#252546); #75207=VERTEX_POINT('',#252554); #75208=VERTEX_POINT('',#252558); #75209=VERTEX_POINT('',#252566); #75210=VERTEX_POINT('',#252570); #75211=VERTEX_POINT('',#252578); #75212=VERTEX_POINT('',#252582); #75213=VERTEX_POINT('',#252622); #75214=VERTEX_POINT('',#252623); #75215=VERTEX_POINT('',#252625); #75216=VERTEX_POINT('',#252627); #75217=VERTEX_POINT('',#252630); #75218=VERTEX_POINT('',#252631); #75219=VERTEX_POINT('',#252633); #75220=VERTEX_POINT('',#252635); #75221=VERTEX_POINT('',#252647); #75222=VERTEX_POINT('',#252648); #75223=VERTEX_POINT('',#252653); #75224=VERTEX_POINT('',#252657); #75225=VERTEX_POINT('',#252665); #75226=VERTEX_POINT('',#252666); #75227=VERTEX_POINT('',#252668); #75228=VERTEX_POINT('',#252670); #75229=VERTEX_POINT('',#252674); #75230=VERTEX_POINT('',#252676); #75231=VERTEX_POINT('',#252680); #75232=VERTEX_POINT('',#252682); #75233=VERTEX_POINT('',#252686); #75234=VERTEX_POINT('',#252688); #75235=VERTEX_POINT('',#252692); #75236=VERTEX_POINT('',#252694); #75237=VERTEX_POINT('',#252698); #75238=VERTEX_POINT('',#252700); #75239=VERTEX_POINT('',#252704); #75240=VERTEX_POINT('',#252706); #75241=VERTEX_POINT('',#252710); #75242=VERTEX_POINT('',#252712); #75243=VERTEX_POINT('',#252716); #75244=VERTEX_POINT('',#252718); #75245=VERTEX_POINT('',#252722); #75246=VERTEX_POINT('',#252724); #75247=VERTEX_POINT('',#252731); #75248=VERTEX_POINT('',#252732); #75249=VERTEX_POINT('',#252734); #75250=VERTEX_POINT('',#252736); #75251=VERTEX_POINT('',#252740); #75252=VERTEX_POINT('',#252742); #75253=VERTEX_POINT('',#252746); #75254=VERTEX_POINT('',#252748); #75255=VERTEX_POINT('',#252752); #75256=VERTEX_POINT('',#252754); #75257=VERTEX_POINT('',#252758); #75258=VERTEX_POINT('',#252760); #75259=VERTEX_POINT('',#252764); #75260=VERTEX_POINT('',#252766); #75261=VERTEX_POINT('',#252770); #75262=VERTEX_POINT('',#252772); #75263=VERTEX_POINT('',#252776); #75264=VERTEX_POINT('',#252778); #75265=VERTEX_POINT('',#252782); #75266=VERTEX_POINT('',#252784); #75267=VERTEX_POINT('',#252788); #75268=VERTEX_POINT('',#252790); #75269=VERTEX_POINT('',#252798); #75270=VERTEX_POINT('',#252799); #75271=VERTEX_POINT('',#252801); #75272=VERTEX_POINT('',#252803); #75273=VERTEX_POINT('',#252805); #75274=VERTEX_POINT('',#252807); #75275=VERTEX_POINT('',#252809); #75276=VERTEX_POINT('',#252811); #75277=VERTEX_POINT('',#252813); #75278=VERTEX_POINT('',#252815); #75279=VERTEX_POINT('',#252817); #75280=VERTEX_POINT('',#252819); #75281=VERTEX_POINT('',#252822); #75282=VERTEX_POINT('',#252824); #75283=VERTEX_POINT('',#252826); #75284=VERTEX_POINT('',#252828); #75285=VERTEX_POINT('',#252830); #75286=VERTEX_POINT('',#252832); #75287=VERTEX_POINT('',#252834); #75288=VERTEX_POINT('',#252836); #75289=VERTEX_POINT('',#252838); #75290=VERTEX_POINT('',#252840); #75291=VERTEX_POINT('',#252842); #75292=VERTEX_POINT('',#252844); #75293=VERTEX_POINT('',#252846); #75294=VERTEX_POINT('',#252848); #75295=VERTEX_POINT('',#252850); #75296=VERTEX_POINT('',#252851); #75297=VERTEX_POINT('',#252853); #75298=VERTEX_POINT('',#252855); #75299=VERTEX_POINT('',#252857); #75300=VERTEX_POINT('',#252859); #75301=VERTEX_POINT('',#252861); #75302=VERTEX_POINT('',#252863); #75303=VERTEX_POINT('',#252866); #75304=VERTEX_POINT('',#252867); #75305=VERTEX_POINT('',#252869); #75306=VERTEX_POINT('',#252871); #75307=VERTEX_POINT('',#252873); #75308=VERTEX_POINT('',#252875); #75309=VERTEX_POINT('',#252877); #75310=VERTEX_POINT('',#252879); #75311=VERTEX_POINT('',#252881); #75312=VERTEX_POINT('',#252883); #75313=VERTEX_POINT('',#252885); #75314=VERTEX_POINT('',#252887); #75315=VERTEX_POINT('',#252889); #75316=VERTEX_POINT('',#252891); #75317=VERTEX_POINT('',#252893); #75318=VERTEX_POINT('',#252895); #75319=VERTEX_POINT('',#252897); #75320=VERTEX_POINT('',#252899); #75321=VERTEX_POINT('',#252901); #75322=VERTEX_POINT('',#252903); #75323=VERTEX_POINT('',#252905); #75324=VERTEX_POINT('',#252907); #75325=VERTEX_POINT('',#252909); #75326=VERTEX_POINT('',#252911); #75327=VERTEX_POINT('',#252913); #75328=VERTEX_POINT('',#252915); #75329=VERTEX_POINT('',#252917); #75330=VERTEX_POINT('',#252919); #75331=VERTEX_POINT('',#252921); #75332=VERTEX_POINT('',#252923); #75333=VERTEX_POINT('',#252925); #75334=VERTEX_POINT('',#252927); #75335=VERTEX_POINT('',#252929); #75336=VERTEX_POINT('',#252931); #75337=VERTEX_POINT('',#252933); #75338=VERTEX_POINT('',#252935); #75339=VERTEX_POINT('',#252937); #75340=VERTEX_POINT('',#252939); #75341=VERTEX_POINT('',#252941); #75342=VERTEX_POINT('',#252943); #75343=VERTEX_POINT('',#252945); #75344=VERTEX_POINT('',#252947); #75345=VERTEX_POINT('',#252949); #75346=VERTEX_POINT('',#252951); #75347=VERTEX_POINT('',#252953); #75348=VERTEX_POINT('',#252955); #75349=VERTEX_POINT('',#252957); #75350=VERTEX_POINT('',#252959); #75351=VERTEX_POINT('',#252961); #75352=VERTEX_POINT('',#252963); #75353=VERTEX_POINT('',#252965); #75354=VERTEX_POINT('',#252967); #75355=VERTEX_POINT('',#252969); #75356=VERTEX_POINT('',#252971); #75357=VERTEX_POINT('',#252973); #75358=VERTEX_POINT('',#252975); #75359=VERTEX_POINT('',#252977); #75360=VERTEX_POINT('',#252979); #75361=VERTEX_POINT('',#252981); #75362=VERTEX_POINT('',#252983); #75363=VERTEX_POINT('',#252985); #75364=VERTEX_POINT('',#252987); #75365=VERTEX_POINT('',#252989); #75366=VERTEX_POINT('',#252991); #75367=VERTEX_POINT('',#252994); #75368=VERTEX_POINT('',#252995); #75369=VERTEX_POINT('',#252997); #75370=VERTEX_POINT('',#252999); #75371=VERTEX_POINT('',#253001); #75372=VERTEX_POINT('',#253003); #75373=VERTEX_POINT('',#253005); #75374=VERTEX_POINT('',#253007); #75375=VERTEX_POINT('',#253009); #75376=VERTEX_POINT('',#253011); #75377=VERTEX_POINT('',#253013); #75378=VERTEX_POINT('',#253015); #75379=VERTEX_POINT('',#253017); #75380=VERTEX_POINT('',#253019); #75381=VERTEX_POINT('',#253021); #75382=VERTEX_POINT('',#253023); #75383=VERTEX_POINT('',#253025); #75384=VERTEX_POINT('',#253027); #75385=VERTEX_POINT('',#253029); #75386=VERTEX_POINT('',#253031); #75387=VERTEX_POINT('',#253033); #75388=VERTEX_POINT('',#253035); #75389=VERTEX_POINT('',#253037); #75390=VERTEX_POINT('',#253039); #75391=VERTEX_POINT('',#253041); #75392=VERTEX_POINT('',#253043); #75393=VERTEX_POINT('',#253045); #75394=VERTEX_POINT('',#253047); #75395=VERTEX_POINT('',#253049); #75396=VERTEX_POINT('',#253051); #75397=VERTEX_POINT('',#253053); #75398=VERTEX_POINT('',#253055); #75399=VERTEX_POINT('',#253057); #75400=VERTEX_POINT('',#253059); #75401=VERTEX_POINT('',#253061); #75402=VERTEX_POINT('',#253063); #75403=VERTEX_POINT('',#253065); #75404=VERTEX_POINT('',#253067); #75405=VERTEX_POINT('',#253069); #75406=VERTEX_POINT('',#253071); #75407=VERTEX_POINT('',#253073); #75408=VERTEX_POINT('',#253075); #75409=VERTEX_POINT('',#253077); #75410=VERTEX_POINT('',#253080); #75411=VERTEX_POINT('',#253081); #75412=VERTEX_POINT('',#253083); #75413=VERTEX_POINT('',#253085); #75414=VERTEX_POINT('',#253087); #75415=VERTEX_POINT('',#253089); #75416=VERTEX_POINT('',#253091); #75417=VERTEX_POINT('',#253093); #75418=VERTEX_POINT('',#253095); #75419=VERTEX_POINT('',#253097); #75420=VERTEX_POINT('',#253099); #75421=VERTEX_POINT('',#253101); #75422=VERTEX_POINT('',#253103); #75423=VERTEX_POINT('',#253105); #75424=VERTEX_POINT('',#253107); #75425=VERTEX_POINT('',#253109); #75426=VERTEX_POINT('',#253111); #75427=VERTEX_POINT('',#253113); #75428=VERTEX_POINT('',#253115); #75429=VERTEX_POINT('',#253117); #75430=VERTEX_POINT('',#253119); #75431=VERTEX_POINT('',#253121); #75432=VERTEX_POINT('',#253123); #75433=VERTEX_POINT('',#253125); #75434=VERTEX_POINT('',#253127); #75435=VERTEX_POINT('',#253129); #75436=VERTEX_POINT('',#253131); #75437=VERTEX_POINT('',#253133); #75438=VERTEX_POINT('',#253135); #75439=VERTEX_POINT('',#253137); #75440=VERTEX_POINT('',#253139); #75441=VERTEX_POINT('',#253141); #75442=VERTEX_POINT('',#253143); #75443=VERTEX_POINT('',#253145); #75444=VERTEX_POINT('',#253147); #75445=VERTEX_POINT('',#253149); #75446=VERTEX_POINT('',#253151); #75447=VERTEX_POINT('',#253154); #75448=VERTEX_POINT('',#253155); #75449=VERTEX_POINT('',#253157); #75450=VERTEX_POINT('',#253159); #75451=VERTEX_POINT('',#253161); #75452=VERTEX_POINT('',#253163); #75453=VERTEX_POINT('',#253165); #75454=VERTEX_POINT('',#253167); #75455=VERTEX_POINT('',#253169); #75456=VERTEX_POINT('',#253171); #75457=VERTEX_POINT('',#253173); #75458=VERTEX_POINT('',#253176); #75459=VERTEX_POINT('',#253177); #75460=VERTEX_POINT('',#253179); #75461=VERTEX_POINT('',#253181); #75462=VERTEX_POINT('',#253183); #75463=VERTEX_POINT('',#253185); #75464=VERTEX_POINT('',#253187); #75465=VERTEX_POINT('',#253189); #75466=VERTEX_POINT('',#253191); #75467=VERTEX_POINT('',#253193); #75468=VERTEX_POINT('',#253195); #75469=VERTEX_POINT('',#253197); #75470=VERTEX_POINT('',#253199); #75471=VERTEX_POINT('',#253201); #75472=VERTEX_POINT('',#253203); #75473=VERTEX_POINT('',#253205); #75474=VERTEX_POINT('',#253207); #75475=VERTEX_POINT('',#253209); #75476=VERTEX_POINT('',#253211); #75477=VERTEX_POINT('',#253213); #75478=VERTEX_POINT('',#253215); #75479=VERTEX_POINT('',#253217); #75480=VERTEX_POINT('',#253219); #75481=VERTEX_POINT('',#253221); #75482=VERTEX_POINT('',#253223); #75483=VERTEX_POINT('',#253225); #75484=VERTEX_POINT('',#253227); #75485=VERTEX_POINT('',#253229); #75486=VERTEX_POINT('',#253231); #75487=VERTEX_POINT('',#253233); #75488=VERTEX_POINT('',#253235); #75489=VERTEX_POINT('',#253238); #75490=VERTEX_POINT('',#253239); #75491=VERTEX_POINT('',#253241); #75492=VERTEX_POINT('',#253243); #75493=VERTEX_POINT('',#253245); #75494=VERTEX_POINT('',#253247); #75495=VERTEX_POINT('',#253249); #75496=VERTEX_POINT('',#253251); #75497=VERTEX_POINT('',#253253); #75498=VERTEX_POINT('',#253255); #75499=VERTEX_POINT('',#253257); #75500=VERTEX_POINT('',#253259); #75501=VERTEX_POINT('',#253261); #75502=VERTEX_POINT('',#253263); #75503=VERTEX_POINT('',#253265); #75504=VERTEX_POINT('',#253267); #75505=VERTEX_POINT('',#253269); #75506=VERTEX_POINT('',#253271); #75507=VERTEX_POINT('',#253273); #75508=VERTEX_POINT('',#253275); #75509=VERTEX_POINT('',#253277); #75510=VERTEX_POINT('',#253279); #75511=VERTEX_POINT('',#253281); #75512=VERTEX_POINT('',#253283); #75513=VERTEX_POINT('',#253286); #75514=VERTEX_POINT('',#253287); #75515=VERTEX_POINT('',#253289); #75516=VERTEX_POINT('',#253291); #75517=VERTEX_POINT('',#253293); #75518=VERTEX_POINT('',#253295); #75519=VERTEX_POINT('',#253297); #75520=VERTEX_POINT('',#253299); #75521=VERTEX_POINT('',#253301); #75522=VERTEX_POINT('',#253303); #75523=VERTEX_POINT('',#253305); #75524=VERTEX_POINT('',#253307); #75525=VERTEX_POINT('',#253309); #75526=VERTEX_POINT('',#253311); #75527=VERTEX_POINT('',#253313); #75528=VERTEX_POINT('',#253315); #75529=VERTEX_POINT('',#253317); #75530=VERTEX_POINT('',#253319); #75531=VERTEX_POINT('',#253321); #75532=VERTEX_POINT('',#253323); #75533=VERTEX_POINT('',#253325); #75534=VERTEX_POINT('',#253327); #75535=VERTEX_POINT('',#253329); #75536=VERTEX_POINT('',#253331); #75537=VERTEX_POINT('',#253333); #75538=VERTEX_POINT('',#253335); #75539=VERTEX_POINT('',#253337); #75540=VERTEX_POINT('',#253339); #75541=VERTEX_POINT('',#253341); #75542=VERTEX_POINT('',#253343); #75543=VERTEX_POINT('',#253345); #75544=VERTEX_POINT('',#253347); #75545=VERTEX_POINT('',#253349); #75546=VERTEX_POINT('',#253351); #75547=VERTEX_POINT('',#253353); #75548=VERTEX_POINT('',#253355); #75549=VERTEX_POINT('',#253357); #75550=VERTEX_POINT('',#253359); #75551=VERTEX_POINT('',#253361); #75552=VERTEX_POINT('',#253363); #75553=VERTEX_POINT('',#253365); #75554=VERTEX_POINT('',#253367); #75555=VERTEX_POINT('',#253369); #75556=VERTEX_POINT('',#253371); #75557=VERTEX_POINT('',#253373); #75558=VERTEX_POINT('',#253375); #75559=VERTEX_POINT('',#253377); #75560=VERTEX_POINT('',#253379); #75561=VERTEX_POINT('',#253381); #75562=VERTEX_POINT('',#253383); #75563=VERTEX_POINT('',#253385); #75564=VERTEX_POINT('',#253387); #75565=VERTEX_POINT('',#253389); #75566=VERTEX_POINT('',#253391); #75567=VERTEX_POINT('',#253393); #75568=VERTEX_POINT('',#253395); #75569=VERTEX_POINT('',#253397); #75570=VERTEX_POINT('',#253399); #75571=VERTEX_POINT('',#253401); #75572=VERTEX_POINT('',#253403); #75573=VERTEX_POINT('',#253405); #75574=VERTEX_POINT('',#253407); #75575=VERTEX_POINT('',#253409); #75576=VERTEX_POINT('',#253411); #75577=VERTEX_POINT('',#253413); #75578=VERTEX_POINT('',#253415); #75579=VERTEX_POINT('',#253417); #75580=VERTEX_POINT('',#253419); #75581=VERTEX_POINT('',#253421); #75582=VERTEX_POINT('',#253423); #75583=VERTEX_POINT('',#253425); #75584=VERTEX_POINT('',#253427); #75585=VERTEX_POINT('',#253429); #75586=VERTEX_POINT('',#253431); #75587=VERTEX_POINT('',#253433); #75588=VERTEX_POINT('',#253435); #75589=VERTEX_POINT('',#253437); #75590=VERTEX_POINT('',#253439); #75591=VERTEX_POINT('',#253441); #75592=VERTEX_POINT('',#253443); #75593=VERTEX_POINT('',#253445); #75594=VERTEX_POINT('',#253447); #75595=VERTEX_POINT('',#253450); #75596=VERTEX_POINT('',#253451); #75597=VERTEX_POINT('',#253453); #75598=VERTEX_POINT('',#253455); #75599=VERTEX_POINT('',#253457); #75600=VERTEX_POINT('',#253459); #75601=VERTEX_POINT('',#253461); #75602=VERTEX_POINT('',#253463); #75603=VERTEX_POINT('',#253465); #75604=VERTEX_POINT('',#253467); #75605=VERTEX_POINT('',#253469); #75606=VERTEX_POINT('',#253471); #75607=VERTEX_POINT('',#253473); #75608=VERTEX_POINT('',#253475); #75609=VERTEX_POINT('',#253477); #75610=VERTEX_POINT('',#253479); #75611=VERTEX_POINT('',#253481); #75612=VERTEX_POINT('',#253483); #75613=VERTEX_POINT('',#253485); #75614=VERTEX_POINT('',#253487); #75615=VERTEX_POINT('',#253489); #75616=VERTEX_POINT('',#253491); #75617=VERTEX_POINT('',#253493); #75618=VERTEX_POINT('',#253496); #75619=VERTEX_POINT('',#253497); #75620=VERTEX_POINT('',#253499); #75621=VERTEX_POINT('',#253501); #75622=VERTEX_POINT('',#253503); #75623=VERTEX_POINT('',#253505); #75624=VERTEX_POINT('',#253507); #75625=VERTEX_POINT('',#253509); #75626=VERTEX_POINT('',#253511); #75627=VERTEX_POINT('',#253513); #75628=VERTEX_POINT('',#253515); #75629=VERTEX_POINT('',#253517); #75630=VERTEX_POINT('',#253519); #75631=VERTEX_POINT('',#253521); #75632=VERTEX_POINT('',#253524); #75633=VERTEX_POINT('',#253525); #75634=VERTEX_POINT('',#253527); #75635=VERTEX_POINT('',#253529); #75636=VERTEX_POINT('',#253531); #75637=VERTEX_POINT('',#253533); #75638=VERTEX_POINT('',#253535); #75639=VERTEX_POINT('',#253537); #75640=VERTEX_POINT('',#253539); #75641=VERTEX_POINT('',#253541); #75642=VERTEX_POINT('',#253543); #75643=VERTEX_POINT('',#253545); #75644=VERTEX_POINT('',#253547); #75645=VERTEX_POINT('',#253549); #75646=VERTEX_POINT('',#253551); #75647=VERTEX_POINT('',#253553); #75648=VERTEX_POINT('',#253555); #75649=VERTEX_POINT('',#253557); #75650=VERTEX_POINT('',#253560); #75651=VERTEX_POINT('',#253561); #75652=VERTEX_POINT('',#253563); #75653=VERTEX_POINT('',#253565); #75654=VERTEX_POINT('',#253567); #75655=VERTEX_POINT('',#253569); #75656=VERTEX_POINT('',#253571); #75657=VERTEX_POINT('',#253573); #75658=VERTEX_POINT('',#253575); #75659=VERTEX_POINT('',#253577); #75660=VERTEX_POINT('',#253579); #75661=VERTEX_POINT('',#253581); #75662=VERTEX_POINT('',#253583); #75663=VERTEX_POINT('',#253585); #75664=VERTEX_POINT('',#253587); #75665=VERTEX_POINT('',#253589); #75666=VERTEX_POINT('',#253591); #75667=VERTEX_POINT('',#253593); #75668=VERTEX_POINT('',#253595); #75669=VERTEX_POINT('',#253597); #75670=VERTEX_POINT('',#253599); #75671=VERTEX_POINT('',#253601); #75672=VERTEX_POINT('',#253603); #75673=VERTEX_POINT('',#253605); #75674=VERTEX_POINT('',#253607); #75675=VERTEX_POINT('',#253609); #75676=VERTEX_POINT('',#253611); #75677=VERTEX_POINT('',#253613); #75678=VERTEX_POINT('',#253615); #75679=VERTEX_POINT('',#253617); #75680=VERTEX_POINT('',#253619); #75681=VERTEX_POINT('',#253621); #75682=VERTEX_POINT('',#253623); #75683=VERTEX_POINT('',#253625); #75684=VERTEX_POINT('',#253627); #75685=VERTEX_POINT('',#253629); #75686=VERTEX_POINT('',#253631); #75687=VERTEX_POINT('',#253633); #75688=VERTEX_POINT('',#253635); #75689=VERTEX_POINT('',#253637); #75690=VERTEX_POINT('',#253639); #75691=VERTEX_POINT('',#253641); #75692=VERTEX_POINT('',#253643); #75693=VERTEX_POINT('',#253645); #75694=VERTEX_POINT('',#253647); #75695=VERTEX_POINT('',#253649); #75696=VERTEX_POINT('',#253652); #75697=VERTEX_POINT('',#253653); #75698=VERTEX_POINT('',#253655); #75699=VERTEX_POINT('',#253657); #75700=VERTEX_POINT('',#253659); #75701=VERTEX_POINT('',#253661); #75702=VERTEX_POINT('',#253663); #75703=VERTEX_POINT('',#253665); #75704=VERTEX_POINT('',#253667); #75705=VERTEX_POINT('',#253669); #75706=VERTEX_POINT('',#253671); #75707=VERTEX_POINT('',#253674); #75708=VERTEX_POINT('',#253675); #75709=VERTEX_POINT('',#253677); #75710=VERTEX_POINT('',#253679); #75711=VERTEX_POINT('',#253681); #75712=VERTEX_POINT('',#253683); #75713=VERTEX_POINT('',#253685); #75714=VERTEX_POINT('',#253687); #75715=VERTEX_POINT('',#253689); #75716=VERTEX_POINT('',#253691); #75717=VERTEX_POINT('',#253693); #75718=VERTEX_POINT('',#253695); #75719=VERTEX_POINT('',#253697); #75720=VERTEX_POINT('',#253699); #75721=VERTEX_POINT('',#253701); #75722=VERTEX_POINT('',#253703); #75723=VERTEX_POINT('',#253705); #75724=VERTEX_POINT('',#253707); #75725=VERTEX_POINT('',#253709); #75726=VERTEX_POINT('',#253711); #75727=VERTEX_POINT('',#253713); #75728=VERTEX_POINT('',#253715); #75729=VERTEX_POINT('',#253717); #75730=VERTEX_POINT('',#253719); #75731=VERTEX_POINT('',#253721); #75732=VERTEX_POINT('',#253723); #75733=VERTEX_POINT('',#253725); #75734=VERTEX_POINT('',#253727); #75735=VERTEX_POINT('',#253729); #75736=VERTEX_POINT('',#253731); #75737=VERTEX_POINT('',#253733); #75738=VERTEX_POINT('',#253735); #75739=VERTEX_POINT('',#253737); #75740=VERTEX_POINT('',#253739); #75741=VERTEX_POINT('',#253741); #75742=VERTEX_POINT('',#253743); #75743=VERTEX_POINT('',#253745); #75744=VERTEX_POINT('',#253747); #75745=VERTEX_POINT('',#253749); #75746=VERTEX_POINT('',#253751); #75747=VERTEX_POINT('',#253753); #75748=VERTEX_POINT('',#253755); #75749=VERTEX_POINT('',#253758); #75750=VERTEX_POINT('',#253759); #75751=VERTEX_POINT('',#253761); #75752=VERTEX_POINT('',#253763); #75753=VERTEX_POINT('',#253765); #75754=VERTEX_POINT('',#253767); #75755=VERTEX_POINT('',#253769); #75756=VERTEX_POINT('',#253771); #75757=VERTEX_POINT('',#253773); #75758=VERTEX_POINT('',#253775); #75759=VERTEX_POINT('',#253777); #75760=VERTEX_POINT('',#253779); #75761=VERTEX_POINT('',#253781); #75762=VERTEX_POINT('',#253783); #75763=VERTEX_POINT('',#253785); #75764=VERTEX_POINT('',#253787); #75765=VERTEX_POINT('',#253789); #75766=VERTEX_POINT('',#253791); #75767=VERTEX_POINT('',#253793); #75768=VERTEX_POINT('',#253795); #75769=VERTEX_POINT('',#253797); #75770=VERTEX_POINT('',#253799); #75771=VERTEX_POINT('',#253801); #75772=VERTEX_POINT('',#253803); #75773=VERTEX_POINT('',#253805); #75774=VERTEX_POINT('',#253807); #75775=VERTEX_POINT('',#253809); #75776=VERTEX_POINT('',#253811); #75777=VERTEX_POINT('',#253813); #75778=VERTEX_POINT('',#253815); #75779=VERTEX_POINT('',#253817); #75780=VERTEX_POINT('',#253819); #75781=VERTEX_POINT('',#253821); #75782=VERTEX_POINT('',#253824); #75783=VERTEX_POINT('',#253825); #75784=VERTEX_POINT('',#253827); #75785=VERTEX_POINT('',#253829); #75786=VERTEX_POINT('',#253831); #75787=VERTEX_POINT('',#253833); #75788=VERTEX_POINT('',#253835); #75789=VERTEX_POINT('',#253837); #75790=VERTEX_POINT('',#253839); #75791=VERTEX_POINT('',#253841); #75792=VERTEX_POINT('',#253843); #75793=VERTEX_POINT('',#253845); #75794=VERTEX_POINT('',#253847); #75795=VERTEX_POINT('',#253849); #75796=VERTEX_POINT('',#253851); #75797=VERTEX_POINT('',#253853); #75798=VERTEX_POINT('',#253855); #75799=VERTEX_POINT('',#253857); #75800=VERTEX_POINT('',#253859); #75801=VERTEX_POINT('',#253861); #75802=VERTEX_POINT('',#253863); #75803=VERTEX_POINT('',#253865); #75804=VERTEX_POINT('',#253867); #75805=VERTEX_POINT('',#253869); #75806=VERTEX_POINT('',#253871); #75807=VERTEX_POINT('',#253873); #75808=VERTEX_POINT('',#253875); #75809=VERTEX_POINT('',#253877); #75810=VERTEX_POINT('',#253879); #75811=VERTEX_POINT('',#253881); #75812=VERTEX_POINT('',#253883); #75813=VERTEX_POINT('',#253886); #75814=VERTEX_POINT('',#253887); #75815=VERTEX_POINT('',#253889); #75816=VERTEX_POINT('',#253891); #75817=VERTEX_POINT('',#253893); #75818=VERTEX_POINT('',#253895); #75819=VERTEX_POINT('',#253897); #75820=VERTEX_POINT('',#253899); #75821=VERTEX_POINT('',#253901); #75822=VERTEX_POINT('',#253903); #75823=VERTEX_POINT('',#253905); #75824=VERTEX_POINT('',#253907); #75825=VERTEX_POINT('',#253909); #75826=VERTEX_POINT('',#253911); #75827=VERTEX_POINT('',#253913); #75828=VERTEX_POINT('',#253915); #75829=VERTEX_POINT('',#253917); #75830=VERTEX_POINT('',#253919); #75831=VERTEX_POINT('',#253921); #75832=VERTEX_POINT('',#253923); #75833=VERTEX_POINT('',#253925); #75834=VERTEX_POINT('',#253927); #75835=VERTEX_POINT('',#253929); #75836=VERTEX_POINT('',#253931); #75837=VERTEX_POINT('',#253933); #75838=VERTEX_POINT('',#253935); #75839=VERTEX_POINT('',#253937); #75840=VERTEX_POINT('',#253939); #75841=VERTEX_POINT('',#253941); #75842=VERTEX_POINT('',#253943); #75843=VERTEX_POINT('',#253945); #75844=VERTEX_POINT('',#253948); #75845=VERTEX_POINT('',#253949); #75846=VERTEX_POINT('',#253951); #75847=VERTEX_POINT('',#253953); #75848=VERTEX_POINT('',#253955); #75849=VERTEX_POINT('',#253957); #75850=VERTEX_POINT('',#253959); #75851=VERTEX_POINT('',#253961); #75852=VERTEX_POINT('',#253963); #75853=VERTEX_POINT('',#253965); #75854=VERTEX_POINT('',#253967); #75855=VERTEX_POINT('',#253969); #75856=VERTEX_POINT('',#253971); #75857=VERTEX_POINT('',#253973); #75858=VERTEX_POINT('',#253977); #75859=VERTEX_POINT('',#253979); #75860=VERTEX_POINT('',#253983); #75861=VERTEX_POINT('',#253987); #75862=VERTEX_POINT('',#253991); #75863=VERTEX_POINT('',#253995); #75864=VERTEX_POINT('',#253999); #75865=VERTEX_POINT('',#254003); #75866=VERTEX_POINT('',#254007); #75867=VERTEX_POINT('',#254011); #75868=VERTEX_POINT('',#254015); #75869=VERTEX_POINT('',#254019); #75870=VERTEX_POINT('',#254023); #75871=VERTEX_POINT('',#254027); #75872=VERTEX_POINT('',#254034); #75873=VERTEX_POINT('',#254035); #75874=VERTEX_POINT('',#254037); #75875=VERTEX_POINT('',#254039); #75876=VERTEX_POINT('',#254043); #75877=VERTEX_POINT('',#254045); #75878=VERTEX_POINT('',#254049); #75879=VERTEX_POINT('',#254051); #75880=VERTEX_POINT('',#254055); #75881=VERTEX_POINT('',#254057); #75882=VERTEX_POINT('',#254061); #75883=VERTEX_POINT('',#254063); #75884=VERTEX_POINT('',#254067); #75885=VERTEX_POINT('',#254069); #75886=VERTEX_POINT('',#254073); #75887=VERTEX_POINT('',#254075); #75888=VERTEX_POINT('',#254079); #75889=VERTEX_POINT('',#254081); #75890=VERTEX_POINT('',#254085); #75891=VERTEX_POINT('',#254087); #75892=VERTEX_POINT('',#254091); #75893=VERTEX_POINT('',#254093); #75894=VERTEX_POINT('',#254097); #75895=VERTEX_POINT('',#254099); #75896=VERTEX_POINT('',#254103); #75897=VERTEX_POINT('',#254105); #75898=VERTEX_POINT('',#254109); #75899=VERTEX_POINT('',#254111); #75900=VERTEX_POINT('',#254118); #75901=VERTEX_POINT('',#254120); #75902=VERTEX_POINT('',#254124); #75903=VERTEX_POINT('',#254128); #75904=VERTEX_POINT('',#254132); #75905=VERTEX_POINT('',#254136); #75906=VERTEX_POINT('',#254140); #75907=VERTEX_POINT('',#254144); #75908=VERTEX_POINT('',#254148); #75909=VERTEX_POINT('',#254152); #75910=VERTEX_POINT('',#254156); #75911=VERTEX_POINT('',#254160); #75912=VERTEX_POINT('',#254164); #75913=VERTEX_POINT('',#254168); #75914=VERTEX_POINT('',#254172); #75915=VERTEX_POINT('',#254176); #75916=VERTEX_POINT('',#254180); #75917=VERTEX_POINT('',#254184); #75918=VERTEX_POINT('',#254188); #75919=VERTEX_POINT('',#254192); #75920=VERTEX_POINT('',#254196); #75921=VERTEX_POINT('',#254200); #75922=VERTEX_POINT('',#254204); #75923=VERTEX_POINT('',#254208); #75924=VERTEX_POINT('',#254212); #75925=VERTEX_POINT('',#254216); #75926=VERTEX_POINT('',#254220); #75927=VERTEX_POINT('',#254224); #75928=VERTEX_POINT('',#254228); #75929=VERTEX_POINT('',#254232); #75930=VERTEX_POINT('',#254236); #75931=VERTEX_POINT('',#254243); #75932=VERTEX_POINT('',#254245); #75933=VERTEX_POINT('',#254249); #75934=VERTEX_POINT('',#254253); #75935=VERTEX_POINT('',#254257); #75936=VERTEX_POINT('',#254261); #75937=VERTEX_POINT('',#254265); #75938=VERTEX_POINT('',#254269); #75939=VERTEX_POINT('',#254273); #75940=VERTEX_POINT('',#254277); #75941=VERTEX_POINT('',#254281); #75942=VERTEX_POINT('',#254285); #75943=VERTEX_POINT('',#254289); #75944=VERTEX_POINT('',#254293); #75945=VERTEX_POINT('',#254297); #75946=VERTEX_POINT('',#254301); #75947=VERTEX_POINT('',#254305); #75948=VERTEX_POINT('',#254309); #75949=VERTEX_POINT('',#254313); #75950=VERTEX_POINT('',#254317); #75951=VERTEX_POINT('',#254321); #75952=VERTEX_POINT('',#254325); #75953=VERTEX_POINT('',#254329); #75954=VERTEX_POINT('',#254333); #75955=VERTEX_POINT('',#254337); #75956=VERTEX_POINT('',#254341); #75957=VERTEX_POINT('',#254345); #75958=VERTEX_POINT('',#254349); #75959=VERTEX_POINT('',#254353); #75960=VERTEX_POINT('',#254357); #75961=VERTEX_POINT('',#254361); #75962=VERTEX_POINT('',#254368); #75963=VERTEX_POINT('',#254370); #75964=VERTEX_POINT('',#254374); #75965=VERTEX_POINT('',#254378); #75966=VERTEX_POINT('',#254382); #75967=VERTEX_POINT('',#254386); #75968=VERTEX_POINT('',#254390); #75969=VERTEX_POINT('',#254394); #75970=VERTEX_POINT('',#254398); #75971=VERTEX_POINT('',#254402); #75972=VERTEX_POINT('',#254406); #75973=VERTEX_POINT('',#254410); #75974=VERTEX_POINT('',#254414); #75975=VERTEX_POINT('',#254418); #75976=VERTEX_POINT('',#254422); #75977=VERTEX_POINT('',#254426); #75978=VERTEX_POINT('',#254430); #75979=VERTEX_POINT('',#254434); #75980=VERTEX_POINT('',#254438); #75981=VERTEX_POINT('',#254442); #75982=VERTEX_POINT('',#254446); #75983=VERTEX_POINT('',#254450); #75984=VERTEX_POINT('',#254454); #75985=VERTEX_POINT('',#254458); #75986=VERTEX_POINT('',#254462); #75987=VERTEX_POINT('',#254466); #75988=VERTEX_POINT('',#254470); #75989=VERTEX_POINT('',#254474); #75990=VERTEX_POINT('',#254478); #75991=VERTEX_POINT('',#254482); #75992=VERTEX_POINT('',#254486); #75993=VERTEX_POINT('',#254490); #75994=VERTEX_POINT('',#254494); #75995=VERTEX_POINT('',#254501); #75996=VERTEX_POINT('',#254503); #75997=VERTEX_POINT('',#254507); #75998=VERTEX_POINT('',#254511); #75999=VERTEX_POINT('',#254515); #76000=VERTEX_POINT('',#254519); #76001=VERTEX_POINT('',#254523); #76002=VERTEX_POINT('',#254527); #76003=VERTEX_POINT('',#254531); #76004=VERTEX_POINT('',#254535); #76005=VERTEX_POINT('',#254539); #76006=VERTEX_POINT('',#254543); #76007=VERTEX_POINT('',#254547); #76008=VERTEX_POINT('',#254551); #76009=VERTEX_POINT('',#254555); #76010=VERTEX_POINT('',#254559); #76011=VERTEX_POINT('',#254563); #76012=VERTEX_POINT('',#254567); #76013=VERTEX_POINT('',#254571); #76014=VERTEX_POINT('',#254575); #76015=VERTEX_POINT('',#254579); #76016=VERTEX_POINT('',#254583); #76017=VERTEX_POINT('',#254587); #76018=VERTEX_POINT('',#254591); #76019=VERTEX_POINT('',#254595); #76020=VERTEX_POINT('',#254599); #76021=VERTEX_POINT('',#254603); #76022=VERTEX_POINT('',#254607); #76023=VERTEX_POINT('',#254611); #76024=VERTEX_POINT('',#254615); #76025=VERTEX_POINT('',#254619); #76026=VERTEX_POINT('',#254623); #76027=VERTEX_POINT('',#254627); #76028=VERTEX_POINT('',#254631); #76029=VERTEX_POINT('',#254635); #76030=VERTEX_POINT('',#254639); #76031=VERTEX_POINT('',#254643); #76032=VERTEX_POINT('',#254647); #76033=VERTEX_POINT('',#254651); #76034=VERTEX_POINT('',#254655); #76035=VERTEX_POINT('',#254659); #76036=VERTEX_POINT('',#254663); #76037=VERTEX_POINT('',#254670); #76038=VERTEX_POINT('',#254671); #76039=VERTEX_POINT('',#254673); #76040=VERTEX_POINT('',#254675); #76041=VERTEX_POINT('',#254679); #76042=VERTEX_POINT('',#254681); #76043=VERTEX_POINT('',#254685); #76044=VERTEX_POINT('',#254687); #76045=VERTEX_POINT('',#254691); #76046=VERTEX_POINT('',#254693); #76047=VERTEX_POINT('',#254697); #76048=VERTEX_POINT('',#254699); #76049=VERTEX_POINT('',#254703); #76050=VERTEX_POINT('',#254705); #76051=VERTEX_POINT('',#254709); #76052=VERTEX_POINT('',#254711); #76053=VERTEX_POINT('',#254715); #76054=VERTEX_POINT('',#254717); #76055=VERTEX_POINT('',#254724); #76056=VERTEX_POINT('',#254726); #76057=VERTEX_POINT('',#254730); #76058=VERTEX_POINT('',#254734); #76059=VERTEX_POINT('',#254738); #76060=VERTEX_POINT('',#254742); #76061=VERTEX_POINT('',#254746); #76062=VERTEX_POINT('',#254750); #76063=VERTEX_POINT('',#254754); #76064=VERTEX_POINT('',#254758); #76065=VERTEX_POINT('',#254762); #76066=VERTEX_POINT('',#254769); #76067=VERTEX_POINT('',#254771); #76068=VERTEX_POINT('',#254775); #76069=VERTEX_POINT('',#254779); #76070=VERTEX_POINT('',#254783); #76071=VERTEX_POINT('',#254787); #76072=VERTEX_POINT('',#254791); #76073=VERTEX_POINT('',#254795); #76074=VERTEX_POINT('',#254799); #76075=VERTEX_POINT('',#254803); #76076=VERTEX_POINT('',#254807); #76077=VERTEX_POINT('',#254811); #76078=VERTEX_POINT('',#254815); #76079=VERTEX_POINT('',#254819); #76080=VERTEX_POINT('',#254823); #76081=VERTEX_POINT('',#254827); #76082=VERTEX_POINT('',#254831); #76083=VERTEX_POINT('',#254835); #76084=VERTEX_POINT('',#254839); #76085=VERTEX_POINT('',#254843); #76086=VERTEX_POINT('',#254847); #76087=VERTEX_POINT('',#254851); #76088=VERTEX_POINT('',#254855); #76089=VERTEX_POINT('',#254859); #76090=VERTEX_POINT('',#254863); #76091=VERTEX_POINT('',#254867); #76092=VERTEX_POINT('',#254871); #76093=VERTEX_POINT('',#254875); #76094=VERTEX_POINT('',#254879); #76095=VERTEX_POINT('',#254883); #76096=VERTEX_POINT('',#254887); #76097=VERTEX_POINT('',#254891); #76098=VERTEX_POINT('',#254895); #76099=VERTEX_POINT('',#254899); #76100=VERTEX_POINT('',#254903); #76101=VERTEX_POINT('',#254907); #76102=VERTEX_POINT('',#254911); #76103=VERTEX_POINT('',#254915); #76104=VERTEX_POINT('',#254919); #76105=VERTEX_POINT('',#254923); #76106=VERTEX_POINT('',#254927); #76107=VERTEX_POINT('',#254931); #76108=VERTEX_POINT('',#254935); #76109=VERTEX_POINT('',#254939); #76110=VERTEX_POINT('',#254943); #76111=VERTEX_POINT('',#254947); #76112=VERTEX_POINT('',#254954); #76113=VERTEX_POINT('',#254956); #76114=VERTEX_POINT('',#254960); #76115=VERTEX_POINT('',#254964); #76116=VERTEX_POINT('',#254968); #76117=VERTEX_POINT('',#254972); #76118=VERTEX_POINT('',#254976); #76119=VERTEX_POINT('',#254980); #76120=VERTEX_POINT('',#254984); #76121=VERTEX_POINT('',#254988); #76122=VERTEX_POINT('',#254992); #76123=VERTEX_POINT('',#254996); #76124=VERTEX_POINT('',#255000); #76125=VERTEX_POINT('',#255004); #76126=VERTEX_POINT('',#255008); #76127=VERTEX_POINT('',#255012); #76128=VERTEX_POINT('',#255016); #76129=VERTEX_POINT('',#255020); #76130=VERTEX_POINT('',#255027); #76131=VERTEX_POINT('',#255029); #76132=VERTEX_POINT('',#255033); #76133=VERTEX_POINT('',#255037); #76134=VERTEX_POINT('',#255041); #76135=VERTEX_POINT('',#255045); #76136=VERTEX_POINT('',#255049); #76137=VERTEX_POINT('',#255053); #76138=VERTEX_POINT('',#255057); #76139=VERTEX_POINT('',#255061); #76140=VERTEX_POINT('',#255065); #76141=VERTEX_POINT('',#255069); #76142=VERTEX_POINT('',#255073); #76143=VERTEX_POINT('',#255077); #76144=VERTEX_POINT('',#255084); #76145=VERTEX_POINT('',#255086); #76146=VERTEX_POINT('',#255090); #76147=VERTEX_POINT('',#255094); #76148=VERTEX_POINT('',#255098); #76149=VERTEX_POINT('',#255102); #76150=VERTEX_POINT('',#255106); #76151=VERTEX_POINT('',#255110); #76152=VERTEX_POINT('',#255114); #76153=VERTEX_POINT('',#255118); #76154=VERTEX_POINT('',#255122); #76155=VERTEX_POINT('',#255126); #76156=VERTEX_POINT('',#255130); #76157=VERTEX_POINT('',#255134); #76158=VERTEX_POINT('',#255138); #76159=VERTEX_POINT('',#255142); #76160=VERTEX_POINT('',#255146); #76161=VERTEX_POINT('',#255150); #76162=VERTEX_POINT('',#255154); #76163=VERTEX_POINT('',#255158); #76164=VERTEX_POINT('',#255162); #76165=VERTEX_POINT('',#255166); #76166=VERTEX_POINT('',#255170); #76167=VERTEX_POINT('',#255177); #76168=VERTEX_POINT('',#255179); #76169=VERTEX_POINT('',#255183); #76170=VERTEX_POINT('',#255187); #76171=VERTEX_POINT('',#255191); #76172=VERTEX_POINT('',#255195); #76173=VERTEX_POINT('',#255199); #76174=VERTEX_POINT('',#255203); #76175=VERTEX_POINT('',#255207); #76176=VERTEX_POINT('',#255211); #76177=VERTEX_POINT('',#255215); #76178=VERTEX_POINT('',#255219); #76179=VERTEX_POINT('',#255223); #76180=VERTEX_POINT('',#255227); #76181=VERTEX_POINT('',#255231); #76182=VERTEX_POINT('',#255235); #76183=VERTEX_POINT('',#255239); #76184=VERTEX_POINT('',#255243); #76185=VERTEX_POINT('',#255247); #76186=VERTEX_POINT('',#255251); #76187=VERTEX_POINT('',#255255); #76188=VERTEX_POINT('',#255259); #76189=VERTEX_POINT('',#255263); #76190=VERTEX_POINT('',#255267); #76191=VERTEX_POINT('',#255271); #76192=VERTEX_POINT('',#255275); #76193=VERTEX_POINT('',#255279); #76194=VERTEX_POINT('',#255283); #76195=VERTEX_POINT('',#255287); #76196=VERTEX_POINT('',#255291); #76197=VERTEX_POINT('',#255295); #76198=VERTEX_POINT('',#255299); #76199=VERTEX_POINT('',#255303); #76200=VERTEX_POINT('',#255307); #76201=VERTEX_POINT('',#255311); #76202=VERTEX_POINT('',#255315); #76203=VERTEX_POINT('',#255319); #76204=VERTEX_POINT('',#255323); #76205=VERTEX_POINT('',#255327); #76206=VERTEX_POINT('',#255331); #76207=VERTEX_POINT('',#255335); #76208=VERTEX_POINT('',#255339); #76209=VERTEX_POINT('',#255343); #76210=VERTEX_POINT('',#255347); #76211=VERTEX_POINT('',#255351); #76212=VERTEX_POINT('',#255355); #76213=VERTEX_POINT('',#255359); #76214=VERTEX_POINT('',#255363); #76215=VERTEX_POINT('',#255367); #76216=VERTEX_POINT('',#255371); #76217=VERTEX_POINT('',#255375); #76218=VERTEX_POINT('',#255379); #76219=VERTEX_POINT('',#255383); #76220=VERTEX_POINT('',#255387); #76221=VERTEX_POINT('',#255391); #76222=VERTEX_POINT('',#255395); #76223=VERTEX_POINT('',#255399); #76224=VERTEX_POINT('',#255403); #76225=VERTEX_POINT('',#255407); #76226=VERTEX_POINT('',#255411); #76227=VERTEX_POINT('',#255415); #76228=VERTEX_POINT('',#255419); #76229=VERTEX_POINT('',#255423); #76230=VERTEX_POINT('',#255427); #76231=VERTEX_POINT('',#255431); #76232=VERTEX_POINT('',#255435); #76233=VERTEX_POINT('',#255439); #76234=VERTEX_POINT('',#255443); #76235=VERTEX_POINT('',#255447); #76236=VERTEX_POINT('',#255451); #76237=VERTEX_POINT('',#255455); #76238=VERTEX_POINT('',#255459); #76239=VERTEX_POINT('',#255463); #76240=VERTEX_POINT('',#255467); #76241=VERTEX_POINT('',#255471); #76242=VERTEX_POINT('',#255475); #76243=VERTEX_POINT('',#255479); #76244=VERTEX_POINT('',#255483); #76245=VERTEX_POINT('',#255487); #76246=VERTEX_POINT('',#255491); #76247=VERTEX_POINT('',#255495); #76248=VERTEX_POINT('',#255499); #76249=VERTEX_POINT('',#255506); #76250=VERTEX_POINT('',#255508); #76251=VERTEX_POINT('',#255512); #76252=VERTEX_POINT('',#255516); #76253=VERTEX_POINT('',#255520); #76254=VERTEX_POINT('',#255524); #76255=VERTEX_POINT('',#255528); #76256=VERTEX_POINT('',#255532); #76257=VERTEX_POINT('',#255536); #76258=VERTEX_POINT('',#255540); #76259=VERTEX_POINT('',#255544); #76260=VERTEX_POINT('',#255548); #76261=VERTEX_POINT('',#255552); #76262=VERTEX_POINT('',#255556); #76263=VERTEX_POINT('',#255560); #76264=VERTEX_POINT('',#255564); #76265=VERTEX_POINT('',#255568); #76266=VERTEX_POINT('',#255572); #76267=VERTEX_POINT('',#255576); #76268=VERTEX_POINT('',#255580); #76269=VERTEX_POINT('',#255584); #76270=VERTEX_POINT('',#255588); #76271=VERTEX_POINT('',#255592); #76272=VERTEX_POINT('',#255596); #76273=VERTEX_POINT('',#255603); #76274=VERTEX_POINT('',#255604); #76275=VERTEX_POINT('',#255606); #76276=VERTEX_POINT('',#255608); #76277=VERTEX_POINT('',#255612); #76278=VERTEX_POINT('',#255614); #76279=VERTEX_POINT('',#255618); #76280=VERTEX_POINT('',#255620); #76281=VERTEX_POINT('',#255624); #76282=VERTEX_POINT('',#255626); #76283=VERTEX_POINT('',#255630); #76284=VERTEX_POINT('',#255632); #76285=VERTEX_POINT('',#255636); #76286=VERTEX_POINT('',#255638); #76287=VERTEX_POINT('',#255642); #76288=VERTEX_POINT('',#255644); #76289=VERTEX_POINT('',#255648); #76290=VERTEX_POINT('',#255650); #76291=VERTEX_POINT('',#255657); #76292=VERTEX_POINT('',#255659); #76293=VERTEX_POINT('',#255663); #76294=VERTEX_POINT('',#255667); #76295=VERTEX_POINT('',#255671); #76296=VERTEX_POINT('',#255675); #76297=VERTEX_POINT('',#255679); #76298=VERTEX_POINT('',#255683); #76299=VERTEX_POINT('',#255687); #76300=VERTEX_POINT('',#255691); #76301=VERTEX_POINT('',#255695); #76302=VERTEX_POINT('',#255699); #76303=VERTEX_POINT('',#255703); #76304=VERTEX_POINT('',#255707); #76305=VERTEX_POINT('',#255711); #76306=VERTEX_POINT('',#255715); #76307=VERTEX_POINT('',#255719); #76308=VERTEX_POINT('',#255723); #76309=VERTEX_POINT('',#255727); #76310=VERTEX_POINT('',#255731); #76311=VERTEX_POINT('',#255735); #76312=VERTEX_POINT('',#255739); #76313=VERTEX_POINT('',#255743); #76314=VERTEX_POINT('',#255747); #76315=VERTEX_POINT('',#255751); #76316=VERTEX_POINT('',#255755); #76317=VERTEX_POINT('',#255759); #76318=VERTEX_POINT('',#255763); #76319=VERTEX_POINT('',#255767); #76320=VERTEX_POINT('',#255771); #76321=VERTEX_POINT('',#255775); #76322=VERTEX_POINT('',#255782); #76323=VERTEX_POINT('',#255784); #76324=VERTEX_POINT('',#255788); #76325=VERTEX_POINT('',#255792); #76326=VERTEX_POINT('',#255796); #76327=VERTEX_POINT('',#255800); #76328=VERTEX_POINT('',#255804); #76329=VERTEX_POINT('',#255808); #76330=VERTEX_POINT('',#255812); #76331=VERTEX_POINT('',#255816); #76332=VERTEX_POINT('',#255820); #76333=VERTEX_POINT('',#255827); #76334=VERTEX_POINT('',#255828); #76335=VERTEX_POINT('',#255830); #76336=VERTEX_POINT('',#255832); #76337=VERTEX_POINT('',#255836); #76338=VERTEX_POINT('',#255838); #76339=VERTEX_POINT('',#255842); #76340=VERTEX_POINT('',#255844); #76341=VERTEX_POINT('',#255848); #76342=VERTEX_POINT('',#255850); #76343=VERTEX_POINT('',#255854); #76344=VERTEX_POINT('',#255856); #76345=VERTEX_POINT('',#255860); #76346=VERTEX_POINT('',#255862); #76347=VERTEX_POINT('',#255866); #76348=VERTEX_POINT('',#255868); #76349=VERTEX_POINT('',#255872); #76350=VERTEX_POINT('',#255874); #76351=VERTEX_POINT('',#255878); #76352=VERTEX_POINT('',#255880); #76353=VERTEX_POINT('',#255887); #76354=VERTEX_POINT('',#255889); #76355=VERTEX_POINT('',#255893); #76356=VERTEX_POINT('',#255897); #76357=VERTEX_POINT('',#255901); #76358=VERTEX_POINT('',#255905); #76359=VERTEX_POINT('',#255909); #76360=VERTEX_POINT('',#255913); #76361=VERTEX_POINT('',#255917); #76362=VERTEX_POINT('',#255921); #76363=VERTEX_POINT('',#255925); #76364=VERTEX_POINT('',#255929); #76365=VERTEX_POINT('',#255933); #76366=VERTEX_POINT('',#255937); #76367=VERTEX_POINT('',#255941); #76368=VERTEX_POINT('',#255945); #76369=VERTEX_POINT('',#255949); #76370=VERTEX_POINT('',#255953); #76371=VERTEX_POINT('',#255957); #76372=VERTEX_POINT('',#255961); #76373=VERTEX_POINT('',#255965); #76374=VERTEX_POINT('',#255969); #76375=VERTEX_POINT('',#255973); #76376=VERTEX_POINT('',#255977); #76377=VERTEX_POINT('',#255981); #76378=VERTEX_POINT('',#255985); #76379=VERTEX_POINT('',#255989); #76380=VERTEX_POINT('',#255993); #76381=VERTEX_POINT('',#255997); #76382=VERTEX_POINT('',#256001); #76383=VERTEX_POINT('',#256005); #76384=VERTEX_POINT('',#256009); #76385=VERTEX_POINT('',#256013); #76386=VERTEX_POINT('',#256017); #76387=VERTEX_POINT('',#256021); #76388=VERTEX_POINT('',#256025); #76389=VERTEX_POINT('',#256029); #76390=VERTEX_POINT('',#256036); #76391=VERTEX_POINT('',#256038); #76392=VERTEX_POINT('',#256042); #76393=VERTEX_POINT('',#256046); #76394=VERTEX_POINT('',#256050); #76395=VERTEX_POINT('',#256054); #76396=VERTEX_POINT('',#256058); #76397=VERTEX_POINT('',#256062); #76398=VERTEX_POINT('',#256066); #76399=VERTEX_POINT('',#256070); #76400=VERTEX_POINT('',#256074); #76401=VERTEX_POINT('',#256078); #76402=VERTEX_POINT('',#256082); #76403=VERTEX_POINT('',#256086); #76404=VERTEX_POINT('',#256090); #76405=VERTEX_POINT('',#256094); #76406=VERTEX_POINT('',#256098); #76407=VERTEX_POINT('',#256102); #76408=VERTEX_POINT('',#256106); #76409=VERTEX_POINT('',#256110); #76410=VERTEX_POINT('',#256114); #76411=VERTEX_POINT('',#256118); #76412=VERTEX_POINT('',#256122); #76413=VERTEX_POINT('',#256126); #76414=VERTEX_POINT('',#256130); #76415=VERTEX_POINT('',#256134); #76416=VERTEX_POINT('',#256138); #76417=VERTEX_POINT('',#256142); #76418=VERTEX_POINT('',#256146); #76419=VERTEX_POINT('',#256150); #76420=VERTEX_POINT('',#256154); #76421=VERTEX_POINT('',#256158); #76422=VERTEX_POINT('',#256162); #76423=VERTEX_POINT('',#256166); #76424=VERTEX_POINT('',#256170); #76425=VERTEX_POINT('',#256174); #76426=VERTEX_POINT('',#256178); #76427=VERTEX_POINT('',#256182); #76428=VERTEX_POINT('',#256186); #76429=VERTEX_POINT('',#256190); #76430=VERTEX_POINT('',#256194); #76431=VERTEX_POINT('',#256198); #76432=VERTEX_POINT('',#256202); #76433=VERTEX_POINT('',#256209); #76434=VERTEX_POINT('',#256211); #76435=VERTEX_POINT('',#256215); #76436=VERTEX_POINT('',#256219); #76437=VERTEX_POINT('',#256223); #76438=VERTEX_POINT('',#256227); #76439=VERTEX_POINT('',#256231); #76440=VERTEX_POINT('',#256235); #76441=VERTEX_POINT('',#256239); #76442=VERTEX_POINT('',#256243); #76443=VERTEX_POINT('',#256247); #76444=VERTEX_POINT('',#256251); #76445=VERTEX_POINT('',#256255); #76446=VERTEX_POINT('',#256259); #76447=VERTEX_POINT('',#256263); #76448=VERTEX_POINT('',#256267); #76449=VERTEX_POINT('',#256271); #76450=VERTEX_POINT('',#256275); #76451=VERTEX_POINT('',#256279); #76452=VERTEX_POINT('',#256283); #76453=VERTEX_POINT('',#256287); #76454=VERTEX_POINT('',#256291); #76455=VERTEX_POINT('',#256295); #76456=VERTEX_POINT('',#256299); #76457=VERTEX_POINT('',#256303); #76458=VERTEX_POINT('',#256307); #76459=VERTEX_POINT('',#256311); #76460=VERTEX_POINT('',#256315); #76461=VERTEX_POINT('',#256319); #76462=VERTEX_POINT('',#256323); #76463=VERTEX_POINT('',#256327); #76464=VERTEX_POINT('',#256331); #76465=VERTEX_POINT('',#256335); #76466=VERTEX_POINT('',#256339); #76467=VERTEX_POINT('',#256343); #76468=VERTEX_POINT('',#256347); #76469=VERTEX_POINT('',#256351); #76470=VERTEX_POINT('',#256355); #76471=VERTEX_POINT('',#256359); #76472=VERTEX_POINT('',#256363); #76473=VERTEX_POINT('',#256367); #76474=VERTEX_POINT('',#256371); #76475=VERTEX_POINT('',#256375); #76476=VERTEX_POINT('',#256379); #76477=VERTEX_POINT('',#256383); #76478=VERTEX_POINT('',#256387); #76479=VERTEX_POINT('',#256391); #76480=VERTEX_POINT('',#256395); #76481=VERTEX_POINT('',#256399); #76482=VERTEX_POINT('',#256403); #76483=VERTEX_POINT('',#256407); #76484=VERTEX_POINT('',#256411); #76485=VERTEX_POINT('',#256415); #76486=VERTEX_POINT('',#256419); #76487=VERTEX_POINT('',#256423); #76488=VERTEX_POINT('',#256427); #76489=VERTEX_POINT('',#256431); #76490=VERTEX_POINT('',#256435); #76491=VERTEX_POINT('',#256439); #76492=VERTEX_POINT('',#256443); #76493=VERTEX_POINT('',#256447); #76494=VERTEX_POINT('',#256451); #76495=VERTEX_POINT('',#256455); #76496=VERTEX_POINT('',#256459); #76497=VERTEX_POINT('',#256466); #76498=VERTEX_POINT('',#256468); #76499=VERTEX_POINT('',#256472); #76500=VERTEX_POINT('',#256476); #76501=VERTEX_POINT('',#256480); #76502=VERTEX_POINT('',#256484); #76503=VERTEX_POINT('',#256488); #76504=VERTEX_POINT('',#256492); #76505=VERTEX_POINT('',#256503); #76506=VERTEX_POINT('',#256507); #76507=VERTEX_POINT('',#256511); #76508=VERTEX_POINT('',#256515); #76509=VERTEX_POINT('',#256519); #76510=VERTEX_POINT('',#256523); #76511=VERTEX_POINT('',#256527); #76512=VERTEX_POINT('',#256531); #76513=VERTEX_POINT('',#256535); #76514=VERTEX_POINT('',#256539); #76515=VERTEX_POINT('',#256543); #76516=VERTEX_POINT('',#256547); #76517=VERTEX_POINT('',#256551); #76518=VERTEX_POINT('',#256555); #76519=VERTEX_POINT('',#256559); #76520=VERTEX_POINT('',#256560); #76521=VERTEX_POINT('',#256562); #76522=VERTEX_POINT('',#256564); #76523=VERTEX_POINT('',#256568); #76524=VERTEX_POINT('',#256569); #76525=VERTEX_POINT('',#256571); #76526=VERTEX_POINT('',#256573); #76527=VERTEX_POINT('',#256577); #76528=VERTEX_POINT('',#256578); #76529=VERTEX_POINT('',#256580); #76530=VERTEX_POINT('',#256582); #76531=VERTEX_POINT('',#256586); #76532=VERTEX_POINT('',#256587); #76533=VERTEX_POINT('',#256589); #76534=VERTEX_POINT('',#256591); #76535=VERTEX_POINT('',#256595); #76536=VERTEX_POINT('',#256597); #76537=VERTEX_POINT('',#256601); #76538=VERTEX_POINT('',#256603); #76539=VERTEX_POINT('',#256607); #76540=VERTEX_POINT('',#256609); #76541=VERTEX_POINT('',#256613); #76542=VERTEX_POINT('',#256615); #76543=VERTEX_POINT('',#256619); #76544=VERTEX_POINT('',#256620); #76545=VERTEX_POINT('',#256625); #76546=VERTEX_POINT('',#256629); #76547=VERTEX_POINT('',#256635); #76548=VERTEX_POINT('',#256636); #76549=VERTEX_POINT('',#256638); #76550=VERTEX_POINT('',#256640); #76551=VERTEX_POINT('',#256644); #76552=VERTEX_POINT('',#256646); #76553=VERTEX_POINT('',#256650); #76554=VERTEX_POINT('',#256652); #76555=VERTEX_POINT('',#256656); #76556=VERTEX_POINT('',#256658); #76557=VERTEX_POINT('',#256662); #76558=VERTEX_POINT('',#256664); #76559=VERTEX_POINT('',#256668); #76560=VERTEX_POINT('',#256669); #76561=VERTEX_POINT('',#256674); #76562=VERTEX_POINT('',#256678); #76563=VERTEX_POINT('',#256684); #76564=VERTEX_POINT('',#256685); #76565=VERTEX_POINT('',#256690); #76566=VERTEX_POINT('',#256691); #76567=VERTEX_POINT('',#256693); #76568=VERTEX_POINT('',#256695); #76569=VERTEX_POINT('',#256699); #76570=VERTEX_POINT('',#256701); #76571=VERTEX_POINT('',#256705); #76572=VERTEX_POINT('',#256707); #76573=VERTEX_POINT('',#256711); #76574=VERTEX_POINT('',#256713); #76575=VERTEX_POINT('',#256717); #76576=VERTEX_POINT('',#256718); #76577=VERTEX_POINT('',#256723); #76578=VERTEX_POINT('',#256724); #76579=VERTEX_POINT('',#256727); #76580=VERTEX_POINT('',#256730); #76581=VERTEX_POINT('',#256732); #76582=VERTEX_POINT('',#256734); #76583=VERTEX_POINT('',#256736); #76584=VERTEX_POINT('',#256739); #76585=VERTEX_POINT('',#256741); #76586=VERTEX_POINT('',#256743); #76587=VERTEX_POINT('',#256745); #76588=VERTEX_POINT('',#256748); #76589=VERTEX_POINT('',#256750); #76590=VERTEX_POINT('',#256754); #76591=VERTEX_POINT('',#256758); #76592=VERTEX_POINT('',#256760); #76593=VERTEX_POINT('',#256762); #76594=VERTEX_POINT('',#256764); #76595=VERTEX_POINT('',#256767); #76596=VERTEX_POINT('',#256769); #76597=VERTEX_POINT('',#256771); #76598=VERTEX_POINT('',#256773); #76599=VERTEX_POINT('',#256776); #76600=VERTEX_POINT('',#256778); #76601=VERTEX_POINT('',#256780); #76602=VERTEX_POINT('',#256782); #76603=VERTEX_POINT('',#256787); #76604=VERTEX_POINT('',#256788); #76605=VERTEX_POINT('',#256790); #76606=VERTEX_POINT('',#256792); #76607=VERTEX_POINT('',#256796); #76608=VERTEX_POINT('',#256798); #76609=VERTEX_POINT('',#256802); #76610=VERTEX_POINT('',#256804); #76611=VERTEX_POINT('',#256808); #76612=VERTEX_POINT('',#256810); #76613=VERTEX_POINT('',#256814); #76614=VERTEX_POINT('',#256816); #76615=VERTEX_POINT('',#256820); #76616=VERTEX_POINT('',#256821); #76617=VERTEX_POINT('',#256826); #76618=VERTEX_POINT('',#256830); #76619=VERTEX_POINT('',#256836); #76620=VERTEX_POINT('',#256838); #76621=VERTEX_POINT('',#256842); #76622=VERTEX_POINT('',#256844); #76623=VERTEX_POINT('',#256848); #76624=VERTEX_POINT('',#256852); #76625=VERTEX_POINT('',#256854); #76626=VERTEX_POINT('',#256858); #76627=VERTEX_POINT('',#256862); #76628=VERTEX_POINT('',#256864); #76629=VERTEX_POINT('',#256868); #76630=VERTEX_POINT('',#256872); #76631=VERTEX_POINT('',#256944); #76632=VERTEX_POINT('',#256946); #76633=VERTEX_POINT('',#256948); #76634=VERTEX_POINT('',#256952); #76635=VERTEX_POINT('',#256956); #76636=VERTEX_POINT('',#256957); #76637=VERTEX_POINT('',#256959); #76638=VERTEX_POINT('',#256961); #76639=VERTEX_POINT('',#256965); #76640=VERTEX_POINT('',#256966); #76641=VERTEX_POINT('',#256968); #76642=VERTEX_POINT('',#256970); #76643=VERTEX_POINT('',#256974); #76644=VERTEX_POINT('',#256975); #76645=VERTEX_POINT('',#256977); #76646=VERTEX_POINT('',#256979); #76647=VERTEX_POINT('',#256983); #76648=VERTEX_POINT('',#256984); #76649=VERTEX_POINT('',#256989); #76650=VERTEX_POINT('',#256990); #76651=VERTEX_POINT('',#257023); #76652=VERTEX_POINT('',#257024); #76653=VERTEX_POINT('',#257026); #76654=VERTEX_POINT('',#257028); #76655=VERTEX_POINT('',#257060); #76656=VERTEX_POINT('',#257061); #76657=VERTEX_POINT('',#257063); #76658=VERTEX_POINT('',#257065); #76659=VERTEX_POINT('',#257069); #76660=VERTEX_POINT('',#257070); #76661=VERTEX_POINT('',#257075); #76662=VERTEX_POINT('',#257076); #76663=VERTEX_POINT('',#257109); #76664=VERTEX_POINT('',#257110); #76665=VERTEX_POINT('',#257112); #76666=VERTEX_POINT('',#257114); #76667=VERTEX_POINT('',#257146); #76668=VERTEX_POINT('',#257147); #76669=VERTEX_POINT('',#257149); #76670=VERTEX_POINT('',#257151); #76671=VERTEX_POINT('',#257155); #76672=VERTEX_POINT('',#257156); #76673=VERTEX_POINT('',#257161); #76674=VERTEX_POINT('',#257162); #76675=VERTEX_POINT('',#257195); #76676=VERTEX_POINT('',#257196); #76677=VERTEX_POINT('',#257198); #76678=VERTEX_POINT('',#257200); #76679=VERTEX_POINT('',#257232); #76680=VERTEX_POINT('',#257233); #76681=VERTEX_POINT('',#257235); #76682=VERTEX_POINT('',#257237); #76683=VERTEX_POINT('',#257241); #76684=VERTEX_POINT('',#257242); #76685=VERTEX_POINT('',#257247); #76686=VERTEX_POINT('',#257248); #76687=VERTEX_POINT('',#257311); #76688=VERTEX_POINT('',#257313); #76689=VERTEX_POINT('',#257319); #76690=VERTEX_POINT('',#257323); #76691=VERTEX_POINT('',#257327); #76692=VERTEX_POINT('',#257329); #76693=VERTEX_POINT('',#257333); #76694=VERTEX_POINT('',#257337); #76695=VERTEX_POINT('',#257341); #76696=VERTEX_POINT('',#257345); #76697=VERTEX_POINT('',#257346); #76698=VERTEX_POINT('',#257348); #76699=VERTEX_POINT('',#257350); #76700=VERTEX_POINT('',#257354); #76701=VERTEX_POINT('',#257359); #76702=VERTEX_POINT('',#257363); #76703=VERTEX_POINT('',#257367); #76704=VERTEX_POINT('',#257371); #76705=VERTEX_POINT('',#257375); #76706=VERTEX_POINT('',#257376); #76707=VERTEX_POINT('',#257388); #76708=VERTEX_POINT('',#257390); #76709=VERTEX_POINT('',#257394); #76710=VERTEX_POINT('',#257399); #76711=VERTEX_POINT('',#257408); #76712=VERTEX_POINT('',#257410); #76713=VERTEX_POINT('',#257414); #76714=VERTEX_POINT('',#257418); #76715=VERTEX_POINT('',#257422); #76716=VERTEX_POINT('',#257428); #76717=VERTEX_POINT('',#257430); #76718=VERTEX_POINT('',#257443); #76719=VERTEX_POINT('',#257462); #76720=VERTEX_POINT('',#257463); #76721=VERTEX_POINT('',#257465); #76722=VERTEX_POINT('',#257467); #76723=VERTEX_POINT('',#257471); #76724=VERTEX_POINT('',#257472); #76725=VERTEX_POINT('',#257474); #76726=VERTEX_POINT('',#257476); #76727=VERTEX_POINT('',#257480); #76728=VERTEX_POINT('',#257481); #76729=VERTEX_POINT('',#257483); #76730=VERTEX_POINT('',#257485); #76731=VERTEX_POINT('',#257487); #76732=VERTEX_POINT('',#257489); #76733=VERTEX_POINT('',#257493); #76734=VERTEX_POINT('',#257494); #76735=VERTEX_POINT('',#257496); #76736=VERTEX_POINT('',#257498); #76737=VERTEX_POINT('',#257500); #76738=VERTEX_POINT('',#257502); #76739=VERTEX_POINT('',#257506); #76740=VERTEX_POINT('',#257507); #76741=VERTEX_POINT('',#257509); #76742=VERTEX_POINT('',#257511); #76743=VERTEX_POINT('',#257515); #76744=VERTEX_POINT('',#257516); #76745=VERTEX_POINT('',#257518); #76746=VERTEX_POINT('',#257520); #76747=VERTEX_POINT('',#257522); #76748=VERTEX_POINT('',#257524); #76749=VERTEX_POINT('',#257528); #76750=VERTEX_POINT('',#257529); #76751=VERTEX_POINT('',#257531); #76752=VERTEX_POINT('',#257533); #76753=VERTEX_POINT('',#257537); #76754=VERTEX_POINT('',#257538); #76755=VERTEX_POINT('',#257540); #76756=VERTEX_POINT('',#257542); #76757=VERTEX_POINT('',#257546); #76758=VERTEX_POINT('',#257547); #76759=VERTEX_POINT('',#257549); #76760=VERTEX_POINT('',#257551); #76761=VERTEX_POINT('',#257553); #76762=VERTEX_POINT('',#257555); #76763=VERTEX_POINT('',#257559); #76764=VERTEX_POINT('',#257560); #76765=VERTEX_POINT('',#257562); #76766=VERTEX_POINT('',#257564); #76767=VERTEX_POINT('',#257568); #76768=VERTEX_POINT('',#257569); #76769=VERTEX_POINT('',#257571); #76770=VERTEX_POINT('',#257573); #76771=VERTEX_POINT('',#257575); #76772=VERTEX_POINT('',#257577); #76773=VERTEX_POINT('',#257581); #76774=VERTEX_POINT('',#257582); #76775=VERTEX_POINT('',#257584); #76776=VERTEX_POINT('',#257586); #76777=VERTEX_POINT('',#257590); #76778=VERTEX_POINT('',#257591); #76779=VERTEX_POINT('',#257593); #76780=VERTEX_POINT('',#257595); #76781=VERTEX_POINT('',#257599); #76782=VERTEX_POINT('',#257600); #76783=VERTEX_POINT('',#257602); #76784=VERTEX_POINT('',#257604); #76785=VERTEX_POINT('',#257608); #76786=VERTEX_POINT('',#257609); #76787=VERTEX_POINT('',#257611); #76788=VERTEX_POINT('',#257613); #76789=VERTEX_POINT('',#257617); #76790=VERTEX_POINT('',#257618); #76791=VERTEX_POINT('',#257620); #76792=VERTEX_POINT('',#257622); #76793=VERTEX_POINT('',#257626); #76794=VERTEX_POINT('',#257627); #76795=VERTEX_POINT('',#257629); #76796=VERTEX_POINT('',#257631); #76797=VERTEX_POINT('',#257635); #76798=VERTEX_POINT('',#257636); #76799=VERTEX_POINT('',#257638); #76800=VERTEX_POINT('',#257640); #76801=VERTEX_POINT('',#257642); #76802=VERTEX_POINT('',#257644); #76803=VERTEX_POINT('',#257646); #76804=VERTEX_POINT('',#257648); #76805=VERTEX_POINT('',#257650); #76806=VERTEX_POINT('',#257652); #76807=VERTEX_POINT('',#257654); #76808=VERTEX_POINT('',#257656); #76809=VERTEX_POINT('',#257658); #76810=VERTEX_POINT('',#257660); #76811=VERTEX_POINT('',#257662); #76812=VERTEX_POINT('',#257664); #76813=VERTEX_POINT('',#257666); #76814=VERTEX_POINT('',#257668); #76815=VERTEX_POINT('',#257670); #76816=VERTEX_POINT('',#257672); #76817=VERTEX_POINT('',#257674); #76818=VERTEX_POINT('',#257676); #76819=VERTEX_POINT('',#257678); #76820=VERTEX_POINT('',#257680); #76821=VERTEX_POINT('',#257682); #76822=VERTEX_POINT('',#257684); #76823=VERTEX_POINT('',#257686); #76824=VERTEX_POINT('',#257688); #76825=VERTEX_POINT('',#257690); #76826=VERTEX_POINT('',#257692); #76827=VERTEX_POINT('',#257694); #76828=VERTEX_POINT('',#257696); #76829=VERTEX_POINT('',#257698); #76830=VERTEX_POINT('',#257700); #76831=VERTEX_POINT('',#257702); #76832=VERTEX_POINT('',#257704); #76833=VERTEX_POINT('',#257706); #76834=VERTEX_POINT('',#257708); #76835=VERTEX_POINT('',#257710); #76836=VERTEX_POINT('',#257712); #76837=VERTEX_POINT('',#257714); #76838=VERTEX_POINT('',#257716); #76839=VERTEX_POINT('',#257718); #76840=VERTEX_POINT('',#257720); #76841=VERTEX_POINT('',#257724); #76842=VERTEX_POINT('',#257725); #76843=VERTEX_POINT('',#257727); #76844=VERTEX_POINT('',#257729); #76845=VERTEX_POINT('',#257731); #76846=VERTEX_POINT('',#257733); #76847=VERTEX_POINT('',#257737); #76848=VERTEX_POINT('',#257738); #76849=VERTEX_POINT('',#257740); #76850=VERTEX_POINT('',#257742); #76851=VERTEX_POINT('',#257746); #76852=VERTEX_POINT('',#257747); #76853=VERTEX_POINT('',#257749); #76854=VERTEX_POINT('',#257751); #76855=VERTEX_POINT('',#257753); #76856=VERTEX_POINT('',#257755); #76857=VERTEX_POINT('',#257757); #76858=VERTEX_POINT('',#257759); #76859=VERTEX_POINT('',#257761); #76860=VERTEX_POINT('',#257763); #76861=VERTEX_POINT('',#257765); #76862=VERTEX_POINT('',#257767); #76863=VERTEX_POINT('',#257769); #76864=VERTEX_POINT('',#257771); #76865=VERTEX_POINT('',#257773); #76866=VERTEX_POINT('',#257775); #76867=VERTEX_POINT('',#257777); #76868=VERTEX_POINT('',#257779); #76869=VERTEX_POINT('',#257781); #76870=VERTEX_POINT('',#257783); #76871=VERTEX_POINT('',#257785); #76872=VERTEX_POINT('',#257787); #76873=VERTEX_POINT('',#257791); #76874=VERTEX_POINT('',#257792); #76875=VERTEX_POINT('',#257794); #76876=VERTEX_POINT('',#257796); #76877=VERTEX_POINT('',#257798); #76878=VERTEX_POINT('',#257800); #76879=VERTEX_POINT('',#257804); #76880=VERTEX_POINT('',#257805); #76881=VERTEX_POINT('',#257807); #76882=VERTEX_POINT('',#257809); #76883=VERTEX_POINT('',#257811); #76884=VERTEX_POINT('',#257813); #76885=VERTEX_POINT('',#257817); #76886=VERTEX_POINT('',#257818); #76887=VERTEX_POINT('',#257820); #76888=VERTEX_POINT('',#257822); #76889=VERTEX_POINT('',#257824); #76890=VERTEX_POINT('',#257826); #76891=VERTEX_POINT('',#257830); #76892=VERTEX_POINT('',#257831); #76893=VERTEX_POINT('',#257833); #76894=VERTEX_POINT('',#257835); #76895=VERTEX_POINT('',#257837); #76896=VERTEX_POINT('',#257839); #76897=VERTEX_POINT('',#257843); #76898=VERTEX_POINT('',#257844); #76899=VERTEX_POINT('',#257846); #76900=VERTEX_POINT('',#257848); #76901=VERTEX_POINT('',#257858); #76902=VERTEX_POINT('',#257860); #76903=VERTEX_POINT('',#257879); #76904=VERTEX_POINT('',#257881); #76905=VERTEX_POINT('',#257897); #76906=VERTEX_POINT('',#257899); #76907=VERTEX_POINT('',#257915); #76908=VERTEX_POINT('',#257917); #76909=VERTEX_POINT('',#257921); #76910=VERTEX_POINT('',#257923); #76911=VERTEX_POINT('',#257925); #76912=VERTEX_POINT('',#257927); #76913=VERTEX_POINT('',#257931); #76914=VERTEX_POINT('',#257933); #76915=VERTEX_POINT('',#257937); #76916=VERTEX_POINT('',#257939); #76917=VERTEX_POINT('',#257943); #76918=VERTEX_POINT('',#257945); #76919=VERTEX_POINT('',#257949); #76920=VERTEX_POINT('',#257951); #76921=VERTEX_POINT('',#257955); #76922=VERTEX_POINT('',#257972); #76923=VERTEX_POINT('',#258028); #76924=VERTEX_POINT('',#258030); #76925=VERTEX_POINT('',#258034); #76926=VERTEX_POINT('',#258036); #76927=VERTEX_POINT('',#258038); #76928=VERTEX_POINT('',#258040); #76929=VERTEX_POINT('',#258044); #76930=VERTEX_POINT('',#258046); #76931=VERTEX_POINT('',#258050); #76932=VERTEX_POINT('',#258052); #76933=VERTEX_POINT('',#258056); #76934=VERTEX_POINT('',#258058); #76935=VERTEX_POINT('',#258062); #76936=VERTEX_POINT('',#258064); #76937=VERTEX_POINT('',#258068); #76938=VERTEX_POINT('',#258085); #76939=VERTEX_POINT('',#258141); #76940=VERTEX_POINT('',#258143); #76941=VERTEX_POINT('',#258147); #76942=VERTEX_POINT('',#258149); #76943=VERTEX_POINT('',#258151); #76944=VERTEX_POINT('',#258153); #76945=VERTEX_POINT('',#258157); #76946=VERTEX_POINT('',#258159); #76947=VERTEX_POINT('',#258163); #76948=VERTEX_POINT('',#258165); #76949=VERTEX_POINT('',#258169); #76950=VERTEX_POINT('',#258171); #76951=VERTEX_POINT('',#258175); #76952=VERTEX_POINT('',#258177); #76953=VERTEX_POINT('',#258181); #76954=VERTEX_POINT('',#258197); #76955=VERTEX_POINT('',#258251); #76956=VERTEX_POINT('',#258253); #76957=VERTEX_POINT('',#258257); #76958=VERTEX_POINT('',#258259); #76959=VERTEX_POINT('',#258266); #76960=VERTEX_POINT('',#258268); #76961=VERTEX_POINT('',#258272); #76962=VERTEX_POINT('',#258280); #76963=VERTEX_POINT('',#258288); #76964=VERTEX_POINT('',#258290); #76965=VERTEX_POINT('',#258294); #76966=VERTEX_POINT('',#258303); #76967=VERTEX_POINT('',#258304); #76968=VERTEX_POINT('',#258314); #76969=VERTEX_POINT('',#258316); #76970=VERTEX_POINT('',#258318); #76971=VERTEX_POINT('',#258320); #76972=VERTEX_POINT('',#258322); #76973=VERTEX_POINT('',#258324); #76974=VERTEX_POINT('',#258326); #76975=VERTEX_POINT('',#258328); #76976=VERTEX_POINT('',#258330); #76977=VERTEX_POINT('',#258332); #76978=VERTEX_POINT('',#258334); #76979=VERTEX_POINT('',#258340); #76980=VERTEX_POINT('',#258342); #76981=VERTEX_POINT('',#258344); #76982=VERTEX_POINT('',#258346); #76983=VERTEX_POINT('',#258350); #76984=VERTEX_POINT('',#258352); #76985=VERTEX_POINT('',#258356); #76986=VERTEX_POINT('',#258361); #76987=VERTEX_POINT('',#258363); #76988=VERTEX_POINT('',#258374); #76989=VERTEX_POINT('',#258435); #76990=VERTEX_POINT('',#258437); #76991=VERTEX_POINT('',#258441); #76992=VERTEX_POINT('',#258443); #76993=VERTEX_POINT('',#258445); #76994=VERTEX_POINT('',#258447); #76995=VERTEX_POINT('',#258451); #76996=VERTEX_POINT('',#258453); #76997=VERTEX_POINT('',#258457); #76998=VERTEX_POINT('',#258459); #76999=VERTEX_POINT('',#258463); #77000=VERTEX_POINT('',#258465); #77001=VERTEX_POINT('',#258469); #77002=VERTEX_POINT('',#258471); #77003=VERTEX_POINT('',#258475); #77004=VERTEX_POINT('',#258491); #77005=VERTEX_POINT('',#258545); #77006=VERTEX_POINT('',#258549); #77007=VERTEX_POINT('',#258550); #77008=VERTEX_POINT('',#258552); #77009=VERTEX_POINT('',#258554); #77010=VERTEX_POINT('',#258556); #77011=VERTEX_POINT('',#258558); #77012=VERTEX_POINT('',#258560); #77013=VERTEX_POINT('',#258563); #77014=VERTEX_POINT('',#258565); #77015=VERTEX_POINT('',#258567); #77016=VERTEX_POINT('',#258569); #77017=VERTEX_POINT('',#258576); #77018=VERTEX_POINT('',#258578); #77019=VERTEX_POINT('',#258580); #77020=VERTEX_POINT('',#258582); #77021=VERTEX_POINT('',#258586); #77022=VERTEX_POINT('',#258588); #77023=VERTEX_POINT('',#258592); #77024=VERTEX_POINT('',#258597); #77025=VERTEX_POINT('',#258599); #77026=VERTEX_POINT('',#258609); #77027=VERTEX_POINT('',#258670); #77028=VERTEX_POINT('',#258672); #77029=VERTEX_POINT('',#258676); #77030=VERTEX_POINT('',#258678); #77031=VERTEX_POINT('',#258680); #77032=VERTEX_POINT('',#258682); #77033=VERTEX_POINT('',#258686); #77034=VERTEX_POINT('',#258688); #77035=VERTEX_POINT('',#258692); #77036=VERTEX_POINT('',#258694); #77037=VERTEX_POINT('',#258698); #77038=VERTEX_POINT('',#258700); #77039=VERTEX_POINT('',#258704); #77040=VERTEX_POINT('',#258706); #77041=VERTEX_POINT('',#258710); #77042=VERTEX_POINT('',#258726); #77043=VERTEX_POINT('',#258780); #77044=VERTEX_POINT('',#258784); #77045=VERTEX_POINT('',#258785); #77046=VERTEX_POINT('',#258787); #77047=VERTEX_POINT('',#258789); #77048=VERTEX_POINT('',#258791); #77049=VERTEX_POINT('',#258793); #77050=VERTEX_POINT('',#258795); #77051=VERTEX_POINT('',#258798); #77052=VERTEX_POINT('',#258800); #77053=VERTEX_POINT('',#258802); #77054=VERTEX_POINT('',#258804); #77055=VERTEX_POINT('',#258806); #77056=VERTEX_POINT('',#258808); #77057=VERTEX_POINT('',#258810); #77058=VERTEX_POINT('',#258812); #77059=VERTEX_POINT('',#258814); #77060=VERTEX_POINT('',#258816); #77061=VERTEX_POINT('',#258823); #77062=VERTEX_POINT('',#258825); #77063=VERTEX_POINT('',#258827); #77064=VERTEX_POINT('',#258829); #77065=VERTEX_POINT('',#258833); #77066=VERTEX_POINT('',#258835); #77067=VERTEX_POINT('',#258839); #77068=VERTEX_POINT('',#258844); #77069=VERTEX_POINT('',#258846); #77070=VERTEX_POINT('',#258856); #77071=VERTEX_POINT('',#258917); #77072=VERTEX_POINT('',#258919); #77073=VERTEX_POINT('',#258923); #77074=VERTEX_POINT('',#258925); #77075=VERTEX_POINT('',#258927); #77076=VERTEX_POINT('',#258929); #77077=VERTEX_POINT('',#258933); #77078=VERTEX_POINT('',#258935); #77079=VERTEX_POINT('',#258939); #77080=VERTEX_POINT('',#258941); #77081=VERTEX_POINT('',#258945); #77082=VERTEX_POINT('',#258947); #77083=VERTEX_POINT('',#258951); #77084=VERTEX_POINT('',#258953); #77085=VERTEX_POINT('',#258957); #77086=VERTEX_POINT('',#258974); #77087=VERTEX_POINT('',#259030); #77088=VERTEX_POINT('',#259032); #77089=VERTEX_POINT('',#259036); #77090=VERTEX_POINT('',#259038); #77091=VERTEX_POINT('',#259040); #77092=VERTEX_POINT('',#259042); #77093=VERTEX_POINT('',#259046); #77094=VERTEX_POINT('',#259048); #77095=VERTEX_POINT('',#259052); #77096=VERTEX_POINT('',#259054); #77097=VERTEX_POINT('',#259058); #77098=VERTEX_POINT('',#259060); #77099=VERTEX_POINT('',#259064); #77100=VERTEX_POINT('',#259066); #77101=VERTEX_POINT('',#259070); #77102=VERTEX_POINT('',#259086); #77103=VERTEX_POINT('',#259143); #77104=VERTEX_POINT('',#259188); #77105=VERTEX_POINT('',#259232); #77106=VERTEX_POINT('',#259275); #77107=VERTEX_POINT('',#259319); #77108=VERTEX_POINT('',#259364); #77109=VERTEX_POINT('',#259408); #77110=VERTEX_POINT('',#259452); #77111=VERTEX_POINT('',#259497); #77112=VERTEX_POINT('',#259542); #77113=VERTEX_POINT('',#259587); #77114=VERTEX_POINT('',#259632); #77115=VERTEX_POINT('',#259676); #77116=VERTEX_POINT('',#259719); #77117=VERTEX_POINT('',#259762); #77118=VERTEX_POINT('',#259805); #77119=VERTEX_POINT('',#259848); #77120=VERTEX_POINT('',#259891); #77121=VERTEX_POINT('',#259935); #77122=VERTEX_POINT('',#259979); #77123=VERTEX_POINT('',#260021); #77124=VERTEX_POINT('',#260022); #77125=VERTEX_POINT('',#260024); #77126=VERTEX_POINT('',#260035); #77127=VERTEX_POINT('',#260045); #77128=VERTEX_POINT('',#260046); #77129=VERTEX_POINT('',#260093); #77130=VERTEX_POINT('',#260094); #77131=VERTEX_POINT('',#260141); #77132=VERTEX_POINT('',#260142); #77133=VERTEX_POINT('',#260189); #77134=VERTEX_POINT('',#260190); #77135=VERTEX_POINT('',#260243); #77136=VERTEX_POINT('',#260244); #77137=VERTEX_POINT('',#260291); #77138=VERTEX_POINT('',#260292); #77139=VERTEX_POINT('',#260339); #77140=VERTEX_POINT('',#260340); #77141=VERTEX_POINT('',#260393); #77142=VERTEX_POINT('',#260394); #77143=VERTEX_POINT('',#260441); #77144=VERTEX_POINT('',#260442); #77145=VERTEX_POINT('',#260489); #77146=VERTEX_POINT('',#260490); #77147=VERTEX_POINT('',#260537); #77148=VERTEX_POINT('',#260538); #77149=VERTEX_POINT('',#260588); #77150=VERTEX_POINT('',#260589); #77151=VERTEX_POINT('',#260636); #77152=VERTEX_POINT('',#260637); #77153=VERTEX_POINT('',#260684); #77154=VERTEX_POINT('',#260685); #77155=VERTEX_POINT('',#260738); #77156=VERTEX_POINT('',#260739); #77157=VERTEX_POINT('',#260786); #77158=VERTEX_POINT('',#260787); #77159=VERTEX_POINT('',#260834); #77160=VERTEX_POINT('',#260835); #77161=VERTEX_POINT('',#260885); #77162=VERTEX_POINT('',#260886); #77163=VERTEX_POINT('',#260888); #77164=VERTEX_POINT('',#260897); #77165=VERTEX_POINT('',#260906); #77166=VERTEX_POINT('',#260907); #77167=VERTEX_POINT('',#260931); #77168=VERTEX_POINT('',#260932); #77169=VERTEX_POINT('',#260955); #77170=VERTEX_POINT('',#260956); #77171=VERTEX_POINT('',#260980); #77172=VERTEX_POINT('',#260981); #77173=VERTEX_POINT('',#261005); #77174=VERTEX_POINT('',#261006); #77175=VERTEX_POINT('',#261029); #77176=VERTEX_POINT('',#261030); #77177=VERTEX_POINT('',#261054); #77178=VERTEX_POINT('',#261055); #77179=VERTEX_POINT('',#261078); #77180=VERTEX_POINT('',#261079); #77181=VERTEX_POINT('',#261103); #77182=VERTEX_POINT('',#261104); #77183=VERTEX_POINT('',#261127); #77184=VERTEX_POINT('',#261128); #77185=VERTEX_POINT('',#261152); #77186=VERTEX_POINT('',#261153); #77187=VERTEX_POINT('',#261177); #77188=VERTEX_POINT('',#261178); #77189=VERTEX_POINT('',#261201); #77190=VERTEX_POINT('',#261202); #77191=VERTEX_POINT('',#261226); #77192=VERTEX_POINT('',#261227); #77193=VERTEX_POINT('',#261251); #77194=VERTEX_POINT('',#261252); #77195=VERTEX_POINT('',#261275); #77196=VERTEX_POINT('',#261276); #77197=VERTEX_POINT('',#261299); #77198=VERTEX_POINT('',#261301); #77199=VERTEX_POINT('',#261302); #77200=VERTEX_POINT('',#261304); #77201=VERTEX_POINT('',#261308); #77202=VERTEX_POINT('',#261309); #77203=VERTEX_POINT('',#261314); #77204=VERTEX_POINT('',#261775); #77205=VERTEX_POINT('',#261868); #77206=VERTEX_POINT('',#261964); #77207=VERTEX_POINT('',#261967); #77208=VERTEX_POINT('',#262522); #77209=VERTEX_POINT('',#262614); #77210=VERTEX_POINT('',#262615); #77211=VERTEX_POINT('',#262617); #77212=VERTEX_POINT('',#262619); #77213=VERTEX_POINT('',#262623); #77214=VERTEX_POINT('',#262624); #77215=VERTEX_POINT('',#262626); #77216=VERTEX_POINT('',#262628); #77217=VERTEX_POINT('',#262632); #77218=VERTEX_POINT('',#262633); #77219=VERTEX_POINT('',#262635); #77220=VERTEX_POINT('',#262637); #77221=VERTEX_POINT('',#262641); #77222=VERTEX_POINT('',#262642); #77223=VERTEX_POINT('',#262644); #77224=VERTEX_POINT('',#262646); #77225=VERTEX_POINT('',#262650); #77226=VERTEX_POINT('',#262651); #77227=VERTEX_POINT('',#262653); #77228=VERTEX_POINT('',#262655); #77229=VERTEX_POINT('',#262659); #77230=VERTEX_POINT('',#262660); #77231=VERTEX_POINT('',#262662); #77232=VERTEX_POINT('',#262664); #77233=VERTEX_POINT('',#262668); #77234=VERTEX_POINT('',#262669); #77235=VERTEX_POINT('',#262671); #77236=VERTEX_POINT('',#262673); #77237=VERTEX_POINT('',#262675); #77238=VERTEX_POINT('',#262677); #77239=VERTEX_POINT('',#262682); #77240=VERTEX_POINT('',#262683); #77241=VERTEX_POINT('',#262685); #77242=VERTEX_POINT('',#262687); #77243=VERTEX_POINT('',#262689); #77244=VERTEX_POINT('',#262691); #77245=VERTEX_POINT('',#262694); #77246=VERTEX_POINT('',#262697); #77247=VERTEX_POINT('',#262703); #77248=VERTEX_POINT('',#262775); #77249=VERTEX_POINT('',#262777); #77250=VERTEX_POINT('',#262780); #77251=VERTEX_POINT('',#262784); #77252=VERTEX_POINT('',#262787); #77253=VERTEX_POINT('',#262788); #77254=VERTEX_POINT('',#262790); #77255=VERTEX_POINT('',#262794); #77256=VERTEX_POINT('',#262796); #77257=VERTEX_POINT('',#262800); #77258=VERTEX_POINT('',#262803); #77259=VERTEX_POINT('',#262804); #77260=VERTEX_POINT('',#262806); #77261=VERTEX_POINT('',#262810); #77262=VERTEX_POINT('',#262812); #77263=VERTEX_POINT('',#262816); #77264=VERTEX_POINT('',#262819); #77265=VERTEX_POINT('',#262820); #77266=VERTEX_POINT('',#262822); #77267=VERTEX_POINT('',#262826); #77268=VERTEX_POINT('',#262828); #77269=VERTEX_POINT('',#262832); #77270=VERTEX_POINT('',#262836); #77271=VERTEX_POINT('',#262838); #77272=VERTEX_POINT('',#262845); #77273=VERTEX_POINT('',#262846); #77274=VERTEX_POINT('',#262848); #77275=VERTEX_POINT('',#262850); #77276=VERTEX_POINT('',#262854); #77277=VERTEX_POINT('',#262855); #77278=VERTEX_POINT('',#262857); #77279=VERTEX_POINT('',#262859); #77280=VERTEX_POINT('',#262863); #77281=VERTEX_POINT('',#262864); #77282=VERTEX_POINT('',#262866); #77283=VERTEX_POINT('',#262868); #77284=VERTEX_POINT('',#262872); #77285=VERTEX_POINT('',#262873); #77286=VERTEX_POINT('',#262875); #77287=VERTEX_POINT('',#262877); #77288=VERTEX_POINT('',#262881); #77289=VERTEX_POINT('',#262882); #77290=VERTEX_POINT('',#262884); #77291=VERTEX_POINT('',#262886); #77292=VERTEX_POINT('',#262890); #77293=VERTEX_POINT('',#262891); #77294=VERTEX_POINT('',#262893); #77295=VERTEX_POINT('',#262895); #77296=VERTEX_POINT('',#262899); #77297=VERTEX_POINT('',#262900); #77298=VERTEX_POINT('',#262902); #77299=VERTEX_POINT('',#262904); #77300=VERTEX_POINT('',#262906); #77301=VERTEX_POINT('',#262908); #77302=VERTEX_POINT('',#262911); #77303=VERTEX_POINT('',#262914); #77304=VERTEX_POINT('',#262915); #77305=VERTEX_POINT('',#262917); #77306=VERTEX_POINT('',#262919); #77307=VERTEX_POINT('',#262921); #77308=VERTEX_POINT('',#262923); #77309=VERTEX_POINT('',#262926); #77310=VERTEX_POINT('',#262997); #77311=VERTEX_POINT('',#262998); #77312=VERTEX_POINT('',#263000); #77313=VERTEX_POINT('',#263002); #77314=VERTEX_POINT('',#263004); #77315=VERTEX_POINT('',#263006); #77316=VERTEX_POINT('',#263008); #77317=VERTEX_POINT('',#263010); #77318=VERTEX_POINT('',#263012); #77319=VERTEX_POINT('',#263014); #77320=VERTEX_POINT('',#263016); #77321=VERTEX_POINT('',#263018); #77322=VERTEX_POINT('',#263020); #77323=VERTEX_POINT('',#263022); #77324=VERTEX_POINT('',#263024); #77325=VERTEX_POINT('',#263026); #77326=VERTEX_POINT('',#263028); #77327=VERTEX_POINT('',#263030); #77328=VERTEX_POINT('',#263032); #77329=VERTEX_POINT('',#263035); #77330=VERTEX_POINT('',#263037); #77331=VERTEX_POINT('',#263039); #77332=VERTEX_POINT('',#263042); #77333=VERTEX_POINT('',#263043); #77334=VERTEX_POINT('',#263045); #77335=VERTEX_POINT('',#263047); #77336=VERTEX_POINT('',#263049); #77337=VERTEX_POINT('',#263051); #77338=VERTEX_POINT('',#263053); #77339=VERTEX_POINT('',#263055); #77340=VERTEX_POINT('',#263057); #77341=VERTEX_POINT('',#263059); #77342=VERTEX_POINT('',#263061); #77343=VERTEX_POINT('',#263063); #77344=VERTEX_POINT('',#263065); #77345=VERTEX_POINT('',#263067); #77346=VERTEX_POINT('',#263069); #77347=VERTEX_POINT('',#263071); #77348=VERTEX_POINT('',#263073); #77349=VERTEX_POINT('',#263075); #77350=VERTEX_POINT('',#263077); #77351=VERTEX_POINT('',#263079); #77352=VERTEX_POINT('',#263081); #77353=VERTEX_POINT('',#263088); #77354=VERTEX_POINT('',#263089); #77355=VERTEX_POINT('',#263091); #77356=VERTEX_POINT('',#263093); #77357=VERTEX_POINT('',#263095); #77358=VERTEX_POINT('',#263097); #77359=VERTEX_POINT('',#263099); #77360=VERTEX_POINT('',#263101); #77361=VERTEX_POINT('',#263103); #77362=VERTEX_POINT('',#263105); #77363=VERTEX_POINT('',#263107); #77364=VERTEX_POINT('',#263109); #77365=VERTEX_POINT('',#263111); #77366=VERTEX_POINT('',#263113); #77367=VERTEX_POINT('',#263115); #77368=VERTEX_POINT('',#263117); #77369=VERTEX_POINT('',#263119); #77370=VERTEX_POINT('',#263123); #77371=VERTEX_POINT('',#263124); #77372=VERTEX_POINT('',#263126); #77373=VERTEX_POINT('',#263128); #77374=VERTEX_POINT('',#263130); #77375=VERTEX_POINT('',#263132); #77376=VERTEX_POINT('',#263136); #77377=VERTEX_POINT('',#263137); #77378=VERTEX_POINT('',#263142); #77379=VERTEX_POINT('',#263144); #77380=VERTEX_POINT('',#263148); #77381=VERTEX_POINT('',#263150); #77382=VERTEX_POINT('',#263154); #77383=VERTEX_POINT('',#263155); #77384=VERTEX_POINT('',#263160); #77385=VERTEX_POINT('',#263162); #77386=VERTEX_POINT('',#263166); #77387=VERTEX_POINT('',#263168); #77388=VERTEX_POINT('',#263172); #77389=VERTEX_POINT('',#263174); #77390=VERTEX_POINT('',#263178); #77391=VERTEX_POINT('',#263180); #77392=VERTEX_POINT('',#263186); #77393=VERTEX_POINT('',#263188); #77394=VERTEX_POINT('',#263192); #77395=VERTEX_POINT('',#263196); #77396=VERTEX_POINT('',#263202); #77397=VERTEX_POINT('',#263227); #77398=VERTEX_POINT('',#263247); #77399=VERTEX_POINT('',#263251); #77400=VERTEX_POINT('',#263255); #77401=VERTEX_POINT('',#263270); #77402=VERTEX_POINT('',#263275); #77403=VERTEX_POINT('',#263280); #77404=VERTEX_POINT('',#263291); #77405=VERTEX_POINT('',#263293); #77406=VERTEX_POINT('',#263297); #77407=VERTEX_POINT('',#263299); #77408=VERTEX_POINT('',#263303); #77409=VERTEX_POINT('',#263305); #77410=VERTEX_POINT('',#263309); #77411=VERTEX_POINT('',#263313); #77412=VERTEX_POINT('',#263317); #77413=VERTEX_POINT('',#263319); #77414=VERTEX_POINT('',#263323); #77415=VERTEX_POINT('',#263327); #77416=VERTEX_POINT('',#263328); #77417=VERTEX_POINT('',#263330); #77418=VERTEX_POINT('',#263332); #77419=VERTEX_POINT('',#263335); #77420=VERTEX_POINT('',#263339); #77421=VERTEX_POINT('',#263340); #77422=VERTEX_POINT('',#263342); #77423=VERTEX_POINT('',#263344); #77424=VERTEX_POINT('',#263346); #77425=VERTEX_POINT('',#263348); #77426=VERTEX_POINT('',#263350); #77427=VERTEX_POINT('',#263352); #77428=VERTEX_POINT('',#263354); #77429=VERTEX_POINT('',#263356); #77430=VERTEX_POINT('',#263358); #77431=VERTEX_POINT('',#263360); #77432=VERTEX_POINT('',#263365); #77433=VERTEX_POINT('',#263369); #77434=VERTEX_POINT('',#263373); #77435=VERTEX_POINT('',#263377); #77436=VERTEX_POINT('',#263378); #77437=VERTEX_POINT('',#263380); #77438=VERTEX_POINT('',#263382); #77439=VERTEX_POINT('',#263389); #77440=VERTEX_POINT('',#263390); #77441=VERTEX_POINT('',#263392); #77442=VERTEX_POINT('',#263394); #77443=VERTEX_POINT('',#263398); #77444=VERTEX_POINT('',#263399); #77445=VERTEX_POINT('',#263401); #77446=VERTEX_POINT('',#263403); #77447=VERTEX_POINT('',#263410); #77448=VERTEX_POINT('',#263423); #77449=VERTEX_POINT('',#263425); #77450=VERTEX_POINT('',#263429); #77451=VERTEX_POINT('',#263431); #77452=VERTEX_POINT('',#263448); #77453=VERTEX_POINT('',#263450); #77454=VERTEX_POINT('',#263454); #77455=VERTEX_POINT('',#263456); #77456=VERTEX_POINT('',#263462); #77457=VERTEX_POINT('',#263464); #77458=VERTEX_POINT('',#263468); #77459=VERTEX_POINT('',#263470); #77460=VERTEX_POINT('',#263472); #77461=VERTEX_POINT('',#263474); #77462=VERTEX_POINT('',#263476); #77463=VERTEX_POINT('',#263478); #77464=VERTEX_POINT('',#263480); #77465=VERTEX_POINT('',#263482); #77466=VERTEX_POINT('',#263484); #77467=VERTEX_POINT('',#263486); #77468=VERTEX_POINT('',#263490); #77469=VERTEX_POINT('',#263491); #77470=VERTEX_POINT('',#263493); #77471=VERTEX_POINT('',#263495); #77472=VERTEX_POINT('',#263497); #77473=VERTEX_POINT('',#263499); #77474=VERTEX_POINT('',#263502); #77475=VERTEX_POINT('',#263504); #77476=VERTEX_POINT('',#263506); #77477=EDGE_CURVE('',#62640,#62641,#57961,.T.); #77478=EDGE_CURVE('',#62642,#62640,#22705,.T.); #77479=EDGE_CURVE('',#62643,#62642,#57962,.T.); #77480=EDGE_CURVE('',#62641,#62643,#22706,.T.); #77481=EDGE_CURVE('',#62644,#62641,#22707,.T.); #77482=EDGE_CURVE('',#62644,#62645,#22708,.T.); #77483=EDGE_CURVE('',#62645,#62646,#22709,.T.); #77484=EDGE_CURVE('',#62647,#62646,#22710,.T.); #77485=EDGE_CURVE('',#62647,#62648,#22711,.T.); #77486=EDGE_CURVE('',#62649,#62648,#22712,.T.); #77487=EDGE_CURVE('',#62640,#62649,#22713,.T.); #77488=EDGE_CURVE('',#62642,#62650,#22714,.T.); #77489=EDGE_CURVE('',#62651,#62650,#22715,.T.); #77490=EDGE_CURVE('',#62652,#62651,#22716,.T.); #77491=EDGE_CURVE('',#62652,#62653,#22717,.T.); #77492=EDGE_CURVE('',#62653,#62654,#22718,.T.); #77493=EDGE_CURVE('',#62654,#62655,#22719,.T.); #77494=EDGE_CURVE('',#62656,#62655,#22720,.T.); #77495=EDGE_CURVE('',#62656,#62657,#22721,.T.); #77496=EDGE_CURVE('',#62657,#62658,#22722,.T.); #77497=EDGE_CURVE('',#62658,#62659,#22723,.T.); #77498=EDGE_CURVE('',#62660,#62659,#22724,.T.); #77499=EDGE_CURVE('',#62661,#62660,#22725,.T.); #77500=EDGE_CURVE('',#62661,#62643,#22726,.T.); #77501=EDGE_CURVE('',#62647,#62662,#57963,.T.); #77502=EDGE_CURVE('',#62646,#62663,#57964,.T.); #77503=EDGE_CURVE('',#62664,#62663,#22727,.F.); #77504=EDGE_CURVE('',#62664,#62665,#57965,.T.); #77505=EDGE_CURVE('',#62665,#62662,#22728,.T.); #77506=EDGE_CURVE('',#62666,#62667,#57966,.T.); #77507=EDGE_CURVE('',#62666,#62668,#22729,.T.); #77508=EDGE_CURVE('',#62668,#62669,#57967,.T.); #77509=EDGE_CURVE('',#62669,#62667,#22730,.T.); #77510=EDGE_CURVE('',#62666,#62662,#22731,.T.); #77511=EDGE_CURVE('',#62670,#62667,#22732,.T.); #77512=EDGE_CURVE('',#62670,#62671,#57968,.T.); #77513=EDGE_CURVE('',#62672,#62671,#22733,.T.); #77514=EDGE_CURVE('',#62672,#62648,#57969,.T.); #77515=EDGE_CURVE('',#62673,#62669,#22734,.T.); #77516=EDGE_CURVE('',#62674,#62673,#22735,.T.); #77517=EDGE_CURVE('',#62675,#62674,#22736,.T.); #77518=EDGE_CURVE('',#62670,#62675,#22737,.T.); #77519=EDGE_CURVE('',#62676,#62675,#57970,.F.); #77520=EDGE_CURVE('',#62676,#62677,#22738,.T.); #77521=EDGE_CURVE('',#62678,#62677,#57971,.F.); #77522=EDGE_CURVE('',#62678,#62671,#22739,.T.); #77523=EDGE_CURVE('',#62672,#62679,#22740,.T.); #77524=EDGE_CURVE('',#62679,#62649,#57972,.T.); #77525=EDGE_CURVE('',#62679,#62678,#22741,.T.); #77526=EDGE_CURVE('',#62668,#62665,#22742,.T.); #77527=EDGE_CURVE('',#62676,#62680,#22743,.T.); #77528=EDGE_CURVE('',#62681,#62680,#22744,.T.); #77529=EDGE_CURVE('',#62677,#62681,#22745,.T.); #77530=EDGE_CURVE('',#62673,#62682,#22746,.T.); #77531=EDGE_CURVE('',#62683,#62682,#22747,.T.); #77532=EDGE_CURVE('',#62674,#62683,#22748,.T.); #77533=EDGE_CURVE('',#62684,#62664,#57973,.T.); #77534=EDGE_CURVE('',#62685,#62684,#57974,.T.); #77535=EDGE_CURVE('',#62686,#62685,#57975,.T.); #77536=EDGE_CURVE('',#62687,#62686,#22749,.T.); #77537=EDGE_CURVE('',#62688,#62687,#57976,.T.); #77538=EDGE_CURVE('',#62689,#62688,#22750,.T.); #77539=EDGE_CURVE('',#62690,#62689,#57977,.T.); #77540=EDGE_CURVE('',#62691,#62690,#22751,.T.); #77541=EDGE_CURVE('',#62692,#62691,#57978,.T.); #77542=EDGE_CURVE('',#62693,#62692,#57979,.T.); #77543=EDGE_CURVE('',#62694,#62693,#57980,.T.); #77544=EDGE_CURVE('',#62695,#62694,#22752,.T.); #77545=EDGE_CURVE('',#62682,#62695,#57981,.T.); #77546=EDGE_CURVE('',#62696,#62697,#22753,.T.); #77547=EDGE_CURVE('',#62698,#62697,#57982,.T.); #77548=EDGE_CURVE('',#62698,#62699,#22754,.T.); #77549=EDGE_CURVE('',#62700,#62699,#57983,.T.); #77550=EDGE_CURVE('',#62700,#62701,#22755,.T.); #77551=EDGE_CURVE('',#62702,#62701,#57984,.T.); #77552=EDGE_CURVE('',#62702,#62703,#22756,.T.); #77553=EDGE_CURVE('',#62696,#62703,#57985,.T.); #77554=EDGE_CURVE('',#62683,#62704,#57986,.T.); #77555=EDGE_CURVE('',#62704,#62705,#22757,.T.); #77556=EDGE_CURVE('',#62705,#62706,#57987,.T.); #77557=EDGE_CURVE('',#62706,#62707,#57988,.T.); #77558=EDGE_CURVE('',#62707,#62708,#57989,.T.); #77559=EDGE_CURVE('',#62708,#62709,#22758,.T.); #77560=EDGE_CURVE('',#62709,#62710,#57990,.T.); #77561=EDGE_CURVE('',#62710,#62711,#22759,.T.); #77562=EDGE_CURVE('',#62711,#62712,#57991,.T.); #77563=EDGE_CURVE('',#62712,#62713,#22760,.T.); #77564=EDGE_CURVE('',#62713,#62714,#57992,.T.); #77565=EDGE_CURVE('',#62714,#62715,#57993,.T.); #77566=EDGE_CURVE('',#62715,#62663,#57994,.T.); #77567=EDGE_CURVE('',#62716,#62645,#57995,.T.); #77568=EDGE_CURVE('',#62717,#62716,#57996,.T.); #77569=EDGE_CURVE('',#62718,#62717,#57997,.T.); #77570=EDGE_CURVE('',#62719,#62718,#22761,.T.); #77571=EDGE_CURVE('',#62719,#62720,#57998,.T.); #77572=EDGE_CURVE('',#62721,#62720,#22762,.T.); #77573=EDGE_CURVE('',#62721,#62722,#57999,.T.); #77574=EDGE_CURVE('',#62723,#62722,#22763,.T.); #77575=EDGE_CURVE('',#62724,#62723,#58000,.T.); #77576=EDGE_CURVE('',#62725,#62724,#58001,.T.); #77577=EDGE_CURVE('',#62726,#62725,#58002,.T.); #77578=EDGE_CURVE('',#62727,#62726,#22764,.T.); #77579=EDGE_CURVE('',#62727,#62680,#58003,.T.); #77580=EDGE_CURVE('',#62728,#62729,#58004,.T.); #77581=EDGE_CURVE('',#62728,#62700,#22765,.T.); #77582=EDGE_CURVE('',#62699,#62729,#22766,.T.); #77583=EDGE_CURVE('',#62728,#62730,#22767,.T.); #77584=EDGE_CURVE('',#62701,#62730,#22768,.T.); #77585=EDGE_CURVE('',#62731,#62732,#58005,.T.); #77586=EDGE_CURVE('',#62731,#62698,#22769,.T.); #77587=EDGE_CURVE('',#62697,#62732,#22770,.T.); #77588=EDGE_CURVE('',#62731,#62729,#22771,.T.); #77589=EDGE_CURVE('',#62733,#62732,#22772,.T.); #77590=EDGE_CURVE('',#62733,#62734,#58006,.T.); #77591=EDGE_CURVE('',#62735,#62734,#22773,.T.); #77592=EDGE_CURVE('',#62735,#62730,#58007,.T.); #77593=EDGE_CURVE('',#62733,#62696,#22774,.T.); #77594=EDGE_CURVE('',#62703,#62734,#22775,.T.); #77595=EDGE_CURVE('',#62735,#62702,#22776,.T.); #77596=EDGE_CURVE('',#62704,#62695,#22777,.T.); #77597=EDGE_CURVE('',#62705,#62694,#22778,.T.); #77598=EDGE_CURVE('',#62715,#62684,#22779,.T.); #77599=EDGE_CURVE('',#62714,#62685,#22780,.T.); #77600=EDGE_CURVE('',#62713,#62686,#22781,.T.); #77601=EDGE_CURVE('',#62712,#62687,#22782,.T.); #77602=EDGE_CURVE('',#62711,#62688,#22783,.T.); #77603=EDGE_CURVE('',#62710,#62689,#22784,.T.); #77604=EDGE_CURVE('',#62709,#62690,#22785,.T.); #77605=EDGE_CURVE('',#62708,#62691,#22786,.T.); #77606=EDGE_CURVE('',#62707,#62692,#22787,.T.); #77607=EDGE_CURVE('',#62706,#62693,#22788,.T.); #77608=EDGE_CURVE('',#62721,#62736,#22789,.T.); #77609=EDGE_CURVE('',#62736,#62737,#58008,.T.); #77610=EDGE_CURVE('',#62737,#62722,#22790,.T.); #77611=EDGE_CURVE('',#62738,#62737,#22791,.T.); #77612=EDGE_CURVE('',#62738,#62723,#22792,.T.); #77613=EDGE_CURVE('',#62727,#62739,#22793,.T.); #77614=EDGE_CURVE('',#62739,#62681,#58009,.T.); #77615=EDGE_CURVE('',#62719,#62740,#22794,.T.); #77616=EDGE_CURVE('',#62740,#62741,#58010,.T.); #77617=EDGE_CURVE('',#62741,#62720,#22795,.T.); #77618=EDGE_CURVE('',#62736,#62741,#22796,.T.); #77619=EDGE_CURVE('',#62742,#62726,#22797,.T.); #77620=EDGE_CURVE('',#62739,#62742,#22798,.T.); #77621=EDGE_CURVE('',#62743,#62644,#58011,.T.); #77622=EDGE_CURVE('',#62743,#62716,#22799,.T.); #77623=EDGE_CURVE('',#62744,#62743,#58012,.T.); #77624=EDGE_CURVE('',#62744,#62717,#22800,.T.); #77625=EDGE_CURVE('',#62745,#62744,#58013,.T.); #77626=EDGE_CURVE('',#62745,#62718,#22801,.T.); #77627=EDGE_CURVE('',#62740,#62745,#22802,.T.); #77628=EDGE_CURVE('',#62746,#62738,#58014,.T.); #77629=EDGE_CURVE('',#62746,#62724,#22803,.T.); #77630=EDGE_CURVE('',#62747,#62746,#58015,.T.); #77631=EDGE_CURVE('',#62747,#62725,#22804,.T.); #77632=EDGE_CURVE('',#62742,#62747,#58016,.T.); #77633=EDGE_CURVE('',#62748,#62749,#22805,.T.); #77634=EDGE_CURVE('',#62750,#62748,#22806,.T.); #77635=EDGE_CURVE('',#62751,#62750,#22807,.T.); #77636=EDGE_CURVE('',#62751,#62749,#22808,.T.); #77637=EDGE_CURVE('',#62752,#62749,#58017,.T.); #77638=EDGE_CURVE('',#62752,#62753,#22809,.T.); #77639=EDGE_CURVE('',#62753,#62748,#58018,.T.); #77640=EDGE_CURVE('',#62754,#62755,#58019,.T.); #77641=EDGE_CURVE('',#62754,#62756,#22810,.T.); #77642=EDGE_CURVE('',#62756,#62757,#58020,.T.); #77643=EDGE_CURVE('',#62757,#62755,#22811,.T.); #77644=EDGE_CURVE('',#62758,#62757,#22812,.T.); #77645=EDGE_CURVE('',#62759,#62758,#22813,.T.); #77646=EDGE_CURVE('',#62759,#62755,#22814,.T.); #77647=EDGE_CURVE('',#62760,#62761,#58021,.T.); #77648=EDGE_CURVE('',#62760,#62762,#22815,.T.); #77649=EDGE_CURVE('',#62762,#62763,#58022,.T.); #77650=EDGE_CURVE('',#62763,#62761,#22816,.T.); #77651=EDGE_CURVE('',#62760,#62764,#22817,.T.); #77652=EDGE_CURVE('',#62765,#62764,#22818,.T.); #77653=EDGE_CURVE('',#62762,#62765,#22819,.T.); #77654=EDGE_CURVE('',#62766,#62767,#58023,.T.); #77655=EDGE_CURVE('',#62766,#62768,#22820,.T.); #77656=EDGE_CURVE('',#62768,#62769,#58024,.T.); #77657=EDGE_CURVE('',#62769,#62767,#22821,.T.); #77658=EDGE_CURVE('',#62766,#62761,#22822,.T.); #77659=EDGE_CURVE('',#62770,#62767,#22823,.T.); #77660=EDGE_CURVE('',#62770,#62771,#58025,.T.); #77661=EDGE_CURVE('',#62772,#62771,#22824,.T.); #77662=EDGE_CURVE('',#62772,#62764,#58026,.T.); #77663=EDGE_CURVE('',#62773,#62769,#22825,.T.); #77664=EDGE_CURVE('',#62770,#62773,#22826,.T.); #77665=EDGE_CURVE('',#62773,#62774,#58027,.T.); #77666=EDGE_CURVE('',#62774,#62771,#22827,.T.); #77667=EDGE_CURVE('',#62775,#62774,#22828,.T.); #77668=EDGE_CURVE('',#62772,#62775,#22829,.T.); #77669=EDGE_CURVE('',#62775,#62765,#58028,.T.); #77670=EDGE_CURVE('',#62754,#62776,#22830,.T.); #77671=EDGE_CURVE('',#62777,#62776,#22831,.T.); #77672=EDGE_CURVE('',#62756,#62777,#22832,.T.); #77673=EDGE_CURVE('',#62776,#62759,#22833,.T.); #77674=EDGE_CURVE('',#62659,#62778,#22834,.T.); #77675=EDGE_CURVE('',#62658,#62779,#22835,.T.); #77676=EDGE_CURVE('',#62778,#62779,#22836,.T.); #77677=EDGE_CURVE('',#62780,#62656,#22837,.T.); #77678=EDGE_CURVE('',#62781,#62780,#22838,.T.); #77679=EDGE_CURVE('',#62781,#62657,#22839,.T.); #77680=EDGE_CURVE('',#62779,#62781,#22840,.T.); #77681=EDGE_CURVE('',#62752,#62782,#22841,.T.); #77682=EDGE_CURVE('',#62783,#62782,#22842,.T.); #77683=EDGE_CURVE('',#62753,#62783,#22843,.T.); #77684=EDGE_CURVE('',#62782,#62751,#22844,.T.); #77685=EDGE_CURVE('',#62655,#62784,#22845,.T.); #77686=EDGE_CURVE('',#62654,#62785,#22846,.T.); #77687=EDGE_CURVE('',#62784,#62785,#22847,.T.); #77688=EDGE_CURVE('',#62786,#62652,#22848,.T.); #77689=EDGE_CURVE('',#62787,#62786,#22849,.T.); #77690=EDGE_CURVE('',#62787,#62653,#22850,.T.); #77691=EDGE_CURVE('',#62785,#62787,#22851,.T.); #77692=EDGE_CURVE('',#62778,#62788,#22852,.T.); #77693=EDGE_CURVE('',#62789,#62788,#22853,.T.); #77694=EDGE_CURVE('',#62789,#62790,#22854,.T.); #77695=EDGE_CURVE('',#62790,#62791,#58029,.T.); #77696=EDGE_CURVE('',#62791,#62792,#22855,.T.); #77697=EDGE_CURVE('',#62792,#62793,#22856,.T.); #77698=EDGE_CURVE('',#62793,#62794,#22857,.T.); #77699=EDGE_CURVE('',#62794,#62795,#58030,.T.); #77700=EDGE_CURVE('',#62795,#62796,#22858,.T.); #77701=EDGE_CURVE('',#62796,#62797,#58031,.T.); #77702=EDGE_CURVE('',#62797,#62798,#22859,.T.); #77703=EDGE_CURVE('',#62798,#62799,#22860,.T.); #77704=EDGE_CURVE('',#62799,#62800,#22861,.T.); #77705=EDGE_CURVE('',#62800,#62801,#58032,.T.); #77706=EDGE_CURVE('',#62801,#62802,#22862,.T.); #77707=EDGE_CURVE('',#62802,#62803,#58033,.T.); #77708=EDGE_CURVE('',#62803,#62804,#22863,.T.); #77709=EDGE_CURVE('',#62804,#62805,#22864,.T.); #77710=EDGE_CURVE('',#62805,#62806,#22865,.T.); #77711=EDGE_CURVE('',#62806,#62807,#58034,.T.); #77712=EDGE_CURVE('',#62807,#62808,#22866,.T.); #77713=EDGE_CURVE('',#62808,#62809,#58035,.T.); #77714=EDGE_CURVE('',#62809,#62810,#22867,.T.); #77715=EDGE_CURVE('',#62810,#62811,#22868,.T.); #77716=EDGE_CURVE('',#62660,#62811,#22869,.T.); #77717=EDGE_CURVE('',#62784,#62780,#22870,.T.); #77718=EDGE_CURVE('',#62777,#62750,#22871,.T.); #77719=EDGE_CURVE('',#62783,#62786,#22872,.T.); #77720=EDGE_CURVE('',#62812,#62651,#22873,.T.); #77721=EDGE_CURVE('',#62812,#62813,#22874,.T.); #77722=EDGE_CURVE('',#62813,#62814,#22875,.T.); #77723=EDGE_CURVE('',#62814,#62815,#58036,.T.); #77724=EDGE_CURVE('',#62815,#62816,#22876,.T.); #77725=EDGE_CURVE('',#62816,#62817,#58037,.T.); #77726=EDGE_CURVE('',#62817,#62818,#22877,.T.); #77727=EDGE_CURVE('',#62818,#62819,#22878,.T.); #77728=EDGE_CURVE('',#62819,#62820,#22879,.T.); #77729=EDGE_CURVE('',#62820,#62821,#58038,.T.); #77730=EDGE_CURVE('',#62821,#62822,#22880,.T.); #77731=EDGE_CURVE('',#62822,#62823,#58039,.T.); #77732=EDGE_CURVE('',#62823,#62824,#22881,.T.); #77733=EDGE_CURVE('',#62824,#62825,#22882,.T.); #77734=EDGE_CURVE('',#62825,#62826,#22883,.T.); #77735=EDGE_CURVE('',#62826,#62827,#58040,.T.); #77736=EDGE_CURVE('',#62827,#62828,#22884,.T.); #77737=EDGE_CURVE('',#62828,#62829,#58041,.T.); #77738=EDGE_CURVE('',#62829,#62830,#22885,.T.); #77739=EDGE_CURVE('',#62830,#62831,#22886,.T.); #77740=EDGE_CURVE('',#62831,#62832,#22887,.T.); #77741=EDGE_CURVE('',#62832,#62833,#58042,.T.); #77742=EDGE_CURVE('',#62833,#62834,#22888,.T.); #77743=EDGE_CURVE('',#62835,#62834,#22889,.T.); #77744=EDGE_CURVE('',#62835,#62758,#22890,.T.); #77745=EDGE_CURVE('',#62836,#62836,#58043,.T.); #77746=EDGE_CURVE('',#62837,#62837,#58044,.T.); #77747=EDGE_CURVE('',#62768,#62763,#22891,.T.); #77748=EDGE_CURVE('',#62837,#62838,#22892,.T.); #77749=EDGE_CURVE('',#62838,#62838,#58045,.T.); #77750=EDGE_CURVE('',#62839,#62839,#58046,.T.); #77751=EDGE_CURVE('',#62836,#62840,#22893,.T.); #77752=EDGE_CURVE('',#62840,#62840,#58047,.T.); #77753=EDGE_CURVE('',#62841,#62841,#58048,.T.); #77754=EDGE_CURVE('',#62842,#62842,#58049,.T.); #77755=EDGE_CURVE('',#62842,#62841,#22894,.T.); #77756=EDGE_CURVE('',#62843,#62843,#58050,.T.); #77757=EDGE_CURVE('',#62843,#62839,#22895,.T.); #77758=EDGE_CURVE('',#62844,#62845,#22896,.T.); #77759=EDGE_CURVE('',#62845,#62835,#22897,.T.); #77760=EDGE_CURVE('',#62834,#62844,#22898,.T.); #77761=EDGE_CURVE('',#62845,#62846,#22899,.T.); #77762=EDGE_CURVE('',#62788,#62846,#22900,.T.); #77763=EDGE_CURVE('',#62846,#62847,#22901,.T.); #77764=EDGE_CURVE('',#62847,#62789,#22902,.T.); #77765=EDGE_CURVE('',#62811,#62848,#22903,.T.); #77766=EDGE_CURVE('',#62848,#62661,#22904,.T.); #77767=EDGE_CURVE('',#62650,#62849,#22905,.T.); #77768=EDGE_CURVE('',#62849,#62812,#22906,.T.); #77769=EDGE_CURVE('',#62850,#62799,#22907,.T.); #77770=EDGE_CURVE('',#62851,#62850,#22908,.T.); #77771=EDGE_CURVE('',#62851,#62800,#22909,.T.); #77772=EDGE_CURVE('',#62852,#62851,#58051,.T.); #77773=EDGE_CURVE('',#62852,#62801,#22910,.T.); #77774=EDGE_CURVE('',#62853,#62852,#22911,.T.); #77775=EDGE_CURVE('',#62853,#62802,#22912,.T.); #77776=EDGE_CURVE('',#62854,#62853,#58052,.T.); #77777=EDGE_CURVE('',#62854,#62803,#22913,.T.); #77778=EDGE_CURVE('',#62855,#62854,#22914,.T.); #77779=EDGE_CURVE('',#62804,#62855,#22915,.T.); #77780=EDGE_CURVE('',#62856,#62847,#22916,.T.); #77781=EDGE_CURVE('',#62856,#62790,#22917,.T.); #77782=EDGE_CURVE('',#62857,#62856,#58053,.T.); #77783=EDGE_CURVE('',#62857,#62791,#22918,.T.); #77784=EDGE_CURVE('',#62858,#62857,#22919,.T.); #77785=EDGE_CURVE('',#62792,#62858,#22920,.T.); #77786=EDGE_CURVE('',#62859,#62796,#22921,.T.); #77787=EDGE_CURVE('',#62860,#62859,#58054,.T.); #77788=EDGE_CURVE('',#62860,#62797,#22922,.T.); #77789=EDGE_CURVE('',#62861,#62860,#22923,.T.); #77790=EDGE_CURVE('',#62798,#62861,#22924,.T.); #77791=EDGE_CURVE('',#62862,#62793,#22925,.T.); #77792=EDGE_CURVE('',#62863,#62862,#22926,.T.); #77793=EDGE_CURVE('',#62863,#62794,#22927,.T.); #77794=EDGE_CURVE('',#62864,#62863,#58055,.T.); #77795=EDGE_CURVE('',#62864,#62795,#22928,.T.); #77796=EDGE_CURVE('',#62859,#62864,#22929,.T.); #77797=EDGE_CURVE('',#62865,#62829,#22930,.T.); #77798=EDGE_CURVE('',#62866,#62865,#22931,.T.); #77799=EDGE_CURVE('',#62830,#62866,#22932,.T.); #77800=EDGE_CURVE('',#62867,#62825,#22933,.T.); #77801=EDGE_CURVE('',#62868,#62867,#22934,.T.); #77802=EDGE_CURVE('',#62868,#62826,#22935,.T.); #77803=EDGE_CURVE('',#62869,#62868,#58056,.T.); #77804=EDGE_CURVE('',#62869,#62827,#22936,.T.); #77805=EDGE_CURVE('',#62870,#62869,#22937,.T.); #77806=EDGE_CURVE('',#62870,#62828,#22938,.T.); #77807=EDGE_CURVE('',#62865,#62870,#58057,.T.); #77808=EDGE_CURVE('',#62871,#62808,#22939,.T.); #77809=EDGE_CURVE('',#62872,#62871,#58058,.T.); #77810=EDGE_CURVE('',#62872,#62809,#22940,.T.); #77811=EDGE_CURVE('',#62873,#62872,#22941,.T.); #77812=EDGE_CURVE('',#62810,#62873,#22942,.T.); #77813=EDGE_CURVE('',#62874,#62805,#22943,.T.); #77814=EDGE_CURVE('',#62875,#62874,#22944,.T.); #77815=EDGE_CURVE('',#62875,#62806,#22945,.T.); #77816=EDGE_CURVE('',#62876,#62875,#58059,.T.); #77817=EDGE_CURVE('',#62876,#62807,#22946,.T.); #77818=EDGE_CURVE('',#62871,#62876,#22947,.T.); #77819=EDGE_CURVE('',#62877,#62823,#22948,.T.); #77820=EDGE_CURVE('',#62878,#62877,#22949,.T.); #77821=EDGE_CURVE('',#62824,#62878,#22950,.T.); #77822=EDGE_CURVE('',#62879,#62819,#22951,.T.); #77823=EDGE_CURVE('',#62880,#62879,#22952,.T.); #77824=EDGE_CURVE('',#62880,#62820,#22953,.T.); #77825=EDGE_CURVE('',#62881,#62880,#58060,.T.); #77826=EDGE_CURVE('',#62881,#62821,#22954,.T.); #77827=EDGE_CURVE('',#62882,#62881,#22955,.T.); #77828=EDGE_CURVE('',#62882,#62822,#22956,.T.); #77829=EDGE_CURVE('',#62877,#62882,#58061,.T.); #77830=EDGE_CURVE('',#62883,#62831,#22957,.T.); #77831=EDGE_CURVE('',#62884,#62883,#22958,.T.); #77832=EDGE_CURVE('',#62884,#62832,#22959,.T.); #77833=EDGE_CURVE('',#62885,#62884,#58062,.T.); #77834=EDGE_CURVE('',#62885,#62833,#22960,.T.); #77835=EDGE_CURVE('',#62844,#62885,#22961,.T.); #77836=EDGE_CURVE('',#62886,#62817,#22962,.T.); #77837=EDGE_CURVE('',#62887,#62886,#22963,.T.); #77838=EDGE_CURVE('',#62818,#62887,#22964,.T.); #77839=EDGE_CURVE('',#62888,#62813,#22965,.T.); #77840=EDGE_CURVE('',#62889,#62888,#22966,.T.); #77841=EDGE_CURVE('',#62889,#62814,#22967,.T.); #77842=EDGE_CURVE('',#62890,#62889,#58063,.T.); #77843=EDGE_CURVE('',#62890,#62815,#22968,.T.); #77844=EDGE_CURVE('',#62891,#62890,#22969,.T.); #77845=EDGE_CURVE('',#62891,#62816,#22970,.T.); #77846=EDGE_CURVE('',#62886,#62891,#58064,.T.); #77847=EDGE_CURVE('',#62873,#62848,#22971,.T.); #77848=EDGE_CURVE('',#62858,#62862,#22972,.T.); #77849=EDGE_CURVE('',#62855,#62874,#22973,.T.); #77850=EDGE_CURVE('',#62861,#62850,#22974,.T.); #77851=EDGE_CURVE('',#62849,#62888,#22975,.T.); #77852=EDGE_CURVE('',#62887,#62879,#22976,.T.); #77853=EDGE_CURVE('',#62866,#62883,#22977,.T.); #77854=EDGE_CURVE('',#62878,#62867,#22978,.T.); #77855=EDGE_CURVE('',#62892,#62893,#58065,.T.); #77856=EDGE_CURVE('',#62892,#62894,#22979,.T.); #77857=EDGE_CURVE('',#62894,#62895,#58066,.T.); #77858=EDGE_CURVE('',#62893,#62895,#22980,.T.); #77859=EDGE_CURVE('',#62896,#62892,#58067,.T.); #77860=EDGE_CURVE('',#62896,#62897,#22981,.T.); #77861=EDGE_CURVE('',#62897,#62894,#58068,.T.); #77862=EDGE_CURVE('',#62898,#62896,#22982,.T.); #77863=EDGE_CURVE('',#62898,#62899,#22983,.T.); #77864=EDGE_CURVE('',#62899,#62897,#22984,.T.); #77865=EDGE_CURVE('',#62900,#62898,#22985,.T.); #77866=EDGE_CURVE('',#62900,#62901,#22986,.T.); #77867=EDGE_CURVE('',#62901,#62899,#22987,.T.); #77868=EDGE_CURVE('',#62902,#62900,#22988,.T.); #77869=EDGE_CURVE('',#62902,#62903,#22989,.T.); #77870=EDGE_CURVE('',#62903,#62901,#22990,.T.); #77871=EDGE_CURVE('',#62904,#62902,#22991,.T.); #77872=EDGE_CURVE('',#62904,#62905,#22992,.T.); #77873=EDGE_CURVE('',#62905,#62903,#22993,.T.); #77874=EDGE_CURVE('',#62893,#62904,#58069,.T.); #77875=EDGE_CURVE('',#62895,#62905,#58070,.T.); #77876=EDGE_CURVE('',#62906,#62907,#22994,.T.); #77877=EDGE_CURVE('',#62906,#62908,#22995,.T.); #77878=EDGE_CURVE('',#62908,#62909,#22996,.T.); #77879=EDGE_CURVE('',#62907,#62909,#22997,.T.); #77880=EDGE_CURVE('',#62910,#62906,#58071,.T.); #77881=EDGE_CURVE('',#62910,#62911,#22998,.T.); #77882=EDGE_CURVE('',#62911,#62908,#58072,.T.); #77883=EDGE_CURVE('',#62912,#62910,#58073,.T.); #77884=EDGE_CURVE('',#62912,#62913,#22999,.T.); #77885=EDGE_CURVE('',#62913,#62911,#58074,.T.); #77886=EDGE_CURVE('',#62914,#62912,#58075,.T.); #77887=EDGE_CURVE('',#62914,#62915,#23000,.T.); #77888=EDGE_CURVE('',#62915,#62913,#58076,.T.); #77889=EDGE_CURVE('',#62916,#62914,#58077,.T.); #77890=EDGE_CURVE('',#62916,#62917,#23001,.T.); #77891=EDGE_CURVE('',#62917,#62915,#58078,.T.); #77892=EDGE_CURVE('',#62918,#62916,#23002,.T.); #77893=EDGE_CURVE('',#62918,#62919,#23003,.T.); #77894=EDGE_CURVE('',#62919,#62917,#23004,.T.); #77895=EDGE_CURVE('',#62920,#62918,#23005,.T.); #77896=EDGE_CURVE('',#62920,#62921,#23006,.T.); #77897=EDGE_CURVE('',#62921,#62919,#23007,.T.); #77898=EDGE_CURVE('',#62922,#62920,#23008,.T.); #77899=EDGE_CURVE('',#62922,#62923,#23009,.T.); #77900=EDGE_CURVE('',#62923,#62921,#23010,.T.); #77901=EDGE_CURVE('',#62924,#62922,#23011,.T.); #77902=EDGE_CURVE('',#62924,#62925,#23012,.T.); #77903=EDGE_CURVE('',#62925,#62923,#23013,.T.); #77904=EDGE_CURVE('',#62926,#62924,#23014,.T.); #77905=EDGE_CURVE('',#62926,#62927,#23015,.T.); #77906=EDGE_CURVE('',#62927,#62925,#23016,.T.); #77907=EDGE_CURVE('',#62928,#62926,#23017,.T.); #77908=EDGE_CURVE('',#62928,#62929,#23018,.T.); #77909=EDGE_CURVE('',#62929,#62927,#23019,.T.); #77910=EDGE_CURVE('',#62930,#62928,#23020,.T.); #77911=EDGE_CURVE('',#62930,#62931,#23021,.T.); #77912=EDGE_CURVE('',#62931,#62929,#23022,.T.); #77913=EDGE_CURVE('',#62932,#62930,#23023,.T.); #77914=EDGE_CURVE('',#62932,#62933,#23024,.T.); #77915=EDGE_CURVE('',#62933,#62931,#23025,.T.); #77916=EDGE_CURVE('',#62934,#62932,#23026,.T.); #77917=EDGE_CURVE('',#62934,#62935,#23027,.T.); #77918=EDGE_CURVE('',#62935,#62933,#23028,.T.); #77919=EDGE_CURVE('',#62936,#62934,#23029,.T.); #77920=EDGE_CURVE('',#62936,#62937,#23030,.T.); #77921=EDGE_CURVE('',#62937,#62935,#23031,.T.); #77922=EDGE_CURVE('',#62938,#62936,#23032,.T.); #77923=EDGE_CURVE('',#62938,#62939,#23033,.T.); #77924=EDGE_CURVE('',#62939,#62937,#23034,.T.); #77925=EDGE_CURVE('',#62940,#62938,#23035,.T.); #77926=EDGE_CURVE('',#62940,#62941,#23036,.T.); #77927=EDGE_CURVE('',#62941,#62939,#23037,.T.); #77928=EDGE_CURVE('',#62942,#62940,#23038,.T.); #77929=EDGE_CURVE('',#62942,#62943,#23039,.T.); #77930=EDGE_CURVE('',#62943,#62941,#23040,.T.); #77931=EDGE_CURVE('',#62944,#62942,#58079,.T.); #77932=EDGE_CURVE('',#62944,#62945,#23041,.T.); #77933=EDGE_CURVE('',#62945,#62943,#58080,.T.); #77934=EDGE_CURVE('',#62946,#62944,#23042,.T.); #77935=EDGE_CURVE('',#62946,#62947,#23043,.T.); #77936=EDGE_CURVE('',#62947,#62945,#23044,.T.); #77937=EDGE_CURVE('',#62948,#62946,#23045,.T.); #77938=EDGE_CURVE('',#62948,#62949,#23046,.T.); #77939=EDGE_CURVE('',#62949,#62947,#23047,.T.); #77940=EDGE_CURVE('',#62950,#62948,#23048,.T.); #77941=EDGE_CURVE('',#62950,#62951,#23049,.T.); #77942=EDGE_CURVE('',#62951,#62949,#23050,.T.); #77943=EDGE_CURVE('',#62952,#62950,#23051,.T.); #77944=EDGE_CURVE('',#62952,#62953,#23052,.T.); #77945=EDGE_CURVE('',#62953,#62951,#23053,.T.); #77946=EDGE_CURVE('',#62954,#62952,#23054,.T.); #77947=EDGE_CURVE('',#62954,#62955,#23055,.T.); #77948=EDGE_CURVE('',#62955,#62953,#23056,.T.); #77949=EDGE_CURVE('',#62956,#62954,#23057,.T.); #77950=EDGE_CURVE('',#62956,#62957,#23058,.T.); #77951=EDGE_CURVE('',#62957,#62955,#23059,.T.); #77952=EDGE_CURVE('',#62958,#62956,#23060,.T.); #77953=EDGE_CURVE('',#62958,#62959,#23061,.T.); #77954=EDGE_CURVE('',#62959,#62957,#23062,.T.); #77955=EDGE_CURVE('',#62960,#62958,#58081,.T.); #77956=EDGE_CURVE('',#62960,#62961,#23063,.T.); #77957=EDGE_CURVE('',#62961,#62959,#58082,.T.); #77958=EDGE_CURVE('',#62962,#62960,#23064,.T.); #77959=EDGE_CURVE('',#62962,#62963,#23065,.T.); #77960=EDGE_CURVE('',#62963,#62961,#23066,.T.); #77961=EDGE_CURVE('',#62964,#62962,#58083,.T.); #77962=EDGE_CURVE('',#62964,#62965,#23067,.T.); #77963=EDGE_CURVE('',#62965,#62963,#58084,.T.); #77964=EDGE_CURVE('',#62966,#62964,#23068,.T.); #77965=EDGE_CURVE('',#62966,#62967,#23069,.T.); #77966=EDGE_CURVE('',#62967,#62965,#23070,.T.); #77967=EDGE_CURVE('',#62968,#62966,#23071,.T.); #77968=EDGE_CURVE('',#62968,#62969,#23072,.T.); #77969=EDGE_CURVE('',#62969,#62967,#23073,.T.); #77970=EDGE_CURVE('',#62970,#62968,#23074,.T.); #77971=EDGE_CURVE('',#62970,#62971,#23075,.T.); #77972=EDGE_CURVE('',#62971,#62969,#23076,.T.); #77973=EDGE_CURVE('',#62972,#62970,#23077,.T.); #77974=EDGE_CURVE('',#62972,#62973,#23078,.T.); #77975=EDGE_CURVE('',#62973,#62971,#23079,.T.); #77976=EDGE_CURVE('',#62974,#62972,#58085,.T.); #77977=EDGE_CURVE('',#62974,#62975,#23080,.T.); #77978=EDGE_CURVE('',#62975,#62973,#58086,.T.); #77979=EDGE_CURVE('',#62976,#62974,#23081,.T.); #77980=EDGE_CURVE('',#62976,#62977,#23082,.T.); #77981=EDGE_CURVE('',#62977,#62975,#23083,.T.); #77982=EDGE_CURVE('',#62978,#62976,#23084,.T.); #77983=EDGE_CURVE('',#62978,#62979,#23085,.T.); #77984=EDGE_CURVE('',#62979,#62977,#23086,.T.); #77985=EDGE_CURVE('',#62980,#62978,#23087,.T.); #77986=EDGE_CURVE('',#62980,#62981,#23088,.T.); #77987=EDGE_CURVE('',#62981,#62979,#23089,.T.); #77988=EDGE_CURVE('',#62982,#62980,#23090,.T.); #77989=EDGE_CURVE('',#62982,#62983,#23091,.T.); #77990=EDGE_CURVE('',#62983,#62981,#23092,.T.); #77991=EDGE_CURVE('',#62984,#62982,#23093,.T.); #77992=EDGE_CURVE('',#62984,#62985,#23094,.T.); #77993=EDGE_CURVE('',#62985,#62983,#23095,.T.); #77994=EDGE_CURVE('',#62986,#62984,#23096,.T.); #77995=EDGE_CURVE('',#62986,#62987,#23097,.T.); #77996=EDGE_CURVE('',#62987,#62985,#23098,.T.); #77997=EDGE_CURVE('',#62988,#62986,#23099,.T.); #77998=EDGE_CURVE('',#62988,#62989,#23100,.T.); #77999=EDGE_CURVE('',#62989,#62987,#23101,.T.); #78000=EDGE_CURVE('',#62990,#62988,#23102,.T.); #78001=EDGE_CURVE('',#62990,#62991,#23103,.T.); #78002=EDGE_CURVE('',#62991,#62989,#23104,.T.); #78003=EDGE_CURVE('',#62992,#62990,#23105,.T.); #78004=EDGE_CURVE('',#62992,#62993,#23106,.T.); #78005=EDGE_CURVE('',#62993,#62991,#23107,.T.); #78006=EDGE_CURVE('',#62994,#62992,#23108,.T.); #78007=EDGE_CURVE('',#62994,#62995,#23109,.T.); #78008=EDGE_CURVE('',#62995,#62993,#23110,.T.); #78009=EDGE_CURVE('',#62996,#62994,#23111,.T.); #78010=EDGE_CURVE('',#62996,#62997,#23112,.T.); #78011=EDGE_CURVE('',#62997,#62995,#23113,.T.); #78012=EDGE_CURVE('',#62998,#62996,#23114,.T.); #78013=EDGE_CURVE('',#62998,#62999,#23115,.T.); #78014=EDGE_CURVE('',#62999,#62997,#23116,.T.); #78015=EDGE_CURVE('',#63000,#62998,#23117,.T.); #78016=EDGE_CURVE('',#63000,#63001,#23118,.T.); #78017=EDGE_CURVE('',#63001,#62999,#23119,.T.); #78018=EDGE_CURVE('',#63002,#63000,#23120,.T.); #78019=EDGE_CURVE('',#63002,#63003,#23121,.T.); #78020=EDGE_CURVE('',#63003,#63001,#23122,.T.); #78021=EDGE_CURVE('',#63004,#63002,#23123,.T.); #78022=EDGE_CURVE('',#63004,#63005,#23124,.T.); #78023=EDGE_CURVE('',#63005,#63003,#23125,.T.); #78024=EDGE_CURVE('',#63006,#63004,#23126,.T.); #78025=EDGE_CURVE('',#63006,#63007,#23127,.T.); #78026=EDGE_CURVE('',#63007,#63005,#23128,.T.); #78027=EDGE_CURVE('',#63008,#63006,#23129,.T.); #78028=EDGE_CURVE('',#63008,#63009,#23130,.T.); #78029=EDGE_CURVE('',#63009,#63007,#23131,.T.); #78030=EDGE_CURVE('',#63010,#63008,#23132,.T.); #78031=EDGE_CURVE('',#63010,#63011,#23133,.T.); #78032=EDGE_CURVE('',#63011,#63009,#23134,.T.); #78033=EDGE_CURVE('',#63012,#63010,#23135,.T.); #78034=EDGE_CURVE('',#63012,#63013,#23136,.T.); #78035=EDGE_CURVE('',#63013,#63011,#23137,.T.); #78036=EDGE_CURVE('',#63014,#63012,#23138,.T.); #78037=EDGE_CURVE('',#63014,#63015,#23139,.T.); #78038=EDGE_CURVE('',#63015,#63013,#23140,.T.); #78039=EDGE_CURVE('',#63016,#63014,#23141,.T.); #78040=EDGE_CURVE('',#63016,#63017,#23142,.T.); #78041=EDGE_CURVE('',#63017,#63015,#23143,.T.); #78042=EDGE_CURVE('',#63018,#63016,#23144,.T.); #78043=EDGE_CURVE('',#63018,#63019,#23145,.T.); #78044=EDGE_CURVE('',#63019,#63017,#23146,.T.); #78045=EDGE_CURVE('',#63020,#63018,#58087,.T.); #78046=EDGE_CURVE('',#63020,#63021,#23147,.T.); #78047=EDGE_CURVE('',#63021,#63019,#58088,.T.); #78048=EDGE_CURVE('',#63022,#63020,#23148,.T.); #78049=EDGE_CURVE('',#63022,#63023,#23149,.T.); #78050=EDGE_CURVE('',#63023,#63021,#23150,.T.); #78051=EDGE_CURVE('',#63024,#63022,#23151,.T.); #78052=EDGE_CURVE('',#63024,#63025,#23152,.T.); #78053=EDGE_CURVE('',#63025,#63023,#23153,.T.); #78054=EDGE_CURVE('',#63026,#63024,#58089,.T.); #78055=EDGE_CURVE('',#63026,#63027,#23154,.T.); #78056=EDGE_CURVE('',#63027,#63025,#58090,.T.); #78057=EDGE_CURVE('',#63028,#63026,#58091,.T.); #78058=EDGE_CURVE('',#63028,#63029,#23155,.T.); #78059=EDGE_CURVE('',#63029,#63027,#58092,.T.); #78060=EDGE_CURVE('',#63030,#63028,#23156,.T.); #78061=EDGE_CURVE('',#63030,#63031,#23157,.T.); #78062=EDGE_CURVE('',#63031,#63029,#23158,.T.); #78063=EDGE_CURVE('',#63032,#63030,#23159,.T.); #78064=EDGE_CURVE('',#63032,#63033,#23160,.T.); #78065=EDGE_CURVE('',#63033,#63031,#23161,.T.); #78066=EDGE_CURVE('',#63034,#63032,#23162,.T.); #78067=EDGE_CURVE('',#63034,#63035,#23163,.T.); #78068=EDGE_CURVE('',#63035,#63033,#23164,.T.); #78069=EDGE_CURVE('',#63036,#63034,#23165,.T.); #78070=EDGE_CURVE('',#63036,#63037,#23166,.T.); #78071=EDGE_CURVE('',#63037,#63035,#23167,.T.); #78072=EDGE_CURVE('',#63038,#63036,#23168,.T.); #78073=EDGE_CURVE('',#63038,#63039,#23169,.T.); #78074=EDGE_CURVE('',#63039,#63037,#23170,.T.); #78075=EDGE_CURVE('',#63040,#63038,#23171,.T.); #78076=EDGE_CURVE('',#63040,#63041,#23172,.T.); #78077=EDGE_CURVE('',#63041,#63039,#23173,.T.); #78078=EDGE_CURVE('',#63042,#63040,#23174,.T.); #78079=EDGE_CURVE('',#63042,#63043,#23175,.T.); #78080=EDGE_CURVE('',#63043,#63041,#23176,.T.); #78081=EDGE_CURVE('',#63044,#63042,#23177,.T.); #78082=EDGE_CURVE('',#63044,#63045,#23178,.T.); #78083=EDGE_CURVE('',#63045,#63043,#23179,.T.); #78084=EDGE_CURVE('',#63046,#63044,#23180,.T.); #78085=EDGE_CURVE('',#63046,#63047,#23181,.T.); #78086=EDGE_CURVE('',#63047,#63045,#23182,.T.); #78087=EDGE_CURVE('',#63048,#63046,#23183,.T.); #78088=EDGE_CURVE('',#63048,#63049,#23184,.T.); #78089=EDGE_CURVE('',#63049,#63047,#23185,.T.); #78090=EDGE_CURVE('',#63050,#63048,#23186,.T.); #78091=EDGE_CURVE('',#63050,#63051,#23187,.T.); #78092=EDGE_CURVE('',#63051,#63049,#23188,.T.); #78093=EDGE_CURVE('',#63052,#63050,#23189,.T.); #78094=EDGE_CURVE('',#63052,#63053,#23190,.T.); #78095=EDGE_CURVE('',#63053,#63051,#23191,.T.); #78096=EDGE_CURVE('',#63054,#63052,#23192,.T.); #78097=EDGE_CURVE('',#63054,#63055,#23193,.T.); #78098=EDGE_CURVE('',#63055,#63053,#23194,.T.); #78099=EDGE_CURVE('',#63056,#63054,#23195,.T.); #78100=EDGE_CURVE('',#63056,#63057,#23196,.T.); #78101=EDGE_CURVE('',#63057,#63055,#23197,.T.); #78102=EDGE_CURVE('',#63058,#63056,#23198,.T.); #78103=EDGE_CURVE('',#63058,#63059,#23199,.T.); #78104=EDGE_CURVE('',#63059,#63057,#23200,.T.); #78105=EDGE_CURVE('',#63060,#63058,#23201,.T.); #78106=EDGE_CURVE('',#63060,#63061,#23202,.T.); #78107=EDGE_CURVE('',#63061,#63059,#23203,.T.); #78108=EDGE_CURVE('',#63062,#63060,#23204,.T.); #78109=EDGE_CURVE('',#63062,#63063,#23205,.T.); #78110=EDGE_CURVE('',#63063,#63061,#23206,.T.); #78111=EDGE_CURVE('',#63064,#63062,#23207,.T.); #78112=EDGE_CURVE('',#63064,#63065,#23208,.T.); #78113=EDGE_CURVE('',#63065,#63063,#23209,.T.); #78114=EDGE_CURVE('',#63066,#63064,#23210,.T.); #78115=EDGE_CURVE('',#63066,#63067,#23211,.T.); #78116=EDGE_CURVE('',#63067,#63065,#23212,.T.); #78117=EDGE_CURVE('',#63068,#63066,#23213,.T.); #78118=EDGE_CURVE('',#63068,#63069,#23214,.T.); #78119=EDGE_CURVE('',#63069,#63067,#23215,.T.); #78120=EDGE_CURVE('',#63070,#63068,#23216,.T.); #78121=EDGE_CURVE('',#63070,#63071,#23217,.T.); #78122=EDGE_CURVE('',#63071,#63069,#23218,.T.); #78123=EDGE_CURVE('',#63072,#63070,#23219,.T.); #78124=EDGE_CURVE('',#63072,#63073,#23220,.T.); #78125=EDGE_CURVE('',#63073,#63071,#23221,.T.); #78126=EDGE_CURVE('',#63074,#63072,#23222,.T.); #78127=EDGE_CURVE('',#63074,#63075,#23223,.T.); #78128=EDGE_CURVE('',#63075,#63073,#23224,.T.); #78129=EDGE_CURVE('',#63076,#63074,#23225,.T.); #78130=EDGE_CURVE('',#63076,#63077,#23226,.T.); #78131=EDGE_CURVE('',#63077,#63075,#23227,.T.); #78132=EDGE_CURVE('',#63078,#63076,#58093,.T.); #78133=EDGE_CURVE('',#63078,#63079,#23228,.T.); #78134=EDGE_CURVE('',#63079,#63077,#58094,.T.); #78135=EDGE_CURVE('',#63080,#63078,#23229,.T.); #78136=EDGE_CURVE('',#63080,#63081,#23230,.T.); #78137=EDGE_CURVE('',#63081,#63079,#23231,.T.); #78138=EDGE_CURVE('',#63082,#63080,#23232,.T.); #78139=EDGE_CURVE('',#63082,#63083,#23233,.T.); #78140=EDGE_CURVE('',#63083,#63081,#23234,.T.); #78141=EDGE_CURVE('',#63084,#63082,#23235,.T.); #78142=EDGE_CURVE('',#63084,#63085,#23236,.T.); #78143=EDGE_CURVE('',#63085,#63083,#23237,.T.); #78144=EDGE_CURVE('',#63086,#63084,#58095,.T.); #78145=EDGE_CURVE('',#63086,#63087,#23238,.T.); #78146=EDGE_CURVE('',#63087,#63085,#58096,.T.); #78147=EDGE_CURVE('',#63088,#63086,#23239,.T.); #78148=EDGE_CURVE('',#63088,#63089,#23240,.T.); #78149=EDGE_CURVE('',#63089,#63087,#23241,.T.); #78150=EDGE_CURVE('',#63090,#63088,#58097,.T.); #78151=EDGE_CURVE('',#63090,#63091,#23242,.T.); #78152=EDGE_CURVE('',#63091,#63089,#58098,.T.); #78153=EDGE_CURVE('',#63092,#63090,#23243,.T.); #78154=EDGE_CURVE('',#63092,#63093,#23244,.T.); #78155=EDGE_CURVE('',#63093,#63091,#23245,.T.); #78156=EDGE_CURVE('',#63094,#63092,#23246,.T.); #78157=EDGE_CURVE('',#63094,#63095,#23247,.T.); #78158=EDGE_CURVE('',#63095,#63093,#23248,.T.); #78159=EDGE_CURVE('',#63096,#63094,#23249,.T.); #78160=EDGE_CURVE('',#63096,#63097,#23250,.T.); #78161=EDGE_CURVE('',#63097,#63095,#23251,.T.); #78162=EDGE_CURVE('',#63098,#63096,#23252,.T.); #78163=EDGE_CURVE('',#63098,#63099,#23253,.T.); #78164=EDGE_CURVE('',#63099,#63097,#23254,.T.); #78165=EDGE_CURVE('',#63100,#63098,#23255,.T.); #78166=EDGE_CURVE('',#63100,#63101,#23256,.T.); #78167=EDGE_CURVE('',#63101,#63099,#23257,.T.); #78168=EDGE_CURVE('',#63102,#63100,#23258,.T.); #78169=EDGE_CURVE('',#63102,#63103,#23259,.T.); #78170=EDGE_CURVE('',#63103,#63101,#23260,.T.); #78171=EDGE_CURVE('',#63104,#63102,#23261,.T.); #78172=EDGE_CURVE('',#63104,#63105,#23262,.T.); #78173=EDGE_CURVE('',#63105,#63103,#23263,.T.); #78174=EDGE_CURVE('',#63106,#63104,#23264,.T.); #78175=EDGE_CURVE('',#63106,#63107,#23265,.T.); #78176=EDGE_CURVE('',#63107,#63105,#23266,.T.); #78177=EDGE_CURVE('',#63108,#63106,#23267,.T.); #78178=EDGE_CURVE('',#63108,#63109,#23268,.T.); #78179=EDGE_CURVE('',#63109,#63107,#23269,.T.); #78180=EDGE_CURVE('',#63110,#63108,#23270,.T.); #78181=EDGE_CURVE('',#63110,#63111,#23271,.T.); #78182=EDGE_CURVE('',#63111,#63109,#23272,.T.); #78183=EDGE_CURVE('',#63112,#63110,#23273,.T.); #78184=EDGE_CURVE('',#63112,#63113,#23274,.T.); #78185=EDGE_CURVE('',#63113,#63111,#23275,.T.); #78186=EDGE_CURVE('',#63114,#63112,#23276,.T.); #78187=EDGE_CURVE('',#63114,#63115,#23277,.T.); #78188=EDGE_CURVE('',#63115,#63113,#23278,.T.); #78189=EDGE_CURVE('',#63116,#63114,#23279,.T.); #78190=EDGE_CURVE('',#63116,#63117,#23280,.T.); #78191=EDGE_CURVE('',#63117,#63115,#23281,.T.); #78192=EDGE_CURVE('',#63118,#63116,#58099,.T.); #78193=EDGE_CURVE('',#63118,#63119,#23282,.T.); #78194=EDGE_CURVE('',#63119,#63117,#58100,.T.); #78195=EDGE_CURVE('',#63120,#63118,#23283,.T.); #78196=EDGE_CURVE('',#63120,#63121,#23284,.T.); #78197=EDGE_CURVE('',#63121,#63119,#23285,.T.); #78198=EDGE_CURVE('',#63122,#63120,#23286,.T.); #78199=EDGE_CURVE('',#63122,#63123,#23287,.T.); #78200=EDGE_CURVE('',#63123,#63121,#23288,.T.); #78201=EDGE_CURVE('',#63124,#63122,#23289,.T.); #78202=EDGE_CURVE('',#63124,#63125,#23290,.T.); #78203=EDGE_CURVE('',#63125,#63123,#23291,.T.); #78204=EDGE_CURVE('',#63126,#63124,#58101,.T.); #78205=EDGE_CURVE('',#63126,#63127,#23292,.T.); #78206=EDGE_CURVE('',#63127,#63125,#58102,.T.); #78207=EDGE_CURVE('',#63128,#63126,#58103,.T.); #78208=EDGE_CURVE('',#63128,#63129,#23293,.T.); #78209=EDGE_CURVE('',#63129,#63127,#58104,.T.); #78210=EDGE_CURVE('',#62907,#63128,#58105,.T.); #78211=EDGE_CURVE('',#62909,#63129,#58106,.T.); #78212=EDGE_CURVE('',#63130,#63131,#58107,.T.); #78213=EDGE_CURVE('',#63130,#63132,#23294,.T.); #78214=EDGE_CURVE('',#63132,#63133,#58108,.T.); #78215=EDGE_CURVE('',#63131,#63133,#23295,.T.); #78216=EDGE_CURVE('',#63134,#63130,#23296,.T.); #78217=EDGE_CURVE('',#63134,#63135,#23297,.T.); #78218=EDGE_CURVE('',#63135,#63132,#23298,.T.); #78219=EDGE_CURVE('',#63136,#63134,#23299,.T.); #78220=EDGE_CURVE('',#63136,#63137,#23300,.T.); #78221=EDGE_CURVE('',#63137,#63135,#23301,.T.); #78222=EDGE_CURVE('',#63138,#63136,#23302,.T.); #78223=EDGE_CURVE('',#63138,#63139,#23303,.T.); #78224=EDGE_CURVE('',#63139,#63137,#23304,.T.); #78225=EDGE_CURVE('',#63140,#63138,#23305,.T.); #78226=EDGE_CURVE('',#63140,#63141,#23306,.T.); #78227=EDGE_CURVE('',#63141,#63139,#23307,.T.); #78228=EDGE_CURVE('',#63142,#63140,#23308,.T.); #78229=EDGE_CURVE('',#63142,#63143,#23309,.T.); #78230=EDGE_CURVE('',#63143,#63141,#23310,.T.); #78231=EDGE_CURVE('',#63144,#63142,#23311,.T.); #78232=EDGE_CURVE('',#63144,#63145,#23312,.T.); #78233=EDGE_CURVE('',#63145,#63143,#23313,.T.); #78234=EDGE_CURVE('',#63146,#63144,#23314,.T.); #78235=EDGE_CURVE('',#63146,#63147,#23315,.T.); #78236=EDGE_CURVE('',#63147,#63145,#23316,.T.); #78237=EDGE_CURVE('',#63148,#63146,#58109,.T.); #78238=EDGE_CURVE('',#63148,#63149,#23317,.T.); #78239=EDGE_CURVE('',#63149,#63147,#58110,.T.); #78240=EDGE_CURVE('',#63150,#63148,#58111,.T.); #78241=EDGE_CURVE('',#63150,#63151,#23318,.T.); #78242=EDGE_CURVE('',#63151,#63149,#58112,.T.); #78243=EDGE_CURVE('',#63131,#63150,#58113,.T.); #78244=EDGE_CURVE('',#63133,#63151,#58114,.T.); #78245=EDGE_CURVE('',#63152,#63153,#23319,.T.); #78246=EDGE_CURVE('',#63152,#63154,#23320,.T.); #78247=EDGE_CURVE('',#63154,#63155,#23321,.T.); #78248=EDGE_CURVE('',#63153,#63155,#23322,.T.); #78249=EDGE_CURVE('',#63156,#63152,#23323,.T.); #78250=EDGE_CURVE('',#63156,#63157,#23324,.T.); #78251=EDGE_CURVE('',#63157,#63154,#23325,.T.); #78252=EDGE_CURVE('',#63158,#63156,#23326,.T.); #78253=EDGE_CURVE('',#63158,#63159,#23327,.T.); #78254=EDGE_CURVE('',#63159,#63157,#23328,.T.); #78255=EDGE_CURVE('',#63160,#63158,#23329,.T.); #78256=EDGE_CURVE('',#63160,#63161,#23330,.T.); #78257=EDGE_CURVE('',#63161,#63159,#23331,.T.); #78258=EDGE_CURVE('',#63162,#63160,#23332,.T.); #78259=EDGE_CURVE('',#63162,#63163,#23333,.T.); #78260=EDGE_CURVE('',#63163,#63161,#23334,.T.); #78261=EDGE_CURVE('',#63164,#63162,#23335,.T.); #78262=EDGE_CURVE('',#63164,#63165,#23336,.T.); #78263=EDGE_CURVE('',#63165,#63163,#23337,.T.); #78264=EDGE_CURVE('',#63166,#63164,#23338,.T.); #78265=EDGE_CURVE('',#63166,#63167,#23339,.T.); #78266=EDGE_CURVE('',#63167,#63165,#23340,.T.); #78267=EDGE_CURVE('',#63168,#63166,#23341,.T.); #78268=EDGE_CURVE('',#63168,#63169,#23342,.T.); #78269=EDGE_CURVE('',#63169,#63167,#23343,.T.); #78270=EDGE_CURVE('',#63170,#63168,#23344,.T.); #78271=EDGE_CURVE('',#63170,#63171,#23345,.T.); #78272=EDGE_CURVE('',#63171,#63169,#23346,.T.); #78273=EDGE_CURVE('',#63172,#63170,#23347,.T.); #78274=EDGE_CURVE('',#63172,#63173,#23348,.T.); #78275=EDGE_CURVE('',#63173,#63171,#23349,.T.); #78276=EDGE_CURVE('',#63174,#63172,#23350,.T.); #78277=EDGE_CURVE('',#63174,#63175,#23351,.T.); #78278=EDGE_CURVE('',#63175,#63173,#23352,.T.); #78279=EDGE_CURVE('',#63176,#63174,#23353,.T.); #78280=EDGE_CURVE('',#63176,#63177,#23354,.T.); #78281=EDGE_CURVE('',#63177,#63175,#23355,.T.); #78282=EDGE_CURVE('',#63178,#63176,#23356,.T.); #78283=EDGE_CURVE('',#63178,#63179,#23357,.T.); #78284=EDGE_CURVE('',#63179,#63177,#23358,.T.); #78285=EDGE_CURVE('',#63180,#63178,#23359,.T.); #78286=EDGE_CURVE('',#63180,#63181,#23360,.T.); #78287=EDGE_CURVE('',#63181,#63179,#23361,.T.); #78288=EDGE_CURVE('',#63182,#63180,#23362,.T.); #78289=EDGE_CURVE('',#63182,#63183,#23363,.T.); #78290=EDGE_CURVE('',#63183,#63181,#23364,.T.); #78291=EDGE_CURVE('',#63184,#63182,#23365,.T.); #78292=EDGE_CURVE('',#63184,#63185,#23366,.T.); #78293=EDGE_CURVE('',#63185,#63183,#23367,.T.); #78294=EDGE_CURVE('',#63186,#63184,#23368,.T.); #78295=EDGE_CURVE('',#63186,#63187,#23369,.T.); #78296=EDGE_CURVE('',#63187,#63185,#23370,.T.); #78297=EDGE_CURVE('',#63188,#63186,#23371,.T.); #78298=EDGE_CURVE('',#63188,#63189,#23372,.T.); #78299=EDGE_CURVE('',#63189,#63187,#23373,.T.); #78300=EDGE_CURVE('',#63190,#63188,#23374,.T.); #78301=EDGE_CURVE('',#63190,#63191,#23375,.T.); #78302=EDGE_CURVE('',#63191,#63189,#23376,.T.); #78303=EDGE_CURVE('',#63192,#63190,#58115,.T.); #78304=EDGE_CURVE('',#63192,#63193,#23377,.T.); #78305=EDGE_CURVE('',#63193,#63191,#58116,.T.); #78306=EDGE_CURVE('',#63194,#63192,#23378,.T.); #78307=EDGE_CURVE('',#63194,#63195,#23379,.T.); #78308=EDGE_CURVE('',#63195,#63193,#23380,.T.); #78309=EDGE_CURVE('',#63196,#63194,#23381,.T.); #78310=EDGE_CURVE('',#63196,#63197,#23382,.T.); #78311=EDGE_CURVE('',#63197,#63195,#23383,.T.); #78312=EDGE_CURVE('',#63198,#63196,#23384,.T.); #78313=EDGE_CURVE('',#63198,#63199,#23385,.T.); #78314=EDGE_CURVE('',#63199,#63197,#23386,.T.); #78315=EDGE_CURVE('',#63200,#63198,#58117,.T.); #78316=EDGE_CURVE('',#63200,#63201,#23387,.T.); #78317=EDGE_CURVE('',#63201,#63199,#58118,.T.); #78318=EDGE_CURVE('',#63202,#63200,#58119,.T.); #78319=EDGE_CURVE('',#63202,#63203,#23388,.T.); #78320=EDGE_CURVE('',#63203,#63201,#58120,.T.); #78321=EDGE_CURVE('',#63204,#63202,#23389,.T.); #78322=EDGE_CURVE('',#63204,#63205,#23390,.T.); #78323=EDGE_CURVE('',#63205,#63203,#23391,.T.); #78324=EDGE_CURVE('',#63206,#63204,#23392,.T.); #78325=EDGE_CURVE('',#63206,#63207,#23393,.T.); #78326=EDGE_CURVE('',#63207,#63205,#23394,.T.); #78327=EDGE_CURVE('',#63208,#63206,#23395,.T.); #78328=EDGE_CURVE('',#63208,#63209,#23396,.T.); #78329=EDGE_CURVE('',#63209,#63207,#23397,.T.); #78330=EDGE_CURVE('',#63210,#63208,#23398,.T.); #78331=EDGE_CURVE('',#63210,#63211,#23399,.T.); #78332=EDGE_CURVE('',#63211,#63209,#23400,.T.); #78333=EDGE_CURVE('',#63212,#63210,#23401,.T.); #78334=EDGE_CURVE('',#63212,#63213,#23402,.T.); #78335=EDGE_CURVE('',#63213,#63211,#23403,.T.); #78336=EDGE_CURVE('',#63214,#63212,#23404,.T.); #78337=EDGE_CURVE('',#63214,#63215,#23405,.T.); #78338=EDGE_CURVE('',#63215,#63213,#23406,.T.); #78339=EDGE_CURVE('',#63216,#63214,#23407,.T.); #78340=EDGE_CURVE('',#63216,#63217,#23408,.T.); #78341=EDGE_CURVE('',#63217,#63215,#23409,.T.); #78342=EDGE_CURVE('',#63218,#63216,#23410,.T.); #78343=EDGE_CURVE('',#63218,#63219,#23411,.T.); #78344=EDGE_CURVE('',#63219,#63217,#23412,.T.); #78345=EDGE_CURVE('',#63220,#63218,#23413,.T.); #78346=EDGE_CURVE('',#63220,#63221,#23414,.T.); #78347=EDGE_CURVE('',#63221,#63219,#23415,.T.); #78348=EDGE_CURVE('',#63222,#63220,#23416,.T.); #78349=EDGE_CURVE('',#63222,#63223,#23417,.T.); #78350=EDGE_CURVE('',#63223,#63221,#23418,.T.); #78351=EDGE_CURVE('',#63224,#63222,#23419,.T.); #78352=EDGE_CURVE('',#63224,#63225,#23420,.T.); #78353=EDGE_CURVE('',#63225,#63223,#23421,.T.); #78354=EDGE_CURVE('',#63226,#63224,#23422,.T.); #78355=EDGE_CURVE('',#63226,#63227,#23423,.T.); #78356=EDGE_CURVE('',#63227,#63225,#23424,.T.); #78357=EDGE_CURVE('',#63228,#63226,#23425,.T.); #78358=EDGE_CURVE('',#63228,#63229,#23426,.T.); #78359=EDGE_CURVE('',#63229,#63227,#23427,.T.); #78360=EDGE_CURVE('',#63230,#63228,#23428,.T.); #78361=EDGE_CURVE('',#63230,#63231,#23429,.T.); #78362=EDGE_CURVE('',#63231,#63229,#23430,.T.); #78363=EDGE_CURVE('',#63232,#63230,#23431,.T.); #78364=EDGE_CURVE('',#63232,#63233,#23432,.T.); #78365=EDGE_CURVE('',#63233,#63231,#23433,.T.); #78366=EDGE_CURVE('',#63234,#63232,#23434,.T.); #78367=EDGE_CURVE('',#63234,#63235,#23435,.T.); #78368=EDGE_CURVE('',#63235,#63233,#23436,.T.); #78369=EDGE_CURVE('',#63236,#63234,#23437,.T.); #78370=EDGE_CURVE('',#63236,#63237,#23438,.T.); #78371=EDGE_CURVE('',#63237,#63235,#23439,.T.); #78372=EDGE_CURVE('',#63238,#63236,#23440,.T.); #78373=EDGE_CURVE('',#63238,#63239,#23441,.T.); #78374=EDGE_CURVE('',#63239,#63237,#23442,.T.); #78375=EDGE_CURVE('',#63240,#63238,#23443,.T.); #78376=EDGE_CURVE('',#63240,#63241,#23444,.T.); #78377=EDGE_CURVE('',#63241,#63239,#23445,.T.); #78378=EDGE_CURVE('',#63242,#63240,#23446,.T.); #78379=EDGE_CURVE('',#63242,#63243,#23447,.T.); #78380=EDGE_CURVE('',#63243,#63241,#23448,.T.); #78381=EDGE_CURVE('',#63244,#63242,#23449,.T.); #78382=EDGE_CURVE('',#63244,#63245,#23450,.T.); #78383=EDGE_CURVE('',#63245,#63243,#23451,.T.); #78384=EDGE_CURVE('',#63246,#63244,#23452,.T.); #78385=EDGE_CURVE('',#63246,#63247,#23453,.T.); #78386=EDGE_CURVE('',#63247,#63245,#23454,.T.); #78387=EDGE_CURVE('',#63248,#63246,#23455,.T.); #78388=EDGE_CURVE('',#63248,#63249,#23456,.T.); #78389=EDGE_CURVE('',#63249,#63247,#23457,.T.); #78390=EDGE_CURVE('',#63250,#63248,#23458,.T.); #78391=EDGE_CURVE('',#63250,#63251,#23459,.T.); #78392=EDGE_CURVE('',#63251,#63249,#23460,.T.); #78393=EDGE_CURVE('',#63252,#63250,#23461,.T.); #78394=EDGE_CURVE('',#63252,#63253,#23462,.T.); #78395=EDGE_CURVE('',#63253,#63251,#23463,.T.); #78396=EDGE_CURVE('',#63254,#63252,#58121,.T.); #78397=EDGE_CURVE('',#63254,#63255,#23464,.T.); #78398=EDGE_CURVE('',#63255,#63253,#58122,.T.); #78399=EDGE_CURVE('',#63256,#63254,#23465,.T.); #78400=EDGE_CURVE('',#63256,#63257,#23466,.T.); #78401=EDGE_CURVE('',#63257,#63255,#23467,.T.); #78402=EDGE_CURVE('',#63258,#63256,#23468,.T.); #78403=EDGE_CURVE('',#63258,#63259,#23469,.T.); #78404=EDGE_CURVE('',#63259,#63257,#23470,.T.); #78405=EDGE_CURVE('',#63260,#63258,#23471,.T.); #78406=EDGE_CURVE('',#63260,#63261,#23472,.T.); #78407=EDGE_CURVE('',#63261,#63259,#23473,.T.); #78408=EDGE_CURVE('',#63262,#63260,#23474,.T.); #78409=EDGE_CURVE('',#63262,#63263,#23475,.T.); #78410=EDGE_CURVE('',#63263,#63261,#23476,.T.); #78411=EDGE_CURVE('',#63264,#63262,#23477,.T.); #78412=EDGE_CURVE('',#63264,#63265,#23478,.T.); #78413=EDGE_CURVE('',#63265,#63263,#23479,.T.); #78414=EDGE_CURVE('',#63266,#63264,#23480,.T.); #78415=EDGE_CURVE('',#63266,#63267,#23481,.T.); #78416=EDGE_CURVE('',#63267,#63265,#23482,.T.); #78417=EDGE_CURVE('',#63268,#63266,#23483,.T.); #78418=EDGE_CURVE('',#63268,#63269,#23484,.T.); #78419=EDGE_CURVE('',#63269,#63267,#23485,.T.); #78420=EDGE_CURVE('',#63270,#63268,#23486,.T.); #78421=EDGE_CURVE('',#63270,#63271,#23487,.T.); #78422=EDGE_CURVE('',#63271,#63269,#23488,.T.); #78423=EDGE_CURVE('',#63272,#63270,#23489,.T.); #78424=EDGE_CURVE('',#63272,#63273,#23490,.T.); #78425=EDGE_CURVE('',#63273,#63271,#23491,.T.); #78426=EDGE_CURVE('',#63274,#63272,#23492,.T.); #78427=EDGE_CURVE('',#63274,#63275,#23493,.T.); #78428=EDGE_CURVE('',#63275,#63273,#23494,.T.); #78429=EDGE_CURVE('',#63276,#63274,#23495,.T.); #78430=EDGE_CURVE('',#63276,#63277,#23496,.T.); #78431=EDGE_CURVE('',#63277,#63275,#23497,.T.); #78432=EDGE_CURVE('',#63278,#63276,#23498,.T.); #78433=EDGE_CURVE('',#63278,#63279,#23499,.T.); #78434=EDGE_CURVE('',#63279,#63277,#23500,.T.); #78435=EDGE_CURVE('',#63280,#63278,#23501,.T.); #78436=EDGE_CURVE('',#63280,#63281,#23502,.T.); #78437=EDGE_CURVE('',#63281,#63279,#23503,.T.); #78438=EDGE_CURVE('',#63282,#63280,#23504,.T.); #78439=EDGE_CURVE('',#63282,#63283,#23505,.T.); #78440=EDGE_CURVE('',#63283,#63281,#23506,.T.); #78441=EDGE_CURVE('',#63284,#63282,#23507,.T.); #78442=EDGE_CURVE('',#63284,#63285,#23508,.T.); #78443=EDGE_CURVE('',#63285,#63283,#23509,.T.); #78444=EDGE_CURVE('',#63286,#63284,#23510,.T.); #78445=EDGE_CURVE('',#63286,#63287,#23511,.T.); #78446=EDGE_CURVE('',#63287,#63285,#23512,.T.); #78447=EDGE_CURVE('',#63288,#63286,#23513,.T.); #78448=EDGE_CURVE('',#63288,#63289,#23514,.T.); #78449=EDGE_CURVE('',#63289,#63287,#23515,.T.); #78450=EDGE_CURVE('',#63290,#63288,#23516,.T.); #78451=EDGE_CURVE('',#63290,#63291,#23517,.T.); #78452=EDGE_CURVE('',#63291,#63289,#23518,.T.); #78453=EDGE_CURVE('',#63292,#63290,#23519,.T.); #78454=EDGE_CURVE('',#63292,#63293,#23520,.T.); #78455=EDGE_CURVE('',#63293,#63291,#23521,.T.); #78456=EDGE_CURVE('',#63294,#63292,#23522,.T.); #78457=EDGE_CURVE('',#63294,#63295,#23523,.T.); #78458=EDGE_CURVE('',#63295,#63293,#23524,.T.); #78459=EDGE_CURVE('',#63296,#63294,#23525,.T.); #78460=EDGE_CURVE('',#63296,#63297,#23526,.T.); #78461=EDGE_CURVE('',#63297,#63295,#23527,.T.); #78462=EDGE_CURVE('',#63298,#63296,#23528,.T.); #78463=EDGE_CURVE('',#63298,#63299,#23529,.T.); #78464=EDGE_CURVE('',#63299,#63297,#23530,.T.); #78465=EDGE_CURVE('',#63300,#63298,#23531,.T.); #78466=EDGE_CURVE('',#63300,#63301,#23532,.T.); #78467=EDGE_CURVE('',#63301,#63299,#23533,.T.); #78468=EDGE_CURVE('',#63302,#63300,#23534,.T.); #78469=EDGE_CURVE('',#63302,#63303,#23535,.T.); #78470=EDGE_CURVE('',#63303,#63301,#23536,.T.); #78471=EDGE_CURVE('',#63304,#63302,#23537,.T.); #78472=EDGE_CURVE('',#63304,#63305,#23538,.T.); #78473=EDGE_CURVE('',#63305,#63303,#23539,.T.); #78474=EDGE_CURVE('',#63306,#63304,#58123,.T.); #78475=EDGE_CURVE('',#63306,#63307,#23540,.T.); #78476=EDGE_CURVE('',#63307,#63305,#58124,.T.); #78477=EDGE_CURVE('',#63308,#63306,#58125,.T.); #78478=EDGE_CURVE('',#63308,#63309,#23541,.T.); #78479=EDGE_CURVE('',#63309,#63307,#58126,.T.); #78480=EDGE_CURVE('',#63310,#63308,#23542,.T.); #78481=EDGE_CURVE('',#63310,#63311,#23543,.T.); #78482=EDGE_CURVE('',#63311,#63309,#23544,.T.); #78483=EDGE_CURVE('',#63312,#63310,#23545,.T.); #78484=EDGE_CURVE('',#63312,#63313,#23546,.T.); #78485=EDGE_CURVE('',#63313,#63311,#23547,.T.); #78486=EDGE_CURVE('',#63314,#63312,#58127,.T.); #78487=EDGE_CURVE('',#63314,#63315,#23548,.T.); #78488=EDGE_CURVE('',#63315,#63313,#58128,.T.); #78489=EDGE_CURVE('',#63316,#63314,#58129,.T.); #78490=EDGE_CURVE('',#63316,#63317,#23549,.T.); #78491=EDGE_CURVE('',#63317,#63315,#58130,.T.); #78492=EDGE_CURVE('',#63318,#63316,#58131,.T.); #78493=EDGE_CURVE('',#63318,#63319,#23550,.T.); #78494=EDGE_CURVE('',#63319,#63317,#58132,.T.); #78495=EDGE_CURVE('',#63320,#63318,#58133,.T.); #78496=EDGE_CURVE('',#63320,#63321,#23551,.T.); #78497=EDGE_CURVE('',#63321,#63319,#58134,.T.); #78498=EDGE_CURVE('',#63322,#63320,#23552,.T.); #78499=EDGE_CURVE('',#63322,#63323,#23553,.T.); #78500=EDGE_CURVE('',#63323,#63321,#23554,.T.); #78501=EDGE_CURVE('',#63324,#63322,#23555,.T.); #78502=EDGE_CURVE('',#63324,#63325,#23556,.T.); #78503=EDGE_CURVE('',#63325,#63323,#23557,.T.); #78504=EDGE_CURVE('',#63326,#63324,#23558,.T.); #78505=EDGE_CURVE('',#63326,#63327,#23559,.T.); #78506=EDGE_CURVE('',#63327,#63325,#23560,.T.); #78507=EDGE_CURVE('',#63328,#63326,#23561,.T.); #78508=EDGE_CURVE('',#63328,#63329,#23562,.T.); #78509=EDGE_CURVE('',#63329,#63327,#23563,.T.); #78510=EDGE_CURVE('',#63330,#63328,#23564,.T.); #78511=EDGE_CURVE('',#63330,#63331,#23565,.T.); #78512=EDGE_CURVE('',#63331,#63329,#23566,.T.); #78513=EDGE_CURVE('',#63332,#63330,#23567,.T.); #78514=EDGE_CURVE('',#63332,#63333,#23568,.T.); #78515=EDGE_CURVE('',#63333,#63331,#23569,.T.); #78516=EDGE_CURVE('',#63153,#63332,#23570,.T.); #78517=EDGE_CURVE('',#63155,#63333,#23571,.T.); #78518=EDGE_CURVE('',#63334,#63335,#23572,.T.); #78519=EDGE_CURVE('',#63334,#63336,#23573,.T.); #78520=EDGE_CURVE('',#63336,#63337,#23574,.T.); #78521=EDGE_CURVE('',#63335,#63337,#23575,.T.); #78522=EDGE_CURVE('',#63338,#63334,#58135,.T.); #78523=EDGE_CURVE('',#63338,#63339,#23576,.T.); #78524=EDGE_CURVE('',#63339,#63336,#58136,.T.); #78525=EDGE_CURVE('',#63340,#63338,#23577,.T.); #78526=EDGE_CURVE('',#63340,#63341,#23578,.T.); #78527=EDGE_CURVE('',#63341,#63339,#23579,.T.); #78528=EDGE_CURVE('',#63342,#63340,#23580,.T.); #78529=EDGE_CURVE('',#63342,#63343,#23581,.T.); #78530=EDGE_CURVE('',#63343,#63341,#23582,.T.); #78531=EDGE_CURVE('',#63335,#63342,#23583,.T.); #78532=EDGE_CURVE('',#63337,#63343,#23584,.T.); #78533=EDGE_CURVE('',#63344,#63345,#23585,.T.); #78534=EDGE_CURVE('',#63344,#63346,#23586,.T.); #78535=EDGE_CURVE('',#63346,#63347,#23587,.T.); #78536=EDGE_CURVE('',#63345,#63347,#23588,.T.); #78537=EDGE_CURVE('',#63348,#63344,#23589,.T.); #78538=EDGE_CURVE('',#63348,#63349,#23590,.T.); #78539=EDGE_CURVE('',#63349,#63346,#23591,.T.); #78540=EDGE_CURVE('',#63350,#63348,#23592,.T.); #78541=EDGE_CURVE('',#63350,#63351,#23593,.T.); #78542=EDGE_CURVE('',#63351,#63349,#23594,.T.); #78543=EDGE_CURVE('',#63352,#63350,#23595,.T.); #78544=EDGE_CURVE('',#63352,#63353,#23596,.T.); #78545=EDGE_CURVE('',#63353,#63351,#23597,.T.); #78546=EDGE_CURVE('',#63354,#63352,#58137,.T.); #78547=EDGE_CURVE('',#63354,#63355,#23598,.T.); #78548=EDGE_CURVE('',#63355,#63353,#58138,.T.); #78549=EDGE_CURVE('',#63356,#63354,#23599,.T.); #78550=EDGE_CURVE('',#63356,#63357,#23600,.T.); #78551=EDGE_CURVE('',#63357,#63355,#23601,.T.); #78552=EDGE_CURVE('',#63358,#63356,#58139,.T.); #78553=EDGE_CURVE('',#63358,#63359,#23602,.T.); #78554=EDGE_CURVE('',#63359,#63357,#58140,.T.); #78555=EDGE_CURVE('',#63360,#63358,#23603,.T.); #78556=EDGE_CURVE('',#63360,#63361,#23604,.T.); #78557=EDGE_CURVE('',#63361,#63359,#23605,.T.); #78558=EDGE_CURVE('',#63362,#63360,#23606,.T.); #78559=EDGE_CURVE('',#63362,#63363,#23607,.T.); #78560=EDGE_CURVE('',#63363,#63361,#23608,.T.); #78561=EDGE_CURVE('',#63364,#63362,#23609,.T.); #78562=EDGE_CURVE('',#63364,#63365,#23610,.T.); #78563=EDGE_CURVE('',#63365,#63363,#23611,.T.); #78564=EDGE_CURVE('',#63366,#63364,#23612,.T.); #78565=EDGE_CURVE('',#63366,#63367,#23613,.T.); #78566=EDGE_CURVE('',#63367,#63365,#23614,.T.); #78567=EDGE_CURVE('',#63368,#63366,#23615,.T.); #78568=EDGE_CURVE('',#63368,#63369,#23616,.T.); #78569=EDGE_CURVE('',#63369,#63367,#23617,.T.); #78570=EDGE_CURVE('',#63370,#63368,#58141,.T.); #78571=EDGE_CURVE('',#63370,#63371,#23618,.T.); #78572=EDGE_CURVE('',#63371,#63369,#58142,.T.); #78573=EDGE_CURVE('',#63372,#63370,#23619,.T.); #78574=EDGE_CURVE('',#63372,#63373,#23620,.T.); #78575=EDGE_CURVE('',#63373,#63371,#23621,.T.); #78576=EDGE_CURVE('',#63374,#63372,#23622,.T.); #78577=EDGE_CURVE('',#63374,#63375,#23623,.T.); #78578=EDGE_CURVE('',#63375,#63373,#23624,.T.); #78579=EDGE_CURVE('',#63376,#63374,#58143,.T.); #78580=EDGE_CURVE('',#63376,#63377,#23625,.T.); #78581=EDGE_CURVE('',#63377,#63375,#58144,.T.); #78582=EDGE_CURVE('',#63378,#63376,#23626,.T.); #78583=EDGE_CURVE('',#63378,#63379,#23627,.T.); #78584=EDGE_CURVE('',#63379,#63377,#23628,.T.); #78585=EDGE_CURVE('',#63380,#63378,#58145,.T.); #78586=EDGE_CURVE('',#63380,#63381,#23629,.T.); #78587=EDGE_CURVE('',#63381,#63379,#58146,.T.); #78588=EDGE_CURVE('',#63382,#63380,#23630,.T.); #78589=EDGE_CURVE('',#63382,#63383,#23631,.T.); #78590=EDGE_CURVE('',#63383,#63381,#23632,.T.); #78591=EDGE_CURVE('',#63384,#63382,#23633,.T.); #78592=EDGE_CURVE('',#63384,#63385,#23634,.T.); #78593=EDGE_CURVE('',#63385,#63383,#23635,.T.); #78594=EDGE_CURVE('',#63386,#63384,#23636,.T.); #78595=EDGE_CURVE('',#63386,#63387,#23637,.T.); #78596=EDGE_CURVE('',#63387,#63385,#23638,.T.); #78597=EDGE_CURVE('',#63388,#63386,#23639,.T.); #78598=EDGE_CURVE('',#63388,#63389,#23640,.T.); #78599=EDGE_CURVE('',#63389,#63387,#23641,.T.); #78600=EDGE_CURVE('',#63390,#63388,#23642,.T.); #78601=EDGE_CURVE('',#63390,#63391,#23643,.T.); #78602=EDGE_CURVE('',#63391,#63389,#23644,.T.); #78603=EDGE_CURVE('',#63392,#63390,#23645,.T.); #78604=EDGE_CURVE('',#63392,#63393,#23646,.T.); #78605=EDGE_CURVE('',#63393,#63391,#23647,.T.); #78606=EDGE_CURVE('',#63394,#63392,#23648,.T.); #78607=EDGE_CURVE('',#63394,#63395,#23649,.T.); #78608=EDGE_CURVE('',#63395,#63393,#23650,.T.); #78609=EDGE_CURVE('',#63396,#63394,#23651,.T.); #78610=EDGE_CURVE('',#63396,#63397,#23652,.T.); #78611=EDGE_CURVE('',#63397,#63395,#23653,.T.); #78612=EDGE_CURVE('',#63398,#63396,#23654,.T.); #78613=EDGE_CURVE('',#63398,#63399,#23655,.T.); #78614=EDGE_CURVE('',#63399,#63397,#23656,.T.); #78615=EDGE_CURVE('',#63400,#63398,#58147,.T.); #78616=EDGE_CURVE('',#63400,#63401,#23657,.T.); #78617=EDGE_CURVE('',#63401,#63399,#58148,.T.); #78618=EDGE_CURVE('',#63402,#63400,#23658,.T.); #78619=EDGE_CURVE('',#63402,#63403,#23659,.T.); #78620=EDGE_CURVE('',#63403,#63401,#23660,.T.); #78621=EDGE_CURVE('',#63404,#63402,#23661,.T.); #78622=EDGE_CURVE('',#63404,#63405,#23662,.T.); #78623=EDGE_CURVE('',#63405,#63403,#23663,.T.); #78624=EDGE_CURVE('',#63406,#63404,#23664,.T.); #78625=EDGE_CURVE('',#63406,#63407,#23665,.T.); #78626=EDGE_CURVE('',#63407,#63405,#23666,.T.); #78627=EDGE_CURVE('',#63408,#63406,#23667,.T.); #78628=EDGE_CURVE('',#63408,#63409,#23668,.T.); #78629=EDGE_CURVE('',#63409,#63407,#23669,.T.); #78630=EDGE_CURVE('',#63410,#63408,#23670,.T.); #78631=EDGE_CURVE('',#63410,#63411,#23671,.T.); #78632=EDGE_CURVE('',#63411,#63409,#23672,.T.); #78633=EDGE_CURVE('',#63412,#63410,#23673,.T.); #78634=EDGE_CURVE('',#63412,#63413,#23674,.T.); #78635=EDGE_CURVE('',#63413,#63411,#23675,.T.); #78636=EDGE_CURVE('',#63414,#63412,#23676,.T.); #78637=EDGE_CURVE('',#63414,#63415,#23677,.T.); #78638=EDGE_CURVE('',#63415,#63413,#23678,.T.); #78639=EDGE_CURVE('',#63416,#63414,#23679,.T.); #78640=EDGE_CURVE('',#63416,#63417,#23680,.T.); #78641=EDGE_CURVE('',#63417,#63415,#23681,.T.); #78642=EDGE_CURVE('',#63418,#63416,#58149,.T.); #78643=EDGE_CURVE('',#63418,#63419,#23682,.T.); #78644=EDGE_CURVE('',#63419,#63417,#58150,.T.); #78645=EDGE_CURVE('',#63420,#63418,#58151,.T.); #78646=EDGE_CURVE('',#63420,#63421,#23683,.T.); #78647=EDGE_CURVE('',#63421,#63419,#58152,.T.); #78648=EDGE_CURVE('',#63422,#63420,#23684,.T.); #78649=EDGE_CURVE('',#63422,#63423,#23685,.T.); #78650=EDGE_CURVE('',#63423,#63421,#23686,.T.); #78651=EDGE_CURVE('',#63424,#63422,#23687,.T.); #78652=EDGE_CURVE('',#63424,#63425,#23688,.T.); #78653=EDGE_CURVE('',#63425,#63423,#23689,.T.); #78654=EDGE_CURVE('',#63426,#63424,#23690,.T.); #78655=EDGE_CURVE('',#63426,#63427,#23691,.T.); #78656=EDGE_CURVE('',#63427,#63425,#23692,.T.); #78657=EDGE_CURVE('',#63428,#63426,#23693,.T.); #78658=EDGE_CURVE('',#63428,#63429,#23694,.T.); #78659=EDGE_CURVE('',#63429,#63427,#23695,.T.); #78660=EDGE_CURVE('',#63430,#63428,#58153,.T.); #78661=EDGE_CURVE('',#63430,#63431,#23696,.T.); #78662=EDGE_CURVE('',#63431,#63429,#58154,.T.); #78663=EDGE_CURVE('',#63432,#63430,#58155,.T.); #78664=EDGE_CURVE('',#63432,#63433,#23697,.T.); #78665=EDGE_CURVE('',#63433,#63431,#58156,.T.); #78666=EDGE_CURVE('',#63434,#63432,#23698,.T.); #78667=EDGE_CURVE('',#63434,#63435,#23699,.T.); #78668=EDGE_CURVE('',#63435,#63433,#23700,.T.); #78669=EDGE_CURVE('',#63436,#63434,#23701,.T.); #78670=EDGE_CURVE('',#63436,#63437,#23702,.T.); #78671=EDGE_CURVE('',#63437,#63435,#23703,.T.); #78672=EDGE_CURVE('',#63438,#63436,#23704,.T.); #78673=EDGE_CURVE('',#63438,#63439,#23705,.T.); #78674=EDGE_CURVE('',#63439,#63437,#23706,.T.); #78675=EDGE_CURVE('',#63440,#63438,#23707,.T.); #78676=EDGE_CURVE('',#63440,#63441,#23708,.T.); #78677=EDGE_CURVE('',#63441,#63439,#23709,.T.); #78678=EDGE_CURVE('',#63442,#63440,#58157,.T.); #78679=EDGE_CURVE('',#63442,#63443,#23710,.T.); #78680=EDGE_CURVE('',#63443,#63441,#58158,.T.); #78681=EDGE_CURVE('',#63444,#63442,#23711,.T.); #78682=EDGE_CURVE('',#63444,#63445,#23712,.T.); #78683=EDGE_CURVE('',#63445,#63443,#23713,.T.); #78684=EDGE_CURVE('',#63446,#63444,#23714,.T.); #78685=EDGE_CURVE('',#63446,#63447,#23715,.T.); #78686=EDGE_CURVE('',#63447,#63445,#23716,.T.); #78687=EDGE_CURVE('',#63448,#63446,#23717,.T.); #78688=EDGE_CURVE('',#63448,#63449,#23718,.T.); #78689=EDGE_CURVE('',#63449,#63447,#23719,.T.); #78690=EDGE_CURVE('',#63450,#63448,#23720,.T.); #78691=EDGE_CURVE('',#63450,#63451,#23721,.T.); #78692=EDGE_CURVE('',#63451,#63449,#23722,.T.); #78693=EDGE_CURVE('',#63452,#63450,#23723,.T.); #78694=EDGE_CURVE('',#63452,#63453,#23724,.T.); #78695=EDGE_CURVE('',#63453,#63451,#23725,.T.); #78696=EDGE_CURVE('',#63454,#63452,#23726,.T.); #78697=EDGE_CURVE('',#63454,#63455,#23727,.T.); #78698=EDGE_CURVE('',#63455,#63453,#23728,.T.); #78699=EDGE_CURVE('',#63456,#63454,#23729,.T.); #78700=EDGE_CURVE('',#63456,#63457,#23730,.T.); #78701=EDGE_CURVE('',#63457,#63455,#23731,.T.); #78702=EDGE_CURVE('',#63458,#63456,#23732,.T.); #78703=EDGE_CURVE('',#63458,#63459,#23733,.T.); #78704=EDGE_CURVE('',#63459,#63457,#23734,.T.); #78705=EDGE_CURVE('',#63460,#63458,#23735,.T.); #78706=EDGE_CURVE('',#63460,#63461,#23736,.T.); #78707=EDGE_CURVE('',#63461,#63459,#23737,.T.); #78708=EDGE_CURVE('',#63462,#63460,#23738,.T.); #78709=EDGE_CURVE('',#63462,#63463,#23739,.T.); #78710=EDGE_CURVE('',#63463,#63461,#23740,.T.); #78711=EDGE_CURVE('',#63464,#63462,#58159,.T.); #78712=EDGE_CURVE('',#63464,#63465,#23741,.T.); #78713=EDGE_CURVE('',#63465,#63463,#58160,.T.); #78714=EDGE_CURVE('',#63466,#63464,#23742,.T.); #78715=EDGE_CURVE('',#63466,#63467,#23743,.T.); #78716=EDGE_CURVE('',#63467,#63465,#23744,.T.); #78717=EDGE_CURVE('',#63468,#63466,#23745,.T.); #78718=EDGE_CURVE('',#63468,#63469,#23746,.T.); #78719=EDGE_CURVE('',#63469,#63467,#23747,.T.); #78720=EDGE_CURVE('',#63470,#63468,#23748,.T.); #78721=EDGE_CURVE('',#63470,#63471,#23749,.T.); #78722=EDGE_CURVE('',#63471,#63469,#23750,.T.); #78723=EDGE_CURVE('',#63472,#63470,#58161,.T.); #78724=EDGE_CURVE('',#63472,#63473,#23751,.T.); #78725=EDGE_CURVE('',#63473,#63471,#58162,.T.); #78726=EDGE_CURVE('',#63474,#63472,#23752,.T.); #78727=EDGE_CURVE('',#63474,#63475,#23753,.T.); #78728=EDGE_CURVE('',#63475,#63473,#23754,.T.); #78729=EDGE_CURVE('',#63476,#63474,#58163,.T.); #78730=EDGE_CURVE('',#63476,#63477,#23755,.T.); #78731=EDGE_CURVE('',#63477,#63475,#58164,.T.); #78732=EDGE_CURVE('',#63478,#63476,#23756,.T.); #78733=EDGE_CURVE('',#63478,#63479,#23757,.T.); #78734=EDGE_CURVE('',#63479,#63477,#23758,.T.); #78735=EDGE_CURVE('',#63480,#63478,#23759,.T.); #78736=EDGE_CURVE('',#63480,#63481,#23760,.T.); #78737=EDGE_CURVE('',#63481,#63479,#23761,.T.); #78738=EDGE_CURVE('',#63482,#63480,#23762,.T.); #78739=EDGE_CURVE('',#63482,#63483,#23763,.T.); #78740=EDGE_CURVE('',#63483,#63481,#23764,.T.); #78741=EDGE_CURVE('',#63484,#63482,#23765,.T.); #78742=EDGE_CURVE('',#63484,#63485,#23766,.T.); #78743=EDGE_CURVE('',#63485,#63483,#23767,.T.); #78744=EDGE_CURVE('',#63486,#63484,#23768,.T.); #78745=EDGE_CURVE('',#63486,#63487,#23769,.T.); #78746=EDGE_CURVE('',#63487,#63485,#23770,.T.); #78747=EDGE_CURVE('',#63488,#63486,#23771,.T.); #78748=EDGE_CURVE('',#63488,#63489,#23772,.T.); #78749=EDGE_CURVE('',#63489,#63487,#23773,.T.); #78750=EDGE_CURVE('',#63490,#63488,#23774,.T.); #78751=EDGE_CURVE('',#63490,#63491,#23775,.T.); #78752=EDGE_CURVE('',#63491,#63489,#23776,.T.); #78753=EDGE_CURVE('',#63492,#63490,#23777,.T.); #78754=EDGE_CURVE('',#63492,#63493,#23778,.T.); #78755=EDGE_CURVE('',#63493,#63491,#23779,.T.); #78756=EDGE_CURVE('',#63494,#63492,#23780,.T.); #78757=EDGE_CURVE('',#63494,#63495,#23781,.T.); #78758=EDGE_CURVE('',#63495,#63493,#23782,.T.); #78759=EDGE_CURVE('',#63496,#63494,#58165,.T.); #78760=EDGE_CURVE('',#63496,#63497,#23783,.T.); #78761=EDGE_CURVE('',#63497,#63495,#58166,.T.); #78762=EDGE_CURVE('',#63498,#63496,#23784,.T.); #78763=EDGE_CURVE('',#63498,#63499,#23785,.T.); #78764=EDGE_CURVE('',#63499,#63497,#23786,.T.); #78765=EDGE_CURVE('',#63500,#63498,#23787,.T.); #78766=EDGE_CURVE('',#63500,#63501,#23788,.T.); #78767=EDGE_CURVE('',#63501,#63499,#23789,.T.); #78768=EDGE_CURVE('',#63502,#63500,#23790,.T.); #78769=EDGE_CURVE('',#63502,#63503,#23791,.T.); #78770=EDGE_CURVE('',#63503,#63501,#23792,.T.); #78771=EDGE_CURVE('',#63504,#63502,#23793,.T.); #78772=EDGE_CURVE('',#63504,#63505,#23794,.T.); #78773=EDGE_CURVE('',#63505,#63503,#23795,.T.); #78774=EDGE_CURVE('',#63506,#63504,#23796,.T.); #78775=EDGE_CURVE('',#63506,#63507,#23797,.T.); #78776=EDGE_CURVE('',#63507,#63505,#23798,.T.); #78777=EDGE_CURVE('',#63508,#63506,#23799,.T.); #78778=EDGE_CURVE('',#63508,#63509,#23800,.T.); #78779=EDGE_CURVE('',#63509,#63507,#23801,.T.); #78780=EDGE_CURVE('',#63510,#63508,#23802,.T.); #78781=EDGE_CURVE('',#63510,#63511,#23803,.T.); #78782=EDGE_CURVE('',#63511,#63509,#23804,.T.); #78783=EDGE_CURVE('',#63512,#63510,#23805,.T.); #78784=EDGE_CURVE('',#63512,#63513,#23806,.T.); #78785=EDGE_CURVE('',#63513,#63511,#23807,.T.); #78786=EDGE_CURVE('',#63514,#63512,#23808,.T.); #78787=EDGE_CURVE('',#63514,#63515,#23809,.T.); #78788=EDGE_CURVE('',#63515,#63513,#23810,.T.); #78789=EDGE_CURVE('',#63516,#63514,#23811,.T.); #78790=EDGE_CURVE('',#63516,#63517,#23812,.T.); #78791=EDGE_CURVE('',#63517,#63515,#23813,.T.); #78792=EDGE_CURVE('',#63518,#63516,#58167,.T.); #78793=EDGE_CURVE('',#63518,#63519,#23814,.T.); #78794=EDGE_CURVE('',#63519,#63517,#58168,.T.); #78795=EDGE_CURVE('',#63520,#63518,#58169,.T.); #78796=EDGE_CURVE('',#63520,#63521,#23815,.T.); #78797=EDGE_CURVE('',#63521,#63519,#58170,.T.); #78798=EDGE_CURVE('',#63522,#63520,#58171,.T.); #78799=EDGE_CURVE('',#63522,#63523,#23816,.T.); #78800=EDGE_CURVE('',#63523,#63521,#58172,.T.); #78801=EDGE_CURVE('',#63524,#63522,#23817,.T.); #78802=EDGE_CURVE('',#63524,#63525,#23818,.T.); #78803=EDGE_CURVE('',#63525,#63523,#23819,.T.); #78804=EDGE_CURVE('',#63526,#63524,#23820,.T.); #78805=EDGE_CURVE('',#63526,#63527,#23821,.T.); #78806=EDGE_CURVE('',#63527,#63525,#23822,.T.); #78807=EDGE_CURVE('',#63528,#63526,#23823,.T.); #78808=EDGE_CURVE('',#63528,#63529,#23824,.T.); #78809=EDGE_CURVE('',#63529,#63527,#23825,.T.); #78810=EDGE_CURVE('',#63530,#63528,#23826,.T.); #78811=EDGE_CURVE('',#63530,#63531,#23827,.T.); #78812=EDGE_CURVE('',#63531,#63529,#23828,.T.); #78813=EDGE_CURVE('',#63345,#63530,#23829,.T.); #78814=EDGE_CURVE('',#63347,#63531,#23830,.T.); #78815=EDGE_CURVE('',#63532,#63533,#58173,.T.); #78816=EDGE_CURVE('',#63532,#63534,#23831,.T.); #78817=EDGE_CURVE('',#63534,#63535,#58174,.T.); #78818=EDGE_CURVE('',#63533,#63535,#23832,.T.); #78819=EDGE_CURVE('',#63536,#63532,#23833,.T.); #78820=EDGE_CURVE('',#63536,#63537,#23834,.T.); #78821=EDGE_CURVE('',#63537,#63534,#23835,.T.); #78822=EDGE_CURVE('',#63538,#63536,#23836,.T.); #78823=EDGE_CURVE('',#63538,#63539,#23837,.T.); #78824=EDGE_CURVE('',#63539,#63537,#23838,.T.); #78825=EDGE_CURVE('',#63540,#63538,#23839,.T.); #78826=EDGE_CURVE('',#63540,#63541,#23840,.T.); #78827=EDGE_CURVE('',#63541,#63539,#23841,.T.); #78828=EDGE_CURVE('',#63542,#63540,#23842,.T.); #78829=EDGE_CURVE('',#63542,#63543,#23843,.T.); #78830=EDGE_CURVE('',#63543,#63541,#23844,.T.); #78831=EDGE_CURVE('',#63544,#63542,#23845,.T.); #78832=EDGE_CURVE('',#63544,#63545,#23846,.T.); #78833=EDGE_CURVE('',#63545,#63543,#23847,.T.); #78834=EDGE_CURVE('',#63546,#63544,#23848,.T.); #78835=EDGE_CURVE('',#63546,#63547,#23849,.T.); #78836=EDGE_CURVE('',#63547,#63545,#23850,.T.); #78837=EDGE_CURVE('',#63548,#63546,#58175,.T.); #78838=EDGE_CURVE('',#63548,#63549,#23851,.T.); #78839=EDGE_CURVE('',#63549,#63547,#58176,.T.); #78840=EDGE_CURVE('',#63550,#63548,#23852,.T.); #78841=EDGE_CURVE('',#63550,#63551,#23853,.T.); #78842=EDGE_CURVE('',#63551,#63549,#23854,.T.); #78843=EDGE_CURVE('',#63552,#63550,#23855,.T.); #78844=EDGE_CURVE('',#63552,#63553,#23856,.T.); #78845=EDGE_CURVE('',#63553,#63551,#23857,.T.); #78846=EDGE_CURVE('',#63554,#63552,#23858,.T.); #78847=EDGE_CURVE('',#63554,#63555,#23859,.T.); #78848=EDGE_CURVE('',#63555,#63553,#23860,.T.); #78849=EDGE_CURVE('',#63556,#63554,#58177,.T.); #78850=EDGE_CURVE('',#63556,#63557,#23861,.T.); #78851=EDGE_CURVE('',#63557,#63555,#58178,.T.); #78852=EDGE_CURVE('',#63558,#63556,#58179,.T.); #78853=EDGE_CURVE('',#63558,#63559,#23862,.T.); #78854=EDGE_CURVE('',#63559,#63557,#58180,.T.); #78855=EDGE_CURVE('',#63560,#63558,#23863,.T.); #78856=EDGE_CURVE('',#63560,#63561,#23864,.T.); #78857=EDGE_CURVE('',#63561,#63559,#23865,.T.); #78858=EDGE_CURVE('',#63562,#63560,#23866,.T.); #78859=EDGE_CURVE('',#63562,#63563,#23867,.T.); #78860=EDGE_CURVE('',#63563,#63561,#23868,.T.); #78861=EDGE_CURVE('',#63564,#63562,#23869,.T.); #78862=EDGE_CURVE('',#63564,#63565,#23870,.T.); #78863=EDGE_CURVE('',#63565,#63563,#23871,.T.); #78864=EDGE_CURVE('',#63566,#63564,#23872,.T.); #78865=EDGE_CURVE('',#63566,#63567,#23873,.T.); #78866=EDGE_CURVE('',#63567,#63565,#23874,.T.); #78867=EDGE_CURVE('',#63568,#63566,#23875,.T.); #78868=EDGE_CURVE('',#63568,#63569,#23876,.T.); #78869=EDGE_CURVE('',#63569,#63567,#23877,.T.); #78870=EDGE_CURVE('',#63570,#63568,#23878,.T.); #78871=EDGE_CURVE('',#63570,#63571,#23879,.T.); #78872=EDGE_CURVE('',#63571,#63569,#23880,.T.); #78873=EDGE_CURVE('',#63572,#63570,#23881,.T.); #78874=EDGE_CURVE('',#63572,#63573,#23882,.T.); #78875=EDGE_CURVE('',#63573,#63571,#23883,.T.); #78876=EDGE_CURVE('',#63574,#63572,#58181,.T.); #78877=EDGE_CURVE('',#63574,#63575,#23884,.T.); #78878=EDGE_CURVE('',#63575,#63573,#58182,.T.); #78879=EDGE_CURVE('',#63576,#63574,#23885,.T.); #78880=EDGE_CURVE('',#63576,#63577,#23886,.T.); #78881=EDGE_CURVE('',#63577,#63575,#23887,.T.); #78882=EDGE_CURVE('',#63578,#63576,#23888,.T.); #78883=EDGE_CURVE('',#63578,#63579,#23889,.T.); #78884=EDGE_CURVE('',#63579,#63577,#23890,.T.); #78885=EDGE_CURVE('',#63580,#63578,#23891,.T.); #78886=EDGE_CURVE('',#63580,#63581,#23892,.T.); #78887=EDGE_CURVE('',#63581,#63579,#23893,.T.); #78888=EDGE_CURVE('',#63582,#63580,#23894,.T.); #78889=EDGE_CURVE('',#63582,#63583,#23895,.T.); #78890=EDGE_CURVE('',#63583,#63581,#23896,.T.); #78891=EDGE_CURVE('',#63584,#63582,#23897,.T.); #78892=EDGE_CURVE('',#63584,#63585,#23898,.T.); #78893=EDGE_CURVE('',#63585,#63583,#23899,.T.); #78894=EDGE_CURVE('',#63586,#63584,#23900,.T.); #78895=EDGE_CURVE('',#63586,#63587,#23901,.T.); #78896=EDGE_CURVE('',#63587,#63585,#23902,.T.); #78897=EDGE_CURVE('',#63588,#63586,#23903,.T.); #78898=EDGE_CURVE('',#63588,#63589,#23904,.T.); #78899=EDGE_CURVE('',#63589,#63587,#23905,.T.); #78900=EDGE_CURVE('',#63590,#63588,#23906,.T.); #78901=EDGE_CURVE('',#63590,#63591,#23907,.T.); #78902=EDGE_CURVE('',#63591,#63589,#23908,.T.); #78903=EDGE_CURVE('',#63592,#63590,#23909,.T.); #78904=EDGE_CURVE('',#63592,#63593,#23910,.T.); #78905=EDGE_CURVE('',#63593,#63591,#23911,.T.); #78906=EDGE_CURVE('',#63594,#63592,#23912,.T.); #78907=EDGE_CURVE('',#63594,#63595,#23913,.T.); #78908=EDGE_CURVE('',#63595,#63593,#23914,.T.); #78909=EDGE_CURVE('',#63596,#63594,#23915,.T.); #78910=EDGE_CURVE('',#63596,#63597,#23916,.T.); #78911=EDGE_CURVE('',#63597,#63595,#23917,.T.); #78912=EDGE_CURVE('',#63598,#63596,#23918,.T.); #78913=EDGE_CURVE('',#63598,#63599,#23919,.T.); #78914=EDGE_CURVE('',#63599,#63597,#23920,.T.); #78915=EDGE_CURVE('',#63600,#63598,#23921,.T.); #78916=EDGE_CURVE('',#63600,#63601,#23922,.T.); #78917=EDGE_CURVE('',#63601,#63599,#23923,.T.); #78918=EDGE_CURVE('',#63602,#63600,#58183,.T.); #78919=EDGE_CURVE('',#63602,#63603,#23924,.T.); #78920=EDGE_CURVE('',#63603,#63601,#58184,.T.); #78921=EDGE_CURVE('',#63604,#63602,#23925,.T.); #78922=EDGE_CURVE('',#63604,#63605,#23926,.T.); #78923=EDGE_CURVE('',#63605,#63603,#23927,.T.); #78924=EDGE_CURVE('',#63606,#63604,#58185,.T.); #78925=EDGE_CURVE('',#63606,#63607,#23928,.T.); #78926=EDGE_CURVE('',#63607,#63605,#58186,.T.); #78927=EDGE_CURVE('',#63608,#63606,#58187,.T.); #78928=EDGE_CURVE('',#63608,#63609,#23929,.T.); #78929=EDGE_CURVE('',#63609,#63607,#58188,.T.); #78930=EDGE_CURVE('',#63610,#63608,#58189,.T.); #78931=EDGE_CURVE('',#63610,#63611,#23930,.T.); #78932=EDGE_CURVE('',#63611,#63609,#58190,.T.); #78933=EDGE_CURVE('',#63612,#63610,#23931,.T.); #78934=EDGE_CURVE('',#63612,#63613,#23932,.T.); #78935=EDGE_CURVE('',#63613,#63611,#23933,.T.); #78936=EDGE_CURVE('',#63614,#63612,#58191,.T.); #78937=EDGE_CURVE('',#63614,#63615,#23934,.T.); #78938=EDGE_CURVE('',#63615,#63613,#58192,.T.); #78939=EDGE_CURVE('',#63616,#63614,#58193,.T.); #78940=EDGE_CURVE('',#63616,#63617,#23935,.T.); #78941=EDGE_CURVE('',#63617,#63615,#58194,.T.); #78942=EDGE_CURVE('',#63618,#63616,#58195,.T.); #78943=EDGE_CURVE('',#63618,#63619,#23936,.T.); #78944=EDGE_CURVE('',#63619,#63617,#58196,.T.); #78945=EDGE_CURVE('',#63620,#63618,#58197,.T.); #78946=EDGE_CURVE('',#63620,#63621,#23937,.T.); #78947=EDGE_CURVE('',#63621,#63619,#58198,.T.); #78948=EDGE_CURVE('',#63622,#63620,#23938,.T.); #78949=EDGE_CURVE('',#63622,#63623,#23939,.T.); #78950=EDGE_CURVE('',#63623,#63621,#23940,.T.); #78951=EDGE_CURVE('',#63624,#63622,#58199,.T.); #78952=EDGE_CURVE('',#63624,#63625,#23941,.T.); #78953=EDGE_CURVE('',#63625,#63623,#58200,.T.); #78954=EDGE_CURVE('',#63626,#63624,#58201,.T.); #78955=EDGE_CURVE('',#63626,#63627,#23942,.T.); #78956=EDGE_CURVE('',#63627,#63625,#58202,.T.); #78957=EDGE_CURVE('',#63628,#63626,#23943,.T.); #78958=EDGE_CURVE('',#63628,#63629,#23944,.T.); #78959=EDGE_CURVE('',#63629,#63627,#23945,.T.); #78960=EDGE_CURVE('',#63630,#63628,#23946,.T.); #78961=EDGE_CURVE('',#63630,#63631,#23947,.T.); #78962=EDGE_CURVE('',#63631,#63629,#23948,.T.); #78963=EDGE_CURVE('',#63632,#63630,#23949,.T.); #78964=EDGE_CURVE('',#63632,#63633,#23950,.T.); #78965=EDGE_CURVE('',#63633,#63631,#23951,.T.); #78966=EDGE_CURVE('',#63634,#63632,#58203,.T.); #78967=EDGE_CURVE('',#63634,#63635,#23952,.T.); #78968=EDGE_CURVE('',#63635,#63633,#58204,.T.); #78969=EDGE_CURVE('',#63636,#63634,#23953,.T.); #78970=EDGE_CURVE('',#63636,#63637,#23954,.T.); #78971=EDGE_CURVE('',#63637,#63635,#23955,.T.); #78972=EDGE_CURVE('',#63638,#63636,#23956,.T.); #78973=EDGE_CURVE('',#63638,#63639,#23957,.T.); #78974=EDGE_CURVE('',#63639,#63637,#23958,.T.); #78975=EDGE_CURVE('',#63640,#63638,#23959,.T.); #78976=EDGE_CURVE('',#63640,#63641,#23960,.T.); #78977=EDGE_CURVE('',#63641,#63639,#23961,.T.); #78978=EDGE_CURVE('',#63642,#63640,#23962,.T.); #78979=EDGE_CURVE('',#63642,#63643,#23963,.T.); #78980=EDGE_CURVE('',#63643,#63641,#23964,.T.); #78981=EDGE_CURVE('',#63644,#63642,#23965,.T.); #78982=EDGE_CURVE('',#63644,#63645,#23966,.T.); #78983=EDGE_CURVE('',#63645,#63643,#23967,.T.); #78984=EDGE_CURVE('',#63646,#63644,#23968,.T.); #78985=EDGE_CURVE('',#63646,#63647,#23969,.T.); #78986=EDGE_CURVE('',#63647,#63645,#23970,.T.); #78987=EDGE_CURVE('',#63648,#63646,#58205,.T.); #78988=EDGE_CURVE('',#63648,#63649,#23971,.T.); #78989=EDGE_CURVE('',#63649,#63647,#58206,.T.); #78990=EDGE_CURVE('',#63650,#63648,#23972,.T.); #78991=EDGE_CURVE('',#63650,#63651,#23973,.T.); #78992=EDGE_CURVE('',#63651,#63649,#23974,.T.); #78993=EDGE_CURVE('',#63652,#63650,#23975,.T.); #78994=EDGE_CURVE('',#63652,#63653,#23976,.T.); #78995=EDGE_CURVE('',#63653,#63651,#23977,.T.); #78996=EDGE_CURVE('',#63654,#63652,#23978,.T.); #78997=EDGE_CURVE('',#63654,#63655,#23979,.T.); #78998=EDGE_CURVE('',#63655,#63653,#23980,.T.); #78999=EDGE_CURVE('',#63656,#63654,#23981,.T.); #79000=EDGE_CURVE('',#63656,#63657,#23982,.T.); #79001=EDGE_CURVE('',#63657,#63655,#23983,.T.); #79002=EDGE_CURVE('',#63658,#63656,#23984,.T.); #79003=EDGE_CURVE('',#63658,#63659,#23985,.T.); #79004=EDGE_CURVE('',#63659,#63657,#23986,.T.); #79005=EDGE_CURVE('',#63660,#63658,#23987,.T.); #79006=EDGE_CURVE('',#63660,#63661,#23988,.T.); #79007=EDGE_CURVE('',#63661,#63659,#23989,.T.); #79008=EDGE_CURVE('',#63662,#63660,#23990,.T.); #79009=EDGE_CURVE('',#63662,#63663,#23991,.T.); #79010=EDGE_CURVE('',#63663,#63661,#23992,.T.); #79011=EDGE_CURVE('',#63664,#63662,#23993,.T.); #79012=EDGE_CURVE('',#63664,#63665,#23994,.T.); #79013=EDGE_CURVE('',#63665,#63663,#23995,.T.); #79014=EDGE_CURVE('',#63666,#63664,#23996,.T.); #79015=EDGE_CURVE('',#63666,#63667,#23997,.T.); #79016=EDGE_CURVE('',#63667,#63665,#23998,.T.); #79017=EDGE_CURVE('',#63668,#63666,#58207,.T.); #79018=EDGE_CURVE('',#63668,#63669,#23999,.T.); #79019=EDGE_CURVE('',#63669,#63667,#58208,.T.); #79020=EDGE_CURVE('',#63670,#63668,#58209,.T.); #79021=EDGE_CURVE('',#63670,#63671,#24000,.T.); #79022=EDGE_CURVE('',#63671,#63669,#58210,.T.); #79023=EDGE_CURVE('',#63672,#63670,#24001,.T.); #79024=EDGE_CURVE('',#63672,#63673,#24002,.T.); #79025=EDGE_CURVE('',#63673,#63671,#24003,.T.); #79026=EDGE_CURVE('',#63674,#63672,#24004,.T.); #79027=EDGE_CURVE('',#63674,#63675,#24005,.T.); #79028=EDGE_CURVE('',#63675,#63673,#24006,.T.); #79029=EDGE_CURVE('',#63676,#63674,#58211,.T.); #79030=EDGE_CURVE('',#63676,#63677,#24007,.T.); #79031=EDGE_CURVE('',#63677,#63675,#58212,.T.); #79032=EDGE_CURVE('',#63678,#63676,#24008,.T.); #79033=EDGE_CURVE('',#63678,#63679,#24009,.T.); #79034=EDGE_CURVE('',#63679,#63677,#24010,.T.); #79035=EDGE_CURVE('',#63680,#63678,#24011,.T.); #79036=EDGE_CURVE('',#63680,#63681,#24012,.T.); #79037=EDGE_CURVE('',#63681,#63679,#24013,.T.); #79038=EDGE_CURVE('',#63682,#63680,#24014,.T.); #79039=EDGE_CURVE('',#63682,#63683,#24015,.T.); #79040=EDGE_CURVE('',#63683,#63681,#24016,.T.); #79041=EDGE_CURVE('',#63684,#63682,#24017,.T.); #79042=EDGE_CURVE('',#63684,#63685,#24018,.T.); #79043=EDGE_CURVE('',#63685,#63683,#24019,.T.); #79044=EDGE_CURVE('',#63686,#63684,#58213,.T.); #79045=EDGE_CURVE('',#63686,#63687,#24020,.T.); #79046=EDGE_CURVE('',#63687,#63685,#58214,.T.); #79047=EDGE_CURVE('',#63688,#63686,#58215,.T.); #79048=EDGE_CURVE('',#63688,#63689,#24021,.T.); #79049=EDGE_CURVE('',#63689,#63687,#58216,.T.); #79050=EDGE_CURVE('',#63690,#63688,#58217,.T.); #79051=EDGE_CURVE('',#63690,#63691,#24022,.T.); #79052=EDGE_CURVE('',#63691,#63689,#58218,.T.); #79053=EDGE_CURVE('',#63692,#63690,#24023,.T.); #79054=EDGE_CURVE('',#63692,#63693,#24024,.T.); #79055=EDGE_CURVE('',#63693,#63691,#24025,.T.); #79056=EDGE_CURVE('',#63694,#63692,#24026,.T.); #79057=EDGE_CURVE('',#63694,#63695,#24027,.T.); #79058=EDGE_CURVE('',#63695,#63693,#24028,.T.); #79059=EDGE_CURVE('',#63696,#63694,#24029,.T.); #79060=EDGE_CURVE('',#63696,#63697,#24030,.T.); #79061=EDGE_CURVE('',#63697,#63695,#24031,.T.); #79062=EDGE_CURVE('',#63698,#63696,#24032,.T.); #79063=EDGE_CURVE('',#63698,#63699,#24033,.T.); #79064=EDGE_CURVE('',#63699,#63697,#24034,.T.); #79065=EDGE_CURVE('',#63700,#63698,#24035,.T.); #79066=EDGE_CURVE('',#63700,#63701,#24036,.T.); #79067=EDGE_CURVE('',#63701,#63699,#24037,.T.); #79068=EDGE_CURVE('',#63702,#63700,#24038,.T.); #79069=EDGE_CURVE('',#63702,#63703,#24039,.T.); #79070=EDGE_CURVE('',#63703,#63701,#24040,.T.); #79071=EDGE_CURVE('',#63704,#63702,#24041,.T.); #79072=EDGE_CURVE('',#63704,#63705,#24042,.T.); #79073=EDGE_CURVE('',#63705,#63703,#24043,.T.); #79074=EDGE_CURVE('',#63706,#63704,#24044,.T.); #79075=EDGE_CURVE('',#63706,#63707,#24045,.T.); #79076=EDGE_CURVE('',#63707,#63705,#24046,.T.); #79077=EDGE_CURVE('',#63708,#63706,#24047,.T.); #79078=EDGE_CURVE('',#63708,#63709,#24048,.T.); #79079=EDGE_CURVE('',#63709,#63707,#24049,.T.); #79080=EDGE_CURVE('',#63710,#63708,#24050,.T.); #79081=EDGE_CURVE('',#63710,#63711,#24051,.T.); #79082=EDGE_CURVE('',#63711,#63709,#24052,.T.); #79083=EDGE_CURVE('',#63712,#63710,#58219,.T.); #79084=EDGE_CURVE('',#63712,#63713,#24053,.T.); #79085=EDGE_CURVE('',#63713,#63711,#58220,.T.); #79086=EDGE_CURVE('',#63714,#63712,#24054,.T.); #79087=EDGE_CURVE('',#63714,#63715,#24055,.T.); #79088=EDGE_CURVE('',#63715,#63713,#24056,.T.); #79089=EDGE_CURVE('',#63716,#63714,#58221,.T.); #79090=EDGE_CURVE('',#63716,#63717,#24057,.T.); #79091=EDGE_CURVE('',#63717,#63715,#58222,.T.); #79092=EDGE_CURVE('',#63718,#63716,#58223,.T.); #79093=EDGE_CURVE('',#63718,#63719,#24058,.T.); #79094=EDGE_CURVE('',#63719,#63717,#58224,.T.); #79095=EDGE_CURVE('',#63720,#63718,#58225,.T.); #79096=EDGE_CURVE('',#63720,#63721,#24059,.T.); #79097=EDGE_CURVE('',#63721,#63719,#58226,.T.); #79098=EDGE_CURVE('',#63722,#63720,#24060,.T.); #79099=EDGE_CURVE('',#63722,#63723,#24061,.T.); #79100=EDGE_CURVE('',#63723,#63721,#24062,.T.); #79101=EDGE_CURVE('',#63724,#63722,#58227,.T.); #79102=EDGE_CURVE('',#63724,#63725,#24063,.T.); #79103=EDGE_CURVE('',#63725,#63723,#58228,.T.); #79104=EDGE_CURVE('',#63726,#63724,#58229,.T.); #79105=EDGE_CURVE('',#63726,#63727,#24064,.T.); #79106=EDGE_CURVE('',#63727,#63725,#58230,.T.); #79107=EDGE_CURVE('',#63728,#63726,#58231,.T.); #79108=EDGE_CURVE('',#63728,#63729,#24065,.T.); #79109=EDGE_CURVE('',#63729,#63727,#58232,.T.); #79110=EDGE_CURVE('',#63730,#63728,#58233,.T.); #79111=EDGE_CURVE('',#63730,#63731,#24066,.T.); #79112=EDGE_CURVE('',#63731,#63729,#58234,.T.); #79113=EDGE_CURVE('',#63732,#63730,#24067,.T.); #79114=EDGE_CURVE('',#63732,#63733,#24068,.T.); #79115=EDGE_CURVE('',#63733,#63731,#24069,.T.); #79116=EDGE_CURVE('',#63734,#63732,#58235,.T.); #79117=EDGE_CURVE('',#63734,#63735,#24070,.T.); #79118=EDGE_CURVE('',#63735,#63733,#58236,.T.); #79119=EDGE_CURVE('',#63736,#63734,#24071,.T.); #79120=EDGE_CURVE('',#63736,#63737,#24072,.T.); #79121=EDGE_CURVE('',#63737,#63735,#24073,.T.); #79122=EDGE_CURVE('',#63738,#63736,#24074,.T.); #79123=EDGE_CURVE('',#63738,#63739,#24075,.T.); #79124=EDGE_CURVE('',#63739,#63737,#24076,.T.); #79125=EDGE_CURVE('',#63740,#63738,#24077,.T.); #79126=EDGE_CURVE('',#63740,#63741,#24078,.T.); #79127=EDGE_CURVE('',#63741,#63739,#24079,.T.); #79128=EDGE_CURVE('',#63742,#63740,#24080,.T.); #79129=EDGE_CURVE('',#63742,#63743,#24081,.T.); #79130=EDGE_CURVE('',#63743,#63741,#24082,.T.); #79131=EDGE_CURVE('',#63744,#63742,#24083,.T.); #79132=EDGE_CURVE('',#63744,#63745,#24084,.T.); #79133=EDGE_CURVE('',#63745,#63743,#24085,.T.); #79134=EDGE_CURVE('',#63746,#63744,#24086,.T.); #79135=EDGE_CURVE('',#63746,#63747,#24087,.T.); #79136=EDGE_CURVE('',#63747,#63745,#24088,.T.); #79137=EDGE_CURVE('',#63748,#63746,#24089,.T.); #79138=EDGE_CURVE('',#63748,#63749,#24090,.T.); #79139=EDGE_CURVE('',#63749,#63747,#24091,.T.); #79140=EDGE_CURVE('',#63533,#63748,#58237,.T.); #79141=EDGE_CURVE('',#63535,#63749,#58238,.T.); #79142=EDGE_CURVE('',#63750,#63751,#24092,.T.); #79143=EDGE_CURVE('',#63750,#63752,#24093,.T.); #79144=EDGE_CURVE('',#63752,#63753,#24094,.T.); #79145=EDGE_CURVE('',#63751,#63753,#24095,.T.); #79146=EDGE_CURVE('',#63754,#63750,#24096,.T.); #79147=EDGE_CURVE('',#63754,#63755,#24097,.T.); #79148=EDGE_CURVE('',#63755,#63752,#24098,.T.); #79149=EDGE_CURVE('',#63756,#63754,#58239,.T.); #79150=EDGE_CURVE('',#63756,#63757,#24099,.T.); #79151=EDGE_CURVE('',#63757,#63755,#58240,.T.); #79152=EDGE_CURVE('',#63758,#63756,#58241,.T.); #79153=EDGE_CURVE('',#63758,#63759,#24100,.T.); #79154=EDGE_CURVE('',#63759,#63757,#58242,.T.); #79155=EDGE_CURVE('',#63760,#63758,#58243,.T.); #79156=EDGE_CURVE('',#63760,#63761,#24101,.T.); #79157=EDGE_CURVE('',#63761,#63759,#58244,.T.); #79158=EDGE_CURVE('',#63762,#63760,#24102,.T.); #79159=EDGE_CURVE('',#63762,#63763,#24103,.T.); #79160=EDGE_CURVE('',#63763,#63761,#24104,.T.); #79161=EDGE_CURVE('',#63764,#63762,#58245,.T.); #79162=EDGE_CURVE('',#63764,#63765,#24105,.T.); #79163=EDGE_CURVE('',#63765,#63763,#58246,.T.); #79164=EDGE_CURVE('',#63766,#63764,#58247,.T.); #79165=EDGE_CURVE('',#63766,#63767,#24106,.T.); #79166=EDGE_CURVE('',#63767,#63765,#58248,.T.); #79167=EDGE_CURVE('',#63768,#63766,#58249,.T.); #79168=EDGE_CURVE('',#63768,#63769,#24107,.T.); #79169=EDGE_CURVE('',#63769,#63767,#58250,.T.); #79170=EDGE_CURVE('',#63770,#63768,#58251,.T.); #79171=EDGE_CURVE('',#63770,#63771,#24108,.T.); #79172=EDGE_CURVE('',#63771,#63769,#58252,.T.); #79173=EDGE_CURVE('',#63772,#63770,#24109,.T.); #79174=EDGE_CURVE('',#63772,#63773,#24110,.T.); #79175=EDGE_CURVE('',#63773,#63771,#24111,.T.); #79176=EDGE_CURVE('',#63774,#63772,#58253,.T.); #79177=EDGE_CURVE('',#63774,#63775,#24112,.T.); #79178=EDGE_CURVE('',#63775,#63773,#58254,.T.); #79179=EDGE_CURVE('',#63776,#63774,#24113,.T.); #79180=EDGE_CURVE('',#63776,#63777,#24114,.T.); #79181=EDGE_CURVE('',#63777,#63775,#24115,.T.); #79182=EDGE_CURVE('',#63778,#63776,#24116,.T.); #79183=EDGE_CURVE('',#63778,#63779,#24117,.T.); #79184=EDGE_CURVE('',#63779,#63777,#24118,.T.); #79185=EDGE_CURVE('',#63780,#63778,#24119,.T.); #79186=EDGE_CURVE('',#63780,#63781,#24120,.T.); #79187=EDGE_CURVE('',#63781,#63779,#24121,.T.); #79188=EDGE_CURVE('',#63782,#63780,#24122,.T.); #79189=EDGE_CURVE('',#63782,#63783,#24123,.T.); #79190=EDGE_CURVE('',#63783,#63781,#24124,.T.); #79191=EDGE_CURVE('',#63784,#63782,#24125,.T.); #79192=EDGE_CURVE('',#63784,#63785,#24126,.T.); #79193=EDGE_CURVE('',#63785,#63783,#24127,.T.); #79194=EDGE_CURVE('',#63786,#63784,#24128,.T.); #79195=EDGE_CURVE('',#63786,#63787,#24129,.T.); #79196=EDGE_CURVE('',#63787,#63785,#24130,.T.); #79197=EDGE_CURVE('',#63788,#63786,#24131,.T.); #79198=EDGE_CURVE('',#63788,#63789,#24132,.T.); #79199=EDGE_CURVE('',#63789,#63787,#24133,.T.); #79200=EDGE_CURVE('',#63790,#63788,#24134,.T.); #79201=EDGE_CURVE('',#63790,#63791,#24135,.T.); #79202=EDGE_CURVE('',#63791,#63789,#24136,.T.); #79203=EDGE_CURVE('',#63792,#63790,#24137,.T.); #79204=EDGE_CURVE('',#63792,#63793,#24138,.T.); #79205=EDGE_CURVE('',#63793,#63791,#24139,.T.); #79206=EDGE_CURVE('',#63794,#63792,#24140,.T.); #79207=EDGE_CURVE('',#63794,#63795,#24141,.T.); #79208=EDGE_CURVE('',#63795,#63793,#24142,.T.); #79209=EDGE_CURVE('',#63796,#63794,#24143,.T.); #79210=EDGE_CURVE('',#63796,#63797,#24144,.T.); #79211=EDGE_CURVE('',#63797,#63795,#24145,.T.); #79212=EDGE_CURVE('',#63798,#63796,#24146,.T.); #79213=EDGE_CURVE('',#63798,#63799,#24147,.T.); #79214=EDGE_CURVE('',#63799,#63797,#24148,.T.); #79215=EDGE_CURVE('',#63800,#63798,#24149,.T.); #79216=EDGE_CURVE('',#63800,#63801,#24150,.T.); #79217=EDGE_CURVE('',#63801,#63799,#24151,.T.); #79218=EDGE_CURVE('',#63802,#63800,#24152,.T.); #79219=EDGE_CURVE('',#63802,#63803,#24153,.T.); #79220=EDGE_CURVE('',#63803,#63801,#24154,.T.); #79221=EDGE_CURVE('',#63804,#63802,#24155,.T.); #79222=EDGE_CURVE('',#63804,#63805,#24156,.T.); #79223=EDGE_CURVE('',#63805,#63803,#24157,.T.); #79224=EDGE_CURVE('',#63806,#63804,#24158,.T.); #79225=EDGE_CURVE('',#63806,#63807,#24159,.T.); #79226=EDGE_CURVE('',#63807,#63805,#24160,.T.); #79227=EDGE_CURVE('',#63808,#63806,#24161,.T.); #79228=EDGE_CURVE('',#63808,#63809,#24162,.T.); #79229=EDGE_CURVE('',#63809,#63807,#24163,.T.); #79230=EDGE_CURVE('',#63810,#63808,#24164,.T.); #79231=EDGE_CURVE('',#63810,#63811,#24165,.T.); #79232=EDGE_CURVE('',#63811,#63809,#24166,.T.); #79233=EDGE_CURVE('',#63812,#63810,#24167,.T.); #79234=EDGE_CURVE('',#63812,#63813,#24168,.T.); #79235=EDGE_CURVE('',#63813,#63811,#24169,.T.); #79236=EDGE_CURVE('',#63814,#63812,#24170,.T.); #79237=EDGE_CURVE('',#63814,#63815,#24171,.T.); #79238=EDGE_CURVE('',#63815,#63813,#24172,.T.); #79239=EDGE_CURVE('',#63816,#63814,#24173,.T.); #79240=EDGE_CURVE('',#63816,#63817,#24174,.T.); #79241=EDGE_CURVE('',#63817,#63815,#24175,.T.); #79242=EDGE_CURVE('',#63818,#63816,#24176,.T.); #79243=EDGE_CURVE('',#63818,#63819,#24177,.T.); #79244=EDGE_CURVE('',#63819,#63817,#24178,.T.); #79245=EDGE_CURVE('',#63820,#63818,#58255,.T.); #79246=EDGE_CURVE('',#63820,#63821,#24179,.T.); #79247=EDGE_CURVE('',#63821,#63819,#58256,.T.); #79248=EDGE_CURVE('',#63822,#63820,#24180,.T.); #79249=EDGE_CURVE('',#63822,#63823,#24181,.T.); #79250=EDGE_CURVE('',#63823,#63821,#24182,.T.); #79251=EDGE_CURVE('',#63824,#63822,#24183,.T.); #79252=EDGE_CURVE('',#63824,#63825,#24184,.T.); #79253=EDGE_CURVE('',#63825,#63823,#24185,.T.); #79254=EDGE_CURVE('',#63826,#63824,#24186,.T.); #79255=EDGE_CURVE('',#63826,#63827,#24187,.T.); #79256=EDGE_CURVE('',#63827,#63825,#24188,.T.); #79257=EDGE_CURVE('',#63828,#63826,#24189,.T.); #79258=EDGE_CURVE('',#63828,#63829,#24190,.T.); #79259=EDGE_CURVE('',#63829,#63827,#24191,.T.); #79260=EDGE_CURVE('',#63830,#63828,#24192,.T.); #79261=EDGE_CURVE('',#63830,#63831,#24193,.T.); #79262=EDGE_CURVE('',#63831,#63829,#24194,.T.); #79263=EDGE_CURVE('',#63832,#63830,#24195,.T.); #79264=EDGE_CURVE('',#63832,#63833,#24196,.T.); #79265=EDGE_CURVE('',#63833,#63831,#24197,.T.); #79266=EDGE_CURVE('',#63834,#63832,#24198,.T.); #79267=EDGE_CURVE('',#63834,#63835,#24199,.T.); #79268=EDGE_CURVE('',#63835,#63833,#24200,.T.); #79269=EDGE_CURVE('',#63836,#63834,#24201,.T.); #79270=EDGE_CURVE('',#63836,#63837,#24202,.T.); #79271=EDGE_CURVE('',#63837,#63835,#24203,.T.); #79272=EDGE_CURVE('',#63838,#63836,#24204,.T.); #79273=EDGE_CURVE('',#63838,#63839,#24205,.T.); #79274=EDGE_CURVE('',#63839,#63837,#24206,.T.); #79275=EDGE_CURVE('',#63840,#63838,#58257,.T.); #79276=EDGE_CURVE('',#63840,#63841,#24207,.T.); #79277=EDGE_CURVE('',#63841,#63839,#58258,.T.); #79278=EDGE_CURVE('',#63842,#63840,#24208,.T.); #79279=EDGE_CURVE('',#63842,#63843,#24209,.T.); #79280=EDGE_CURVE('',#63843,#63841,#24210,.T.); #79281=EDGE_CURVE('',#63844,#63842,#24211,.T.); #79282=EDGE_CURVE('',#63844,#63845,#24212,.T.); #79283=EDGE_CURVE('',#63845,#63843,#24213,.T.); #79284=EDGE_CURVE('',#63846,#63844,#24214,.T.); #79285=EDGE_CURVE('',#63846,#63847,#24215,.T.); #79286=EDGE_CURVE('',#63847,#63845,#24216,.T.); #79287=EDGE_CURVE('',#63848,#63846,#24217,.T.); #79288=EDGE_CURVE('',#63848,#63849,#24218,.T.); #79289=EDGE_CURVE('',#63849,#63847,#24219,.T.); #79290=EDGE_CURVE('',#63850,#63848,#24220,.T.); #79291=EDGE_CURVE('',#63850,#63851,#24221,.T.); #79292=EDGE_CURVE('',#63851,#63849,#24222,.T.); #79293=EDGE_CURVE('',#63852,#63850,#24223,.T.); #79294=EDGE_CURVE('',#63852,#63853,#24224,.T.); #79295=EDGE_CURVE('',#63853,#63851,#24225,.T.); #79296=EDGE_CURVE('',#63854,#63852,#24226,.T.); #79297=EDGE_CURVE('',#63854,#63855,#24227,.T.); #79298=EDGE_CURVE('',#63855,#63853,#24228,.T.); #79299=EDGE_CURVE('',#63856,#63854,#24229,.T.); #79300=EDGE_CURVE('',#63856,#63857,#24230,.T.); #79301=EDGE_CURVE('',#63857,#63855,#24231,.T.); #79302=EDGE_CURVE('',#63858,#63856,#24232,.T.); #79303=EDGE_CURVE('',#63858,#63859,#24233,.T.); #79304=EDGE_CURVE('',#63859,#63857,#24234,.T.); #79305=EDGE_CURVE('',#63860,#63858,#24235,.T.); #79306=EDGE_CURVE('',#63860,#63861,#24236,.T.); #79307=EDGE_CURVE('',#63861,#63859,#24237,.T.); #79308=EDGE_CURVE('',#63862,#63860,#24238,.T.); #79309=EDGE_CURVE('',#63862,#63863,#24239,.T.); #79310=EDGE_CURVE('',#63863,#63861,#24240,.T.); #79311=EDGE_CURVE('',#63864,#63862,#24241,.T.); #79312=EDGE_CURVE('',#63864,#63865,#24242,.T.); #79313=EDGE_CURVE('',#63865,#63863,#24243,.T.); #79314=EDGE_CURVE('',#63866,#63864,#24244,.T.); #79315=EDGE_CURVE('',#63866,#63867,#24245,.T.); #79316=EDGE_CURVE('',#63867,#63865,#24246,.T.); #79317=EDGE_CURVE('',#63868,#63866,#24247,.T.); #79318=EDGE_CURVE('',#63868,#63869,#24248,.T.); #79319=EDGE_CURVE('',#63869,#63867,#24249,.T.); #79320=EDGE_CURVE('',#63870,#63868,#24250,.T.); #79321=EDGE_CURVE('',#63870,#63871,#24251,.T.); #79322=EDGE_CURVE('',#63871,#63869,#24252,.T.); #79323=EDGE_CURVE('',#63872,#63870,#24253,.T.); #79324=EDGE_CURVE('',#63872,#63873,#24254,.T.); #79325=EDGE_CURVE('',#63873,#63871,#24255,.T.); #79326=EDGE_CURVE('',#63874,#63872,#24256,.T.); #79327=EDGE_CURVE('',#63874,#63875,#24257,.T.); #79328=EDGE_CURVE('',#63875,#63873,#24258,.T.); #79329=EDGE_CURVE('',#63876,#63874,#58259,.T.); #79330=EDGE_CURVE('',#63876,#63877,#24259,.T.); #79331=EDGE_CURVE('',#63877,#63875,#58260,.T.); #79332=EDGE_CURVE('',#63878,#63876,#58261,.T.); #79333=EDGE_CURVE('',#63878,#63879,#24260,.T.); #79334=EDGE_CURVE('',#63879,#63877,#58262,.T.); #79335=EDGE_CURVE('',#63880,#63878,#58263,.T.); #79336=EDGE_CURVE('',#63880,#63881,#24261,.T.); #79337=EDGE_CURVE('',#63881,#63879,#58264,.T.); #79338=EDGE_CURVE('',#63882,#63880,#58265,.T.); #79339=EDGE_CURVE('',#63882,#63883,#24262,.T.); #79340=EDGE_CURVE('',#63883,#63881,#58266,.T.); #79341=EDGE_CURVE('',#63884,#63882,#24263,.T.); #79342=EDGE_CURVE('',#63884,#63885,#24264,.T.); #79343=EDGE_CURVE('',#63885,#63883,#24265,.T.); #79344=EDGE_CURVE('',#63886,#63884,#58267,.T.); #79345=EDGE_CURVE('',#63886,#63887,#24266,.T.); #79346=EDGE_CURVE('',#63887,#63885,#58268,.T.); #79347=EDGE_CURVE('',#63888,#63886,#58269,.T.); #79348=EDGE_CURVE('',#63888,#63889,#24267,.T.); #79349=EDGE_CURVE('',#63889,#63887,#58270,.T.); #79350=EDGE_CURVE('',#63890,#63888,#58271,.T.); #79351=EDGE_CURVE('',#63890,#63891,#24268,.T.); #79352=EDGE_CURVE('',#63891,#63889,#58272,.T.); #79353=EDGE_CURVE('',#63892,#63890,#58273,.T.); #79354=EDGE_CURVE('',#63892,#63893,#24269,.T.); #79355=EDGE_CURVE('',#63893,#63891,#58274,.T.); #79356=EDGE_CURVE('',#63894,#63892,#58275,.T.); #79357=EDGE_CURVE('',#63894,#63895,#24270,.T.); #79358=EDGE_CURVE('',#63895,#63893,#58276,.T.); #79359=EDGE_CURVE('',#63896,#63894,#24271,.T.); #79360=EDGE_CURVE('',#63896,#63897,#24272,.T.); #79361=EDGE_CURVE('',#63897,#63895,#24273,.T.); #79362=EDGE_CURVE('',#63898,#63896,#58277,.T.); #79363=EDGE_CURVE('',#63898,#63899,#24274,.T.); #79364=EDGE_CURVE('',#63899,#63897,#58278,.T.); #79365=EDGE_CURVE('',#63900,#63898,#24275,.T.); #79366=EDGE_CURVE('',#63900,#63901,#24276,.T.); #79367=EDGE_CURVE('',#63901,#63899,#24277,.T.); #79368=EDGE_CURVE('',#63902,#63900,#24278,.T.); #79369=EDGE_CURVE('',#63902,#63903,#24279,.T.); #79370=EDGE_CURVE('',#63903,#63901,#24280,.T.); #79371=EDGE_CURVE('',#63904,#63902,#24281,.T.); #79372=EDGE_CURVE('',#63904,#63905,#24282,.T.); #79373=EDGE_CURVE('',#63905,#63903,#24283,.T.); #79374=EDGE_CURVE('',#63906,#63904,#24284,.T.); #79375=EDGE_CURVE('',#63906,#63907,#24285,.T.); #79376=EDGE_CURVE('',#63907,#63905,#24286,.T.); #79377=EDGE_CURVE('',#63908,#63906,#24287,.T.); #79378=EDGE_CURVE('',#63908,#63909,#24288,.T.); #79379=EDGE_CURVE('',#63909,#63907,#24289,.T.); #79380=EDGE_CURVE('',#63910,#63908,#24290,.T.); #79381=EDGE_CURVE('',#63910,#63911,#24291,.T.); #79382=EDGE_CURVE('',#63911,#63909,#24292,.T.); #79383=EDGE_CURVE('',#63912,#63910,#24293,.T.); #79384=EDGE_CURVE('',#63912,#63913,#24294,.T.); #79385=EDGE_CURVE('',#63913,#63911,#24295,.T.); #79386=EDGE_CURVE('',#63914,#63912,#24296,.T.); #79387=EDGE_CURVE('',#63914,#63915,#24297,.T.); #79388=EDGE_CURVE('',#63915,#63913,#24298,.T.); #79389=EDGE_CURVE('',#63916,#63914,#24299,.T.); #79390=EDGE_CURVE('',#63916,#63917,#24300,.T.); #79391=EDGE_CURVE('',#63917,#63915,#24301,.T.); #79392=EDGE_CURVE('',#63918,#63916,#24302,.T.); #79393=EDGE_CURVE('',#63918,#63919,#24303,.T.); #79394=EDGE_CURVE('',#63919,#63917,#24304,.T.); #79395=EDGE_CURVE('',#63920,#63918,#24305,.T.); #79396=EDGE_CURVE('',#63920,#63921,#24306,.T.); #79397=EDGE_CURVE('',#63921,#63919,#24307,.T.); #79398=EDGE_CURVE('',#63922,#63920,#24308,.T.); #79399=EDGE_CURVE('',#63922,#63923,#24309,.T.); #79400=EDGE_CURVE('',#63923,#63921,#24310,.T.); #79401=EDGE_CURVE('',#63924,#63922,#24311,.T.); #79402=EDGE_CURVE('',#63924,#63925,#24312,.T.); #79403=EDGE_CURVE('',#63925,#63923,#24313,.T.); #79404=EDGE_CURVE('',#63926,#63924,#24314,.T.); #79405=EDGE_CURVE('',#63926,#63927,#24315,.T.); #79406=EDGE_CURVE('',#63927,#63925,#24316,.T.); #79407=EDGE_CURVE('',#63928,#63926,#24317,.T.); #79408=EDGE_CURVE('',#63928,#63929,#24318,.T.); #79409=EDGE_CURVE('',#63929,#63927,#24319,.T.); #79410=EDGE_CURVE('',#63930,#63928,#24320,.T.); #79411=EDGE_CURVE('',#63930,#63931,#24321,.T.); #79412=EDGE_CURVE('',#63931,#63929,#24322,.T.); #79413=EDGE_CURVE('',#63932,#63930,#24323,.T.); #79414=EDGE_CURVE('',#63932,#63933,#24324,.T.); #79415=EDGE_CURVE('',#63933,#63931,#24325,.T.); #79416=EDGE_CURVE('',#63934,#63932,#24326,.T.); #79417=EDGE_CURVE('',#63934,#63935,#24327,.T.); #79418=EDGE_CURVE('',#63935,#63933,#24328,.T.); #79419=EDGE_CURVE('',#63936,#63934,#24329,.T.); #79420=EDGE_CURVE('',#63936,#63937,#24330,.T.); #79421=EDGE_CURVE('',#63937,#63935,#24331,.T.); #79422=EDGE_CURVE('',#63938,#63936,#24332,.T.); #79423=EDGE_CURVE('',#63938,#63939,#24333,.T.); #79424=EDGE_CURVE('',#63939,#63937,#24334,.T.); #79425=EDGE_CURVE('',#63940,#63938,#24335,.T.); #79426=EDGE_CURVE('',#63940,#63941,#24336,.T.); #79427=EDGE_CURVE('',#63941,#63939,#24337,.T.); #79428=EDGE_CURVE('',#63942,#63940,#24338,.T.); #79429=EDGE_CURVE('',#63942,#63943,#24339,.T.); #79430=EDGE_CURVE('',#63943,#63941,#24340,.T.); #79431=EDGE_CURVE('',#63944,#63942,#24341,.T.); #79432=EDGE_CURVE('',#63944,#63945,#24342,.T.); #79433=EDGE_CURVE('',#63945,#63943,#24343,.T.); #79434=EDGE_CURVE('',#63946,#63944,#24344,.T.); #79435=EDGE_CURVE('',#63946,#63947,#24345,.T.); #79436=EDGE_CURVE('',#63947,#63945,#24346,.T.); #79437=EDGE_CURVE('',#63948,#63946,#58279,.T.); #79438=EDGE_CURVE('',#63948,#63949,#24347,.T.); #79439=EDGE_CURVE('',#63949,#63947,#58280,.T.); #79440=EDGE_CURVE('',#63950,#63948,#24348,.T.); #79441=EDGE_CURVE('',#63950,#63951,#24349,.T.); #79442=EDGE_CURVE('',#63951,#63949,#24350,.T.); #79443=EDGE_CURVE('',#63952,#63950,#24351,.T.); #79444=EDGE_CURVE('',#63952,#63953,#24352,.T.); #79445=EDGE_CURVE('',#63953,#63951,#24353,.T.); #79446=EDGE_CURVE('',#63954,#63952,#24354,.T.); #79447=EDGE_CURVE('',#63954,#63955,#24355,.T.); #79448=EDGE_CURVE('',#63955,#63953,#24356,.T.); #79449=EDGE_CURVE('',#63956,#63954,#24357,.T.); #79450=EDGE_CURVE('',#63956,#63957,#24358,.T.); #79451=EDGE_CURVE('',#63957,#63955,#24359,.T.); #79452=EDGE_CURVE('',#63958,#63956,#24360,.T.); #79453=EDGE_CURVE('',#63958,#63959,#24361,.T.); #79454=EDGE_CURVE('',#63959,#63957,#24362,.T.); #79455=EDGE_CURVE('',#63960,#63958,#24363,.T.); #79456=EDGE_CURVE('',#63960,#63961,#24364,.T.); #79457=EDGE_CURVE('',#63961,#63959,#24365,.T.); #79458=EDGE_CURVE('',#63962,#63960,#24366,.T.); #79459=EDGE_CURVE('',#63962,#63963,#24367,.T.); #79460=EDGE_CURVE('',#63963,#63961,#24368,.T.); #79461=EDGE_CURVE('',#63964,#63962,#24369,.T.); #79462=EDGE_CURVE('',#63964,#63965,#24370,.T.); #79463=EDGE_CURVE('',#63965,#63963,#24371,.T.); #79464=EDGE_CURVE('',#63966,#63964,#24372,.T.); #79465=EDGE_CURVE('',#63966,#63967,#24373,.T.); #79466=EDGE_CURVE('',#63967,#63965,#24374,.T.); #79467=EDGE_CURVE('',#63968,#63966,#24375,.T.); #79468=EDGE_CURVE('',#63968,#63969,#24376,.T.); #79469=EDGE_CURVE('',#63969,#63967,#24377,.T.); #79470=EDGE_CURVE('',#63970,#63968,#24378,.T.); #79471=EDGE_CURVE('',#63970,#63971,#24379,.T.); #79472=EDGE_CURVE('',#63971,#63969,#24380,.T.); #79473=EDGE_CURVE('',#63972,#63970,#24381,.T.); #79474=EDGE_CURVE('',#63972,#63973,#24382,.T.); #79475=EDGE_CURVE('',#63973,#63971,#24383,.T.); #79476=EDGE_CURVE('',#63974,#63972,#58281,.T.); #79477=EDGE_CURVE('',#63974,#63975,#24384,.T.); #79478=EDGE_CURVE('',#63975,#63973,#58282,.T.); #79479=EDGE_CURVE('',#63976,#63974,#58283,.T.); #79480=EDGE_CURVE('',#63976,#63977,#24385,.T.); #79481=EDGE_CURVE('',#63977,#63975,#58284,.T.); #79482=EDGE_CURVE('',#63978,#63976,#24386,.T.); #79483=EDGE_CURVE('',#63978,#63979,#24387,.T.); #79484=EDGE_CURVE('',#63979,#63977,#24388,.T.); #79485=EDGE_CURVE('',#63980,#63978,#24389,.T.); #79486=EDGE_CURVE('',#63980,#63981,#24390,.T.); #79487=EDGE_CURVE('',#63981,#63979,#24391,.T.); #79488=EDGE_CURVE('',#63982,#63980,#24392,.T.); #79489=EDGE_CURVE('',#63982,#63983,#24393,.T.); #79490=EDGE_CURVE('',#63983,#63981,#24394,.T.); #79491=EDGE_CURVE('',#63984,#63982,#24395,.T.); #79492=EDGE_CURVE('',#63984,#63985,#24396,.T.); #79493=EDGE_CURVE('',#63985,#63983,#24397,.T.); #79494=EDGE_CURVE('',#63986,#63984,#58285,.T.); #79495=EDGE_CURVE('',#63986,#63987,#24398,.T.); #79496=EDGE_CURVE('',#63987,#63985,#58286,.T.); #79497=EDGE_CURVE('',#63988,#63986,#24399,.T.); #79498=EDGE_CURVE('',#63988,#63989,#24400,.T.); #79499=EDGE_CURVE('',#63989,#63987,#24401,.T.); #79500=EDGE_CURVE('',#63990,#63988,#58287,.T.); #79501=EDGE_CURVE('',#63990,#63991,#24402,.T.); #79502=EDGE_CURVE('',#63991,#63989,#58288,.T.); #79503=EDGE_CURVE('',#63992,#63990,#24403,.T.); #79504=EDGE_CURVE('',#63992,#63993,#24404,.T.); #79505=EDGE_CURVE('',#63993,#63991,#24405,.T.); #79506=EDGE_CURVE('',#63994,#63992,#24406,.T.); #79507=EDGE_CURVE('',#63994,#63995,#24407,.T.); #79508=EDGE_CURVE('',#63995,#63993,#24408,.T.); #79509=EDGE_CURVE('',#63996,#63994,#24409,.T.); #79510=EDGE_CURVE('',#63996,#63997,#24410,.T.); #79511=EDGE_CURVE('',#63997,#63995,#24411,.T.); #79512=EDGE_CURVE('',#63751,#63996,#24412,.T.); #79513=EDGE_CURVE('',#63753,#63997,#24413,.T.); #79514=EDGE_CURVE('',#63998,#63999,#58289,.T.); #79515=EDGE_CURVE('',#63998,#64000,#24414,.T.); #79516=EDGE_CURVE('',#64000,#64001,#58290,.T.); #79517=EDGE_CURVE('',#63999,#64001,#24415,.T.); #79518=EDGE_CURVE('',#64002,#63998,#58291,.T.); #79519=EDGE_CURVE('',#64002,#64003,#24416,.T.); #79520=EDGE_CURVE('',#64003,#64000,#58292,.T.); #79521=EDGE_CURVE('',#64004,#64002,#24417,.T.); #79522=EDGE_CURVE('',#64004,#64005,#24418,.T.); #79523=EDGE_CURVE('',#64005,#64003,#24419,.T.); #79524=EDGE_CURVE('',#64006,#64004,#24420,.T.); #79525=EDGE_CURVE('',#64006,#64007,#24421,.T.); #79526=EDGE_CURVE('',#64007,#64005,#24422,.T.); #79527=EDGE_CURVE('',#64008,#64006,#24423,.T.); #79528=EDGE_CURVE('',#64008,#64009,#24424,.T.); #79529=EDGE_CURVE('',#64009,#64007,#24425,.T.); #79530=EDGE_CURVE('',#64010,#64008,#24426,.T.); #79531=EDGE_CURVE('',#64010,#64011,#24427,.T.); #79532=EDGE_CURVE('',#64011,#64009,#24428,.T.); #79533=EDGE_CURVE('',#64012,#64010,#58293,.T.); #79534=EDGE_CURVE('',#64012,#64013,#24429,.T.); #79535=EDGE_CURVE('',#64013,#64011,#58294,.T.); #79536=EDGE_CURVE('',#64014,#64012,#24430,.T.); #79537=EDGE_CURVE('',#64014,#64015,#24431,.T.); #79538=EDGE_CURVE('',#64015,#64013,#24432,.T.); #79539=EDGE_CURVE('',#64016,#64014,#24433,.T.); #79540=EDGE_CURVE('',#64016,#64017,#24434,.T.); #79541=EDGE_CURVE('',#64017,#64015,#24435,.T.); #79542=EDGE_CURVE('',#64018,#64016,#58295,.T.); #79543=EDGE_CURVE('',#64018,#64019,#24436,.T.); #79544=EDGE_CURVE('',#64019,#64017,#58296,.T.); #79545=EDGE_CURVE('',#64020,#64018,#24437,.T.); #79546=EDGE_CURVE('',#64020,#64021,#24438,.T.); #79547=EDGE_CURVE('',#64021,#64019,#24439,.T.); #79548=EDGE_CURVE('',#64022,#64020,#24440,.T.); #79549=EDGE_CURVE('',#64022,#64023,#24441,.T.); #79550=EDGE_CURVE('',#64023,#64021,#24442,.T.); #79551=EDGE_CURVE('',#64024,#64022,#24443,.T.); #79552=EDGE_CURVE('',#64024,#64025,#24444,.T.); #79553=EDGE_CURVE('',#64025,#64023,#24445,.T.); #79554=EDGE_CURVE('',#64026,#64024,#58297,.T.); #79555=EDGE_CURVE('',#64026,#64027,#24446,.T.); #79556=EDGE_CURVE('',#64027,#64025,#58298,.T.); #79557=EDGE_CURVE('',#64028,#64026,#24447,.T.); #79558=EDGE_CURVE('',#64028,#64029,#24448,.T.); #79559=EDGE_CURVE('',#64029,#64027,#24449,.T.); #79560=EDGE_CURVE('',#64030,#64028,#24450,.T.); #79561=EDGE_CURVE('',#64030,#64031,#24451,.T.); #79562=EDGE_CURVE('',#64031,#64029,#24452,.T.); #79563=EDGE_CURVE('',#64032,#64030,#24453,.T.); #79564=EDGE_CURVE('',#64032,#64033,#24454,.T.); #79565=EDGE_CURVE('',#64033,#64031,#24455,.T.); #79566=EDGE_CURVE('',#64034,#64032,#58299,.T.); #79567=EDGE_CURVE('',#64034,#64035,#24456,.T.); #79568=EDGE_CURVE('',#64035,#64033,#58300,.T.); #79569=EDGE_CURVE('',#64036,#64034,#24457,.T.); #79570=EDGE_CURVE('',#64036,#64037,#24458,.T.); #79571=EDGE_CURVE('',#64037,#64035,#24459,.T.); #79572=EDGE_CURVE('',#64038,#64036,#24460,.T.); #79573=EDGE_CURVE('',#64038,#64039,#24461,.T.); #79574=EDGE_CURVE('',#64039,#64037,#24462,.T.); #79575=EDGE_CURVE('',#64040,#64038,#24463,.T.); #79576=EDGE_CURVE('',#64040,#64041,#24464,.T.); #79577=EDGE_CURVE('',#64041,#64039,#24465,.T.); #79578=EDGE_CURVE('',#64042,#64040,#24466,.T.); #79579=EDGE_CURVE('',#64042,#64043,#24467,.T.); #79580=EDGE_CURVE('',#64043,#64041,#24468,.T.); #79581=EDGE_CURVE('',#64044,#64042,#24469,.T.); #79582=EDGE_CURVE('',#64044,#64045,#24470,.T.); #79583=EDGE_CURVE('',#64045,#64043,#24471,.T.); #79584=EDGE_CURVE('',#64046,#64044,#58301,.T.); #79585=EDGE_CURVE('',#64046,#64047,#24472,.T.); #79586=EDGE_CURVE('',#64047,#64045,#58302,.T.); #79587=EDGE_CURVE('',#64048,#64046,#24473,.T.); #79588=EDGE_CURVE('',#64048,#64049,#24474,.T.); #79589=EDGE_CURVE('',#64049,#64047,#24475,.T.); #79590=EDGE_CURVE('',#64050,#64048,#24476,.T.); #79591=EDGE_CURVE('',#64050,#64051,#24477,.T.); #79592=EDGE_CURVE('',#64051,#64049,#24478,.T.); #79593=EDGE_CURVE('',#64052,#64050,#58303,.T.); #79594=EDGE_CURVE('',#64052,#64053,#24479,.T.); #79595=EDGE_CURVE('',#64053,#64051,#58304,.T.); #79596=EDGE_CURVE('',#64054,#64052,#58305,.T.); #79597=EDGE_CURVE('',#64054,#64055,#24480,.T.); #79598=EDGE_CURVE('',#64055,#64053,#58306,.T.); #79599=EDGE_CURVE('',#64056,#64054,#24481,.T.); #79600=EDGE_CURVE('',#64056,#64057,#24482,.T.); #79601=EDGE_CURVE('',#64057,#64055,#24483,.T.); #79602=EDGE_CURVE('',#64058,#64056,#58307,.T.); #79603=EDGE_CURVE('',#64058,#64059,#24484,.T.); #79604=EDGE_CURVE('',#64059,#64057,#58308,.T.); #79605=EDGE_CURVE('',#64060,#64058,#58309,.T.); #79606=EDGE_CURVE('',#64060,#64061,#24485,.T.); #79607=EDGE_CURVE('',#64061,#64059,#58310,.T.); #79608=EDGE_CURVE('',#64062,#64060,#58311,.T.); #79609=EDGE_CURVE('',#64062,#64063,#24486,.T.); #79610=EDGE_CURVE('',#64063,#64061,#58312,.T.); #79611=EDGE_CURVE('',#64064,#64062,#24487,.T.); #79612=EDGE_CURVE('',#64064,#64065,#24488,.T.); #79613=EDGE_CURVE('',#64065,#64063,#24489,.T.); #79614=EDGE_CURVE('',#64066,#64064,#58313,.T.); #79615=EDGE_CURVE('',#64066,#64067,#24490,.T.); #79616=EDGE_CURVE('',#64067,#64065,#58314,.T.); #79617=EDGE_CURVE('',#64068,#64066,#24491,.T.); #79618=EDGE_CURVE('',#64068,#64069,#24492,.T.); #79619=EDGE_CURVE('',#64069,#64067,#24493,.T.); #79620=EDGE_CURVE('',#64070,#64068,#24494,.T.); #79621=EDGE_CURVE('',#64070,#64071,#24495,.T.); #79622=EDGE_CURVE('',#64071,#64069,#24496,.T.); #79623=EDGE_CURVE('',#64072,#64070,#24497,.T.); #79624=EDGE_CURVE('',#64072,#64073,#24498,.T.); #79625=EDGE_CURVE('',#64073,#64071,#24499,.T.); #79626=EDGE_CURVE('',#64074,#64072,#24500,.T.); #79627=EDGE_CURVE('',#64074,#64075,#24501,.T.); #79628=EDGE_CURVE('',#64075,#64073,#24502,.T.); #79629=EDGE_CURVE('',#64076,#64074,#24503,.T.); #79630=EDGE_CURVE('',#64076,#64077,#24504,.T.); #79631=EDGE_CURVE('',#64077,#64075,#24505,.T.); #79632=EDGE_CURVE('',#64078,#64076,#24506,.T.); #79633=EDGE_CURVE('',#64078,#64079,#24507,.T.); #79634=EDGE_CURVE('',#64079,#64077,#24508,.T.); #79635=EDGE_CURVE('',#64080,#64078,#58315,.T.); #79636=EDGE_CURVE('',#64080,#64081,#24509,.T.); #79637=EDGE_CURVE('',#64081,#64079,#58316,.T.); #79638=EDGE_CURVE('',#64082,#64080,#24510,.T.); #79639=EDGE_CURVE('',#64082,#64083,#24511,.T.); #79640=EDGE_CURVE('',#64083,#64081,#24512,.T.); #79641=EDGE_CURVE('',#64084,#64082,#58317,.T.); #79642=EDGE_CURVE('',#64084,#64085,#24513,.T.); #79643=EDGE_CURVE('',#64085,#64083,#58318,.T.); #79644=EDGE_CURVE('',#64086,#64084,#24514,.T.); #79645=EDGE_CURVE('',#64086,#64087,#24515,.T.); #79646=EDGE_CURVE('',#64087,#64085,#24516,.T.); #79647=EDGE_CURVE('',#64088,#64086,#24517,.T.); #79648=EDGE_CURVE('',#64088,#64089,#24518,.T.); #79649=EDGE_CURVE('',#64089,#64087,#24519,.T.); #79650=EDGE_CURVE('',#64090,#64088,#58319,.T.); #79651=EDGE_CURVE('',#64090,#64091,#24520,.T.); #79652=EDGE_CURVE('',#64091,#64089,#58320,.T.); #79653=EDGE_CURVE('',#64092,#64090,#24521,.T.); #79654=EDGE_CURVE('',#64092,#64093,#24522,.T.); #79655=EDGE_CURVE('',#64093,#64091,#24523,.T.); #79656=EDGE_CURVE('',#64094,#64092,#58321,.T.); #79657=EDGE_CURVE('',#64094,#64095,#24524,.T.); #79658=EDGE_CURVE('',#64095,#64093,#58322,.T.); #79659=EDGE_CURVE('',#64096,#64094,#24525,.T.); #79660=EDGE_CURVE('',#64096,#64097,#24526,.T.); #79661=EDGE_CURVE('',#64097,#64095,#24527,.T.); #79662=EDGE_CURVE('',#64098,#64096,#24528,.T.); #79663=EDGE_CURVE('',#64098,#64099,#24529,.T.); #79664=EDGE_CURVE('',#64099,#64097,#24530,.T.); #79665=EDGE_CURVE('',#64100,#64098,#24531,.T.); #79666=EDGE_CURVE('',#64100,#64101,#24532,.T.); #79667=EDGE_CURVE('',#64101,#64099,#24533,.T.); #79668=EDGE_CURVE('',#64102,#64100,#24534,.T.); #79669=EDGE_CURVE('',#64102,#64103,#24535,.T.); #79670=EDGE_CURVE('',#64103,#64101,#24536,.T.); #79671=EDGE_CURVE('',#64104,#64102,#24537,.T.); #79672=EDGE_CURVE('',#64104,#64105,#24538,.T.); #79673=EDGE_CURVE('',#64105,#64103,#24539,.T.); #79674=EDGE_CURVE('',#64106,#64104,#58323,.T.); #79675=EDGE_CURVE('',#64106,#64107,#24540,.T.); #79676=EDGE_CURVE('',#64107,#64105,#58324,.T.); #79677=EDGE_CURVE('',#64108,#64106,#58325,.T.); #79678=EDGE_CURVE('',#64108,#64109,#24541,.T.); #79679=EDGE_CURVE('',#64109,#64107,#58326,.T.); #79680=EDGE_CURVE('',#64110,#64108,#58327,.T.); #79681=EDGE_CURVE('',#64110,#64111,#24542,.T.); #79682=EDGE_CURVE('',#64111,#64109,#58328,.T.); #79683=EDGE_CURVE('',#64112,#64110,#24543,.T.); #79684=EDGE_CURVE('',#64112,#64113,#24544,.T.); #79685=EDGE_CURVE('',#64113,#64111,#24545,.T.); #79686=EDGE_CURVE('',#64114,#64112,#24546,.T.); #79687=EDGE_CURVE('',#64114,#64115,#24547,.T.); #79688=EDGE_CURVE('',#64115,#64113,#24548,.T.); #79689=EDGE_CURVE('',#64116,#64114,#58329,.T.); #79690=EDGE_CURVE('',#64116,#64117,#24549,.T.); #79691=EDGE_CURVE('',#64117,#64115,#58330,.T.); #79692=EDGE_CURVE('',#64118,#64116,#58331,.T.); #79693=EDGE_CURVE('',#64118,#64119,#24550,.T.); #79694=EDGE_CURVE('',#64119,#64117,#58332,.T.); #79695=EDGE_CURVE('',#64120,#64118,#24551,.T.); #79696=EDGE_CURVE('',#64120,#64121,#24552,.T.); #79697=EDGE_CURVE('',#64121,#64119,#24553,.T.); #79698=EDGE_CURVE('',#64122,#64120,#24554,.T.); #79699=EDGE_CURVE('',#64122,#64123,#24555,.T.); #79700=EDGE_CURVE('',#64123,#64121,#24556,.T.); #79701=EDGE_CURVE('',#63999,#64122,#24557,.T.); #79702=EDGE_CURVE('',#64001,#64123,#24558,.T.); #79703=EDGE_CURVE('',#64124,#64125,#24559,.T.); #79704=EDGE_CURVE('',#64124,#64126,#24560,.T.); #79705=EDGE_CURVE('',#64126,#64127,#24561,.T.); #79706=EDGE_CURVE('',#64125,#64127,#24562,.T.); #79707=EDGE_CURVE('',#64128,#64124,#24563,.T.); #79708=EDGE_CURVE('',#64128,#64129,#24564,.T.); #79709=EDGE_CURVE('',#64129,#64126,#24565,.T.); #79710=EDGE_CURVE('',#64130,#64128,#24566,.T.); #79711=EDGE_CURVE('',#64130,#64131,#24567,.T.); #79712=EDGE_CURVE('',#64131,#64129,#24568,.T.); #79713=EDGE_CURVE('',#64132,#64130,#58333,.T.); #79714=EDGE_CURVE('',#64132,#64133,#24569,.T.); #79715=EDGE_CURVE('',#64133,#64131,#58334,.T.); #79716=EDGE_CURVE('',#64134,#64132,#24570,.T.); #79717=EDGE_CURVE('',#64134,#64135,#24571,.T.); #79718=EDGE_CURVE('',#64135,#64133,#24572,.T.); #79719=EDGE_CURVE('',#64136,#64134,#24573,.T.); #79720=EDGE_CURVE('',#64136,#64137,#24574,.T.); #79721=EDGE_CURVE('',#64137,#64135,#24575,.T.); #79722=EDGE_CURVE('',#64138,#64136,#24576,.T.); #79723=EDGE_CURVE('',#64138,#64139,#24577,.T.); #79724=EDGE_CURVE('',#64139,#64137,#24578,.T.); #79725=EDGE_CURVE('',#64140,#64138,#24579,.T.); #79726=EDGE_CURVE('',#64140,#64141,#24580,.T.); #79727=EDGE_CURVE('',#64141,#64139,#24581,.T.); #79728=EDGE_CURVE('',#64142,#64140,#24582,.T.); #79729=EDGE_CURVE('',#64142,#64143,#24583,.T.); #79730=EDGE_CURVE('',#64143,#64141,#24584,.T.); #79731=EDGE_CURVE('',#64144,#64142,#24585,.T.); #79732=EDGE_CURVE('',#64144,#64145,#24586,.T.); #79733=EDGE_CURVE('',#64145,#64143,#24587,.T.); #79734=EDGE_CURVE('',#64146,#64144,#24588,.T.); #79735=EDGE_CURVE('',#64146,#64147,#24589,.T.); #79736=EDGE_CURVE('',#64147,#64145,#24590,.T.); #79737=EDGE_CURVE('',#64148,#64146,#24591,.T.); #79738=EDGE_CURVE('',#64148,#64149,#24592,.T.); #79739=EDGE_CURVE('',#64149,#64147,#24593,.T.); #79740=EDGE_CURVE('',#64150,#64148,#24594,.T.); #79741=EDGE_CURVE('',#64150,#64151,#24595,.T.); #79742=EDGE_CURVE('',#64151,#64149,#24596,.T.); #79743=EDGE_CURVE('',#64152,#64150,#58335,.T.); #79744=EDGE_CURVE('',#64152,#64153,#24597,.T.); #79745=EDGE_CURVE('',#64153,#64151,#58336,.T.); #79746=EDGE_CURVE('',#64154,#64152,#24598,.T.); #79747=EDGE_CURVE('',#64154,#64155,#24599,.T.); #79748=EDGE_CURVE('',#64155,#64153,#24600,.T.); #79749=EDGE_CURVE('',#64156,#64154,#24601,.T.); #79750=EDGE_CURVE('',#64156,#64157,#24602,.T.); #79751=EDGE_CURVE('',#64157,#64155,#24603,.T.); #79752=EDGE_CURVE('',#64158,#64156,#24604,.T.); #79753=EDGE_CURVE('',#64158,#64159,#24605,.T.); #79754=EDGE_CURVE('',#64159,#64157,#24606,.T.); #79755=EDGE_CURVE('',#64160,#64158,#58337,.T.); #79756=EDGE_CURVE('',#64160,#64161,#24607,.T.); #79757=EDGE_CURVE('',#64161,#64159,#58338,.T.); #79758=EDGE_CURVE('',#64162,#64160,#58339,.T.); #79759=EDGE_CURVE('',#64162,#64163,#24608,.T.); #79760=EDGE_CURVE('',#64163,#64161,#58340,.T.); #79761=EDGE_CURVE('',#64164,#64162,#24609,.T.); #79762=EDGE_CURVE('',#64164,#64165,#24610,.T.); #79763=EDGE_CURVE('',#64165,#64163,#24611,.T.); #79764=EDGE_CURVE('',#64166,#64164,#24612,.T.); #79765=EDGE_CURVE('',#64166,#64167,#24613,.T.); #79766=EDGE_CURVE('',#64167,#64165,#24614,.T.); #79767=EDGE_CURVE('',#64168,#64166,#24615,.T.); #79768=EDGE_CURVE('',#64168,#64169,#24616,.T.); #79769=EDGE_CURVE('',#64169,#64167,#24617,.T.); #79770=EDGE_CURVE('',#64170,#64168,#58341,.T.); #79771=EDGE_CURVE('',#64170,#64171,#24618,.T.); #79772=EDGE_CURVE('',#64171,#64169,#58342,.T.); #79773=EDGE_CURVE('',#64172,#64170,#24619,.T.); #79774=EDGE_CURVE('',#64172,#64173,#24620,.T.); #79775=EDGE_CURVE('',#64173,#64171,#24621,.T.); #79776=EDGE_CURVE('',#64174,#64172,#24622,.T.); #79777=EDGE_CURVE('',#64174,#64175,#24623,.T.); #79778=EDGE_CURVE('',#64175,#64173,#24624,.T.); #79779=EDGE_CURVE('',#64176,#64174,#58343,.T.); #79780=EDGE_CURVE('',#64176,#64177,#24625,.T.); #79781=EDGE_CURVE('',#64177,#64175,#58344,.T.); #79782=EDGE_CURVE('',#64178,#64176,#24626,.T.); #79783=EDGE_CURVE('',#64178,#64179,#24627,.T.); #79784=EDGE_CURVE('',#64179,#64177,#24628,.T.); #79785=EDGE_CURVE('',#64180,#64178,#24629,.T.); #79786=EDGE_CURVE('',#64180,#64181,#24630,.T.); #79787=EDGE_CURVE('',#64181,#64179,#24631,.T.); #79788=EDGE_CURVE('',#64182,#64180,#58345,.T.); #79789=EDGE_CURVE('',#64182,#64183,#24632,.T.); #79790=EDGE_CURVE('',#64183,#64181,#58346,.T.); #79791=EDGE_CURVE('',#64184,#64182,#24633,.T.); #79792=EDGE_CURVE('',#64184,#64185,#24634,.T.); #79793=EDGE_CURVE('',#64185,#64183,#24635,.T.); #79794=EDGE_CURVE('',#64186,#64184,#24636,.T.); #79795=EDGE_CURVE('',#64186,#64187,#24637,.T.); #79796=EDGE_CURVE('',#64187,#64185,#24638,.T.); #79797=EDGE_CURVE('',#64188,#64186,#24639,.T.); #79798=EDGE_CURVE('',#64188,#64189,#24640,.T.); #79799=EDGE_CURVE('',#64189,#64187,#24641,.T.); #79800=EDGE_CURVE('',#64190,#64188,#24642,.T.); #79801=EDGE_CURVE('',#64190,#64191,#24643,.T.); #79802=EDGE_CURVE('',#64191,#64189,#24644,.T.); #79803=EDGE_CURVE('',#64192,#64190,#24645,.T.); #79804=EDGE_CURVE('',#64192,#64193,#24646,.T.); #79805=EDGE_CURVE('',#64193,#64191,#24647,.T.); #79806=EDGE_CURVE('',#64194,#64192,#24648,.T.); #79807=EDGE_CURVE('',#64194,#64195,#24649,.T.); #79808=EDGE_CURVE('',#64195,#64193,#24650,.T.); #79809=EDGE_CURVE('',#64196,#64194,#24651,.T.); #79810=EDGE_CURVE('',#64196,#64197,#24652,.T.); #79811=EDGE_CURVE('',#64197,#64195,#24653,.T.); #79812=EDGE_CURVE('',#64198,#64196,#24654,.T.); #79813=EDGE_CURVE('',#64198,#64199,#24655,.T.); #79814=EDGE_CURVE('',#64199,#64197,#24656,.T.); #79815=EDGE_CURVE('',#64200,#64198,#58347,.T.); #79816=EDGE_CURVE('',#64200,#64201,#24657,.T.); #79817=EDGE_CURVE('',#64201,#64199,#58348,.T.); #79818=EDGE_CURVE('',#64202,#64200,#58349,.T.); #79819=EDGE_CURVE('',#64202,#64203,#24658,.T.); #79820=EDGE_CURVE('',#64203,#64201,#58350,.T.); #79821=EDGE_CURVE('',#64204,#64202,#24659,.T.); #79822=EDGE_CURVE('',#64204,#64205,#24660,.T.); #79823=EDGE_CURVE('',#64205,#64203,#24661,.T.); #79824=EDGE_CURVE('',#64206,#64204,#58351,.T.); #79825=EDGE_CURVE('',#64206,#64207,#24662,.T.); #79826=EDGE_CURVE('',#64207,#64205,#58352,.T.); #79827=EDGE_CURVE('',#64208,#64206,#58353,.T.); #79828=EDGE_CURVE('',#64208,#64209,#24663,.T.); #79829=EDGE_CURVE('',#64209,#64207,#58354,.T.); #79830=EDGE_CURVE('',#64210,#64208,#58355,.T.); #79831=EDGE_CURVE('',#64210,#64211,#24664,.T.); #79832=EDGE_CURVE('',#64211,#64209,#58356,.T.); #79833=EDGE_CURVE('',#64212,#64210,#58357,.T.); #79834=EDGE_CURVE('',#64212,#64213,#24665,.T.); #79835=EDGE_CURVE('',#64213,#64211,#58358,.T.); #79836=EDGE_CURVE('',#64214,#64212,#24666,.T.); #79837=EDGE_CURVE('',#64214,#64215,#24667,.T.); #79838=EDGE_CURVE('',#64215,#64213,#24668,.T.); #79839=EDGE_CURVE('',#64216,#64214,#24669,.T.); #79840=EDGE_CURVE('',#64216,#64217,#24670,.T.); #79841=EDGE_CURVE('',#64217,#64215,#24671,.T.); #79842=EDGE_CURVE('',#64218,#64216,#24672,.T.); #79843=EDGE_CURVE('',#64218,#64219,#24673,.T.); #79844=EDGE_CURVE('',#64219,#64217,#24674,.T.); #79845=EDGE_CURVE('',#64220,#64218,#24675,.T.); #79846=EDGE_CURVE('',#64220,#64221,#24676,.T.); #79847=EDGE_CURVE('',#64221,#64219,#24677,.T.); #79848=EDGE_CURVE('',#64222,#64220,#24678,.T.); #79849=EDGE_CURVE('',#64222,#64223,#24679,.T.); #79850=EDGE_CURVE('',#64223,#64221,#24680,.T.); #79851=EDGE_CURVE('',#64224,#64222,#24681,.T.); #79852=EDGE_CURVE('',#64224,#64225,#24682,.T.); #79853=EDGE_CURVE('',#64225,#64223,#24683,.T.); #79854=EDGE_CURVE('',#64226,#64224,#24684,.T.); #79855=EDGE_CURVE('',#64226,#64227,#24685,.T.); #79856=EDGE_CURVE('',#64227,#64225,#24686,.T.); #79857=EDGE_CURVE('',#64228,#64226,#24687,.T.); #79858=EDGE_CURVE('',#64228,#64229,#24688,.T.); #79859=EDGE_CURVE('',#64229,#64227,#24689,.T.); #79860=EDGE_CURVE('',#64230,#64228,#58359,.T.); #79861=EDGE_CURVE('',#64230,#64231,#24690,.T.); #79862=EDGE_CURVE('',#64231,#64229,#58360,.T.); #79863=EDGE_CURVE('',#64232,#64230,#24691,.T.); #79864=EDGE_CURVE('',#64232,#64233,#24692,.T.); #79865=EDGE_CURVE('',#64233,#64231,#24693,.T.); #79866=EDGE_CURVE('',#64234,#64232,#24694,.T.); #79867=EDGE_CURVE('',#64234,#64235,#24695,.T.); #79868=EDGE_CURVE('',#64235,#64233,#24696,.T.); #79869=EDGE_CURVE('',#64236,#64234,#58361,.T.); #79870=EDGE_CURVE('',#64236,#64237,#24697,.T.); #79871=EDGE_CURVE('',#64237,#64235,#58362,.T.); #79872=EDGE_CURVE('',#64238,#64236,#58363,.T.); #79873=EDGE_CURVE('',#64238,#64239,#24698,.T.); #79874=EDGE_CURVE('',#64239,#64237,#58364,.T.); #79875=EDGE_CURVE('',#64240,#64238,#24699,.T.); #79876=EDGE_CURVE('',#64240,#64241,#24700,.T.); #79877=EDGE_CURVE('',#64241,#64239,#24701,.T.); #79878=EDGE_CURVE('',#64242,#64240,#24702,.T.); #79879=EDGE_CURVE('',#64242,#64243,#24703,.T.); #79880=EDGE_CURVE('',#64243,#64241,#24704,.T.); #79881=EDGE_CURVE('',#64244,#64242,#24705,.T.); #79882=EDGE_CURVE('',#64244,#64245,#24706,.T.); #79883=EDGE_CURVE('',#64245,#64243,#24707,.T.); #79884=EDGE_CURVE('',#64246,#64244,#24708,.T.); #79885=EDGE_CURVE('',#64246,#64247,#24709,.T.); #79886=EDGE_CURVE('',#64247,#64245,#24710,.T.); #79887=EDGE_CURVE('',#64248,#64246,#24711,.T.); #79888=EDGE_CURVE('',#64248,#64249,#24712,.T.); #79889=EDGE_CURVE('',#64249,#64247,#24713,.T.); #79890=EDGE_CURVE('',#64250,#64248,#24714,.T.); #79891=EDGE_CURVE('',#64250,#64251,#24715,.T.); #79892=EDGE_CURVE('',#64251,#64249,#24716,.T.); #79893=EDGE_CURVE('',#64252,#64250,#24717,.T.); #79894=EDGE_CURVE('',#64252,#64253,#24718,.T.); #79895=EDGE_CURVE('',#64253,#64251,#24719,.T.); #79896=EDGE_CURVE('',#64254,#64252,#24720,.T.); #79897=EDGE_CURVE('',#64254,#64255,#24721,.T.); #79898=EDGE_CURVE('',#64255,#64253,#24722,.T.); #79899=EDGE_CURVE('',#64256,#64254,#24723,.T.); #79900=EDGE_CURVE('',#64256,#64257,#24724,.T.); #79901=EDGE_CURVE('',#64257,#64255,#24725,.T.); #79902=EDGE_CURVE('',#64258,#64256,#24726,.T.); #79903=EDGE_CURVE('',#64258,#64259,#24727,.T.); #79904=EDGE_CURVE('',#64259,#64257,#24728,.T.); #79905=EDGE_CURVE('',#64260,#64258,#24729,.T.); #79906=EDGE_CURVE('',#64260,#64261,#24730,.T.); #79907=EDGE_CURVE('',#64261,#64259,#24731,.T.); #79908=EDGE_CURVE('',#64262,#64260,#58365,.T.); #79909=EDGE_CURVE('',#64262,#64263,#24732,.T.); #79910=EDGE_CURVE('',#64263,#64261,#58366,.T.); #79911=EDGE_CURVE('',#64264,#64262,#58367,.T.); #79912=EDGE_CURVE('',#64264,#64265,#24733,.T.); #79913=EDGE_CURVE('',#64265,#64263,#58368,.T.); #79914=EDGE_CURVE('',#64266,#64264,#24734,.T.); #79915=EDGE_CURVE('',#64266,#64267,#24735,.T.); #79916=EDGE_CURVE('',#64267,#64265,#24736,.T.); #79917=EDGE_CURVE('',#64268,#64266,#24737,.T.); #79918=EDGE_CURVE('',#64268,#64269,#24738,.T.); #79919=EDGE_CURVE('',#64269,#64267,#24739,.T.); #79920=EDGE_CURVE('',#64270,#64268,#24740,.T.); #79921=EDGE_CURVE('',#64270,#64271,#24741,.T.); #79922=EDGE_CURVE('',#64271,#64269,#24742,.T.); #79923=EDGE_CURVE('',#64272,#64270,#24743,.T.); #79924=EDGE_CURVE('',#64272,#64273,#24744,.T.); #79925=EDGE_CURVE('',#64273,#64271,#24745,.T.); #79926=EDGE_CURVE('',#64274,#64272,#24746,.T.); #79927=EDGE_CURVE('',#64274,#64275,#24747,.T.); #79928=EDGE_CURVE('',#64275,#64273,#24748,.T.); #79929=EDGE_CURVE('',#64276,#64274,#24749,.T.); #79930=EDGE_CURVE('',#64276,#64277,#24750,.T.); #79931=EDGE_CURVE('',#64277,#64275,#24751,.T.); #79932=EDGE_CURVE('',#64278,#64276,#24752,.T.); #79933=EDGE_CURVE('',#64278,#64279,#24753,.T.); #79934=EDGE_CURVE('',#64279,#64277,#24754,.T.); #79935=EDGE_CURVE('',#64280,#64278,#58369,.T.); #79936=EDGE_CURVE('',#64280,#64281,#24755,.T.); #79937=EDGE_CURVE('',#64281,#64279,#58370,.T.); #79938=EDGE_CURVE('',#64282,#64280,#58371,.T.); #79939=EDGE_CURVE('',#64282,#64283,#24756,.T.); #79940=EDGE_CURVE('',#64283,#64281,#58372,.T.); #79941=EDGE_CURVE('',#64284,#64282,#24757,.T.); #79942=EDGE_CURVE('',#64284,#64285,#24758,.T.); #79943=EDGE_CURVE('',#64285,#64283,#24759,.T.); #79944=EDGE_CURVE('',#64286,#64284,#24760,.T.); #79945=EDGE_CURVE('',#64286,#64287,#24761,.T.); #79946=EDGE_CURVE('',#64287,#64285,#24762,.T.); #79947=EDGE_CURVE('',#64288,#64286,#24763,.T.); #79948=EDGE_CURVE('',#64288,#64289,#24764,.T.); #79949=EDGE_CURVE('',#64289,#64287,#24765,.T.); #79950=EDGE_CURVE('',#64290,#64288,#24766,.T.); #79951=EDGE_CURVE('',#64290,#64291,#24767,.T.); #79952=EDGE_CURVE('',#64291,#64289,#24768,.T.); #79953=EDGE_CURVE('',#64292,#64290,#24769,.T.); #79954=EDGE_CURVE('',#64292,#64293,#24770,.T.); #79955=EDGE_CURVE('',#64293,#64291,#24771,.T.); #79956=EDGE_CURVE('',#64294,#64292,#24772,.T.); #79957=EDGE_CURVE('',#64294,#64295,#24773,.T.); #79958=EDGE_CURVE('',#64295,#64293,#24774,.T.); #79959=EDGE_CURVE('',#64296,#64294,#24775,.T.); #79960=EDGE_CURVE('',#64296,#64297,#24776,.T.); #79961=EDGE_CURVE('',#64297,#64295,#24777,.T.); #79962=EDGE_CURVE('',#64298,#64296,#58373,.T.); #79963=EDGE_CURVE('',#64298,#64299,#24778,.T.); #79964=EDGE_CURVE('',#64299,#64297,#58374,.T.); #79965=EDGE_CURVE('',#64300,#64298,#24779,.T.); #79966=EDGE_CURVE('',#64300,#64301,#24780,.T.); #79967=EDGE_CURVE('',#64301,#64299,#24781,.T.); #79968=EDGE_CURVE('',#64302,#64300,#24782,.T.); #79969=EDGE_CURVE('',#64302,#64303,#24783,.T.); #79970=EDGE_CURVE('',#64303,#64301,#24784,.T.); #79971=EDGE_CURVE('',#64304,#64302,#24785,.T.); #79972=EDGE_CURVE('',#64304,#64305,#24786,.T.); #79973=EDGE_CURVE('',#64305,#64303,#24787,.T.); #79974=EDGE_CURVE('',#64306,#64304,#24788,.T.); #79975=EDGE_CURVE('',#64306,#64307,#24789,.T.); #79976=EDGE_CURVE('',#64307,#64305,#24790,.T.); #79977=EDGE_CURVE('',#64308,#64306,#58375,.T.); #79978=EDGE_CURVE('',#64308,#64309,#24791,.T.); #79979=EDGE_CURVE('',#64309,#64307,#58376,.T.); #79980=EDGE_CURVE('',#64310,#64308,#58377,.T.); #79981=EDGE_CURVE('',#64310,#64311,#24792,.T.); #79982=EDGE_CURVE('',#64311,#64309,#58378,.T.); #79983=EDGE_CURVE('',#64312,#64310,#24793,.T.); #79984=EDGE_CURVE('',#64312,#64313,#24794,.T.); #79985=EDGE_CURVE('',#64313,#64311,#24795,.T.); #79986=EDGE_CURVE('',#64314,#64312,#58379,.T.); #79987=EDGE_CURVE('',#64314,#64315,#24796,.T.); #79988=EDGE_CURVE('',#64315,#64313,#58380,.T.); #79989=EDGE_CURVE('',#64316,#64314,#58381,.T.); #79990=EDGE_CURVE('',#64316,#64317,#24797,.T.); #79991=EDGE_CURVE('',#64317,#64315,#58382,.T.); #79992=EDGE_CURVE('',#64318,#64316,#24798,.T.); #79993=EDGE_CURVE('',#64318,#64319,#24799,.T.); #79994=EDGE_CURVE('',#64319,#64317,#24800,.T.); #79995=EDGE_CURVE('',#64320,#64318,#24801,.T.); #79996=EDGE_CURVE('',#64320,#64321,#24802,.T.); #79997=EDGE_CURVE('',#64321,#64319,#24803,.T.); #79998=EDGE_CURVE('',#64322,#64320,#24804,.T.); #79999=EDGE_CURVE('',#64322,#64323,#24805,.T.); #80000=EDGE_CURVE('',#64323,#64321,#24806,.T.); #80001=EDGE_CURVE('',#64324,#64322,#24807,.T.); #80002=EDGE_CURVE('',#64324,#64325,#24808,.T.); #80003=EDGE_CURVE('',#64325,#64323,#24809,.T.); #80004=EDGE_CURVE('',#64326,#64324,#58383,.T.); #80005=EDGE_CURVE('',#64326,#64327,#24810,.T.); #80006=EDGE_CURVE('',#64327,#64325,#58384,.T.); #80007=EDGE_CURVE('',#64328,#64326,#58385,.T.); #80008=EDGE_CURVE('',#64328,#64329,#24811,.T.); #80009=EDGE_CURVE('',#64329,#64327,#58386,.T.); #80010=EDGE_CURVE('',#64330,#64328,#24812,.T.); #80011=EDGE_CURVE('',#64330,#64331,#24813,.T.); #80012=EDGE_CURVE('',#64331,#64329,#24814,.T.); #80013=EDGE_CURVE('',#64332,#64330,#24815,.T.); #80014=EDGE_CURVE('',#64332,#64333,#24816,.T.); #80015=EDGE_CURVE('',#64333,#64331,#24817,.T.); #80016=EDGE_CURVE('',#64334,#64332,#24818,.T.); #80017=EDGE_CURVE('',#64334,#64335,#24819,.T.); #80018=EDGE_CURVE('',#64335,#64333,#24820,.T.); #80019=EDGE_CURVE('',#64336,#64334,#24821,.T.); #80020=EDGE_CURVE('',#64336,#64337,#24822,.T.); #80021=EDGE_CURVE('',#64337,#64335,#24823,.T.); #80022=EDGE_CURVE('',#64338,#64336,#24824,.T.); #80023=EDGE_CURVE('',#64338,#64339,#24825,.T.); #80024=EDGE_CURVE('',#64339,#64337,#24826,.T.); #80025=EDGE_CURVE('',#64340,#64338,#58387,.T.); #80026=EDGE_CURVE('',#64340,#64341,#24827,.T.); #80027=EDGE_CURVE('',#64341,#64339,#58388,.T.); #80028=EDGE_CURVE('',#64342,#64340,#24828,.T.); #80029=EDGE_CURVE('',#64342,#64343,#24829,.T.); #80030=EDGE_CURVE('',#64343,#64341,#24830,.T.); #80031=EDGE_CURVE('',#64344,#64342,#58389,.T.); #80032=EDGE_CURVE('',#64344,#64345,#24831,.T.); #80033=EDGE_CURVE('',#64345,#64343,#58390,.T.); #80034=EDGE_CURVE('',#64346,#64344,#58391,.T.); #80035=EDGE_CURVE('',#64346,#64347,#24832,.T.); #80036=EDGE_CURVE('',#64347,#64345,#58392,.T.); #80037=EDGE_CURVE('',#64348,#64346,#24833,.T.); #80038=EDGE_CURVE('',#64348,#64349,#24834,.T.); #80039=EDGE_CURVE('',#64349,#64347,#24835,.T.); #80040=EDGE_CURVE('',#64350,#64348,#24836,.T.); #80041=EDGE_CURVE('',#64350,#64351,#24837,.T.); #80042=EDGE_CURVE('',#64351,#64349,#24838,.T.); #80043=EDGE_CURVE('',#64352,#64350,#24839,.T.); #80044=EDGE_CURVE('',#64352,#64353,#24840,.T.); #80045=EDGE_CURVE('',#64353,#64351,#24841,.T.); #80046=EDGE_CURVE('',#64354,#64352,#24842,.T.); #80047=EDGE_CURVE('',#64354,#64355,#24843,.T.); #80048=EDGE_CURVE('',#64355,#64353,#24844,.T.); #80049=EDGE_CURVE('',#64356,#64354,#24845,.T.); #80050=EDGE_CURVE('',#64356,#64357,#24846,.T.); #80051=EDGE_CURVE('',#64357,#64355,#24847,.T.); #80052=EDGE_CURVE('',#64358,#64356,#24848,.T.); #80053=EDGE_CURVE('',#64358,#64359,#24849,.T.); #80054=EDGE_CURVE('',#64359,#64357,#24850,.T.); #80055=EDGE_CURVE('',#64360,#64358,#58393,.T.); #80056=EDGE_CURVE('',#64360,#64361,#24851,.T.); #80057=EDGE_CURVE('',#64361,#64359,#58394,.T.); #80058=EDGE_CURVE('',#64362,#64360,#24852,.T.); #80059=EDGE_CURVE('',#64362,#64363,#24853,.T.); #80060=EDGE_CURVE('',#64363,#64361,#24854,.T.); #80061=EDGE_CURVE('',#64364,#64362,#24855,.T.); #80062=EDGE_CURVE('',#64364,#64365,#24856,.T.); #80063=EDGE_CURVE('',#64365,#64363,#24857,.T.); #80064=EDGE_CURVE('',#64366,#64364,#24858,.T.); #80065=EDGE_CURVE('',#64366,#64367,#24859,.T.); #80066=EDGE_CURVE('',#64367,#64365,#24860,.T.); #80067=EDGE_CURVE('',#64368,#64366,#24861,.T.); #80068=EDGE_CURVE('',#64368,#64369,#24862,.T.); #80069=EDGE_CURVE('',#64369,#64367,#24863,.T.); #80070=EDGE_CURVE('',#64370,#64368,#58395,.T.); #80071=EDGE_CURVE('',#64370,#64371,#24864,.T.); #80072=EDGE_CURVE('',#64371,#64369,#58396,.T.); #80073=EDGE_CURVE('',#64372,#64370,#24865,.T.); #80074=EDGE_CURVE('',#64372,#64373,#24866,.T.); #80075=EDGE_CURVE('',#64373,#64371,#24867,.T.); #80076=EDGE_CURVE('',#64374,#64372,#24868,.T.); #80077=EDGE_CURVE('',#64374,#64375,#24869,.T.); #80078=EDGE_CURVE('',#64375,#64373,#24870,.T.); #80079=EDGE_CURVE('',#64376,#64374,#24871,.T.); #80080=EDGE_CURVE('',#64376,#64377,#24872,.T.); #80081=EDGE_CURVE('',#64377,#64375,#24873,.T.); #80082=EDGE_CURVE('',#64378,#64376,#24874,.T.); #80083=EDGE_CURVE('',#64378,#64379,#24875,.T.); #80084=EDGE_CURVE('',#64379,#64377,#24876,.T.); #80085=EDGE_CURVE('',#64380,#64378,#58397,.T.); #80086=EDGE_CURVE('',#64380,#64381,#24877,.T.); #80087=EDGE_CURVE('',#64381,#64379,#58398,.T.); #80088=EDGE_CURVE('',#64382,#64380,#24878,.T.); #80089=EDGE_CURVE('',#64382,#64383,#24879,.T.); #80090=EDGE_CURVE('',#64383,#64381,#24880,.T.); #80091=EDGE_CURVE('',#64384,#64382,#24881,.T.); #80092=EDGE_CURVE('',#64384,#64385,#24882,.T.); #80093=EDGE_CURVE('',#64385,#64383,#24883,.T.); #80094=EDGE_CURVE('',#64386,#64384,#24884,.T.); #80095=EDGE_CURVE('',#64386,#64387,#24885,.T.); #80096=EDGE_CURVE('',#64387,#64385,#24886,.T.); #80097=EDGE_CURVE('',#64388,#64386,#24887,.T.); #80098=EDGE_CURVE('',#64388,#64389,#24888,.T.); #80099=EDGE_CURVE('',#64389,#64387,#24889,.T.); #80100=EDGE_CURVE('',#64390,#64388,#24890,.T.); #80101=EDGE_CURVE('',#64390,#64391,#24891,.T.); #80102=EDGE_CURVE('',#64391,#64389,#24892,.T.); #80103=EDGE_CURVE('',#64392,#64390,#24893,.T.); #80104=EDGE_CURVE('',#64392,#64393,#24894,.T.); #80105=EDGE_CURVE('',#64393,#64391,#24895,.T.); #80106=EDGE_CURVE('',#64394,#64392,#58399,.T.); #80107=EDGE_CURVE('',#64394,#64395,#24896,.T.); #80108=EDGE_CURVE('',#64395,#64393,#58400,.T.); #80109=EDGE_CURVE('',#64396,#64394,#24897,.T.); #80110=EDGE_CURVE('',#64396,#64397,#24898,.T.); #80111=EDGE_CURVE('',#64397,#64395,#24899,.T.); #80112=EDGE_CURVE('',#64398,#64396,#24900,.T.); #80113=EDGE_CURVE('',#64398,#64399,#24901,.T.); #80114=EDGE_CURVE('',#64399,#64397,#24902,.T.); #80115=EDGE_CURVE('',#64400,#64398,#58401,.T.); #80116=EDGE_CURVE('',#64400,#64401,#24903,.T.); #80117=EDGE_CURVE('',#64401,#64399,#58402,.T.); #80118=EDGE_CURVE('',#64402,#64400,#58403,.T.); #80119=EDGE_CURVE('',#64402,#64403,#24904,.T.); #80120=EDGE_CURVE('',#64403,#64401,#58404,.T.); #80121=EDGE_CURVE('',#64404,#64402,#24905,.T.); #80122=EDGE_CURVE('',#64404,#64405,#24906,.T.); #80123=EDGE_CURVE('',#64405,#64403,#24907,.T.); #80124=EDGE_CURVE('',#64406,#64404,#24908,.T.); #80125=EDGE_CURVE('',#64406,#64407,#24909,.T.); #80126=EDGE_CURVE('',#64407,#64405,#24910,.T.); #80127=EDGE_CURVE('',#64408,#64406,#24911,.T.); #80128=EDGE_CURVE('',#64408,#64409,#24912,.T.); #80129=EDGE_CURVE('',#64409,#64407,#24913,.T.); #80130=EDGE_CURVE('',#64410,#64408,#24914,.T.); #80131=EDGE_CURVE('',#64410,#64411,#24915,.T.); #80132=EDGE_CURVE('',#64411,#64409,#24916,.T.); #80133=EDGE_CURVE('',#64412,#64410,#24917,.T.); #80134=EDGE_CURVE('',#64412,#64413,#24918,.T.); #80135=EDGE_CURVE('',#64413,#64411,#24919,.T.); #80136=EDGE_CURVE('',#64414,#64412,#24920,.T.); #80137=EDGE_CURVE('',#64414,#64415,#24921,.T.); #80138=EDGE_CURVE('',#64415,#64413,#24922,.T.); #80139=EDGE_CURVE('',#64416,#64414,#24923,.T.); #80140=EDGE_CURVE('',#64416,#64417,#24924,.T.); #80141=EDGE_CURVE('',#64417,#64415,#24925,.T.); #80142=EDGE_CURVE('',#64418,#64416,#24926,.T.); #80143=EDGE_CURVE('',#64418,#64419,#24927,.T.); #80144=EDGE_CURVE('',#64419,#64417,#24928,.T.); #80145=EDGE_CURVE('',#64420,#64418,#24929,.T.); #80146=EDGE_CURVE('',#64420,#64421,#24930,.T.); #80147=EDGE_CURVE('',#64421,#64419,#24931,.T.); #80148=EDGE_CURVE('',#64422,#64420,#24932,.T.); #80149=EDGE_CURVE('',#64422,#64423,#24933,.T.); #80150=EDGE_CURVE('',#64423,#64421,#24934,.T.); #80151=EDGE_CURVE('',#64424,#64422,#24935,.T.); #80152=EDGE_CURVE('',#64424,#64425,#24936,.T.); #80153=EDGE_CURVE('',#64425,#64423,#24937,.T.); #80154=EDGE_CURVE('',#64426,#64424,#24938,.T.); #80155=EDGE_CURVE('',#64426,#64427,#24939,.T.); #80156=EDGE_CURVE('',#64427,#64425,#24940,.T.); #80157=EDGE_CURVE('',#64125,#64426,#58405,.T.); #80158=EDGE_CURVE('',#64127,#64427,#58406,.T.); #80159=EDGE_CURVE('',#64428,#64429,#24941,.T.); #80160=EDGE_CURVE('',#64428,#64430,#24942,.T.); #80161=EDGE_CURVE('',#64430,#64431,#24943,.T.); #80162=EDGE_CURVE('',#64429,#64431,#24944,.T.); #80163=EDGE_CURVE('',#64432,#64428,#58407,.T.); #80164=EDGE_CURVE('',#64432,#64433,#24945,.T.); #80165=EDGE_CURVE('',#64433,#64430,#58408,.T.); #80166=EDGE_CURVE('',#64434,#64432,#58409,.T.); #80167=EDGE_CURVE('',#64434,#64435,#24946,.T.); #80168=EDGE_CURVE('',#64435,#64433,#58410,.T.); #80169=EDGE_CURVE('',#64436,#64434,#24947,.T.); #80170=EDGE_CURVE('',#64436,#64437,#24948,.T.); #80171=EDGE_CURVE('',#64437,#64435,#24949,.T.); #80172=EDGE_CURVE('',#64438,#64436,#58411,.T.); #80173=EDGE_CURVE('',#64438,#64439,#24950,.T.); #80174=EDGE_CURVE('',#64439,#64437,#58412,.T.); #80175=EDGE_CURVE('',#64440,#64438,#58413,.T.); #80176=EDGE_CURVE('',#64440,#64441,#24951,.T.); #80177=EDGE_CURVE('',#64441,#64439,#58414,.T.); #80178=EDGE_CURVE('',#64429,#64440,#24952,.T.); #80179=EDGE_CURVE('',#64431,#64441,#24953,.T.); #80180=EDGE_CURVE('',#64442,#64443,#58415,.T.); #80181=EDGE_CURVE('',#64442,#64444,#24954,.T.); #80182=EDGE_CURVE('',#64444,#64445,#58416,.T.); #80183=EDGE_CURVE('',#64443,#64445,#24955,.T.); #80184=EDGE_CURVE('',#64446,#64442,#24956,.T.); #80185=EDGE_CURVE('',#64446,#64447,#24957,.T.); #80186=EDGE_CURVE('',#64447,#64444,#24958,.T.); #80187=EDGE_CURVE('',#64448,#64446,#24959,.T.); #80188=EDGE_CURVE('',#64448,#64449,#24960,.T.); #80189=EDGE_CURVE('',#64449,#64447,#24961,.T.); #80190=EDGE_CURVE('',#64450,#64448,#24962,.T.); #80191=EDGE_CURVE('',#64450,#64451,#24963,.T.); #80192=EDGE_CURVE('',#64451,#64449,#24964,.T.); #80193=EDGE_CURVE('',#64452,#64450,#58417,.T.); #80194=EDGE_CURVE('',#64452,#64453,#24965,.T.); #80195=EDGE_CURVE('',#64453,#64451,#58418,.T.); #80196=EDGE_CURVE('',#64454,#64452,#58419,.T.); #80197=EDGE_CURVE('',#64454,#64455,#24966,.T.); #80198=EDGE_CURVE('',#64455,#64453,#58420,.T.); #80199=EDGE_CURVE('',#64456,#64454,#58421,.T.); #80200=EDGE_CURVE('',#64456,#64457,#24967,.T.); #80201=EDGE_CURVE('',#64457,#64455,#58422,.T.); #80202=EDGE_CURVE('',#64458,#64456,#24968,.T.); #80203=EDGE_CURVE('',#64458,#64459,#24969,.T.); #80204=EDGE_CURVE('',#64459,#64457,#24970,.T.); #80205=EDGE_CURVE('',#64443,#64458,#24971,.T.); #80206=EDGE_CURVE('',#64445,#64459,#24972,.T.); #80207=EDGE_CURVE('',#64460,#64461,#24973,.T.); #80208=EDGE_CURVE('',#64460,#64462,#24974,.T.); #80209=EDGE_CURVE('',#64462,#64463,#24975,.T.); #80210=EDGE_CURVE('',#64461,#64463,#24976,.T.); #80211=EDGE_CURVE('',#64464,#64460,#24977,.T.); #80212=EDGE_CURVE('',#64464,#64465,#24978,.T.); #80213=EDGE_CURVE('',#64465,#64462,#24979,.T.); #80214=EDGE_CURVE('',#64466,#64464,#58423,.T.); #80215=EDGE_CURVE('',#64466,#64467,#24980,.T.); #80216=EDGE_CURVE('',#64467,#64465,#58424,.T.); #80217=EDGE_CURVE('',#64468,#64466,#58425,.T.); #80218=EDGE_CURVE('',#64468,#64469,#24981,.T.); #80219=EDGE_CURVE('',#64469,#64467,#58426,.T.); #80220=EDGE_CURVE('',#64470,#64468,#58427,.T.); #80221=EDGE_CURVE('',#64470,#64471,#24982,.T.); #80222=EDGE_CURVE('',#64471,#64469,#58428,.T.); #80223=EDGE_CURVE('',#64472,#64470,#24983,.T.); #80224=EDGE_CURVE('',#64472,#64473,#24984,.T.); #80225=EDGE_CURVE('',#64473,#64471,#24985,.T.); #80226=EDGE_CURVE('',#64474,#64472,#24986,.T.); #80227=EDGE_CURVE('',#64474,#64475,#24987,.T.); #80228=EDGE_CURVE('',#64475,#64473,#24988,.T.); #80229=EDGE_CURVE('',#64476,#64474,#24989,.T.); #80230=EDGE_CURVE('',#64476,#64477,#24990,.T.); #80231=EDGE_CURVE('',#64477,#64475,#24991,.T.); #80232=EDGE_CURVE('',#64478,#64476,#58429,.T.); #80233=EDGE_CURVE('',#64478,#64479,#24992,.T.); #80234=EDGE_CURVE('',#64479,#64477,#58430,.T.); #80235=EDGE_CURVE('',#64480,#64478,#58431,.T.); #80236=EDGE_CURVE('',#64480,#64481,#24993,.T.); #80237=EDGE_CURVE('',#64481,#64479,#58432,.T.); #80238=EDGE_CURVE('',#64482,#64480,#58433,.T.); #80239=EDGE_CURVE('',#64482,#64483,#24994,.T.); #80240=EDGE_CURVE('',#64483,#64481,#58434,.T.); #80241=EDGE_CURVE('',#64484,#64482,#58435,.T.); #80242=EDGE_CURVE('',#64484,#64485,#24995,.T.); #80243=EDGE_CURVE('',#64485,#64483,#58436,.T.); #80244=EDGE_CURVE('',#64486,#64484,#24996,.T.); #80245=EDGE_CURVE('',#64486,#64487,#24997,.T.); #80246=EDGE_CURVE('',#64487,#64485,#24998,.T.); #80247=EDGE_CURVE('',#64488,#64486,#58437,.T.); #80248=EDGE_CURVE('',#64488,#64489,#24999,.T.); #80249=EDGE_CURVE('',#64489,#64487,#58438,.T.); #80250=EDGE_CURVE('',#64490,#64488,#58439,.T.); #80251=EDGE_CURVE('',#64490,#64491,#25000,.T.); #80252=EDGE_CURVE('',#64491,#64489,#58440,.T.); #80253=EDGE_CURVE('',#64492,#64490,#58441,.T.); #80254=EDGE_CURVE('',#64492,#64493,#25001,.T.); #80255=EDGE_CURVE('',#64493,#64491,#58442,.T.); #80256=EDGE_CURVE('',#64494,#64492,#25002,.T.); #80257=EDGE_CURVE('',#64494,#64495,#25003,.T.); #80258=EDGE_CURVE('',#64495,#64493,#25004,.T.); #80259=EDGE_CURVE('',#64496,#64494,#25005,.T.); #80260=EDGE_CURVE('',#64496,#64497,#25006,.T.); #80261=EDGE_CURVE('',#64497,#64495,#25007,.T.); #80262=EDGE_CURVE('',#64498,#64496,#25008,.T.); #80263=EDGE_CURVE('',#64498,#64499,#25009,.T.); #80264=EDGE_CURVE('',#64499,#64497,#25010,.T.); #80265=EDGE_CURVE('',#64500,#64498,#25011,.T.); #80266=EDGE_CURVE('',#64500,#64501,#25012,.T.); #80267=EDGE_CURVE('',#64501,#64499,#25013,.T.); #80268=EDGE_CURVE('',#64502,#64500,#25014,.T.); #80269=EDGE_CURVE('',#64502,#64503,#25015,.T.); #80270=EDGE_CURVE('',#64503,#64501,#25016,.T.); #80271=EDGE_CURVE('',#64504,#64502,#58443,.T.); #80272=EDGE_CURVE('',#64504,#64505,#25017,.T.); #80273=EDGE_CURVE('',#64505,#64503,#58444,.T.); #80274=EDGE_CURVE('',#64506,#64504,#25018,.T.); #80275=EDGE_CURVE('',#64506,#64507,#25019,.T.); #80276=EDGE_CURVE('',#64507,#64505,#25020,.T.); #80277=EDGE_CURVE('',#64508,#64506,#25021,.T.); #80278=EDGE_CURVE('',#64508,#64509,#25022,.T.); #80279=EDGE_CURVE('',#64509,#64507,#25023,.T.); #80280=EDGE_CURVE('',#64510,#64508,#25024,.T.); #80281=EDGE_CURVE('',#64510,#64511,#25025,.T.); #80282=EDGE_CURVE('',#64511,#64509,#25026,.T.); #80283=EDGE_CURVE('',#64512,#64510,#25027,.T.); #80284=EDGE_CURVE('',#64512,#64513,#25028,.T.); #80285=EDGE_CURVE('',#64513,#64511,#25029,.T.); #80286=EDGE_CURVE('',#64514,#64512,#25030,.T.); #80287=EDGE_CURVE('',#64514,#64515,#25031,.T.); #80288=EDGE_CURVE('',#64515,#64513,#25032,.T.); #80289=EDGE_CURVE('',#64516,#64514,#25033,.T.); #80290=EDGE_CURVE('',#64516,#64517,#25034,.T.); #80291=EDGE_CURVE('',#64517,#64515,#25035,.T.); #80292=EDGE_CURVE('',#64518,#64516,#25036,.T.); #80293=EDGE_CURVE('',#64518,#64519,#25037,.T.); #80294=EDGE_CURVE('',#64519,#64517,#25038,.T.); #80295=EDGE_CURVE('',#64520,#64518,#25039,.T.); #80296=EDGE_CURVE('',#64520,#64521,#25040,.T.); #80297=EDGE_CURVE('',#64521,#64519,#25041,.T.); #80298=EDGE_CURVE('',#64522,#64520,#25042,.T.); #80299=EDGE_CURVE('',#64522,#64523,#25043,.T.); #80300=EDGE_CURVE('',#64523,#64521,#25044,.T.); #80301=EDGE_CURVE('',#64524,#64522,#25045,.T.); #80302=EDGE_CURVE('',#64524,#64525,#25046,.T.); #80303=EDGE_CURVE('',#64525,#64523,#25047,.T.); #80304=EDGE_CURVE('',#64526,#64524,#25048,.T.); #80305=EDGE_CURVE('',#64526,#64527,#25049,.T.); #80306=EDGE_CURVE('',#64527,#64525,#25050,.T.); #80307=EDGE_CURVE('',#64528,#64526,#25051,.T.); #80308=EDGE_CURVE('',#64528,#64529,#25052,.T.); #80309=EDGE_CURVE('',#64529,#64527,#25053,.T.); #80310=EDGE_CURVE('',#64530,#64528,#25054,.T.); #80311=EDGE_CURVE('',#64530,#64531,#25055,.T.); #80312=EDGE_CURVE('',#64531,#64529,#25056,.T.); #80313=EDGE_CURVE('',#64532,#64530,#25057,.T.); #80314=EDGE_CURVE('',#64532,#64533,#25058,.T.); #80315=EDGE_CURVE('',#64533,#64531,#25059,.T.); #80316=EDGE_CURVE('',#64534,#64532,#25060,.T.); #80317=EDGE_CURVE('',#64534,#64535,#25061,.T.); #80318=EDGE_CURVE('',#64535,#64533,#25062,.T.); #80319=EDGE_CURVE('',#64536,#64534,#25063,.T.); #80320=EDGE_CURVE('',#64536,#64537,#25064,.T.); #80321=EDGE_CURVE('',#64537,#64535,#25065,.T.); #80322=EDGE_CURVE('',#64538,#64536,#25066,.T.); #80323=EDGE_CURVE('',#64538,#64539,#25067,.T.); #80324=EDGE_CURVE('',#64539,#64537,#25068,.T.); #80325=EDGE_CURVE('',#64540,#64538,#25069,.T.); #80326=EDGE_CURVE('',#64540,#64541,#25070,.T.); #80327=EDGE_CURVE('',#64541,#64539,#25071,.T.); #80328=EDGE_CURVE('',#64542,#64540,#58445,.T.); #80329=EDGE_CURVE('',#64542,#64543,#25072,.T.); #80330=EDGE_CURVE('',#64543,#64541,#58446,.T.); #80331=EDGE_CURVE('',#64544,#64542,#25073,.T.); #80332=EDGE_CURVE('',#64544,#64545,#25074,.T.); #80333=EDGE_CURVE('',#64545,#64543,#25075,.T.); #80334=EDGE_CURVE('',#64546,#64544,#25076,.T.); #80335=EDGE_CURVE('',#64546,#64547,#25077,.T.); #80336=EDGE_CURVE('',#64547,#64545,#25078,.T.); #80337=EDGE_CURVE('',#64548,#64546,#25079,.T.); #80338=EDGE_CURVE('',#64548,#64549,#25080,.T.); #80339=EDGE_CURVE('',#64549,#64547,#25081,.T.); #80340=EDGE_CURVE('',#64550,#64548,#58447,.T.); #80341=EDGE_CURVE('',#64550,#64551,#25082,.T.); #80342=EDGE_CURVE('',#64551,#64549,#58448,.T.); #80343=EDGE_CURVE('',#64552,#64550,#25083,.T.); #80344=EDGE_CURVE('',#64552,#64553,#25084,.T.); #80345=EDGE_CURVE('',#64553,#64551,#25085,.T.); #80346=EDGE_CURVE('',#64554,#64552,#25086,.T.); #80347=EDGE_CURVE('',#64554,#64555,#25087,.T.); #80348=EDGE_CURVE('',#64555,#64553,#25088,.T.); #80349=EDGE_CURVE('',#64556,#64554,#25089,.T.); #80350=EDGE_CURVE('',#64556,#64557,#25090,.T.); #80351=EDGE_CURVE('',#64557,#64555,#25091,.T.); #80352=EDGE_CURVE('',#64558,#64556,#25092,.T.); #80353=EDGE_CURVE('',#64558,#64559,#25093,.T.); #80354=EDGE_CURVE('',#64559,#64557,#25094,.T.); #80355=EDGE_CURVE('',#64560,#64558,#25095,.T.); #80356=EDGE_CURVE('',#64560,#64561,#25096,.T.); #80357=EDGE_CURVE('',#64561,#64559,#25097,.T.); #80358=EDGE_CURVE('',#64562,#64560,#25098,.T.); #80359=EDGE_CURVE('',#64562,#64563,#25099,.T.); #80360=EDGE_CURVE('',#64563,#64561,#25100,.T.); #80361=EDGE_CURVE('',#64564,#64562,#25101,.T.); #80362=EDGE_CURVE('',#64564,#64565,#25102,.T.); #80363=EDGE_CURVE('',#64565,#64563,#25103,.T.); #80364=EDGE_CURVE('',#64566,#64564,#25104,.T.); #80365=EDGE_CURVE('',#64566,#64567,#25105,.T.); #80366=EDGE_CURVE('',#64567,#64565,#25106,.T.); #80367=EDGE_CURVE('',#64568,#64566,#25107,.T.); #80368=EDGE_CURVE('',#64568,#64569,#25108,.T.); #80369=EDGE_CURVE('',#64569,#64567,#25109,.T.); #80370=EDGE_CURVE('',#64570,#64568,#25110,.T.); #80371=EDGE_CURVE('',#64570,#64571,#25111,.T.); #80372=EDGE_CURVE('',#64571,#64569,#25112,.T.); #80373=EDGE_CURVE('',#64572,#64570,#25113,.T.); #80374=EDGE_CURVE('',#64572,#64573,#25114,.T.); #80375=EDGE_CURVE('',#64573,#64571,#25115,.T.); #80376=EDGE_CURVE('',#64574,#64572,#25116,.T.); #80377=EDGE_CURVE('',#64574,#64575,#25117,.T.); #80378=EDGE_CURVE('',#64575,#64573,#25118,.T.); #80379=EDGE_CURVE('',#64576,#64574,#25119,.T.); #80380=EDGE_CURVE('',#64576,#64577,#25120,.T.); #80381=EDGE_CURVE('',#64577,#64575,#25121,.T.); #80382=EDGE_CURVE('',#64578,#64576,#25122,.T.); #80383=EDGE_CURVE('',#64578,#64579,#25123,.T.); #80384=EDGE_CURVE('',#64579,#64577,#25124,.T.); #80385=EDGE_CURVE('',#64580,#64578,#25125,.T.); #80386=EDGE_CURVE('',#64580,#64581,#25126,.T.); #80387=EDGE_CURVE('',#64581,#64579,#25127,.T.); #80388=EDGE_CURVE('',#64582,#64580,#25128,.T.); #80389=EDGE_CURVE('',#64582,#64583,#25129,.T.); #80390=EDGE_CURVE('',#64583,#64581,#25130,.T.); #80391=EDGE_CURVE('',#64461,#64582,#25131,.T.); #80392=EDGE_CURVE('',#64463,#64583,#25132,.T.); #80393=EDGE_CURVE('',#64584,#64585,#25133,.T.); #80394=EDGE_CURVE('',#64584,#64586,#25134,.T.); #80395=EDGE_CURVE('',#64586,#64587,#25135,.T.); #80396=EDGE_CURVE('',#64585,#64587,#25136,.T.); #80397=EDGE_CURVE('',#64588,#64584,#25137,.T.); #80398=EDGE_CURVE('',#64588,#64589,#25138,.T.); #80399=EDGE_CURVE('',#64589,#64586,#25139,.T.); #80400=EDGE_CURVE('',#64590,#64588,#25140,.T.); #80401=EDGE_CURVE('',#64590,#64591,#25141,.T.); #80402=EDGE_CURVE('',#64591,#64589,#25142,.T.); #80403=EDGE_CURVE('',#64592,#64590,#25143,.T.); #80404=EDGE_CURVE('',#64592,#64593,#25144,.T.); #80405=EDGE_CURVE('',#64593,#64591,#25145,.T.); #80406=EDGE_CURVE('',#64594,#64592,#25146,.T.); #80407=EDGE_CURVE('',#64594,#64595,#25147,.T.); #80408=EDGE_CURVE('',#64595,#64593,#25148,.T.); #80409=EDGE_CURVE('',#64596,#64594,#25149,.T.); #80410=EDGE_CURVE('',#64596,#64597,#25150,.T.); #80411=EDGE_CURVE('',#64597,#64595,#25151,.T.); #80412=EDGE_CURVE('',#64598,#64596,#25152,.T.); #80413=EDGE_CURVE('',#64598,#64599,#25153,.T.); #80414=EDGE_CURVE('',#64599,#64597,#25154,.T.); #80415=EDGE_CURVE('',#64600,#64598,#25155,.T.); #80416=EDGE_CURVE('',#64600,#64601,#25156,.T.); #80417=EDGE_CURVE('',#64601,#64599,#25157,.T.); #80418=EDGE_CURVE('',#64602,#64600,#25158,.T.); #80419=EDGE_CURVE('',#64602,#64603,#25159,.T.); #80420=EDGE_CURVE('',#64603,#64601,#25160,.T.); #80421=EDGE_CURVE('',#64604,#64602,#25161,.T.); #80422=EDGE_CURVE('',#64604,#64605,#25162,.T.); #80423=EDGE_CURVE('',#64605,#64603,#25163,.T.); #80424=EDGE_CURVE('',#64606,#64604,#25164,.T.); #80425=EDGE_CURVE('',#64606,#64607,#25165,.T.); #80426=EDGE_CURVE('',#64607,#64605,#25166,.T.); #80427=EDGE_CURVE('',#64585,#64606,#58449,.T.); #80428=EDGE_CURVE('',#64587,#64607,#58450,.T.); #80429=EDGE_CURVE('',#64608,#64609,#25167,.T.); #80430=EDGE_CURVE('',#64608,#64610,#25168,.T.); #80431=EDGE_CURVE('',#64610,#64611,#25169,.T.); #80432=EDGE_CURVE('',#64609,#64611,#25170,.T.); #80433=EDGE_CURVE('',#64612,#64608,#25171,.T.); #80434=EDGE_CURVE('',#64612,#64613,#25172,.T.); #80435=EDGE_CURVE('',#64613,#64610,#25173,.T.); #80436=EDGE_CURVE('',#64614,#64612,#25174,.T.); #80437=EDGE_CURVE('',#64614,#64615,#25175,.T.); #80438=EDGE_CURVE('',#64615,#64613,#25176,.T.); #80439=EDGE_CURVE('',#64609,#64614,#25177,.T.); #80440=EDGE_CURVE('',#64611,#64615,#25178,.T.); #80441=EDGE_CURVE('',#64616,#64617,#58451,.T.); #80442=EDGE_CURVE('',#64616,#64618,#25179,.T.); #80443=EDGE_CURVE('',#64618,#64619,#58452,.T.); #80444=EDGE_CURVE('',#64617,#64619,#25180,.T.); #80445=EDGE_CURVE('',#64620,#64616,#25181,.T.); #80446=EDGE_CURVE('',#64620,#64621,#25182,.T.); #80447=EDGE_CURVE('',#64621,#64618,#25183,.T.); #80448=EDGE_CURVE('',#64622,#64620,#58453,.T.); #80449=EDGE_CURVE('',#64622,#64623,#25184,.T.); #80450=EDGE_CURVE('',#64623,#64621,#58454,.T.); #80451=EDGE_CURVE('',#64624,#64622,#58455,.T.); #80452=EDGE_CURVE('',#64624,#64625,#25185,.T.); #80453=EDGE_CURVE('',#64625,#64623,#58456,.T.); #80454=EDGE_CURVE('',#64626,#64624,#58457,.T.); #80455=EDGE_CURVE('',#64626,#64627,#25186,.T.); #80456=EDGE_CURVE('',#64627,#64625,#58458,.T.); #80457=EDGE_CURVE('',#64628,#64626,#58459,.T.); #80458=EDGE_CURVE('',#64628,#64629,#25187,.T.); #80459=EDGE_CURVE('',#64629,#64627,#58460,.T.); #80460=EDGE_CURVE('',#64630,#64628,#58461,.T.); #80461=EDGE_CURVE('',#64630,#64631,#25188,.T.); #80462=EDGE_CURVE('',#64631,#64629,#58462,.T.); #80463=EDGE_CURVE('',#64632,#64630,#58463,.T.); #80464=EDGE_CURVE('',#64632,#64633,#25189,.T.); #80465=EDGE_CURVE('',#64633,#64631,#58464,.T.); #80466=EDGE_CURVE('',#64634,#64632,#58465,.T.); #80467=EDGE_CURVE('',#64634,#64635,#25190,.T.); #80468=EDGE_CURVE('',#64635,#64633,#58466,.T.); #80469=EDGE_CURVE('',#64636,#64634,#58467,.T.); #80470=EDGE_CURVE('',#64636,#64637,#25191,.T.); #80471=EDGE_CURVE('',#64637,#64635,#58468,.T.); #80472=EDGE_CURVE('',#64617,#64636,#58469,.T.); #80473=EDGE_CURVE('',#64619,#64637,#58470,.T.); #80474=EDGE_CURVE('',#64638,#64639,#25192,.T.); #80475=EDGE_CURVE('',#64638,#64640,#25193,.T.); #80476=EDGE_CURVE('',#64640,#64641,#25194,.T.); #80477=EDGE_CURVE('',#64639,#64641,#25195,.T.); #80478=EDGE_CURVE('',#64642,#64638,#25196,.T.); #80479=EDGE_CURVE('',#64642,#64643,#25197,.T.); #80480=EDGE_CURVE('',#64643,#64640,#25198,.T.); #80481=EDGE_CURVE('',#64644,#64642,#25199,.T.); #80482=EDGE_CURVE('',#64644,#64645,#25200,.T.); #80483=EDGE_CURVE('',#64645,#64643,#25201,.T.); #80484=EDGE_CURVE('',#64646,#64644,#25202,.T.); #80485=EDGE_CURVE('',#64646,#64647,#25203,.T.); #80486=EDGE_CURVE('',#64647,#64645,#25204,.T.); #80487=EDGE_CURVE('',#64648,#64646,#58471,.T.); #80488=EDGE_CURVE('',#64648,#64649,#25205,.T.); #80489=EDGE_CURVE('',#64649,#64647,#58472,.T.); #80490=EDGE_CURVE('',#64650,#64648,#25206,.T.); #80491=EDGE_CURVE('',#64650,#64651,#25207,.T.); #80492=EDGE_CURVE('',#64651,#64649,#25208,.T.); #80493=EDGE_CURVE('',#64652,#64650,#25209,.T.); #80494=EDGE_CURVE('',#64652,#64653,#25210,.T.); #80495=EDGE_CURVE('',#64653,#64651,#25211,.T.); #80496=EDGE_CURVE('',#64654,#64652,#25212,.T.); #80497=EDGE_CURVE('',#64654,#64655,#25213,.T.); #80498=EDGE_CURVE('',#64655,#64653,#25214,.T.); #80499=EDGE_CURVE('',#64656,#64654,#25215,.T.); #80500=EDGE_CURVE('',#64656,#64657,#25216,.T.); #80501=EDGE_CURVE('',#64657,#64655,#25217,.T.); #80502=EDGE_CURVE('',#64658,#64656,#25218,.T.); #80503=EDGE_CURVE('',#64658,#64659,#25219,.T.); #80504=EDGE_CURVE('',#64659,#64657,#25220,.T.); #80505=EDGE_CURVE('',#64660,#64658,#25221,.T.); #80506=EDGE_CURVE('',#64660,#64661,#25222,.T.); #80507=EDGE_CURVE('',#64661,#64659,#25223,.T.); #80508=EDGE_CURVE('',#64662,#64660,#58473,.T.); #80509=EDGE_CURVE('',#64662,#64663,#25224,.T.); #80510=EDGE_CURVE('',#64663,#64661,#58474,.T.); #80511=EDGE_CURVE('',#64664,#64662,#58475,.T.); #80512=EDGE_CURVE('',#64664,#64665,#25225,.T.); #80513=EDGE_CURVE('',#64665,#64663,#58476,.T.); #80514=EDGE_CURVE('',#64666,#64664,#25226,.T.); #80515=EDGE_CURVE('',#64666,#64667,#25227,.T.); #80516=EDGE_CURVE('',#64667,#64665,#25228,.T.); #80517=EDGE_CURVE('',#64668,#64666,#25229,.T.); #80518=EDGE_CURVE('',#64668,#64669,#25230,.T.); #80519=EDGE_CURVE('',#64669,#64667,#25231,.T.); #80520=EDGE_CURVE('',#64670,#64668,#25232,.T.); #80521=EDGE_CURVE('',#64670,#64671,#25233,.T.); #80522=EDGE_CURVE('',#64671,#64669,#25234,.T.); #80523=EDGE_CURVE('',#64672,#64670,#25235,.T.); #80524=EDGE_CURVE('',#64672,#64673,#25236,.T.); #80525=EDGE_CURVE('',#64673,#64671,#25237,.T.); #80526=EDGE_CURVE('',#64674,#64672,#25238,.T.); #80527=EDGE_CURVE('',#64674,#64675,#25239,.T.); #80528=EDGE_CURVE('',#64675,#64673,#25240,.T.); #80529=EDGE_CURVE('',#64676,#64674,#25241,.T.); #80530=EDGE_CURVE('',#64676,#64677,#25242,.T.); #80531=EDGE_CURVE('',#64677,#64675,#25243,.T.); #80532=EDGE_CURVE('',#64678,#64676,#58477,.T.); #80533=EDGE_CURVE('',#64678,#64679,#25244,.T.); #80534=EDGE_CURVE('',#64679,#64677,#58478,.T.); #80535=EDGE_CURVE('',#64680,#64678,#58479,.T.); #80536=EDGE_CURVE('',#64680,#64681,#25245,.T.); #80537=EDGE_CURVE('',#64681,#64679,#58480,.T.); #80538=EDGE_CURVE('',#64682,#64680,#58481,.T.); #80539=EDGE_CURVE('',#64682,#64683,#25246,.T.); #80540=EDGE_CURVE('',#64683,#64681,#58482,.T.); #80541=EDGE_CURVE('',#64684,#64682,#25247,.T.); #80542=EDGE_CURVE('',#64684,#64685,#25248,.T.); #80543=EDGE_CURVE('',#64685,#64683,#25249,.T.); #80544=EDGE_CURVE('',#64686,#64684,#58483,.T.); #80545=EDGE_CURVE('',#64686,#64687,#25250,.T.); #80546=EDGE_CURVE('',#64687,#64685,#58484,.T.); #80547=EDGE_CURVE('',#64688,#64686,#58485,.T.); #80548=EDGE_CURVE('',#64688,#64689,#25251,.T.); #80549=EDGE_CURVE('',#64689,#64687,#58486,.T.); #80550=EDGE_CURVE('',#64690,#64688,#58487,.T.); #80551=EDGE_CURVE('',#64690,#64691,#25252,.T.); #80552=EDGE_CURVE('',#64691,#64689,#58488,.T.); #80553=EDGE_CURVE('',#64692,#64690,#25253,.T.); #80554=EDGE_CURVE('',#64692,#64693,#25254,.T.); #80555=EDGE_CURVE('',#64693,#64691,#25255,.T.); #80556=EDGE_CURVE('',#64694,#64692,#25256,.T.); #80557=EDGE_CURVE('',#64694,#64695,#25257,.T.); #80558=EDGE_CURVE('',#64695,#64693,#25258,.T.); #80559=EDGE_CURVE('',#64696,#64694,#58489,.T.); #80560=EDGE_CURVE('',#64696,#64697,#25259,.T.); #80561=EDGE_CURVE('',#64697,#64695,#58490,.T.); #80562=EDGE_CURVE('',#64698,#64696,#58491,.T.); #80563=EDGE_CURVE('',#64698,#64699,#25260,.T.); #80564=EDGE_CURVE('',#64699,#64697,#58492,.T.); #80565=EDGE_CURVE('',#64700,#64698,#58493,.T.); #80566=EDGE_CURVE('',#64700,#64701,#25261,.T.); #80567=EDGE_CURVE('',#64701,#64699,#58494,.T.); #80568=EDGE_CURVE('',#64702,#64700,#58495,.T.); #80569=EDGE_CURVE('',#64702,#64703,#25262,.T.); #80570=EDGE_CURVE('',#64703,#64701,#58496,.T.); #80571=EDGE_CURVE('',#64704,#64702,#58497,.T.); #80572=EDGE_CURVE('',#64704,#64705,#25263,.T.); #80573=EDGE_CURVE('',#64705,#64703,#58498,.T.); #80574=EDGE_CURVE('',#64706,#64704,#58499,.T.); #80575=EDGE_CURVE('',#64706,#64707,#25264,.T.); #80576=EDGE_CURVE('',#64707,#64705,#58500,.T.); #80577=EDGE_CURVE('',#64639,#64706,#25265,.T.); #80578=EDGE_CURVE('',#64641,#64707,#25266,.T.); #80579=EDGE_CURVE('',#64708,#64709,#25267,.T.); #80580=EDGE_CURVE('',#64708,#64710,#25268,.T.); #80581=EDGE_CURVE('',#64710,#64711,#25269,.T.); #80582=EDGE_CURVE('',#64709,#64711,#25270,.T.); #80583=EDGE_CURVE('',#64712,#64708,#25271,.T.); #80584=EDGE_CURVE('',#64712,#64713,#25272,.T.); #80585=EDGE_CURVE('',#64713,#64710,#25273,.T.); #80586=EDGE_CURVE('',#64714,#64712,#25274,.T.); #80587=EDGE_CURVE('',#64714,#64715,#25275,.T.); #80588=EDGE_CURVE('',#64715,#64713,#25276,.T.); #80589=EDGE_CURVE('',#64716,#64714,#25277,.T.); #80590=EDGE_CURVE('',#64716,#64717,#25278,.T.); #80591=EDGE_CURVE('',#64717,#64715,#25279,.T.); #80592=EDGE_CURVE('',#64718,#64716,#25280,.T.); #80593=EDGE_CURVE('',#64718,#64719,#25281,.T.); #80594=EDGE_CURVE('',#64719,#64717,#25282,.T.); #80595=EDGE_CURVE('',#64720,#64718,#25283,.T.); #80596=EDGE_CURVE('',#64720,#64721,#25284,.T.); #80597=EDGE_CURVE('',#64721,#64719,#25285,.T.); #80598=EDGE_CURVE('',#64722,#64720,#25286,.T.); #80599=EDGE_CURVE('',#64722,#64723,#25287,.T.); #80600=EDGE_CURVE('',#64723,#64721,#25288,.T.); #80601=EDGE_CURVE('',#64709,#64722,#25289,.T.); #80602=EDGE_CURVE('',#64711,#64723,#25290,.T.); #80603=EDGE_CURVE('',#64724,#64725,#25291,.T.); #80604=EDGE_CURVE('',#64724,#64726,#25292,.T.); #80605=EDGE_CURVE('',#64726,#64727,#25293,.T.); #80606=EDGE_CURVE('',#64725,#64727,#25294,.T.); #80607=EDGE_CURVE('',#64728,#64724,#25295,.T.); #80608=EDGE_CURVE('',#64728,#64729,#25296,.T.); #80609=EDGE_CURVE('',#64729,#64726,#25297,.T.); #80610=EDGE_CURVE('',#64730,#64728,#58501,.T.); #80611=EDGE_CURVE('',#64730,#64731,#25298,.T.); #80612=EDGE_CURVE('',#64731,#64729,#58502,.T.); #80613=EDGE_CURVE('',#64732,#64730,#58503,.T.); #80614=EDGE_CURVE('',#64732,#64733,#25299,.T.); #80615=EDGE_CURVE('',#64733,#64731,#58504,.T.); #80616=EDGE_CURVE('',#64734,#64732,#25300,.T.); #80617=EDGE_CURVE('',#64734,#64735,#25301,.T.); #80618=EDGE_CURVE('',#64735,#64733,#25302,.T.); #80619=EDGE_CURVE('',#64736,#64734,#58505,.T.); #80620=EDGE_CURVE('',#64736,#64737,#25303,.T.); #80621=EDGE_CURVE('',#64737,#64735,#58506,.T.); #80622=EDGE_CURVE('',#64738,#64736,#58507,.T.); #80623=EDGE_CURVE('',#64738,#64739,#25304,.T.); #80624=EDGE_CURVE('',#64739,#64737,#58508,.T.); #80625=EDGE_CURVE('',#64740,#64738,#25305,.T.); #80626=EDGE_CURVE('',#64740,#64741,#25306,.T.); #80627=EDGE_CURVE('',#64741,#64739,#25307,.T.); #80628=EDGE_CURVE('',#64742,#64740,#58509,.T.); #80629=EDGE_CURVE('',#64742,#64743,#25308,.T.); #80630=EDGE_CURVE('',#64743,#64741,#58510,.T.); #80631=EDGE_CURVE('',#64744,#64742,#58511,.T.); #80632=EDGE_CURVE('',#64744,#64745,#25309,.T.); #80633=EDGE_CURVE('',#64745,#64743,#58512,.T.); #80634=EDGE_CURVE('',#64746,#64744,#25310,.T.); #80635=EDGE_CURVE('',#64746,#64747,#25311,.T.); #80636=EDGE_CURVE('',#64747,#64745,#25312,.T.); #80637=EDGE_CURVE('',#64748,#64746,#25313,.T.); #80638=EDGE_CURVE('',#64748,#64749,#25314,.T.); #80639=EDGE_CURVE('',#64749,#64747,#25315,.T.); #80640=EDGE_CURVE('',#64750,#64748,#25316,.T.); #80641=EDGE_CURVE('',#64750,#64751,#25317,.T.); #80642=EDGE_CURVE('',#64751,#64749,#25318,.T.); #80643=EDGE_CURVE('',#64752,#64750,#58513,.T.); #80644=EDGE_CURVE('',#64752,#64753,#25319,.T.); #80645=EDGE_CURVE('',#64753,#64751,#58514,.T.); #80646=EDGE_CURVE('',#64725,#64752,#25320,.T.); #80647=EDGE_CURVE('',#64727,#64753,#25321,.T.); #80648=EDGE_CURVE('',#64754,#64755,#25322,.T.); #80649=EDGE_CURVE('',#64754,#64756,#25323,.T.); #80650=EDGE_CURVE('',#64756,#64757,#25324,.T.); #80651=EDGE_CURVE('',#64755,#64757,#25325,.T.); #80652=EDGE_CURVE('',#64758,#64754,#25326,.T.); #80653=EDGE_CURVE('',#64758,#64759,#25327,.T.); #80654=EDGE_CURVE('',#64759,#64756,#25328,.T.); #80655=EDGE_CURVE('',#64760,#64758,#25329,.T.); #80656=EDGE_CURVE('',#64760,#64761,#25330,.T.); #80657=EDGE_CURVE('',#64761,#64759,#25331,.T.); #80658=EDGE_CURVE('',#64762,#64760,#25332,.T.); #80659=EDGE_CURVE('',#64762,#64763,#25333,.T.); #80660=EDGE_CURVE('',#64763,#64761,#25334,.T.); #80661=EDGE_CURVE('',#64764,#64762,#25335,.T.); #80662=EDGE_CURVE('',#64764,#64765,#25336,.T.); #80663=EDGE_CURVE('',#64765,#64763,#25337,.T.); #80664=EDGE_CURVE('',#64766,#64764,#25338,.T.); #80665=EDGE_CURVE('',#64766,#64767,#25339,.T.); #80666=EDGE_CURVE('',#64767,#64765,#25340,.T.); #80667=EDGE_CURVE('',#64768,#64766,#25341,.T.); #80668=EDGE_CURVE('',#64768,#64769,#25342,.T.); #80669=EDGE_CURVE('',#64769,#64767,#25343,.T.); #80670=EDGE_CURVE('',#64770,#64768,#25344,.T.); #80671=EDGE_CURVE('',#64770,#64771,#25345,.T.); #80672=EDGE_CURVE('',#64771,#64769,#25346,.T.); #80673=EDGE_CURVE('',#64772,#64770,#58515,.T.); #80674=EDGE_CURVE('',#64772,#64773,#25347,.T.); #80675=EDGE_CURVE('',#64773,#64771,#58516,.T.); #80676=EDGE_CURVE('',#64774,#64772,#25348,.T.); #80677=EDGE_CURVE('',#64774,#64775,#25349,.T.); #80678=EDGE_CURVE('',#64775,#64773,#25350,.T.); #80679=EDGE_CURVE('',#64776,#64774,#58517,.T.); #80680=EDGE_CURVE('',#64776,#64777,#25351,.T.); #80681=EDGE_CURVE('',#64777,#64775,#58518,.T.); #80682=EDGE_CURVE('',#64778,#64776,#25352,.T.); #80683=EDGE_CURVE('',#64778,#64779,#25353,.T.); #80684=EDGE_CURVE('',#64779,#64777,#25354,.T.); #80685=EDGE_CURVE('',#64780,#64778,#25355,.T.); #80686=EDGE_CURVE('',#64780,#64781,#25356,.T.); #80687=EDGE_CURVE('',#64781,#64779,#25357,.T.); #80688=EDGE_CURVE('',#64782,#64780,#25358,.T.); #80689=EDGE_CURVE('',#64782,#64783,#25359,.T.); #80690=EDGE_CURVE('',#64783,#64781,#25360,.T.); #80691=EDGE_CURVE('',#64784,#64782,#25361,.T.); #80692=EDGE_CURVE('',#64784,#64785,#25362,.T.); #80693=EDGE_CURVE('',#64785,#64783,#25363,.T.); #80694=EDGE_CURVE('',#64786,#64784,#25364,.T.); #80695=EDGE_CURVE('',#64786,#64787,#25365,.T.); #80696=EDGE_CURVE('',#64787,#64785,#25366,.T.); #80697=EDGE_CURVE('',#64788,#64786,#25367,.T.); #80698=EDGE_CURVE('',#64788,#64789,#25368,.T.); #80699=EDGE_CURVE('',#64789,#64787,#25369,.T.); #80700=EDGE_CURVE('',#64790,#64788,#25370,.T.); #80701=EDGE_CURVE('',#64790,#64791,#25371,.T.); #80702=EDGE_CURVE('',#64791,#64789,#25372,.T.); #80703=EDGE_CURVE('',#64792,#64790,#25373,.T.); #80704=EDGE_CURVE('',#64792,#64793,#25374,.T.); #80705=EDGE_CURVE('',#64793,#64791,#25375,.T.); #80706=EDGE_CURVE('',#64794,#64792,#58519,.T.); #80707=EDGE_CURVE('',#64794,#64795,#25376,.T.); #80708=EDGE_CURVE('',#64795,#64793,#58520,.T.); #80709=EDGE_CURVE('',#64796,#64794,#58521,.T.); #80710=EDGE_CURVE('',#64796,#64797,#25377,.T.); #80711=EDGE_CURVE('',#64797,#64795,#58522,.T.); #80712=EDGE_CURVE('',#64798,#64796,#58523,.T.); #80713=EDGE_CURVE('',#64798,#64799,#25378,.T.); #80714=EDGE_CURVE('',#64799,#64797,#58524,.T.); #80715=EDGE_CURVE('',#64800,#64798,#25379,.T.); #80716=EDGE_CURVE('',#64800,#64801,#25380,.T.); #80717=EDGE_CURVE('',#64801,#64799,#25381,.T.); #80718=EDGE_CURVE('',#64802,#64800,#25382,.T.); #80719=EDGE_CURVE('',#64802,#64803,#25383,.T.); #80720=EDGE_CURVE('',#64803,#64801,#25384,.T.); #80721=EDGE_CURVE('',#64804,#64802,#25385,.T.); #80722=EDGE_CURVE('',#64804,#64805,#25386,.T.); #80723=EDGE_CURVE('',#64805,#64803,#25387,.T.); #80724=EDGE_CURVE('',#64806,#64804,#25388,.T.); #80725=EDGE_CURVE('',#64806,#64807,#25389,.T.); #80726=EDGE_CURVE('',#64807,#64805,#25390,.T.); #80727=EDGE_CURVE('',#64808,#64806,#25391,.T.); #80728=EDGE_CURVE('',#64808,#64809,#25392,.T.); #80729=EDGE_CURVE('',#64809,#64807,#25393,.T.); #80730=EDGE_CURVE('',#64810,#64808,#25394,.T.); #80731=EDGE_CURVE('',#64810,#64811,#25395,.T.); #80732=EDGE_CURVE('',#64811,#64809,#25396,.T.); #80733=EDGE_CURVE('',#64812,#64810,#25397,.T.); #80734=EDGE_CURVE('',#64812,#64813,#25398,.T.); #80735=EDGE_CURVE('',#64813,#64811,#25399,.T.); #80736=EDGE_CURVE('',#64814,#64812,#25400,.T.); #80737=EDGE_CURVE('',#64814,#64815,#25401,.T.); #80738=EDGE_CURVE('',#64815,#64813,#25402,.T.); #80739=EDGE_CURVE('',#64816,#64814,#25403,.T.); #80740=EDGE_CURVE('',#64816,#64817,#25404,.T.); #80741=EDGE_CURVE('',#64817,#64815,#25405,.T.); #80742=EDGE_CURVE('',#64818,#64816,#25406,.T.); #80743=EDGE_CURVE('',#64818,#64819,#25407,.T.); #80744=EDGE_CURVE('',#64819,#64817,#25408,.T.); #80745=EDGE_CURVE('',#64820,#64818,#25409,.T.); #80746=EDGE_CURVE('',#64820,#64821,#25410,.T.); #80747=EDGE_CURVE('',#64821,#64819,#25411,.T.); #80748=EDGE_CURVE('',#64822,#64820,#25412,.T.); #80749=EDGE_CURVE('',#64822,#64823,#25413,.T.); #80750=EDGE_CURVE('',#64823,#64821,#25414,.T.); #80751=EDGE_CURVE('',#64824,#64822,#25415,.T.); #80752=EDGE_CURVE('',#64824,#64825,#25416,.T.); #80753=EDGE_CURVE('',#64825,#64823,#25417,.T.); #80754=EDGE_CURVE('',#64826,#64824,#25418,.T.); #80755=EDGE_CURVE('',#64826,#64827,#25419,.T.); #80756=EDGE_CURVE('',#64827,#64825,#25420,.T.); #80757=EDGE_CURVE('',#64828,#64826,#25421,.T.); #80758=EDGE_CURVE('',#64828,#64829,#25422,.T.); #80759=EDGE_CURVE('',#64829,#64827,#25423,.T.); #80760=EDGE_CURVE('',#64830,#64828,#25424,.T.); #80761=EDGE_CURVE('',#64830,#64831,#25425,.T.); #80762=EDGE_CURVE('',#64831,#64829,#25426,.T.); #80763=EDGE_CURVE('',#64832,#64830,#25427,.T.); #80764=EDGE_CURVE('',#64832,#64833,#25428,.T.); #80765=EDGE_CURVE('',#64833,#64831,#25429,.T.); #80766=EDGE_CURVE('',#64834,#64832,#25430,.T.); #80767=EDGE_CURVE('',#64834,#64835,#25431,.T.); #80768=EDGE_CURVE('',#64835,#64833,#25432,.T.); #80769=EDGE_CURVE('',#64836,#64834,#58525,.T.); #80770=EDGE_CURVE('',#64836,#64837,#25433,.T.); #80771=EDGE_CURVE('',#64837,#64835,#58526,.T.); #80772=EDGE_CURVE('',#64838,#64836,#25434,.T.); #80773=EDGE_CURVE('',#64838,#64839,#25435,.T.); #80774=EDGE_CURVE('',#64839,#64837,#25436,.T.); #80775=EDGE_CURVE('',#64840,#64838,#25437,.T.); #80776=EDGE_CURVE('',#64840,#64841,#25438,.T.); #80777=EDGE_CURVE('',#64841,#64839,#25439,.T.); #80778=EDGE_CURVE('',#64842,#64840,#25440,.T.); #80779=EDGE_CURVE('',#64842,#64843,#25441,.T.); #80780=EDGE_CURVE('',#64843,#64841,#25442,.T.); #80781=EDGE_CURVE('',#64844,#64842,#58527,.T.); #80782=EDGE_CURVE('',#64844,#64845,#25443,.T.); #80783=EDGE_CURVE('',#64845,#64843,#58528,.T.); #80784=EDGE_CURVE('',#64846,#64844,#25444,.T.); #80785=EDGE_CURVE('',#64846,#64847,#25445,.T.); #80786=EDGE_CURVE('',#64847,#64845,#25446,.T.); #80787=EDGE_CURVE('',#64848,#64846,#25447,.T.); #80788=EDGE_CURVE('',#64848,#64849,#25448,.T.); #80789=EDGE_CURVE('',#64849,#64847,#25449,.T.); #80790=EDGE_CURVE('',#64850,#64848,#25450,.T.); #80791=EDGE_CURVE('',#64850,#64851,#25451,.T.); #80792=EDGE_CURVE('',#64851,#64849,#25452,.T.); #80793=EDGE_CURVE('',#64852,#64850,#25453,.T.); #80794=EDGE_CURVE('',#64852,#64853,#25454,.T.); #80795=EDGE_CURVE('',#64853,#64851,#25455,.T.); #80796=EDGE_CURVE('',#64854,#64852,#25456,.T.); #80797=EDGE_CURVE('',#64854,#64855,#25457,.T.); #80798=EDGE_CURVE('',#64855,#64853,#25458,.T.); #80799=EDGE_CURVE('',#64856,#64854,#25459,.T.); #80800=EDGE_CURVE('',#64856,#64857,#25460,.T.); #80801=EDGE_CURVE('',#64857,#64855,#25461,.T.); #80802=EDGE_CURVE('',#64858,#64856,#25462,.T.); #80803=EDGE_CURVE('',#64858,#64859,#25463,.T.); #80804=EDGE_CURVE('',#64859,#64857,#25464,.T.); #80805=EDGE_CURVE('',#64860,#64858,#25465,.T.); #80806=EDGE_CURVE('',#64860,#64861,#25466,.T.); #80807=EDGE_CURVE('',#64861,#64859,#25467,.T.); #80808=EDGE_CURVE('',#64862,#64860,#25468,.T.); #80809=EDGE_CURVE('',#64862,#64863,#25469,.T.); #80810=EDGE_CURVE('',#64863,#64861,#25470,.T.); #80811=EDGE_CURVE('',#64864,#64862,#25471,.T.); #80812=EDGE_CURVE('',#64864,#64865,#25472,.T.); #80813=EDGE_CURVE('',#64865,#64863,#25473,.T.); #80814=EDGE_CURVE('',#64866,#64864,#25474,.T.); #80815=EDGE_CURVE('',#64866,#64867,#25475,.T.); #80816=EDGE_CURVE('',#64867,#64865,#25476,.T.); #80817=EDGE_CURVE('',#64868,#64866,#25477,.T.); #80818=EDGE_CURVE('',#64868,#64869,#25478,.T.); #80819=EDGE_CURVE('',#64869,#64867,#25479,.T.); #80820=EDGE_CURVE('',#64870,#64868,#25480,.T.); #80821=EDGE_CURVE('',#64870,#64871,#25481,.T.); #80822=EDGE_CURVE('',#64871,#64869,#25482,.T.); #80823=EDGE_CURVE('',#64872,#64870,#25483,.T.); #80824=EDGE_CURVE('',#64872,#64873,#25484,.T.); #80825=EDGE_CURVE('',#64873,#64871,#25485,.T.); #80826=EDGE_CURVE('',#64874,#64872,#25486,.T.); #80827=EDGE_CURVE('',#64874,#64875,#25487,.T.); #80828=EDGE_CURVE('',#64875,#64873,#25488,.T.); #80829=EDGE_CURVE('',#64876,#64874,#25489,.T.); #80830=EDGE_CURVE('',#64876,#64877,#25490,.T.); #80831=EDGE_CURVE('',#64877,#64875,#25491,.T.); #80832=EDGE_CURVE('',#64878,#64876,#25492,.T.); #80833=EDGE_CURVE('',#64878,#64879,#25493,.T.); #80834=EDGE_CURVE('',#64879,#64877,#25494,.T.); #80835=EDGE_CURVE('',#64880,#64878,#25495,.T.); #80836=EDGE_CURVE('',#64880,#64881,#25496,.T.); #80837=EDGE_CURVE('',#64881,#64879,#25497,.T.); #80838=EDGE_CURVE('',#64882,#64880,#25498,.T.); #80839=EDGE_CURVE('',#64882,#64883,#25499,.T.); #80840=EDGE_CURVE('',#64883,#64881,#25500,.T.); #80841=EDGE_CURVE('',#64884,#64882,#25501,.T.); #80842=EDGE_CURVE('',#64884,#64885,#25502,.T.); #80843=EDGE_CURVE('',#64885,#64883,#25503,.T.); #80844=EDGE_CURVE('',#64886,#64884,#25504,.T.); #80845=EDGE_CURVE('',#64886,#64887,#25505,.T.); #80846=EDGE_CURVE('',#64887,#64885,#25506,.T.); #80847=EDGE_CURVE('',#64888,#64886,#25507,.T.); #80848=EDGE_CURVE('',#64888,#64889,#25508,.T.); #80849=EDGE_CURVE('',#64889,#64887,#25509,.T.); #80850=EDGE_CURVE('',#64890,#64888,#25510,.T.); #80851=EDGE_CURVE('',#64890,#64891,#25511,.T.); #80852=EDGE_CURVE('',#64891,#64889,#25512,.T.); #80853=EDGE_CURVE('',#64892,#64890,#25513,.T.); #80854=EDGE_CURVE('',#64892,#64893,#25514,.T.); #80855=EDGE_CURVE('',#64893,#64891,#25515,.T.); #80856=EDGE_CURVE('',#64894,#64892,#25516,.T.); #80857=EDGE_CURVE('',#64894,#64895,#25517,.T.); #80858=EDGE_CURVE('',#64895,#64893,#25518,.T.); #80859=EDGE_CURVE('',#64896,#64894,#58529,.T.); #80860=EDGE_CURVE('',#64896,#64897,#25519,.T.); #80861=EDGE_CURVE('',#64897,#64895,#58530,.T.); #80862=EDGE_CURVE('',#64898,#64896,#25520,.T.); #80863=EDGE_CURVE('',#64898,#64899,#25521,.T.); #80864=EDGE_CURVE('',#64899,#64897,#25522,.T.); #80865=EDGE_CURVE('',#64900,#64898,#58531,.T.); #80866=EDGE_CURVE('',#64900,#64901,#25523,.T.); #80867=EDGE_CURVE('',#64901,#64899,#58532,.T.); #80868=EDGE_CURVE('',#64902,#64900,#58533,.T.); #80869=EDGE_CURVE('',#64902,#64903,#25524,.T.); #80870=EDGE_CURVE('',#64903,#64901,#58534,.T.); #80871=EDGE_CURVE('',#64904,#64902,#25525,.T.); #80872=EDGE_CURVE('',#64904,#64905,#25526,.T.); #80873=EDGE_CURVE('',#64905,#64903,#25527,.T.); #80874=EDGE_CURVE('',#64906,#64904,#25528,.T.); #80875=EDGE_CURVE('',#64906,#64907,#25529,.T.); #80876=EDGE_CURVE('',#64907,#64905,#25530,.T.); #80877=EDGE_CURVE('',#64908,#64906,#25531,.T.); #80878=EDGE_CURVE('',#64908,#64909,#25532,.T.); #80879=EDGE_CURVE('',#64909,#64907,#25533,.T.); #80880=EDGE_CURVE('',#64910,#64908,#25534,.T.); #80881=EDGE_CURVE('',#64910,#64911,#25535,.T.); #80882=EDGE_CURVE('',#64911,#64909,#25536,.T.); #80883=EDGE_CURVE('',#64912,#64910,#25537,.T.); #80884=EDGE_CURVE('',#64912,#64913,#25538,.T.); #80885=EDGE_CURVE('',#64913,#64911,#25539,.T.); #80886=EDGE_CURVE('',#64914,#64912,#25540,.T.); #80887=EDGE_CURVE('',#64914,#64915,#25541,.T.); #80888=EDGE_CURVE('',#64915,#64913,#25542,.T.); #80889=EDGE_CURVE('',#64916,#64914,#25543,.T.); #80890=EDGE_CURVE('',#64916,#64917,#25544,.T.); #80891=EDGE_CURVE('',#64917,#64915,#25545,.T.); #80892=EDGE_CURVE('',#64918,#64916,#25546,.T.); #80893=EDGE_CURVE('',#64918,#64919,#25547,.T.); #80894=EDGE_CURVE('',#64919,#64917,#25548,.T.); #80895=EDGE_CURVE('',#64920,#64918,#25549,.T.); #80896=EDGE_CURVE('',#64920,#64921,#25550,.T.); #80897=EDGE_CURVE('',#64921,#64919,#25551,.T.); #80898=EDGE_CURVE('',#64922,#64920,#25552,.T.); #80899=EDGE_CURVE('',#64922,#64923,#25553,.T.); #80900=EDGE_CURVE('',#64923,#64921,#25554,.T.); #80901=EDGE_CURVE('',#64924,#64922,#25555,.T.); #80902=EDGE_CURVE('',#64924,#64925,#25556,.T.); #80903=EDGE_CURVE('',#64925,#64923,#25557,.T.); #80904=EDGE_CURVE('',#64926,#64924,#58535,.T.); #80905=EDGE_CURVE('',#64926,#64927,#25558,.T.); #80906=EDGE_CURVE('',#64927,#64925,#58536,.T.); #80907=EDGE_CURVE('',#64928,#64926,#25559,.T.); #80908=EDGE_CURVE('',#64928,#64929,#25560,.T.); #80909=EDGE_CURVE('',#64929,#64927,#25561,.T.); #80910=EDGE_CURVE('',#64930,#64928,#25562,.T.); #80911=EDGE_CURVE('',#64930,#64931,#25563,.T.); #80912=EDGE_CURVE('',#64931,#64929,#25564,.T.); #80913=EDGE_CURVE('',#64932,#64930,#25565,.T.); #80914=EDGE_CURVE('',#64932,#64933,#25566,.T.); #80915=EDGE_CURVE('',#64933,#64931,#25567,.T.); #80916=EDGE_CURVE('',#64934,#64932,#25568,.T.); #80917=EDGE_CURVE('',#64934,#64935,#25569,.T.); #80918=EDGE_CURVE('',#64935,#64933,#25570,.T.); #80919=EDGE_CURVE('',#64936,#64934,#25571,.T.); #80920=EDGE_CURVE('',#64936,#64937,#25572,.T.); #80921=EDGE_CURVE('',#64937,#64935,#25573,.T.); #80922=EDGE_CURVE('',#64938,#64936,#25574,.T.); #80923=EDGE_CURVE('',#64938,#64939,#25575,.T.); #80924=EDGE_CURVE('',#64939,#64937,#25576,.T.); #80925=EDGE_CURVE('',#64940,#64938,#25577,.T.); #80926=EDGE_CURVE('',#64940,#64941,#25578,.T.); #80927=EDGE_CURVE('',#64941,#64939,#25579,.T.); #80928=EDGE_CURVE('',#64942,#64940,#25580,.T.); #80929=EDGE_CURVE('',#64942,#64943,#25581,.T.); #80930=EDGE_CURVE('',#64943,#64941,#25582,.T.); #80931=EDGE_CURVE('',#64944,#64942,#25583,.T.); #80932=EDGE_CURVE('',#64944,#64945,#25584,.T.); #80933=EDGE_CURVE('',#64945,#64943,#25585,.T.); #80934=EDGE_CURVE('',#64946,#64944,#25586,.T.); #80935=EDGE_CURVE('',#64946,#64947,#25587,.T.); #80936=EDGE_CURVE('',#64947,#64945,#25588,.T.); #80937=EDGE_CURVE('',#64948,#64946,#25589,.T.); #80938=EDGE_CURVE('',#64948,#64949,#25590,.T.); #80939=EDGE_CURVE('',#64949,#64947,#25591,.T.); #80940=EDGE_CURVE('',#64950,#64948,#58537,.T.); #80941=EDGE_CURVE('',#64950,#64951,#25592,.T.); #80942=EDGE_CURVE('',#64951,#64949,#58538,.T.); #80943=EDGE_CURVE('',#64952,#64950,#25593,.T.); #80944=EDGE_CURVE('',#64952,#64953,#25594,.T.); #80945=EDGE_CURVE('',#64953,#64951,#25595,.T.); #80946=EDGE_CURVE('',#64954,#64952,#25596,.T.); #80947=EDGE_CURVE('',#64954,#64955,#25597,.T.); #80948=EDGE_CURVE('',#64955,#64953,#25598,.T.); #80949=EDGE_CURVE('',#64956,#64954,#58539,.T.); #80950=EDGE_CURVE('',#64956,#64957,#25599,.T.); #80951=EDGE_CURVE('',#64957,#64955,#58540,.T.); #80952=EDGE_CURVE('',#64958,#64956,#25600,.T.); #80953=EDGE_CURVE('',#64958,#64959,#25601,.T.); #80954=EDGE_CURVE('',#64959,#64957,#25602,.T.); #80955=EDGE_CURVE('',#64960,#64958,#25603,.T.); #80956=EDGE_CURVE('',#64960,#64961,#25604,.T.); #80957=EDGE_CURVE('',#64961,#64959,#25605,.T.); #80958=EDGE_CURVE('',#64962,#64960,#25606,.T.); #80959=EDGE_CURVE('',#64962,#64963,#25607,.T.); #80960=EDGE_CURVE('',#64963,#64961,#25608,.T.); #80961=EDGE_CURVE('',#64964,#64962,#25609,.T.); #80962=EDGE_CURVE('',#64964,#64965,#25610,.T.); #80963=EDGE_CURVE('',#64965,#64963,#25611,.T.); #80964=EDGE_CURVE('',#64966,#64964,#25612,.T.); #80965=EDGE_CURVE('',#64966,#64967,#25613,.T.); #80966=EDGE_CURVE('',#64967,#64965,#25614,.T.); #80967=EDGE_CURVE('',#64968,#64966,#25615,.T.); #80968=EDGE_CURVE('',#64968,#64969,#25616,.T.); #80969=EDGE_CURVE('',#64969,#64967,#25617,.T.); #80970=EDGE_CURVE('',#64970,#64968,#25618,.T.); #80971=EDGE_CURVE('',#64970,#64971,#25619,.T.); #80972=EDGE_CURVE('',#64971,#64969,#25620,.T.); #80973=EDGE_CURVE('',#64972,#64970,#25621,.T.); #80974=EDGE_CURVE('',#64972,#64973,#25622,.T.); #80975=EDGE_CURVE('',#64973,#64971,#25623,.T.); #80976=EDGE_CURVE('',#64974,#64972,#25624,.T.); #80977=EDGE_CURVE('',#64974,#64975,#25625,.T.); #80978=EDGE_CURVE('',#64975,#64973,#25626,.T.); #80979=EDGE_CURVE('',#64976,#64974,#25627,.T.); #80980=EDGE_CURVE('',#64976,#64977,#25628,.T.); #80981=EDGE_CURVE('',#64977,#64975,#25629,.T.); #80982=EDGE_CURVE('',#64978,#64976,#25630,.T.); #80983=EDGE_CURVE('',#64978,#64979,#25631,.T.); #80984=EDGE_CURVE('',#64979,#64977,#25632,.T.); #80985=EDGE_CURVE('',#64980,#64978,#25633,.T.); #80986=EDGE_CURVE('',#64980,#64981,#25634,.T.); #80987=EDGE_CURVE('',#64981,#64979,#25635,.T.); #80988=EDGE_CURVE('',#64982,#64980,#25636,.T.); #80989=EDGE_CURVE('',#64982,#64983,#25637,.T.); #80990=EDGE_CURVE('',#64983,#64981,#25638,.T.); #80991=EDGE_CURVE('',#64984,#64982,#25639,.T.); #80992=EDGE_CURVE('',#64984,#64985,#25640,.T.); #80993=EDGE_CURVE('',#64985,#64983,#25641,.T.); #80994=EDGE_CURVE('',#64986,#64984,#25642,.T.); #80995=EDGE_CURVE('',#64986,#64987,#25643,.T.); #80996=EDGE_CURVE('',#64987,#64985,#25644,.T.); #80997=EDGE_CURVE('',#64988,#64986,#25645,.T.); #80998=EDGE_CURVE('',#64988,#64989,#25646,.T.); #80999=EDGE_CURVE('',#64989,#64987,#25647,.T.); #81000=EDGE_CURVE('',#64990,#64988,#25648,.T.); #81001=EDGE_CURVE('',#64990,#64991,#25649,.T.); #81002=EDGE_CURVE('',#64991,#64989,#25650,.T.); #81003=EDGE_CURVE('',#64992,#64990,#25651,.T.); #81004=EDGE_CURVE('',#64992,#64993,#25652,.T.); #81005=EDGE_CURVE('',#64993,#64991,#25653,.T.); #81006=EDGE_CURVE('',#64994,#64992,#25654,.T.); #81007=EDGE_CURVE('',#64994,#64995,#25655,.T.); #81008=EDGE_CURVE('',#64995,#64993,#25656,.T.); #81009=EDGE_CURVE('',#64996,#64994,#25657,.T.); #81010=EDGE_CURVE('',#64996,#64997,#25658,.T.); #81011=EDGE_CURVE('',#64997,#64995,#25659,.T.); #81012=EDGE_CURVE('',#64998,#64996,#25660,.T.); #81013=EDGE_CURVE('',#64998,#64999,#25661,.T.); #81014=EDGE_CURVE('',#64999,#64997,#25662,.T.); #81015=EDGE_CURVE('',#65000,#64998,#25663,.T.); #81016=EDGE_CURVE('',#65000,#65001,#25664,.T.); #81017=EDGE_CURVE('',#65001,#64999,#25665,.T.); #81018=EDGE_CURVE('',#65002,#65000,#25666,.T.); #81019=EDGE_CURVE('',#65002,#65003,#25667,.T.); #81020=EDGE_CURVE('',#65003,#65001,#25668,.T.); #81021=EDGE_CURVE('',#65004,#65002,#25669,.T.); #81022=EDGE_CURVE('',#65004,#65005,#25670,.T.); #81023=EDGE_CURVE('',#65005,#65003,#25671,.T.); #81024=EDGE_CURVE('',#65006,#65004,#25672,.T.); #81025=EDGE_CURVE('',#65006,#65007,#25673,.T.); #81026=EDGE_CURVE('',#65007,#65005,#25674,.T.); #81027=EDGE_CURVE('',#65008,#65006,#58541,.T.); #81028=EDGE_CURVE('',#65008,#65009,#25675,.T.); #81029=EDGE_CURVE('',#65009,#65007,#58542,.T.); #81030=EDGE_CURVE('',#65010,#65008,#25676,.T.); #81031=EDGE_CURVE('',#65010,#65011,#25677,.T.); #81032=EDGE_CURVE('',#65011,#65009,#25678,.T.); #81033=EDGE_CURVE('',#65012,#65010,#58543,.T.); #81034=EDGE_CURVE('',#65012,#65013,#25679,.T.); #81035=EDGE_CURVE('',#65013,#65011,#58544,.T.); #81036=EDGE_CURVE('',#65014,#65012,#58545,.T.); #81037=EDGE_CURVE('',#65014,#65015,#25680,.T.); #81038=EDGE_CURVE('',#65015,#65013,#58546,.T.); #81039=EDGE_CURVE('',#65016,#65014,#25681,.T.); #81040=EDGE_CURVE('',#65016,#65017,#25682,.T.); #81041=EDGE_CURVE('',#65017,#65015,#25683,.T.); #81042=EDGE_CURVE('',#65018,#65016,#58547,.T.); #81043=EDGE_CURVE('',#65018,#65019,#25684,.T.); #81044=EDGE_CURVE('',#65019,#65017,#58548,.T.); #81045=EDGE_CURVE('',#65020,#65018,#25685,.T.); #81046=EDGE_CURVE('',#65020,#65021,#25686,.T.); #81047=EDGE_CURVE('',#65021,#65019,#25687,.T.); #81048=EDGE_CURVE('',#65022,#65020,#25688,.T.); #81049=EDGE_CURVE('',#65022,#65023,#25689,.T.); #81050=EDGE_CURVE('',#65023,#65021,#25690,.T.); #81051=EDGE_CURVE('',#65024,#65022,#25691,.T.); #81052=EDGE_CURVE('',#65024,#65025,#25692,.T.); #81053=EDGE_CURVE('',#65025,#65023,#25693,.T.); #81054=EDGE_CURVE('',#65026,#65024,#25694,.T.); #81055=EDGE_CURVE('',#65026,#65027,#25695,.T.); #81056=EDGE_CURVE('',#65027,#65025,#25696,.T.); #81057=EDGE_CURVE('',#65028,#65026,#25697,.T.); #81058=EDGE_CURVE('',#65028,#65029,#25698,.T.); #81059=EDGE_CURVE('',#65029,#65027,#25699,.T.); #81060=EDGE_CURVE('',#65030,#65028,#25700,.T.); #81061=EDGE_CURVE('',#65030,#65031,#25701,.T.); #81062=EDGE_CURVE('',#65031,#65029,#25702,.T.); #81063=EDGE_CURVE('',#65032,#65030,#25703,.T.); #81064=EDGE_CURVE('',#65032,#65033,#25704,.T.); #81065=EDGE_CURVE('',#65033,#65031,#25705,.T.); #81066=EDGE_CURVE('',#65034,#65032,#25706,.T.); #81067=EDGE_CURVE('',#65034,#65035,#25707,.T.); #81068=EDGE_CURVE('',#65035,#65033,#25708,.T.); #81069=EDGE_CURVE('',#65036,#65034,#25709,.T.); #81070=EDGE_CURVE('',#65036,#65037,#25710,.T.); #81071=EDGE_CURVE('',#65037,#65035,#25711,.T.); #81072=EDGE_CURVE('',#65038,#65036,#25712,.T.); #81073=EDGE_CURVE('',#65038,#65039,#25713,.T.); #81074=EDGE_CURVE('',#65039,#65037,#25714,.T.); #81075=EDGE_CURVE('',#65040,#65038,#25715,.T.); #81076=EDGE_CURVE('',#65040,#65041,#25716,.T.); #81077=EDGE_CURVE('',#65041,#65039,#25717,.T.); #81078=EDGE_CURVE('',#65042,#65040,#25718,.T.); #81079=EDGE_CURVE('',#65042,#65043,#25719,.T.); #81080=EDGE_CURVE('',#65043,#65041,#25720,.T.); #81081=EDGE_CURVE('',#65044,#65042,#25721,.T.); #81082=EDGE_CURVE('',#65044,#65045,#25722,.T.); #81083=EDGE_CURVE('',#65045,#65043,#25723,.T.); #81084=EDGE_CURVE('',#65046,#65044,#25724,.T.); #81085=EDGE_CURVE('',#65046,#65047,#25725,.T.); #81086=EDGE_CURVE('',#65047,#65045,#25726,.T.); #81087=EDGE_CURVE('',#65048,#65046,#25727,.T.); #81088=EDGE_CURVE('',#65048,#65049,#25728,.T.); #81089=EDGE_CURVE('',#65049,#65047,#25729,.T.); #81090=EDGE_CURVE('',#65050,#65048,#25730,.T.); #81091=EDGE_CURVE('',#65050,#65051,#25731,.T.); #81092=EDGE_CURVE('',#65051,#65049,#25732,.T.); #81093=EDGE_CURVE('',#65052,#65050,#25733,.T.); #81094=EDGE_CURVE('',#65052,#65053,#25734,.T.); #81095=EDGE_CURVE('',#65053,#65051,#25735,.T.); #81096=EDGE_CURVE('',#65054,#65052,#25736,.T.); #81097=EDGE_CURVE('',#65054,#65055,#25737,.T.); #81098=EDGE_CURVE('',#65055,#65053,#25738,.T.); #81099=EDGE_CURVE('',#65056,#65054,#25739,.T.); #81100=EDGE_CURVE('',#65056,#65057,#25740,.T.); #81101=EDGE_CURVE('',#65057,#65055,#25741,.T.); #81102=EDGE_CURVE('',#65058,#65056,#58549,.T.); #81103=EDGE_CURVE('',#65058,#65059,#25742,.T.); #81104=EDGE_CURVE('',#65059,#65057,#58550,.T.); #81105=EDGE_CURVE('',#65060,#65058,#25743,.T.); #81106=EDGE_CURVE('',#65060,#65061,#25744,.T.); #81107=EDGE_CURVE('',#65061,#65059,#25745,.T.); #81108=EDGE_CURVE('',#65062,#65060,#58551,.T.); #81109=EDGE_CURVE('',#65062,#65063,#25746,.T.); #81110=EDGE_CURVE('',#65063,#65061,#58552,.T.); #81111=EDGE_CURVE('',#65064,#65062,#25747,.T.); #81112=EDGE_CURVE('',#65064,#65065,#25748,.T.); #81113=EDGE_CURVE('',#65065,#65063,#25749,.T.); #81114=EDGE_CURVE('',#65066,#65064,#25750,.T.); #81115=EDGE_CURVE('',#65066,#65067,#25751,.T.); #81116=EDGE_CURVE('',#65067,#65065,#25752,.T.); #81117=EDGE_CURVE('',#65068,#65066,#25753,.T.); #81118=EDGE_CURVE('',#65068,#65069,#25754,.T.); #81119=EDGE_CURVE('',#65069,#65067,#25755,.T.); #81120=EDGE_CURVE('',#65070,#65068,#25756,.T.); #81121=EDGE_CURVE('',#65070,#65071,#25757,.T.); #81122=EDGE_CURVE('',#65071,#65069,#25758,.T.); #81123=EDGE_CURVE('',#65072,#65070,#25759,.T.); #81124=EDGE_CURVE('',#65072,#65073,#25760,.T.); #81125=EDGE_CURVE('',#65073,#65071,#25761,.T.); #81126=EDGE_CURVE('',#65074,#65072,#25762,.T.); #81127=EDGE_CURVE('',#65074,#65075,#25763,.T.); #81128=EDGE_CURVE('',#65075,#65073,#25764,.T.); #81129=EDGE_CURVE('',#65076,#65074,#25765,.T.); #81130=EDGE_CURVE('',#65076,#65077,#25766,.T.); #81131=EDGE_CURVE('',#65077,#65075,#25767,.T.); #81132=EDGE_CURVE('',#65078,#65076,#25768,.T.); #81133=EDGE_CURVE('',#65078,#65079,#25769,.T.); #81134=EDGE_CURVE('',#65079,#65077,#25770,.T.); #81135=EDGE_CURVE('',#65080,#65078,#25771,.T.); #81136=EDGE_CURVE('',#65080,#65081,#25772,.T.); #81137=EDGE_CURVE('',#65081,#65079,#25773,.T.); #81138=EDGE_CURVE('',#65082,#65080,#25774,.T.); #81139=EDGE_CURVE('',#65082,#65083,#25775,.T.); #81140=EDGE_CURVE('',#65083,#65081,#25776,.T.); #81141=EDGE_CURVE('',#65084,#65082,#25777,.T.); #81142=EDGE_CURVE('',#65084,#65085,#25778,.T.); #81143=EDGE_CURVE('',#65085,#65083,#25779,.T.); #81144=EDGE_CURVE('',#65086,#65084,#25780,.T.); #81145=EDGE_CURVE('',#65086,#65087,#25781,.T.); #81146=EDGE_CURVE('',#65087,#65085,#25782,.T.); #81147=EDGE_CURVE('',#65088,#65086,#25783,.T.); #81148=EDGE_CURVE('',#65088,#65089,#25784,.T.); #81149=EDGE_CURVE('',#65089,#65087,#25785,.T.); #81150=EDGE_CURVE('',#65090,#65088,#58553,.T.); #81151=EDGE_CURVE('',#65090,#65091,#25786,.T.); #81152=EDGE_CURVE('',#65091,#65089,#58554,.T.); #81153=EDGE_CURVE('',#65092,#65090,#25787,.T.); #81154=EDGE_CURVE('',#65092,#65093,#25788,.T.); #81155=EDGE_CURVE('',#65093,#65091,#25789,.T.); #81156=EDGE_CURVE('',#64755,#65092,#25790,.T.); #81157=EDGE_CURVE('',#64757,#65093,#25791,.T.); #81158=EDGE_CURVE('',#65094,#65095,#25792,.T.); #81159=EDGE_CURVE('',#65094,#65096,#25793,.T.); #81160=EDGE_CURVE('',#65096,#65097,#25794,.T.); #81161=EDGE_CURVE('',#65095,#65097,#25795,.T.); #81162=EDGE_CURVE('',#65098,#65094,#25796,.T.); #81163=EDGE_CURVE('',#65098,#65099,#25797,.T.); #81164=EDGE_CURVE('',#65099,#65096,#25798,.T.); #81165=EDGE_CURVE('',#65100,#65098,#25799,.T.); #81166=EDGE_CURVE('',#65100,#65101,#25800,.T.); #81167=EDGE_CURVE('',#65101,#65099,#25801,.T.); #81168=EDGE_CURVE('',#65102,#65100,#25802,.T.); #81169=EDGE_CURVE('',#65102,#65103,#25803,.T.); #81170=EDGE_CURVE('',#65103,#65101,#25804,.T.); #81171=EDGE_CURVE('',#65104,#65102,#25805,.T.); #81172=EDGE_CURVE('',#65104,#65105,#25806,.T.); #81173=EDGE_CURVE('',#65105,#65103,#25807,.T.); #81174=EDGE_CURVE('',#65106,#65104,#25808,.T.); #81175=EDGE_CURVE('',#65106,#65107,#25809,.T.); #81176=EDGE_CURVE('',#65107,#65105,#25810,.T.); #81177=EDGE_CURVE('',#65108,#65106,#25811,.T.); #81178=EDGE_CURVE('',#65108,#65109,#25812,.T.); #81179=EDGE_CURVE('',#65109,#65107,#25813,.T.); #81180=EDGE_CURVE('',#65110,#65108,#25814,.T.); #81181=EDGE_CURVE('',#65110,#65111,#25815,.T.); #81182=EDGE_CURVE('',#65111,#65109,#25816,.T.); #81183=EDGE_CURVE('',#65112,#65110,#25817,.T.); #81184=EDGE_CURVE('',#65112,#65113,#25818,.T.); #81185=EDGE_CURVE('',#65113,#65111,#25819,.T.); #81186=EDGE_CURVE('',#65114,#65112,#25820,.T.); #81187=EDGE_CURVE('',#65114,#65115,#25821,.T.); #81188=EDGE_CURVE('',#65115,#65113,#25822,.T.); #81189=EDGE_CURVE('',#65116,#65114,#25823,.T.); #81190=EDGE_CURVE('',#65116,#65117,#25824,.T.); #81191=EDGE_CURVE('',#65117,#65115,#25825,.T.); #81192=EDGE_CURVE('',#65118,#65116,#25826,.T.); #81193=EDGE_CURVE('',#65118,#65119,#25827,.T.); #81194=EDGE_CURVE('',#65119,#65117,#25828,.T.); #81195=EDGE_CURVE('',#65120,#65118,#25829,.T.); #81196=EDGE_CURVE('',#65120,#65121,#25830,.T.); #81197=EDGE_CURVE('',#65121,#65119,#25831,.T.); #81198=EDGE_CURVE('',#65122,#65120,#25832,.T.); #81199=EDGE_CURVE('',#65122,#65123,#25833,.T.); #81200=EDGE_CURVE('',#65123,#65121,#25834,.T.); #81201=EDGE_CURVE('',#65124,#65122,#58555,.T.); #81202=EDGE_CURVE('',#65124,#65125,#25835,.T.); #81203=EDGE_CURVE('',#65125,#65123,#58556,.T.); #81204=EDGE_CURVE('',#65126,#65124,#25836,.T.); #81205=EDGE_CURVE('',#65126,#65127,#25837,.T.); #81206=EDGE_CURVE('',#65127,#65125,#25838,.T.); #81207=EDGE_CURVE('',#65128,#65126,#25839,.T.); #81208=EDGE_CURVE('',#65128,#65129,#25840,.T.); #81209=EDGE_CURVE('',#65129,#65127,#25841,.T.); #81210=EDGE_CURVE('',#65130,#65128,#25842,.T.); #81211=EDGE_CURVE('',#65130,#65131,#25843,.T.); #81212=EDGE_CURVE('',#65131,#65129,#25844,.T.); #81213=EDGE_CURVE('',#65132,#65130,#25845,.T.); #81214=EDGE_CURVE('',#65132,#65133,#25846,.T.); #81215=EDGE_CURVE('',#65133,#65131,#25847,.T.); #81216=EDGE_CURVE('',#65134,#65132,#25848,.T.); #81217=EDGE_CURVE('',#65134,#65135,#25849,.T.); #81218=EDGE_CURVE('',#65135,#65133,#25850,.T.); #81219=EDGE_CURVE('',#65136,#65134,#25851,.T.); #81220=EDGE_CURVE('',#65136,#65137,#25852,.T.); #81221=EDGE_CURVE('',#65137,#65135,#25853,.T.); #81222=EDGE_CURVE('',#65138,#65136,#25854,.T.); #81223=EDGE_CURVE('',#65138,#65139,#25855,.T.); #81224=EDGE_CURVE('',#65139,#65137,#25856,.T.); #81225=EDGE_CURVE('',#65140,#65138,#25857,.T.); #81226=EDGE_CURVE('',#65140,#65141,#25858,.T.); #81227=EDGE_CURVE('',#65141,#65139,#25859,.T.); #81228=EDGE_CURVE('',#65142,#65140,#25860,.T.); #81229=EDGE_CURVE('',#65142,#65143,#25861,.T.); #81230=EDGE_CURVE('',#65143,#65141,#25862,.T.); #81231=EDGE_CURVE('',#65144,#65142,#25863,.T.); #81232=EDGE_CURVE('',#65144,#65145,#25864,.T.); #81233=EDGE_CURVE('',#65145,#65143,#25865,.T.); #81234=EDGE_CURVE('',#65146,#65144,#25866,.T.); #81235=EDGE_CURVE('',#65146,#65147,#25867,.T.); #81236=EDGE_CURVE('',#65147,#65145,#25868,.T.); #81237=EDGE_CURVE('',#65148,#65146,#25869,.T.); #81238=EDGE_CURVE('',#65148,#65149,#25870,.T.); #81239=EDGE_CURVE('',#65149,#65147,#25871,.T.); #81240=EDGE_CURVE('',#65150,#65148,#58557,.T.); #81241=EDGE_CURVE('',#65150,#65151,#25872,.T.); #81242=EDGE_CURVE('',#65151,#65149,#58558,.T.); #81243=EDGE_CURVE('',#65152,#65150,#25873,.T.); #81244=EDGE_CURVE('',#65152,#65153,#25874,.T.); #81245=EDGE_CURVE('',#65153,#65151,#25875,.T.); #81246=EDGE_CURVE('',#65154,#65152,#25876,.T.); #81247=EDGE_CURVE('',#65154,#65155,#25877,.T.); #81248=EDGE_CURVE('',#65155,#65153,#25878,.T.); #81249=EDGE_CURVE('',#65156,#65154,#25879,.T.); #81250=EDGE_CURVE('',#65156,#65157,#25880,.T.); #81251=EDGE_CURVE('',#65157,#65155,#25881,.T.); #81252=EDGE_CURVE('',#65158,#65156,#25882,.T.); #81253=EDGE_CURVE('',#65158,#65159,#25883,.T.); #81254=EDGE_CURVE('',#65159,#65157,#25884,.T.); #81255=EDGE_CURVE('',#65160,#65158,#25885,.T.); #81256=EDGE_CURVE('',#65160,#65161,#25886,.T.); #81257=EDGE_CURVE('',#65161,#65159,#25887,.T.); #81258=EDGE_CURVE('',#65162,#65160,#25888,.T.); #81259=EDGE_CURVE('',#65162,#65163,#25889,.T.); #81260=EDGE_CURVE('',#65163,#65161,#25890,.T.); #81261=EDGE_CURVE('',#65164,#65162,#25891,.T.); #81262=EDGE_CURVE('',#65164,#65165,#25892,.T.); #81263=EDGE_CURVE('',#65165,#65163,#25893,.T.); #81264=EDGE_CURVE('',#65166,#65164,#25894,.T.); #81265=EDGE_CURVE('',#65166,#65167,#25895,.T.); #81266=EDGE_CURVE('',#65167,#65165,#25896,.T.); #81267=EDGE_CURVE('',#65168,#65166,#25897,.T.); #81268=EDGE_CURVE('',#65168,#65169,#25898,.T.); #81269=EDGE_CURVE('',#65169,#65167,#25899,.T.); #81270=EDGE_CURVE('',#65170,#65168,#25900,.T.); #81271=EDGE_CURVE('',#65170,#65171,#25901,.T.); #81272=EDGE_CURVE('',#65171,#65169,#25902,.T.); #81273=EDGE_CURVE('',#65172,#65170,#25903,.T.); #81274=EDGE_CURVE('',#65172,#65173,#25904,.T.); #81275=EDGE_CURVE('',#65173,#65171,#25905,.T.); #81276=EDGE_CURVE('',#65174,#65172,#58559,.T.); #81277=EDGE_CURVE('',#65174,#65175,#25906,.T.); #81278=EDGE_CURVE('',#65175,#65173,#58560,.T.); #81279=EDGE_CURVE('',#65176,#65174,#25907,.T.); #81280=EDGE_CURVE('',#65176,#65177,#25908,.T.); #81281=EDGE_CURVE('',#65177,#65175,#25909,.T.); #81282=EDGE_CURVE('',#65178,#65176,#58561,.T.); #81283=EDGE_CURVE('',#65178,#65179,#25910,.T.); #81284=EDGE_CURVE('',#65179,#65177,#58562,.T.); #81285=EDGE_CURVE('',#65180,#65178,#25911,.T.); #81286=EDGE_CURVE('',#65180,#65181,#25912,.T.); #81287=EDGE_CURVE('',#65181,#65179,#25913,.T.); #81288=EDGE_CURVE('',#65182,#65180,#25914,.T.); #81289=EDGE_CURVE('',#65182,#65183,#25915,.T.); #81290=EDGE_CURVE('',#65183,#65181,#25916,.T.); #81291=EDGE_CURVE('',#65184,#65182,#25917,.T.); #81292=EDGE_CURVE('',#65184,#65185,#25918,.T.); #81293=EDGE_CURVE('',#65185,#65183,#25919,.T.); #81294=EDGE_CURVE('',#65186,#65184,#25920,.T.); #81295=EDGE_CURVE('',#65186,#65187,#25921,.T.); #81296=EDGE_CURVE('',#65187,#65185,#25922,.T.); #81297=EDGE_CURVE('',#65188,#65186,#25923,.T.); #81298=EDGE_CURVE('',#65188,#65189,#25924,.T.); #81299=EDGE_CURVE('',#65189,#65187,#25925,.T.); #81300=EDGE_CURVE('',#65190,#65188,#58563,.T.); #81301=EDGE_CURVE('',#65190,#65191,#25926,.T.); #81302=EDGE_CURVE('',#65191,#65189,#58564,.T.); #81303=EDGE_CURVE('',#65192,#65190,#25927,.T.); #81304=EDGE_CURVE('',#65192,#65193,#25928,.T.); #81305=EDGE_CURVE('',#65193,#65191,#25929,.T.); #81306=EDGE_CURVE('',#65194,#65192,#25930,.T.); #81307=EDGE_CURVE('',#65194,#65195,#25931,.T.); #81308=EDGE_CURVE('',#65195,#65193,#25932,.T.); #81309=EDGE_CURVE('',#65196,#65194,#25933,.T.); #81310=EDGE_CURVE('',#65196,#65197,#25934,.T.); #81311=EDGE_CURVE('',#65197,#65195,#25935,.T.); #81312=EDGE_CURVE('',#65198,#65196,#25936,.T.); #81313=EDGE_CURVE('',#65198,#65199,#25937,.T.); #81314=EDGE_CURVE('',#65199,#65197,#25938,.T.); #81315=EDGE_CURVE('',#65200,#65198,#25939,.T.); #81316=EDGE_CURVE('',#65200,#65201,#25940,.T.); #81317=EDGE_CURVE('',#65201,#65199,#25941,.T.); #81318=EDGE_CURVE('',#65202,#65200,#25942,.T.); #81319=EDGE_CURVE('',#65202,#65203,#25943,.T.); #81320=EDGE_CURVE('',#65203,#65201,#25944,.T.); #81321=EDGE_CURVE('',#65204,#65202,#25945,.T.); #81322=EDGE_CURVE('',#65204,#65205,#25946,.T.); #81323=EDGE_CURVE('',#65205,#65203,#25947,.T.); #81324=EDGE_CURVE('',#65206,#65204,#25948,.T.); #81325=EDGE_CURVE('',#65206,#65207,#25949,.T.); #81326=EDGE_CURVE('',#65207,#65205,#25950,.T.); #81327=EDGE_CURVE('',#65208,#65206,#25951,.T.); #81328=EDGE_CURVE('',#65208,#65209,#25952,.T.); #81329=EDGE_CURVE('',#65209,#65207,#25953,.T.); #81330=EDGE_CURVE('',#65210,#65208,#25954,.T.); #81331=EDGE_CURVE('',#65210,#65211,#25955,.T.); #81332=EDGE_CURVE('',#65211,#65209,#25956,.T.); #81333=EDGE_CURVE('',#65212,#65210,#25957,.T.); #81334=EDGE_CURVE('',#65212,#65213,#25958,.T.); #81335=EDGE_CURVE('',#65213,#65211,#25959,.T.); #81336=EDGE_CURVE('',#65214,#65212,#25960,.T.); #81337=EDGE_CURVE('',#65214,#65215,#25961,.T.); #81338=EDGE_CURVE('',#65215,#65213,#25962,.T.); #81339=EDGE_CURVE('',#65216,#65214,#25963,.T.); #81340=EDGE_CURVE('',#65216,#65217,#25964,.T.); #81341=EDGE_CURVE('',#65217,#65215,#25965,.T.); #81342=EDGE_CURVE('',#65218,#65216,#25966,.T.); #81343=EDGE_CURVE('',#65218,#65219,#25967,.T.); #81344=EDGE_CURVE('',#65219,#65217,#25968,.T.); #81345=EDGE_CURVE('',#65220,#65218,#25969,.T.); #81346=EDGE_CURVE('',#65220,#65221,#25970,.T.); #81347=EDGE_CURVE('',#65221,#65219,#25971,.T.); #81348=EDGE_CURVE('',#65222,#65220,#25972,.T.); #81349=EDGE_CURVE('',#65222,#65223,#25973,.T.); #81350=EDGE_CURVE('',#65223,#65221,#25974,.T.); #81351=EDGE_CURVE('',#65224,#65222,#25975,.T.); #81352=EDGE_CURVE('',#65224,#65225,#25976,.T.); #81353=EDGE_CURVE('',#65225,#65223,#25977,.T.); #81354=EDGE_CURVE('',#65226,#65224,#25978,.T.); #81355=EDGE_CURVE('',#65226,#65227,#25979,.T.); #81356=EDGE_CURVE('',#65227,#65225,#25980,.T.); #81357=EDGE_CURVE('',#65228,#65226,#25981,.T.); #81358=EDGE_CURVE('',#65228,#65229,#25982,.T.); #81359=EDGE_CURVE('',#65229,#65227,#25983,.T.); #81360=EDGE_CURVE('',#65230,#65228,#25984,.T.); #81361=EDGE_CURVE('',#65230,#65231,#25985,.T.); #81362=EDGE_CURVE('',#65231,#65229,#25986,.T.); #81363=EDGE_CURVE('',#65232,#65230,#25987,.T.); #81364=EDGE_CURVE('',#65232,#65233,#25988,.T.); #81365=EDGE_CURVE('',#65233,#65231,#25989,.T.); #81366=EDGE_CURVE('',#65234,#65232,#25990,.T.); #81367=EDGE_CURVE('',#65234,#65235,#25991,.T.); #81368=EDGE_CURVE('',#65235,#65233,#25992,.T.); #81369=EDGE_CURVE('',#65236,#65234,#25993,.T.); #81370=EDGE_CURVE('',#65236,#65237,#25994,.T.); #81371=EDGE_CURVE('',#65237,#65235,#25995,.T.); #81372=EDGE_CURVE('',#65238,#65236,#25996,.T.); #81373=EDGE_CURVE('',#65238,#65239,#25997,.T.); #81374=EDGE_CURVE('',#65239,#65237,#25998,.T.); #81375=EDGE_CURVE('',#65240,#65238,#25999,.T.); #81376=EDGE_CURVE('',#65240,#65241,#26000,.T.); #81377=EDGE_CURVE('',#65241,#65239,#26001,.T.); #81378=EDGE_CURVE('',#65242,#65240,#26002,.T.); #81379=EDGE_CURVE('',#65242,#65243,#26003,.T.); #81380=EDGE_CURVE('',#65243,#65241,#26004,.T.); #81381=EDGE_CURVE('',#65244,#65242,#58565,.T.); #81382=EDGE_CURVE('',#65244,#65245,#26005,.T.); #81383=EDGE_CURVE('',#65245,#65243,#58566,.T.); #81384=EDGE_CURVE('',#65246,#65244,#26006,.T.); #81385=EDGE_CURVE('',#65246,#65247,#26007,.T.); #81386=EDGE_CURVE('',#65247,#65245,#26008,.T.); #81387=EDGE_CURVE('',#65248,#65246,#58567,.T.); #81388=EDGE_CURVE('',#65248,#65249,#26009,.T.); #81389=EDGE_CURVE('',#65249,#65247,#58568,.T.); #81390=EDGE_CURVE('',#65250,#65248,#26010,.T.); #81391=EDGE_CURVE('',#65250,#65251,#26011,.T.); #81392=EDGE_CURVE('',#65251,#65249,#26012,.T.); #81393=EDGE_CURVE('',#65252,#65250,#26013,.T.); #81394=EDGE_CURVE('',#65252,#65253,#26014,.T.); #81395=EDGE_CURVE('',#65253,#65251,#26015,.T.); #81396=EDGE_CURVE('',#65254,#65252,#26016,.T.); #81397=EDGE_CURVE('',#65254,#65255,#26017,.T.); #81398=EDGE_CURVE('',#65255,#65253,#26018,.T.); #81399=EDGE_CURVE('',#65256,#65254,#26019,.T.); #81400=EDGE_CURVE('',#65256,#65257,#26020,.T.); #81401=EDGE_CURVE('',#65257,#65255,#26021,.T.); #81402=EDGE_CURVE('',#65258,#65256,#26022,.T.); #81403=EDGE_CURVE('',#65258,#65259,#26023,.T.); #81404=EDGE_CURVE('',#65259,#65257,#26024,.T.); #81405=EDGE_CURVE('',#65260,#65258,#26025,.T.); #81406=EDGE_CURVE('',#65260,#65261,#26026,.T.); #81407=EDGE_CURVE('',#65261,#65259,#26027,.T.); #81408=EDGE_CURVE('',#65262,#65260,#26028,.T.); #81409=EDGE_CURVE('',#65262,#65263,#26029,.T.); #81410=EDGE_CURVE('',#65263,#65261,#26030,.T.); #81411=EDGE_CURVE('',#65264,#65262,#26031,.T.); #81412=EDGE_CURVE('',#65264,#65265,#26032,.T.); #81413=EDGE_CURVE('',#65265,#65263,#26033,.T.); #81414=EDGE_CURVE('',#65266,#65264,#26034,.T.); #81415=EDGE_CURVE('',#65266,#65267,#26035,.T.); #81416=EDGE_CURVE('',#65267,#65265,#26036,.T.); #81417=EDGE_CURVE('',#65268,#65266,#26037,.T.); #81418=EDGE_CURVE('',#65268,#65269,#26038,.T.); #81419=EDGE_CURVE('',#65269,#65267,#26039,.T.); #81420=EDGE_CURVE('',#65270,#65268,#26040,.T.); #81421=EDGE_CURVE('',#65270,#65271,#26041,.T.); #81422=EDGE_CURVE('',#65271,#65269,#26042,.T.); #81423=EDGE_CURVE('',#65272,#65270,#26043,.T.); #81424=EDGE_CURVE('',#65272,#65273,#26044,.T.); #81425=EDGE_CURVE('',#65273,#65271,#26045,.T.); #81426=EDGE_CURVE('',#65274,#65272,#26046,.T.); #81427=EDGE_CURVE('',#65274,#65275,#26047,.T.); #81428=EDGE_CURVE('',#65275,#65273,#26048,.T.); #81429=EDGE_CURVE('',#65276,#65274,#26049,.T.); #81430=EDGE_CURVE('',#65276,#65277,#26050,.T.); #81431=EDGE_CURVE('',#65277,#65275,#26051,.T.); #81432=EDGE_CURVE('',#65278,#65276,#26052,.T.); #81433=EDGE_CURVE('',#65278,#65279,#26053,.T.); #81434=EDGE_CURVE('',#65279,#65277,#26054,.T.); #81435=EDGE_CURVE('',#65280,#65278,#26055,.T.); #81436=EDGE_CURVE('',#65280,#65281,#26056,.T.); #81437=EDGE_CURVE('',#65281,#65279,#26057,.T.); #81438=EDGE_CURVE('',#65282,#65280,#26058,.T.); #81439=EDGE_CURVE('',#65282,#65283,#26059,.T.); #81440=EDGE_CURVE('',#65283,#65281,#26060,.T.); #81441=EDGE_CURVE('',#65284,#65282,#26061,.T.); #81442=EDGE_CURVE('',#65284,#65285,#26062,.T.); #81443=EDGE_CURVE('',#65285,#65283,#26063,.T.); #81444=EDGE_CURVE('',#65286,#65284,#26064,.T.); #81445=EDGE_CURVE('',#65286,#65287,#26065,.T.); #81446=EDGE_CURVE('',#65287,#65285,#26066,.T.); #81447=EDGE_CURVE('',#65288,#65286,#26067,.T.); #81448=EDGE_CURVE('',#65288,#65289,#26068,.T.); #81449=EDGE_CURVE('',#65289,#65287,#26069,.T.); #81450=EDGE_CURVE('',#65290,#65288,#26070,.T.); #81451=EDGE_CURVE('',#65290,#65291,#26071,.T.); #81452=EDGE_CURVE('',#65291,#65289,#26072,.T.); #81453=EDGE_CURVE('',#65292,#65290,#26073,.T.); #81454=EDGE_CURVE('',#65292,#65293,#26074,.T.); #81455=EDGE_CURVE('',#65293,#65291,#26075,.T.); #81456=EDGE_CURVE('',#65294,#65292,#26076,.T.); #81457=EDGE_CURVE('',#65294,#65295,#26077,.T.); #81458=EDGE_CURVE('',#65295,#65293,#26078,.T.); #81459=EDGE_CURVE('',#65296,#65294,#26079,.T.); #81460=EDGE_CURVE('',#65296,#65297,#26080,.T.); #81461=EDGE_CURVE('',#65297,#65295,#26081,.T.); #81462=EDGE_CURVE('',#65298,#65296,#58569,.T.); #81463=EDGE_CURVE('',#65298,#65299,#26082,.T.); #81464=EDGE_CURVE('',#65299,#65297,#58570,.T.); #81465=EDGE_CURVE('',#65300,#65298,#26083,.T.); #81466=EDGE_CURVE('',#65300,#65301,#26084,.T.); #81467=EDGE_CURVE('',#65301,#65299,#26085,.T.); #81468=EDGE_CURVE('',#65302,#65300,#26086,.T.); #81469=EDGE_CURVE('',#65302,#65303,#26087,.T.); #81470=EDGE_CURVE('',#65303,#65301,#26088,.T.); #81471=EDGE_CURVE('',#65304,#65302,#26089,.T.); #81472=EDGE_CURVE('',#65304,#65305,#26090,.T.); #81473=EDGE_CURVE('',#65305,#65303,#26091,.T.); #81474=EDGE_CURVE('',#65306,#65304,#26092,.T.); #81475=EDGE_CURVE('',#65306,#65307,#26093,.T.); #81476=EDGE_CURVE('',#65307,#65305,#26094,.T.); #81477=EDGE_CURVE('',#65308,#65306,#26095,.T.); #81478=EDGE_CURVE('',#65308,#65309,#26096,.T.); #81479=EDGE_CURVE('',#65309,#65307,#26097,.T.); #81480=EDGE_CURVE('',#65310,#65308,#58571,.T.); #81481=EDGE_CURVE('',#65310,#65311,#26098,.T.); #81482=EDGE_CURVE('',#65311,#65309,#58572,.T.); #81483=EDGE_CURVE('',#65312,#65310,#58573,.T.); #81484=EDGE_CURVE('',#65312,#65313,#26099,.T.); #81485=EDGE_CURVE('',#65313,#65311,#58574,.T.); #81486=EDGE_CURVE('',#65314,#65312,#26100,.T.); #81487=EDGE_CURVE('',#65314,#65315,#26101,.T.); #81488=EDGE_CURVE('',#65315,#65313,#26102,.T.); #81489=EDGE_CURVE('',#65316,#65314,#26103,.T.); #81490=EDGE_CURVE('',#65316,#65317,#26104,.T.); #81491=EDGE_CURVE('',#65317,#65315,#26105,.T.); #81492=EDGE_CURVE('',#65318,#65316,#26106,.T.); #81493=EDGE_CURVE('',#65318,#65319,#26107,.T.); #81494=EDGE_CURVE('',#65319,#65317,#26108,.T.); #81495=EDGE_CURVE('',#65320,#65318,#26109,.T.); #81496=EDGE_CURVE('',#65320,#65321,#26110,.T.); #81497=EDGE_CURVE('',#65321,#65319,#26111,.T.); #81498=EDGE_CURVE('',#65322,#65320,#26112,.T.); #81499=EDGE_CURVE('',#65322,#65323,#26113,.T.); #81500=EDGE_CURVE('',#65323,#65321,#26114,.T.); #81501=EDGE_CURVE('',#65324,#65322,#26115,.T.); #81502=EDGE_CURVE('',#65324,#65325,#26116,.T.); #81503=EDGE_CURVE('',#65325,#65323,#26117,.T.); #81504=EDGE_CURVE('',#65326,#65324,#26118,.T.); #81505=EDGE_CURVE('',#65326,#65327,#26119,.T.); #81506=EDGE_CURVE('',#65327,#65325,#26120,.T.); #81507=EDGE_CURVE('',#65328,#65326,#26121,.T.); #81508=EDGE_CURVE('',#65328,#65329,#26122,.T.); #81509=EDGE_CURVE('',#65329,#65327,#26123,.T.); #81510=EDGE_CURVE('',#65330,#65328,#26124,.T.); #81511=EDGE_CURVE('',#65330,#65331,#26125,.T.); #81512=EDGE_CURVE('',#65331,#65329,#26126,.T.); #81513=EDGE_CURVE('',#65332,#65330,#26127,.T.); #81514=EDGE_CURVE('',#65332,#65333,#26128,.T.); #81515=EDGE_CURVE('',#65333,#65331,#26129,.T.); #81516=EDGE_CURVE('',#65334,#65332,#26130,.T.); #81517=EDGE_CURVE('',#65334,#65335,#26131,.T.); #81518=EDGE_CURVE('',#65335,#65333,#26132,.T.); #81519=EDGE_CURVE('',#65336,#65334,#26133,.T.); #81520=EDGE_CURVE('',#65336,#65337,#26134,.T.); #81521=EDGE_CURVE('',#65337,#65335,#26135,.T.); #81522=EDGE_CURVE('',#65338,#65336,#26136,.T.); #81523=EDGE_CURVE('',#65338,#65339,#26137,.T.); #81524=EDGE_CURVE('',#65339,#65337,#26138,.T.); #81525=EDGE_CURVE('',#65340,#65338,#26139,.T.); #81526=EDGE_CURVE('',#65340,#65341,#26140,.T.); #81527=EDGE_CURVE('',#65341,#65339,#26141,.T.); #81528=EDGE_CURVE('',#65342,#65340,#26142,.T.); #81529=EDGE_CURVE('',#65342,#65343,#26143,.T.); #81530=EDGE_CURVE('',#65343,#65341,#26144,.T.); #81531=EDGE_CURVE('',#65344,#65342,#26145,.T.); #81532=EDGE_CURVE('',#65344,#65345,#26146,.T.); #81533=EDGE_CURVE('',#65345,#65343,#26147,.T.); #81534=EDGE_CURVE('',#65346,#65344,#26148,.T.); #81535=EDGE_CURVE('',#65346,#65347,#26149,.T.); #81536=EDGE_CURVE('',#65347,#65345,#26150,.T.); #81537=EDGE_CURVE('',#65348,#65346,#26151,.T.); #81538=EDGE_CURVE('',#65348,#65349,#26152,.T.); #81539=EDGE_CURVE('',#65349,#65347,#26153,.T.); #81540=EDGE_CURVE('',#65350,#65348,#26154,.T.); #81541=EDGE_CURVE('',#65350,#65351,#26155,.T.); #81542=EDGE_CURVE('',#65351,#65349,#26156,.T.); #81543=EDGE_CURVE('',#65352,#65350,#26157,.T.); #81544=EDGE_CURVE('',#65352,#65353,#26158,.T.); #81545=EDGE_CURVE('',#65353,#65351,#26159,.T.); #81546=EDGE_CURVE('',#65354,#65352,#26160,.T.); #81547=EDGE_CURVE('',#65354,#65355,#26161,.T.); #81548=EDGE_CURVE('',#65355,#65353,#26162,.T.); #81549=EDGE_CURVE('',#65356,#65354,#26163,.T.); #81550=EDGE_CURVE('',#65356,#65357,#26164,.T.); #81551=EDGE_CURVE('',#65357,#65355,#26165,.T.); #81552=EDGE_CURVE('',#65358,#65356,#26166,.T.); #81553=EDGE_CURVE('',#65358,#65359,#26167,.T.); #81554=EDGE_CURVE('',#65359,#65357,#26168,.T.); #81555=EDGE_CURVE('',#65360,#65358,#26169,.T.); #81556=EDGE_CURVE('',#65360,#65361,#26170,.T.); #81557=EDGE_CURVE('',#65361,#65359,#26171,.T.); #81558=EDGE_CURVE('',#65362,#65360,#26172,.T.); #81559=EDGE_CURVE('',#65362,#65363,#26173,.T.); #81560=EDGE_CURVE('',#65363,#65361,#26174,.T.); #81561=EDGE_CURVE('',#65364,#65362,#26175,.T.); #81562=EDGE_CURVE('',#65364,#65365,#26176,.T.); #81563=EDGE_CURVE('',#65365,#65363,#26177,.T.); #81564=EDGE_CURVE('',#65366,#65364,#26178,.T.); #81565=EDGE_CURVE('',#65366,#65367,#26179,.T.); #81566=EDGE_CURVE('',#65367,#65365,#26180,.T.); #81567=EDGE_CURVE('',#65368,#65366,#58575,.T.); #81568=EDGE_CURVE('',#65368,#65369,#26181,.T.); #81569=EDGE_CURVE('',#65369,#65367,#58576,.T.); #81570=EDGE_CURVE('',#65370,#65368,#26182,.T.); #81571=EDGE_CURVE('',#65370,#65371,#26183,.T.); #81572=EDGE_CURVE('',#65371,#65369,#26184,.T.); #81573=EDGE_CURVE('',#65372,#65370,#58577,.T.); #81574=EDGE_CURVE('',#65372,#65373,#26185,.T.); #81575=EDGE_CURVE('',#65373,#65371,#58578,.T.); #81576=EDGE_CURVE('',#65374,#65372,#58579,.T.); #81577=EDGE_CURVE('',#65374,#65375,#26186,.T.); #81578=EDGE_CURVE('',#65375,#65373,#58580,.T.); #81579=EDGE_CURVE('',#65376,#65374,#58581,.T.); #81580=EDGE_CURVE('',#65376,#65377,#26187,.T.); #81581=EDGE_CURVE('',#65377,#65375,#58582,.T.); #81582=EDGE_CURVE('',#65378,#65376,#26188,.T.); #81583=EDGE_CURVE('',#65378,#65379,#26189,.T.); #81584=EDGE_CURVE('',#65379,#65377,#26190,.T.); #81585=EDGE_CURVE('',#65380,#65378,#26191,.T.); #81586=EDGE_CURVE('',#65380,#65381,#26192,.T.); #81587=EDGE_CURVE('',#65381,#65379,#26193,.T.); #81588=EDGE_CURVE('',#65382,#65380,#26194,.T.); #81589=EDGE_CURVE('',#65382,#65383,#26195,.T.); #81590=EDGE_CURVE('',#65383,#65381,#26196,.T.); #81591=EDGE_CURVE('',#65384,#65382,#26197,.T.); #81592=EDGE_CURVE('',#65384,#65385,#26198,.T.); #81593=EDGE_CURVE('',#65385,#65383,#26199,.T.); #81594=EDGE_CURVE('',#65386,#65384,#26200,.T.); #81595=EDGE_CURVE('',#65386,#65387,#26201,.T.); #81596=EDGE_CURVE('',#65387,#65385,#26202,.T.); #81597=EDGE_CURVE('',#65388,#65386,#26203,.T.); #81598=EDGE_CURVE('',#65388,#65389,#26204,.T.); #81599=EDGE_CURVE('',#65389,#65387,#26205,.T.); #81600=EDGE_CURVE('',#65390,#65388,#26206,.T.); #81601=EDGE_CURVE('',#65390,#65391,#26207,.T.); #81602=EDGE_CURVE('',#65391,#65389,#26208,.T.); #81603=EDGE_CURVE('',#65392,#65390,#58583,.T.); #81604=EDGE_CURVE('',#65392,#65393,#26209,.T.); #81605=EDGE_CURVE('',#65393,#65391,#58584,.T.); #81606=EDGE_CURVE('',#65394,#65392,#26210,.T.); #81607=EDGE_CURVE('',#65394,#65395,#26211,.T.); #81608=EDGE_CURVE('',#65395,#65393,#26212,.T.); #81609=EDGE_CURVE('',#65396,#65394,#26213,.T.); #81610=EDGE_CURVE('',#65396,#65397,#26214,.T.); #81611=EDGE_CURVE('',#65397,#65395,#26215,.T.); #81612=EDGE_CURVE('',#65398,#65396,#26216,.T.); #81613=EDGE_CURVE('',#65398,#65399,#26217,.T.); #81614=EDGE_CURVE('',#65399,#65397,#26218,.T.); #81615=EDGE_CURVE('',#65400,#65398,#26219,.T.); #81616=EDGE_CURVE('',#65400,#65401,#26220,.T.); #81617=EDGE_CURVE('',#65401,#65399,#26221,.T.); #81618=EDGE_CURVE('',#65402,#65400,#26222,.T.); #81619=EDGE_CURVE('',#65402,#65403,#26223,.T.); #81620=EDGE_CURVE('',#65403,#65401,#26224,.T.); #81621=EDGE_CURVE('',#65404,#65402,#26225,.T.); #81622=EDGE_CURVE('',#65404,#65405,#26226,.T.); #81623=EDGE_CURVE('',#65405,#65403,#26227,.T.); #81624=EDGE_CURVE('',#65406,#65404,#26228,.T.); #81625=EDGE_CURVE('',#65406,#65407,#26229,.T.); #81626=EDGE_CURVE('',#65407,#65405,#26230,.T.); #81627=EDGE_CURVE('',#65408,#65406,#26231,.T.); #81628=EDGE_CURVE('',#65408,#65409,#26232,.T.); #81629=EDGE_CURVE('',#65409,#65407,#26233,.T.); #81630=EDGE_CURVE('',#65410,#65408,#26234,.T.); #81631=EDGE_CURVE('',#65410,#65411,#26235,.T.); #81632=EDGE_CURVE('',#65411,#65409,#26236,.T.); #81633=EDGE_CURVE('',#65412,#65410,#26237,.T.); #81634=EDGE_CURVE('',#65412,#65413,#26238,.T.); #81635=EDGE_CURVE('',#65413,#65411,#26239,.T.); #81636=EDGE_CURVE('',#65414,#65412,#26240,.T.); #81637=EDGE_CURVE('',#65414,#65415,#26241,.T.); #81638=EDGE_CURVE('',#65415,#65413,#26242,.T.); #81639=EDGE_CURVE('',#65416,#65414,#26243,.T.); #81640=EDGE_CURVE('',#65416,#65417,#26244,.T.); #81641=EDGE_CURVE('',#65417,#65415,#26245,.T.); #81642=EDGE_CURVE('',#65418,#65416,#26246,.T.); #81643=EDGE_CURVE('',#65418,#65419,#26247,.T.); #81644=EDGE_CURVE('',#65419,#65417,#26248,.T.); #81645=EDGE_CURVE('',#65420,#65418,#26249,.T.); #81646=EDGE_CURVE('',#65420,#65421,#26250,.T.); #81647=EDGE_CURVE('',#65421,#65419,#26251,.T.); #81648=EDGE_CURVE('',#65422,#65420,#58585,.T.); #81649=EDGE_CURVE('',#65422,#65423,#26252,.T.); #81650=EDGE_CURVE('',#65423,#65421,#58586,.T.); #81651=EDGE_CURVE('',#65424,#65422,#26253,.T.); #81652=EDGE_CURVE('',#65424,#65425,#26254,.T.); #81653=EDGE_CURVE('',#65425,#65423,#26255,.T.); #81654=EDGE_CURVE('',#65426,#65424,#26256,.T.); #81655=EDGE_CURVE('',#65426,#65427,#26257,.T.); #81656=EDGE_CURVE('',#65427,#65425,#26258,.T.); #81657=EDGE_CURVE('',#65428,#65426,#26259,.T.); #81658=EDGE_CURVE('',#65428,#65429,#26260,.T.); #81659=EDGE_CURVE('',#65429,#65427,#26261,.T.); #81660=EDGE_CURVE('',#65430,#65428,#58587,.T.); #81661=EDGE_CURVE('',#65430,#65431,#26262,.T.); #81662=EDGE_CURVE('',#65431,#65429,#58588,.T.); #81663=EDGE_CURVE('',#65432,#65430,#58589,.T.); #81664=EDGE_CURVE('',#65432,#65433,#26263,.T.); #81665=EDGE_CURVE('',#65433,#65431,#58590,.T.); #81666=EDGE_CURVE('',#65434,#65432,#26264,.T.); #81667=EDGE_CURVE('',#65434,#65435,#26265,.T.); #81668=EDGE_CURVE('',#65435,#65433,#26266,.T.); #81669=EDGE_CURVE('',#65436,#65434,#26267,.T.); #81670=EDGE_CURVE('',#65436,#65437,#26268,.T.); #81671=EDGE_CURVE('',#65437,#65435,#26269,.T.); #81672=EDGE_CURVE('',#65438,#65436,#26270,.T.); #81673=EDGE_CURVE('',#65438,#65439,#26271,.T.); #81674=EDGE_CURVE('',#65439,#65437,#26272,.T.); #81675=EDGE_CURVE('',#65440,#65438,#26273,.T.); #81676=EDGE_CURVE('',#65440,#65441,#26274,.T.); #81677=EDGE_CURVE('',#65441,#65439,#26275,.T.); #81678=EDGE_CURVE('',#65442,#65440,#26276,.T.); #81679=EDGE_CURVE('',#65442,#65443,#26277,.T.); #81680=EDGE_CURVE('',#65443,#65441,#26278,.T.); #81681=EDGE_CURVE('',#65444,#65442,#58591,.T.); #81682=EDGE_CURVE('',#65444,#65445,#26279,.T.); #81683=EDGE_CURVE('',#65445,#65443,#58592,.T.); #81684=EDGE_CURVE('',#65446,#65444,#26280,.T.); #81685=EDGE_CURVE('',#65446,#65447,#26281,.T.); #81686=EDGE_CURVE('',#65447,#65445,#26282,.T.); #81687=EDGE_CURVE('',#65448,#65446,#26283,.T.); #81688=EDGE_CURVE('',#65448,#65449,#26284,.T.); #81689=EDGE_CURVE('',#65449,#65447,#26285,.T.); #81690=EDGE_CURVE('',#65450,#65448,#26286,.T.); #81691=EDGE_CURVE('',#65450,#65451,#26287,.T.); #81692=EDGE_CURVE('',#65451,#65449,#26288,.T.); #81693=EDGE_CURVE('',#65095,#65450,#26289,.T.); #81694=EDGE_CURVE('',#65097,#65451,#26290,.T.); #81695=EDGE_CURVE('',#65452,#65453,#58593,.T.); #81696=EDGE_CURVE('',#65452,#65454,#26291,.T.); #81697=EDGE_CURVE('',#65454,#65455,#58594,.T.); #81698=EDGE_CURVE('',#65453,#65455,#26292,.T.); #81699=EDGE_CURVE('',#65456,#65452,#58595,.T.); #81700=EDGE_CURVE('',#65456,#65457,#26293,.T.); #81701=EDGE_CURVE('',#65457,#65454,#58596,.T.); #81702=EDGE_CURVE('',#65458,#65456,#58597,.T.); #81703=EDGE_CURVE('',#65458,#65459,#26294,.T.); #81704=EDGE_CURVE('',#65459,#65457,#58598,.T.); #81705=EDGE_CURVE('',#65460,#65458,#58599,.T.); #81706=EDGE_CURVE('',#65460,#65461,#26295,.T.); #81707=EDGE_CURVE('',#65461,#65459,#58600,.T.); #81708=EDGE_CURVE('',#65462,#65460,#26296,.T.); #81709=EDGE_CURVE('',#65462,#65463,#26297,.T.); #81710=EDGE_CURVE('',#65463,#65461,#26298,.T.); #81711=EDGE_CURVE('',#65464,#65462,#58601,.T.); #81712=EDGE_CURVE('',#65464,#65465,#26299,.T.); #81713=EDGE_CURVE('',#65465,#65463,#58602,.T.); #81714=EDGE_CURVE('',#65466,#65464,#58603,.T.); #81715=EDGE_CURVE('',#65466,#65467,#26300,.T.); #81716=EDGE_CURVE('',#65467,#65465,#58604,.T.); #81717=EDGE_CURVE('',#65468,#65466,#58605,.T.); #81718=EDGE_CURVE('',#65468,#65469,#26301,.T.); #81719=EDGE_CURVE('',#65469,#65467,#58606,.T.); #81720=EDGE_CURVE('',#65470,#65468,#58607,.T.); #81721=EDGE_CURVE('',#65470,#65471,#26302,.T.); #81722=EDGE_CURVE('',#65471,#65469,#58608,.T.); #81723=EDGE_CURVE('',#65472,#65470,#58609,.T.); #81724=EDGE_CURVE('',#65472,#65473,#26303,.T.); #81725=EDGE_CURVE('',#65473,#65471,#58610,.T.); #81726=EDGE_CURVE('',#65474,#65472,#26304,.T.); #81727=EDGE_CURVE('',#65474,#65475,#26305,.T.); #81728=EDGE_CURVE('',#65475,#65473,#26306,.T.); #81729=EDGE_CURVE('',#65476,#65474,#58611,.T.); #81730=EDGE_CURVE('',#65476,#65477,#26307,.T.); #81731=EDGE_CURVE('',#65477,#65475,#58612,.T.); #81732=EDGE_CURVE('',#65478,#65476,#26308,.T.); #81733=EDGE_CURVE('',#65478,#65479,#26309,.T.); #81734=EDGE_CURVE('',#65479,#65477,#26310,.T.); #81735=EDGE_CURVE('',#65480,#65478,#26311,.T.); #81736=EDGE_CURVE('',#65480,#65481,#26312,.T.); #81737=EDGE_CURVE('',#65481,#65479,#26313,.T.); #81738=EDGE_CURVE('',#65482,#65480,#26314,.T.); #81739=EDGE_CURVE('',#65482,#65483,#26315,.T.); #81740=EDGE_CURVE('',#65483,#65481,#26316,.T.); #81741=EDGE_CURVE('',#65484,#65482,#26317,.T.); #81742=EDGE_CURVE('',#65484,#65485,#26318,.T.); #81743=EDGE_CURVE('',#65485,#65483,#26319,.T.); #81744=EDGE_CURVE('',#65486,#65484,#26320,.T.); #81745=EDGE_CURVE('',#65486,#65487,#26321,.T.); #81746=EDGE_CURVE('',#65487,#65485,#26322,.T.); #81747=EDGE_CURVE('',#65488,#65486,#26323,.T.); #81748=EDGE_CURVE('',#65488,#65489,#26324,.T.); #81749=EDGE_CURVE('',#65489,#65487,#26325,.T.); #81750=EDGE_CURVE('',#65490,#65488,#26326,.T.); #81751=EDGE_CURVE('',#65490,#65491,#26327,.T.); #81752=EDGE_CURVE('',#65491,#65489,#26328,.T.); #81753=EDGE_CURVE('',#65492,#65490,#26329,.T.); #81754=EDGE_CURVE('',#65492,#65493,#26330,.T.); #81755=EDGE_CURVE('',#65493,#65491,#26331,.T.); #81756=EDGE_CURVE('',#65494,#65492,#26332,.T.); #81757=EDGE_CURVE('',#65494,#65495,#26333,.T.); #81758=EDGE_CURVE('',#65495,#65493,#26334,.T.); #81759=EDGE_CURVE('',#65496,#65494,#26335,.T.); #81760=EDGE_CURVE('',#65496,#65497,#26336,.T.); #81761=EDGE_CURVE('',#65497,#65495,#26337,.T.); #81762=EDGE_CURVE('',#65498,#65496,#26338,.T.); #81763=EDGE_CURVE('',#65498,#65499,#26339,.T.); #81764=EDGE_CURVE('',#65499,#65497,#26340,.T.); #81765=EDGE_CURVE('',#65500,#65498,#26341,.T.); #81766=EDGE_CURVE('',#65500,#65501,#26342,.T.); #81767=EDGE_CURVE('',#65501,#65499,#26343,.T.); #81768=EDGE_CURVE('',#65502,#65500,#26344,.T.); #81769=EDGE_CURVE('',#65502,#65503,#26345,.T.); #81770=EDGE_CURVE('',#65503,#65501,#26346,.T.); #81771=EDGE_CURVE('',#65504,#65502,#26347,.T.); #81772=EDGE_CURVE('',#65504,#65505,#26348,.T.); #81773=EDGE_CURVE('',#65505,#65503,#26349,.T.); #81774=EDGE_CURVE('',#65506,#65504,#26350,.T.); #81775=EDGE_CURVE('',#65506,#65507,#26351,.T.); #81776=EDGE_CURVE('',#65507,#65505,#26352,.T.); #81777=EDGE_CURVE('',#65508,#65506,#26353,.T.); #81778=EDGE_CURVE('',#65508,#65509,#26354,.T.); #81779=EDGE_CURVE('',#65509,#65507,#26355,.T.); #81780=EDGE_CURVE('',#65510,#65508,#26356,.T.); #81781=EDGE_CURVE('',#65510,#65511,#26357,.T.); #81782=EDGE_CURVE('',#65511,#65509,#26358,.T.); #81783=EDGE_CURVE('',#65512,#65510,#26359,.T.); #81784=EDGE_CURVE('',#65512,#65513,#26360,.T.); #81785=EDGE_CURVE('',#65513,#65511,#26361,.T.); #81786=EDGE_CURVE('',#65514,#65512,#26362,.T.); #81787=EDGE_CURVE('',#65514,#65515,#26363,.T.); #81788=EDGE_CURVE('',#65515,#65513,#26364,.T.); #81789=EDGE_CURVE('',#65516,#65514,#26365,.T.); #81790=EDGE_CURVE('',#65516,#65517,#26366,.T.); #81791=EDGE_CURVE('',#65517,#65515,#26367,.T.); #81792=EDGE_CURVE('',#65518,#65516,#26368,.T.); #81793=EDGE_CURVE('',#65518,#65519,#26369,.T.); #81794=EDGE_CURVE('',#65519,#65517,#26370,.T.); #81795=EDGE_CURVE('',#65520,#65518,#26371,.T.); #81796=EDGE_CURVE('',#65520,#65521,#26372,.T.); #81797=EDGE_CURVE('',#65521,#65519,#26373,.T.); #81798=EDGE_CURVE('',#65522,#65520,#26374,.T.); #81799=EDGE_CURVE('',#65522,#65523,#26375,.T.); #81800=EDGE_CURVE('',#65523,#65521,#26376,.T.); #81801=EDGE_CURVE('',#65524,#65522,#26377,.T.); #81802=EDGE_CURVE('',#65524,#65525,#26378,.T.); #81803=EDGE_CURVE('',#65525,#65523,#26379,.T.); #81804=EDGE_CURVE('',#65526,#65524,#58613,.T.); #81805=EDGE_CURVE('',#65526,#65527,#26380,.T.); #81806=EDGE_CURVE('',#65527,#65525,#58614,.T.); #81807=EDGE_CURVE('',#65528,#65526,#26381,.T.); #81808=EDGE_CURVE('',#65528,#65529,#26382,.T.); #81809=EDGE_CURVE('',#65529,#65527,#26383,.T.); #81810=EDGE_CURVE('',#65530,#65528,#26384,.T.); #81811=EDGE_CURVE('',#65530,#65531,#26385,.T.); #81812=EDGE_CURVE('',#65531,#65529,#26386,.T.); #81813=EDGE_CURVE('',#65532,#65530,#26387,.T.); #81814=EDGE_CURVE('',#65532,#65533,#26388,.T.); #81815=EDGE_CURVE('',#65533,#65531,#26389,.T.); #81816=EDGE_CURVE('',#65534,#65532,#26390,.T.); #81817=EDGE_CURVE('',#65534,#65535,#26391,.T.); #81818=EDGE_CURVE('',#65535,#65533,#26392,.T.); #81819=EDGE_CURVE('',#65536,#65534,#26393,.T.); #81820=EDGE_CURVE('',#65536,#65537,#26394,.T.); #81821=EDGE_CURVE('',#65537,#65535,#26395,.T.); #81822=EDGE_CURVE('',#65538,#65536,#26396,.T.); #81823=EDGE_CURVE('',#65538,#65539,#26397,.T.); #81824=EDGE_CURVE('',#65539,#65537,#26398,.T.); #81825=EDGE_CURVE('',#65540,#65538,#26399,.T.); #81826=EDGE_CURVE('',#65540,#65541,#26400,.T.); #81827=EDGE_CURVE('',#65541,#65539,#26401,.T.); #81828=EDGE_CURVE('',#65542,#65540,#26402,.T.); #81829=EDGE_CURVE('',#65542,#65543,#26403,.T.); #81830=EDGE_CURVE('',#65543,#65541,#26404,.T.); #81831=EDGE_CURVE('',#65544,#65542,#26405,.T.); #81832=EDGE_CURVE('',#65544,#65545,#26406,.T.); #81833=EDGE_CURVE('',#65545,#65543,#26407,.T.); #81834=EDGE_CURVE('',#65546,#65544,#26408,.T.); #81835=EDGE_CURVE('',#65546,#65547,#26409,.T.); #81836=EDGE_CURVE('',#65547,#65545,#26410,.T.); #81837=EDGE_CURVE('',#65548,#65546,#26411,.T.); #81838=EDGE_CURVE('',#65548,#65549,#26412,.T.); #81839=EDGE_CURVE('',#65549,#65547,#26413,.T.); #81840=EDGE_CURVE('',#65550,#65548,#26414,.T.); #81841=EDGE_CURVE('',#65550,#65551,#26415,.T.); #81842=EDGE_CURVE('',#65551,#65549,#26416,.T.); #81843=EDGE_CURVE('',#65552,#65550,#26417,.T.); #81844=EDGE_CURVE('',#65552,#65553,#26418,.T.); #81845=EDGE_CURVE('',#65553,#65551,#26419,.T.); #81846=EDGE_CURVE('',#65554,#65552,#26420,.T.); #81847=EDGE_CURVE('',#65554,#65555,#26421,.T.); #81848=EDGE_CURVE('',#65555,#65553,#26422,.T.); #81849=EDGE_CURVE('',#65556,#65554,#26423,.T.); #81850=EDGE_CURVE('',#65556,#65557,#26424,.T.); #81851=EDGE_CURVE('',#65557,#65555,#26425,.T.); #81852=EDGE_CURVE('',#65558,#65556,#26426,.T.); #81853=EDGE_CURVE('',#65558,#65559,#26427,.T.); #81854=EDGE_CURVE('',#65559,#65557,#26428,.T.); #81855=EDGE_CURVE('',#65560,#65558,#26429,.T.); #81856=EDGE_CURVE('',#65560,#65561,#26430,.T.); #81857=EDGE_CURVE('',#65561,#65559,#26431,.T.); #81858=EDGE_CURVE('',#65562,#65560,#26432,.T.); #81859=EDGE_CURVE('',#65562,#65563,#26433,.T.); #81860=EDGE_CURVE('',#65563,#65561,#26434,.T.); #81861=EDGE_CURVE('',#65564,#65562,#26435,.T.); #81862=EDGE_CURVE('',#65564,#65565,#26436,.T.); #81863=EDGE_CURVE('',#65565,#65563,#26437,.T.); #81864=EDGE_CURVE('',#65566,#65564,#26438,.T.); #81865=EDGE_CURVE('',#65566,#65567,#26439,.T.); #81866=EDGE_CURVE('',#65567,#65565,#26440,.T.); #81867=EDGE_CURVE('',#65568,#65566,#26441,.T.); #81868=EDGE_CURVE('',#65568,#65569,#26442,.T.); #81869=EDGE_CURVE('',#65569,#65567,#26443,.T.); #81870=EDGE_CURVE('',#65570,#65568,#26444,.T.); #81871=EDGE_CURVE('',#65570,#65571,#26445,.T.); #81872=EDGE_CURVE('',#65571,#65569,#26446,.T.); #81873=EDGE_CURVE('',#65572,#65570,#26447,.T.); #81874=EDGE_CURVE('',#65572,#65573,#26448,.T.); #81875=EDGE_CURVE('',#65573,#65571,#26449,.T.); #81876=EDGE_CURVE('',#65574,#65572,#26450,.T.); #81877=EDGE_CURVE('',#65574,#65575,#26451,.T.); #81878=EDGE_CURVE('',#65575,#65573,#26452,.T.); #81879=EDGE_CURVE('',#65576,#65574,#26453,.T.); #81880=EDGE_CURVE('',#65576,#65577,#26454,.T.); #81881=EDGE_CURVE('',#65577,#65575,#26455,.T.); #81882=EDGE_CURVE('',#65578,#65576,#58615,.T.); #81883=EDGE_CURVE('',#65578,#65579,#26456,.T.); #81884=EDGE_CURVE('',#65579,#65577,#58616,.T.); #81885=EDGE_CURVE('',#65580,#65578,#26457,.T.); #81886=EDGE_CURVE('',#65580,#65581,#26458,.T.); #81887=EDGE_CURVE('',#65581,#65579,#26459,.T.); #81888=EDGE_CURVE('',#65582,#65580,#58617,.T.); #81889=EDGE_CURVE('',#65582,#65583,#26460,.T.); #81890=EDGE_CURVE('',#65583,#65581,#58618,.T.); #81891=EDGE_CURVE('',#65584,#65582,#58619,.T.); #81892=EDGE_CURVE('',#65584,#65585,#26461,.T.); #81893=EDGE_CURVE('',#65585,#65583,#58620,.T.); #81894=EDGE_CURVE('',#65586,#65584,#58621,.T.); #81895=EDGE_CURVE('',#65586,#65587,#26462,.T.); #81896=EDGE_CURVE('',#65587,#65585,#58622,.T.); #81897=EDGE_CURVE('',#65588,#65586,#26463,.T.); #81898=EDGE_CURVE('',#65588,#65589,#26464,.T.); #81899=EDGE_CURVE('',#65589,#65587,#26465,.T.); #81900=EDGE_CURVE('',#65590,#65588,#58623,.T.); #81901=EDGE_CURVE('',#65590,#65591,#26466,.T.); #81902=EDGE_CURVE('',#65591,#65589,#58624,.T.); #81903=EDGE_CURVE('',#65592,#65590,#58625,.T.); #81904=EDGE_CURVE('',#65592,#65593,#26467,.T.); #81905=EDGE_CURVE('',#65593,#65591,#58626,.T.); #81906=EDGE_CURVE('',#65594,#65592,#58627,.T.); #81907=EDGE_CURVE('',#65594,#65595,#26468,.T.); #81908=EDGE_CURVE('',#65595,#65593,#58628,.T.); #81909=EDGE_CURVE('',#65596,#65594,#58629,.T.); #81910=EDGE_CURVE('',#65596,#65597,#26469,.T.); #81911=EDGE_CURVE('',#65597,#65595,#58630,.T.); #81912=EDGE_CURVE('',#65598,#65596,#26470,.T.); #81913=EDGE_CURVE('',#65598,#65599,#26471,.T.); #81914=EDGE_CURVE('',#65599,#65597,#26472,.T.); #81915=EDGE_CURVE('',#65600,#65598,#58631,.T.); #81916=EDGE_CURVE('',#65600,#65601,#26473,.T.); #81917=EDGE_CURVE('',#65601,#65599,#58632,.T.); #81918=EDGE_CURVE('',#65602,#65600,#26474,.T.); #81919=EDGE_CURVE('',#65602,#65603,#26475,.T.); #81920=EDGE_CURVE('',#65603,#65601,#26476,.T.); #81921=EDGE_CURVE('',#65604,#65602,#26477,.T.); #81922=EDGE_CURVE('',#65604,#65605,#26478,.T.); #81923=EDGE_CURVE('',#65605,#65603,#26479,.T.); #81924=EDGE_CURVE('',#65606,#65604,#26480,.T.); #81925=EDGE_CURVE('',#65606,#65607,#26481,.T.); #81926=EDGE_CURVE('',#65607,#65605,#26482,.T.); #81927=EDGE_CURVE('',#65608,#65606,#26483,.T.); #81928=EDGE_CURVE('',#65608,#65609,#26484,.T.); #81929=EDGE_CURVE('',#65609,#65607,#26485,.T.); #81930=EDGE_CURVE('',#65610,#65608,#26486,.T.); #81931=EDGE_CURVE('',#65610,#65611,#26487,.T.); #81932=EDGE_CURVE('',#65611,#65609,#26488,.T.); #81933=EDGE_CURVE('',#65612,#65610,#26489,.T.); #81934=EDGE_CURVE('',#65612,#65613,#26490,.T.); #81935=EDGE_CURVE('',#65613,#65611,#26491,.T.); #81936=EDGE_CURVE('',#65614,#65612,#26492,.T.); #81937=EDGE_CURVE('',#65614,#65615,#26493,.T.); #81938=EDGE_CURVE('',#65615,#65613,#26494,.T.); #81939=EDGE_CURVE('',#65616,#65614,#26495,.T.); #81940=EDGE_CURVE('',#65616,#65617,#26496,.T.); #81941=EDGE_CURVE('',#65617,#65615,#26497,.T.); #81942=EDGE_CURVE('',#65618,#65616,#26498,.T.); #81943=EDGE_CURVE('',#65618,#65619,#26499,.T.); #81944=EDGE_CURVE('',#65619,#65617,#26500,.T.); #81945=EDGE_CURVE('',#65620,#65618,#26501,.T.); #81946=EDGE_CURVE('',#65620,#65621,#26502,.T.); #81947=EDGE_CURVE('',#65621,#65619,#26503,.T.); #81948=EDGE_CURVE('',#65622,#65620,#26504,.T.); #81949=EDGE_CURVE('',#65622,#65623,#26505,.T.); #81950=EDGE_CURVE('',#65623,#65621,#26506,.T.); #81951=EDGE_CURVE('',#65624,#65622,#26507,.T.); #81952=EDGE_CURVE('',#65624,#65625,#26508,.T.); #81953=EDGE_CURVE('',#65625,#65623,#26509,.T.); #81954=EDGE_CURVE('',#65626,#65624,#26510,.T.); #81955=EDGE_CURVE('',#65626,#65627,#26511,.T.); #81956=EDGE_CURVE('',#65627,#65625,#26512,.T.); #81957=EDGE_CURVE('',#65628,#65626,#26513,.T.); #81958=EDGE_CURVE('',#65628,#65629,#26514,.T.); #81959=EDGE_CURVE('',#65629,#65627,#26515,.T.); #81960=EDGE_CURVE('',#65630,#65628,#26516,.T.); #81961=EDGE_CURVE('',#65630,#65631,#26517,.T.); #81962=EDGE_CURVE('',#65631,#65629,#26518,.T.); #81963=EDGE_CURVE('',#65632,#65630,#26519,.T.); #81964=EDGE_CURVE('',#65632,#65633,#26520,.T.); #81965=EDGE_CURVE('',#65633,#65631,#26521,.T.); #81966=EDGE_CURVE('',#65634,#65632,#26522,.T.); #81967=EDGE_CURVE('',#65634,#65635,#26523,.T.); #81968=EDGE_CURVE('',#65635,#65633,#26524,.T.); #81969=EDGE_CURVE('',#65636,#65634,#26525,.T.); #81970=EDGE_CURVE('',#65636,#65637,#26526,.T.); #81971=EDGE_CURVE('',#65637,#65635,#26527,.T.); #81972=EDGE_CURVE('',#65638,#65636,#26528,.T.); #81973=EDGE_CURVE('',#65638,#65639,#26529,.T.); #81974=EDGE_CURVE('',#65639,#65637,#26530,.T.); #81975=EDGE_CURVE('',#65640,#65638,#26531,.T.); #81976=EDGE_CURVE('',#65640,#65641,#26532,.T.); #81977=EDGE_CURVE('',#65641,#65639,#26533,.T.); #81978=EDGE_CURVE('',#65642,#65640,#26534,.T.); #81979=EDGE_CURVE('',#65642,#65643,#26535,.T.); #81980=EDGE_CURVE('',#65643,#65641,#26536,.T.); #81981=EDGE_CURVE('',#65644,#65642,#26537,.T.); #81982=EDGE_CURVE('',#65644,#65645,#26538,.T.); #81983=EDGE_CURVE('',#65645,#65643,#26539,.T.); #81984=EDGE_CURVE('',#65646,#65644,#26540,.T.); #81985=EDGE_CURVE('',#65646,#65647,#26541,.T.); #81986=EDGE_CURVE('',#65647,#65645,#26542,.T.); #81987=EDGE_CURVE('',#65648,#65646,#58633,.T.); #81988=EDGE_CURVE('',#65648,#65649,#26543,.T.); #81989=EDGE_CURVE('',#65649,#65647,#58634,.T.); #81990=EDGE_CURVE('',#65650,#65648,#26544,.T.); #81991=EDGE_CURVE('',#65650,#65651,#26545,.T.); #81992=EDGE_CURVE('',#65651,#65649,#26546,.T.); #81993=EDGE_CURVE('',#65652,#65650,#26547,.T.); #81994=EDGE_CURVE('',#65652,#65653,#26548,.T.); #81995=EDGE_CURVE('',#65653,#65651,#26549,.T.); #81996=EDGE_CURVE('',#65654,#65652,#26550,.T.); #81997=EDGE_CURVE('',#65654,#65655,#26551,.T.); #81998=EDGE_CURVE('',#65655,#65653,#26552,.T.); #81999=EDGE_CURVE('',#65656,#65654,#26553,.T.); #82000=EDGE_CURVE('',#65656,#65657,#26554,.T.); #82001=EDGE_CURVE('',#65657,#65655,#26555,.T.); #82002=EDGE_CURVE('',#65658,#65656,#26556,.T.); #82003=EDGE_CURVE('',#65658,#65659,#26557,.T.); #82004=EDGE_CURVE('',#65659,#65657,#26558,.T.); #82005=EDGE_CURVE('',#65660,#65658,#26559,.T.); #82006=EDGE_CURVE('',#65660,#65661,#26560,.T.); #82007=EDGE_CURVE('',#65661,#65659,#26561,.T.); #82008=EDGE_CURVE('',#65662,#65660,#26562,.T.); #82009=EDGE_CURVE('',#65662,#65663,#26563,.T.); #82010=EDGE_CURVE('',#65663,#65661,#26564,.T.); #82011=EDGE_CURVE('',#65664,#65662,#26565,.T.); #82012=EDGE_CURVE('',#65664,#65665,#26566,.T.); #82013=EDGE_CURVE('',#65665,#65663,#26567,.T.); #82014=EDGE_CURVE('',#65666,#65664,#26568,.T.); #82015=EDGE_CURVE('',#65666,#65667,#26569,.T.); #82016=EDGE_CURVE('',#65667,#65665,#26570,.T.); #82017=EDGE_CURVE('',#65668,#65666,#26571,.T.); #82018=EDGE_CURVE('',#65668,#65669,#26572,.T.); #82019=EDGE_CURVE('',#65669,#65667,#26573,.T.); #82020=EDGE_CURVE('',#65670,#65668,#26574,.T.); #82021=EDGE_CURVE('',#65670,#65671,#26575,.T.); #82022=EDGE_CURVE('',#65671,#65669,#26576,.T.); #82023=EDGE_CURVE('',#65672,#65670,#26577,.T.); #82024=EDGE_CURVE('',#65672,#65673,#26578,.T.); #82025=EDGE_CURVE('',#65673,#65671,#26579,.T.); #82026=EDGE_CURVE('',#65674,#65672,#26580,.T.); #82027=EDGE_CURVE('',#65674,#65675,#26581,.T.); #82028=EDGE_CURVE('',#65675,#65673,#26582,.T.); #82029=EDGE_CURVE('',#65676,#65674,#26583,.T.); #82030=EDGE_CURVE('',#65676,#65677,#26584,.T.); #82031=EDGE_CURVE('',#65677,#65675,#26585,.T.); #82032=EDGE_CURVE('',#65678,#65676,#26586,.T.); #82033=EDGE_CURVE('',#65678,#65679,#26587,.T.); #82034=EDGE_CURVE('',#65679,#65677,#26588,.T.); #82035=EDGE_CURVE('',#65680,#65678,#26589,.T.); #82036=EDGE_CURVE('',#65680,#65681,#26590,.T.); #82037=EDGE_CURVE('',#65681,#65679,#26591,.T.); #82038=EDGE_CURVE('',#65682,#65680,#26592,.T.); #82039=EDGE_CURVE('',#65682,#65683,#26593,.T.); #82040=EDGE_CURVE('',#65683,#65681,#26594,.T.); #82041=EDGE_CURVE('',#65684,#65682,#26595,.T.); #82042=EDGE_CURVE('',#65684,#65685,#26596,.T.); #82043=EDGE_CURVE('',#65685,#65683,#26597,.T.); #82044=EDGE_CURVE('',#65686,#65684,#26598,.T.); #82045=EDGE_CURVE('',#65686,#65687,#26599,.T.); #82046=EDGE_CURVE('',#65687,#65685,#26600,.T.); #82047=EDGE_CURVE('',#65688,#65686,#26601,.T.); #82048=EDGE_CURVE('',#65688,#65689,#26602,.T.); #82049=EDGE_CURVE('',#65689,#65687,#26603,.T.); #82050=EDGE_CURVE('',#65690,#65688,#26604,.T.); #82051=EDGE_CURVE('',#65690,#65691,#26605,.T.); #82052=EDGE_CURVE('',#65691,#65689,#26606,.T.); #82053=EDGE_CURVE('',#65692,#65690,#26607,.T.); #82054=EDGE_CURVE('',#65692,#65693,#26608,.T.); #82055=EDGE_CURVE('',#65693,#65691,#26609,.T.); #82056=EDGE_CURVE('',#65694,#65692,#26610,.T.); #82057=EDGE_CURVE('',#65694,#65695,#26611,.T.); #82058=EDGE_CURVE('',#65695,#65693,#26612,.T.); #82059=EDGE_CURVE('',#65696,#65694,#26613,.T.); #82060=EDGE_CURVE('',#65696,#65697,#26614,.T.); #82061=EDGE_CURVE('',#65697,#65695,#26615,.T.); #82062=EDGE_CURVE('',#65698,#65696,#26616,.T.); #82063=EDGE_CURVE('',#65698,#65699,#26617,.T.); #82064=EDGE_CURVE('',#65699,#65697,#26618,.T.); #82065=EDGE_CURVE('',#65700,#65698,#58635,.T.); #82066=EDGE_CURVE('',#65700,#65701,#26619,.T.); #82067=EDGE_CURVE('',#65701,#65699,#58636,.T.); #82068=EDGE_CURVE('',#65453,#65700,#26620,.T.); #82069=EDGE_CURVE('',#65455,#65701,#26621,.T.); #82070=EDGE_CURVE('',#65702,#65703,#58637,.T.); #82071=EDGE_CURVE('',#65702,#65704,#26622,.T.); #82072=EDGE_CURVE('',#65704,#65705,#58638,.T.); #82073=EDGE_CURVE('',#65703,#65705,#26623,.T.); #82074=EDGE_CURVE('',#65706,#65702,#26624,.T.); #82075=EDGE_CURVE('',#65706,#65707,#26625,.T.); #82076=EDGE_CURVE('',#65707,#65704,#26626,.T.); #82077=EDGE_CURVE('',#65708,#65706,#26627,.T.); #82078=EDGE_CURVE('',#65708,#65709,#26628,.T.); #82079=EDGE_CURVE('',#65709,#65707,#26629,.T.); #82080=EDGE_CURVE('',#65710,#65708,#26630,.T.); #82081=EDGE_CURVE('',#65710,#65711,#26631,.T.); #82082=EDGE_CURVE('',#65711,#65709,#26632,.T.); #82083=EDGE_CURVE('',#65712,#65710,#26633,.T.); #82084=EDGE_CURVE('',#65712,#65713,#26634,.T.); #82085=EDGE_CURVE('',#65713,#65711,#26635,.T.); #82086=EDGE_CURVE('',#65714,#65712,#26636,.T.); #82087=EDGE_CURVE('',#65714,#65715,#26637,.T.); #82088=EDGE_CURVE('',#65715,#65713,#26638,.T.); #82089=EDGE_CURVE('',#65716,#65714,#26639,.T.); #82090=EDGE_CURVE('',#65716,#65717,#26640,.T.); #82091=EDGE_CURVE('',#65717,#65715,#26641,.T.); #82092=EDGE_CURVE('',#65718,#65716,#26642,.T.); #82093=EDGE_CURVE('',#65718,#65719,#26643,.T.); #82094=EDGE_CURVE('',#65719,#65717,#26644,.T.); #82095=EDGE_CURVE('',#65720,#65718,#58639,.T.); #82096=EDGE_CURVE('',#65720,#65721,#26645,.T.); #82097=EDGE_CURVE('',#65721,#65719,#58640,.T.); #82098=EDGE_CURVE('',#65722,#65720,#58641,.T.); #82099=EDGE_CURVE('',#65722,#65723,#26646,.T.); #82100=EDGE_CURVE('',#65723,#65721,#58642,.T.); #82101=EDGE_CURVE('',#65724,#65722,#58643,.T.); #82102=EDGE_CURVE('',#65724,#65725,#26647,.T.); #82103=EDGE_CURVE('',#65725,#65723,#58644,.T.); #82104=EDGE_CURVE('',#65726,#65724,#58645,.T.); #82105=EDGE_CURVE('',#65726,#65727,#26648,.T.); #82106=EDGE_CURVE('',#65727,#65725,#58646,.T.); #82107=EDGE_CURVE('',#65728,#65726,#26649,.T.); #82108=EDGE_CURVE('',#65728,#65729,#26650,.T.); #82109=EDGE_CURVE('',#65729,#65727,#26651,.T.); #82110=EDGE_CURVE('',#65730,#65728,#26652,.T.); #82111=EDGE_CURVE('',#65730,#65731,#26653,.T.); #82112=EDGE_CURVE('',#65731,#65729,#26654,.T.); #82113=EDGE_CURVE('',#65732,#65730,#26655,.T.); #82114=EDGE_CURVE('',#65732,#65733,#26656,.T.); #82115=EDGE_CURVE('',#65733,#65731,#26657,.T.); #82116=EDGE_CURVE('',#65734,#65732,#58647,.T.); #82117=EDGE_CURVE('',#65734,#65735,#26658,.T.); #82118=EDGE_CURVE('',#65735,#65733,#58648,.T.); #82119=EDGE_CURVE('',#65736,#65734,#26659,.T.); #82120=EDGE_CURVE('',#65736,#65737,#26660,.T.); #82121=EDGE_CURVE('',#65737,#65735,#26661,.T.); #82122=EDGE_CURVE('',#65738,#65736,#58649,.T.); #82123=EDGE_CURVE('',#65738,#65739,#26662,.T.); #82124=EDGE_CURVE('',#65739,#65737,#58650,.T.); #82125=EDGE_CURVE('',#65740,#65738,#58651,.T.); #82126=EDGE_CURVE('',#65740,#65741,#26663,.T.); #82127=EDGE_CURVE('',#65741,#65739,#58652,.T.); #82128=EDGE_CURVE('',#65742,#65740,#26664,.T.); #82129=EDGE_CURVE('',#65742,#65743,#26665,.T.); #82130=EDGE_CURVE('',#65743,#65741,#26666,.T.); #82131=EDGE_CURVE('',#65744,#65742,#58653,.T.); #82132=EDGE_CURVE('',#65744,#65745,#26667,.T.); #82133=EDGE_CURVE('',#65745,#65743,#58654,.T.); #82134=EDGE_CURVE('',#65746,#65744,#26668,.T.); #82135=EDGE_CURVE('',#65746,#65747,#26669,.T.); #82136=EDGE_CURVE('',#65747,#65745,#26670,.T.); #82137=EDGE_CURVE('',#65748,#65746,#58655,.T.); #82138=EDGE_CURVE('',#65748,#65749,#26671,.T.); #82139=EDGE_CURVE('',#65749,#65747,#58656,.T.); #82140=EDGE_CURVE('',#65750,#65748,#58657,.T.); #82141=EDGE_CURVE('',#65750,#65751,#26672,.T.); #82142=EDGE_CURVE('',#65751,#65749,#58658,.T.); #82143=EDGE_CURVE('',#65752,#65750,#26673,.T.); #82144=EDGE_CURVE('',#65752,#65753,#26674,.T.); #82145=EDGE_CURVE('',#65753,#65751,#26675,.T.); #82146=EDGE_CURVE('',#65754,#65752,#26676,.T.); #82147=EDGE_CURVE('',#65754,#65755,#26677,.T.); #82148=EDGE_CURVE('',#65755,#65753,#26678,.T.); #82149=EDGE_CURVE('',#65756,#65754,#58659,.T.); #82150=EDGE_CURVE('',#65756,#65757,#26679,.T.); #82151=EDGE_CURVE('',#65757,#65755,#58660,.T.); #82152=EDGE_CURVE('',#65758,#65756,#26680,.T.); #82153=EDGE_CURVE('',#65758,#65759,#26681,.T.); #82154=EDGE_CURVE('',#65759,#65757,#26682,.T.); #82155=EDGE_CURVE('',#65760,#65758,#26683,.T.); #82156=EDGE_CURVE('',#65760,#65761,#26684,.T.); #82157=EDGE_CURVE('',#65761,#65759,#26685,.T.); #82158=EDGE_CURVE('',#65762,#65760,#26686,.T.); #82159=EDGE_CURVE('',#65762,#65763,#26687,.T.); #82160=EDGE_CURVE('',#65763,#65761,#26688,.T.); #82161=EDGE_CURVE('',#65764,#65762,#26689,.T.); #82162=EDGE_CURVE('',#65764,#65765,#26690,.T.); #82163=EDGE_CURVE('',#65765,#65763,#26691,.T.); #82164=EDGE_CURVE('',#65766,#65764,#26692,.T.); #82165=EDGE_CURVE('',#65766,#65767,#26693,.T.); #82166=EDGE_CURVE('',#65767,#65765,#26694,.T.); #82167=EDGE_CURVE('',#65768,#65766,#26695,.T.); #82168=EDGE_CURVE('',#65768,#65769,#26696,.T.); #82169=EDGE_CURVE('',#65769,#65767,#26697,.T.); #82170=EDGE_CURVE('',#65770,#65768,#58661,.T.); #82171=EDGE_CURVE('',#65770,#65771,#26698,.T.); #82172=EDGE_CURVE('',#65771,#65769,#58662,.T.); #82173=EDGE_CURVE('',#65772,#65770,#26699,.T.); #82174=EDGE_CURVE('',#65772,#65773,#26700,.T.); #82175=EDGE_CURVE('',#65773,#65771,#26701,.T.); #82176=EDGE_CURVE('',#65774,#65772,#26702,.T.); #82177=EDGE_CURVE('',#65774,#65775,#26703,.T.); #82178=EDGE_CURVE('',#65775,#65773,#26704,.T.); #82179=EDGE_CURVE('',#65776,#65774,#26705,.T.); #82180=EDGE_CURVE('',#65776,#65777,#26706,.T.); #82181=EDGE_CURVE('',#65777,#65775,#26707,.T.); #82182=EDGE_CURVE('',#65778,#65776,#26708,.T.); #82183=EDGE_CURVE('',#65778,#65779,#26709,.T.); #82184=EDGE_CURVE('',#65779,#65777,#26710,.T.); #82185=EDGE_CURVE('',#65780,#65778,#26711,.T.); #82186=EDGE_CURVE('',#65780,#65781,#26712,.T.); #82187=EDGE_CURVE('',#65781,#65779,#26713,.T.); #82188=EDGE_CURVE('',#65782,#65780,#58663,.T.); #82189=EDGE_CURVE('',#65782,#65783,#26714,.T.); #82190=EDGE_CURVE('',#65783,#65781,#58664,.T.); #82191=EDGE_CURVE('',#65784,#65782,#58665,.T.); #82192=EDGE_CURVE('',#65784,#65785,#26715,.T.); #82193=EDGE_CURVE('',#65785,#65783,#58666,.T.); #82194=EDGE_CURVE('',#65786,#65784,#58667,.T.); #82195=EDGE_CURVE('',#65786,#65787,#26716,.T.); #82196=EDGE_CURVE('',#65787,#65785,#58668,.T.); #82197=EDGE_CURVE('',#65788,#65786,#26717,.T.); #82198=EDGE_CURVE('',#65788,#65789,#26718,.T.); #82199=EDGE_CURVE('',#65789,#65787,#26719,.T.); #82200=EDGE_CURVE('',#65790,#65788,#26720,.T.); #82201=EDGE_CURVE('',#65790,#65791,#26721,.T.); #82202=EDGE_CURVE('',#65791,#65789,#26722,.T.); #82203=EDGE_CURVE('',#65792,#65790,#26723,.T.); #82204=EDGE_CURVE('',#65792,#65793,#26724,.T.); #82205=EDGE_CURVE('',#65793,#65791,#26725,.T.); #82206=EDGE_CURVE('',#65794,#65792,#58669,.T.); #82207=EDGE_CURVE('',#65794,#65795,#26726,.T.); #82208=EDGE_CURVE('',#65795,#65793,#58670,.T.); #82209=EDGE_CURVE('',#65796,#65794,#26727,.T.); #82210=EDGE_CURVE('',#65796,#65797,#26728,.T.); #82211=EDGE_CURVE('',#65797,#65795,#26729,.T.); #82212=EDGE_CURVE('',#65798,#65796,#26730,.T.); #82213=EDGE_CURVE('',#65798,#65799,#26731,.T.); #82214=EDGE_CURVE('',#65799,#65797,#26732,.T.); #82215=EDGE_CURVE('',#65800,#65798,#58671,.T.); #82216=EDGE_CURVE('',#65800,#65801,#26733,.T.); #82217=EDGE_CURVE('',#65801,#65799,#58672,.T.); #82218=EDGE_CURVE('',#65802,#65800,#26734,.T.); #82219=EDGE_CURVE('',#65802,#65803,#26735,.T.); #82220=EDGE_CURVE('',#65803,#65801,#26736,.T.); #82221=EDGE_CURVE('',#65804,#65802,#26737,.T.); #82222=EDGE_CURVE('',#65804,#65805,#26738,.T.); #82223=EDGE_CURVE('',#65805,#65803,#26739,.T.); #82224=EDGE_CURVE('',#65806,#65804,#26740,.T.); #82225=EDGE_CURVE('',#65806,#65807,#26741,.T.); #82226=EDGE_CURVE('',#65807,#65805,#26742,.T.); #82227=EDGE_CURVE('',#65808,#65806,#58673,.T.); #82228=EDGE_CURVE('',#65808,#65809,#26743,.T.); #82229=EDGE_CURVE('',#65809,#65807,#58674,.T.); #82230=EDGE_CURVE('',#65810,#65808,#58675,.T.); #82231=EDGE_CURVE('',#65810,#65811,#26744,.T.); #82232=EDGE_CURVE('',#65811,#65809,#58676,.T.); #82233=EDGE_CURVE('',#65812,#65810,#26745,.T.); #82234=EDGE_CURVE('',#65812,#65813,#26746,.T.); #82235=EDGE_CURVE('',#65813,#65811,#26747,.T.); #82236=EDGE_CURVE('',#65814,#65812,#58677,.T.); #82237=EDGE_CURVE('',#65814,#65815,#26748,.T.); #82238=EDGE_CURVE('',#65815,#65813,#58678,.T.); #82239=EDGE_CURVE('',#65816,#65814,#26749,.T.); #82240=EDGE_CURVE('',#65816,#65817,#26750,.T.); #82241=EDGE_CURVE('',#65817,#65815,#26751,.T.); #82242=EDGE_CURVE('',#65818,#65816,#26752,.T.); #82243=EDGE_CURVE('',#65818,#65819,#26753,.T.); #82244=EDGE_CURVE('',#65819,#65817,#26754,.T.); #82245=EDGE_CURVE('',#65820,#65818,#26755,.T.); #82246=EDGE_CURVE('',#65820,#65821,#26756,.T.); #82247=EDGE_CURVE('',#65821,#65819,#26757,.T.); #82248=EDGE_CURVE('',#65822,#65820,#58679,.T.); #82249=EDGE_CURVE('',#65822,#65823,#26758,.T.); #82250=EDGE_CURVE('',#65823,#65821,#58680,.T.); #82251=EDGE_CURVE('',#65824,#65822,#26759,.T.); #82252=EDGE_CURVE('',#65824,#65825,#26760,.T.); #82253=EDGE_CURVE('',#65825,#65823,#26761,.T.); #82254=EDGE_CURVE('',#65826,#65824,#26762,.T.); #82255=EDGE_CURVE('',#65826,#65827,#26763,.T.); #82256=EDGE_CURVE('',#65827,#65825,#26764,.T.); #82257=EDGE_CURVE('',#65828,#65826,#26765,.T.); #82258=EDGE_CURVE('',#65828,#65829,#26766,.T.); #82259=EDGE_CURVE('',#65829,#65827,#26767,.T.); #82260=EDGE_CURVE('',#65830,#65828,#26768,.T.); #82261=EDGE_CURVE('',#65830,#65831,#26769,.T.); #82262=EDGE_CURVE('',#65831,#65829,#26770,.T.); #82263=EDGE_CURVE('',#65832,#65830,#26771,.T.); #82264=EDGE_CURVE('',#65832,#65833,#26772,.T.); #82265=EDGE_CURVE('',#65833,#65831,#26773,.T.); #82266=EDGE_CURVE('',#65834,#65832,#26774,.T.); #82267=EDGE_CURVE('',#65834,#65835,#26775,.T.); #82268=EDGE_CURVE('',#65835,#65833,#26776,.T.); #82269=EDGE_CURVE('',#65836,#65834,#26777,.T.); #82270=EDGE_CURVE('',#65836,#65837,#26778,.T.); #82271=EDGE_CURVE('',#65837,#65835,#26779,.T.); #82272=EDGE_CURVE('',#65838,#65836,#26780,.T.); #82273=EDGE_CURVE('',#65838,#65839,#26781,.T.); #82274=EDGE_CURVE('',#65839,#65837,#26782,.T.); #82275=EDGE_CURVE('',#65840,#65838,#26783,.T.); #82276=EDGE_CURVE('',#65840,#65841,#26784,.T.); #82277=EDGE_CURVE('',#65841,#65839,#26785,.T.); #82278=EDGE_CURVE('',#65842,#65840,#26786,.T.); #82279=EDGE_CURVE('',#65842,#65843,#26787,.T.); #82280=EDGE_CURVE('',#65843,#65841,#26788,.T.); #82281=EDGE_CURVE('',#65844,#65842,#26789,.T.); #82282=EDGE_CURVE('',#65844,#65845,#26790,.T.); #82283=EDGE_CURVE('',#65845,#65843,#26791,.T.); #82284=EDGE_CURVE('',#65846,#65844,#26792,.T.); #82285=EDGE_CURVE('',#65846,#65847,#26793,.T.); #82286=EDGE_CURVE('',#65847,#65845,#26794,.T.); #82287=EDGE_CURVE('',#65848,#65846,#26795,.T.); #82288=EDGE_CURVE('',#65848,#65849,#26796,.T.); #82289=EDGE_CURVE('',#65849,#65847,#26797,.T.); #82290=EDGE_CURVE('',#65850,#65848,#26798,.T.); #82291=EDGE_CURVE('',#65850,#65851,#26799,.T.); #82292=EDGE_CURVE('',#65851,#65849,#26800,.T.); #82293=EDGE_CURVE('',#65852,#65850,#58681,.T.); #82294=EDGE_CURVE('',#65852,#65853,#26801,.T.); #82295=EDGE_CURVE('',#65853,#65851,#58682,.T.); #82296=EDGE_CURVE('',#65854,#65852,#26802,.T.); #82297=EDGE_CURVE('',#65854,#65855,#26803,.T.); #82298=EDGE_CURVE('',#65855,#65853,#26804,.T.); #82299=EDGE_CURVE('',#65856,#65854,#58683,.T.); #82300=EDGE_CURVE('',#65856,#65857,#26805,.T.); #82301=EDGE_CURVE('',#65857,#65855,#58684,.T.); #82302=EDGE_CURVE('',#65858,#65856,#58685,.T.); #82303=EDGE_CURVE('',#65858,#65859,#26806,.T.); #82304=EDGE_CURVE('',#65859,#65857,#58686,.T.); #82305=EDGE_CURVE('',#65860,#65858,#58687,.T.); #82306=EDGE_CURVE('',#65860,#65861,#26807,.T.); #82307=EDGE_CURVE('',#65861,#65859,#58688,.T.); #82308=EDGE_CURVE('',#65862,#65860,#58689,.T.); #82309=EDGE_CURVE('',#65862,#65863,#26808,.T.); #82310=EDGE_CURVE('',#65863,#65861,#58690,.T.); #82311=EDGE_CURVE('',#65864,#65862,#26809,.T.); #82312=EDGE_CURVE('',#65864,#65865,#26810,.T.); #82313=EDGE_CURVE('',#65865,#65863,#26811,.T.); #82314=EDGE_CURVE('',#65866,#65864,#26812,.T.); #82315=EDGE_CURVE('',#65866,#65867,#26813,.T.); #82316=EDGE_CURVE('',#65867,#65865,#26814,.T.); #82317=EDGE_CURVE('',#65868,#65866,#26815,.T.); #82318=EDGE_CURVE('',#65868,#65869,#26816,.T.); #82319=EDGE_CURVE('',#65869,#65867,#26817,.T.); #82320=EDGE_CURVE('',#65870,#65868,#26818,.T.); #82321=EDGE_CURVE('',#65870,#65871,#26819,.T.); #82322=EDGE_CURVE('',#65871,#65869,#26820,.T.); #82323=EDGE_CURVE('',#65872,#65870,#26821,.T.); #82324=EDGE_CURVE('',#65872,#65873,#26822,.T.); #82325=EDGE_CURVE('',#65873,#65871,#26823,.T.); #82326=EDGE_CURVE('',#65874,#65872,#58691,.T.); #82327=EDGE_CURVE('',#65874,#65875,#26824,.T.); #82328=EDGE_CURVE('',#65875,#65873,#58692,.T.); #82329=EDGE_CURVE('',#65876,#65874,#26825,.T.); #82330=EDGE_CURVE('',#65876,#65877,#26826,.T.); #82331=EDGE_CURVE('',#65877,#65875,#26827,.T.); #82332=EDGE_CURVE('',#65878,#65876,#26828,.T.); #82333=EDGE_CURVE('',#65878,#65879,#26829,.T.); #82334=EDGE_CURVE('',#65879,#65877,#26830,.T.); #82335=EDGE_CURVE('',#65880,#65878,#26831,.T.); #82336=EDGE_CURVE('',#65880,#65881,#26832,.T.); #82337=EDGE_CURVE('',#65881,#65879,#26833,.T.); #82338=EDGE_CURVE('',#65882,#65880,#58693,.T.); #82339=EDGE_CURVE('',#65882,#65883,#26834,.T.); #82340=EDGE_CURVE('',#65883,#65881,#58694,.T.); #82341=EDGE_CURVE('',#65884,#65882,#26835,.T.); #82342=EDGE_CURVE('',#65884,#65885,#26836,.T.); #82343=EDGE_CURVE('',#65885,#65883,#26837,.T.); #82344=EDGE_CURVE('',#65886,#65884,#26838,.T.); #82345=EDGE_CURVE('',#65886,#65887,#26839,.T.); #82346=EDGE_CURVE('',#65887,#65885,#26840,.T.); #82347=EDGE_CURVE('',#65888,#65886,#26841,.T.); #82348=EDGE_CURVE('',#65888,#65889,#26842,.T.); #82349=EDGE_CURVE('',#65889,#65887,#26843,.T.); #82350=EDGE_CURVE('',#65890,#65888,#58695,.T.); #82351=EDGE_CURVE('',#65890,#65891,#26844,.T.); #82352=EDGE_CURVE('',#65891,#65889,#58696,.T.); #82353=EDGE_CURVE('',#65892,#65890,#58697,.T.); #82354=EDGE_CURVE('',#65892,#65893,#26845,.T.); #82355=EDGE_CURVE('',#65893,#65891,#58698,.T.); #82356=EDGE_CURVE('',#65894,#65892,#58699,.T.); #82357=EDGE_CURVE('',#65894,#65895,#26846,.T.); #82358=EDGE_CURVE('',#65895,#65893,#58700,.T.); #82359=EDGE_CURVE('',#65896,#65894,#58701,.T.); #82360=EDGE_CURVE('',#65896,#65897,#26847,.T.); #82361=EDGE_CURVE('',#65897,#65895,#58702,.T.); #82362=EDGE_CURVE('',#65898,#65896,#26848,.T.); #82363=EDGE_CURVE('',#65898,#65899,#26849,.T.); #82364=EDGE_CURVE('',#65899,#65897,#26850,.T.); #82365=EDGE_CURVE('',#65900,#65898,#26851,.T.); #82366=EDGE_CURVE('',#65900,#65901,#26852,.T.); #82367=EDGE_CURVE('',#65901,#65899,#26853,.T.); #82368=EDGE_CURVE('',#65902,#65900,#26854,.T.); #82369=EDGE_CURVE('',#65902,#65903,#26855,.T.); #82370=EDGE_CURVE('',#65903,#65901,#26856,.T.); #82371=EDGE_CURVE('',#65904,#65902,#26857,.T.); #82372=EDGE_CURVE('',#65904,#65905,#26858,.T.); #82373=EDGE_CURVE('',#65905,#65903,#26859,.T.); #82374=EDGE_CURVE('',#65906,#65904,#58703,.T.); #82375=EDGE_CURVE('',#65906,#65907,#26860,.T.); #82376=EDGE_CURVE('',#65907,#65905,#58704,.T.); #82377=EDGE_CURVE('',#65908,#65906,#58705,.T.); #82378=EDGE_CURVE('',#65908,#65909,#26861,.T.); #82379=EDGE_CURVE('',#65909,#65907,#58706,.T.); #82380=EDGE_CURVE('',#65910,#65908,#26862,.T.); #82381=EDGE_CURVE('',#65910,#65911,#26863,.T.); #82382=EDGE_CURVE('',#65911,#65909,#26864,.T.); #82383=EDGE_CURVE('',#65912,#65910,#58707,.T.); #82384=EDGE_CURVE('',#65912,#65913,#26865,.T.); #82385=EDGE_CURVE('',#65913,#65911,#58708,.T.); #82386=EDGE_CURVE('',#65914,#65912,#26866,.T.); #82387=EDGE_CURVE('',#65914,#65915,#26867,.T.); #82388=EDGE_CURVE('',#65915,#65913,#26868,.T.); #82389=EDGE_CURVE('',#65916,#65914,#26869,.T.); #82390=EDGE_CURVE('',#65916,#65917,#26870,.T.); #82391=EDGE_CURVE('',#65917,#65915,#26871,.T.); #82392=EDGE_CURVE('',#65918,#65916,#26872,.T.); #82393=EDGE_CURVE('',#65918,#65919,#26873,.T.); #82394=EDGE_CURVE('',#65919,#65917,#26874,.T.); #82395=EDGE_CURVE('',#65920,#65918,#26875,.T.); #82396=EDGE_CURVE('',#65920,#65921,#26876,.T.); #82397=EDGE_CURVE('',#65921,#65919,#26877,.T.); #82398=EDGE_CURVE('',#65922,#65920,#26878,.T.); #82399=EDGE_CURVE('',#65922,#65923,#26879,.T.); #82400=EDGE_CURVE('',#65923,#65921,#26880,.T.); #82401=EDGE_CURVE('',#65924,#65922,#26881,.T.); #82402=EDGE_CURVE('',#65924,#65925,#26882,.T.); #82403=EDGE_CURVE('',#65925,#65923,#26883,.T.); #82404=EDGE_CURVE('',#65926,#65924,#26884,.T.); #82405=EDGE_CURVE('',#65926,#65927,#26885,.T.); #82406=EDGE_CURVE('',#65927,#65925,#26886,.T.); #82407=EDGE_CURVE('',#65928,#65926,#26887,.T.); #82408=EDGE_CURVE('',#65928,#65929,#26888,.T.); #82409=EDGE_CURVE('',#65929,#65927,#26889,.T.); #82410=EDGE_CURVE('',#65930,#65928,#58709,.T.); #82411=EDGE_CURVE('',#65930,#65931,#26890,.T.); #82412=EDGE_CURVE('',#65931,#65929,#58710,.T.); #82413=EDGE_CURVE('',#65932,#65930,#26891,.T.); #82414=EDGE_CURVE('',#65932,#65933,#26892,.T.); #82415=EDGE_CURVE('',#65933,#65931,#26893,.T.); #82416=EDGE_CURVE('',#65934,#65932,#26894,.T.); #82417=EDGE_CURVE('',#65934,#65935,#26895,.T.); #82418=EDGE_CURVE('',#65935,#65933,#26896,.T.); #82419=EDGE_CURVE('',#65936,#65934,#26897,.T.); #82420=EDGE_CURVE('',#65936,#65937,#26898,.T.); #82421=EDGE_CURVE('',#65937,#65935,#26899,.T.); #82422=EDGE_CURVE('',#65938,#65936,#26900,.T.); #82423=EDGE_CURVE('',#65938,#65939,#26901,.T.); #82424=EDGE_CURVE('',#65939,#65937,#26902,.T.); #82425=EDGE_CURVE('',#65940,#65938,#58711,.T.); #82426=EDGE_CURVE('',#65940,#65941,#26903,.T.); #82427=EDGE_CURVE('',#65941,#65939,#58712,.T.); #82428=EDGE_CURVE('',#65942,#65940,#26904,.T.); #82429=EDGE_CURVE('',#65942,#65943,#26905,.T.); #82430=EDGE_CURVE('',#65943,#65941,#26906,.T.); #82431=EDGE_CURVE('',#65944,#65942,#26907,.T.); #82432=EDGE_CURVE('',#65944,#65945,#26908,.T.); #82433=EDGE_CURVE('',#65945,#65943,#26909,.T.); #82434=EDGE_CURVE('',#65946,#65944,#26910,.T.); #82435=EDGE_CURVE('',#65946,#65947,#26911,.T.); #82436=EDGE_CURVE('',#65947,#65945,#26912,.T.); #82437=EDGE_CURVE('',#65948,#65946,#26913,.T.); #82438=EDGE_CURVE('',#65948,#65949,#26914,.T.); #82439=EDGE_CURVE('',#65949,#65947,#26915,.T.); #82440=EDGE_CURVE('',#65950,#65948,#26916,.T.); #82441=EDGE_CURVE('',#65950,#65951,#26917,.T.); #82442=EDGE_CURVE('',#65951,#65949,#26918,.T.); #82443=EDGE_CURVE('',#65952,#65950,#26919,.T.); #82444=EDGE_CURVE('',#65952,#65953,#26920,.T.); #82445=EDGE_CURVE('',#65953,#65951,#26921,.T.); #82446=EDGE_CURVE('',#65954,#65952,#26922,.T.); #82447=EDGE_CURVE('',#65954,#65955,#26923,.T.); #82448=EDGE_CURVE('',#65955,#65953,#26924,.T.); #82449=EDGE_CURVE('',#65956,#65954,#26925,.T.); #82450=EDGE_CURVE('',#65956,#65957,#26926,.T.); #82451=EDGE_CURVE('',#65957,#65955,#26927,.T.); #82452=EDGE_CURVE('',#65958,#65956,#26928,.T.); #82453=EDGE_CURVE('',#65958,#65959,#26929,.T.); #82454=EDGE_CURVE('',#65959,#65957,#26930,.T.); #82455=EDGE_CURVE('',#65960,#65958,#26931,.T.); #82456=EDGE_CURVE('',#65960,#65961,#26932,.T.); #82457=EDGE_CURVE('',#65961,#65959,#26933,.T.); #82458=EDGE_CURVE('',#65703,#65960,#26934,.T.); #82459=EDGE_CURVE('',#65705,#65961,#26935,.T.); #82460=EDGE_CURVE('',#65962,#65963,#58713,.T.); #82461=EDGE_CURVE('',#65962,#65964,#26936,.T.); #82462=EDGE_CURVE('',#65964,#65965,#58714,.T.); #82463=EDGE_CURVE('',#65963,#65965,#26937,.T.); #82464=EDGE_CURVE('',#65966,#65962,#26938,.T.); #82465=EDGE_CURVE('',#65966,#65967,#26939,.T.); #82466=EDGE_CURVE('',#65967,#65964,#26940,.T.); #82467=EDGE_CURVE('',#65968,#65966,#26941,.T.); #82468=EDGE_CURVE('',#65968,#65969,#26942,.T.); #82469=EDGE_CURVE('',#65969,#65967,#26943,.T.); #82470=EDGE_CURVE('',#65970,#65968,#26944,.T.); #82471=EDGE_CURVE('',#65970,#65971,#26945,.T.); #82472=EDGE_CURVE('',#65971,#65969,#26946,.T.); #82473=EDGE_CURVE('',#65972,#65970,#26947,.T.); #82474=EDGE_CURVE('',#65972,#65973,#26948,.T.); #82475=EDGE_CURVE('',#65973,#65971,#26949,.T.); #82476=EDGE_CURVE('',#65974,#65972,#26950,.T.); #82477=EDGE_CURVE('',#65974,#65975,#26951,.T.); #82478=EDGE_CURVE('',#65975,#65973,#26952,.T.); #82479=EDGE_CURVE('',#65976,#65974,#26953,.T.); #82480=EDGE_CURVE('',#65976,#65977,#26954,.T.); #82481=EDGE_CURVE('',#65977,#65975,#26955,.T.); #82482=EDGE_CURVE('',#65978,#65976,#26956,.T.); #82483=EDGE_CURVE('',#65978,#65979,#26957,.T.); #82484=EDGE_CURVE('',#65979,#65977,#26958,.T.); #82485=EDGE_CURVE('',#65980,#65978,#26959,.T.); #82486=EDGE_CURVE('',#65980,#65981,#26960,.T.); #82487=EDGE_CURVE('',#65981,#65979,#26961,.T.); #82488=EDGE_CURVE('',#65982,#65980,#26962,.T.); #82489=EDGE_CURVE('',#65982,#65983,#26963,.T.); #82490=EDGE_CURVE('',#65983,#65981,#26964,.T.); #82491=EDGE_CURVE('',#65984,#65982,#26965,.T.); #82492=EDGE_CURVE('',#65984,#65985,#26966,.T.); #82493=EDGE_CURVE('',#65985,#65983,#26967,.T.); #82494=EDGE_CURVE('',#65986,#65984,#26968,.T.); #82495=EDGE_CURVE('',#65986,#65987,#26969,.T.); #82496=EDGE_CURVE('',#65987,#65985,#26970,.T.); #82497=EDGE_CURVE('',#65988,#65986,#58715,.T.); #82498=EDGE_CURVE('',#65988,#65989,#26971,.T.); #82499=EDGE_CURVE('',#65989,#65987,#58716,.T.); #82500=EDGE_CURVE('',#65990,#65988,#58717,.T.); #82501=EDGE_CURVE('',#65990,#65991,#26972,.T.); #82502=EDGE_CURVE('',#65991,#65989,#58718,.T.); #82503=EDGE_CURVE('',#65992,#65990,#26973,.T.); #82504=EDGE_CURVE('',#65992,#65993,#26974,.T.); #82505=EDGE_CURVE('',#65993,#65991,#26975,.T.); #82506=EDGE_CURVE('',#65994,#65992,#26976,.T.); #82507=EDGE_CURVE('',#65994,#65995,#26977,.T.); #82508=EDGE_CURVE('',#65995,#65993,#26978,.T.); #82509=EDGE_CURVE('',#65996,#65994,#26979,.T.); #82510=EDGE_CURVE('',#65996,#65997,#26980,.T.); #82511=EDGE_CURVE('',#65997,#65995,#26981,.T.); #82512=EDGE_CURVE('',#65998,#65996,#26982,.T.); #82513=EDGE_CURVE('',#65998,#65999,#26983,.T.); #82514=EDGE_CURVE('',#65999,#65997,#26984,.T.); #82515=EDGE_CURVE('',#66000,#65998,#26985,.T.); #82516=EDGE_CURVE('',#66000,#66001,#26986,.T.); #82517=EDGE_CURVE('',#66001,#65999,#26987,.T.); #82518=EDGE_CURVE('',#66002,#66000,#26988,.T.); #82519=EDGE_CURVE('',#66002,#66003,#26989,.T.); #82520=EDGE_CURVE('',#66003,#66001,#26990,.T.); #82521=EDGE_CURVE('',#66004,#66002,#26991,.T.); #82522=EDGE_CURVE('',#66004,#66005,#26992,.T.); #82523=EDGE_CURVE('',#66005,#66003,#26993,.T.); #82524=EDGE_CURVE('',#66006,#66004,#26994,.T.); #82525=EDGE_CURVE('',#66006,#66007,#26995,.T.); #82526=EDGE_CURVE('',#66007,#66005,#26996,.T.); #82527=EDGE_CURVE('',#66008,#66006,#58719,.T.); #82528=EDGE_CURVE('',#66008,#66009,#26997,.T.); #82529=EDGE_CURVE('',#66009,#66007,#58720,.T.); #82530=EDGE_CURVE('',#66010,#66008,#26998,.T.); #82531=EDGE_CURVE('',#66010,#66011,#26999,.T.); #82532=EDGE_CURVE('',#66011,#66009,#27000,.T.); #82533=EDGE_CURVE('',#66012,#66010,#27001,.T.); #82534=EDGE_CURVE('',#66012,#66013,#27002,.T.); #82535=EDGE_CURVE('',#66013,#66011,#27003,.T.); #82536=EDGE_CURVE('',#66014,#66012,#27004,.T.); #82537=EDGE_CURVE('',#66014,#66015,#27005,.T.); #82538=EDGE_CURVE('',#66015,#66013,#27006,.T.); #82539=EDGE_CURVE('',#66016,#66014,#27007,.T.); #82540=EDGE_CURVE('',#66016,#66017,#27008,.T.); #82541=EDGE_CURVE('',#66017,#66015,#27009,.T.); #82542=EDGE_CURVE('',#66018,#66016,#27010,.T.); #82543=EDGE_CURVE('',#66018,#66019,#27011,.T.); #82544=EDGE_CURVE('',#66019,#66017,#27012,.T.); #82545=EDGE_CURVE('',#66020,#66018,#27013,.T.); #82546=EDGE_CURVE('',#66020,#66021,#27014,.T.); #82547=EDGE_CURVE('',#66021,#66019,#27015,.T.); #82548=EDGE_CURVE('',#66022,#66020,#27016,.T.); #82549=EDGE_CURVE('',#66022,#66023,#27017,.T.); #82550=EDGE_CURVE('',#66023,#66021,#27018,.T.); #82551=EDGE_CURVE('',#66024,#66022,#27019,.T.); #82552=EDGE_CURVE('',#66024,#66025,#27020,.T.); #82553=EDGE_CURVE('',#66025,#66023,#27021,.T.); #82554=EDGE_CURVE('',#66026,#66024,#27022,.T.); #82555=EDGE_CURVE('',#66026,#66027,#27023,.T.); #82556=EDGE_CURVE('',#66027,#66025,#27024,.T.); #82557=EDGE_CURVE('',#66028,#66026,#27025,.T.); #82558=EDGE_CURVE('',#66028,#66029,#27026,.T.); #82559=EDGE_CURVE('',#66029,#66027,#27027,.T.); #82560=EDGE_CURVE('',#66030,#66028,#27028,.T.); #82561=EDGE_CURVE('',#66030,#66031,#27029,.T.); #82562=EDGE_CURVE('',#66031,#66029,#27030,.T.); #82563=EDGE_CURVE('',#66032,#66030,#27031,.T.); #82564=EDGE_CURVE('',#66032,#66033,#27032,.T.); #82565=EDGE_CURVE('',#66033,#66031,#27033,.T.); #82566=EDGE_CURVE('',#66034,#66032,#27034,.T.); #82567=EDGE_CURVE('',#66034,#66035,#27035,.T.); #82568=EDGE_CURVE('',#66035,#66033,#27036,.T.); #82569=EDGE_CURVE('',#66036,#66034,#58721,.T.); #82570=EDGE_CURVE('',#66036,#66037,#27037,.T.); #82571=EDGE_CURVE('',#66037,#66035,#58722,.T.); #82572=EDGE_CURVE('',#66038,#66036,#27038,.T.); #82573=EDGE_CURVE('',#66038,#66039,#27039,.T.); #82574=EDGE_CURVE('',#66039,#66037,#27040,.T.); #82575=EDGE_CURVE('',#66040,#66038,#27041,.T.); #82576=EDGE_CURVE('',#66040,#66041,#27042,.T.); #82577=EDGE_CURVE('',#66041,#66039,#27043,.T.); #82578=EDGE_CURVE('',#66042,#66040,#58723,.T.); #82579=EDGE_CURVE('',#66042,#66043,#27044,.T.); #82580=EDGE_CURVE('',#66043,#66041,#58724,.T.); #82581=EDGE_CURVE('',#66044,#66042,#27045,.T.); #82582=EDGE_CURVE('',#66044,#66045,#27046,.T.); #82583=EDGE_CURVE('',#66045,#66043,#27047,.T.); #82584=EDGE_CURVE('',#66046,#66044,#58725,.T.); #82585=EDGE_CURVE('',#66046,#66047,#27048,.T.); #82586=EDGE_CURVE('',#66047,#66045,#58726,.T.); #82587=EDGE_CURVE('',#66048,#66046,#58727,.T.); #82588=EDGE_CURVE('',#66048,#66049,#27049,.T.); #82589=EDGE_CURVE('',#66049,#66047,#58728,.T.); #82590=EDGE_CURVE('',#66050,#66048,#27050,.T.); #82591=EDGE_CURVE('',#66050,#66051,#27051,.T.); #82592=EDGE_CURVE('',#66051,#66049,#27052,.T.); #82593=EDGE_CURVE('',#66052,#66050,#27053,.T.); #82594=EDGE_CURVE('',#66052,#66053,#27054,.T.); #82595=EDGE_CURVE('',#66053,#66051,#27055,.T.); #82596=EDGE_CURVE('',#66054,#66052,#27056,.T.); #82597=EDGE_CURVE('',#66054,#66055,#27057,.T.); #82598=EDGE_CURVE('',#66055,#66053,#27058,.T.); #82599=EDGE_CURVE('',#66056,#66054,#27059,.T.); #82600=EDGE_CURVE('',#66056,#66057,#27060,.T.); #82601=EDGE_CURVE('',#66057,#66055,#27061,.T.); #82602=EDGE_CURVE('',#66058,#66056,#27062,.T.); #82603=EDGE_CURVE('',#66058,#66059,#27063,.T.); #82604=EDGE_CURVE('',#66059,#66057,#27064,.T.); #82605=EDGE_CURVE('',#66060,#66058,#27065,.T.); #82606=EDGE_CURVE('',#66060,#66061,#27066,.T.); #82607=EDGE_CURVE('',#66061,#66059,#27067,.T.); #82608=EDGE_CURVE('',#66062,#66060,#27068,.T.); #82609=EDGE_CURVE('',#66062,#66063,#27069,.T.); #82610=EDGE_CURVE('',#66063,#66061,#27070,.T.); #82611=EDGE_CURVE('',#66064,#66062,#27071,.T.); #82612=EDGE_CURVE('',#66064,#66065,#27072,.T.); #82613=EDGE_CURVE('',#66065,#66063,#27073,.T.); #82614=EDGE_CURVE('',#66066,#66064,#27074,.T.); #82615=EDGE_CURVE('',#66066,#66067,#27075,.T.); #82616=EDGE_CURVE('',#66067,#66065,#27076,.T.); #82617=EDGE_CURVE('',#66068,#66066,#27077,.T.); #82618=EDGE_CURVE('',#66068,#66069,#27078,.T.); #82619=EDGE_CURVE('',#66069,#66067,#27079,.T.); #82620=EDGE_CURVE('',#66070,#66068,#27080,.T.); #82621=EDGE_CURVE('',#66070,#66071,#27081,.T.); #82622=EDGE_CURVE('',#66071,#66069,#27082,.T.); #82623=EDGE_CURVE('',#66072,#66070,#27083,.T.); #82624=EDGE_CURVE('',#66072,#66073,#27084,.T.); #82625=EDGE_CURVE('',#66073,#66071,#27085,.T.); #82626=EDGE_CURVE('',#66074,#66072,#58729,.T.); #82627=EDGE_CURVE('',#66074,#66075,#27086,.T.); #82628=EDGE_CURVE('',#66075,#66073,#58730,.T.); #82629=EDGE_CURVE('',#66076,#66074,#27087,.T.); #82630=EDGE_CURVE('',#66076,#66077,#27088,.T.); #82631=EDGE_CURVE('',#66077,#66075,#27089,.T.); #82632=EDGE_CURVE('',#66078,#66076,#58731,.T.); #82633=EDGE_CURVE('',#66078,#66079,#27090,.T.); #82634=EDGE_CURVE('',#66079,#66077,#58732,.T.); #82635=EDGE_CURVE('',#66080,#66078,#27091,.T.); #82636=EDGE_CURVE('',#66080,#66081,#27092,.T.); #82637=EDGE_CURVE('',#66081,#66079,#27093,.T.); #82638=EDGE_CURVE('',#66082,#66080,#27094,.T.); #82639=EDGE_CURVE('',#66082,#66083,#27095,.T.); #82640=EDGE_CURVE('',#66083,#66081,#27096,.T.); #82641=EDGE_CURVE('',#66084,#66082,#27097,.T.); #82642=EDGE_CURVE('',#66084,#66085,#27098,.T.); #82643=EDGE_CURVE('',#66085,#66083,#27099,.T.); #82644=EDGE_CURVE('',#66086,#66084,#27100,.T.); #82645=EDGE_CURVE('',#66086,#66087,#27101,.T.); #82646=EDGE_CURVE('',#66087,#66085,#27102,.T.); #82647=EDGE_CURVE('',#66088,#66086,#27103,.T.); #82648=EDGE_CURVE('',#66088,#66089,#27104,.T.); #82649=EDGE_CURVE('',#66089,#66087,#27105,.T.); #82650=EDGE_CURVE('',#66090,#66088,#27106,.T.); #82651=EDGE_CURVE('',#66090,#66091,#27107,.T.); #82652=EDGE_CURVE('',#66091,#66089,#27108,.T.); #82653=EDGE_CURVE('',#66092,#66090,#27109,.T.); #82654=EDGE_CURVE('',#66092,#66093,#27110,.T.); #82655=EDGE_CURVE('',#66093,#66091,#27111,.T.); #82656=EDGE_CURVE('',#66094,#66092,#58733,.T.); #82657=EDGE_CURVE('',#66094,#66095,#27112,.T.); #82658=EDGE_CURVE('',#66095,#66093,#58734,.T.); #82659=EDGE_CURVE('',#66096,#66094,#27113,.T.); #82660=EDGE_CURVE('',#66096,#66097,#27114,.T.); #82661=EDGE_CURVE('',#66097,#66095,#27115,.T.); #82662=EDGE_CURVE('',#66098,#66096,#27116,.T.); #82663=EDGE_CURVE('',#66098,#66099,#27117,.T.); #82664=EDGE_CURVE('',#66099,#66097,#27118,.T.); #82665=EDGE_CURVE('',#66100,#66098,#58735,.T.); #82666=EDGE_CURVE('',#66100,#66101,#27119,.T.); #82667=EDGE_CURVE('',#66101,#66099,#58736,.T.); #82668=EDGE_CURVE('',#66102,#66100,#58737,.T.); #82669=EDGE_CURVE('',#66102,#66103,#27120,.T.); #82670=EDGE_CURVE('',#66103,#66101,#58738,.T.); #82671=EDGE_CURVE('',#66104,#66102,#27121,.T.); #82672=EDGE_CURVE('',#66104,#66105,#27122,.T.); #82673=EDGE_CURVE('',#66105,#66103,#27123,.T.); #82674=EDGE_CURVE('',#66106,#66104,#27124,.T.); #82675=EDGE_CURVE('',#66106,#66107,#27125,.T.); #82676=EDGE_CURVE('',#66107,#66105,#27126,.T.); #82677=EDGE_CURVE('',#66108,#66106,#27127,.T.); #82678=EDGE_CURVE('',#66108,#66109,#27128,.T.); #82679=EDGE_CURVE('',#66109,#66107,#27129,.T.); #82680=EDGE_CURVE('',#66110,#66108,#27130,.T.); #82681=EDGE_CURVE('',#66110,#66111,#27131,.T.); #82682=EDGE_CURVE('',#66111,#66109,#27132,.T.); #82683=EDGE_CURVE('',#66112,#66110,#27133,.T.); #82684=EDGE_CURVE('',#66112,#66113,#27134,.T.); #82685=EDGE_CURVE('',#66113,#66111,#27135,.T.); #82686=EDGE_CURVE('',#66114,#66112,#27136,.T.); #82687=EDGE_CURVE('',#66114,#66115,#27137,.T.); #82688=EDGE_CURVE('',#66115,#66113,#27138,.T.); #82689=EDGE_CURVE('',#66116,#66114,#27139,.T.); #82690=EDGE_CURVE('',#66116,#66117,#27140,.T.); #82691=EDGE_CURVE('',#66117,#66115,#27141,.T.); #82692=EDGE_CURVE('',#66118,#66116,#27142,.T.); #82693=EDGE_CURVE('',#66118,#66119,#27143,.T.); #82694=EDGE_CURVE('',#66119,#66117,#27144,.T.); #82695=EDGE_CURVE('',#66120,#66118,#27145,.T.); #82696=EDGE_CURVE('',#66120,#66121,#27146,.T.); #82697=EDGE_CURVE('',#66121,#66119,#27147,.T.); #82698=EDGE_CURVE('',#66122,#66120,#27148,.T.); #82699=EDGE_CURVE('',#66122,#66123,#27149,.T.); #82700=EDGE_CURVE('',#66123,#66121,#27150,.T.); #82701=EDGE_CURVE('',#66124,#66122,#58739,.T.); #82702=EDGE_CURVE('',#66124,#66125,#27151,.T.); #82703=EDGE_CURVE('',#66125,#66123,#58740,.T.); #82704=EDGE_CURVE('',#66126,#66124,#27152,.T.); #82705=EDGE_CURVE('',#66126,#66127,#27153,.T.); #82706=EDGE_CURVE('',#66127,#66125,#27154,.T.); #82707=EDGE_CURVE('',#66128,#66126,#27155,.T.); #82708=EDGE_CURVE('',#66128,#66129,#27156,.T.); #82709=EDGE_CURVE('',#66129,#66127,#27157,.T.); #82710=EDGE_CURVE('',#66130,#66128,#27158,.T.); #82711=EDGE_CURVE('',#66130,#66131,#27159,.T.); #82712=EDGE_CURVE('',#66131,#66129,#27160,.T.); #82713=EDGE_CURVE('',#66132,#66130,#58741,.T.); #82714=EDGE_CURVE('',#66132,#66133,#27161,.T.); #82715=EDGE_CURVE('',#66133,#66131,#58742,.T.); #82716=EDGE_CURVE('',#66134,#66132,#27162,.T.); #82717=EDGE_CURVE('',#66134,#66135,#27163,.T.); #82718=EDGE_CURVE('',#66135,#66133,#27164,.T.); #82719=EDGE_CURVE('',#66136,#66134,#58743,.T.); #82720=EDGE_CURVE('',#66136,#66137,#27165,.T.); #82721=EDGE_CURVE('',#66137,#66135,#58744,.T.); #82722=EDGE_CURVE('',#66138,#66136,#58745,.T.); #82723=EDGE_CURVE('',#66138,#66139,#27166,.T.); #82724=EDGE_CURVE('',#66139,#66137,#58746,.T.); #82725=EDGE_CURVE('',#66140,#66138,#27167,.T.); #82726=EDGE_CURVE('',#66140,#66141,#27168,.T.); #82727=EDGE_CURVE('',#66141,#66139,#27169,.T.); #82728=EDGE_CURVE('',#66142,#66140,#27170,.T.); #82729=EDGE_CURVE('',#66142,#66143,#27171,.T.); #82730=EDGE_CURVE('',#66143,#66141,#27172,.T.); #82731=EDGE_CURVE('',#66144,#66142,#58747,.T.); #82732=EDGE_CURVE('',#66144,#66145,#27173,.T.); #82733=EDGE_CURVE('',#66145,#66143,#58748,.T.); #82734=EDGE_CURVE('',#66146,#66144,#27174,.T.); #82735=EDGE_CURVE('',#66146,#66147,#27175,.T.); #82736=EDGE_CURVE('',#66147,#66145,#27176,.T.); #82737=EDGE_CURVE('',#66148,#66146,#58749,.T.); #82738=EDGE_CURVE('',#66148,#66149,#27177,.T.); #82739=EDGE_CURVE('',#66149,#66147,#58750,.T.); #82740=EDGE_CURVE('',#66150,#66148,#58751,.T.); #82741=EDGE_CURVE('',#66150,#66151,#27178,.T.); #82742=EDGE_CURVE('',#66151,#66149,#58752,.T.); #82743=EDGE_CURVE('',#66152,#66150,#58753,.T.); #82744=EDGE_CURVE('',#66152,#66153,#27179,.T.); #82745=EDGE_CURVE('',#66153,#66151,#58754,.T.); #82746=EDGE_CURVE('',#66154,#66152,#27180,.T.); #82747=EDGE_CURVE('',#66154,#66155,#27181,.T.); #82748=EDGE_CURVE('',#66155,#66153,#27182,.T.); #82749=EDGE_CURVE('',#66156,#66154,#27183,.T.); #82750=EDGE_CURVE('',#66156,#66157,#27184,.T.); #82751=EDGE_CURVE('',#66157,#66155,#27185,.T.); #82752=EDGE_CURVE('',#66158,#66156,#27186,.T.); #82753=EDGE_CURVE('',#66158,#66159,#27187,.T.); #82754=EDGE_CURVE('',#66159,#66157,#27188,.T.); #82755=EDGE_CURVE('',#66160,#66158,#27189,.T.); #82756=EDGE_CURVE('',#66160,#66161,#27190,.T.); #82757=EDGE_CURVE('',#66161,#66159,#27191,.T.); #82758=EDGE_CURVE('',#66162,#66160,#27192,.T.); #82759=EDGE_CURVE('',#66162,#66163,#27193,.T.); #82760=EDGE_CURVE('',#66163,#66161,#27194,.T.); #82761=EDGE_CURVE('',#66164,#66162,#27195,.T.); #82762=EDGE_CURVE('',#66164,#66165,#27196,.T.); #82763=EDGE_CURVE('',#66165,#66163,#27197,.T.); #82764=EDGE_CURVE('',#66166,#66164,#27198,.T.); #82765=EDGE_CURVE('',#66166,#66167,#27199,.T.); #82766=EDGE_CURVE('',#66167,#66165,#27200,.T.); #82767=EDGE_CURVE('',#66168,#66166,#27201,.T.); #82768=EDGE_CURVE('',#66168,#66169,#27202,.T.); #82769=EDGE_CURVE('',#66169,#66167,#27203,.T.); #82770=EDGE_CURVE('',#66170,#66168,#27204,.T.); #82771=EDGE_CURVE('',#66170,#66171,#27205,.T.); #82772=EDGE_CURVE('',#66171,#66169,#27206,.T.); #82773=EDGE_CURVE('',#66172,#66170,#27207,.T.); #82774=EDGE_CURVE('',#66172,#66173,#27208,.T.); #82775=EDGE_CURVE('',#66173,#66171,#27209,.T.); #82776=EDGE_CURVE('',#66174,#66172,#27210,.T.); #82777=EDGE_CURVE('',#66174,#66175,#27211,.T.); #82778=EDGE_CURVE('',#66175,#66173,#27212,.T.); #82779=EDGE_CURVE('',#66176,#66174,#27213,.T.); #82780=EDGE_CURVE('',#66176,#66177,#27214,.T.); #82781=EDGE_CURVE('',#66177,#66175,#27215,.T.); #82782=EDGE_CURVE('',#66178,#66176,#27216,.T.); #82783=EDGE_CURVE('',#66178,#66179,#27217,.T.); #82784=EDGE_CURVE('',#66179,#66177,#27218,.T.); #82785=EDGE_CURVE('',#66180,#66178,#27219,.T.); #82786=EDGE_CURVE('',#66180,#66181,#27220,.T.); #82787=EDGE_CURVE('',#66181,#66179,#27221,.T.); #82788=EDGE_CURVE('',#66182,#66180,#27222,.T.); #82789=EDGE_CURVE('',#66182,#66183,#27223,.T.); #82790=EDGE_CURVE('',#66183,#66181,#27224,.T.); #82791=EDGE_CURVE('',#66184,#66182,#27225,.T.); #82792=EDGE_CURVE('',#66184,#66185,#27226,.T.); #82793=EDGE_CURVE('',#66185,#66183,#27227,.T.); #82794=EDGE_CURVE('',#66186,#66184,#27228,.T.); #82795=EDGE_CURVE('',#66186,#66187,#27229,.T.); #82796=EDGE_CURVE('',#66187,#66185,#27230,.T.); #82797=EDGE_CURVE('',#66188,#66186,#27231,.T.); #82798=EDGE_CURVE('',#66188,#66189,#27232,.T.); #82799=EDGE_CURVE('',#66189,#66187,#27233,.T.); #82800=EDGE_CURVE('',#66190,#66188,#27234,.T.); #82801=EDGE_CURVE('',#66190,#66191,#27235,.T.); #82802=EDGE_CURVE('',#66191,#66189,#27236,.T.); #82803=EDGE_CURVE('',#66192,#66190,#27237,.T.); #82804=EDGE_CURVE('',#66192,#66193,#27238,.T.); #82805=EDGE_CURVE('',#66193,#66191,#27239,.T.); #82806=EDGE_CURVE('',#66194,#66192,#58755,.T.); #82807=EDGE_CURVE('',#66194,#66195,#27240,.T.); #82808=EDGE_CURVE('',#66195,#66193,#58756,.T.); #82809=EDGE_CURVE('',#66196,#66194,#27241,.T.); #82810=EDGE_CURVE('',#66196,#66197,#27242,.T.); #82811=EDGE_CURVE('',#66197,#66195,#27243,.T.); #82812=EDGE_CURVE('',#66198,#66196,#27244,.T.); #82813=EDGE_CURVE('',#66198,#66199,#27245,.T.); #82814=EDGE_CURVE('',#66199,#66197,#27246,.T.); #82815=EDGE_CURVE('',#66200,#66198,#58757,.T.); #82816=EDGE_CURVE('',#66200,#66201,#27247,.T.); #82817=EDGE_CURVE('',#66201,#66199,#58758,.T.); #82818=EDGE_CURVE('',#66202,#66200,#27248,.T.); #82819=EDGE_CURVE('',#66202,#66203,#27249,.T.); #82820=EDGE_CURVE('',#66203,#66201,#27250,.T.); #82821=EDGE_CURVE('',#66204,#66202,#27251,.T.); #82822=EDGE_CURVE('',#66204,#66205,#27252,.T.); #82823=EDGE_CURVE('',#66205,#66203,#27253,.T.); #82824=EDGE_CURVE('',#66206,#66204,#58759,.T.); #82825=EDGE_CURVE('',#66206,#66207,#27254,.T.); #82826=EDGE_CURVE('',#66207,#66205,#58760,.T.); #82827=EDGE_CURVE('',#66208,#66206,#27255,.T.); #82828=EDGE_CURVE('',#66208,#66209,#27256,.T.); #82829=EDGE_CURVE('',#66209,#66207,#27257,.T.); #82830=EDGE_CURVE('',#66210,#66208,#27258,.T.); #82831=EDGE_CURVE('',#66210,#66211,#27259,.T.); #82832=EDGE_CURVE('',#66211,#66209,#27260,.T.); #82833=EDGE_CURVE('',#66212,#66210,#27261,.T.); #82834=EDGE_CURVE('',#66212,#66213,#27262,.T.); #82835=EDGE_CURVE('',#66213,#66211,#27263,.T.); #82836=EDGE_CURVE('',#66214,#66212,#27264,.T.); #82837=EDGE_CURVE('',#66214,#66215,#27265,.T.); #82838=EDGE_CURVE('',#66215,#66213,#27266,.T.); #82839=EDGE_CURVE('',#66216,#66214,#27267,.T.); #82840=EDGE_CURVE('',#66216,#66217,#27268,.T.); #82841=EDGE_CURVE('',#66217,#66215,#27269,.T.); #82842=EDGE_CURVE('',#66218,#66216,#27270,.T.); #82843=EDGE_CURVE('',#66218,#66219,#27271,.T.); #82844=EDGE_CURVE('',#66219,#66217,#27272,.T.); #82845=EDGE_CURVE('',#66220,#66218,#27273,.T.); #82846=EDGE_CURVE('',#66220,#66221,#27274,.T.); #82847=EDGE_CURVE('',#66221,#66219,#27275,.T.); #82848=EDGE_CURVE('',#66222,#66220,#27276,.T.); #82849=EDGE_CURVE('',#66222,#66223,#27277,.T.); #82850=EDGE_CURVE('',#66223,#66221,#27278,.T.); #82851=EDGE_CURVE('',#66224,#66222,#58761,.T.); #82852=EDGE_CURVE('',#66224,#66225,#27279,.T.); #82853=EDGE_CURVE('',#66225,#66223,#58762,.T.); #82854=EDGE_CURVE('',#66226,#66224,#27280,.T.); #82855=EDGE_CURVE('',#66226,#66227,#27281,.T.); #82856=EDGE_CURVE('',#66227,#66225,#27282,.T.); #82857=EDGE_CURVE('',#66228,#66226,#58763,.T.); #82858=EDGE_CURVE('',#66228,#66229,#27283,.T.); #82859=EDGE_CURVE('',#66229,#66227,#58764,.T.); #82860=EDGE_CURVE('',#66230,#66228,#58765,.T.); #82861=EDGE_CURVE('',#66230,#66231,#27284,.T.); #82862=EDGE_CURVE('',#66231,#66229,#58766,.T.); #82863=EDGE_CURVE('',#66232,#66230,#27285,.T.); #82864=EDGE_CURVE('',#66232,#66233,#27286,.T.); #82865=EDGE_CURVE('',#66233,#66231,#27287,.T.); #82866=EDGE_CURVE('',#66234,#66232,#27288,.T.); #82867=EDGE_CURVE('',#66234,#66235,#27289,.T.); #82868=EDGE_CURVE('',#66235,#66233,#27290,.T.); #82869=EDGE_CURVE('',#66236,#66234,#27291,.T.); #82870=EDGE_CURVE('',#66236,#66237,#27292,.T.); #82871=EDGE_CURVE('',#66237,#66235,#27293,.T.); #82872=EDGE_CURVE('',#66238,#66236,#27294,.T.); #82873=EDGE_CURVE('',#66238,#66239,#27295,.T.); #82874=EDGE_CURVE('',#66239,#66237,#27296,.T.); #82875=EDGE_CURVE('',#66240,#66238,#27297,.T.); #82876=EDGE_CURVE('',#66240,#66241,#27298,.T.); #82877=EDGE_CURVE('',#66241,#66239,#27299,.T.); #82878=EDGE_CURVE('',#66242,#66240,#27300,.T.); #82879=EDGE_CURVE('',#66242,#66243,#27301,.T.); #82880=EDGE_CURVE('',#66243,#66241,#27302,.T.); #82881=EDGE_CURVE('',#66244,#66242,#27303,.T.); #82882=EDGE_CURVE('',#66244,#66245,#27304,.T.); #82883=EDGE_CURVE('',#66245,#66243,#27305,.T.); #82884=EDGE_CURVE('',#66246,#66244,#58767,.T.); #82885=EDGE_CURVE('',#66246,#66247,#27306,.T.); #82886=EDGE_CURVE('',#66247,#66245,#58768,.T.); #82887=EDGE_CURVE('',#66248,#66246,#27307,.T.); #82888=EDGE_CURVE('',#66248,#66249,#27308,.T.); #82889=EDGE_CURVE('',#66249,#66247,#27309,.T.); #82890=EDGE_CURVE('',#66250,#66248,#27310,.T.); #82891=EDGE_CURVE('',#66250,#66251,#27311,.T.); #82892=EDGE_CURVE('',#66251,#66249,#27312,.T.); #82893=EDGE_CURVE('',#66252,#66250,#58769,.T.); #82894=EDGE_CURVE('',#66252,#66253,#27313,.T.); #82895=EDGE_CURVE('',#66253,#66251,#58770,.T.); #82896=EDGE_CURVE('',#66254,#66252,#27314,.T.); #82897=EDGE_CURVE('',#66254,#66255,#27315,.T.); #82898=EDGE_CURVE('',#66255,#66253,#27316,.T.); #82899=EDGE_CURVE('',#66256,#66254,#27317,.T.); #82900=EDGE_CURVE('',#66256,#66257,#27318,.T.); #82901=EDGE_CURVE('',#66257,#66255,#27319,.T.); #82902=EDGE_CURVE('',#66258,#66256,#27320,.T.); #82903=EDGE_CURVE('',#66258,#66259,#27321,.T.); #82904=EDGE_CURVE('',#66259,#66257,#27322,.T.); #82905=EDGE_CURVE('',#66260,#66258,#27323,.T.); #82906=EDGE_CURVE('',#66260,#66261,#27324,.T.); #82907=EDGE_CURVE('',#66261,#66259,#27325,.T.); #82908=EDGE_CURVE('',#66262,#66260,#27326,.T.); #82909=EDGE_CURVE('',#66262,#66263,#27327,.T.); #82910=EDGE_CURVE('',#66263,#66261,#27328,.T.); #82911=EDGE_CURVE('',#66264,#66262,#27329,.T.); #82912=EDGE_CURVE('',#66264,#66265,#27330,.T.); #82913=EDGE_CURVE('',#66265,#66263,#27331,.T.); #82914=EDGE_CURVE('',#66266,#66264,#27332,.T.); #82915=EDGE_CURVE('',#66266,#66267,#27333,.T.); #82916=EDGE_CURVE('',#66267,#66265,#27334,.T.); #82917=EDGE_CURVE('',#66268,#66266,#27335,.T.); #82918=EDGE_CURVE('',#66268,#66269,#27336,.T.); #82919=EDGE_CURVE('',#66269,#66267,#27337,.T.); #82920=EDGE_CURVE('',#66270,#66268,#58771,.T.); #82921=EDGE_CURVE('',#66270,#66271,#27338,.T.); #82922=EDGE_CURVE('',#66271,#66269,#58772,.T.); #82923=EDGE_CURVE('',#66272,#66270,#58773,.T.); #82924=EDGE_CURVE('',#66272,#66273,#27339,.T.); #82925=EDGE_CURVE('',#66273,#66271,#58774,.T.); #82926=EDGE_CURVE('',#66274,#66272,#27340,.T.); #82927=EDGE_CURVE('',#66274,#66275,#27341,.T.); #82928=EDGE_CURVE('',#66275,#66273,#27342,.T.); #82929=EDGE_CURVE('',#66276,#66274,#27343,.T.); #82930=EDGE_CURVE('',#66276,#66277,#27344,.T.); #82931=EDGE_CURVE('',#66277,#66275,#27345,.T.); #82932=EDGE_CURVE('',#66278,#66276,#58775,.T.); #82933=EDGE_CURVE('',#66278,#66279,#27346,.T.); #82934=EDGE_CURVE('',#66279,#66277,#58776,.T.); #82935=EDGE_CURVE('',#66280,#66278,#27347,.T.); #82936=EDGE_CURVE('',#66280,#66281,#27348,.T.); #82937=EDGE_CURVE('',#66281,#66279,#27349,.T.); #82938=EDGE_CURVE('',#66282,#66280,#27350,.T.); #82939=EDGE_CURVE('',#66282,#66283,#27351,.T.); #82940=EDGE_CURVE('',#66283,#66281,#27352,.T.); #82941=EDGE_CURVE('',#66284,#66282,#58777,.T.); #82942=EDGE_CURVE('',#66284,#66285,#27353,.T.); #82943=EDGE_CURVE('',#66285,#66283,#58778,.T.); #82944=EDGE_CURVE('',#66286,#66284,#27354,.T.); #82945=EDGE_CURVE('',#66286,#66287,#27355,.T.); #82946=EDGE_CURVE('',#66287,#66285,#27356,.T.); #82947=EDGE_CURVE('',#65963,#66286,#27357,.T.); #82948=EDGE_CURVE('',#65965,#66287,#27358,.T.); #82949=EDGE_CURVE('',#66288,#66289,#27359,.T.); #82950=EDGE_CURVE('',#66288,#66290,#27360,.T.); #82951=EDGE_CURVE('',#66290,#66291,#27361,.T.); #82952=EDGE_CURVE('',#66289,#66291,#27362,.T.); #82953=EDGE_CURVE('',#66292,#66288,#58779,.T.); #82954=EDGE_CURVE('',#66292,#66293,#27363,.T.); #82955=EDGE_CURVE('',#66293,#66290,#58780,.T.); #82956=EDGE_CURVE('',#66294,#66292,#27364,.T.); #82957=EDGE_CURVE('',#66294,#66295,#27365,.T.); #82958=EDGE_CURVE('',#66295,#66293,#27366,.T.); #82959=EDGE_CURVE('',#66296,#66294,#27367,.T.); #82960=EDGE_CURVE('',#66296,#66297,#27368,.T.); #82961=EDGE_CURVE('',#66297,#66295,#27369,.T.); #82962=EDGE_CURVE('',#66298,#66296,#27370,.T.); #82963=EDGE_CURVE('',#66298,#66299,#27371,.T.); #82964=EDGE_CURVE('',#66299,#66297,#27372,.T.); #82965=EDGE_CURVE('',#66300,#66298,#27373,.T.); #82966=EDGE_CURVE('',#66300,#66301,#27374,.T.); #82967=EDGE_CURVE('',#66301,#66299,#27375,.T.); #82968=EDGE_CURVE('',#66302,#66300,#27376,.T.); #82969=EDGE_CURVE('',#66302,#66303,#27377,.T.); #82970=EDGE_CURVE('',#66303,#66301,#27378,.T.); #82971=EDGE_CURVE('',#66304,#66302,#27379,.T.); #82972=EDGE_CURVE('',#66304,#66305,#27380,.T.); #82973=EDGE_CURVE('',#66305,#66303,#27381,.T.); #82974=EDGE_CURVE('',#66306,#66304,#58781,.T.); #82975=EDGE_CURVE('',#66306,#66307,#27382,.T.); #82976=EDGE_CURVE('',#66307,#66305,#58782,.T.); #82977=EDGE_CURVE('',#66308,#66306,#27383,.T.); #82978=EDGE_CURVE('',#66308,#66309,#27384,.T.); #82979=EDGE_CURVE('',#66309,#66307,#27385,.T.); #82980=EDGE_CURVE('',#66310,#66308,#27386,.T.); #82981=EDGE_CURVE('',#66310,#66311,#27387,.T.); #82982=EDGE_CURVE('',#66311,#66309,#27388,.T.); #82983=EDGE_CURVE('',#66312,#66310,#27389,.T.); #82984=EDGE_CURVE('',#66312,#66313,#27390,.T.); #82985=EDGE_CURVE('',#66313,#66311,#27391,.T.); #82986=EDGE_CURVE('',#66314,#66312,#27392,.T.); #82987=EDGE_CURVE('',#66314,#66315,#27393,.T.); #82988=EDGE_CURVE('',#66315,#66313,#27394,.T.); #82989=EDGE_CURVE('',#66316,#66314,#27395,.T.); #82990=EDGE_CURVE('',#66316,#66317,#27396,.T.); #82991=EDGE_CURVE('',#66317,#66315,#27397,.T.); #82992=EDGE_CURVE('',#66318,#66316,#27398,.T.); #82993=EDGE_CURVE('',#66318,#66319,#27399,.T.); #82994=EDGE_CURVE('',#66319,#66317,#27400,.T.); #82995=EDGE_CURVE('',#66320,#66318,#27401,.T.); #82996=EDGE_CURVE('',#66320,#66321,#27402,.T.); #82997=EDGE_CURVE('',#66321,#66319,#27403,.T.); #82998=EDGE_CURVE('',#66322,#66320,#27404,.T.); #82999=EDGE_CURVE('',#66322,#66323,#27405,.T.); #83000=EDGE_CURVE('',#66323,#66321,#27406,.T.); #83001=EDGE_CURVE('',#66324,#66322,#27407,.T.); #83002=EDGE_CURVE('',#66324,#66325,#27408,.T.); #83003=EDGE_CURVE('',#66325,#66323,#27409,.T.); #83004=EDGE_CURVE('',#66326,#66324,#27410,.T.); #83005=EDGE_CURVE('',#66326,#66327,#27411,.T.); #83006=EDGE_CURVE('',#66327,#66325,#27412,.T.); #83007=EDGE_CURVE('',#66328,#66326,#58783,.T.); #83008=EDGE_CURVE('',#66328,#66329,#27413,.T.); #83009=EDGE_CURVE('',#66329,#66327,#58784,.T.); #83010=EDGE_CURVE('',#66330,#66328,#27414,.T.); #83011=EDGE_CURVE('',#66330,#66331,#27415,.T.); #83012=EDGE_CURVE('',#66331,#66329,#27416,.T.); #83013=EDGE_CURVE('',#66332,#66330,#27417,.T.); #83014=EDGE_CURVE('',#66332,#66333,#27418,.T.); #83015=EDGE_CURVE('',#66333,#66331,#27419,.T.); #83016=EDGE_CURVE('',#66334,#66332,#27420,.T.); #83017=EDGE_CURVE('',#66334,#66335,#27421,.T.); #83018=EDGE_CURVE('',#66335,#66333,#27422,.T.); #83019=EDGE_CURVE('',#66336,#66334,#27423,.T.); #83020=EDGE_CURVE('',#66336,#66337,#27424,.T.); #83021=EDGE_CURVE('',#66337,#66335,#27425,.T.); #83022=EDGE_CURVE('',#66338,#66336,#27426,.T.); #83023=EDGE_CURVE('',#66338,#66339,#27427,.T.); #83024=EDGE_CURVE('',#66339,#66337,#27428,.T.); #83025=EDGE_CURVE('',#66340,#66338,#27429,.T.); #83026=EDGE_CURVE('',#66340,#66341,#27430,.T.); #83027=EDGE_CURVE('',#66341,#66339,#27431,.T.); #83028=EDGE_CURVE('',#66342,#66340,#27432,.T.); #83029=EDGE_CURVE('',#66342,#66343,#27433,.T.); #83030=EDGE_CURVE('',#66343,#66341,#27434,.T.); #83031=EDGE_CURVE('',#66344,#66342,#27435,.T.); #83032=EDGE_CURVE('',#66344,#66345,#27436,.T.); #83033=EDGE_CURVE('',#66345,#66343,#27437,.T.); #83034=EDGE_CURVE('',#66346,#66344,#27438,.T.); #83035=EDGE_CURVE('',#66346,#66347,#27439,.T.); #83036=EDGE_CURVE('',#66347,#66345,#27440,.T.); #83037=EDGE_CURVE('',#66348,#66346,#58785,.T.); #83038=EDGE_CURVE('',#66348,#66349,#27441,.T.); #83039=EDGE_CURVE('',#66349,#66347,#58786,.T.); #83040=EDGE_CURVE('',#66350,#66348,#27442,.T.); #83041=EDGE_CURVE('',#66350,#66351,#27443,.T.); #83042=EDGE_CURVE('',#66351,#66349,#27444,.T.); #83043=EDGE_CURVE('',#66352,#66350,#58787,.T.); #83044=EDGE_CURVE('',#66352,#66353,#27445,.T.); #83045=EDGE_CURVE('',#66353,#66351,#58788,.T.); #83046=EDGE_CURVE('',#66354,#66352,#58789,.T.); #83047=EDGE_CURVE('',#66354,#66355,#27446,.T.); #83048=EDGE_CURVE('',#66355,#66353,#58790,.T.); #83049=EDGE_CURVE('',#66356,#66354,#27447,.T.); #83050=EDGE_CURVE('',#66356,#66357,#27448,.T.); #83051=EDGE_CURVE('',#66357,#66355,#27449,.T.); #83052=EDGE_CURVE('',#66358,#66356,#27450,.T.); #83053=EDGE_CURVE('',#66358,#66359,#27451,.T.); #83054=EDGE_CURVE('',#66359,#66357,#27452,.T.); #83055=EDGE_CURVE('',#66360,#66358,#27453,.T.); #83056=EDGE_CURVE('',#66360,#66361,#27454,.T.); #83057=EDGE_CURVE('',#66361,#66359,#27455,.T.); #83058=EDGE_CURVE('',#66362,#66360,#27456,.T.); #83059=EDGE_CURVE('',#66362,#66363,#27457,.T.); #83060=EDGE_CURVE('',#66363,#66361,#27458,.T.); #83061=EDGE_CURVE('',#66364,#66362,#27459,.T.); #83062=EDGE_CURVE('',#66364,#66365,#27460,.T.); #83063=EDGE_CURVE('',#66365,#66363,#27461,.T.); #83064=EDGE_CURVE('',#66366,#66364,#27462,.T.); #83065=EDGE_CURVE('',#66366,#66367,#27463,.T.); #83066=EDGE_CURVE('',#66367,#66365,#27464,.T.); #83067=EDGE_CURVE('',#66368,#66366,#58791,.T.); #83068=EDGE_CURVE('',#66368,#66369,#27465,.T.); #83069=EDGE_CURVE('',#66369,#66367,#58792,.T.); #83070=EDGE_CURVE('',#66370,#66368,#27466,.T.); #83071=EDGE_CURVE('',#66370,#66371,#27467,.T.); #83072=EDGE_CURVE('',#66371,#66369,#27468,.T.); #83073=EDGE_CURVE('',#66372,#66370,#27469,.T.); #83074=EDGE_CURVE('',#66372,#66373,#27470,.T.); #83075=EDGE_CURVE('',#66373,#66371,#27471,.T.); #83076=EDGE_CURVE('',#66374,#66372,#27472,.T.); #83077=EDGE_CURVE('',#66374,#66375,#27473,.T.); #83078=EDGE_CURVE('',#66375,#66373,#27474,.T.); #83079=EDGE_CURVE('',#66376,#66374,#27475,.T.); #83080=EDGE_CURVE('',#66376,#66377,#27476,.T.); #83081=EDGE_CURVE('',#66377,#66375,#27477,.T.); #83082=EDGE_CURVE('',#66378,#66376,#27478,.T.); #83083=EDGE_CURVE('',#66378,#66379,#27479,.T.); #83084=EDGE_CURVE('',#66379,#66377,#27480,.T.); #83085=EDGE_CURVE('',#66380,#66378,#27481,.T.); #83086=EDGE_CURVE('',#66380,#66381,#27482,.T.); #83087=EDGE_CURVE('',#66381,#66379,#27483,.T.); #83088=EDGE_CURVE('',#66382,#66380,#27484,.T.); #83089=EDGE_CURVE('',#66382,#66383,#27485,.T.); #83090=EDGE_CURVE('',#66383,#66381,#27486,.T.); #83091=EDGE_CURVE('',#66384,#66382,#27487,.T.); #83092=EDGE_CURVE('',#66384,#66385,#27488,.T.); #83093=EDGE_CURVE('',#66385,#66383,#27489,.T.); #83094=EDGE_CURVE('',#66386,#66384,#58793,.T.); #83095=EDGE_CURVE('',#66386,#66387,#27490,.T.); #83096=EDGE_CURVE('',#66387,#66385,#58794,.T.); #83097=EDGE_CURVE('',#66388,#66386,#27491,.T.); #83098=EDGE_CURVE('',#66388,#66389,#27492,.T.); #83099=EDGE_CURVE('',#66389,#66387,#27493,.T.); #83100=EDGE_CURVE('',#66390,#66388,#27494,.T.); #83101=EDGE_CURVE('',#66390,#66391,#27495,.T.); #83102=EDGE_CURVE('',#66391,#66389,#27496,.T.); #83103=EDGE_CURVE('',#66392,#66390,#27497,.T.); #83104=EDGE_CURVE('',#66392,#66393,#27498,.T.); #83105=EDGE_CURVE('',#66393,#66391,#27499,.T.); #83106=EDGE_CURVE('',#66394,#66392,#27500,.T.); #83107=EDGE_CURVE('',#66394,#66395,#27501,.T.); #83108=EDGE_CURVE('',#66395,#66393,#27502,.T.); #83109=EDGE_CURVE('',#66396,#66394,#58795,.T.); #83110=EDGE_CURVE('',#66396,#66397,#27503,.T.); #83111=EDGE_CURVE('',#66397,#66395,#58796,.T.); #83112=EDGE_CURVE('',#66398,#66396,#27504,.T.); #83113=EDGE_CURVE('',#66398,#66399,#27505,.T.); #83114=EDGE_CURVE('',#66399,#66397,#27506,.T.); #83115=EDGE_CURVE('',#66400,#66398,#27507,.T.); #83116=EDGE_CURVE('',#66400,#66401,#27508,.T.); #83117=EDGE_CURVE('',#66401,#66399,#27509,.T.); #83118=EDGE_CURVE('',#66402,#66400,#27510,.T.); #83119=EDGE_CURVE('',#66402,#66403,#27511,.T.); #83120=EDGE_CURVE('',#66403,#66401,#27512,.T.); #83121=EDGE_CURVE('',#66404,#66402,#58797,.T.); #83122=EDGE_CURVE('',#66404,#66405,#27513,.T.); #83123=EDGE_CURVE('',#66405,#66403,#58798,.T.); #83124=EDGE_CURVE('',#66406,#66404,#27514,.T.); #83125=EDGE_CURVE('',#66406,#66407,#27515,.T.); #83126=EDGE_CURVE('',#66407,#66405,#27516,.T.); #83127=EDGE_CURVE('',#66408,#66406,#27517,.T.); #83128=EDGE_CURVE('',#66408,#66409,#27518,.T.); #83129=EDGE_CURVE('',#66409,#66407,#27519,.T.); #83130=EDGE_CURVE('',#66410,#66408,#58799,.T.); #83131=EDGE_CURVE('',#66410,#66411,#27520,.T.); #83132=EDGE_CURVE('',#66411,#66409,#58800,.T.); #83133=EDGE_CURVE('',#66412,#66410,#58801,.T.); #83134=EDGE_CURVE('',#66412,#66413,#27521,.T.); #83135=EDGE_CURVE('',#66413,#66411,#58802,.T.); #83136=EDGE_CURVE('',#66414,#66412,#27522,.T.); #83137=EDGE_CURVE('',#66414,#66415,#27523,.T.); #83138=EDGE_CURVE('',#66415,#66413,#27524,.T.); #83139=EDGE_CURVE('',#66416,#66414,#27525,.T.); #83140=EDGE_CURVE('',#66416,#66417,#27526,.T.); #83141=EDGE_CURVE('',#66417,#66415,#27527,.T.); #83142=EDGE_CURVE('',#66418,#66416,#27528,.T.); #83143=EDGE_CURVE('',#66418,#66419,#27529,.T.); #83144=EDGE_CURVE('',#66419,#66417,#27530,.T.); #83145=EDGE_CURVE('',#66420,#66418,#27531,.T.); #83146=EDGE_CURVE('',#66420,#66421,#27532,.T.); #83147=EDGE_CURVE('',#66421,#66419,#27533,.T.); #83148=EDGE_CURVE('',#66422,#66420,#27534,.T.); #83149=EDGE_CURVE('',#66422,#66423,#27535,.T.); #83150=EDGE_CURVE('',#66423,#66421,#27536,.T.); #83151=EDGE_CURVE('',#66424,#66422,#27537,.T.); #83152=EDGE_CURVE('',#66424,#66425,#27538,.T.); #83153=EDGE_CURVE('',#66425,#66423,#27539,.T.); #83154=EDGE_CURVE('',#66426,#66424,#27540,.T.); #83155=EDGE_CURVE('',#66426,#66427,#27541,.T.); #83156=EDGE_CURVE('',#66427,#66425,#27542,.T.); #83157=EDGE_CURVE('',#66428,#66426,#27543,.T.); #83158=EDGE_CURVE('',#66428,#66429,#27544,.T.); #83159=EDGE_CURVE('',#66429,#66427,#27545,.T.); #83160=EDGE_CURVE('',#66430,#66428,#27546,.T.); #83161=EDGE_CURVE('',#66430,#66431,#27547,.T.); #83162=EDGE_CURVE('',#66431,#66429,#27548,.T.); #83163=EDGE_CURVE('',#66432,#66430,#58803,.T.); #83164=EDGE_CURVE('',#66432,#66433,#27549,.T.); #83165=EDGE_CURVE('',#66433,#66431,#58804,.T.); #83166=EDGE_CURVE('',#66434,#66432,#27550,.T.); #83167=EDGE_CURVE('',#66434,#66435,#27551,.T.); #83168=EDGE_CURVE('',#66435,#66433,#27552,.T.); #83169=EDGE_CURVE('',#66436,#66434,#58805,.T.); #83170=EDGE_CURVE('',#66436,#66437,#27553,.T.); #83171=EDGE_CURVE('',#66437,#66435,#58806,.T.); #83172=EDGE_CURVE('',#66438,#66436,#27554,.T.); #83173=EDGE_CURVE('',#66438,#66439,#27555,.T.); #83174=EDGE_CURVE('',#66439,#66437,#27556,.T.); #83175=EDGE_CURVE('',#66440,#66438,#27557,.T.); #83176=EDGE_CURVE('',#66440,#66441,#27558,.T.); #83177=EDGE_CURVE('',#66441,#66439,#27559,.T.); #83178=EDGE_CURVE('',#66442,#66440,#27560,.T.); #83179=EDGE_CURVE('',#66442,#66443,#27561,.T.); #83180=EDGE_CURVE('',#66443,#66441,#27562,.T.); #83181=EDGE_CURVE('',#66444,#66442,#27563,.T.); #83182=EDGE_CURVE('',#66444,#66445,#27564,.T.); #83183=EDGE_CURVE('',#66445,#66443,#27565,.T.); #83184=EDGE_CURVE('',#66446,#66444,#27566,.T.); #83185=EDGE_CURVE('',#66446,#66447,#27567,.T.); #83186=EDGE_CURVE('',#66447,#66445,#27568,.T.); #83187=EDGE_CURVE('',#66448,#66446,#27569,.T.); #83188=EDGE_CURVE('',#66448,#66449,#27570,.T.); #83189=EDGE_CURVE('',#66449,#66447,#27571,.T.); #83190=EDGE_CURVE('',#66450,#66448,#27572,.T.); #83191=EDGE_CURVE('',#66450,#66451,#27573,.T.); #83192=EDGE_CURVE('',#66451,#66449,#27574,.T.); #83193=EDGE_CURVE('',#66452,#66450,#58807,.T.); #83194=EDGE_CURVE('',#66452,#66453,#27575,.T.); #83195=EDGE_CURVE('',#66453,#66451,#58808,.T.); #83196=EDGE_CURVE('',#66454,#66452,#27576,.T.); #83197=EDGE_CURVE('',#66454,#66455,#27577,.T.); #83198=EDGE_CURVE('',#66455,#66453,#27578,.T.); #83199=EDGE_CURVE('',#66456,#66454,#27579,.T.); #83200=EDGE_CURVE('',#66456,#66457,#27580,.T.); #83201=EDGE_CURVE('',#66457,#66455,#27581,.T.); #83202=EDGE_CURVE('',#66458,#66456,#27582,.T.); #83203=EDGE_CURVE('',#66458,#66459,#27583,.T.); #83204=EDGE_CURVE('',#66459,#66457,#27584,.T.); #83205=EDGE_CURVE('',#66460,#66458,#27585,.T.); #83206=EDGE_CURVE('',#66460,#66461,#27586,.T.); #83207=EDGE_CURVE('',#66461,#66459,#27587,.T.); #83208=EDGE_CURVE('',#66462,#66460,#58809,.T.); #83209=EDGE_CURVE('',#66462,#66463,#27588,.T.); #83210=EDGE_CURVE('',#66463,#66461,#58810,.T.); #83211=EDGE_CURVE('',#66464,#66462,#27589,.T.); #83212=EDGE_CURVE('',#66464,#66465,#27590,.T.); #83213=EDGE_CURVE('',#66465,#66463,#27591,.T.); #83214=EDGE_CURVE('',#66466,#66464,#27592,.T.); #83215=EDGE_CURVE('',#66466,#66467,#27593,.T.); #83216=EDGE_CURVE('',#66467,#66465,#27594,.T.); #83217=EDGE_CURVE('',#66468,#66466,#58811,.T.); #83218=EDGE_CURVE('',#66468,#66469,#27595,.T.); #83219=EDGE_CURVE('',#66469,#66467,#58812,.T.); #83220=EDGE_CURVE('',#66470,#66468,#27596,.T.); #83221=EDGE_CURVE('',#66470,#66471,#27597,.T.); #83222=EDGE_CURVE('',#66471,#66469,#27598,.T.); #83223=EDGE_CURVE('',#66472,#66470,#58813,.T.); #83224=EDGE_CURVE('',#66472,#66473,#27599,.T.); #83225=EDGE_CURVE('',#66473,#66471,#58814,.T.); #83226=EDGE_CURVE('',#66474,#66472,#27600,.T.); #83227=EDGE_CURVE('',#66474,#66475,#27601,.T.); #83228=EDGE_CURVE('',#66475,#66473,#27602,.T.); #83229=EDGE_CURVE('',#66476,#66474,#27603,.T.); #83230=EDGE_CURVE('',#66476,#66477,#27604,.T.); #83231=EDGE_CURVE('',#66477,#66475,#27605,.T.); #83232=EDGE_CURVE('',#66478,#66476,#27606,.T.); #83233=EDGE_CURVE('',#66478,#66479,#27607,.T.); #83234=EDGE_CURVE('',#66479,#66477,#27608,.T.); #83235=EDGE_CURVE('',#66480,#66478,#27609,.T.); #83236=EDGE_CURVE('',#66480,#66481,#27610,.T.); #83237=EDGE_CURVE('',#66481,#66479,#27611,.T.); #83238=EDGE_CURVE('',#66482,#66480,#27612,.T.); #83239=EDGE_CURVE('',#66482,#66483,#27613,.T.); #83240=EDGE_CURVE('',#66483,#66481,#27614,.T.); #83241=EDGE_CURVE('',#66484,#66482,#27615,.T.); #83242=EDGE_CURVE('',#66484,#66485,#27616,.T.); #83243=EDGE_CURVE('',#66485,#66483,#27617,.T.); #83244=EDGE_CURVE('',#66486,#66484,#27618,.T.); #83245=EDGE_CURVE('',#66486,#66487,#27619,.T.); #83246=EDGE_CURVE('',#66487,#66485,#27620,.T.); #83247=EDGE_CURVE('',#66488,#66486,#27621,.T.); #83248=EDGE_CURVE('',#66488,#66489,#27622,.T.); #83249=EDGE_CURVE('',#66489,#66487,#27623,.T.); #83250=EDGE_CURVE('',#66490,#66488,#27624,.T.); #83251=EDGE_CURVE('',#66490,#66491,#27625,.T.); #83252=EDGE_CURVE('',#66491,#66489,#27626,.T.); #83253=EDGE_CURVE('',#66492,#66490,#27627,.T.); #83254=EDGE_CURVE('',#66492,#66493,#27628,.T.); #83255=EDGE_CURVE('',#66493,#66491,#27629,.T.); #83256=EDGE_CURVE('',#66494,#66492,#58815,.T.); #83257=EDGE_CURVE('',#66494,#66495,#27630,.T.); #83258=EDGE_CURVE('',#66495,#66493,#58816,.T.); #83259=EDGE_CURVE('',#66496,#66494,#27631,.T.); #83260=EDGE_CURVE('',#66496,#66497,#27632,.T.); #83261=EDGE_CURVE('',#66497,#66495,#27633,.T.); #83262=EDGE_CURVE('',#66498,#66496,#27634,.T.); #83263=EDGE_CURVE('',#66498,#66499,#27635,.T.); #83264=EDGE_CURVE('',#66499,#66497,#27636,.T.); #83265=EDGE_CURVE('',#66500,#66498,#27637,.T.); #83266=EDGE_CURVE('',#66500,#66501,#27638,.T.); #83267=EDGE_CURVE('',#66501,#66499,#27639,.T.); #83268=EDGE_CURVE('',#66502,#66500,#27640,.T.); #83269=EDGE_CURVE('',#66502,#66503,#27641,.T.); #83270=EDGE_CURVE('',#66503,#66501,#27642,.T.); #83271=EDGE_CURVE('',#66504,#66502,#27643,.T.); #83272=EDGE_CURVE('',#66504,#66505,#27644,.T.); #83273=EDGE_CURVE('',#66505,#66503,#27645,.T.); #83274=EDGE_CURVE('',#66506,#66504,#27646,.T.); #83275=EDGE_CURVE('',#66506,#66507,#27647,.T.); #83276=EDGE_CURVE('',#66507,#66505,#27648,.T.); #83277=EDGE_CURVE('',#66508,#66506,#27649,.T.); #83278=EDGE_CURVE('',#66508,#66509,#27650,.T.); #83279=EDGE_CURVE('',#66509,#66507,#27651,.T.); #83280=EDGE_CURVE('',#66510,#66508,#27652,.T.); #83281=EDGE_CURVE('',#66510,#66511,#27653,.T.); #83282=EDGE_CURVE('',#66511,#66509,#27654,.T.); #83283=EDGE_CURVE('',#66512,#66510,#27655,.T.); #83284=EDGE_CURVE('',#66512,#66513,#27656,.T.); #83285=EDGE_CURVE('',#66513,#66511,#27657,.T.); #83286=EDGE_CURVE('',#66514,#66512,#27658,.T.); #83287=EDGE_CURVE('',#66514,#66515,#27659,.T.); #83288=EDGE_CURVE('',#66515,#66513,#27660,.T.); #83289=EDGE_CURVE('',#66516,#66514,#27661,.T.); #83290=EDGE_CURVE('',#66516,#66517,#27662,.T.); #83291=EDGE_CURVE('',#66517,#66515,#27663,.T.); #83292=EDGE_CURVE('',#66289,#66516,#27664,.T.); #83293=EDGE_CURVE('',#66291,#66517,#27665,.T.); #83294=EDGE_CURVE('',#66518,#66519,#27666,.T.); #83295=EDGE_CURVE('',#66518,#66520,#27667,.T.); #83296=EDGE_CURVE('',#66520,#66521,#27668,.T.); #83297=EDGE_CURVE('',#66519,#66521,#27669,.T.); #83298=EDGE_CURVE('',#66522,#66518,#58817,.T.); #83299=EDGE_CURVE('',#66522,#66523,#27670,.T.); #83300=EDGE_CURVE('',#66523,#66520,#58818,.T.); #83301=EDGE_CURVE('',#66524,#66522,#58819,.T.); #83302=EDGE_CURVE('',#66524,#66525,#27671,.T.); #83303=EDGE_CURVE('',#66525,#66523,#58820,.T.); #83304=EDGE_CURVE('',#66526,#66524,#58821,.T.); #83305=EDGE_CURVE('',#66526,#66527,#27672,.T.); #83306=EDGE_CURVE('',#66527,#66525,#58822,.T.); #83307=EDGE_CURVE('',#66528,#66526,#27673,.T.); #83308=EDGE_CURVE('',#66528,#66529,#27674,.T.); #83309=EDGE_CURVE('',#66529,#66527,#27675,.T.); #83310=EDGE_CURVE('',#66519,#66528,#27676,.T.); #83311=EDGE_CURVE('',#66521,#66529,#27677,.T.); #83312=EDGE_CURVE('',#66530,#66531,#27678,.T.); #83313=EDGE_CURVE('',#66530,#66532,#27679,.T.); #83314=EDGE_CURVE('',#66532,#66533,#27680,.T.); #83315=EDGE_CURVE('',#66531,#66533,#27681,.T.); #83316=EDGE_CURVE('',#66534,#66530,#27682,.T.); #83317=EDGE_CURVE('',#66534,#66535,#27683,.T.); #83318=EDGE_CURVE('',#66535,#66532,#27684,.T.); #83319=EDGE_CURVE('',#66536,#66534,#58823,.T.); #83320=EDGE_CURVE('',#66536,#66537,#27685,.T.); #83321=EDGE_CURVE('',#66537,#66535,#58824,.T.); #83322=EDGE_CURVE('',#66538,#66536,#27686,.T.); #83323=EDGE_CURVE('',#66538,#66539,#27687,.T.); #83324=EDGE_CURVE('',#66539,#66537,#27688,.T.); #83325=EDGE_CURVE('',#66540,#66538,#58825,.T.); #83326=EDGE_CURVE('',#66540,#66541,#27689,.T.); #83327=EDGE_CURVE('',#66541,#66539,#58826,.T.); #83328=EDGE_CURVE('',#66542,#66540,#58827,.T.); #83329=EDGE_CURVE('',#66542,#66543,#27690,.T.); #83330=EDGE_CURVE('',#66543,#66541,#58828,.T.); #83331=EDGE_CURVE('',#66544,#66542,#27691,.T.); #83332=EDGE_CURVE('',#66544,#66545,#27692,.T.); #83333=EDGE_CURVE('',#66545,#66543,#27693,.T.); #83334=EDGE_CURVE('',#66546,#66544,#27694,.T.); #83335=EDGE_CURVE('',#66546,#66547,#27695,.T.); #83336=EDGE_CURVE('',#66547,#66545,#27696,.T.); #83337=EDGE_CURVE('',#66548,#66546,#27697,.T.); #83338=EDGE_CURVE('',#66548,#66549,#27698,.T.); #83339=EDGE_CURVE('',#66549,#66547,#27699,.T.); #83340=EDGE_CURVE('',#66550,#66548,#58829,.T.); #83341=EDGE_CURVE('',#66550,#66551,#27700,.T.); #83342=EDGE_CURVE('',#66551,#66549,#58830,.T.); #83343=EDGE_CURVE('',#66552,#66550,#27701,.T.); #83344=EDGE_CURVE('',#66552,#66553,#27702,.T.); #83345=EDGE_CURVE('',#66553,#66551,#27703,.T.); #83346=EDGE_CURVE('',#66554,#66552,#27704,.T.); #83347=EDGE_CURVE('',#66554,#66555,#27705,.T.); #83348=EDGE_CURVE('',#66555,#66553,#27706,.T.); #83349=EDGE_CURVE('',#66556,#66554,#58831,.T.); #83350=EDGE_CURVE('',#66556,#66557,#27707,.T.); #83351=EDGE_CURVE('',#66557,#66555,#58832,.T.); #83352=EDGE_CURVE('',#66558,#66556,#58833,.T.); #83353=EDGE_CURVE('',#66558,#66559,#27708,.T.); #83354=EDGE_CURVE('',#66559,#66557,#58834,.T.); #83355=EDGE_CURVE('',#66560,#66558,#27709,.T.); #83356=EDGE_CURVE('',#66560,#66561,#27710,.T.); #83357=EDGE_CURVE('',#66561,#66559,#27711,.T.); #83358=EDGE_CURVE('',#66562,#66560,#58835,.T.); #83359=EDGE_CURVE('',#66562,#66563,#27712,.T.); #83360=EDGE_CURVE('',#66563,#66561,#58836,.T.); #83361=EDGE_CURVE('',#66564,#66562,#58837,.T.); #83362=EDGE_CURVE('',#66564,#66565,#27713,.T.); #83363=EDGE_CURVE('',#66565,#66563,#58838,.T.); #83364=EDGE_CURVE('',#66566,#66564,#58839,.T.); #83365=EDGE_CURVE('',#66566,#66567,#27714,.T.); #83366=EDGE_CURVE('',#66567,#66565,#58840,.T.); #83367=EDGE_CURVE('',#66568,#66566,#58841,.T.); #83368=EDGE_CURVE('',#66568,#66569,#27715,.T.); #83369=EDGE_CURVE('',#66569,#66567,#58842,.T.); #83370=EDGE_CURVE('',#66570,#66568,#58843,.T.); #83371=EDGE_CURVE('',#66570,#66571,#27716,.T.); #83372=EDGE_CURVE('',#66571,#66569,#58844,.T.); #83373=EDGE_CURVE('',#66572,#66570,#27717,.T.); #83374=EDGE_CURVE('',#66572,#66573,#27718,.T.); #83375=EDGE_CURVE('',#66573,#66571,#27719,.T.); #83376=EDGE_CURVE('',#66574,#66572,#27720,.T.); #83377=EDGE_CURVE('',#66574,#66575,#27721,.T.); #83378=EDGE_CURVE('',#66575,#66573,#27722,.T.); #83379=EDGE_CURVE('',#66576,#66574,#27723,.T.); #83380=EDGE_CURVE('',#66576,#66577,#27724,.T.); #83381=EDGE_CURVE('',#66577,#66575,#27725,.T.); #83382=EDGE_CURVE('',#66578,#66576,#27726,.T.); #83383=EDGE_CURVE('',#66578,#66579,#27727,.T.); #83384=EDGE_CURVE('',#66579,#66577,#27728,.T.); #83385=EDGE_CURVE('',#66580,#66578,#58845,.T.); #83386=EDGE_CURVE('',#66580,#66581,#27729,.T.); #83387=EDGE_CURVE('',#66581,#66579,#58846,.T.); #83388=EDGE_CURVE('',#66582,#66580,#27730,.T.); #83389=EDGE_CURVE('',#66582,#66583,#27731,.T.); #83390=EDGE_CURVE('',#66583,#66581,#27732,.T.); #83391=EDGE_CURVE('',#66584,#66582,#27733,.T.); #83392=EDGE_CURVE('',#66584,#66585,#27734,.T.); #83393=EDGE_CURVE('',#66585,#66583,#27735,.T.); #83394=EDGE_CURVE('',#66586,#66584,#27736,.T.); #83395=EDGE_CURVE('',#66586,#66587,#27737,.T.); #83396=EDGE_CURVE('',#66587,#66585,#27738,.T.); #83397=EDGE_CURVE('',#66588,#66586,#58847,.T.); #83398=EDGE_CURVE('',#66588,#66589,#27739,.T.); #83399=EDGE_CURVE('',#66589,#66587,#58848,.T.); #83400=EDGE_CURVE('',#66590,#66588,#58849,.T.); #83401=EDGE_CURVE('',#66590,#66591,#27740,.T.); #83402=EDGE_CURVE('',#66591,#66589,#58850,.T.); #83403=EDGE_CURVE('',#66592,#66590,#27741,.T.); #83404=EDGE_CURVE('',#66592,#66593,#27742,.T.); #83405=EDGE_CURVE('',#66593,#66591,#27743,.T.); #83406=EDGE_CURVE('',#66594,#66592,#27744,.T.); #83407=EDGE_CURVE('',#66594,#66595,#27745,.T.); #83408=EDGE_CURVE('',#66595,#66593,#27746,.T.); #83409=EDGE_CURVE('',#66596,#66594,#58851,.T.); #83410=EDGE_CURVE('',#66596,#66597,#27747,.T.); #83411=EDGE_CURVE('',#66597,#66595,#58852,.T.); #83412=EDGE_CURVE('',#66598,#66596,#27748,.T.); #83413=EDGE_CURVE('',#66598,#66599,#27749,.T.); #83414=EDGE_CURVE('',#66599,#66597,#27750,.T.); #83415=EDGE_CURVE('',#66600,#66598,#58853,.T.); #83416=EDGE_CURVE('',#66600,#66601,#27751,.T.); #83417=EDGE_CURVE('',#66601,#66599,#58854,.T.); #83418=EDGE_CURVE('',#66602,#66600,#27752,.T.); #83419=EDGE_CURVE('',#66602,#66603,#27753,.T.); #83420=EDGE_CURVE('',#66603,#66601,#27754,.T.); #83421=EDGE_CURVE('',#66604,#66602,#27755,.T.); #83422=EDGE_CURVE('',#66604,#66605,#27756,.T.); #83423=EDGE_CURVE('',#66605,#66603,#27757,.T.); #83424=EDGE_CURVE('',#66606,#66604,#27758,.T.); #83425=EDGE_CURVE('',#66606,#66607,#27759,.T.); #83426=EDGE_CURVE('',#66607,#66605,#27760,.T.); #83427=EDGE_CURVE('',#66608,#66606,#58855,.T.); #83428=EDGE_CURVE('',#66608,#66609,#27761,.T.); #83429=EDGE_CURVE('',#66609,#66607,#58856,.T.); #83430=EDGE_CURVE('',#66610,#66608,#27762,.T.); #83431=EDGE_CURVE('',#66610,#66611,#27763,.T.); #83432=EDGE_CURVE('',#66611,#66609,#27764,.T.); #83433=EDGE_CURVE('',#66612,#66610,#27765,.T.); #83434=EDGE_CURVE('',#66612,#66613,#27766,.T.); #83435=EDGE_CURVE('',#66613,#66611,#27767,.T.); #83436=EDGE_CURVE('',#66614,#66612,#27768,.T.); #83437=EDGE_CURVE('',#66614,#66615,#27769,.T.); #83438=EDGE_CURVE('',#66615,#66613,#27770,.T.); #83439=EDGE_CURVE('',#66616,#66614,#27771,.T.); #83440=EDGE_CURVE('',#66616,#66617,#27772,.T.); #83441=EDGE_CURVE('',#66617,#66615,#27773,.T.); #83442=EDGE_CURVE('',#66618,#66616,#27774,.T.); #83443=EDGE_CURVE('',#66618,#66619,#27775,.T.); #83444=EDGE_CURVE('',#66619,#66617,#27776,.T.); #83445=EDGE_CURVE('',#66620,#66618,#27777,.T.); #83446=EDGE_CURVE('',#66620,#66621,#27778,.T.); #83447=EDGE_CURVE('',#66621,#66619,#27779,.T.); #83448=EDGE_CURVE('',#66622,#66620,#27780,.T.); #83449=EDGE_CURVE('',#66622,#66623,#27781,.T.); #83450=EDGE_CURVE('',#66623,#66621,#27782,.T.); #83451=EDGE_CURVE('',#66624,#66622,#27783,.T.); #83452=EDGE_CURVE('',#66624,#66625,#27784,.T.); #83453=EDGE_CURVE('',#66625,#66623,#27785,.T.); #83454=EDGE_CURVE('',#66626,#66624,#58857,.T.); #83455=EDGE_CURVE('',#66626,#66627,#27786,.T.); #83456=EDGE_CURVE('',#66627,#66625,#58858,.T.); #83457=EDGE_CURVE('',#66628,#66626,#27787,.T.); #83458=EDGE_CURVE('',#66628,#66629,#27788,.T.); #83459=EDGE_CURVE('',#66629,#66627,#27789,.T.); #83460=EDGE_CURVE('',#66630,#66628,#27790,.T.); #83461=EDGE_CURVE('',#66630,#66631,#27791,.T.); #83462=EDGE_CURVE('',#66631,#66629,#27792,.T.); #83463=EDGE_CURVE('',#66632,#66630,#27793,.T.); #83464=EDGE_CURVE('',#66632,#66633,#27794,.T.); #83465=EDGE_CURVE('',#66633,#66631,#27795,.T.); #83466=EDGE_CURVE('',#66634,#66632,#27796,.T.); #83467=EDGE_CURVE('',#66634,#66635,#27797,.T.); #83468=EDGE_CURVE('',#66635,#66633,#27798,.T.); #83469=EDGE_CURVE('',#66636,#66634,#27799,.T.); #83470=EDGE_CURVE('',#66636,#66637,#27800,.T.); #83471=EDGE_CURVE('',#66637,#66635,#27801,.T.); #83472=EDGE_CURVE('',#66638,#66636,#27802,.T.); #83473=EDGE_CURVE('',#66638,#66639,#27803,.T.); #83474=EDGE_CURVE('',#66639,#66637,#27804,.T.); #83475=EDGE_CURVE('',#66640,#66638,#27805,.T.); #83476=EDGE_CURVE('',#66640,#66641,#27806,.T.); #83477=EDGE_CURVE('',#66641,#66639,#27807,.T.); #83478=EDGE_CURVE('',#66642,#66640,#27808,.T.); #83479=EDGE_CURVE('',#66642,#66643,#27809,.T.); #83480=EDGE_CURVE('',#66643,#66641,#27810,.T.); #83481=EDGE_CURVE('',#66644,#66642,#58859,.T.); #83482=EDGE_CURVE('',#66644,#66645,#27811,.T.); #83483=EDGE_CURVE('',#66645,#66643,#58860,.T.); #83484=EDGE_CURVE('',#66646,#66644,#58861,.T.); #83485=EDGE_CURVE('',#66646,#66647,#27812,.T.); #83486=EDGE_CURVE('',#66647,#66645,#58862,.T.); #83487=EDGE_CURVE('',#66648,#66646,#58863,.T.); #83488=EDGE_CURVE('',#66648,#66649,#27813,.T.); #83489=EDGE_CURVE('',#66649,#66647,#58864,.T.); #83490=EDGE_CURVE('',#66650,#66648,#27814,.T.); #83491=EDGE_CURVE('',#66650,#66651,#27815,.T.); #83492=EDGE_CURVE('',#66651,#66649,#27816,.T.); #83493=EDGE_CURVE('',#66652,#66650,#27817,.T.); #83494=EDGE_CURVE('',#66652,#66653,#27818,.T.); #83495=EDGE_CURVE('',#66653,#66651,#27819,.T.); #83496=EDGE_CURVE('',#66654,#66652,#58865,.T.); #83497=EDGE_CURVE('',#66654,#66655,#27820,.T.); #83498=EDGE_CURVE('',#66655,#66653,#58866,.T.); #83499=EDGE_CURVE('',#66656,#66654,#58867,.T.); #83500=EDGE_CURVE('',#66656,#66657,#27821,.T.); #83501=EDGE_CURVE('',#66657,#66655,#58868,.T.); #83502=EDGE_CURVE('',#66658,#66656,#27822,.T.); #83503=EDGE_CURVE('',#66658,#66659,#27823,.T.); #83504=EDGE_CURVE('',#66659,#66657,#27824,.T.); #83505=EDGE_CURVE('',#66660,#66658,#27825,.T.); #83506=EDGE_CURVE('',#66660,#66661,#27826,.T.); #83507=EDGE_CURVE('',#66661,#66659,#27827,.T.); #83508=EDGE_CURVE('',#66662,#66660,#58869,.T.); #83509=EDGE_CURVE('',#66662,#66663,#27828,.T.); #83510=EDGE_CURVE('',#66663,#66661,#58870,.T.); #83511=EDGE_CURVE('',#66664,#66662,#58871,.T.); #83512=EDGE_CURVE('',#66664,#66665,#27829,.T.); #83513=EDGE_CURVE('',#66665,#66663,#58872,.T.); #83514=EDGE_CURVE('',#66666,#66664,#27830,.T.); #83515=EDGE_CURVE('',#66666,#66667,#27831,.T.); #83516=EDGE_CURVE('',#66667,#66665,#27832,.T.); #83517=EDGE_CURVE('',#66668,#66666,#58873,.T.); #83518=EDGE_CURVE('',#66668,#66669,#27833,.T.); #83519=EDGE_CURVE('',#66669,#66667,#58874,.T.); #83520=EDGE_CURVE('',#66670,#66668,#27834,.T.); #83521=EDGE_CURVE('',#66670,#66671,#27835,.T.); #83522=EDGE_CURVE('',#66671,#66669,#27836,.T.); #83523=EDGE_CURVE('',#66672,#66670,#58875,.T.); #83524=EDGE_CURVE('',#66672,#66673,#27837,.T.); #83525=EDGE_CURVE('',#66673,#66671,#58876,.T.); #83526=EDGE_CURVE('',#66674,#66672,#27838,.T.); #83527=EDGE_CURVE('',#66674,#66675,#27839,.T.); #83528=EDGE_CURVE('',#66675,#66673,#27840,.T.); #83529=EDGE_CURVE('',#66676,#66674,#27841,.T.); #83530=EDGE_CURVE('',#66676,#66677,#27842,.T.); #83531=EDGE_CURVE('',#66677,#66675,#27843,.T.); #83532=EDGE_CURVE('',#66678,#66676,#27844,.T.); #83533=EDGE_CURVE('',#66678,#66679,#27845,.T.); #83534=EDGE_CURVE('',#66679,#66677,#27846,.T.); #83535=EDGE_CURVE('',#66680,#66678,#27847,.T.); #83536=EDGE_CURVE('',#66680,#66681,#27848,.T.); #83537=EDGE_CURVE('',#66681,#66679,#27849,.T.); #83538=EDGE_CURVE('',#66682,#66680,#58877,.T.); #83539=EDGE_CURVE('',#66682,#66683,#27850,.T.); #83540=EDGE_CURVE('',#66683,#66681,#58878,.T.); #83541=EDGE_CURVE('',#66684,#66682,#27851,.T.); #83542=EDGE_CURVE('',#66684,#66685,#27852,.T.); #83543=EDGE_CURVE('',#66685,#66683,#27853,.T.); #83544=EDGE_CURVE('',#66686,#66684,#58879,.T.); #83545=EDGE_CURVE('',#66686,#66687,#27854,.T.); #83546=EDGE_CURVE('',#66687,#66685,#58880,.T.); #83547=EDGE_CURVE('',#66688,#66686,#58881,.T.); #83548=EDGE_CURVE('',#66688,#66689,#27855,.T.); #83549=EDGE_CURVE('',#66689,#66687,#58882,.T.); #83550=EDGE_CURVE('',#66690,#66688,#27856,.T.); #83551=EDGE_CURVE('',#66690,#66691,#27857,.T.); #83552=EDGE_CURVE('',#66691,#66689,#27858,.T.); #83553=EDGE_CURVE('',#66531,#66690,#27859,.T.); #83554=EDGE_CURVE('',#66533,#66691,#27860,.T.); #83555=EDGE_CURVE('',#66692,#66693,#27861,.T.); #83556=EDGE_CURVE('',#66692,#66694,#27862,.T.); #83557=EDGE_CURVE('',#66694,#66695,#27863,.T.); #83558=EDGE_CURVE('',#66693,#66695,#27864,.T.); #83559=EDGE_CURVE('',#66696,#66692,#58883,.T.); #83560=EDGE_CURVE('',#66696,#66697,#27865,.T.); #83561=EDGE_CURVE('',#66697,#66694,#58884,.T.); #83562=EDGE_CURVE('',#66698,#66696,#27866,.T.); #83563=EDGE_CURVE('',#66698,#66699,#27867,.T.); #83564=EDGE_CURVE('',#66699,#66697,#27868,.T.); #83565=EDGE_CURVE('',#66700,#66698,#27869,.T.); #83566=EDGE_CURVE('',#66700,#66701,#27870,.T.); #83567=EDGE_CURVE('',#66701,#66699,#27871,.T.); #83568=EDGE_CURVE('',#66702,#66700,#27872,.T.); #83569=EDGE_CURVE('',#66702,#66703,#27873,.T.); #83570=EDGE_CURVE('',#66703,#66701,#27874,.T.); #83571=EDGE_CURVE('',#66704,#66702,#27875,.T.); #83572=EDGE_CURVE('',#66704,#66705,#27876,.T.); #83573=EDGE_CURVE('',#66705,#66703,#27877,.T.); #83574=EDGE_CURVE('',#66706,#66704,#58885,.T.); #83575=EDGE_CURVE('',#66706,#66707,#27878,.T.); #83576=EDGE_CURVE('',#66707,#66705,#58886,.T.); #83577=EDGE_CURVE('',#66708,#66706,#27879,.T.); #83578=EDGE_CURVE('',#66708,#66709,#27880,.T.); #83579=EDGE_CURVE('',#66709,#66707,#27881,.T.); #83580=EDGE_CURVE('',#66710,#66708,#27882,.T.); #83581=EDGE_CURVE('',#66710,#66711,#27883,.T.); #83582=EDGE_CURVE('',#66711,#66709,#27884,.T.); #83583=EDGE_CURVE('',#66712,#66710,#58887,.T.); #83584=EDGE_CURVE('',#66712,#66713,#27885,.T.); #83585=EDGE_CURVE('',#66713,#66711,#58888,.T.); #83586=EDGE_CURVE('',#66714,#66712,#27886,.T.); #83587=EDGE_CURVE('',#66714,#66715,#27887,.T.); #83588=EDGE_CURVE('',#66715,#66713,#27888,.T.); #83589=EDGE_CURVE('',#66693,#66714,#27889,.T.); #83590=EDGE_CURVE('',#66695,#66715,#27890,.T.); #83591=EDGE_CURVE('',#66716,#66717,#58889,.T.); #83592=EDGE_CURVE('',#66716,#66718,#27891,.T.); #83593=EDGE_CURVE('',#66718,#66719,#58890,.T.); #83594=EDGE_CURVE('',#66717,#66719,#27892,.T.); #83595=EDGE_CURVE('',#66720,#66716,#27893,.T.); #83596=EDGE_CURVE('',#66720,#66721,#27894,.T.); #83597=EDGE_CURVE('',#66721,#66718,#27895,.T.); #83598=EDGE_CURVE('',#66722,#66720,#27896,.T.); #83599=EDGE_CURVE('',#66722,#66723,#27897,.T.); #83600=EDGE_CURVE('',#66723,#66721,#27898,.T.); #83601=EDGE_CURVE('',#66724,#66722,#27899,.T.); #83602=EDGE_CURVE('',#66724,#66725,#27900,.T.); #83603=EDGE_CURVE('',#66725,#66723,#27901,.T.); #83604=EDGE_CURVE('',#66726,#66724,#27902,.T.); #83605=EDGE_CURVE('',#66726,#66727,#27903,.T.); #83606=EDGE_CURVE('',#66727,#66725,#27904,.T.); #83607=EDGE_CURVE('',#66728,#66726,#27905,.T.); #83608=EDGE_CURVE('',#66728,#66729,#27906,.T.); #83609=EDGE_CURVE('',#66729,#66727,#27907,.T.); #83610=EDGE_CURVE('',#66730,#66728,#27908,.T.); #83611=EDGE_CURVE('',#66730,#66731,#27909,.T.); #83612=EDGE_CURVE('',#66731,#66729,#27910,.T.); #83613=EDGE_CURVE('',#66717,#66730,#27911,.T.); #83614=EDGE_CURVE('',#66719,#66731,#27912,.T.); #83615=EDGE_CURVE('',#66732,#66733,#27913,.T.); #83616=EDGE_CURVE('',#66732,#66734,#27914,.T.); #83617=EDGE_CURVE('',#66734,#66735,#27915,.T.); #83618=EDGE_CURVE('',#66733,#66735,#27916,.T.); #83619=EDGE_CURVE('',#66736,#66732,#27917,.T.); #83620=EDGE_CURVE('',#66736,#66737,#27918,.T.); #83621=EDGE_CURVE('',#66737,#66734,#27919,.T.); #83622=EDGE_CURVE('',#66738,#66736,#27920,.T.); #83623=EDGE_CURVE('',#66738,#66739,#27921,.T.); #83624=EDGE_CURVE('',#66739,#66737,#27922,.T.); #83625=EDGE_CURVE('',#66740,#66738,#27923,.T.); #83626=EDGE_CURVE('',#66740,#66741,#27924,.T.); #83627=EDGE_CURVE('',#66741,#66739,#27925,.T.); #83628=EDGE_CURVE('',#66742,#66740,#27926,.T.); #83629=EDGE_CURVE('',#66742,#66743,#27927,.T.); #83630=EDGE_CURVE('',#66743,#66741,#27928,.T.); #83631=EDGE_CURVE('',#66744,#66742,#27929,.T.); #83632=EDGE_CURVE('',#66744,#66745,#27930,.T.); #83633=EDGE_CURVE('',#66745,#66743,#27931,.T.); #83634=EDGE_CURVE('',#66746,#66744,#27932,.T.); #83635=EDGE_CURVE('',#66746,#66747,#27933,.T.); #83636=EDGE_CURVE('',#66747,#66745,#27934,.T.); #83637=EDGE_CURVE('',#66748,#66746,#27935,.T.); #83638=EDGE_CURVE('',#66748,#66749,#27936,.T.); #83639=EDGE_CURVE('',#66749,#66747,#27937,.T.); #83640=EDGE_CURVE('',#66750,#66748,#27938,.T.); #83641=EDGE_CURVE('',#66750,#66751,#27939,.T.); #83642=EDGE_CURVE('',#66751,#66749,#27940,.T.); #83643=EDGE_CURVE('',#66752,#66750,#27941,.T.); #83644=EDGE_CURVE('',#66752,#66753,#27942,.T.); #83645=EDGE_CURVE('',#66753,#66751,#27943,.T.); #83646=EDGE_CURVE('',#66754,#66752,#27944,.T.); #83647=EDGE_CURVE('',#66754,#66755,#27945,.T.); #83648=EDGE_CURVE('',#66755,#66753,#27946,.T.); #83649=EDGE_CURVE('',#66756,#66754,#27947,.T.); #83650=EDGE_CURVE('',#66756,#66757,#27948,.T.); #83651=EDGE_CURVE('',#66757,#66755,#27949,.T.); #83652=EDGE_CURVE('',#66758,#66756,#27950,.T.); #83653=EDGE_CURVE('',#66758,#66759,#27951,.T.); #83654=EDGE_CURVE('',#66759,#66757,#27952,.T.); #83655=EDGE_CURVE('',#66760,#66758,#27953,.T.); #83656=EDGE_CURVE('',#66760,#66761,#27954,.T.); #83657=EDGE_CURVE('',#66761,#66759,#27955,.T.); #83658=EDGE_CURVE('',#66762,#66760,#27956,.T.); #83659=EDGE_CURVE('',#66762,#66763,#27957,.T.); #83660=EDGE_CURVE('',#66763,#66761,#27958,.T.); #83661=EDGE_CURVE('',#66764,#66762,#27959,.T.); #83662=EDGE_CURVE('',#66764,#66765,#27960,.T.); #83663=EDGE_CURVE('',#66765,#66763,#27961,.T.); #83664=EDGE_CURVE('',#66766,#66764,#27962,.T.); #83665=EDGE_CURVE('',#66766,#66767,#27963,.T.); #83666=EDGE_CURVE('',#66767,#66765,#27964,.T.); #83667=EDGE_CURVE('',#66768,#66766,#27965,.T.); #83668=EDGE_CURVE('',#66768,#66769,#27966,.T.); #83669=EDGE_CURVE('',#66769,#66767,#27967,.T.); #83670=EDGE_CURVE('',#66770,#66768,#27968,.T.); #83671=EDGE_CURVE('',#66770,#66771,#27969,.T.); #83672=EDGE_CURVE('',#66771,#66769,#27970,.T.); #83673=EDGE_CURVE('',#66772,#66770,#27971,.T.); #83674=EDGE_CURVE('',#66772,#66773,#27972,.T.); #83675=EDGE_CURVE('',#66773,#66771,#27973,.T.); #83676=EDGE_CURVE('',#66774,#66772,#27974,.T.); #83677=EDGE_CURVE('',#66774,#66775,#27975,.T.); #83678=EDGE_CURVE('',#66775,#66773,#27976,.T.); #83679=EDGE_CURVE('',#66776,#66774,#58891,.T.); #83680=EDGE_CURVE('',#66776,#66777,#27977,.T.); #83681=EDGE_CURVE('',#66777,#66775,#58892,.T.); #83682=EDGE_CURVE('',#66778,#66776,#27978,.T.); #83683=EDGE_CURVE('',#66778,#66779,#27979,.T.); #83684=EDGE_CURVE('',#66779,#66777,#27980,.T.); #83685=EDGE_CURVE('',#66780,#66778,#27981,.T.); #83686=EDGE_CURVE('',#66780,#66781,#27982,.T.); #83687=EDGE_CURVE('',#66781,#66779,#27983,.T.); #83688=EDGE_CURVE('',#66782,#66780,#27984,.T.); #83689=EDGE_CURVE('',#66782,#66783,#27985,.T.); #83690=EDGE_CURVE('',#66783,#66781,#27986,.T.); #83691=EDGE_CURVE('',#66784,#66782,#27987,.T.); #83692=EDGE_CURVE('',#66784,#66785,#27988,.T.); #83693=EDGE_CURVE('',#66785,#66783,#27989,.T.); #83694=EDGE_CURVE('',#66786,#66784,#58893,.T.); #83695=EDGE_CURVE('',#66786,#66787,#27990,.T.); #83696=EDGE_CURVE('',#66787,#66785,#58894,.T.); #83697=EDGE_CURVE('',#66788,#66786,#27991,.T.); #83698=EDGE_CURVE('',#66788,#66789,#27992,.T.); #83699=EDGE_CURVE('',#66789,#66787,#27993,.T.); #83700=EDGE_CURVE('',#66790,#66788,#27994,.T.); #83701=EDGE_CURVE('',#66790,#66791,#27995,.T.); #83702=EDGE_CURVE('',#66791,#66789,#27996,.T.); #83703=EDGE_CURVE('',#66792,#66790,#27997,.T.); #83704=EDGE_CURVE('',#66792,#66793,#27998,.T.); #83705=EDGE_CURVE('',#66793,#66791,#27999,.T.); #83706=EDGE_CURVE('',#66794,#66792,#28000,.T.); #83707=EDGE_CURVE('',#66794,#66795,#28001,.T.); #83708=EDGE_CURVE('',#66795,#66793,#28002,.T.); #83709=EDGE_CURVE('',#66796,#66794,#28003,.T.); #83710=EDGE_CURVE('',#66796,#66797,#28004,.T.); #83711=EDGE_CURVE('',#66797,#66795,#28005,.T.); #83712=EDGE_CURVE('',#66798,#66796,#28006,.T.); #83713=EDGE_CURVE('',#66798,#66799,#28007,.T.); #83714=EDGE_CURVE('',#66799,#66797,#28008,.T.); #83715=EDGE_CURVE('',#66800,#66798,#28009,.T.); #83716=EDGE_CURVE('',#66800,#66801,#28010,.T.); #83717=EDGE_CURVE('',#66801,#66799,#28011,.T.); #83718=EDGE_CURVE('',#66802,#66800,#28012,.T.); #83719=EDGE_CURVE('',#66802,#66803,#28013,.T.); #83720=EDGE_CURVE('',#66803,#66801,#28014,.T.); #83721=EDGE_CURVE('',#66804,#66802,#28015,.T.); #83722=EDGE_CURVE('',#66804,#66805,#28016,.T.); #83723=EDGE_CURVE('',#66805,#66803,#28017,.T.); #83724=EDGE_CURVE('',#66806,#66804,#28018,.T.); #83725=EDGE_CURVE('',#66806,#66807,#28019,.T.); #83726=EDGE_CURVE('',#66807,#66805,#28020,.T.); #83727=EDGE_CURVE('',#66808,#66806,#28021,.T.); #83728=EDGE_CURVE('',#66808,#66809,#28022,.T.); #83729=EDGE_CURVE('',#66809,#66807,#28023,.T.); #83730=EDGE_CURVE('',#66810,#66808,#28024,.T.); #83731=EDGE_CURVE('',#66810,#66811,#28025,.T.); #83732=EDGE_CURVE('',#66811,#66809,#28026,.T.); #83733=EDGE_CURVE('',#66812,#66810,#28027,.T.); #83734=EDGE_CURVE('',#66812,#66813,#28028,.T.); #83735=EDGE_CURVE('',#66813,#66811,#28029,.T.); #83736=EDGE_CURVE('',#66814,#66812,#28030,.T.); #83737=EDGE_CURVE('',#66814,#66815,#28031,.T.); #83738=EDGE_CURVE('',#66815,#66813,#28032,.T.); #83739=EDGE_CURVE('',#66816,#66814,#28033,.T.); #83740=EDGE_CURVE('',#66816,#66817,#28034,.T.); #83741=EDGE_CURVE('',#66817,#66815,#28035,.T.); #83742=EDGE_CURVE('',#66818,#66816,#28036,.T.); #83743=EDGE_CURVE('',#66818,#66819,#28037,.T.); #83744=EDGE_CURVE('',#66819,#66817,#28038,.T.); #83745=EDGE_CURVE('',#66820,#66818,#28039,.T.); #83746=EDGE_CURVE('',#66820,#66821,#28040,.T.); #83747=EDGE_CURVE('',#66821,#66819,#28041,.T.); #83748=EDGE_CURVE('',#66822,#66820,#28042,.T.); #83749=EDGE_CURVE('',#66822,#66823,#28043,.T.); #83750=EDGE_CURVE('',#66823,#66821,#28044,.T.); #83751=EDGE_CURVE('',#66824,#66822,#28045,.T.); #83752=EDGE_CURVE('',#66824,#66825,#28046,.T.); #83753=EDGE_CURVE('',#66825,#66823,#28047,.T.); #83754=EDGE_CURVE('',#66826,#66824,#28048,.T.); #83755=EDGE_CURVE('',#66826,#66827,#28049,.T.); #83756=EDGE_CURVE('',#66827,#66825,#28050,.T.); #83757=EDGE_CURVE('',#66828,#66826,#28051,.T.); #83758=EDGE_CURVE('',#66828,#66829,#28052,.T.); #83759=EDGE_CURVE('',#66829,#66827,#28053,.T.); #83760=EDGE_CURVE('',#66830,#66828,#28054,.T.); #83761=EDGE_CURVE('',#66830,#66831,#28055,.T.); #83762=EDGE_CURVE('',#66831,#66829,#28056,.T.); #83763=EDGE_CURVE('',#66832,#66830,#28057,.T.); #83764=EDGE_CURVE('',#66832,#66833,#28058,.T.); #83765=EDGE_CURVE('',#66833,#66831,#28059,.T.); #83766=EDGE_CURVE('',#66834,#66832,#28060,.T.); #83767=EDGE_CURVE('',#66834,#66835,#28061,.T.); #83768=EDGE_CURVE('',#66835,#66833,#28062,.T.); #83769=EDGE_CURVE('',#66836,#66834,#58895,.T.); #83770=EDGE_CURVE('',#66836,#66837,#28063,.T.); #83771=EDGE_CURVE('',#66837,#66835,#58896,.T.); #83772=EDGE_CURVE('',#66838,#66836,#28064,.T.); #83773=EDGE_CURVE('',#66838,#66839,#28065,.T.); #83774=EDGE_CURVE('',#66839,#66837,#28066,.T.); #83775=EDGE_CURVE('',#66840,#66838,#28067,.T.); #83776=EDGE_CURVE('',#66840,#66841,#28068,.T.); #83777=EDGE_CURVE('',#66841,#66839,#28069,.T.); #83778=EDGE_CURVE('',#66842,#66840,#28070,.T.); #83779=EDGE_CURVE('',#66842,#66843,#28071,.T.); #83780=EDGE_CURVE('',#66843,#66841,#28072,.T.); #83781=EDGE_CURVE('',#66844,#66842,#28073,.T.); #83782=EDGE_CURVE('',#66844,#66845,#28074,.T.); #83783=EDGE_CURVE('',#66845,#66843,#28075,.T.); #83784=EDGE_CURVE('',#66846,#66844,#28076,.T.); #83785=EDGE_CURVE('',#66846,#66847,#28077,.T.); #83786=EDGE_CURVE('',#66847,#66845,#28078,.T.); #83787=EDGE_CURVE('',#66848,#66846,#28079,.T.); #83788=EDGE_CURVE('',#66848,#66849,#28080,.T.); #83789=EDGE_CURVE('',#66849,#66847,#28081,.T.); #83790=EDGE_CURVE('',#66850,#66848,#28082,.T.); #83791=EDGE_CURVE('',#66850,#66851,#28083,.T.); #83792=EDGE_CURVE('',#66851,#66849,#28084,.T.); #83793=EDGE_CURVE('',#66852,#66850,#28085,.T.); #83794=EDGE_CURVE('',#66852,#66853,#28086,.T.); #83795=EDGE_CURVE('',#66853,#66851,#28087,.T.); #83796=EDGE_CURVE('',#66854,#66852,#28088,.T.); #83797=EDGE_CURVE('',#66854,#66855,#28089,.T.); #83798=EDGE_CURVE('',#66855,#66853,#28090,.T.); #83799=EDGE_CURVE('',#66856,#66854,#28091,.T.); #83800=EDGE_CURVE('',#66856,#66857,#28092,.T.); #83801=EDGE_CURVE('',#66857,#66855,#28093,.T.); #83802=EDGE_CURVE('',#66858,#66856,#28094,.T.); #83803=EDGE_CURVE('',#66858,#66859,#28095,.T.); #83804=EDGE_CURVE('',#66859,#66857,#28096,.T.); #83805=EDGE_CURVE('',#66860,#66858,#28097,.T.); #83806=EDGE_CURVE('',#66860,#66861,#28098,.T.); #83807=EDGE_CURVE('',#66861,#66859,#28099,.T.); #83808=EDGE_CURVE('',#66862,#66860,#28100,.T.); #83809=EDGE_CURVE('',#66862,#66863,#28101,.T.); #83810=EDGE_CURVE('',#66863,#66861,#28102,.T.); #83811=EDGE_CURVE('',#66864,#66862,#28103,.T.); #83812=EDGE_CURVE('',#66864,#66865,#28104,.T.); #83813=EDGE_CURVE('',#66865,#66863,#28105,.T.); #83814=EDGE_CURVE('',#66866,#66864,#28106,.T.); #83815=EDGE_CURVE('',#66866,#66867,#28107,.T.); #83816=EDGE_CURVE('',#66867,#66865,#28108,.T.); #83817=EDGE_CURVE('',#66868,#66866,#28109,.T.); #83818=EDGE_CURVE('',#66868,#66869,#28110,.T.); #83819=EDGE_CURVE('',#66869,#66867,#28111,.T.); #83820=EDGE_CURVE('',#66870,#66868,#28112,.T.); #83821=EDGE_CURVE('',#66870,#66871,#28113,.T.); #83822=EDGE_CURVE('',#66871,#66869,#28114,.T.); #83823=EDGE_CURVE('',#66872,#66870,#28115,.T.); #83824=EDGE_CURVE('',#66872,#66873,#28116,.T.); #83825=EDGE_CURVE('',#66873,#66871,#28117,.T.); #83826=EDGE_CURVE('',#66874,#66872,#28118,.T.); #83827=EDGE_CURVE('',#66874,#66875,#28119,.T.); #83828=EDGE_CURVE('',#66875,#66873,#28120,.T.); #83829=EDGE_CURVE('',#66876,#66874,#28121,.T.); #83830=EDGE_CURVE('',#66876,#66877,#28122,.T.); #83831=EDGE_CURVE('',#66877,#66875,#28123,.T.); #83832=EDGE_CURVE('',#66878,#66876,#28124,.T.); #83833=EDGE_CURVE('',#66878,#66879,#28125,.T.); #83834=EDGE_CURVE('',#66879,#66877,#28126,.T.); #83835=EDGE_CURVE('',#66880,#66878,#28127,.T.); #83836=EDGE_CURVE('',#66880,#66881,#28128,.T.); #83837=EDGE_CURVE('',#66881,#66879,#28129,.T.); #83838=EDGE_CURVE('',#66882,#66880,#28130,.T.); #83839=EDGE_CURVE('',#66882,#66883,#28131,.T.); #83840=EDGE_CURVE('',#66883,#66881,#28132,.T.); #83841=EDGE_CURVE('',#66884,#66882,#28133,.T.); #83842=EDGE_CURVE('',#66884,#66885,#28134,.T.); #83843=EDGE_CURVE('',#66885,#66883,#28135,.T.); #83844=EDGE_CURVE('',#66886,#66884,#28136,.T.); #83845=EDGE_CURVE('',#66886,#66887,#28137,.T.); #83846=EDGE_CURVE('',#66887,#66885,#28138,.T.); #83847=EDGE_CURVE('',#66888,#66886,#58897,.T.); #83848=EDGE_CURVE('',#66888,#66889,#28139,.T.); #83849=EDGE_CURVE('',#66889,#66887,#58898,.T.); #83850=EDGE_CURVE('',#66890,#66888,#28140,.T.); #83851=EDGE_CURVE('',#66890,#66891,#28141,.T.); #83852=EDGE_CURVE('',#66891,#66889,#28142,.T.); #83853=EDGE_CURVE('',#66892,#66890,#28143,.T.); #83854=EDGE_CURVE('',#66892,#66893,#28144,.T.); #83855=EDGE_CURVE('',#66893,#66891,#28145,.T.); #83856=EDGE_CURVE('',#66894,#66892,#28146,.T.); #83857=EDGE_CURVE('',#66894,#66895,#28147,.T.); #83858=EDGE_CURVE('',#66895,#66893,#28148,.T.); #83859=EDGE_CURVE('',#66896,#66894,#58899,.T.); #83860=EDGE_CURVE('',#66896,#66897,#28149,.T.); #83861=EDGE_CURVE('',#66897,#66895,#58900,.T.); #83862=EDGE_CURVE('',#66898,#66896,#58901,.T.); #83863=EDGE_CURVE('',#66898,#66899,#28150,.T.); #83864=EDGE_CURVE('',#66899,#66897,#58902,.T.); #83865=EDGE_CURVE('',#66900,#66898,#58903,.T.); #83866=EDGE_CURVE('',#66900,#66901,#28151,.T.); #83867=EDGE_CURVE('',#66901,#66899,#58904,.T.); #83868=EDGE_CURVE('',#66902,#66900,#28152,.T.); #83869=EDGE_CURVE('',#66902,#66903,#28153,.T.); #83870=EDGE_CURVE('',#66903,#66901,#28154,.T.); #83871=EDGE_CURVE('',#66904,#66902,#28155,.T.); #83872=EDGE_CURVE('',#66904,#66905,#28156,.T.); #83873=EDGE_CURVE('',#66905,#66903,#28157,.T.); #83874=EDGE_CURVE('',#66906,#66904,#28158,.T.); #83875=EDGE_CURVE('',#66906,#66907,#28159,.T.); #83876=EDGE_CURVE('',#66907,#66905,#28160,.T.); #83877=EDGE_CURVE('',#66908,#66906,#28161,.T.); #83878=EDGE_CURVE('',#66908,#66909,#28162,.T.); #83879=EDGE_CURVE('',#66909,#66907,#28163,.T.); #83880=EDGE_CURVE('',#66910,#66908,#28164,.T.); #83881=EDGE_CURVE('',#66910,#66911,#28165,.T.); #83882=EDGE_CURVE('',#66911,#66909,#28166,.T.); #83883=EDGE_CURVE('',#66912,#66910,#28167,.T.); #83884=EDGE_CURVE('',#66912,#66913,#28168,.T.); #83885=EDGE_CURVE('',#66913,#66911,#28169,.T.); #83886=EDGE_CURVE('',#66914,#66912,#28170,.T.); #83887=EDGE_CURVE('',#66914,#66915,#28171,.T.); #83888=EDGE_CURVE('',#66915,#66913,#28172,.T.); #83889=EDGE_CURVE('',#66916,#66914,#28173,.T.); #83890=EDGE_CURVE('',#66916,#66917,#28174,.T.); #83891=EDGE_CURVE('',#66917,#66915,#28175,.T.); #83892=EDGE_CURVE('',#66918,#66916,#28176,.T.); #83893=EDGE_CURVE('',#66918,#66919,#28177,.T.); #83894=EDGE_CURVE('',#66919,#66917,#28178,.T.); #83895=EDGE_CURVE('',#66920,#66918,#28179,.T.); #83896=EDGE_CURVE('',#66920,#66921,#28180,.T.); #83897=EDGE_CURVE('',#66921,#66919,#28181,.T.); #83898=EDGE_CURVE('',#66922,#66920,#28182,.T.); #83899=EDGE_CURVE('',#66922,#66923,#28183,.T.); #83900=EDGE_CURVE('',#66923,#66921,#28184,.T.); #83901=EDGE_CURVE('',#66924,#66922,#28185,.T.); #83902=EDGE_CURVE('',#66924,#66925,#28186,.T.); #83903=EDGE_CURVE('',#66925,#66923,#28187,.T.); #83904=EDGE_CURVE('',#66926,#66924,#28188,.T.); #83905=EDGE_CURVE('',#66926,#66927,#28189,.T.); #83906=EDGE_CURVE('',#66927,#66925,#28190,.T.); #83907=EDGE_CURVE('',#66928,#66926,#28191,.T.); #83908=EDGE_CURVE('',#66928,#66929,#28192,.T.); #83909=EDGE_CURVE('',#66929,#66927,#28193,.T.); #83910=EDGE_CURVE('',#66930,#66928,#28194,.T.); #83911=EDGE_CURVE('',#66930,#66931,#28195,.T.); #83912=EDGE_CURVE('',#66931,#66929,#28196,.T.); #83913=EDGE_CURVE('',#66932,#66930,#28197,.T.); #83914=EDGE_CURVE('',#66932,#66933,#28198,.T.); #83915=EDGE_CURVE('',#66933,#66931,#28199,.T.); #83916=EDGE_CURVE('',#66934,#66932,#28200,.T.); #83917=EDGE_CURVE('',#66934,#66935,#28201,.T.); #83918=EDGE_CURVE('',#66935,#66933,#28202,.T.); #83919=EDGE_CURVE('',#66936,#66934,#28203,.T.); #83920=EDGE_CURVE('',#66936,#66937,#28204,.T.); #83921=EDGE_CURVE('',#66937,#66935,#28205,.T.); #83922=EDGE_CURVE('',#66938,#66936,#28206,.T.); #83923=EDGE_CURVE('',#66938,#66939,#28207,.T.); #83924=EDGE_CURVE('',#66939,#66937,#28208,.T.); #83925=EDGE_CURVE('',#66940,#66938,#28209,.T.); #83926=EDGE_CURVE('',#66940,#66941,#28210,.T.); #83927=EDGE_CURVE('',#66941,#66939,#28211,.T.); #83928=EDGE_CURVE('',#66942,#66940,#28212,.T.); #83929=EDGE_CURVE('',#66942,#66943,#28213,.T.); #83930=EDGE_CURVE('',#66943,#66941,#28214,.T.); #83931=EDGE_CURVE('',#66944,#66942,#28215,.T.); #83932=EDGE_CURVE('',#66944,#66945,#28216,.T.); #83933=EDGE_CURVE('',#66945,#66943,#28217,.T.); #83934=EDGE_CURVE('',#66946,#66944,#28218,.T.); #83935=EDGE_CURVE('',#66946,#66947,#28219,.T.); #83936=EDGE_CURVE('',#66947,#66945,#28220,.T.); #83937=EDGE_CURVE('',#66948,#66946,#58905,.T.); #83938=EDGE_CURVE('',#66948,#66949,#28221,.T.); #83939=EDGE_CURVE('',#66949,#66947,#58906,.T.); #83940=EDGE_CURVE('',#66950,#66948,#28222,.T.); #83941=EDGE_CURVE('',#66950,#66951,#28223,.T.); #83942=EDGE_CURVE('',#66951,#66949,#28224,.T.); #83943=EDGE_CURVE('',#66952,#66950,#28225,.T.); #83944=EDGE_CURVE('',#66952,#66953,#28226,.T.); #83945=EDGE_CURVE('',#66953,#66951,#28227,.T.); #83946=EDGE_CURVE('',#66954,#66952,#28228,.T.); #83947=EDGE_CURVE('',#66954,#66955,#28229,.T.); #83948=EDGE_CURVE('',#66955,#66953,#28230,.T.); #83949=EDGE_CURVE('',#66956,#66954,#28231,.T.); #83950=EDGE_CURVE('',#66956,#66957,#28232,.T.); #83951=EDGE_CURVE('',#66957,#66955,#28233,.T.); #83952=EDGE_CURVE('',#66958,#66956,#28234,.T.); #83953=EDGE_CURVE('',#66958,#66959,#28235,.T.); #83954=EDGE_CURVE('',#66959,#66957,#28236,.T.); #83955=EDGE_CURVE('',#66960,#66958,#28237,.T.); #83956=EDGE_CURVE('',#66960,#66961,#28238,.T.); #83957=EDGE_CURVE('',#66961,#66959,#28239,.T.); #83958=EDGE_CURVE('',#66962,#66960,#28240,.T.); #83959=EDGE_CURVE('',#66962,#66963,#28241,.T.); #83960=EDGE_CURVE('',#66963,#66961,#28242,.T.); #83961=EDGE_CURVE('',#66964,#66962,#28243,.T.); #83962=EDGE_CURVE('',#66964,#66965,#28244,.T.); #83963=EDGE_CURVE('',#66965,#66963,#28245,.T.); #83964=EDGE_CURVE('',#66966,#66964,#28246,.T.); #83965=EDGE_CURVE('',#66966,#66967,#28247,.T.); #83966=EDGE_CURVE('',#66967,#66965,#28248,.T.); #83967=EDGE_CURVE('',#66968,#66966,#28249,.T.); #83968=EDGE_CURVE('',#66968,#66969,#28250,.T.); #83969=EDGE_CURVE('',#66969,#66967,#28251,.T.); #83970=EDGE_CURVE('',#66970,#66968,#28252,.T.); #83971=EDGE_CURVE('',#66970,#66971,#28253,.T.); #83972=EDGE_CURVE('',#66971,#66969,#28254,.T.); #83973=EDGE_CURVE('',#66972,#66970,#28255,.T.); #83974=EDGE_CURVE('',#66972,#66973,#28256,.T.); #83975=EDGE_CURVE('',#66973,#66971,#28257,.T.); #83976=EDGE_CURVE('',#66974,#66972,#28258,.T.); #83977=EDGE_CURVE('',#66974,#66975,#28259,.T.); #83978=EDGE_CURVE('',#66975,#66973,#28260,.T.); #83979=EDGE_CURVE('',#66976,#66974,#28261,.T.); #83980=EDGE_CURVE('',#66976,#66977,#28262,.T.); #83981=EDGE_CURVE('',#66977,#66975,#28263,.T.); #83982=EDGE_CURVE('',#66978,#66976,#28264,.T.); #83983=EDGE_CURVE('',#66978,#66979,#28265,.T.); #83984=EDGE_CURVE('',#66979,#66977,#28266,.T.); #83985=EDGE_CURVE('',#66980,#66978,#28267,.T.); #83986=EDGE_CURVE('',#66980,#66981,#28268,.T.); #83987=EDGE_CURVE('',#66981,#66979,#28269,.T.); #83988=EDGE_CURVE('',#66982,#66980,#28270,.T.); #83989=EDGE_CURVE('',#66982,#66983,#28271,.T.); #83990=EDGE_CURVE('',#66983,#66981,#28272,.T.); #83991=EDGE_CURVE('',#66984,#66982,#28273,.T.); #83992=EDGE_CURVE('',#66984,#66985,#28274,.T.); #83993=EDGE_CURVE('',#66985,#66983,#28275,.T.); #83994=EDGE_CURVE('',#66986,#66984,#28276,.T.); #83995=EDGE_CURVE('',#66986,#66987,#28277,.T.); #83996=EDGE_CURVE('',#66987,#66985,#28278,.T.); #83997=EDGE_CURVE('',#66988,#66986,#28279,.T.); #83998=EDGE_CURVE('',#66988,#66989,#28280,.T.); #83999=EDGE_CURVE('',#66989,#66987,#28281,.T.); #84000=EDGE_CURVE('',#66990,#66988,#28282,.T.); #84001=EDGE_CURVE('',#66990,#66991,#28283,.T.); #84002=EDGE_CURVE('',#66991,#66989,#28284,.T.); #84003=EDGE_CURVE('',#66992,#66990,#28285,.T.); #84004=EDGE_CURVE('',#66992,#66993,#28286,.T.); #84005=EDGE_CURVE('',#66993,#66991,#28287,.T.); #84006=EDGE_CURVE('',#66994,#66992,#28288,.T.); #84007=EDGE_CURVE('',#66994,#66995,#28289,.T.); #84008=EDGE_CURVE('',#66995,#66993,#28290,.T.); #84009=EDGE_CURVE('',#66996,#66994,#28291,.T.); #84010=EDGE_CURVE('',#66996,#66997,#28292,.T.); #84011=EDGE_CURVE('',#66997,#66995,#28293,.T.); #84012=EDGE_CURVE('',#66998,#66996,#58907,.T.); #84013=EDGE_CURVE('',#66998,#66999,#28294,.T.); #84014=EDGE_CURVE('',#66999,#66997,#58908,.T.); #84015=EDGE_CURVE('',#67000,#66998,#28295,.T.); #84016=EDGE_CURVE('',#67000,#67001,#28296,.T.); #84017=EDGE_CURVE('',#67001,#66999,#28297,.T.); #84018=EDGE_CURVE('',#67002,#67000,#28298,.T.); #84019=EDGE_CURVE('',#67002,#67003,#28299,.T.); #84020=EDGE_CURVE('',#67003,#67001,#28300,.T.); #84021=EDGE_CURVE('',#67004,#67002,#28301,.T.); #84022=EDGE_CURVE('',#67004,#67005,#28302,.T.); #84023=EDGE_CURVE('',#67005,#67003,#28303,.T.); #84024=EDGE_CURVE('',#67006,#67004,#58909,.T.); #84025=EDGE_CURVE('',#67006,#67007,#28304,.T.); #84026=EDGE_CURVE('',#67007,#67005,#58910,.T.); #84027=EDGE_CURVE('',#67008,#67006,#28305,.T.); #84028=EDGE_CURVE('',#67008,#67009,#28306,.T.); #84029=EDGE_CURVE('',#67009,#67007,#28307,.T.); #84030=EDGE_CURVE('',#66733,#67008,#28308,.T.); #84031=EDGE_CURVE('',#66735,#67009,#28309,.T.); #84032=EDGE_CURVE('',#67010,#67011,#28310,.T.); #84033=EDGE_CURVE('',#67010,#67012,#28311,.T.); #84034=EDGE_CURVE('',#67012,#67013,#28312,.T.); #84035=EDGE_CURVE('',#67011,#67013,#28313,.T.); #84036=EDGE_CURVE('',#67014,#67010,#28314,.T.); #84037=EDGE_CURVE('',#67014,#67015,#28315,.T.); #84038=EDGE_CURVE('',#67015,#67012,#28316,.T.); #84039=EDGE_CURVE('',#67016,#67014,#28317,.T.); #84040=EDGE_CURVE('',#67016,#67017,#28318,.T.); #84041=EDGE_CURVE('',#67017,#67015,#28319,.T.); #84042=EDGE_CURVE('',#67018,#67016,#58911,.T.); #84043=EDGE_CURVE('',#67018,#67019,#28320,.T.); #84044=EDGE_CURVE('',#67019,#67017,#58912,.T.); #84045=EDGE_CURVE('',#67020,#67018,#58913,.T.); #84046=EDGE_CURVE('',#67020,#67021,#28321,.T.); #84047=EDGE_CURVE('',#67021,#67019,#58914,.T.); #84048=EDGE_CURVE('',#67022,#67020,#58915,.T.); #84049=EDGE_CURVE('',#67022,#67023,#28322,.T.); #84050=EDGE_CURVE('',#67023,#67021,#58916,.T.); #84051=EDGE_CURVE('',#67024,#67022,#58917,.T.); #84052=EDGE_CURVE('',#67024,#67025,#28323,.T.); #84053=EDGE_CURVE('',#67025,#67023,#58918,.T.); #84054=EDGE_CURVE('',#67026,#67024,#58919,.T.); #84055=EDGE_CURVE('',#67026,#67027,#28324,.T.); #84056=EDGE_CURVE('',#67027,#67025,#58920,.T.); #84057=EDGE_CURVE('',#67011,#67026,#28325,.T.); #84058=EDGE_CURVE('',#67013,#67027,#28326,.T.); #84059=EDGE_CURVE('',#67028,#67029,#58921,.T.); #84060=EDGE_CURVE('',#67028,#67030,#28327,.T.); #84061=EDGE_CURVE('',#67030,#67031,#58922,.T.); #84062=EDGE_CURVE('',#67029,#67031,#28328,.T.); #84063=EDGE_CURVE('',#67032,#67028,#58923,.T.); #84064=EDGE_CURVE('',#67032,#67033,#28329,.T.); #84065=EDGE_CURVE('',#67033,#67030,#58924,.T.); #84066=EDGE_CURVE('',#67034,#67032,#58925,.T.); #84067=EDGE_CURVE('',#67034,#67035,#28330,.T.); #84068=EDGE_CURVE('',#67035,#67033,#58926,.T.); #84069=EDGE_CURVE('',#67036,#67034,#28331,.T.); #84070=EDGE_CURVE('',#67036,#67037,#28332,.T.); #84071=EDGE_CURVE('',#67037,#67035,#28333,.T.); #84072=EDGE_CURVE('',#67038,#67036,#28334,.T.); #84073=EDGE_CURVE('',#67038,#67039,#28335,.T.); #84074=EDGE_CURVE('',#67039,#67037,#28336,.T.); #84075=EDGE_CURVE('',#67040,#67038,#28337,.T.); #84076=EDGE_CURVE('',#67040,#67041,#28338,.T.); #84077=EDGE_CURVE('',#67041,#67039,#28339,.T.); #84078=EDGE_CURVE('',#67042,#67040,#28340,.T.); #84079=EDGE_CURVE('',#67042,#67043,#28341,.T.); #84080=EDGE_CURVE('',#67043,#67041,#28342,.T.); #84081=EDGE_CURVE('',#67044,#67042,#28343,.T.); #84082=EDGE_CURVE('',#67044,#67045,#28344,.T.); #84083=EDGE_CURVE('',#67045,#67043,#28345,.T.); #84084=EDGE_CURVE('',#67046,#67044,#28346,.T.); #84085=EDGE_CURVE('',#67046,#67047,#28347,.T.); #84086=EDGE_CURVE('',#67047,#67045,#28348,.T.); #84087=EDGE_CURVE('',#67048,#67046,#28349,.T.); #84088=EDGE_CURVE('',#67048,#67049,#28350,.T.); #84089=EDGE_CURVE('',#67049,#67047,#28351,.T.); #84090=EDGE_CURVE('',#67050,#67048,#28352,.T.); #84091=EDGE_CURVE('',#67050,#67051,#28353,.T.); #84092=EDGE_CURVE('',#67051,#67049,#28354,.T.); #84093=EDGE_CURVE('',#67052,#67050,#58927,.T.); #84094=EDGE_CURVE('',#67052,#67053,#28355,.T.); #84095=EDGE_CURVE('',#67053,#67051,#58928,.T.); #84096=EDGE_CURVE('',#67054,#67052,#58929,.T.); #84097=EDGE_CURVE('',#67054,#67055,#28356,.T.); #84098=EDGE_CURVE('',#67055,#67053,#58930,.T.); #84099=EDGE_CURVE('',#67056,#67054,#58931,.T.); #84100=EDGE_CURVE('',#67056,#67057,#28357,.T.); #84101=EDGE_CURVE('',#67057,#67055,#58932,.T.); #84102=EDGE_CURVE('',#67058,#67056,#58933,.T.); #84103=EDGE_CURVE('',#67058,#67059,#28358,.T.); #84104=EDGE_CURVE('',#67059,#67057,#58934,.T.); #84105=EDGE_CURVE('',#67060,#67058,#58935,.T.); #84106=EDGE_CURVE('',#67060,#67061,#28359,.T.); #84107=EDGE_CURVE('',#67061,#67059,#58936,.T.); #84108=EDGE_CURVE('',#67062,#67060,#28360,.T.); #84109=EDGE_CURVE('',#67062,#67063,#28361,.T.); #84110=EDGE_CURVE('',#67063,#67061,#28362,.T.); #84111=EDGE_CURVE('',#67064,#67062,#58937,.T.); #84112=EDGE_CURVE('',#67064,#67065,#28363,.T.); #84113=EDGE_CURVE('',#67065,#67063,#58938,.T.); #84114=EDGE_CURVE('',#67066,#67064,#58939,.T.); #84115=EDGE_CURVE('',#67066,#67067,#28364,.T.); #84116=EDGE_CURVE('',#67067,#67065,#58940,.T.); #84117=EDGE_CURVE('',#67068,#67066,#58941,.T.); #84118=EDGE_CURVE('',#67068,#67069,#28365,.T.); #84119=EDGE_CURVE('',#67069,#67067,#58942,.T.); #84120=EDGE_CURVE('',#67070,#67068,#28366,.T.); #84121=EDGE_CURVE('',#67070,#67071,#28367,.T.); #84122=EDGE_CURVE('',#67071,#67069,#28368,.T.); #84123=EDGE_CURVE('',#67072,#67070,#28369,.T.); #84124=EDGE_CURVE('',#67072,#67073,#28370,.T.); #84125=EDGE_CURVE('',#67073,#67071,#28371,.T.); #84126=EDGE_CURVE('',#67074,#67072,#28372,.T.); #84127=EDGE_CURVE('',#67074,#67075,#28373,.T.); #84128=EDGE_CURVE('',#67075,#67073,#28374,.T.); #84129=EDGE_CURVE('',#67076,#67074,#28375,.T.); #84130=EDGE_CURVE('',#67076,#67077,#28376,.T.); #84131=EDGE_CURVE('',#67077,#67075,#28377,.T.); #84132=EDGE_CURVE('',#67078,#67076,#28378,.T.); #84133=EDGE_CURVE('',#67078,#67079,#28379,.T.); #84134=EDGE_CURVE('',#67079,#67077,#28380,.T.); #84135=EDGE_CURVE('',#67080,#67078,#58943,.T.); #84136=EDGE_CURVE('',#67080,#67081,#28381,.T.); #84137=EDGE_CURVE('',#67081,#67079,#58944,.T.); #84138=EDGE_CURVE('',#67082,#67080,#58945,.T.); #84139=EDGE_CURVE('',#67082,#67083,#28382,.T.); #84140=EDGE_CURVE('',#67083,#67081,#58946,.T.); #84141=EDGE_CURVE('',#67084,#67082,#58947,.T.); #84142=EDGE_CURVE('',#67084,#67085,#28383,.T.); #84143=EDGE_CURVE('',#67085,#67083,#58948,.T.); #84144=EDGE_CURVE('',#67029,#67084,#28384,.T.); #84145=EDGE_CURVE('',#67031,#67085,#28385,.T.); #84146=EDGE_CURVE('',#67086,#67087,#58949,.T.); #84147=EDGE_CURVE('',#67086,#67088,#28386,.T.); #84148=EDGE_CURVE('',#67088,#67089,#58950,.T.); #84149=EDGE_CURVE('',#67087,#67089,#28387,.T.); #84150=EDGE_CURVE('',#67090,#67086,#58951,.T.); #84151=EDGE_CURVE('',#67090,#67091,#28388,.T.); #84152=EDGE_CURVE('',#67091,#67088,#58952,.T.); #84153=EDGE_CURVE('',#67092,#67090,#58953,.T.); #84154=EDGE_CURVE('',#67092,#67093,#28389,.T.); #84155=EDGE_CURVE('',#67093,#67091,#58954,.T.); #84156=EDGE_CURVE('',#67094,#67092,#28390,.T.); #84157=EDGE_CURVE('',#67094,#67095,#28391,.T.); #84158=EDGE_CURVE('',#67095,#67093,#28392,.T.); #84159=EDGE_CURVE('',#67087,#67094,#28393,.T.); #84160=EDGE_CURVE('',#67089,#67095,#28394,.T.); #84161=EDGE_CURVE('',#67096,#67097,#28395,.T.); #84162=EDGE_CURVE('',#67096,#67098,#28396,.T.); #84163=EDGE_CURVE('',#67098,#67099,#28397,.T.); #84164=EDGE_CURVE('',#67097,#67099,#28398,.T.); #84165=EDGE_CURVE('',#67100,#67096,#28399,.T.); #84166=EDGE_CURVE('',#67100,#67101,#28400,.T.); #84167=EDGE_CURVE('',#67101,#67098,#28401,.T.); #84168=EDGE_CURVE('',#67102,#67100,#28402,.T.); #84169=EDGE_CURVE('',#67102,#67103,#28403,.T.); #84170=EDGE_CURVE('',#67103,#67101,#28404,.T.); #84171=EDGE_CURVE('',#67104,#67102,#28405,.T.); #84172=EDGE_CURVE('',#67104,#67105,#28406,.T.); #84173=EDGE_CURVE('',#67105,#67103,#28407,.T.); #84174=EDGE_CURVE('',#67106,#67104,#58955,.T.); #84175=EDGE_CURVE('',#67106,#67107,#28408,.T.); #84176=EDGE_CURVE('',#67107,#67105,#58956,.T.); #84177=EDGE_CURVE('',#67108,#67106,#28409,.T.); #84178=EDGE_CURVE('',#67108,#67109,#28410,.T.); #84179=EDGE_CURVE('',#67109,#67107,#28411,.T.); #84180=EDGE_CURVE('',#67110,#67108,#28412,.T.); #84181=EDGE_CURVE('',#67110,#67111,#28413,.T.); #84182=EDGE_CURVE('',#67111,#67109,#28414,.T.); #84183=EDGE_CURVE('',#67112,#67110,#28415,.T.); #84184=EDGE_CURVE('',#67112,#67113,#28416,.T.); #84185=EDGE_CURVE('',#67113,#67111,#28417,.T.); #84186=EDGE_CURVE('',#67114,#67112,#28418,.T.); #84187=EDGE_CURVE('',#67114,#67115,#28419,.T.); #84188=EDGE_CURVE('',#67115,#67113,#28420,.T.); #84189=EDGE_CURVE('',#67116,#67114,#28421,.T.); #84190=EDGE_CURVE('',#67116,#67117,#28422,.T.); #84191=EDGE_CURVE('',#67117,#67115,#28423,.T.); #84192=EDGE_CURVE('',#67118,#67116,#28424,.T.); #84193=EDGE_CURVE('',#67118,#67119,#28425,.T.); #84194=EDGE_CURVE('',#67119,#67117,#28426,.T.); #84195=EDGE_CURVE('',#67120,#67118,#28427,.T.); #84196=EDGE_CURVE('',#67120,#67121,#28428,.T.); #84197=EDGE_CURVE('',#67121,#67119,#28429,.T.); #84198=EDGE_CURVE('',#67122,#67120,#28430,.T.); #84199=EDGE_CURVE('',#67122,#67123,#28431,.T.); #84200=EDGE_CURVE('',#67123,#67121,#28432,.T.); #84201=EDGE_CURVE('',#67124,#67122,#28433,.T.); #84202=EDGE_CURVE('',#67124,#67125,#28434,.T.); #84203=EDGE_CURVE('',#67125,#67123,#28435,.T.); #84204=EDGE_CURVE('',#67126,#67124,#28436,.T.); #84205=EDGE_CURVE('',#67126,#67127,#28437,.T.); #84206=EDGE_CURVE('',#67127,#67125,#28438,.T.); #84207=EDGE_CURVE('',#67128,#67126,#28439,.T.); #84208=EDGE_CURVE('',#67128,#67129,#28440,.T.); #84209=EDGE_CURVE('',#67129,#67127,#28441,.T.); #84210=EDGE_CURVE('',#67130,#67128,#28442,.T.); #84211=EDGE_CURVE('',#67130,#67131,#28443,.T.); #84212=EDGE_CURVE('',#67131,#67129,#28444,.T.); #84213=EDGE_CURVE('',#67132,#67130,#28445,.T.); #84214=EDGE_CURVE('',#67132,#67133,#28446,.T.); #84215=EDGE_CURVE('',#67133,#67131,#28447,.T.); #84216=EDGE_CURVE('',#67134,#67132,#28448,.T.); #84217=EDGE_CURVE('',#67134,#67135,#28449,.T.); #84218=EDGE_CURVE('',#67135,#67133,#28450,.T.); #84219=EDGE_CURVE('',#67136,#67134,#58957,.T.); #84220=EDGE_CURVE('',#67136,#67137,#28451,.T.); #84221=EDGE_CURVE('',#67137,#67135,#58958,.T.); #84222=EDGE_CURVE('',#67138,#67136,#58959,.T.); #84223=EDGE_CURVE('',#67138,#67139,#28452,.T.); #84224=EDGE_CURVE('',#67139,#67137,#58960,.T.); #84225=EDGE_CURVE('',#67140,#67138,#58961,.T.); #84226=EDGE_CURVE('',#67140,#67141,#28453,.T.); #84227=EDGE_CURVE('',#67141,#67139,#58962,.T.); #84228=EDGE_CURVE('',#67142,#67140,#58963,.T.); #84229=EDGE_CURVE('',#67142,#67143,#28454,.T.); #84230=EDGE_CURVE('',#67143,#67141,#58964,.T.); #84231=EDGE_CURVE('',#67144,#67142,#58965,.T.); #84232=EDGE_CURVE('',#67144,#67145,#28455,.T.); #84233=EDGE_CURVE('',#67145,#67143,#58966,.T.); #84234=EDGE_CURVE('',#67146,#67144,#58967,.T.); #84235=EDGE_CURVE('',#67146,#67147,#28456,.T.); #84236=EDGE_CURVE('',#67147,#67145,#58968,.T.); #84237=EDGE_CURVE('',#67148,#67146,#58969,.T.); #84238=EDGE_CURVE('',#67148,#67149,#28457,.T.); #84239=EDGE_CURVE('',#67149,#67147,#58970,.T.); #84240=EDGE_CURVE('',#67150,#67148,#28458,.T.); #84241=EDGE_CURVE('',#67150,#67151,#28459,.T.); #84242=EDGE_CURVE('',#67151,#67149,#28460,.T.); #84243=EDGE_CURVE('',#67152,#67150,#28461,.T.); #84244=EDGE_CURVE('',#67152,#67153,#28462,.T.); #84245=EDGE_CURVE('',#67153,#67151,#28463,.T.); #84246=EDGE_CURVE('',#67154,#67152,#28464,.T.); #84247=EDGE_CURVE('',#67154,#67155,#28465,.T.); #84248=EDGE_CURVE('',#67155,#67153,#28466,.T.); #84249=EDGE_CURVE('',#67156,#67154,#28467,.T.); #84250=EDGE_CURVE('',#67156,#67157,#28468,.T.); #84251=EDGE_CURVE('',#67157,#67155,#28469,.T.); #84252=EDGE_CURVE('',#67158,#67156,#28470,.T.); #84253=EDGE_CURVE('',#67158,#67159,#28471,.T.); #84254=EDGE_CURVE('',#67159,#67157,#28472,.T.); #84255=EDGE_CURVE('',#67160,#67158,#28473,.T.); #84256=EDGE_CURVE('',#67160,#67161,#28474,.T.); #84257=EDGE_CURVE('',#67161,#67159,#28475,.T.); #84258=EDGE_CURVE('',#67162,#67160,#28476,.T.); #84259=EDGE_CURVE('',#67162,#67163,#28477,.T.); #84260=EDGE_CURVE('',#67163,#67161,#28478,.T.); #84261=EDGE_CURVE('',#67164,#67162,#28479,.T.); #84262=EDGE_CURVE('',#67164,#67165,#28480,.T.); #84263=EDGE_CURVE('',#67165,#67163,#28481,.T.); #84264=EDGE_CURVE('',#67166,#67164,#58971,.T.); #84265=EDGE_CURVE('',#67166,#67167,#28482,.T.); #84266=EDGE_CURVE('',#67167,#67165,#58972,.T.); #84267=EDGE_CURVE('',#67168,#67166,#28483,.T.); #84268=EDGE_CURVE('',#67168,#67169,#28484,.T.); #84269=EDGE_CURVE('',#67169,#67167,#28485,.T.); #84270=EDGE_CURVE('',#67170,#67168,#28486,.T.); #84271=EDGE_CURVE('',#67170,#67171,#28487,.T.); #84272=EDGE_CURVE('',#67171,#67169,#28488,.T.); #84273=EDGE_CURVE('',#67172,#67170,#28489,.T.); #84274=EDGE_CURVE('',#67172,#67173,#28490,.T.); #84275=EDGE_CURVE('',#67173,#67171,#28491,.T.); #84276=EDGE_CURVE('',#67174,#67172,#28492,.T.); #84277=EDGE_CURVE('',#67174,#67175,#28493,.T.); #84278=EDGE_CURVE('',#67175,#67173,#28494,.T.); #84279=EDGE_CURVE('',#67176,#67174,#28495,.T.); #84280=EDGE_CURVE('',#67176,#67177,#28496,.T.); #84281=EDGE_CURVE('',#67177,#67175,#28497,.T.); #84282=EDGE_CURVE('',#67178,#67176,#28498,.T.); #84283=EDGE_CURVE('',#67178,#67179,#28499,.T.); #84284=EDGE_CURVE('',#67179,#67177,#28500,.T.); #84285=EDGE_CURVE('',#67180,#67178,#28501,.T.); #84286=EDGE_CURVE('',#67180,#67181,#28502,.T.); #84287=EDGE_CURVE('',#67181,#67179,#28503,.T.); #84288=EDGE_CURVE('',#67182,#67180,#28504,.T.); #84289=EDGE_CURVE('',#67182,#67183,#28505,.T.); #84290=EDGE_CURVE('',#67183,#67181,#28506,.T.); #84291=EDGE_CURVE('',#67184,#67182,#28507,.T.); #84292=EDGE_CURVE('',#67184,#67185,#28508,.T.); #84293=EDGE_CURVE('',#67185,#67183,#28509,.T.); #84294=EDGE_CURVE('',#67186,#67184,#28510,.T.); #84295=EDGE_CURVE('',#67186,#67187,#28511,.T.); #84296=EDGE_CURVE('',#67187,#67185,#28512,.T.); #84297=EDGE_CURVE('',#67188,#67186,#28513,.T.); #84298=EDGE_CURVE('',#67188,#67189,#28514,.T.); #84299=EDGE_CURVE('',#67189,#67187,#28515,.T.); #84300=EDGE_CURVE('',#67190,#67188,#28516,.T.); #84301=EDGE_CURVE('',#67190,#67191,#28517,.T.); #84302=EDGE_CURVE('',#67191,#67189,#28518,.T.); #84303=EDGE_CURVE('',#67192,#67190,#28519,.T.); #84304=EDGE_CURVE('',#67192,#67193,#28520,.T.); #84305=EDGE_CURVE('',#67193,#67191,#28521,.T.); #84306=EDGE_CURVE('',#67194,#67192,#28522,.T.); #84307=EDGE_CURVE('',#67194,#67195,#28523,.T.); #84308=EDGE_CURVE('',#67195,#67193,#28524,.T.); #84309=EDGE_CURVE('',#67196,#67194,#58973,.T.); #84310=EDGE_CURVE('',#67196,#67197,#28525,.T.); #84311=EDGE_CURVE('',#67197,#67195,#58974,.T.); #84312=EDGE_CURVE('',#67198,#67196,#28526,.T.); #84313=EDGE_CURVE('',#67198,#67199,#28527,.T.); #84314=EDGE_CURVE('',#67199,#67197,#28528,.T.); #84315=EDGE_CURVE('',#67200,#67198,#28529,.T.); #84316=EDGE_CURVE('',#67200,#67201,#28530,.T.); #84317=EDGE_CURVE('',#67201,#67199,#28531,.T.); #84318=EDGE_CURVE('',#67202,#67200,#58975,.T.); #84319=EDGE_CURVE('',#67202,#67203,#28532,.T.); #84320=EDGE_CURVE('',#67203,#67201,#58976,.T.); #84321=EDGE_CURVE('',#67204,#67202,#28533,.T.); #84322=EDGE_CURVE('',#67204,#67205,#28534,.T.); #84323=EDGE_CURVE('',#67205,#67203,#28535,.T.); #84324=EDGE_CURVE('',#67206,#67204,#28536,.T.); #84325=EDGE_CURVE('',#67206,#67207,#28537,.T.); #84326=EDGE_CURVE('',#67207,#67205,#28538,.T.); #84327=EDGE_CURVE('',#67097,#67206,#28539,.T.); #84328=EDGE_CURVE('',#67099,#67207,#28540,.T.); #84329=EDGE_CURVE('',#67208,#67209,#58977,.T.); #84330=EDGE_CURVE('',#67208,#67210,#28541,.T.); #84331=EDGE_CURVE('',#67210,#67211,#58978,.T.); #84332=EDGE_CURVE('',#67209,#67211,#28542,.T.); #84333=EDGE_CURVE('',#67212,#67208,#28543,.T.); #84334=EDGE_CURVE('',#67212,#67213,#28544,.T.); #84335=EDGE_CURVE('',#67213,#67210,#28545,.T.); #84336=EDGE_CURVE('',#67214,#67212,#58979,.T.); #84337=EDGE_CURVE('',#67214,#67215,#28546,.T.); #84338=EDGE_CURVE('',#67215,#67213,#58980,.T.); #84339=EDGE_CURVE('',#67216,#67214,#58981,.T.); #84340=EDGE_CURVE('',#67216,#67217,#28547,.T.); #84341=EDGE_CURVE('',#67217,#67215,#58982,.T.); #84342=EDGE_CURVE('',#67218,#67216,#28548,.T.); #84343=EDGE_CURVE('',#67218,#67219,#28549,.T.); #84344=EDGE_CURVE('',#67219,#67217,#28550,.T.); #84345=EDGE_CURVE('',#67220,#67218,#28551,.T.); #84346=EDGE_CURVE('',#67220,#67221,#28552,.T.); #84347=EDGE_CURVE('',#67221,#67219,#28553,.T.); #84348=EDGE_CURVE('',#67222,#67220,#28554,.T.); #84349=EDGE_CURVE('',#67222,#67223,#28555,.T.); #84350=EDGE_CURVE('',#67223,#67221,#28556,.T.); #84351=EDGE_CURVE('',#67224,#67222,#28557,.T.); #84352=EDGE_CURVE('',#67224,#67225,#28558,.T.); #84353=EDGE_CURVE('',#67225,#67223,#28559,.T.); #84354=EDGE_CURVE('',#67226,#67224,#28560,.T.); #84355=EDGE_CURVE('',#67226,#67227,#28561,.T.); #84356=EDGE_CURVE('',#67227,#67225,#28562,.T.); #84357=EDGE_CURVE('',#67228,#67226,#28563,.T.); #84358=EDGE_CURVE('',#67228,#67229,#28564,.T.); #84359=EDGE_CURVE('',#67229,#67227,#28565,.T.); #84360=EDGE_CURVE('',#67230,#67228,#28566,.T.); #84361=EDGE_CURVE('',#67230,#67231,#28567,.T.); #84362=EDGE_CURVE('',#67231,#67229,#28568,.T.); #84363=EDGE_CURVE('',#67232,#67230,#28569,.T.); #84364=EDGE_CURVE('',#67232,#67233,#28570,.T.); #84365=EDGE_CURVE('',#67233,#67231,#28571,.T.); #84366=EDGE_CURVE('',#67234,#67232,#28572,.T.); #84367=EDGE_CURVE('',#67234,#67235,#28573,.T.); #84368=EDGE_CURVE('',#67235,#67233,#28574,.T.); #84369=EDGE_CURVE('',#67236,#67234,#58983,.T.); #84370=EDGE_CURVE('',#67236,#67237,#28575,.T.); #84371=EDGE_CURVE('',#67237,#67235,#58984,.T.); #84372=EDGE_CURVE('',#67238,#67236,#28576,.T.); #84373=EDGE_CURVE('',#67238,#67239,#28577,.T.); #84374=EDGE_CURVE('',#67239,#67237,#28578,.T.); #84375=EDGE_CURVE('',#67240,#67238,#28579,.T.); #84376=EDGE_CURVE('',#67240,#67241,#28580,.T.); #84377=EDGE_CURVE('',#67241,#67239,#28581,.T.); #84378=EDGE_CURVE('',#67242,#67240,#28582,.T.); #84379=EDGE_CURVE('',#67242,#67243,#28583,.T.); #84380=EDGE_CURVE('',#67243,#67241,#28584,.T.); #84381=EDGE_CURVE('',#67244,#67242,#28585,.T.); #84382=EDGE_CURVE('',#67244,#67245,#28586,.T.); #84383=EDGE_CURVE('',#67245,#67243,#28587,.T.); #84384=EDGE_CURVE('',#67246,#67244,#28588,.T.); #84385=EDGE_CURVE('',#67246,#67247,#28589,.T.); #84386=EDGE_CURVE('',#67247,#67245,#28590,.T.); #84387=EDGE_CURVE('',#67248,#67246,#28591,.T.); #84388=EDGE_CURVE('',#67248,#67249,#28592,.T.); #84389=EDGE_CURVE('',#67249,#67247,#28593,.T.); #84390=EDGE_CURVE('',#67250,#67248,#58985,.T.); #84391=EDGE_CURVE('',#67250,#67251,#28594,.T.); #84392=EDGE_CURVE('',#67251,#67249,#58986,.T.); #84393=EDGE_CURVE('',#67252,#67250,#28595,.T.); #84394=EDGE_CURVE('',#67252,#67253,#28596,.T.); #84395=EDGE_CURVE('',#67253,#67251,#28597,.T.); #84396=EDGE_CURVE('',#67254,#67252,#28598,.T.); #84397=EDGE_CURVE('',#67254,#67255,#28599,.T.); #84398=EDGE_CURVE('',#67255,#67253,#28600,.T.); #84399=EDGE_CURVE('',#67256,#67254,#28601,.T.); #84400=EDGE_CURVE('',#67256,#67257,#28602,.T.); #84401=EDGE_CURVE('',#67257,#67255,#28603,.T.); #84402=EDGE_CURVE('',#67258,#67256,#58987,.T.); #84403=EDGE_CURVE('',#67258,#67259,#28604,.T.); #84404=EDGE_CURVE('',#67259,#67257,#58988,.T.); #84405=EDGE_CURVE('',#67260,#67258,#58989,.T.); #84406=EDGE_CURVE('',#67260,#67261,#28605,.T.); #84407=EDGE_CURVE('',#67261,#67259,#58990,.T.); #84408=EDGE_CURVE('',#67262,#67260,#28606,.T.); #84409=EDGE_CURVE('',#67262,#67263,#28607,.T.); #84410=EDGE_CURVE('',#67263,#67261,#28608,.T.); #84411=EDGE_CURVE('',#67264,#67262,#28609,.T.); #84412=EDGE_CURVE('',#67264,#67265,#28610,.T.); #84413=EDGE_CURVE('',#67265,#67263,#28611,.T.); #84414=EDGE_CURVE('',#67266,#67264,#28612,.T.); #84415=EDGE_CURVE('',#67266,#67267,#28613,.T.); #84416=EDGE_CURVE('',#67267,#67265,#28614,.T.); #84417=EDGE_CURVE('',#67268,#67266,#28615,.T.); #84418=EDGE_CURVE('',#67268,#67269,#28616,.T.); #84419=EDGE_CURVE('',#67269,#67267,#28617,.T.); #84420=EDGE_CURVE('',#67270,#67268,#28618,.T.); #84421=EDGE_CURVE('',#67270,#67271,#28619,.T.); #84422=EDGE_CURVE('',#67271,#67269,#28620,.T.); #84423=EDGE_CURVE('',#67272,#67270,#28621,.T.); #84424=EDGE_CURVE('',#67272,#67273,#28622,.T.); #84425=EDGE_CURVE('',#67273,#67271,#28623,.T.); #84426=EDGE_CURVE('',#67274,#67272,#28624,.T.); #84427=EDGE_CURVE('',#67274,#67275,#28625,.T.); #84428=EDGE_CURVE('',#67275,#67273,#28626,.T.); #84429=EDGE_CURVE('',#67276,#67274,#28627,.T.); #84430=EDGE_CURVE('',#67276,#67277,#28628,.T.); #84431=EDGE_CURVE('',#67277,#67275,#28629,.T.); #84432=EDGE_CURVE('',#67278,#67276,#28630,.T.); #84433=EDGE_CURVE('',#67278,#67279,#28631,.T.); #84434=EDGE_CURVE('',#67279,#67277,#28632,.T.); #84435=EDGE_CURVE('',#67280,#67278,#28633,.T.); #84436=EDGE_CURVE('',#67280,#67281,#28634,.T.); #84437=EDGE_CURVE('',#67281,#67279,#28635,.T.); #84438=EDGE_CURVE('',#67282,#67280,#28636,.T.); #84439=EDGE_CURVE('',#67282,#67283,#28637,.T.); #84440=EDGE_CURVE('',#67283,#67281,#28638,.T.); #84441=EDGE_CURVE('',#67284,#67282,#28639,.T.); #84442=EDGE_CURVE('',#67284,#67285,#28640,.T.); #84443=EDGE_CURVE('',#67285,#67283,#28641,.T.); #84444=EDGE_CURVE('',#67286,#67284,#28642,.T.); #84445=EDGE_CURVE('',#67286,#67287,#28643,.T.); #84446=EDGE_CURVE('',#67287,#67285,#28644,.T.); #84447=EDGE_CURVE('',#67288,#67286,#28645,.T.); #84448=EDGE_CURVE('',#67288,#67289,#28646,.T.); #84449=EDGE_CURVE('',#67289,#67287,#28647,.T.); #84450=EDGE_CURVE('',#67290,#67288,#28648,.T.); #84451=EDGE_CURVE('',#67290,#67291,#28649,.T.); #84452=EDGE_CURVE('',#67291,#67289,#28650,.T.); #84453=EDGE_CURVE('',#67292,#67290,#28651,.T.); #84454=EDGE_CURVE('',#67292,#67293,#28652,.T.); #84455=EDGE_CURVE('',#67293,#67291,#28653,.T.); #84456=EDGE_CURVE('',#67294,#67292,#28654,.T.); #84457=EDGE_CURVE('',#67294,#67295,#28655,.T.); #84458=EDGE_CURVE('',#67295,#67293,#28656,.T.); #84459=EDGE_CURVE('',#67296,#67294,#28657,.T.); #84460=EDGE_CURVE('',#67296,#67297,#28658,.T.); #84461=EDGE_CURVE('',#67297,#67295,#28659,.T.); #84462=EDGE_CURVE('',#67298,#67296,#28660,.T.); #84463=EDGE_CURVE('',#67298,#67299,#28661,.T.); #84464=EDGE_CURVE('',#67299,#67297,#28662,.T.); #84465=EDGE_CURVE('',#67300,#67298,#28663,.T.); #84466=EDGE_CURVE('',#67300,#67301,#28664,.T.); #84467=EDGE_CURVE('',#67301,#67299,#28665,.T.); #84468=EDGE_CURVE('',#67302,#67300,#28666,.T.); #84469=EDGE_CURVE('',#67302,#67303,#28667,.T.); #84470=EDGE_CURVE('',#67303,#67301,#28668,.T.); #84471=EDGE_CURVE('',#67304,#67302,#28669,.T.); #84472=EDGE_CURVE('',#67304,#67305,#28670,.T.); #84473=EDGE_CURVE('',#67305,#67303,#28671,.T.); #84474=EDGE_CURVE('',#67306,#67304,#28672,.T.); #84475=EDGE_CURVE('',#67306,#67307,#28673,.T.); #84476=EDGE_CURVE('',#67307,#67305,#28674,.T.); #84477=EDGE_CURVE('',#67308,#67306,#28675,.T.); #84478=EDGE_CURVE('',#67308,#67309,#28676,.T.); #84479=EDGE_CURVE('',#67309,#67307,#28677,.T.); #84480=EDGE_CURVE('',#67310,#67308,#28678,.T.); #84481=EDGE_CURVE('',#67310,#67311,#28679,.T.); #84482=EDGE_CURVE('',#67311,#67309,#28680,.T.); #84483=EDGE_CURVE('',#67312,#67310,#58991,.T.); #84484=EDGE_CURVE('',#67312,#67313,#28681,.T.); #84485=EDGE_CURVE('',#67313,#67311,#58992,.T.); #84486=EDGE_CURVE('',#67314,#67312,#28682,.T.); #84487=EDGE_CURVE('',#67314,#67315,#28683,.T.); #84488=EDGE_CURVE('',#67315,#67313,#28684,.T.); #84489=EDGE_CURVE('',#67316,#67314,#58993,.T.); #84490=EDGE_CURVE('',#67316,#67317,#28685,.T.); #84491=EDGE_CURVE('',#67317,#67315,#58994,.T.); #84492=EDGE_CURVE('',#67318,#67316,#58995,.T.); #84493=EDGE_CURVE('',#67318,#67319,#28686,.T.); #84494=EDGE_CURVE('',#67319,#67317,#58996,.T.); #84495=EDGE_CURVE('',#67320,#67318,#58997,.T.); #84496=EDGE_CURVE('',#67320,#67321,#28687,.T.); #84497=EDGE_CURVE('',#67321,#67319,#58998,.T.); #84498=EDGE_CURVE('',#67322,#67320,#28688,.T.); #84499=EDGE_CURVE('',#67322,#67323,#28689,.T.); #84500=EDGE_CURVE('',#67323,#67321,#28690,.T.); #84501=EDGE_CURVE('',#67324,#67322,#58999,.T.); #84502=EDGE_CURVE('',#67324,#67325,#28691,.T.); #84503=EDGE_CURVE('',#67325,#67323,#59000,.T.); #84504=EDGE_CURVE('',#67326,#67324,#59001,.T.); #84505=EDGE_CURVE('',#67326,#67327,#28692,.T.); #84506=EDGE_CURVE('',#67327,#67325,#59002,.T.); #84507=EDGE_CURVE('',#67328,#67326,#59003,.T.); #84508=EDGE_CURVE('',#67328,#67329,#28693,.T.); #84509=EDGE_CURVE('',#67329,#67327,#59004,.T.); #84510=EDGE_CURVE('',#67330,#67328,#59005,.T.); #84511=EDGE_CURVE('',#67330,#67331,#28694,.T.); #84512=EDGE_CURVE('',#67331,#67329,#59006,.T.); #84513=EDGE_CURVE('',#67332,#67330,#28695,.T.); #84514=EDGE_CURVE('',#67332,#67333,#28696,.T.); #84515=EDGE_CURVE('',#67333,#67331,#28697,.T.); #84516=EDGE_CURVE('',#67334,#67332,#59007,.T.); #84517=EDGE_CURVE('',#67334,#67335,#28698,.T.); #84518=EDGE_CURVE('',#67335,#67333,#59008,.T.); #84519=EDGE_CURVE('',#67336,#67334,#28699,.T.); #84520=EDGE_CURVE('',#67336,#67337,#28700,.T.); #84521=EDGE_CURVE('',#67337,#67335,#28701,.T.); #84522=EDGE_CURVE('',#67338,#67336,#28702,.T.); #84523=EDGE_CURVE('',#67338,#67339,#28703,.T.); #84524=EDGE_CURVE('',#67339,#67337,#28704,.T.); #84525=EDGE_CURVE('',#67340,#67338,#28705,.T.); #84526=EDGE_CURVE('',#67340,#67341,#28706,.T.); #84527=EDGE_CURVE('',#67341,#67339,#28707,.T.); #84528=EDGE_CURVE('',#67342,#67340,#28708,.T.); #84529=EDGE_CURVE('',#67342,#67343,#28709,.T.); #84530=EDGE_CURVE('',#67343,#67341,#28710,.T.); #84531=EDGE_CURVE('',#67344,#67342,#28711,.T.); #84532=EDGE_CURVE('',#67344,#67345,#28712,.T.); #84533=EDGE_CURVE('',#67345,#67343,#28713,.T.); #84534=EDGE_CURVE('',#67346,#67344,#28714,.T.); #84535=EDGE_CURVE('',#67346,#67347,#28715,.T.); #84536=EDGE_CURVE('',#67347,#67345,#28716,.T.); #84537=EDGE_CURVE('',#67348,#67346,#28717,.T.); #84538=EDGE_CURVE('',#67348,#67349,#28718,.T.); #84539=EDGE_CURVE('',#67349,#67347,#28719,.T.); #84540=EDGE_CURVE('',#67350,#67348,#28720,.T.); #84541=EDGE_CURVE('',#67350,#67351,#28721,.T.); #84542=EDGE_CURVE('',#67351,#67349,#28722,.T.); #84543=EDGE_CURVE('',#67352,#67350,#28723,.T.); #84544=EDGE_CURVE('',#67352,#67353,#28724,.T.); #84545=EDGE_CURVE('',#67353,#67351,#28725,.T.); #84546=EDGE_CURVE('',#67354,#67352,#28726,.T.); #84547=EDGE_CURVE('',#67354,#67355,#28727,.T.); #84548=EDGE_CURVE('',#67355,#67353,#28728,.T.); #84549=EDGE_CURVE('',#67356,#67354,#28729,.T.); #84550=EDGE_CURVE('',#67356,#67357,#28730,.T.); #84551=EDGE_CURVE('',#67357,#67355,#28731,.T.); #84552=EDGE_CURVE('',#67358,#67356,#28732,.T.); #84553=EDGE_CURVE('',#67358,#67359,#28733,.T.); #84554=EDGE_CURVE('',#67359,#67357,#28734,.T.); #84555=EDGE_CURVE('',#67360,#67358,#28735,.T.); #84556=EDGE_CURVE('',#67360,#67361,#28736,.T.); #84557=EDGE_CURVE('',#67361,#67359,#28737,.T.); #84558=EDGE_CURVE('',#67362,#67360,#28738,.T.); #84559=EDGE_CURVE('',#67362,#67363,#28739,.T.); #84560=EDGE_CURVE('',#67363,#67361,#28740,.T.); #84561=EDGE_CURVE('',#67364,#67362,#28741,.T.); #84562=EDGE_CURVE('',#67364,#67365,#28742,.T.); #84563=EDGE_CURVE('',#67365,#67363,#28743,.T.); #84564=EDGE_CURVE('',#67366,#67364,#28744,.T.); #84565=EDGE_CURVE('',#67366,#67367,#28745,.T.); #84566=EDGE_CURVE('',#67367,#67365,#28746,.T.); #84567=EDGE_CURVE('',#67368,#67366,#28747,.T.); #84568=EDGE_CURVE('',#67368,#67369,#28748,.T.); #84569=EDGE_CURVE('',#67369,#67367,#28749,.T.); #84570=EDGE_CURVE('',#67370,#67368,#28750,.T.); #84571=EDGE_CURVE('',#67370,#67371,#28751,.T.); #84572=EDGE_CURVE('',#67371,#67369,#28752,.T.); #84573=EDGE_CURVE('',#67372,#67370,#28753,.T.); #84574=EDGE_CURVE('',#67372,#67373,#28754,.T.); #84575=EDGE_CURVE('',#67373,#67371,#28755,.T.); #84576=EDGE_CURVE('',#67374,#67372,#28756,.T.); #84577=EDGE_CURVE('',#67374,#67375,#28757,.T.); #84578=EDGE_CURVE('',#67375,#67373,#28758,.T.); #84579=EDGE_CURVE('',#67376,#67374,#28759,.T.); #84580=EDGE_CURVE('',#67376,#67377,#28760,.T.); #84581=EDGE_CURVE('',#67377,#67375,#28761,.T.); #84582=EDGE_CURVE('',#67378,#67376,#28762,.T.); #84583=EDGE_CURVE('',#67378,#67379,#28763,.T.); #84584=EDGE_CURVE('',#67379,#67377,#28764,.T.); #84585=EDGE_CURVE('',#67380,#67378,#59009,.T.); #84586=EDGE_CURVE('',#67380,#67381,#28765,.T.); #84587=EDGE_CURVE('',#67381,#67379,#59010,.T.); #84588=EDGE_CURVE('',#67382,#67380,#28766,.T.); #84589=EDGE_CURVE('',#67382,#67383,#28767,.T.); #84590=EDGE_CURVE('',#67383,#67381,#28768,.T.); #84591=EDGE_CURVE('',#67384,#67382,#28769,.T.); #84592=EDGE_CURVE('',#67384,#67385,#28770,.T.); #84593=EDGE_CURVE('',#67385,#67383,#28771,.T.); #84594=EDGE_CURVE('',#67386,#67384,#28772,.T.); #84595=EDGE_CURVE('',#67386,#67387,#28773,.T.); #84596=EDGE_CURVE('',#67387,#67385,#28774,.T.); #84597=EDGE_CURVE('',#67388,#67386,#28775,.T.); #84598=EDGE_CURVE('',#67388,#67389,#28776,.T.); #84599=EDGE_CURVE('',#67389,#67387,#28777,.T.); #84600=EDGE_CURVE('',#67390,#67388,#28778,.T.); #84601=EDGE_CURVE('',#67390,#67391,#28779,.T.); #84602=EDGE_CURVE('',#67391,#67389,#28780,.T.); #84603=EDGE_CURVE('',#67392,#67390,#59011,.T.); #84604=EDGE_CURVE('',#67392,#67393,#28781,.T.); #84605=EDGE_CURVE('',#67393,#67391,#59012,.T.); #84606=EDGE_CURVE('',#67394,#67392,#28782,.T.); #84607=EDGE_CURVE('',#67394,#67395,#28783,.T.); #84608=EDGE_CURVE('',#67395,#67393,#28784,.T.); #84609=EDGE_CURVE('',#67396,#67394,#28785,.T.); #84610=EDGE_CURVE('',#67396,#67397,#28786,.T.); #84611=EDGE_CURVE('',#67397,#67395,#28787,.T.); #84612=EDGE_CURVE('',#67398,#67396,#28788,.T.); #84613=EDGE_CURVE('',#67398,#67399,#28789,.T.); #84614=EDGE_CURVE('',#67399,#67397,#28790,.T.); #84615=EDGE_CURVE('',#67400,#67398,#28791,.T.); #84616=EDGE_CURVE('',#67400,#67401,#28792,.T.); #84617=EDGE_CURVE('',#67401,#67399,#28793,.T.); #84618=EDGE_CURVE('',#67402,#67400,#28794,.T.); #84619=EDGE_CURVE('',#67402,#67403,#28795,.T.); #84620=EDGE_CURVE('',#67403,#67401,#28796,.T.); #84621=EDGE_CURVE('',#67404,#67402,#28797,.T.); #84622=EDGE_CURVE('',#67404,#67405,#28798,.T.); #84623=EDGE_CURVE('',#67405,#67403,#28799,.T.); #84624=EDGE_CURVE('',#67406,#67404,#28800,.T.); #84625=EDGE_CURVE('',#67406,#67407,#28801,.T.); #84626=EDGE_CURVE('',#67407,#67405,#28802,.T.); #84627=EDGE_CURVE('',#67408,#67406,#28803,.T.); #84628=EDGE_CURVE('',#67408,#67409,#28804,.T.); #84629=EDGE_CURVE('',#67409,#67407,#28805,.T.); #84630=EDGE_CURVE('',#67410,#67408,#28806,.T.); #84631=EDGE_CURVE('',#67410,#67411,#28807,.T.); #84632=EDGE_CURVE('',#67411,#67409,#28808,.T.); #84633=EDGE_CURVE('',#67412,#67410,#28809,.T.); #84634=EDGE_CURVE('',#67412,#67413,#28810,.T.); #84635=EDGE_CURVE('',#67413,#67411,#28811,.T.); #84636=EDGE_CURVE('',#67414,#67412,#28812,.T.); #84637=EDGE_CURVE('',#67414,#67415,#28813,.T.); #84638=EDGE_CURVE('',#67415,#67413,#28814,.T.); #84639=EDGE_CURVE('',#67416,#67414,#28815,.T.); #84640=EDGE_CURVE('',#67416,#67417,#28816,.T.); #84641=EDGE_CURVE('',#67417,#67415,#28817,.T.); #84642=EDGE_CURVE('',#67418,#67416,#28818,.T.); #84643=EDGE_CURVE('',#67418,#67419,#28819,.T.); #84644=EDGE_CURVE('',#67419,#67417,#28820,.T.); #84645=EDGE_CURVE('',#67420,#67418,#28821,.T.); #84646=EDGE_CURVE('',#67420,#67421,#28822,.T.); #84647=EDGE_CURVE('',#67421,#67419,#28823,.T.); #84648=EDGE_CURVE('',#67422,#67420,#28824,.T.); #84649=EDGE_CURVE('',#67422,#67423,#28825,.T.); #84650=EDGE_CURVE('',#67423,#67421,#28826,.T.); #84651=EDGE_CURVE('',#67424,#67422,#28827,.T.); #84652=EDGE_CURVE('',#67424,#67425,#28828,.T.); #84653=EDGE_CURVE('',#67425,#67423,#28829,.T.); #84654=EDGE_CURVE('',#67426,#67424,#28830,.T.); #84655=EDGE_CURVE('',#67426,#67427,#28831,.T.); #84656=EDGE_CURVE('',#67427,#67425,#28832,.T.); #84657=EDGE_CURVE('',#67428,#67426,#28833,.T.); #84658=EDGE_CURVE('',#67428,#67429,#28834,.T.); #84659=EDGE_CURVE('',#67429,#67427,#28835,.T.); #84660=EDGE_CURVE('',#67430,#67428,#59013,.T.); #84661=EDGE_CURVE('',#67430,#67431,#28836,.T.); #84662=EDGE_CURVE('',#67431,#67429,#59014,.T.); #84663=EDGE_CURVE('',#67432,#67430,#59015,.T.); #84664=EDGE_CURVE('',#67432,#67433,#28837,.T.); #84665=EDGE_CURVE('',#67433,#67431,#59016,.T.); #84666=EDGE_CURVE('',#67434,#67432,#28838,.T.); #84667=EDGE_CURVE('',#67434,#67435,#28839,.T.); #84668=EDGE_CURVE('',#67435,#67433,#28840,.T.); #84669=EDGE_CURVE('',#67436,#67434,#59017,.T.); #84670=EDGE_CURVE('',#67436,#67437,#28841,.T.); #84671=EDGE_CURVE('',#67437,#67435,#59018,.T.); #84672=EDGE_CURVE('',#67438,#67436,#59019,.T.); #84673=EDGE_CURVE('',#67438,#67439,#28842,.T.); #84674=EDGE_CURVE('',#67439,#67437,#59020,.T.); #84675=EDGE_CURVE('',#67440,#67438,#59021,.T.); #84676=EDGE_CURVE('',#67440,#67441,#28843,.T.); #84677=EDGE_CURVE('',#67441,#67439,#59022,.T.); #84678=EDGE_CURVE('',#67442,#67440,#59023,.T.); #84679=EDGE_CURVE('',#67442,#67443,#28844,.T.); #84680=EDGE_CURVE('',#67443,#67441,#59024,.T.); #84681=EDGE_CURVE('',#67444,#67442,#28845,.T.); #84682=EDGE_CURVE('',#67444,#67445,#28846,.T.); #84683=EDGE_CURVE('',#67445,#67443,#28847,.T.); #84684=EDGE_CURVE('',#67446,#67444,#59025,.T.); #84685=EDGE_CURVE('',#67446,#67447,#28848,.T.); #84686=EDGE_CURVE('',#67447,#67445,#59026,.T.); #84687=EDGE_CURVE('',#67448,#67446,#59027,.T.); #84688=EDGE_CURVE('',#67448,#67449,#28849,.T.); #84689=EDGE_CURVE('',#67449,#67447,#59028,.T.); #84690=EDGE_CURVE('',#67450,#67448,#59029,.T.); #84691=EDGE_CURVE('',#67450,#67451,#28850,.T.); #84692=EDGE_CURVE('',#67451,#67449,#59030,.T.); #84693=EDGE_CURVE('',#67209,#67450,#59031,.T.); #84694=EDGE_CURVE('',#67211,#67451,#59032,.T.); #84695=EDGE_CURVE('',#67452,#67453,#59033,.T.); #84696=EDGE_CURVE('',#67452,#67454,#28851,.T.); #84697=EDGE_CURVE('',#67454,#67455,#59034,.T.); #84698=EDGE_CURVE('',#67453,#67455,#28852,.T.); #84699=EDGE_CURVE('',#67456,#67452,#59035,.T.); #84700=EDGE_CURVE('',#67456,#67457,#28853,.T.); #84701=EDGE_CURVE('',#67457,#67454,#59036,.T.); #84702=EDGE_CURVE('',#67458,#67456,#59037,.T.); #84703=EDGE_CURVE('',#67458,#67459,#28854,.T.); #84704=EDGE_CURVE('',#67459,#67457,#59038,.T.); #84705=EDGE_CURVE('',#67460,#67458,#59039,.T.); #84706=EDGE_CURVE('',#67460,#67461,#28855,.T.); #84707=EDGE_CURVE('',#67461,#67459,#59040,.T.); #84708=EDGE_CURVE('',#67462,#67460,#59041,.T.); #84709=EDGE_CURVE('',#67462,#67463,#28856,.T.); #84710=EDGE_CURVE('',#67463,#67461,#59042,.T.); #84711=EDGE_CURVE('',#67464,#67462,#59043,.T.); #84712=EDGE_CURVE('',#67464,#67465,#28857,.T.); #84713=EDGE_CURVE('',#67465,#67463,#59044,.T.); #84714=EDGE_CURVE('',#67453,#67464,#59045,.T.); #84715=EDGE_CURVE('',#67455,#67465,#59046,.T.); #84716=EDGE_CURVE('',#67466,#67467,#59047,.T.); #84717=EDGE_CURVE('',#67466,#67468,#28858,.T.); #84718=EDGE_CURVE('',#67468,#67469,#59048,.T.); #84719=EDGE_CURVE('',#67467,#67469,#28859,.T.); #84720=EDGE_CURVE('',#67470,#67466,#59049,.T.); #84721=EDGE_CURVE('',#67470,#67471,#28860,.T.); #84722=EDGE_CURVE('',#67471,#67468,#59050,.T.); #84723=EDGE_CURVE('',#67472,#67470,#59051,.T.); #84724=EDGE_CURVE('',#67472,#67473,#28861,.T.); #84725=EDGE_CURVE('',#67473,#67471,#59052,.T.); #84726=EDGE_CURVE('',#67474,#67472,#59053,.T.); #84727=EDGE_CURVE('',#67474,#67475,#28862,.T.); #84728=EDGE_CURVE('',#67475,#67473,#59054,.T.); #84729=EDGE_CURVE('',#67476,#67474,#59055,.T.); #84730=EDGE_CURVE('',#67476,#67477,#28863,.T.); #84731=EDGE_CURVE('',#67477,#67475,#59056,.T.); #84732=EDGE_CURVE('',#67467,#67476,#59057,.T.); #84733=EDGE_CURVE('',#67469,#67477,#59058,.T.); #84734=EDGE_CURVE('',#67478,#67479,#59059,.T.); #84735=EDGE_CURVE('',#67478,#67480,#28864,.T.); #84736=EDGE_CURVE('',#67480,#67481,#59060,.T.); #84737=EDGE_CURVE('',#67479,#67481,#28865,.T.); #84738=EDGE_CURVE('',#67482,#67478,#59061,.T.); #84739=EDGE_CURVE('',#67482,#67483,#28866,.T.); #84740=EDGE_CURVE('',#67483,#67480,#59062,.T.); #84741=EDGE_CURVE('',#67484,#67482,#28867,.T.); #84742=EDGE_CURVE('',#67484,#67485,#28868,.T.); #84743=EDGE_CURVE('',#67485,#67483,#28869,.T.); #84744=EDGE_CURVE('',#67486,#67484,#59063,.T.); #84745=EDGE_CURVE('',#67486,#67487,#28870,.T.); #84746=EDGE_CURVE('',#67487,#67485,#59064,.T.); #84747=EDGE_CURVE('',#67488,#67486,#59065,.T.); #84748=EDGE_CURVE('',#67488,#67489,#28871,.T.); #84749=EDGE_CURVE('',#67489,#67487,#59066,.T.); #84750=EDGE_CURVE('',#67490,#67488,#28872,.T.); #84751=EDGE_CURVE('',#67490,#67491,#28873,.T.); #84752=EDGE_CURVE('',#67491,#67489,#28874,.T.); #84753=EDGE_CURVE('',#67492,#67490,#28875,.T.); #84754=EDGE_CURVE('',#67492,#67493,#28876,.T.); #84755=EDGE_CURVE('',#67493,#67491,#28877,.T.); #84756=EDGE_CURVE('',#67494,#67492,#28878,.T.); #84757=EDGE_CURVE('',#67494,#67495,#28879,.T.); #84758=EDGE_CURVE('',#67495,#67493,#28880,.T.); #84759=EDGE_CURVE('',#67496,#67494,#59067,.T.); #84760=EDGE_CURVE('',#67496,#67497,#28881,.T.); #84761=EDGE_CURVE('',#67497,#67495,#59068,.T.); #84762=EDGE_CURVE('',#67498,#67496,#28882,.T.); #84763=EDGE_CURVE('',#67498,#67499,#28883,.T.); #84764=EDGE_CURVE('',#67499,#67497,#28884,.T.); #84765=EDGE_CURVE('',#67500,#67498,#28885,.T.); #84766=EDGE_CURVE('',#67500,#67501,#28886,.T.); #84767=EDGE_CURVE('',#67501,#67499,#28887,.T.); #84768=EDGE_CURVE('',#67502,#67500,#59069,.T.); #84769=EDGE_CURVE('',#67502,#67503,#28888,.T.); #84770=EDGE_CURVE('',#67503,#67501,#59070,.T.); #84771=EDGE_CURVE('',#67504,#67502,#59071,.T.); #84772=EDGE_CURVE('',#67504,#67505,#28889,.T.); #84773=EDGE_CURVE('',#67505,#67503,#59072,.T.); #84774=EDGE_CURVE('',#67506,#67504,#59073,.T.); #84775=EDGE_CURVE('',#67506,#67507,#28890,.T.); #84776=EDGE_CURVE('',#67507,#67505,#59074,.T.); #84777=EDGE_CURVE('',#67508,#67506,#28891,.T.); #84778=EDGE_CURVE('',#67508,#67509,#28892,.T.); #84779=EDGE_CURVE('',#67509,#67507,#28893,.T.); #84780=EDGE_CURVE('',#67510,#67508,#59075,.T.); #84781=EDGE_CURVE('',#67510,#67511,#28894,.T.); #84782=EDGE_CURVE('',#67511,#67509,#59076,.T.); #84783=EDGE_CURVE('',#67512,#67510,#59077,.T.); #84784=EDGE_CURVE('',#67512,#67513,#28895,.T.); #84785=EDGE_CURVE('',#67513,#67511,#59078,.T.); #84786=EDGE_CURVE('',#67514,#67512,#59079,.T.); #84787=EDGE_CURVE('',#67514,#67515,#28896,.T.); #84788=EDGE_CURVE('',#67515,#67513,#59080,.T.); #84789=EDGE_CURVE('',#67516,#67514,#28897,.T.); #84790=EDGE_CURVE('',#67516,#67517,#28898,.T.); #84791=EDGE_CURVE('',#67517,#67515,#28899,.T.); #84792=EDGE_CURVE('',#67518,#67516,#28900,.T.); #84793=EDGE_CURVE('',#67518,#67519,#28901,.T.); #84794=EDGE_CURVE('',#67519,#67517,#28902,.T.); #84795=EDGE_CURVE('',#67520,#67518,#28903,.T.); #84796=EDGE_CURVE('',#67520,#67521,#28904,.T.); #84797=EDGE_CURVE('',#67521,#67519,#28905,.T.); #84798=EDGE_CURVE('',#67522,#67520,#59081,.T.); #84799=EDGE_CURVE('',#67522,#67523,#28906,.T.); #84800=EDGE_CURVE('',#67523,#67521,#59082,.T.); #84801=EDGE_CURVE('',#67524,#67522,#28907,.T.); #84802=EDGE_CURVE('',#67524,#67525,#28908,.T.); #84803=EDGE_CURVE('',#67525,#67523,#28909,.T.); #84804=EDGE_CURVE('',#67526,#67524,#59083,.T.); #84805=EDGE_CURVE('',#67526,#67527,#28910,.T.); #84806=EDGE_CURVE('',#67527,#67525,#59084,.T.); #84807=EDGE_CURVE('',#67528,#67526,#28911,.T.); #84808=EDGE_CURVE('',#67528,#67529,#28912,.T.); #84809=EDGE_CURVE('',#67529,#67527,#28913,.T.); #84810=EDGE_CURVE('',#67530,#67528,#28914,.T.); #84811=EDGE_CURVE('',#67530,#67531,#28915,.T.); #84812=EDGE_CURVE('',#67531,#67529,#28916,.T.); #84813=EDGE_CURVE('',#67532,#67530,#28917,.T.); #84814=EDGE_CURVE('',#67532,#67533,#28918,.T.); #84815=EDGE_CURVE('',#67533,#67531,#28919,.T.); #84816=EDGE_CURVE('',#67534,#67532,#28920,.T.); #84817=EDGE_CURVE('',#67534,#67535,#28921,.T.); #84818=EDGE_CURVE('',#67535,#67533,#28922,.T.); #84819=EDGE_CURVE('',#67479,#67534,#28923,.T.); #84820=EDGE_CURVE('',#67481,#67535,#28924,.T.); #84821=EDGE_CURVE('',#67536,#67537,#59085,.T.); #84822=EDGE_CURVE('',#67536,#67538,#28925,.T.); #84823=EDGE_CURVE('',#67538,#67539,#59086,.T.); #84824=EDGE_CURVE('',#67537,#67539,#28926,.T.); #84825=EDGE_CURVE('',#67540,#67536,#59087,.T.); #84826=EDGE_CURVE('',#67540,#67541,#28927,.T.); #84827=EDGE_CURVE('',#67541,#67538,#59088,.T.); #84828=EDGE_CURVE('',#67542,#67540,#28928,.T.); #84829=EDGE_CURVE('',#67542,#67543,#28929,.T.); #84830=EDGE_CURVE('',#67543,#67541,#28930,.T.); #84831=EDGE_CURVE('',#67544,#67542,#28931,.T.); #84832=EDGE_CURVE('',#67544,#67545,#28932,.T.); #84833=EDGE_CURVE('',#67545,#67543,#28933,.T.); #84834=EDGE_CURVE('',#67546,#67544,#28934,.T.); #84835=EDGE_CURVE('',#67546,#67547,#28935,.T.); #84836=EDGE_CURVE('',#67547,#67545,#28936,.T.); #84837=EDGE_CURVE('',#67548,#67546,#28937,.T.); #84838=EDGE_CURVE('',#67548,#67549,#28938,.T.); #84839=EDGE_CURVE('',#67549,#67547,#28939,.T.); #84840=EDGE_CURVE('',#67550,#67548,#28940,.T.); #84841=EDGE_CURVE('',#67550,#67551,#28941,.T.); #84842=EDGE_CURVE('',#67551,#67549,#28942,.T.); #84843=EDGE_CURVE('',#67552,#67550,#28943,.T.); #84844=EDGE_CURVE('',#67552,#67553,#28944,.T.); #84845=EDGE_CURVE('',#67553,#67551,#28945,.T.); #84846=EDGE_CURVE('',#67554,#67552,#28946,.T.); #84847=EDGE_CURVE('',#67554,#67555,#28947,.T.); #84848=EDGE_CURVE('',#67555,#67553,#28948,.T.); #84849=EDGE_CURVE('',#67556,#67554,#28949,.T.); #84850=EDGE_CURVE('',#67556,#67557,#28950,.T.); #84851=EDGE_CURVE('',#67557,#67555,#28951,.T.); #84852=EDGE_CURVE('',#67558,#67556,#28952,.T.); #84853=EDGE_CURVE('',#67558,#67559,#28953,.T.); #84854=EDGE_CURVE('',#67559,#67557,#28954,.T.); #84855=EDGE_CURVE('',#67560,#67558,#28955,.T.); #84856=EDGE_CURVE('',#67560,#67561,#28956,.T.); #84857=EDGE_CURVE('',#67561,#67559,#28957,.T.); #84858=EDGE_CURVE('',#67537,#67560,#28958,.T.); #84859=EDGE_CURVE('',#67539,#67561,#28959,.T.); #84860=EDGE_CURVE('',#67562,#67563,#28960,.T.); #84861=EDGE_CURVE('',#67562,#67564,#28961,.T.); #84862=EDGE_CURVE('',#67564,#67565,#28962,.T.); #84863=EDGE_CURVE('',#67563,#67565,#28963,.T.); #84864=EDGE_CURVE('',#67566,#67562,#28964,.T.); #84865=EDGE_CURVE('',#67566,#67567,#28965,.T.); #84866=EDGE_CURVE('',#67567,#67564,#28966,.T.); #84867=EDGE_CURVE('',#67568,#67566,#28967,.T.); #84868=EDGE_CURVE('',#67568,#67569,#28968,.T.); #84869=EDGE_CURVE('',#67569,#67567,#28969,.T.); #84870=EDGE_CURVE('',#67570,#67568,#28970,.T.); #84871=EDGE_CURVE('',#67570,#67571,#28971,.T.); #84872=EDGE_CURVE('',#67571,#67569,#28972,.T.); #84873=EDGE_CURVE('',#67572,#67570,#28973,.T.); #84874=EDGE_CURVE('',#67572,#67573,#28974,.T.); #84875=EDGE_CURVE('',#67573,#67571,#28975,.T.); #84876=EDGE_CURVE('',#67574,#67572,#28976,.T.); #84877=EDGE_CURVE('',#67574,#67575,#28977,.T.); #84878=EDGE_CURVE('',#67575,#67573,#28978,.T.); #84879=EDGE_CURVE('',#67576,#67574,#28979,.T.); #84880=EDGE_CURVE('',#67576,#67577,#28980,.T.); #84881=EDGE_CURVE('',#67577,#67575,#28981,.T.); #84882=EDGE_CURVE('',#67578,#67576,#28982,.T.); #84883=EDGE_CURVE('',#67578,#67579,#28983,.T.); #84884=EDGE_CURVE('',#67579,#67577,#28984,.T.); #84885=EDGE_CURVE('',#67580,#67578,#28985,.T.); #84886=EDGE_CURVE('',#67580,#67581,#28986,.T.); #84887=EDGE_CURVE('',#67581,#67579,#28987,.T.); #84888=EDGE_CURVE('',#67582,#67580,#28988,.T.); #84889=EDGE_CURVE('',#67582,#67583,#28989,.T.); #84890=EDGE_CURVE('',#67583,#67581,#28990,.T.); #84891=EDGE_CURVE('',#67584,#67582,#28991,.T.); #84892=EDGE_CURVE('',#67584,#67585,#28992,.T.); #84893=EDGE_CURVE('',#67585,#67583,#28993,.T.); #84894=EDGE_CURVE('',#67586,#67584,#28994,.T.); #84895=EDGE_CURVE('',#67586,#67587,#28995,.T.); #84896=EDGE_CURVE('',#67587,#67585,#28996,.T.); #84897=EDGE_CURVE('',#67588,#67586,#28997,.T.); #84898=EDGE_CURVE('',#67588,#67589,#28998,.T.); #84899=EDGE_CURVE('',#67589,#67587,#28999,.T.); #84900=EDGE_CURVE('',#67590,#67588,#29000,.T.); #84901=EDGE_CURVE('',#67590,#67591,#29001,.T.); #84902=EDGE_CURVE('',#67591,#67589,#29002,.T.); #84903=EDGE_CURVE('',#67592,#67590,#29003,.T.); #84904=EDGE_CURVE('',#67592,#67593,#29004,.T.); #84905=EDGE_CURVE('',#67593,#67591,#29005,.T.); #84906=EDGE_CURVE('',#67594,#67592,#29006,.T.); #84907=EDGE_CURVE('',#67594,#67595,#29007,.T.); #84908=EDGE_CURVE('',#67595,#67593,#29008,.T.); #84909=EDGE_CURVE('',#67596,#67594,#29009,.T.); #84910=EDGE_CURVE('',#67596,#67597,#29010,.T.); #84911=EDGE_CURVE('',#67597,#67595,#29011,.T.); #84912=EDGE_CURVE('',#67598,#67596,#29012,.T.); #84913=EDGE_CURVE('',#67598,#67599,#29013,.T.); #84914=EDGE_CURVE('',#67599,#67597,#29014,.T.); #84915=EDGE_CURVE('',#67600,#67598,#29015,.T.); #84916=EDGE_CURVE('',#67600,#67601,#29016,.T.); #84917=EDGE_CURVE('',#67601,#67599,#29017,.T.); #84918=EDGE_CURVE('',#67602,#67600,#29018,.T.); #84919=EDGE_CURVE('',#67602,#67603,#29019,.T.); #84920=EDGE_CURVE('',#67603,#67601,#29020,.T.); #84921=EDGE_CURVE('',#67604,#67602,#29021,.T.); #84922=EDGE_CURVE('',#67604,#67605,#29022,.T.); #84923=EDGE_CURVE('',#67605,#67603,#29023,.T.); #84924=EDGE_CURVE('',#67606,#67604,#29024,.T.); #84925=EDGE_CURVE('',#67606,#67607,#29025,.T.); #84926=EDGE_CURVE('',#67607,#67605,#29026,.T.); #84927=EDGE_CURVE('',#67608,#67606,#29027,.T.); #84928=EDGE_CURVE('',#67608,#67609,#29028,.T.); #84929=EDGE_CURVE('',#67609,#67607,#29029,.T.); #84930=EDGE_CURVE('',#67610,#67608,#59089,.T.); #84931=EDGE_CURVE('',#67610,#67611,#29030,.T.); #84932=EDGE_CURVE('',#67611,#67609,#59090,.T.); #84933=EDGE_CURVE('',#67612,#67610,#29031,.T.); #84934=EDGE_CURVE('',#67612,#67613,#29032,.T.); #84935=EDGE_CURVE('',#67613,#67611,#29033,.T.); #84936=EDGE_CURVE('',#67614,#67612,#29034,.T.); #84937=EDGE_CURVE('',#67614,#67615,#29035,.T.); #84938=EDGE_CURVE('',#67615,#67613,#29036,.T.); #84939=EDGE_CURVE('',#67616,#67614,#29037,.T.); #84940=EDGE_CURVE('',#67616,#67617,#29038,.T.); #84941=EDGE_CURVE('',#67617,#67615,#29039,.T.); #84942=EDGE_CURVE('',#67618,#67616,#29040,.T.); #84943=EDGE_CURVE('',#67618,#67619,#29041,.T.); #84944=EDGE_CURVE('',#67619,#67617,#29042,.T.); #84945=EDGE_CURVE('',#67620,#67618,#29043,.T.); #84946=EDGE_CURVE('',#67620,#67621,#29044,.T.); #84947=EDGE_CURVE('',#67621,#67619,#29045,.T.); #84948=EDGE_CURVE('',#67622,#67620,#29046,.T.); #84949=EDGE_CURVE('',#67622,#67623,#29047,.T.); #84950=EDGE_CURVE('',#67623,#67621,#29048,.T.); #84951=EDGE_CURVE('',#67624,#67622,#29049,.T.); #84952=EDGE_CURVE('',#67624,#67625,#29050,.T.); #84953=EDGE_CURVE('',#67625,#67623,#29051,.T.); #84954=EDGE_CURVE('',#67626,#67624,#29052,.T.); #84955=EDGE_CURVE('',#67626,#67627,#29053,.T.); #84956=EDGE_CURVE('',#67627,#67625,#29054,.T.); #84957=EDGE_CURVE('',#67628,#67626,#29055,.T.); #84958=EDGE_CURVE('',#67628,#67629,#29056,.T.); #84959=EDGE_CURVE('',#67629,#67627,#29057,.T.); #84960=EDGE_CURVE('',#67630,#67628,#29058,.T.); #84961=EDGE_CURVE('',#67630,#67631,#29059,.T.); #84962=EDGE_CURVE('',#67631,#67629,#29060,.T.); #84963=EDGE_CURVE('',#67632,#67630,#29061,.T.); #84964=EDGE_CURVE('',#67632,#67633,#29062,.T.); #84965=EDGE_CURVE('',#67633,#67631,#29063,.T.); #84966=EDGE_CURVE('',#67634,#67632,#29064,.T.); #84967=EDGE_CURVE('',#67634,#67635,#29065,.T.); #84968=EDGE_CURVE('',#67635,#67633,#29066,.T.); #84969=EDGE_CURVE('',#67636,#67634,#29067,.T.); #84970=EDGE_CURVE('',#67636,#67637,#29068,.T.); #84971=EDGE_CURVE('',#67637,#67635,#29069,.T.); #84972=EDGE_CURVE('',#67638,#67636,#29070,.T.); #84973=EDGE_CURVE('',#67638,#67639,#29071,.T.); #84974=EDGE_CURVE('',#67639,#67637,#29072,.T.); #84975=EDGE_CURVE('',#67640,#67638,#29073,.T.); #84976=EDGE_CURVE('',#67640,#67641,#29074,.T.); #84977=EDGE_CURVE('',#67641,#67639,#29075,.T.); #84978=EDGE_CURVE('',#67642,#67640,#29076,.T.); #84979=EDGE_CURVE('',#67642,#67643,#29077,.T.); #84980=EDGE_CURVE('',#67643,#67641,#29078,.T.); #84981=EDGE_CURVE('',#67644,#67642,#29079,.T.); #84982=EDGE_CURVE('',#67644,#67645,#29080,.T.); #84983=EDGE_CURVE('',#67645,#67643,#29081,.T.); #84984=EDGE_CURVE('',#67646,#67644,#29082,.T.); #84985=EDGE_CURVE('',#67646,#67647,#29083,.T.); #84986=EDGE_CURVE('',#67647,#67645,#29084,.T.); #84987=EDGE_CURVE('',#67648,#67646,#29085,.T.); #84988=EDGE_CURVE('',#67648,#67649,#29086,.T.); #84989=EDGE_CURVE('',#67649,#67647,#29087,.T.); #84990=EDGE_CURVE('',#67650,#67648,#29088,.T.); #84991=EDGE_CURVE('',#67650,#67651,#29089,.T.); #84992=EDGE_CURVE('',#67651,#67649,#29090,.T.); #84993=EDGE_CURVE('',#67652,#67650,#29091,.T.); #84994=EDGE_CURVE('',#67652,#67653,#29092,.T.); #84995=EDGE_CURVE('',#67653,#67651,#29093,.T.); #84996=EDGE_CURVE('',#67654,#67652,#29094,.T.); #84997=EDGE_CURVE('',#67654,#67655,#29095,.T.); #84998=EDGE_CURVE('',#67655,#67653,#29096,.T.); #84999=EDGE_CURVE('',#67656,#67654,#29097,.T.); #85000=EDGE_CURVE('',#67656,#67657,#29098,.T.); #85001=EDGE_CURVE('',#67657,#67655,#29099,.T.); #85002=EDGE_CURVE('',#67658,#67656,#59091,.T.); #85003=EDGE_CURVE('',#67658,#67659,#29100,.T.); #85004=EDGE_CURVE('',#67659,#67657,#59092,.T.); #85005=EDGE_CURVE('',#67660,#67658,#29101,.T.); #85006=EDGE_CURVE('',#67660,#67661,#29102,.T.); #85007=EDGE_CURVE('',#67661,#67659,#29103,.T.); #85008=EDGE_CURVE('',#67662,#67660,#29104,.T.); #85009=EDGE_CURVE('',#67662,#67663,#29105,.T.); #85010=EDGE_CURVE('',#67663,#67661,#29106,.T.); #85011=EDGE_CURVE('',#67664,#67662,#29107,.T.); #85012=EDGE_CURVE('',#67664,#67665,#29108,.T.); #85013=EDGE_CURVE('',#67665,#67663,#29109,.T.); #85014=EDGE_CURVE('',#67666,#67664,#29110,.T.); #85015=EDGE_CURVE('',#67666,#67667,#29111,.T.); #85016=EDGE_CURVE('',#67667,#67665,#29112,.T.); #85017=EDGE_CURVE('',#67668,#67666,#59093,.T.); #85018=EDGE_CURVE('',#67668,#67669,#29113,.T.); #85019=EDGE_CURVE('',#67669,#67667,#59094,.T.); #85020=EDGE_CURVE('',#67670,#67668,#59095,.T.); #85021=EDGE_CURVE('',#67670,#67671,#29114,.T.); #85022=EDGE_CURVE('',#67671,#67669,#59096,.T.); #85023=EDGE_CURVE('',#67672,#67670,#29115,.T.); #85024=EDGE_CURVE('',#67672,#67673,#29116,.T.); #85025=EDGE_CURVE('',#67673,#67671,#29117,.T.); #85026=EDGE_CURVE('',#67674,#67672,#29118,.T.); #85027=EDGE_CURVE('',#67674,#67675,#29119,.T.); #85028=EDGE_CURVE('',#67675,#67673,#29120,.T.); #85029=EDGE_CURVE('',#67676,#67674,#29121,.T.); #85030=EDGE_CURVE('',#67676,#67677,#29122,.T.); #85031=EDGE_CURVE('',#67677,#67675,#29123,.T.); #85032=EDGE_CURVE('',#67678,#67676,#29124,.T.); #85033=EDGE_CURVE('',#67678,#67679,#29125,.T.); #85034=EDGE_CURVE('',#67679,#67677,#29126,.T.); #85035=EDGE_CURVE('',#67680,#67678,#29127,.T.); #85036=EDGE_CURVE('',#67680,#67681,#29128,.T.); #85037=EDGE_CURVE('',#67681,#67679,#29129,.T.); #85038=EDGE_CURVE('',#67682,#67680,#29130,.T.); #85039=EDGE_CURVE('',#67682,#67683,#29131,.T.); #85040=EDGE_CURVE('',#67683,#67681,#29132,.T.); #85041=EDGE_CURVE('',#67684,#67682,#29133,.T.); #85042=EDGE_CURVE('',#67684,#67685,#29134,.T.); #85043=EDGE_CURVE('',#67685,#67683,#29135,.T.); #85044=EDGE_CURVE('',#67686,#67684,#29136,.T.); #85045=EDGE_CURVE('',#67686,#67687,#29137,.T.); #85046=EDGE_CURVE('',#67687,#67685,#29138,.T.); #85047=EDGE_CURVE('',#67688,#67686,#29139,.T.); #85048=EDGE_CURVE('',#67688,#67689,#29140,.T.); #85049=EDGE_CURVE('',#67689,#67687,#29141,.T.); #85050=EDGE_CURVE('',#67690,#67688,#29142,.T.); #85051=EDGE_CURVE('',#67690,#67691,#29143,.T.); #85052=EDGE_CURVE('',#67691,#67689,#29144,.T.); #85053=EDGE_CURVE('',#67692,#67690,#29145,.T.); #85054=EDGE_CURVE('',#67692,#67693,#29146,.T.); #85055=EDGE_CURVE('',#67693,#67691,#29147,.T.); #85056=EDGE_CURVE('',#67694,#67692,#29148,.T.); #85057=EDGE_CURVE('',#67694,#67695,#29149,.T.); #85058=EDGE_CURVE('',#67695,#67693,#29150,.T.); #85059=EDGE_CURVE('',#67696,#67694,#29151,.T.); #85060=EDGE_CURVE('',#67696,#67697,#29152,.T.); #85061=EDGE_CURVE('',#67697,#67695,#29153,.T.); #85062=EDGE_CURVE('',#67698,#67696,#29154,.T.); #85063=EDGE_CURVE('',#67698,#67699,#29155,.T.); #85064=EDGE_CURVE('',#67699,#67697,#29156,.T.); #85065=EDGE_CURVE('',#67700,#67698,#29157,.T.); #85066=EDGE_CURVE('',#67700,#67701,#29158,.T.); #85067=EDGE_CURVE('',#67701,#67699,#29159,.T.); #85068=EDGE_CURVE('',#67702,#67700,#29160,.T.); #85069=EDGE_CURVE('',#67702,#67703,#29161,.T.); #85070=EDGE_CURVE('',#67703,#67701,#29162,.T.); #85071=EDGE_CURVE('',#67704,#67702,#29163,.T.); #85072=EDGE_CURVE('',#67704,#67705,#29164,.T.); #85073=EDGE_CURVE('',#67705,#67703,#29165,.T.); #85074=EDGE_CURVE('',#67706,#67704,#29166,.T.); #85075=EDGE_CURVE('',#67706,#67707,#29167,.T.); #85076=EDGE_CURVE('',#67707,#67705,#29168,.T.); #85077=EDGE_CURVE('',#67708,#67706,#29169,.T.); #85078=EDGE_CURVE('',#67708,#67709,#29170,.T.); #85079=EDGE_CURVE('',#67709,#67707,#29171,.T.); #85080=EDGE_CURVE('',#67710,#67708,#29172,.T.); #85081=EDGE_CURVE('',#67710,#67711,#29173,.T.); #85082=EDGE_CURVE('',#67711,#67709,#29174,.T.); #85083=EDGE_CURVE('',#67712,#67710,#29175,.T.); #85084=EDGE_CURVE('',#67712,#67713,#29176,.T.); #85085=EDGE_CURVE('',#67713,#67711,#29177,.T.); #85086=EDGE_CURVE('',#67714,#67712,#29178,.T.); #85087=EDGE_CURVE('',#67714,#67715,#29179,.T.); #85088=EDGE_CURVE('',#67715,#67713,#29180,.T.); #85089=EDGE_CURVE('',#67716,#67714,#29181,.T.); #85090=EDGE_CURVE('',#67716,#67717,#29182,.T.); #85091=EDGE_CURVE('',#67717,#67715,#29183,.T.); #85092=EDGE_CURVE('',#67718,#67716,#29184,.T.); #85093=EDGE_CURVE('',#67718,#67719,#29185,.T.); #85094=EDGE_CURVE('',#67719,#67717,#29186,.T.); #85095=EDGE_CURVE('',#67720,#67718,#59097,.T.); #85096=EDGE_CURVE('',#67720,#67721,#29187,.T.); #85097=EDGE_CURVE('',#67721,#67719,#59098,.T.); #85098=EDGE_CURVE('',#67722,#67720,#29188,.T.); #85099=EDGE_CURVE('',#67722,#67723,#29189,.T.); #85100=EDGE_CURVE('',#67723,#67721,#29190,.T.); #85101=EDGE_CURVE('',#67724,#67722,#29191,.T.); #85102=EDGE_CURVE('',#67724,#67725,#29192,.T.); #85103=EDGE_CURVE('',#67725,#67723,#29193,.T.); #85104=EDGE_CURVE('',#67726,#67724,#29194,.T.); #85105=EDGE_CURVE('',#67726,#67727,#29195,.T.); #85106=EDGE_CURVE('',#67727,#67725,#29196,.T.); #85107=EDGE_CURVE('',#67728,#67726,#29197,.T.); #85108=EDGE_CURVE('',#67728,#67729,#29198,.T.); #85109=EDGE_CURVE('',#67729,#67727,#29199,.T.); #85110=EDGE_CURVE('',#67730,#67728,#29200,.T.); #85111=EDGE_CURVE('',#67730,#67731,#29201,.T.); #85112=EDGE_CURVE('',#67731,#67729,#29202,.T.); #85113=EDGE_CURVE('',#67732,#67730,#29203,.T.); #85114=EDGE_CURVE('',#67732,#67733,#29204,.T.); #85115=EDGE_CURVE('',#67733,#67731,#29205,.T.); #85116=EDGE_CURVE('',#67734,#67732,#29206,.T.); #85117=EDGE_CURVE('',#67734,#67735,#29207,.T.); #85118=EDGE_CURVE('',#67735,#67733,#29208,.T.); #85119=EDGE_CURVE('',#67736,#67734,#29209,.T.); #85120=EDGE_CURVE('',#67736,#67737,#29210,.T.); #85121=EDGE_CURVE('',#67737,#67735,#29211,.T.); #85122=EDGE_CURVE('',#67738,#67736,#29212,.T.); #85123=EDGE_CURVE('',#67738,#67739,#29213,.T.); #85124=EDGE_CURVE('',#67739,#67737,#29214,.T.); #85125=EDGE_CURVE('',#67740,#67738,#29215,.T.); #85126=EDGE_CURVE('',#67740,#67741,#29216,.T.); #85127=EDGE_CURVE('',#67741,#67739,#29217,.T.); #85128=EDGE_CURVE('',#67742,#67740,#29218,.T.); #85129=EDGE_CURVE('',#67742,#67743,#29219,.T.); #85130=EDGE_CURVE('',#67743,#67741,#29220,.T.); #85131=EDGE_CURVE('',#67744,#67742,#29221,.T.); #85132=EDGE_CURVE('',#67744,#67745,#29222,.T.); #85133=EDGE_CURVE('',#67745,#67743,#29223,.T.); #85134=EDGE_CURVE('',#67746,#67744,#29224,.T.); #85135=EDGE_CURVE('',#67746,#67747,#29225,.T.); #85136=EDGE_CURVE('',#67747,#67745,#29226,.T.); #85137=EDGE_CURVE('',#67748,#67746,#29227,.T.); #85138=EDGE_CURVE('',#67748,#67749,#29228,.T.); #85139=EDGE_CURVE('',#67749,#67747,#29229,.T.); #85140=EDGE_CURVE('',#67750,#67748,#29230,.T.); #85141=EDGE_CURVE('',#67750,#67751,#29231,.T.); #85142=EDGE_CURVE('',#67751,#67749,#29232,.T.); #85143=EDGE_CURVE('',#67752,#67750,#29233,.T.); #85144=EDGE_CURVE('',#67752,#67753,#29234,.T.); #85145=EDGE_CURVE('',#67753,#67751,#29235,.T.); #85146=EDGE_CURVE('',#67754,#67752,#29236,.T.); #85147=EDGE_CURVE('',#67754,#67755,#29237,.T.); #85148=EDGE_CURVE('',#67755,#67753,#29238,.T.); #85149=EDGE_CURVE('',#67756,#67754,#29239,.T.); #85150=EDGE_CURVE('',#67756,#67757,#29240,.T.); #85151=EDGE_CURVE('',#67757,#67755,#29241,.T.); #85152=EDGE_CURVE('',#67758,#67756,#29242,.T.); #85153=EDGE_CURVE('',#67758,#67759,#29243,.T.); #85154=EDGE_CURVE('',#67759,#67757,#29244,.T.); #85155=EDGE_CURVE('',#67760,#67758,#29245,.T.); #85156=EDGE_CURVE('',#67760,#67761,#29246,.T.); #85157=EDGE_CURVE('',#67761,#67759,#29247,.T.); #85158=EDGE_CURVE('',#67762,#67760,#29248,.T.); #85159=EDGE_CURVE('',#67762,#67763,#29249,.T.); #85160=EDGE_CURVE('',#67763,#67761,#29250,.T.); #85161=EDGE_CURVE('',#67764,#67762,#29251,.T.); #85162=EDGE_CURVE('',#67764,#67765,#29252,.T.); #85163=EDGE_CURVE('',#67765,#67763,#29253,.T.); #85164=EDGE_CURVE('',#67766,#67764,#29254,.T.); #85165=EDGE_CURVE('',#67766,#67767,#29255,.T.); #85166=EDGE_CURVE('',#67767,#67765,#29256,.T.); #85167=EDGE_CURVE('',#67768,#67766,#59099,.T.); #85168=EDGE_CURVE('',#67768,#67769,#29257,.T.); #85169=EDGE_CURVE('',#67769,#67767,#59100,.T.); #85170=EDGE_CURVE('',#67770,#67768,#59101,.T.); #85171=EDGE_CURVE('',#67770,#67771,#29258,.T.); #85172=EDGE_CURVE('',#67771,#67769,#59102,.T.); #85173=EDGE_CURVE('',#67772,#67770,#29259,.T.); #85174=EDGE_CURVE('',#67772,#67773,#29260,.T.); #85175=EDGE_CURVE('',#67773,#67771,#29261,.T.); #85176=EDGE_CURVE('',#67774,#67772,#29262,.T.); #85177=EDGE_CURVE('',#67774,#67775,#29263,.T.); #85178=EDGE_CURVE('',#67775,#67773,#29264,.T.); #85179=EDGE_CURVE('',#67776,#67774,#29265,.T.); #85180=EDGE_CURVE('',#67776,#67777,#29266,.T.); #85181=EDGE_CURVE('',#67777,#67775,#29267,.T.); #85182=EDGE_CURVE('',#67778,#67776,#29268,.T.); #85183=EDGE_CURVE('',#67778,#67779,#29269,.T.); #85184=EDGE_CURVE('',#67779,#67777,#29270,.T.); #85185=EDGE_CURVE('',#67780,#67778,#29271,.T.); #85186=EDGE_CURVE('',#67780,#67781,#29272,.T.); #85187=EDGE_CURVE('',#67781,#67779,#29273,.T.); #85188=EDGE_CURVE('',#67782,#67780,#59103,.T.); #85189=EDGE_CURVE('',#67782,#67783,#29274,.T.); #85190=EDGE_CURVE('',#67783,#67781,#59104,.T.); #85191=EDGE_CURVE('',#67784,#67782,#29275,.T.); #85192=EDGE_CURVE('',#67784,#67785,#29276,.T.); #85193=EDGE_CURVE('',#67785,#67783,#29277,.T.); #85194=EDGE_CURVE('',#67786,#67784,#29278,.T.); #85195=EDGE_CURVE('',#67786,#67787,#29279,.T.); #85196=EDGE_CURVE('',#67787,#67785,#29280,.T.); #85197=EDGE_CURVE('',#67788,#67786,#29281,.T.); #85198=EDGE_CURVE('',#67788,#67789,#29282,.T.); #85199=EDGE_CURVE('',#67789,#67787,#29283,.T.); #85200=EDGE_CURVE('',#67790,#67788,#29284,.T.); #85201=EDGE_CURVE('',#67790,#67791,#29285,.T.); #85202=EDGE_CURVE('',#67791,#67789,#29286,.T.); #85203=EDGE_CURVE('',#67792,#67790,#29287,.T.); #85204=EDGE_CURVE('',#67792,#67793,#29288,.T.); #85205=EDGE_CURVE('',#67793,#67791,#29289,.T.); #85206=EDGE_CURVE('',#67794,#67792,#29290,.T.); #85207=EDGE_CURVE('',#67794,#67795,#29291,.T.); #85208=EDGE_CURVE('',#67795,#67793,#29292,.T.); #85209=EDGE_CURVE('',#67796,#67794,#29293,.T.); #85210=EDGE_CURVE('',#67796,#67797,#29294,.T.); #85211=EDGE_CURVE('',#67797,#67795,#29295,.T.); #85212=EDGE_CURVE('',#67798,#67796,#29296,.T.); #85213=EDGE_CURVE('',#67798,#67799,#29297,.T.); #85214=EDGE_CURVE('',#67799,#67797,#29298,.T.); #85215=EDGE_CURVE('',#67800,#67798,#59105,.T.); #85216=EDGE_CURVE('',#67800,#67801,#29299,.T.); #85217=EDGE_CURVE('',#67801,#67799,#59106,.T.); #85218=EDGE_CURVE('',#67802,#67800,#59107,.T.); #85219=EDGE_CURVE('',#67802,#67803,#29300,.T.); #85220=EDGE_CURVE('',#67803,#67801,#59108,.T.); #85221=EDGE_CURVE('',#67804,#67802,#29301,.T.); #85222=EDGE_CURVE('',#67804,#67805,#29302,.T.); #85223=EDGE_CURVE('',#67805,#67803,#29303,.T.); #85224=EDGE_CURVE('',#67806,#67804,#29304,.T.); #85225=EDGE_CURVE('',#67806,#67807,#29305,.T.); #85226=EDGE_CURVE('',#67807,#67805,#29306,.T.); #85227=EDGE_CURVE('',#67808,#67806,#29307,.T.); #85228=EDGE_CURVE('',#67808,#67809,#29308,.T.); #85229=EDGE_CURVE('',#67809,#67807,#29309,.T.); #85230=EDGE_CURVE('',#67810,#67808,#29310,.T.); #85231=EDGE_CURVE('',#67810,#67811,#29311,.T.); #85232=EDGE_CURVE('',#67811,#67809,#29312,.T.); #85233=EDGE_CURVE('',#67812,#67810,#29313,.T.); #85234=EDGE_CURVE('',#67812,#67813,#29314,.T.); #85235=EDGE_CURVE('',#67813,#67811,#29315,.T.); #85236=EDGE_CURVE('',#67814,#67812,#29316,.T.); #85237=EDGE_CURVE('',#67814,#67815,#29317,.T.); #85238=EDGE_CURVE('',#67815,#67813,#29318,.T.); #85239=EDGE_CURVE('',#67816,#67814,#29319,.T.); #85240=EDGE_CURVE('',#67816,#67817,#29320,.T.); #85241=EDGE_CURVE('',#67817,#67815,#29321,.T.); #85242=EDGE_CURVE('',#67818,#67816,#29322,.T.); #85243=EDGE_CURVE('',#67818,#67819,#29323,.T.); #85244=EDGE_CURVE('',#67819,#67817,#29324,.T.); #85245=EDGE_CURVE('',#67820,#67818,#29325,.T.); #85246=EDGE_CURVE('',#67820,#67821,#29326,.T.); #85247=EDGE_CURVE('',#67821,#67819,#29327,.T.); #85248=EDGE_CURVE('',#67822,#67820,#29328,.T.); #85249=EDGE_CURVE('',#67822,#67823,#29329,.T.); #85250=EDGE_CURVE('',#67823,#67821,#29330,.T.); #85251=EDGE_CURVE('',#67824,#67822,#29331,.T.); #85252=EDGE_CURVE('',#67824,#67825,#29332,.T.); #85253=EDGE_CURVE('',#67825,#67823,#29333,.T.); #85254=EDGE_CURVE('',#67826,#67824,#29334,.T.); #85255=EDGE_CURVE('',#67826,#67827,#29335,.T.); #85256=EDGE_CURVE('',#67827,#67825,#29336,.T.); #85257=EDGE_CURVE('',#67828,#67826,#29337,.T.); #85258=EDGE_CURVE('',#67828,#67829,#29338,.T.); #85259=EDGE_CURVE('',#67829,#67827,#29339,.T.); #85260=EDGE_CURVE('',#67830,#67828,#59109,.T.); #85261=EDGE_CURVE('',#67830,#67831,#29340,.T.); #85262=EDGE_CURVE('',#67831,#67829,#59110,.T.); #85263=EDGE_CURVE('',#67832,#67830,#29341,.T.); #85264=EDGE_CURVE('',#67832,#67833,#29342,.T.); #85265=EDGE_CURVE('',#67833,#67831,#29343,.T.); #85266=EDGE_CURVE('',#67834,#67832,#29344,.T.); #85267=EDGE_CURVE('',#67834,#67835,#29345,.T.); #85268=EDGE_CURVE('',#67835,#67833,#29346,.T.); #85269=EDGE_CURVE('',#67836,#67834,#29347,.T.); #85270=EDGE_CURVE('',#67836,#67837,#29348,.T.); #85271=EDGE_CURVE('',#67837,#67835,#29349,.T.); #85272=EDGE_CURVE('',#67838,#67836,#29350,.T.); #85273=EDGE_CURVE('',#67838,#67839,#29351,.T.); #85274=EDGE_CURVE('',#67839,#67837,#29352,.T.); #85275=EDGE_CURVE('',#67840,#67838,#29353,.T.); #85276=EDGE_CURVE('',#67840,#67841,#29354,.T.); #85277=EDGE_CURVE('',#67841,#67839,#29355,.T.); #85278=EDGE_CURVE('',#67842,#67840,#29356,.T.); #85279=EDGE_CURVE('',#67842,#67843,#29357,.T.); #85280=EDGE_CURVE('',#67843,#67841,#29358,.T.); #85281=EDGE_CURVE('',#67844,#67842,#29359,.T.); #85282=EDGE_CURVE('',#67844,#67845,#29360,.T.); #85283=EDGE_CURVE('',#67845,#67843,#29361,.T.); #85284=EDGE_CURVE('',#67846,#67844,#29362,.T.); #85285=EDGE_CURVE('',#67846,#67847,#29363,.T.); #85286=EDGE_CURVE('',#67847,#67845,#29364,.T.); #85287=EDGE_CURVE('',#67563,#67846,#29365,.T.); #85288=EDGE_CURVE('',#67565,#67847,#29366,.T.); #85289=EDGE_CURVE('',#67848,#67849,#59111,.T.); #85290=EDGE_CURVE('',#67848,#67850,#29367,.T.); #85291=EDGE_CURVE('',#67850,#67851,#59112,.T.); #85292=EDGE_CURVE('',#67849,#67851,#29368,.T.); #85293=EDGE_CURVE('',#67852,#67848,#29369,.T.); #85294=EDGE_CURVE('',#67852,#67853,#29370,.T.); #85295=EDGE_CURVE('',#67853,#67850,#29371,.T.); #85296=EDGE_CURVE('',#67854,#67852,#59113,.T.); #85297=EDGE_CURVE('',#67854,#67855,#29372,.T.); #85298=EDGE_CURVE('',#67855,#67853,#59114,.T.); #85299=EDGE_CURVE('',#67856,#67854,#59115,.T.); #85300=EDGE_CURVE('',#67856,#67857,#29373,.T.); #85301=EDGE_CURVE('',#67857,#67855,#59116,.T.); #85302=EDGE_CURVE('',#67858,#67856,#59117,.T.); #85303=EDGE_CURVE('',#67858,#67859,#29374,.T.); #85304=EDGE_CURVE('',#67859,#67857,#59118,.T.); #85305=EDGE_CURVE('',#67860,#67858,#29375,.T.); #85306=EDGE_CURVE('',#67860,#67861,#29376,.T.); #85307=EDGE_CURVE('',#67861,#67859,#29377,.T.); #85308=EDGE_CURVE('',#67862,#67860,#29378,.T.); #85309=EDGE_CURVE('',#67862,#67863,#29379,.T.); #85310=EDGE_CURVE('',#67863,#67861,#29380,.T.); #85311=EDGE_CURVE('',#67864,#67862,#29381,.T.); #85312=EDGE_CURVE('',#67864,#67865,#29382,.T.); #85313=EDGE_CURVE('',#67865,#67863,#29383,.T.); #85314=EDGE_CURVE('',#67866,#67864,#29384,.T.); #85315=EDGE_CURVE('',#67866,#67867,#29385,.T.); #85316=EDGE_CURVE('',#67867,#67865,#29386,.T.); #85317=EDGE_CURVE('',#67868,#67866,#29387,.T.); #85318=EDGE_CURVE('',#67868,#67869,#29388,.T.); #85319=EDGE_CURVE('',#67869,#67867,#29389,.T.); #85320=EDGE_CURVE('',#67870,#67868,#59119,.T.); #85321=EDGE_CURVE('',#67870,#67871,#29390,.T.); #85322=EDGE_CURVE('',#67871,#67869,#59120,.T.); #85323=EDGE_CURVE('',#67872,#67870,#59121,.T.); #85324=EDGE_CURVE('',#67872,#67873,#29391,.T.); #85325=EDGE_CURVE('',#67873,#67871,#59122,.T.); #85326=EDGE_CURVE('',#67874,#67872,#29392,.T.); #85327=EDGE_CURVE('',#67874,#67875,#29393,.T.); #85328=EDGE_CURVE('',#67875,#67873,#29394,.T.); #85329=EDGE_CURVE('',#67876,#67874,#29395,.T.); #85330=EDGE_CURVE('',#67876,#67877,#29396,.T.); #85331=EDGE_CURVE('',#67877,#67875,#29397,.T.); #85332=EDGE_CURVE('',#67878,#67876,#29398,.T.); #85333=EDGE_CURVE('',#67878,#67879,#29399,.T.); #85334=EDGE_CURVE('',#67879,#67877,#29400,.T.); #85335=EDGE_CURVE('',#67880,#67878,#29401,.T.); #85336=EDGE_CURVE('',#67880,#67881,#29402,.T.); #85337=EDGE_CURVE('',#67881,#67879,#29403,.T.); #85338=EDGE_CURVE('',#67882,#67880,#29404,.T.); #85339=EDGE_CURVE('',#67882,#67883,#29405,.T.); #85340=EDGE_CURVE('',#67883,#67881,#29406,.T.); #85341=EDGE_CURVE('',#67884,#67882,#29407,.T.); #85342=EDGE_CURVE('',#67884,#67885,#29408,.T.); #85343=EDGE_CURVE('',#67885,#67883,#29409,.T.); #85344=EDGE_CURVE('',#67886,#67884,#29410,.T.); #85345=EDGE_CURVE('',#67886,#67887,#29411,.T.); #85346=EDGE_CURVE('',#67887,#67885,#29412,.T.); #85347=EDGE_CURVE('',#67888,#67886,#29413,.T.); #85348=EDGE_CURVE('',#67888,#67889,#29414,.T.); #85349=EDGE_CURVE('',#67889,#67887,#29415,.T.); #85350=EDGE_CURVE('',#67890,#67888,#59123,.T.); #85351=EDGE_CURVE('',#67890,#67891,#29416,.T.); #85352=EDGE_CURVE('',#67891,#67889,#59124,.T.); #85353=EDGE_CURVE('',#67892,#67890,#29417,.T.); #85354=EDGE_CURVE('',#67892,#67893,#29418,.T.); #85355=EDGE_CURVE('',#67893,#67891,#29419,.T.); #85356=EDGE_CURVE('',#67894,#67892,#59125,.T.); #85357=EDGE_CURVE('',#67894,#67895,#29420,.T.); #85358=EDGE_CURVE('',#67895,#67893,#59126,.T.); #85359=EDGE_CURVE('',#67896,#67894,#29421,.T.); #85360=EDGE_CURVE('',#67896,#67897,#29422,.T.); #85361=EDGE_CURVE('',#67897,#67895,#29423,.T.); #85362=EDGE_CURVE('',#67898,#67896,#29424,.T.); #85363=EDGE_CURVE('',#67898,#67899,#29425,.T.); #85364=EDGE_CURVE('',#67899,#67897,#29426,.T.); #85365=EDGE_CURVE('',#67900,#67898,#29427,.T.); #85366=EDGE_CURVE('',#67900,#67901,#29428,.T.); #85367=EDGE_CURVE('',#67901,#67899,#29429,.T.); #85368=EDGE_CURVE('',#67902,#67900,#59127,.T.); #85369=EDGE_CURVE('',#67902,#67903,#29430,.T.); #85370=EDGE_CURVE('',#67903,#67901,#59128,.T.); #85371=EDGE_CURVE('',#67904,#67902,#29431,.T.); #85372=EDGE_CURVE('',#67904,#67905,#29432,.T.); #85373=EDGE_CURVE('',#67905,#67903,#29433,.T.); #85374=EDGE_CURVE('',#67906,#67904,#29434,.T.); #85375=EDGE_CURVE('',#67906,#67907,#29435,.T.); #85376=EDGE_CURVE('',#67907,#67905,#29436,.T.); #85377=EDGE_CURVE('',#67908,#67906,#29437,.T.); #85378=EDGE_CURVE('',#67908,#67909,#29438,.T.); #85379=EDGE_CURVE('',#67909,#67907,#29439,.T.); #85380=EDGE_CURVE('',#67910,#67908,#29440,.T.); #85381=EDGE_CURVE('',#67910,#67911,#29441,.T.); #85382=EDGE_CURVE('',#67911,#67909,#29442,.T.); #85383=EDGE_CURVE('',#67912,#67910,#29443,.T.); #85384=EDGE_CURVE('',#67912,#67913,#29444,.T.); #85385=EDGE_CURVE('',#67913,#67911,#29445,.T.); #85386=EDGE_CURVE('',#67914,#67912,#59129,.T.); #85387=EDGE_CURVE('',#67914,#67915,#29446,.T.); #85388=EDGE_CURVE('',#67915,#67913,#59130,.T.); #85389=EDGE_CURVE('',#67916,#67914,#59131,.T.); #85390=EDGE_CURVE('',#67916,#67917,#29447,.T.); #85391=EDGE_CURVE('',#67917,#67915,#59132,.T.); #85392=EDGE_CURVE('',#67918,#67916,#29448,.T.); #85393=EDGE_CURVE('',#67918,#67919,#29449,.T.); #85394=EDGE_CURVE('',#67919,#67917,#29450,.T.); #85395=EDGE_CURVE('',#67920,#67918,#29451,.T.); #85396=EDGE_CURVE('',#67920,#67921,#29452,.T.); #85397=EDGE_CURVE('',#67921,#67919,#29453,.T.); #85398=EDGE_CURVE('',#67922,#67920,#29454,.T.); #85399=EDGE_CURVE('',#67922,#67923,#29455,.T.); #85400=EDGE_CURVE('',#67923,#67921,#29456,.T.); #85401=EDGE_CURVE('',#67924,#67922,#29457,.T.); #85402=EDGE_CURVE('',#67924,#67925,#29458,.T.); #85403=EDGE_CURVE('',#67925,#67923,#29459,.T.); #85404=EDGE_CURVE('',#67926,#67924,#29460,.T.); #85405=EDGE_CURVE('',#67926,#67927,#29461,.T.); #85406=EDGE_CURVE('',#67927,#67925,#29462,.T.); #85407=EDGE_CURVE('',#67928,#67926,#29463,.T.); #85408=EDGE_CURVE('',#67928,#67929,#29464,.T.); #85409=EDGE_CURVE('',#67929,#67927,#29465,.T.); #85410=EDGE_CURVE('',#67930,#67928,#59133,.T.); #85411=EDGE_CURVE('',#67930,#67931,#29466,.T.); #85412=EDGE_CURVE('',#67931,#67929,#59134,.T.); #85413=EDGE_CURVE('',#67932,#67930,#29467,.T.); #85414=EDGE_CURVE('',#67932,#67933,#29468,.T.); #85415=EDGE_CURVE('',#67933,#67931,#29469,.T.); #85416=EDGE_CURVE('',#67934,#67932,#29470,.T.); #85417=EDGE_CURVE('',#67934,#67935,#29471,.T.); #85418=EDGE_CURVE('',#67935,#67933,#29472,.T.); #85419=EDGE_CURVE('',#67936,#67934,#59135,.T.); #85420=EDGE_CURVE('',#67936,#67937,#29473,.T.); #85421=EDGE_CURVE('',#67937,#67935,#59136,.T.); #85422=EDGE_CURVE('',#67938,#67936,#29474,.T.); #85423=EDGE_CURVE('',#67938,#67939,#29475,.T.); #85424=EDGE_CURVE('',#67939,#67937,#29476,.T.); #85425=EDGE_CURVE('',#67940,#67938,#29477,.T.); #85426=EDGE_CURVE('',#67940,#67941,#29478,.T.); #85427=EDGE_CURVE('',#67941,#67939,#29479,.T.); #85428=EDGE_CURVE('',#67942,#67940,#29480,.T.); #85429=EDGE_CURVE('',#67942,#67943,#29481,.T.); #85430=EDGE_CURVE('',#67943,#67941,#29482,.T.); #85431=EDGE_CURVE('',#67944,#67942,#29483,.T.); #85432=EDGE_CURVE('',#67944,#67945,#29484,.T.); #85433=EDGE_CURVE('',#67945,#67943,#29485,.T.); #85434=EDGE_CURVE('',#67946,#67944,#29486,.T.); #85435=EDGE_CURVE('',#67946,#67947,#29487,.T.); #85436=EDGE_CURVE('',#67947,#67945,#29488,.T.); #85437=EDGE_CURVE('',#67948,#67946,#29489,.T.); #85438=EDGE_CURVE('',#67948,#67949,#29490,.T.); #85439=EDGE_CURVE('',#67949,#67947,#29491,.T.); #85440=EDGE_CURVE('',#67950,#67948,#29492,.T.); #85441=EDGE_CURVE('',#67950,#67951,#29493,.T.); #85442=EDGE_CURVE('',#67951,#67949,#29494,.T.); #85443=EDGE_CURVE('',#67952,#67950,#29495,.T.); #85444=EDGE_CURVE('',#67952,#67953,#29496,.T.); #85445=EDGE_CURVE('',#67953,#67951,#29497,.T.); #85446=EDGE_CURVE('',#67954,#67952,#29498,.T.); #85447=EDGE_CURVE('',#67954,#67955,#29499,.T.); #85448=EDGE_CURVE('',#67955,#67953,#29500,.T.); #85449=EDGE_CURVE('',#67956,#67954,#29501,.T.); #85450=EDGE_CURVE('',#67956,#67957,#29502,.T.); #85451=EDGE_CURVE('',#67957,#67955,#29503,.T.); #85452=EDGE_CURVE('',#67958,#67956,#29504,.T.); #85453=EDGE_CURVE('',#67958,#67959,#29505,.T.); #85454=EDGE_CURVE('',#67959,#67957,#29506,.T.); #85455=EDGE_CURVE('',#67960,#67958,#29507,.T.); #85456=EDGE_CURVE('',#67960,#67961,#29508,.T.); #85457=EDGE_CURVE('',#67961,#67959,#29509,.T.); #85458=EDGE_CURVE('',#67962,#67960,#29510,.T.); #85459=EDGE_CURVE('',#67962,#67963,#29511,.T.); #85460=EDGE_CURVE('',#67963,#67961,#29512,.T.); #85461=EDGE_CURVE('',#67964,#67962,#29513,.T.); #85462=EDGE_CURVE('',#67964,#67965,#29514,.T.); #85463=EDGE_CURVE('',#67965,#67963,#29515,.T.); #85464=EDGE_CURVE('',#67966,#67964,#29516,.T.); #85465=EDGE_CURVE('',#67966,#67967,#29517,.T.); #85466=EDGE_CURVE('',#67967,#67965,#29518,.T.); #85467=EDGE_CURVE('',#67968,#67966,#29519,.T.); #85468=EDGE_CURVE('',#67968,#67969,#29520,.T.); #85469=EDGE_CURVE('',#67969,#67967,#29521,.T.); #85470=EDGE_CURVE('',#67970,#67968,#29522,.T.); #85471=EDGE_CURVE('',#67970,#67971,#29523,.T.); #85472=EDGE_CURVE('',#67971,#67969,#29524,.T.); #85473=EDGE_CURVE('',#67972,#67970,#29525,.T.); #85474=EDGE_CURVE('',#67972,#67973,#29526,.T.); #85475=EDGE_CURVE('',#67973,#67971,#29527,.T.); #85476=EDGE_CURVE('',#67974,#67972,#29528,.T.); #85477=EDGE_CURVE('',#67974,#67975,#29529,.T.); #85478=EDGE_CURVE('',#67975,#67973,#29530,.T.); #85479=EDGE_CURVE('',#67976,#67974,#29531,.T.); #85480=EDGE_CURVE('',#67976,#67977,#29532,.T.); #85481=EDGE_CURVE('',#67977,#67975,#29533,.T.); #85482=EDGE_CURVE('',#67978,#67976,#29534,.T.); #85483=EDGE_CURVE('',#67978,#67979,#29535,.T.); #85484=EDGE_CURVE('',#67979,#67977,#29536,.T.); #85485=EDGE_CURVE('',#67980,#67978,#29537,.T.); #85486=EDGE_CURVE('',#67980,#67981,#29538,.T.); #85487=EDGE_CURVE('',#67981,#67979,#29539,.T.); #85488=EDGE_CURVE('',#67982,#67980,#29540,.T.); #85489=EDGE_CURVE('',#67982,#67983,#29541,.T.); #85490=EDGE_CURVE('',#67983,#67981,#29542,.T.); #85491=EDGE_CURVE('',#67984,#67982,#29543,.T.); #85492=EDGE_CURVE('',#67984,#67985,#29544,.T.); #85493=EDGE_CURVE('',#67985,#67983,#29545,.T.); #85494=EDGE_CURVE('',#67986,#67984,#59137,.T.); #85495=EDGE_CURVE('',#67986,#67987,#29546,.T.); #85496=EDGE_CURVE('',#67987,#67985,#59138,.T.); #85497=EDGE_CURVE('',#67988,#67986,#59139,.T.); #85498=EDGE_CURVE('',#67988,#67989,#29547,.T.); #85499=EDGE_CURVE('',#67989,#67987,#59140,.T.); #85500=EDGE_CURVE('',#67990,#67988,#29548,.T.); #85501=EDGE_CURVE('',#67990,#67991,#29549,.T.); #85502=EDGE_CURVE('',#67991,#67989,#29550,.T.); #85503=EDGE_CURVE('',#67992,#67990,#29551,.T.); #85504=EDGE_CURVE('',#67992,#67993,#29552,.T.); #85505=EDGE_CURVE('',#67993,#67991,#29553,.T.); #85506=EDGE_CURVE('',#67994,#67992,#29554,.T.); #85507=EDGE_CURVE('',#67994,#67995,#29555,.T.); #85508=EDGE_CURVE('',#67995,#67993,#29556,.T.); #85509=EDGE_CURVE('',#67996,#67994,#29557,.T.); #85510=EDGE_CURVE('',#67996,#67997,#29558,.T.); #85511=EDGE_CURVE('',#67997,#67995,#29559,.T.); #85512=EDGE_CURVE('',#67998,#67996,#29560,.T.); #85513=EDGE_CURVE('',#67998,#67999,#29561,.T.); #85514=EDGE_CURVE('',#67999,#67997,#29562,.T.); #85515=EDGE_CURVE('',#68000,#67998,#29563,.T.); #85516=EDGE_CURVE('',#68000,#68001,#29564,.T.); #85517=EDGE_CURVE('',#68001,#67999,#29565,.T.); #85518=EDGE_CURVE('',#68002,#68000,#29566,.T.); #85519=EDGE_CURVE('',#68002,#68003,#29567,.T.); #85520=EDGE_CURVE('',#68003,#68001,#29568,.T.); #85521=EDGE_CURVE('',#68004,#68002,#29569,.T.); #85522=EDGE_CURVE('',#68004,#68005,#29570,.T.); #85523=EDGE_CURVE('',#68005,#68003,#29571,.T.); #85524=EDGE_CURVE('',#68006,#68004,#29572,.T.); #85525=EDGE_CURVE('',#68006,#68007,#29573,.T.); #85526=EDGE_CURVE('',#68007,#68005,#29574,.T.); #85527=EDGE_CURVE('',#68008,#68006,#29575,.T.); #85528=EDGE_CURVE('',#68008,#68009,#29576,.T.); #85529=EDGE_CURVE('',#68009,#68007,#29577,.T.); #85530=EDGE_CURVE('',#68010,#68008,#59141,.T.); #85531=EDGE_CURVE('',#68010,#68011,#29578,.T.); #85532=EDGE_CURVE('',#68011,#68009,#59142,.T.); #85533=EDGE_CURVE('',#68012,#68010,#29579,.T.); #85534=EDGE_CURVE('',#68012,#68013,#29580,.T.); #85535=EDGE_CURVE('',#68013,#68011,#29581,.T.); #85536=EDGE_CURVE('',#68014,#68012,#29582,.T.); #85537=EDGE_CURVE('',#68014,#68015,#29583,.T.); #85538=EDGE_CURVE('',#68015,#68013,#29584,.T.); #85539=EDGE_CURVE('',#68016,#68014,#29585,.T.); #85540=EDGE_CURVE('',#68016,#68017,#29586,.T.); #85541=EDGE_CURVE('',#68017,#68015,#29587,.T.); #85542=EDGE_CURVE('',#68018,#68016,#29588,.T.); #85543=EDGE_CURVE('',#68018,#68019,#29589,.T.); #85544=EDGE_CURVE('',#68019,#68017,#29590,.T.); #85545=EDGE_CURVE('',#68020,#68018,#29591,.T.); #85546=EDGE_CURVE('',#68020,#68021,#29592,.T.); #85547=EDGE_CURVE('',#68021,#68019,#29593,.T.); #85548=EDGE_CURVE('',#68022,#68020,#29594,.T.); #85549=EDGE_CURVE('',#68022,#68023,#29595,.T.); #85550=EDGE_CURVE('',#68023,#68021,#29596,.T.); #85551=EDGE_CURVE('',#68024,#68022,#29597,.T.); #85552=EDGE_CURVE('',#68024,#68025,#29598,.T.); #85553=EDGE_CURVE('',#68025,#68023,#29599,.T.); #85554=EDGE_CURVE('',#68026,#68024,#29600,.T.); #85555=EDGE_CURVE('',#68026,#68027,#29601,.T.); #85556=EDGE_CURVE('',#68027,#68025,#29602,.T.); #85557=EDGE_CURVE('',#68028,#68026,#29603,.T.); #85558=EDGE_CURVE('',#68028,#68029,#29604,.T.); #85559=EDGE_CURVE('',#68029,#68027,#29605,.T.); #85560=EDGE_CURVE('',#68030,#68028,#29606,.T.); #85561=EDGE_CURVE('',#68030,#68031,#29607,.T.); #85562=EDGE_CURVE('',#68031,#68029,#29608,.T.); #85563=EDGE_CURVE('',#68032,#68030,#29609,.T.); #85564=EDGE_CURVE('',#68032,#68033,#29610,.T.); #85565=EDGE_CURVE('',#68033,#68031,#29611,.T.); #85566=EDGE_CURVE('',#68034,#68032,#59143,.T.); #85567=EDGE_CURVE('',#68034,#68035,#29612,.T.); #85568=EDGE_CURVE('',#68035,#68033,#59144,.T.); #85569=EDGE_CURVE('',#68036,#68034,#59145,.T.); #85570=EDGE_CURVE('',#68036,#68037,#29613,.T.); #85571=EDGE_CURVE('',#68037,#68035,#59146,.T.); #85572=EDGE_CURVE('',#68038,#68036,#29614,.T.); #85573=EDGE_CURVE('',#68038,#68039,#29615,.T.); #85574=EDGE_CURVE('',#68039,#68037,#29616,.T.); #85575=EDGE_CURVE('',#68040,#68038,#29617,.T.); #85576=EDGE_CURVE('',#68040,#68041,#29618,.T.); #85577=EDGE_CURVE('',#68041,#68039,#29619,.T.); #85578=EDGE_CURVE('',#68042,#68040,#29620,.T.); #85579=EDGE_CURVE('',#68042,#68043,#29621,.T.); #85580=EDGE_CURVE('',#68043,#68041,#29622,.T.); #85581=EDGE_CURVE('',#68044,#68042,#29623,.T.); #85582=EDGE_CURVE('',#68044,#68045,#29624,.T.); #85583=EDGE_CURVE('',#68045,#68043,#29625,.T.); #85584=EDGE_CURVE('',#68046,#68044,#59147,.T.); #85585=EDGE_CURVE('',#68046,#68047,#29626,.T.); #85586=EDGE_CURVE('',#68047,#68045,#59148,.T.); #85587=EDGE_CURVE('',#68048,#68046,#29627,.T.); #85588=EDGE_CURVE('',#68048,#68049,#29628,.T.); #85589=EDGE_CURVE('',#68049,#68047,#29629,.T.); #85590=EDGE_CURVE('',#68050,#68048,#29630,.T.); #85591=EDGE_CURVE('',#68050,#68051,#29631,.T.); #85592=EDGE_CURVE('',#68051,#68049,#29632,.T.); #85593=EDGE_CURVE('',#68052,#68050,#29633,.T.); #85594=EDGE_CURVE('',#68052,#68053,#29634,.T.); #85595=EDGE_CURVE('',#68053,#68051,#29635,.T.); #85596=EDGE_CURVE('',#68054,#68052,#29636,.T.); #85597=EDGE_CURVE('',#68054,#68055,#29637,.T.); #85598=EDGE_CURVE('',#68055,#68053,#29638,.T.); #85599=EDGE_CURVE('',#68056,#68054,#29639,.T.); #85600=EDGE_CURVE('',#68056,#68057,#29640,.T.); #85601=EDGE_CURVE('',#68057,#68055,#29641,.T.); #85602=EDGE_CURVE('',#68058,#68056,#29642,.T.); #85603=EDGE_CURVE('',#68058,#68059,#29643,.T.); #85604=EDGE_CURVE('',#68059,#68057,#29644,.T.); #85605=EDGE_CURVE('',#68060,#68058,#29645,.T.); #85606=EDGE_CURVE('',#68060,#68061,#29646,.T.); #85607=EDGE_CURVE('',#68061,#68059,#29647,.T.); #85608=EDGE_CURVE('',#68062,#68060,#29648,.T.); #85609=EDGE_CURVE('',#68062,#68063,#29649,.T.); #85610=EDGE_CURVE('',#68063,#68061,#29650,.T.); #85611=EDGE_CURVE('',#68064,#68062,#59149,.T.); #85612=EDGE_CURVE('',#68064,#68065,#29651,.T.); #85613=EDGE_CURVE('',#68065,#68063,#59150,.T.); #85614=EDGE_CURVE('',#68066,#68064,#29652,.T.); #85615=EDGE_CURVE('',#68066,#68067,#29653,.T.); #85616=EDGE_CURVE('',#68067,#68065,#29654,.T.); #85617=EDGE_CURVE('',#68068,#68066,#59151,.T.); #85618=EDGE_CURVE('',#68068,#68069,#29655,.T.); #85619=EDGE_CURVE('',#68069,#68067,#59152,.T.); #85620=EDGE_CURVE('',#68070,#68068,#29656,.T.); #85621=EDGE_CURVE('',#68070,#68071,#29657,.T.); #85622=EDGE_CURVE('',#68071,#68069,#29658,.T.); #85623=EDGE_CURVE('',#68072,#68070,#29659,.T.); #85624=EDGE_CURVE('',#68072,#68073,#29660,.T.); #85625=EDGE_CURVE('',#68073,#68071,#29661,.T.); #85626=EDGE_CURVE('',#68074,#68072,#29662,.T.); #85627=EDGE_CURVE('',#68074,#68075,#29663,.T.); #85628=EDGE_CURVE('',#68075,#68073,#29664,.T.); #85629=EDGE_CURVE('',#68076,#68074,#29665,.T.); #85630=EDGE_CURVE('',#68076,#68077,#29666,.T.); #85631=EDGE_CURVE('',#68077,#68075,#29667,.T.); #85632=EDGE_CURVE('',#68078,#68076,#29668,.T.); #85633=EDGE_CURVE('',#68078,#68079,#29669,.T.); #85634=EDGE_CURVE('',#68079,#68077,#29670,.T.); #85635=EDGE_CURVE('',#68080,#68078,#29671,.T.); #85636=EDGE_CURVE('',#68080,#68081,#29672,.T.); #85637=EDGE_CURVE('',#68081,#68079,#29673,.T.); #85638=EDGE_CURVE('',#68082,#68080,#29674,.T.); #85639=EDGE_CURVE('',#68082,#68083,#29675,.T.); #85640=EDGE_CURVE('',#68083,#68081,#29676,.T.); #85641=EDGE_CURVE('',#68084,#68082,#29677,.T.); #85642=EDGE_CURVE('',#68084,#68085,#29678,.T.); #85643=EDGE_CURVE('',#68085,#68083,#29679,.T.); #85644=EDGE_CURVE('',#68086,#68084,#29680,.T.); #85645=EDGE_CURVE('',#68086,#68087,#29681,.T.); #85646=EDGE_CURVE('',#68087,#68085,#29682,.T.); #85647=EDGE_CURVE('',#68088,#68086,#29683,.T.); #85648=EDGE_CURVE('',#68088,#68089,#29684,.T.); #85649=EDGE_CURVE('',#68089,#68087,#29685,.T.); #85650=EDGE_CURVE('',#68090,#68088,#29686,.T.); #85651=EDGE_CURVE('',#68090,#68091,#29687,.T.); #85652=EDGE_CURVE('',#68091,#68089,#29688,.T.); #85653=EDGE_CURVE('',#68092,#68090,#59153,.T.); #85654=EDGE_CURVE('',#68092,#68093,#29689,.T.); #85655=EDGE_CURVE('',#68093,#68091,#59154,.T.); #85656=EDGE_CURVE('',#68094,#68092,#29690,.T.); #85657=EDGE_CURVE('',#68094,#68095,#29691,.T.); #85658=EDGE_CURVE('',#68095,#68093,#29692,.T.); #85659=EDGE_CURVE('',#68096,#68094,#29693,.T.); #85660=EDGE_CURVE('',#68096,#68097,#29694,.T.); #85661=EDGE_CURVE('',#68097,#68095,#29695,.T.); #85662=EDGE_CURVE('',#68098,#68096,#59155,.T.); #85663=EDGE_CURVE('',#68098,#68099,#29696,.T.); #85664=EDGE_CURVE('',#68099,#68097,#59156,.T.); #85665=EDGE_CURVE('',#68100,#68098,#59157,.T.); #85666=EDGE_CURVE('',#68100,#68101,#29697,.T.); #85667=EDGE_CURVE('',#68101,#68099,#59158,.T.); #85668=EDGE_CURVE('',#68102,#68100,#59159,.T.); #85669=EDGE_CURVE('',#68102,#68103,#29698,.T.); #85670=EDGE_CURVE('',#68103,#68101,#59160,.T.); #85671=EDGE_CURVE('',#68104,#68102,#29699,.T.); #85672=EDGE_CURVE('',#68104,#68105,#29700,.T.); #85673=EDGE_CURVE('',#68105,#68103,#29701,.T.); #85674=EDGE_CURVE('',#68106,#68104,#29702,.T.); #85675=EDGE_CURVE('',#68106,#68107,#29703,.T.); #85676=EDGE_CURVE('',#68107,#68105,#29704,.T.); #85677=EDGE_CURVE('',#68108,#68106,#29705,.T.); #85678=EDGE_CURVE('',#68108,#68109,#29706,.T.); #85679=EDGE_CURVE('',#68109,#68107,#29707,.T.); #85680=EDGE_CURVE('',#68110,#68108,#59161,.T.); #85681=EDGE_CURVE('',#68110,#68111,#29708,.T.); #85682=EDGE_CURVE('',#68111,#68109,#59162,.T.); #85683=EDGE_CURVE('',#67849,#68110,#59163,.T.); #85684=EDGE_CURVE('',#67851,#68111,#59164,.T.); #85685=EDGE_CURVE('',#68112,#68113,#59165,.T.); #85686=EDGE_CURVE('',#68112,#68114,#29709,.T.); #85687=EDGE_CURVE('',#68114,#68115,#59166,.T.); #85688=EDGE_CURVE('',#68113,#68115,#29710,.T.); #85689=EDGE_CURVE('',#68116,#68112,#59167,.T.); #85690=EDGE_CURVE('',#68116,#68117,#29711,.T.); #85691=EDGE_CURVE('',#68117,#68114,#59168,.T.); #85692=EDGE_CURVE('',#68118,#68116,#59169,.T.); #85693=EDGE_CURVE('',#68118,#68119,#29712,.T.); #85694=EDGE_CURVE('',#68119,#68117,#59170,.T.); #85695=EDGE_CURVE('',#68120,#68118,#59171,.T.); #85696=EDGE_CURVE('',#68120,#68121,#29713,.T.); #85697=EDGE_CURVE('',#68121,#68119,#59172,.T.); #85698=EDGE_CURVE('',#68122,#68120,#59173,.T.); #85699=EDGE_CURVE('',#68122,#68123,#29714,.T.); #85700=EDGE_CURVE('',#68123,#68121,#59174,.T.); #85701=EDGE_CURVE('',#68124,#68122,#29715,.T.); #85702=EDGE_CURVE('',#68124,#68125,#29716,.T.); #85703=EDGE_CURVE('',#68125,#68123,#29717,.T.); #85704=EDGE_CURVE('',#68126,#68124,#59175,.T.); #85705=EDGE_CURVE('',#68126,#68127,#29718,.T.); #85706=EDGE_CURVE('',#68127,#68125,#59176,.T.); #85707=EDGE_CURVE('',#68128,#68126,#59177,.T.); #85708=EDGE_CURVE('',#68128,#68129,#29719,.T.); #85709=EDGE_CURVE('',#68129,#68127,#59178,.T.); #85710=EDGE_CURVE('',#68130,#68128,#59179,.T.); #85711=EDGE_CURVE('',#68130,#68131,#29720,.T.); #85712=EDGE_CURVE('',#68131,#68129,#59180,.T.); #85713=EDGE_CURVE('',#68132,#68130,#59181,.T.); #85714=EDGE_CURVE('',#68132,#68133,#29721,.T.); #85715=EDGE_CURVE('',#68133,#68131,#59182,.T.); #85716=EDGE_CURVE('',#68134,#68132,#59183,.T.); #85717=EDGE_CURVE('',#68134,#68135,#29722,.T.); #85718=EDGE_CURVE('',#68135,#68133,#59184,.T.); #85719=EDGE_CURVE('',#68136,#68134,#29723,.T.); #85720=EDGE_CURVE('',#68136,#68137,#29724,.T.); #85721=EDGE_CURVE('',#68137,#68135,#29725,.T.); #85722=EDGE_CURVE('',#68138,#68136,#59185,.T.); #85723=EDGE_CURVE('',#68138,#68139,#29726,.T.); #85724=EDGE_CURVE('',#68139,#68137,#59186,.T.); #85725=EDGE_CURVE('',#68140,#68138,#29727,.T.); #85726=EDGE_CURVE('',#68140,#68141,#29728,.T.); #85727=EDGE_CURVE('',#68141,#68139,#29729,.T.); #85728=EDGE_CURVE('',#68142,#68140,#29730,.T.); #85729=EDGE_CURVE('',#68142,#68143,#29731,.T.); #85730=EDGE_CURVE('',#68143,#68141,#29732,.T.); #85731=EDGE_CURVE('',#68144,#68142,#29733,.T.); #85732=EDGE_CURVE('',#68144,#68145,#29734,.T.); #85733=EDGE_CURVE('',#68145,#68143,#29735,.T.); #85734=EDGE_CURVE('',#68146,#68144,#59187,.T.); #85735=EDGE_CURVE('',#68146,#68147,#29736,.T.); #85736=EDGE_CURVE('',#68147,#68145,#59188,.T.); #85737=EDGE_CURVE('',#68148,#68146,#59189,.T.); #85738=EDGE_CURVE('',#68148,#68149,#29737,.T.); #85739=EDGE_CURVE('',#68149,#68147,#59190,.T.); #85740=EDGE_CURVE('',#68150,#68148,#59191,.T.); #85741=EDGE_CURVE('',#68150,#68151,#29738,.T.); #85742=EDGE_CURVE('',#68151,#68149,#59192,.T.); #85743=EDGE_CURVE('',#68152,#68150,#59193,.T.); #85744=EDGE_CURVE('',#68152,#68153,#29739,.T.); #85745=EDGE_CURVE('',#68153,#68151,#59194,.T.); #85746=EDGE_CURVE('',#68154,#68152,#59195,.T.); #85747=EDGE_CURVE('',#68154,#68155,#29740,.T.); #85748=EDGE_CURVE('',#68155,#68153,#59196,.T.); #85749=EDGE_CURVE('',#68156,#68154,#59197,.T.); #85750=EDGE_CURVE('',#68156,#68157,#29741,.T.); #85751=EDGE_CURVE('',#68157,#68155,#59198,.T.); #85752=EDGE_CURVE('',#68158,#68156,#29742,.T.); #85753=EDGE_CURVE('',#68158,#68159,#29743,.T.); #85754=EDGE_CURVE('',#68159,#68157,#29744,.T.); #85755=EDGE_CURVE('',#68160,#68158,#29745,.T.); #85756=EDGE_CURVE('',#68160,#68161,#29746,.T.); #85757=EDGE_CURVE('',#68161,#68159,#29747,.T.); #85758=EDGE_CURVE('',#68162,#68160,#59199,.T.); #85759=EDGE_CURVE('',#68162,#68163,#29748,.T.); #85760=EDGE_CURVE('',#68163,#68161,#59200,.T.); #85761=EDGE_CURVE('',#68164,#68162,#29749,.T.); #85762=EDGE_CURVE('',#68164,#68165,#29750,.T.); #85763=EDGE_CURVE('',#68165,#68163,#29751,.T.); #85764=EDGE_CURVE('',#68166,#68164,#59201,.T.); #85765=EDGE_CURVE('',#68166,#68167,#29752,.T.); #85766=EDGE_CURVE('',#68167,#68165,#59202,.T.); #85767=EDGE_CURVE('',#68168,#68166,#59203,.T.); #85768=EDGE_CURVE('',#68168,#68169,#29753,.T.); #85769=EDGE_CURVE('',#68169,#68167,#59204,.T.); #85770=EDGE_CURVE('',#68170,#68168,#59205,.T.); #85771=EDGE_CURVE('',#68170,#68171,#29754,.T.); #85772=EDGE_CURVE('',#68171,#68169,#59206,.T.); #85773=EDGE_CURVE('',#68172,#68170,#59207,.T.); #85774=EDGE_CURVE('',#68172,#68173,#29755,.T.); #85775=EDGE_CURVE('',#68173,#68171,#59208,.T.); #85776=EDGE_CURVE('',#68174,#68172,#29756,.T.); #85777=EDGE_CURVE('',#68174,#68175,#29757,.T.); #85778=EDGE_CURVE('',#68175,#68173,#29758,.T.); #85779=EDGE_CURVE('',#68176,#68174,#59209,.T.); #85780=EDGE_CURVE('',#68176,#68177,#29759,.T.); #85781=EDGE_CURVE('',#68177,#68175,#59210,.T.); #85782=EDGE_CURVE('',#68178,#68176,#29760,.T.); #85783=EDGE_CURVE('',#68178,#68179,#29761,.T.); #85784=EDGE_CURVE('',#68179,#68177,#29762,.T.); #85785=EDGE_CURVE('',#68180,#68178,#59211,.T.); #85786=EDGE_CURVE('',#68180,#68181,#29763,.T.); #85787=EDGE_CURVE('',#68181,#68179,#59212,.T.); #85788=EDGE_CURVE('',#68182,#68180,#59213,.T.); #85789=EDGE_CURVE('',#68182,#68183,#29764,.T.); #85790=EDGE_CURVE('',#68183,#68181,#59214,.T.); #85791=EDGE_CURVE('',#68184,#68182,#29765,.T.); #85792=EDGE_CURVE('',#68184,#68185,#29766,.T.); #85793=EDGE_CURVE('',#68185,#68183,#29767,.T.); #85794=EDGE_CURVE('',#68186,#68184,#59215,.T.); #85795=EDGE_CURVE('',#68186,#68187,#29768,.T.); #85796=EDGE_CURVE('',#68187,#68185,#59216,.T.); #85797=EDGE_CURVE('',#68113,#68186,#59217,.T.); #85798=EDGE_CURVE('',#68115,#68187,#59218,.T.); #85799=EDGE_CURVE('',#68188,#68189,#29769,.T.); #85800=EDGE_CURVE('',#68188,#68190,#29770,.T.); #85801=EDGE_CURVE('',#68190,#68191,#29771,.T.); #85802=EDGE_CURVE('',#68189,#68191,#29772,.T.); #85803=EDGE_CURVE('',#68192,#68188,#59219,.T.); #85804=EDGE_CURVE('',#68192,#68193,#29773,.T.); #85805=EDGE_CURVE('',#68193,#68190,#59220,.T.); #85806=EDGE_CURVE('',#68194,#68192,#59221,.T.); #85807=EDGE_CURVE('',#68194,#68195,#29774,.T.); #85808=EDGE_CURVE('',#68195,#68193,#59222,.T.); #85809=EDGE_CURVE('',#68196,#68194,#29775,.T.); #85810=EDGE_CURVE('',#68196,#68197,#29776,.T.); #85811=EDGE_CURVE('',#68197,#68195,#29777,.T.); #85812=EDGE_CURVE('',#68198,#68196,#29778,.T.); #85813=EDGE_CURVE('',#68198,#68199,#29779,.T.); #85814=EDGE_CURVE('',#68199,#68197,#29780,.T.); #85815=EDGE_CURVE('',#68200,#68198,#29781,.T.); #85816=EDGE_CURVE('',#68200,#68201,#29782,.T.); #85817=EDGE_CURVE('',#68201,#68199,#29783,.T.); #85818=EDGE_CURVE('',#68202,#68200,#29784,.T.); #85819=EDGE_CURVE('',#68202,#68203,#29785,.T.); #85820=EDGE_CURVE('',#68203,#68201,#29786,.T.); #85821=EDGE_CURVE('',#68204,#68202,#29787,.T.); #85822=EDGE_CURVE('',#68204,#68205,#29788,.T.); #85823=EDGE_CURVE('',#68205,#68203,#29789,.T.); #85824=EDGE_CURVE('',#68206,#68204,#29790,.T.); #85825=EDGE_CURVE('',#68206,#68207,#29791,.T.); #85826=EDGE_CURVE('',#68207,#68205,#29792,.T.); #85827=EDGE_CURVE('',#68208,#68206,#29793,.T.); #85828=EDGE_CURVE('',#68208,#68209,#29794,.T.); #85829=EDGE_CURVE('',#68209,#68207,#29795,.T.); #85830=EDGE_CURVE('',#68210,#68208,#29796,.T.); #85831=EDGE_CURVE('',#68210,#68211,#29797,.T.); #85832=EDGE_CURVE('',#68211,#68209,#29798,.T.); #85833=EDGE_CURVE('',#68212,#68210,#29799,.T.); #85834=EDGE_CURVE('',#68212,#68213,#29800,.T.); #85835=EDGE_CURVE('',#68213,#68211,#29801,.T.); #85836=EDGE_CURVE('',#68214,#68212,#59223,.T.); #85837=EDGE_CURVE('',#68214,#68215,#29802,.T.); #85838=EDGE_CURVE('',#68215,#68213,#59224,.T.); #85839=EDGE_CURVE('',#68216,#68214,#29803,.T.); #85840=EDGE_CURVE('',#68216,#68217,#29804,.T.); #85841=EDGE_CURVE('',#68217,#68215,#29805,.T.); #85842=EDGE_CURVE('',#68218,#68216,#29806,.T.); #85843=EDGE_CURVE('',#68218,#68219,#29807,.T.); #85844=EDGE_CURVE('',#68219,#68217,#29808,.T.); #85845=EDGE_CURVE('',#68220,#68218,#29809,.T.); #85846=EDGE_CURVE('',#68220,#68221,#29810,.T.); #85847=EDGE_CURVE('',#68221,#68219,#29811,.T.); #85848=EDGE_CURVE('',#68222,#68220,#29812,.T.); #85849=EDGE_CURVE('',#68222,#68223,#29813,.T.); #85850=EDGE_CURVE('',#68223,#68221,#29814,.T.); #85851=EDGE_CURVE('',#68224,#68222,#29815,.T.); #85852=EDGE_CURVE('',#68224,#68225,#29816,.T.); #85853=EDGE_CURVE('',#68225,#68223,#29817,.T.); #85854=EDGE_CURVE('',#68226,#68224,#29818,.T.); #85855=EDGE_CURVE('',#68226,#68227,#29819,.T.); #85856=EDGE_CURVE('',#68227,#68225,#29820,.T.); #85857=EDGE_CURVE('',#68228,#68226,#29821,.T.); #85858=EDGE_CURVE('',#68228,#68229,#29822,.T.); #85859=EDGE_CURVE('',#68229,#68227,#29823,.T.); #85860=EDGE_CURVE('',#68230,#68228,#29824,.T.); #85861=EDGE_CURVE('',#68230,#68231,#29825,.T.); #85862=EDGE_CURVE('',#68231,#68229,#29826,.T.); #85863=EDGE_CURVE('',#68232,#68230,#29827,.T.); #85864=EDGE_CURVE('',#68232,#68233,#29828,.T.); #85865=EDGE_CURVE('',#68233,#68231,#29829,.T.); #85866=EDGE_CURVE('',#68189,#68232,#29830,.T.); #85867=EDGE_CURVE('',#68191,#68233,#29831,.T.); #85868=EDGE_CURVE('',#68234,#68235,#29832,.T.); #85869=EDGE_CURVE('',#68234,#68236,#29833,.T.); #85870=EDGE_CURVE('',#68236,#68237,#29834,.T.); #85871=EDGE_CURVE('',#68235,#68237,#29835,.T.); #85872=EDGE_CURVE('',#68238,#68234,#29836,.T.); #85873=EDGE_CURVE('',#68238,#68239,#29837,.T.); #85874=EDGE_CURVE('',#68239,#68236,#29838,.T.); #85875=EDGE_CURVE('',#68240,#68238,#59225,.T.); #85876=EDGE_CURVE('',#68240,#68241,#29839,.T.); #85877=EDGE_CURVE('',#68241,#68239,#59226,.T.); #85878=EDGE_CURVE('',#68242,#68240,#29840,.T.); #85879=EDGE_CURVE('',#68242,#68243,#29841,.T.); #85880=EDGE_CURVE('',#68243,#68241,#29842,.T.); #85881=EDGE_CURVE('',#68244,#68242,#29843,.T.); #85882=EDGE_CURVE('',#68244,#68245,#29844,.T.); #85883=EDGE_CURVE('',#68245,#68243,#29845,.T.); #85884=EDGE_CURVE('',#68246,#68244,#29846,.T.); #85885=EDGE_CURVE('',#68246,#68247,#29847,.T.); #85886=EDGE_CURVE('',#68247,#68245,#29848,.T.); #85887=EDGE_CURVE('',#68248,#68246,#29849,.T.); #85888=EDGE_CURVE('',#68248,#68249,#29850,.T.); #85889=EDGE_CURVE('',#68249,#68247,#29851,.T.); #85890=EDGE_CURVE('',#68250,#68248,#29852,.T.); #85891=EDGE_CURVE('',#68250,#68251,#29853,.T.); #85892=EDGE_CURVE('',#68251,#68249,#29854,.T.); #85893=EDGE_CURVE('',#68252,#68250,#29855,.T.); #85894=EDGE_CURVE('',#68252,#68253,#29856,.T.); #85895=EDGE_CURVE('',#68253,#68251,#29857,.T.); #85896=EDGE_CURVE('',#68254,#68252,#29858,.T.); #85897=EDGE_CURVE('',#68254,#68255,#29859,.T.); #85898=EDGE_CURVE('',#68255,#68253,#29860,.T.); #85899=EDGE_CURVE('',#68256,#68254,#29861,.T.); #85900=EDGE_CURVE('',#68256,#68257,#29862,.T.); #85901=EDGE_CURVE('',#68257,#68255,#29863,.T.); #85902=EDGE_CURVE('',#68258,#68256,#59227,.T.); #85903=EDGE_CURVE('',#68258,#68259,#29864,.T.); #85904=EDGE_CURVE('',#68259,#68257,#59228,.T.); #85905=EDGE_CURVE('',#68260,#68258,#29865,.T.); #85906=EDGE_CURVE('',#68260,#68261,#29866,.T.); #85907=EDGE_CURVE('',#68261,#68259,#29867,.T.); #85908=EDGE_CURVE('',#68262,#68260,#29868,.T.); #85909=EDGE_CURVE('',#68262,#68263,#29869,.T.); #85910=EDGE_CURVE('',#68263,#68261,#29870,.T.); #85911=EDGE_CURVE('',#68264,#68262,#29871,.T.); #85912=EDGE_CURVE('',#68264,#68265,#29872,.T.); #85913=EDGE_CURVE('',#68265,#68263,#29873,.T.); #85914=EDGE_CURVE('',#68266,#68264,#59229,.T.); #85915=EDGE_CURVE('',#68266,#68267,#29874,.T.); #85916=EDGE_CURVE('',#68267,#68265,#59230,.T.); #85917=EDGE_CURVE('',#68268,#68266,#59231,.T.); #85918=EDGE_CURVE('',#68268,#68269,#29875,.T.); #85919=EDGE_CURVE('',#68269,#68267,#59232,.T.); #85920=EDGE_CURVE('',#68270,#68268,#29876,.T.); #85921=EDGE_CURVE('',#68270,#68271,#29877,.T.); #85922=EDGE_CURVE('',#68271,#68269,#29878,.T.); #85923=EDGE_CURVE('',#68272,#68270,#59233,.T.); #85924=EDGE_CURVE('',#68272,#68273,#29879,.T.); #85925=EDGE_CURVE('',#68273,#68271,#59234,.T.); #85926=EDGE_CURVE('',#68274,#68272,#29880,.T.); #85927=EDGE_CURVE('',#68274,#68275,#29881,.T.); #85928=EDGE_CURVE('',#68275,#68273,#29882,.T.); #85929=EDGE_CURVE('',#68276,#68274,#29883,.T.); #85930=EDGE_CURVE('',#68276,#68277,#29884,.T.); #85931=EDGE_CURVE('',#68277,#68275,#29885,.T.); #85932=EDGE_CURVE('',#68278,#68276,#29886,.T.); #85933=EDGE_CURVE('',#68278,#68279,#29887,.T.); #85934=EDGE_CURVE('',#68279,#68277,#29888,.T.); #85935=EDGE_CURVE('',#68280,#68278,#59235,.T.); #85936=EDGE_CURVE('',#68280,#68281,#29889,.T.); #85937=EDGE_CURVE('',#68281,#68279,#59236,.T.); #85938=EDGE_CURVE('',#68282,#68280,#29890,.T.); #85939=EDGE_CURVE('',#68282,#68283,#29891,.T.); #85940=EDGE_CURVE('',#68283,#68281,#29892,.T.); #85941=EDGE_CURVE('',#68284,#68282,#29893,.T.); #85942=EDGE_CURVE('',#68284,#68285,#29894,.T.); #85943=EDGE_CURVE('',#68285,#68283,#29895,.T.); #85944=EDGE_CURVE('',#68286,#68284,#29896,.T.); #85945=EDGE_CURVE('',#68286,#68287,#29897,.T.); #85946=EDGE_CURVE('',#68287,#68285,#29898,.T.); #85947=EDGE_CURVE('',#68288,#68286,#29899,.T.); #85948=EDGE_CURVE('',#68288,#68289,#29900,.T.); #85949=EDGE_CURVE('',#68289,#68287,#29901,.T.); #85950=EDGE_CURVE('',#68290,#68288,#59237,.T.); #85951=EDGE_CURVE('',#68290,#68291,#29902,.T.); #85952=EDGE_CURVE('',#68291,#68289,#59238,.T.); #85953=EDGE_CURVE('',#68292,#68290,#59239,.T.); #85954=EDGE_CURVE('',#68292,#68293,#29903,.T.); #85955=EDGE_CURVE('',#68293,#68291,#59240,.T.); #85956=EDGE_CURVE('',#68294,#68292,#29904,.T.); #85957=EDGE_CURVE('',#68294,#68295,#29905,.T.); #85958=EDGE_CURVE('',#68295,#68293,#29906,.T.); #85959=EDGE_CURVE('',#68296,#68294,#29907,.T.); #85960=EDGE_CURVE('',#68296,#68297,#29908,.T.); #85961=EDGE_CURVE('',#68297,#68295,#29909,.T.); #85962=EDGE_CURVE('',#68298,#68296,#29910,.T.); #85963=EDGE_CURVE('',#68298,#68299,#29911,.T.); #85964=EDGE_CURVE('',#68299,#68297,#29912,.T.); #85965=EDGE_CURVE('',#68300,#68298,#29913,.T.); #85966=EDGE_CURVE('',#68300,#68301,#29914,.T.); #85967=EDGE_CURVE('',#68301,#68299,#29915,.T.); #85968=EDGE_CURVE('',#68302,#68300,#29916,.T.); #85969=EDGE_CURVE('',#68302,#68303,#29917,.T.); #85970=EDGE_CURVE('',#68303,#68301,#29918,.T.); #85971=EDGE_CURVE('',#68304,#68302,#29919,.T.); #85972=EDGE_CURVE('',#68304,#68305,#29920,.T.); #85973=EDGE_CURVE('',#68305,#68303,#29921,.T.); #85974=EDGE_CURVE('',#68306,#68304,#59241,.T.); #85975=EDGE_CURVE('',#68306,#68307,#29922,.T.); #85976=EDGE_CURVE('',#68307,#68305,#59242,.T.); #85977=EDGE_CURVE('',#68308,#68306,#29923,.T.); #85978=EDGE_CURVE('',#68308,#68309,#29924,.T.); #85979=EDGE_CURVE('',#68309,#68307,#29925,.T.); #85980=EDGE_CURVE('',#68310,#68308,#29926,.T.); #85981=EDGE_CURVE('',#68310,#68311,#29927,.T.); #85982=EDGE_CURVE('',#68311,#68309,#29928,.T.); #85983=EDGE_CURVE('',#68312,#68310,#29929,.T.); #85984=EDGE_CURVE('',#68312,#68313,#29930,.T.); #85985=EDGE_CURVE('',#68313,#68311,#29931,.T.); #85986=EDGE_CURVE('',#68314,#68312,#29932,.T.); #85987=EDGE_CURVE('',#68314,#68315,#29933,.T.); #85988=EDGE_CURVE('',#68315,#68313,#29934,.T.); #85989=EDGE_CURVE('',#68316,#68314,#59243,.T.); #85990=EDGE_CURVE('',#68316,#68317,#29935,.T.); #85991=EDGE_CURVE('',#68317,#68315,#59244,.T.); #85992=EDGE_CURVE('',#68318,#68316,#29936,.T.); #85993=EDGE_CURVE('',#68318,#68319,#29937,.T.); #85994=EDGE_CURVE('',#68319,#68317,#29938,.T.); #85995=EDGE_CURVE('',#68320,#68318,#59245,.T.); #85996=EDGE_CURVE('',#68320,#68321,#29939,.T.); #85997=EDGE_CURVE('',#68321,#68319,#59246,.T.); #85998=EDGE_CURVE('',#68322,#68320,#29940,.T.); #85999=EDGE_CURVE('',#68322,#68323,#29941,.T.); #86000=EDGE_CURVE('',#68323,#68321,#29942,.T.); #86001=EDGE_CURVE('',#68324,#68322,#29943,.T.); #86002=EDGE_CURVE('',#68324,#68325,#29944,.T.); #86003=EDGE_CURVE('',#68325,#68323,#29945,.T.); #86004=EDGE_CURVE('',#68326,#68324,#59247,.T.); #86005=EDGE_CURVE('',#68326,#68327,#29946,.T.); #86006=EDGE_CURVE('',#68327,#68325,#59248,.T.); #86007=EDGE_CURVE('',#68328,#68326,#59249,.T.); #86008=EDGE_CURVE('',#68328,#68329,#29947,.T.); #86009=EDGE_CURVE('',#68329,#68327,#59250,.T.); #86010=EDGE_CURVE('',#68330,#68328,#29948,.T.); #86011=EDGE_CURVE('',#68330,#68331,#29949,.T.); #86012=EDGE_CURVE('',#68331,#68329,#29950,.T.); #86013=EDGE_CURVE('',#68332,#68330,#29951,.T.); #86014=EDGE_CURVE('',#68332,#68333,#29952,.T.); #86015=EDGE_CURVE('',#68333,#68331,#29953,.T.); #86016=EDGE_CURVE('',#68334,#68332,#29954,.T.); #86017=EDGE_CURVE('',#68334,#68335,#29955,.T.); #86018=EDGE_CURVE('',#68335,#68333,#29956,.T.); #86019=EDGE_CURVE('',#68336,#68334,#29957,.T.); #86020=EDGE_CURVE('',#68336,#68337,#29958,.T.); #86021=EDGE_CURVE('',#68337,#68335,#29959,.T.); #86022=EDGE_CURVE('',#68338,#68336,#59251,.T.); #86023=EDGE_CURVE('',#68338,#68339,#29960,.T.); #86024=EDGE_CURVE('',#68339,#68337,#59252,.T.); #86025=EDGE_CURVE('',#68340,#68338,#29961,.T.); #86026=EDGE_CURVE('',#68340,#68341,#29962,.T.); #86027=EDGE_CURVE('',#68341,#68339,#29963,.T.); #86028=EDGE_CURVE('',#68342,#68340,#29964,.T.); #86029=EDGE_CURVE('',#68342,#68343,#29965,.T.); #86030=EDGE_CURVE('',#68343,#68341,#29966,.T.); #86031=EDGE_CURVE('',#68344,#68342,#29967,.T.); #86032=EDGE_CURVE('',#68344,#68345,#29968,.T.); #86033=EDGE_CURVE('',#68345,#68343,#29969,.T.); #86034=EDGE_CURVE('',#68346,#68344,#29970,.T.); #86035=EDGE_CURVE('',#68346,#68347,#29971,.T.); #86036=EDGE_CURVE('',#68347,#68345,#29972,.T.); #86037=EDGE_CURVE('',#68348,#68346,#29973,.T.); #86038=EDGE_CURVE('',#68348,#68349,#29974,.T.); #86039=EDGE_CURVE('',#68349,#68347,#29975,.T.); #86040=EDGE_CURVE('',#68350,#68348,#29976,.T.); #86041=EDGE_CURVE('',#68350,#68351,#29977,.T.); #86042=EDGE_CURVE('',#68351,#68349,#29978,.T.); #86043=EDGE_CURVE('',#68352,#68350,#29979,.T.); #86044=EDGE_CURVE('',#68352,#68353,#29980,.T.); #86045=EDGE_CURVE('',#68353,#68351,#29981,.T.); #86046=EDGE_CURVE('',#68354,#68352,#29982,.T.); #86047=EDGE_CURVE('',#68354,#68355,#29983,.T.); #86048=EDGE_CURVE('',#68355,#68353,#29984,.T.); #86049=EDGE_CURVE('',#68356,#68354,#29985,.T.); #86050=EDGE_CURVE('',#68356,#68357,#29986,.T.); #86051=EDGE_CURVE('',#68357,#68355,#29987,.T.); #86052=EDGE_CURVE('',#68358,#68356,#29988,.T.); #86053=EDGE_CURVE('',#68358,#68359,#29989,.T.); #86054=EDGE_CURVE('',#68359,#68357,#29990,.T.); #86055=EDGE_CURVE('',#68360,#68358,#29991,.T.); #86056=EDGE_CURVE('',#68360,#68361,#29992,.T.); #86057=EDGE_CURVE('',#68361,#68359,#29993,.T.); #86058=EDGE_CURVE('',#68362,#68360,#29994,.T.); #86059=EDGE_CURVE('',#68362,#68363,#29995,.T.); #86060=EDGE_CURVE('',#68363,#68361,#29996,.T.); #86061=EDGE_CURVE('',#68364,#68362,#29997,.T.); #86062=EDGE_CURVE('',#68364,#68365,#29998,.T.); #86063=EDGE_CURVE('',#68365,#68363,#29999,.T.); #86064=EDGE_CURVE('',#68366,#68364,#30000,.T.); #86065=EDGE_CURVE('',#68366,#68367,#30001,.T.); #86066=EDGE_CURVE('',#68367,#68365,#30002,.T.); #86067=EDGE_CURVE('',#68368,#68366,#30003,.T.); #86068=EDGE_CURVE('',#68368,#68369,#30004,.T.); #86069=EDGE_CURVE('',#68369,#68367,#30005,.T.); #86070=EDGE_CURVE('',#68370,#68368,#30006,.T.); #86071=EDGE_CURVE('',#68370,#68371,#30007,.T.); #86072=EDGE_CURVE('',#68371,#68369,#30008,.T.); #86073=EDGE_CURVE('',#68372,#68370,#59253,.T.); #86074=EDGE_CURVE('',#68372,#68373,#30009,.T.); #86075=EDGE_CURVE('',#68373,#68371,#59254,.T.); #86076=EDGE_CURVE('',#68374,#68372,#30010,.T.); #86077=EDGE_CURVE('',#68374,#68375,#30011,.T.); #86078=EDGE_CURVE('',#68375,#68373,#30012,.T.); #86079=EDGE_CURVE('',#68376,#68374,#59255,.T.); #86080=EDGE_CURVE('',#68376,#68377,#30013,.T.); #86081=EDGE_CURVE('',#68377,#68375,#59256,.T.); #86082=EDGE_CURVE('',#68378,#68376,#30014,.T.); #86083=EDGE_CURVE('',#68378,#68379,#30015,.T.); #86084=EDGE_CURVE('',#68379,#68377,#30016,.T.); #86085=EDGE_CURVE('',#68380,#68378,#30017,.T.); #86086=EDGE_CURVE('',#68380,#68381,#30018,.T.); #86087=EDGE_CURVE('',#68381,#68379,#30019,.T.); #86088=EDGE_CURVE('',#68382,#68380,#30020,.T.); #86089=EDGE_CURVE('',#68382,#68383,#30021,.T.); #86090=EDGE_CURVE('',#68383,#68381,#30022,.T.); #86091=EDGE_CURVE('',#68384,#68382,#30023,.T.); #86092=EDGE_CURVE('',#68384,#68385,#30024,.T.); #86093=EDGE_CURVE('',#68385,#68383,#30025,.T.); #86094=EDGE_CURVE('',#68386,#68384,#59257,.T.); #86095=EDGE_CURVE('',#68386,#68387,#30026,.T.); #86096=EDGE_CURVE('',#68387,#68385,#59258,.T.); #86097=EDGE_CURVE('',#68388,#68386,#59259,.T.); #86098=EDGE_CURVE('',#68388,#68389,#30027,.T.); #86099=EDGE_CURVE('',#68389,#68387,#59260,.T.); #86100=EDGE_CURVE('',#68390,#68388,#30028,.T.); #86101=EDGE_CURVE('',#68390,#68391,#30029,.T.); #86102=EDGE_CURVE('',#68391,#68389,#30030,.T.); #86103=EDGE_CURVE('',#68392,#68390,#30031,.T.); #86104=EDGE_CURVE('',#68392,#68393,#30032,.T.); #86105=EDGE_CURVE('',#68393,#68391,#30033,.T.); #86106=EDGE_CURVE('',#68394,#68392,#30034,.T.); #86107=EDGE_CURVE('',#68394,#68395,#30035,.T.); #86108=EDGE_CURVE('',#68395,#68393,#30036,.T.); #86109=EDGE_CURVE('',#68396,#68394,#30037,.T.); #86110=EDGE_CURVE('',#68396,#68397,#30038,.T.); #86111=EDGE_CURVE('',#68397,#68395,#30039,.T.); #86112=EDGE_CURVE('',#68398,#68396,#30040,.T.); #86113=EDGE_CURVE('',#68398,#68399,#30041,.T.); #86114=EDGE_CURVE('',#68399,#68397,#30042,.T.); #86115=EDGE_CURVE('',#68400,#68398,#59261,.T.); #86116=EDGE_CURVE('',#68400,#68401,#30043,.T.); #86117=EDGE_CURVE('',#68401,#68399,#59262,.T.); #86118=EDGE_CURVE('',#68402,#68400,#30044,.T.); #86119=EDGE_CURVE('',#68402,#68403,#30045,.T.); #86120=EDGE_CURVE('',#68403,#68401,#30046,.T.); #86121=EDGE_CURVE('',#68404,#68402,#30047,.T.); #86122=EDGE_CURVE('',#68404,#68405,#30048,.T.); #86123=EDGE_CURVE('',#68405,#68403,#30049,.T.); #86124=EDGE_CURVE('',#68406,#68404,#30050,.T.); #86125=EDGE_CURVE('',#68406,#68407,#30051,.T.); #86126=EDGE_CURVE('',#68407,#68405,#30052,.T.); #86127=EDGE_CURVE('',#68408,#68406,#30053,.T.); #86128=EDGE_CURVE('',#68408,#68409,#30054,.T.); #86129=EDGE_CURVE('',#68409,#68407,#30055,.T.); #86130=EDGE_CURVE('',#68410,#68408,#59263,.T.); #86131=EDGE_CURVE('',#68410,#68411,#30056,.T.); #86132=EDGE_CURVE('',#68411,#68409,#59264,.T.); #86133=EDGE_CURVE('',#68412,#68410,#59265,.T.); #86134=EDGE_CURVE('',#68412,#68413,#30057,.T.); #86135=EDGE_CURVE('',#68413,#68411,#59266,.T.); #86136=EDGE_CURVE('',#68414,#68412,#30058,.T.); #86137=EDGE_CURVE('',#68414,#68415,#30059,.T.); #86138=EDGE_CURVE('',#68415,#68413,#30060,.T.); #86139=EDGE_CURVE('',#68416,#68414,#30061,.T.); #86140=EDGE_CURVE('',#68416,#68417,#30062,.T.); #86141=EDGE_CURVE('',#68417,#68415,#30063,.T.); #86142=EDGE_CURVE('',#68418,#68416,#30064,.T.); #86143=EDGE_CURVE('',#68418,#68419,#30065,.T.); #86144=EDGE_CURVE('',#68419,#68417,#30066,.T.); #86145=EDGE_CURVE('',#68420,#68418,#30067,.T.); #86146=EDGE_CURVE('',#68420,#68421,#30068,.T.); #86147=EDGE_CURVE('',#68421,#68419,#30069,.T.); #86148=EDGE_CURVE('',#68422,#68420,#59267,.T.); #86149=EDGE_CURVE('',#68422,#68423,#30070,.T.); #86150=EDGE_CURVE('',#68423,#68421,#59268,.T.); #86151=EDGE_CURVE('',#68424,#68422,#30071,.T.); #86152=EDGE_CURVE('',#68424,#68425,#30072,.T.); #86153=EDGE_CURVE('',#68425,#68423,#30073,.T.); #86154=EDGE_CURVE('',#68426,#68424,#59269,.T.); #86155=EDGE_CURVE('',#68426,#68427,#30074,.T.); #86156=EDGE_CURVE('',#68427,#68425,#59270,.T.); #86157=EDGE_CURVE('',#68428,#68426,#30075,.T.); #86158=EDGE_CURVE('',#68428,#68429,#30076,.T.); #86159=EDGE_CURVE('',#68429,#68427,#30077,.T.); #86160=EDGE_CURVE('',#68430,#68428,#30078,.T.); #86161=EDGE_CURVE('',#68430,#68431,#30079,.T.); #86162=EDGE_CURVE('',#68431,#68429,#30080,.T.); #86163=EDGE_CURVE('',#68432,#68430,#30081,.T.); #86164=EDGE_CURVE('',#68432,#68433,#30082,.T.); #86165=EDGE_CURVE('',#68433,#68431,#30083,.T.); #86166=EDGE_CURVE('',#68434,#68432,#30084,.T.); #86167=EDGE_CURVE('',#68434,#68435,#30085,.T.); #86168=EDGE_CURVE('',#68435,#68433,#30086,.T.); #86169=EDGE_CURVE('',#68436,#68434,#30087,.T.); #86170=EDGE_CURVE('',#68436,#68437,#30088,.T.); #86171=EDGE_CURVE('',#68437,#68435,#30089,.T.); #86172=EDGE_CURVE('',#68438,#68436,#59271,.T.); #86173=EDGE_CURVE('',#68438,#68439,#30090,.T.); #86174=EDGE_CURVE('',#68439,#68437,#59272,.T.); #86175=EDGE_CURVE('',#68440,#68438,#59273,.T.); #86176=EDGE_CURVE('',#68440,#68441,#30091,.T.); #86177=EDGE_CURVE('',#68441,#68439,#59274,.T.); #86178=EDGE_CURVE('',#68442,#68440,#30092,.T.); #86179=EDGE_CURVE('',#68442,#68443,#30093,.T.); #86180=EDGE_CURVE('',#68443,#68441,#30094,.T.); #86181=EDGE_CURVE('',#68444,#68442,#30095,.T.); #86182=EDGE_CURVE('',#68444,#68445,#30096,.T.); #86183=EDGE_CURVE('',#68445,#68443,#30097,.T.); #86184=EDGE_CURVE('',#68446,#68444,#30098,.T.); #86185=EDGE_CURVE('',#68446,#68447,#30099,.T.); #86186=EDGE_CURVE('',#68447,#68445,#30100,.T.); #86187=EDGE_CURVE('',#68448,#68446,#30101,.T.); #86188=EDGE_CURVE('',#68448,#68449,#30102,.T.); #86189=EDGE_CURVE('',#68449,#68447,#30103,.T.); #86190=EDGE_CURVE('',#68450,#68448,#30104,.T.); #86191=EDGE_CURVE('',#68450,#68451,#30105,.T.); #86192=EDGE_CURVE('',#68451,#68449,#30106,.T.); #86193=EDGE_CURVE('',#68452,#68450,#30107,.T.); #86194=EDGE_CURVE('',#68452,#68453,#30108,.T.); #86195=EDGE_CURVE('',#68453,#68451,#30109,.T.); #86196=EDGE_CURVE('',#68454,#68452,#30110,.T.); #86197=EDGE_CURVE('',#68454,#68455,#30111,.T.); #86198=EDGE_CURVE('',#68455,#68453,#30112,.T.); #86199=EDGE_CURVE('',#68456,#68454,#30113,.T.); #86200=EDGE_CURVE('',#68456,#68457,#30114,.T.); #86201=EDGE_CURVE('',#68457,#68455,#30115,.T.); #86202=EDGE_CURVE('',#68458,#68456,#30116,.T.); #86203=EDGE_CURVE('',#68458,#68459,#30117,.T.); #86204=EDGE_CURVE('',#68459,#68457,#30118,.T.); #86205=EDGE_CURVE('',#68460,#68458,#30119,.T.); #86206=EDGE_CURVE('',#68460,#68461,#30120,.T.); #86207=EDGE_CURVE('',#68461,#68459,#30121,.T.); #86208=EDGE_CURVE('',#68462,#68460,#59275,.T.); #86209=EDGE_CURVE('',#68462,#68463,#30122,.T.); #86210=EDGE_CURVE('',#68463,#68461,#59276,.T.); #86211=EDGE_CURVE('',#68464,#68462,#59277,.T.); #86212=EDGE_CURVE('',#68464,#68465,#30123,.T.); #86213=EDGE_CURVE('',#68465,#68463,#59278,.T.); #86214=EDGE_CURVE('',#68466,#68464,#30124,.T.); #86215=EDGE_CURVE('',#68466,#68467,#30125,.T.); #86216=EDGE_CURVE('',#68467,#68465,#30126,.T.); #86217=EDGE_CURVE('',#68468,#68466,#30127,.T.); #86218=EDGE_CURVE('',#68468,#68469,#30128,.T.); #86219=EDGE_CURVE('',#68469,#68467,#30129,.T.); #86220=EDGE_CURVE('',#68470,#68468,#30130,.T.); #86221=EDGE_CURVE('',#68470,#68471,#30131,.T.); #86222=EDGE_CURVE('',#68471,#68469,#30132,.T.); #86223=EDGE_CURVE('',#68472,#68470,#30133,.T.); #86224=EDGE_CURVE('',#68472,#68473,#30134,.T.); #86225=EDGE_CURVE('',#68473,#68471,#30135,.T.); #86226=EDGE_CURVE('',#68474,#68472,#30136,.T.); #86227=EDGE_CURVE('',#68474,#68475,#30137,.T.); #86228=EDGE_CURVE('',#68475,#68473,#30138,.T.); #86229=EDGE_CURVE('',#68476,#68474,#30139,.T.); #86230=EDGE_CURVE('',#68476,#68477,#30140,.T.); #86231=EDGE_CURVE('',#68477,#68475,#30141,.T.); #86232=EDGE_CURVE('',#68235,#68476,#30142,.T.); #86233=EDGE_CURVE('',#68237,#68477,#30143,.T.); #86234=EDGE_CURVE('',#68478,#68479,#59279,.T.); #86235=EDGE_CURVE('',#68478,#68480,#30144,.T.); #86236=EDGE_CURVE('',#68480,#68481,#59280,.T.); #86237=EDGE_CURVE('',#68479,#68481,#30145,.T.); #86238=EDGE_CURVE('',#68482,#68478,#59281,.T.); #86239=EDGE_CURVE('',#68482,#68483,#30146,.T.); #86240=EDGE_CURVE('',#68483,#68480,#59282,.T.); #86241=EDGE_CURVE('',#68484,#68482,#30147,.T.); #86242=EDGE_CURVE('',#68484,#68485,#30148,.T.); #86243=EDGE_CURVE('',#68485,#68483,#30149,.T.); #86244=EDGE_CURVE('',#68486,#68484,#59283,.T.); #86245=EDGE_CURVE('',#68486,#68487,#30150,.T.); #86246=EDGE_CURVE('',#68487,#68485,#59284,.T.); #86247=EDGE_CURVE('',#68479,#68486,#59285,.T.); #86248=EDGE_CURVE('',#68481,#68487,#59286,.T.); #86249=EDGE_CURVE('',#68488,#68489,#30151,.T.); #86250=EDGE_CURVE('',#68488,#68490,#30152,.T.); #86251=EDGE_CURVE('',#68490,#68491,#30153,.T.); #86252=EDGE_CURVE('',#68489,#68491,#30154,.T.); #86253=EDGE_CURVE('',#68492,#68488,#59287,.T.); #86254=EDGE_CURVE('',#68492,#68493,#30155,.T.); #86255=EDGE_CURVE('',#68493,#68490,#59288,.T.); #86256=EDGE_CURVE('',#68494,#68492,#30156,.T.); #86257=EDGE_CURVE('',#68494,#68495,#30157,.T.); #86258=EDGE_CURVE('',#68495,#68493,#30158,.T.); #86259=EDGE_CURVE('',#68496,#68494,#30159,.T.); #86260=EDGE_CURVE('',#68496,#68497,#30160,.T.); #86261=EDGE_CURVE('',#68497,#68495,#30161,.T.); #86262=EDGE_CURVE('',#68498,#68496,#30162,.T.); #86263=EDGE_CURVE('',#68498,#68499,#30163,.T.); #86264=EDGE_CURVE('',#68499,#68497,#30164,.T.); #86265=EDGE_CURVE('',#68500,#68498,#30165,.T.); #86266=EDGE_CURVE('',#68500,#68501,#30166,.T.); #86267=EDGE_CURVE('',#68501,#68499,#30167,.T.); #86268=EDGE_CURVE('',#68502,#68500,#59289,.T.); #86269=EDGE_CURVE('',#68502,#68503,#30168,.T.); #86270=EDGE_CURVE('',#68503,#68501,#59290,.T.); #86271=EDGE_CURVE('',#68504,#68502,#30169,.T.); #86272=EDGE_CURVE('',#68504,#68505,#30170,.T.); #86273=EDGE_CURVE('',#68505,#68503,#30171,.T.); #86274=EDGE_CURVE('',#68506,#68504,#30172,.T.); #86275=EDGE_CURVE('',#68506,#68507,#30173,.T.); #86276=EDGE_CURVE('',#68507,#68505,#30174,.T.); #86277=EDGE_CURVE('',#68508,#68506,#30175,.T.); #86278=EDGE_CURVE('',#68508,#68509,#30176,.T.); #86279=EDGE_CURVE('',#68509,#68507,#30177,.T.); #86280=EDGE_CURVE('',#68510,#68508,#30178,.T.); #86281=EDGE_CURVE('',#68510,#68511,#30179,.T.); #86282=EDGE_CURVE('',#68511,#68509,#30180,.T.); #86283=EDGE_CURVE('',#68512,#68510,#30181,.T.); #86284=EDGE_CURVE('',#68512,#68513,#30182,.T.); #86285=EDGE_CURVE('',#68513,#68511,#30183,.T.); #86286=EDGE_CURVE('',#68514,#68512,#59291,.T.); #86287=EDGE_CURVE('',#68514,#68515,#30184,.T.); #86288=EDGE_CURVE('',#68515,#68513,#59292,.T.); #86289=EDGE_CURVE('',#68516,#68514,#30185,.T.); #86290=EDGE_CURVE('',#68516,#68517,#30186,.T.); #86291=EDGE_CURVE('',#68517,#68515,#30187,.T.); #86292=EDGE_CURVE('',#68518,#68516,#30188,.T.); #86293=EDGE_CURVE('',#68518,#68519,#30189,.T.); #86294=EDGE_CURVE('',#68519,#68517,#30190,.T.); #86295=EDGE_CURVE('',#68520,#68518,#30191,.T.); #86296=EDGE_CURVE('',#68520,#68521,#30192,.T.); #86297=EDGE_CURVE('',#68521,#68519,#30193,.T.); #86298=EDGE_CURVE('',#68522,#68520,#30194,.T.); #86299=EDGE_CURVE('',#68522,#68523,#30195,.T.); #86300=EDGE_CURVE('',#68523,#68521,#30196,.T.); #86301=EDGE_CURVE('',#68524,#68522,#30197,.T.); #86302=EDGE_CURVE('',#68524,#68525,#30198,.T.); #86303=EDGE_CURVE('',#68525,#68523,#30199,.T.); #86304=EDGE_CURVE('',#68526,#68524,#30200,.T.); #86305=EDGE_CURVE('',#68526,#68527,#30201,.T.); #86306=EDGE_CURVE('',#68527,#68525,#30202,.T.); #86307=EDGE_CURVE('',#68528,#68526,#30203,.T.); #86308=EDGE_CURVE('',#68528,#68529,#30204,.T.); #86309=EDGE_CURVE('',#68529,#68527,#30205,.T.); #86310=EDGE_CURVE('',#68530,#68528,#30206,.T.); #86311=EDGE_CURVE('',#68530,#68531,#30207,.T.); #86312=EDGE_CURVE('',#68531,#68529,#30208,.T.); #86313=EDGE_CURVE('',#68532,#68530,#30209,.T.); #86314=EDGE_CURVE('',#68532,#68533,#30210,.T.); #86315=EDGE_CURVE('',#68533,#68531,#30211,.T.); #86316=EDGE_CURVE('',#68534,#68532,#30212,.T.); #86317=EDGE_CURVE('',#68534,#68535,#30213,.T.); #86318=EDGE_CURVE('',#68535,#68533,#30214,.T.); #86319=EDGE_CURVE('',#68536,#68534,#30215,.T.); #86320=EDGE_CURVE('',#68536,#68537,#30216,.T.); #86321=EDGE_CURVE('',#68537,#68535,#30217,.T.); #86322=EDGE_CURVE('',#68538,#68536,#30218,.T.); #86323=EDGE_CURVE('',#68538,#68539,#30219,.T.); #86324=EDGE_CURVE('',#68539,#68537,#30220,.T.); #86325=EDGE_CURVE('',#68540,#68538,#59293,.T.); #86326=EDGE_CURVE('',#68540,#68541,#30221,.T.); #86327=EDGE_CURVE('',#68541,#68539,#59294,.T.); #86328=EDGE_CURVE('',#68542,#68540,#59295,.T.); #86329=EDGE_CURVE('',#68542,#68543,#30222,.T.); #86330=EDGE_CURVE('',#68543,#68541,#59296,.T.); #86331=EDGE_CURVE('',#68544,#68542,#30223,.T.); #86332=EDGE_CURVE('',#68544,#68545,#30224,.T.); #86333=EDGE_CURVE('',#68545,#68543,#30225,.T.); #86334=EDGE_CURVE('',#68546,#68544,#30226,.T.); #86335=EDGE_CURVE('',#68546,#68547,#30227,.T.); #86336=EDGE_CURVE('',#68547,#68545,#30228,.T.); #86337=EDGE_CURVE('',#68548,#68546,#30229,.T.); #86338=EDGE_CURVE('',#68548,#68549,#30230,.T.); #86339=EDGE_CURVE('',#68549,#68547,#30231,.T.); #86340=EDGE_CURVE('',#68550,#68548,#30232,.T.); #86341=EDGE_CURVE('',#68550,#68551,#30233,.T.); #86342=EDGE_CURVE('',#68551,#68549,#30234,.T.); #86343=EDGE_CURVE('',#68552,#68550,#59297,.T.); #86344=EDGE_CURVE('',#68552,#68553,#30235,.T.); #86345=EDGE_CURVE('',#68553,#68551,#59298,.T.); #86346=EDGE_CURVE('',#68554,#68552,#59299,.T.); #86347=EDGE_CURVE('',#68554,#68555,#30236,.T.); #86348=EDGE_CURVE('',#68555,#68553,#59300,.T.); #86349=EDGE_CURVE('',#68556,#68554,#59301,.T.); #86350=EDGE_CURVE('',#68556,#68557,#30237,.T.); #86351=EDGE_CURVE('',#68557,#68555,#59302,.T.); #86352=EDGE_CURVE('',#68558,#68556,#59303,.T.); #86353=EDGE_CURVE('',#68558,#68559,#30238,.T.); #86354=EDGE_CURVE('',#68559,#68557,#59304,.T.); #86355=EDGE_CURVE('',#68560,#68558,#59305,.T.); #86356=EDGE_CURVE('',#68560,#68561,#30239,.T.); #86357=EDGE_CURVE('',#68561,#68559,#59306,.T.); #86358=EDGE_CURVE('',#68562,#68560,#59307,.T.); #86359=EDGE_CURVE('',#68562,#68563,#30240,.T.); #86360=EDGE_CURVE('',#68563,#68561,#59308,.T.); #86361=EDGE_CURVE('',#68564,#68562,#30241,.T.); #86362=EDGE_CURVE('',#68564,#68565,#30242,.T.); #86363=EDGE_CURVE('',#68565,#68563,#30243,.T.); #86364=EDGE_CURVE('',#68566,#68564,#30244,.T.); #86365=EDGE_CURVE('',#68566,#68567,#30245,.T.); #86366=EDGE_CURVE('',#68567,#68565,#30246,.T.); #86367=EDGE_CURVE('',#68568,#68566,#30247,.T.); #86368=EDGE_CURVE('',#68568,#68569,#30248,.T.); #86369=EDGE_CURVE('',#68569,#68567,#30249,.T.); #86370=EDGE_CURVE('',#68570,#68568,#30250,.T.); #86371=EDGE_CURVE('',#68570,#68571,#30251,.T.); #86372=EDGE_CURVE('',#68571,#68569,#30252,.T.); #86373=EDGE_CURVE('',#68572,#68570,#59309,.T.); #86374=EDGE_CURVE('',#68572,#68573,#30253,.T.); #86375=EDGE_CURVE('',#68573,#68571,#59310,.T.); #86376=EDGE_CURVE('',#68574,#68572,#59311,.T.); #86377=EDGE_CURVE('',#68574,#68575,#30254,.T.); #86378=EDGE_CURVE('',#68575,#68573,#59312,.T.); #86379=EDGE_CURVE('',#68576,#68574,#30255,.T.); #86380=EDGE_CURVE('',#68576,#68577,#30256,.T.); #86381=EDGE_CURVE('',#68577,#68575,#30257,.T.); #86382=EDGE_CURVE('',#68578,#68576,#30258,.T.); #86383=EDGE_CURVE('',#68578,#68579,#30259,.T.); #86384=EDGE_CURVE('',#68579,#68577,#30260,.T.); #86385=EDGE_CURVE('',#68580,#68578,#30261,.T.); #86386=EDGE_CURVE('',#68580,#68581,#30262,.T.); #86387=EDGE_CURVE('',#68581,#68579,#30263,.T.); #86388=EDGE_CURVE('',#68582,#68580,#30264,.T.); #86389=EDGE_CURVE('',#68582,#68583,#30265,.T.); #86390=EDGE_CURVE('',#68583,#68581,#30266,.T.); #86391=EDGE_CURVE('',#68584,#68582,#30267,.T.); #86392=EDGE_CURVE('',#68584,#68585,#30268,.T.); #86393=EDGE_CURVE('',#68585,#68583,#30269,.T.); #86394=EDGE_CURVE('',#68586,#68584,#30270,.T.); #86395=EDGE_CURVE('',#68586,#68587,#30271,.T.); #86396=EDGE_CURVE('',#68587,#68585,#30272,.T.); #86397=EDGE_CURVE('',#68588,#68586,#30273,.T.); #86398=EDGE_CURVE('',#68588,#68589,#30274,.T.); #86399=EDGE_CURVE('',#68589,#68587,#30275,.T.); #86400=EDGE_CURVE('',#68590,#68588,#30276,.T.); #86401=EDGE_CURVE('',#68590,#68591,#30277,.T.); #86402=EDGE_CURVE('',#68591,#68589,#30278,.T.); #86403=EDGE_CURVE('',#68592,#68590,#30279,.T.); #86404=EDGE_CURVE('',#68592,#68593,#30280,.T.); #86405=EDGE_CURVE('',#68593,#68591,#30281,.T.); #86406=EDGE_CURVE('',#68594,#68592,#30282,.T.); #86407=EDGE_CURVE('',#68594,#68595,#30283,.T.); #86408=EDGE_CURVE('',#68595,#68593,#30284,.T.); #86409=EDGE_CURVE('',#68596,#68594,#30285,.T.); #86410=EDGE_CURVE('',#68596,#68597,#30286,.T.); #86411=EDGE_CURVE('',#68597,#68595,#30287,.T.); #86412=EDGE_CURVE('',#68598,#68596,#30288,.T.); #86413=EDGE_CURVE('',#68598,#68599,#30289,.T.); #86414=EDGE_CURVE('',#68599,#68597,#30290,.T.); #86415=EDGE_CURVE('',#68600,#68598,#30291,.T.); #86416=EDGE_CURVE('',#68600,#68601,#30292,.T.); #86417=EDGE_CURVE('',#68601,#68599,#30293,.T.); #86418=EDGE_CURVE('',#68489,#68600,#30294,.T.); #86419=EDGE_CURVE('',#68491,#68601,#30295,.T.); #86420=EDGE_CURVE('',#68602,#68603,#30296,.T.); #86421=EDGE_CURVE('',#68602,#68604,#30297,.T.); #86422=EDGE_CURVE('',#68604,#68605,#30298,.T.); #86423=EDGE_CURVE('',#68603,#68605,#30299,.T.); #86424=EDGE_CURVE('',#68606,#68602,#30300,.T.); #86425=EDGE_CURVE('',#68606,#68607,#30301,.T.); #86426=EDGE_CURVE('',#68607,#68604,#30302,.T.); #86427=EDGE_CURVE('',#68608,#68606,#30303,.T.); #86428=EDGE_CURVE('',#68608,#68609,#30304,.T.); #86429=EDGE_CURVE('',#68609,#68607,#30305,.T.); #86430=EDGE_CURVE('',#68610,#68608,#30306,.T.); #86431=EDGE_CURVE('',#68610,#68611,#30307,.T.); #86432=EDGE_CURVE('',#68611,#68609,#30308,.T.); #86433=EDGE_CURVE('',#68612,#68610,#30309,.T.); #86434=EDGE_CURVE('',#68612,#68613,#30310,.T.); #86435=EDGE_CURVE('',#68613,#68611,#30311,.T.); #86436=EDGE_CURVE('',#68614,#68612,#30312,.T.); #86437=EDGE_CURVE('',#68614,#68615,#30313,.T.); #86438=EDGE_CURVE('',#68615,#68613,#30314,.T.); #86439=EDGE_CURVE('',#68603,#68614,#59313,.T.); #86440=EDGE_CURVE('',#68605,#68615,#59314,.T.); #86441=EDGE_CURVE('',#68616,#68617,#59315,.T.); #86442=EDGE_CURVE('',#68616,#68618,#30315,.T.); #86443=EDGE_CURVE('',#68618,#68619,#59316,.T.); #86444=EDGE_CURVE('',#68617,#68619,#30316,.T.); #86445=EDGE_CURVE('',#68620,#68616,#59317,.T.); #86446=EDGE_CURVE('',#68620,#68621,#30317,.T.); #86447=EDGE_CURVE('',#68621,#68618,#59318,.T.); #86448=EDGE_CURVE('',#68622,#68620,#30318,.T.); #86449=EDGE_CURVE('',#68622,#68623,#30319,.T.); #86450=EDGE_CURVE('',#68623,#68621,#30320,.T.); #86451=EDGE_CURVE('',#68624,#68622,#30321,.T.); #86452=EDGE_CURVE('',#68624,#68625,#30322,.T.); #86453=EDGE_CURVE('',#68625,#68623,#30323,.T.); #86454=EDGE_CURVE('',#68626,#68624,#30324,.T.); #86455=EDGE_CURVE('',#68626,#68627,#30325,.T.); #86456=EDGE_CURVE('',#68627,#68625,#30326,.T.); #86457=EDGE_CURVE('',#68628,#68626,#30327,.T.); #86458=EDGE_CURVE('',#68628,#68629,#30328,.T.); #86459=EDGE_CURVE('',#68629,#68627,#30329,.T.); #86460=EDGE_CURVE('',#68630,#68628,#30330,.T.); #86461=EDGE_CURVE('',#68630,#68631,#30331,.T.); #86462=EDGE_CURVE('',#68631,#68629,#30332,.T.); #86463=EDGE_CURVE('',#68632,#68630,#30333,.T.); #86464=EDGE_CURVE('',#68632,#68633,#30334,.T.); #86465=EDGE_CURVE('',#68633,#68631,#30335,.T.); #86466=EDGE_CURVE('',#68634,#68632,#30336,.T.); #86467=EDGE_CURVE('',#68634,#68635,#30337,.T.); #86468=EDGE_CURVE('',#68635,#68633,#30338,.T.); #86469=EDGE_CURVE('',#68636,#68634,#30339,.T.); #86470=EDGE_CURVE('',#68636,#68637,#30340,.T.); #86471=EDGE_CURVE('',#68637,#68635,#30341,.T.); #86472=EDGE_CURVE('',#68638,#68636,#30342,.T.); #86473=EDGE_CURVE('',#68638,#68639,#30343,.T.); #86474=EDGE_CURVE('',#68639,#68637,#30344,.T.); #86475=EDGE_CURVE('',#68640,#68638,#30345,.T.); #86476=EDGE_CURVE('',#68640,#68641,#30346,.T.); #86477=EDGE_CURVE('',#68641,#68639,#30347,.T.); #86478=EDGE_CURVE('',#68642,#68640,#30348,.T.); #86479=EDGE_CURVE('',#68642,#68643,#30349,.T.); #86480=EDGE_CURVE('',#68643,#68641,#30350,.T.); #86481=EDGE_CURVE('',#68644,#68642,#30351,.T.); #86482=EDGE_CURVE('',#68644,#68645,#30352,.T.); #86483=EDGE_CURVE('',#68645,#68643,#30353,.T.); #86484=EDGE_CURVE('',#68646,#68644,#30354,.T.); #86485=EDGE_CURVE('',#68646,#68647,#30355,.T.); #86486=EDGE_CURVE('',#68647,#68645,#30356,.T.); #86487=EDGE_CURVE('',#68648,#68646,#30357,.T.); #86488=EDGE_CURVE('',#68648,#68649,#30358,.T.); #86489=EDGE_CURVE('',#68649,#68647,#30359,.T.); #86490=EDGE_CURVE('',#68650,#68648,#30360,.T.); #86491=EDGE_CURVE('',#68650,#68651,#30361,.T.); #86492=EDGE_CURVE('',#68651,#68649,#30362,.T.); #86493=EDGE_CURVE('',#68652,#68650,#30363,.T.); #86494=EDGE_CURVE('',#68652,#68653,#30364,.T.); #86495=EDGE_CURVE('',#68653,#68651,#30365,.T.); #86496=EDGE_CURVE('',#68654,#68652,#30366,.T.); #86497=EDGE_CURVE('',#68654,#68655,#30367,.T.); #86498=EDGE_CURVE('',#68655,#68653,#30368,.T.); #86499=EDGE_CURVE('',#68656,#68654,#30369,.T.); #86500=EDGE_CURVE('',#68656,#68657,#30370,.T.); #86501=EDGE_CURVE('',#68657,#68655,#30371,.T.); #86502=EDGE_CURVE('',#68658,#68656,#30372,.T.); #86503=EDGE_CURVE('',#68658,#68659,#30373,.T.); #86504=EDGE_CURVE('',#68659,#68657,#30374,.T.); #86505=EDGE_CURVE('',#68660,#68658,#30375,.T.); #86506=EDGE_CURVE('',#68660,#68661,#30376,.T.); #86507=EDGE_CURVE('',#68661,#68659,#30377,.T.); #86508=EDGE_CURVE('',#68662,#68660,#30378,.T.); #86509=EDGE_CURVE('',#68662,#68663,#30379,.T.); #86510=EDGE_CURVE('',#68663,#68661,#30380,.T.); #86511=EDGE_CURVE('',#68664,#68662,#30381,.T.); #86512=EDGE_CURVE('',#68664,#68665,#30382,.T.); #86513=EDGE_CURVE('',#68665,#68663,#30383,.T.); #86514=EDGE_CURVE('',#68666,#68664,#30384,.T.); #86515=EDGE_CURVE('',#68666,#68667,#30385,.T.); #86516=EDGE_CURVE('',#68667,#68665,#30386,.T.); #86517=EDGE_CURVE('',#68668,#68666,#59319,.T.); #86518=EDGE_CURVE('',#68668,#68669,#30387,.T.); #86519=EDGE_CURVE('',#68669,#68667,#59320,.T.); #86520=EDGE_CURVE('',#68670,#68668,#30388,.T.); #86521=EDGE_CURVE('',#68670,#68671,#30389,.T.); #86522=EDGE_CURVE('',#68671,#68669,#30390,.T.); #86523=EDGE_CURVE('',#68672,#68670,#30391,.T.); #86524=EDGE_CURVE('',#68672,#68673,#30392,.T.); #86525=EDGE_CURVE('',#68673,#68671,#30393,.T.); #86526=EDGE_CURVE('',#68674,#68672,#30394,.T.); #86527=EDGE_CURVE('',#68674,#68675,#30395,.T.); #86528=EDGE_CURVE('',#68675,#68673,#30396,.T.); #86529=EDGE_CURVE('',#68676,#68674,#30397,.T.); #86530=EDGE_CURVE('',#68676,#68677,#30398,.T.); #86531=EDGE_CURVE('',#68677,#68675,#30399,.T.); #86532=EDGE_CURVE('',#68678,#68676,#30400,.T.); #86533=EDGE_CURVE('',#68678,#68679,#30401,.T.); #86534=EDGE_CURVE('',#68679,#68677,#30402,.T.); #86535=EDGE_CURVE('',#68680,#68678,#30403,.T.); #86536=EDGE_CURVE('',#68680,#68681,#30404,.T.); #86537=EDGE_CURVE('',#68681,#68679,#30405,.T.); #86538=EDGE_CURVE('',#68682,#68680,#30406,.T.); #86539=EDGE_CURVE('',#68682,#68683,#30407,.T.); #86540=EDGE_CURVE('',#68683,#68681,#30408,.T.); #86541=EDGE_CURVE('',#68684,#68682,#30409,.T.); #86542=EDGE_CURVE('',#68684,#68685,#30410,.T.); #86543=EDGE_CURVE('',#68685,#68683,#30411,.T.); #86544=EDGE_CURVE('',#68686,#68684,#30412,.T.); #86545=EDGE_CURVE('',#68686,#68687,#30413,.T.); #86546=EDGE_CURVE('',#68687,#68685,#30414,.T.); #86547=EDGE_CURVE('',#68688,#68686,#30415,.T.); #86548=EDGE_CURVE('',#68688,#68689,#30416,.T.); #86549=EDGE_CURVE('',#68689,#68687,#30417,.T.); #86550=EDGE_CURVE('',#68690,#68688,#30418,.T.); #86551=EDGE_CURVE('',#68690,#68691,#30419,.T.); #86552=EDGE_CURVE('',#68691,#68689,#30420,.T.); #86553=EDGE_CURVE('',#68692,#68690,#30421,.T.); #86554=EDGE_CURVE('',#68692,#68693,#30422,.T.); #86555=EDGE_CURVE('',#68693,#68691,#30423,.T.); #86556=EDGE_CURVE('',#68694,#68692,#30424,.T.); #86557=EDGE_CURVE('',#68694,#68695,#30425,.T.); #86558=EDGE_CURVE('',#68695,#68693,#30426,.T.); #86559=EDGE_CURVE('',#68696,#68694,#30427,.T.); #86560=EDGE_CURVE('',#68696,#68697,#30428,.T.); #86561=EDGE_CURVE('',#68697,#68695,#30429,.T.); #86562=EDGE_CURVE('',#68698,#68696,#30430,.T.); #86563=EDGE_CURVE('',#68698,#68699,#30431,.T.); #86564=EDGE_CURVE('',#68699,#68697,#30432,.T.); #86565=EDGE_CURVE('',#68700,#68698,#30433,.T.); #86566=EDGE_CURVE('',#68700,#68701,#30434,.T.); #86567=EDGE_CURVE('',#68701,#68699,#30435,.T.); #86568=EDGE_CURVE('',#68702,#68700,#30436,.T.); #86569=EDGE_CURVE('',#68702,#68703,#30437,.T.); #86570=EDGE_CURVE('',#68703,#68701,#30438,.T.); #86571=EDGE_CURVE('',#68704,#68702,#30439,.T.); #86572=EDGE_CURVE('',#68704,#68705,#30440,.T.); #86573=EDGE_CURVE('',#68705,#68703,#30441,.T.); #86574=EDGE_CURVE('',#68706,#68704,#30442,.T.); #86575=EDGE_CURVE('',#68706,#68707,#30443,.T.); #86576=EDGE_CURVE('',#68707,#68705,#30444,.T.); #86577=EDGE_CURVE('',#68708,#68706,#30445,.T.); #86578=EDGE_CURVE('',#68708,#68709,#30446,.T.); #86579=EDGE_CURVE('',#68709,#68707,#30447,.T.); #86580=EDGE_CURVE('',#68710,#68708,#59321,.T.); #86581=EDGE_CURVE('',#68710,#68711,#30448,.T.); #86582=EDGE_CURVE('',#68711,#68709,#59322,.T.); #86583=EDGE_CURVE('',#68712,#68710,#30449,.T.); #86584=EDGE_CURVE('',#68712,#68713,#30450,.T.); #86585=EDGE_CURVE('',#68713,#68711,#30451,.T.); #86586=EDGE_CURVE('',#68714,#68712,#30452,.T.); #86587=EDGE_CURVE('',#68714,#68715,#30453,.T.); #86588=EDGE_CURVE('',#68715,#68713,#30454,.T.); #86589=EDGE_CURVE('',#68716,#68714,#30455,.T.); #86590=EDGE_CURVE('',#68716,#68717,#30456,.T.); #86591=EDGE_CURVE('',#68717,#68715,#30457,.T.); #86592=EDGE_CURVE('',#68718,#68716,#59323,.T.); #86593=EDGE_CURVE('',#68718,#68719,#30458,.T.); #86594=EDGE_CURVE('',#68719,#68717,#59324,.T.); #86595=EDGE_CURVE('',#68720,#68718,#30459,.T.); #86596=EDGE_CURVE('',#68720,#68721,#30460,.T.); #86597=EDGE_CURVE('',#68721,#68719,#30461,.T.); #86598=EDGE_CURVE('',#68722,#68720,#59325,.T.); #86599=EDGE_CURVE('',#68722,#68723,#30462,.T.); #86600=EDGE_CURVE('',#68723,#68721,#59326,.T.); #86601=EDGE_CURVE('',#68724,#68722,#59327,.T.); #86602=EDGE_CURVE('',#68724,#68725,#30463,.T.); #86603=EDGE_CURVE('',#68725,#68723,#59328,.T.); #86604=EDGE_CURVE('',#68726,#68724,#30464,.T.); #86605=EDGE_CURVE('',#68726,#68727,#30465,.T.); #86606=EDGE_CURVE('',#68727,#68725,#30466,.T.); #86607=EDGE_CURVE('',#68728,#68726,#30467,.T.); #86608=EDGE_CURVE('',#68728,#68729,#30468,.T.); #86609=EDGE_CURVE('',#68729,#68727,#30469,.T.); #86610=EDGE_CURVE('',#68730,#68728,#30470,.T.); #86611=EDGE_CURVE('',#68730,#68731,#30471,.T.); #86612=EDGE_CURVE('',#68731,#68729,#30472,.T.); #86613=EDGE_CURVE('',#68732,#68730,#30473,.T.); #86614=EDGE_CURVE('',#68732,#68733,#30474,.T.); #86615=EDGE_CURVE('',#68733,#68731,#30475,.T.); #86616=EDGE_CURVE('',#68734,#68732,#30476,.T.); #86617=EDGE_CURVE('',#68734,#68735,#30477,.T.); #86618=EDGE_CURVE('',#68735,#68733,#30478,.T.); #86619=EDGE_CURVE('',#68736,#68734,#59329,.T.); #86620=EDGE_CURVE('',#68736,#68737,#30479,.T.); #86621=EDGE_CURVE('',#68737,#68735,#59330,.T.); #86622=EDGE_CURVE('',#68738,#68736,#59331,.T.); #86623=EDGE_CURVE('',#68738,#68739,#30480,.T.); #86624=EDGE_CURVE('',#68739,#68737,#59332,.T.); #86625=EDGE_CURVE('',#68740,#68738,#30481,.T.); #86626=EDGE_CURVE('',#68740,#68741,#30482,.T.); #86627=EDGE_CURVE('',#68741,#68739,#30483,.T.); #86628=EDGE_CURVE('',#68742,#68740,#30484,.T.); #86629=EDGE_CURVE('',#68742,#68743,#30485,.T.); #86630=EDGE_CURVE('',#68743,#68741,#30486,.T.); #86631=EDGE_CURVE('',#68744,#68742,#30487,.T.); #86632=EDGE_CURVE('',#68744,#68745,#30488,.T.); #86633=EDGE_CURVE('',#68745,#68743,#30489,.T.); #86634=EDGE_CURVE('',#68746,#68744,#30490,.T.); #86635=EDGE_CURVE('',#68746,#68747,#30491,.T.); #86636=EDGE_CURVE('',#68747,#68745,#30492,.T.); #86637=EDGE_CURVE('',#68748,#68746,#59333,.T.); #86638=EDGE_CURVE('',#68748,#68749,#30493,.T.); #86639=EDGE_CURVE('',#68749,#68747,#59334,.T.); #86640=EDGE_CURVE('',#68750,#68748,#59335,.T.); #86641=EDGE_CURVE('',#68750,#68751,#30494,.T.); #86642=EDGE_CURVE('',#68751,#68749,#59336,.T.); #86643=EDGE_CURVE('',#68752,#68750,#30495,.T.); #86644=EDGE_CURVE('',#68752,#68753,#30496,.T.); #86645=EDGE_CURVE('',#68753,#68751,#30497,.T.); #86646=EDGE_CURVE('',#68754,#68752,#30498,.T.); #86647=EDGE_CURVE('',#68754,#68755,#30499,.T.); #86648=EDGE_CURVE('',#68755,#68753,#30500,.T.); #86649=EDGE_CURVE('',#68756,#68754,#30501,.T.); #86650=EDGE_CURVE('',#68756,#68757,#30502,.T.); #86651=EDGE_CURVE('',#68757,#68755,#30503,.T.); #86652=EDGE_CURVE('',#68758,#68756,#30504,.T.); #86653=EDGE_CURVE('',#68758,#68759,#30505,.T.); #86654=EDGE_CURVE('',#68759,#68757,#30506,.T.); #86655=EDGE_CURVE('',#68760,#68758,#30507,.T.); #86656=EDGE_CURVE('',#68760,#68761,#30508,.T.); #86657=EDGE_CURVE('',#68761,#68759,#30509,.T.); #86658=EDGE_CURVE('',#68762,#68760,#30510,.T.); #86659=EDGE_CURVE('',#68762,#68763,#30511,.T.); #86660=EDGE_CURVE('',#68763,#68761,#30512,.T.); #86661=EDGE_CURVE('',#68764,#68762,#30513,.T.); #86662=EDGE_CURVE('',#68764,#68765,#30514,.T.); #86663=EDGE_CURVE('',#68765,#68763,#30515,.T.); #86664=EDGE_CURVE('',#68766,#68764,#30516,.T.); #86665=EDGE_CURVE('',#68766,#68767,#30517,.T.); #86666=EDGE_CURVE('',#68767,#68765,#30518,.T.); #86667=EDGE_CURVE('',#68768,#68766,#30519,.T.); #86668=EDGE_CURVE('',#68768,#68769,#30520,.T.); #86669=EDGE_CURVE('',#68769,#68767,#30521,.T.); #86670=EDGE_CURVE('',#68770,#68768,#30522,.T.); #86671=EDGE_CURVE('',#68770,#68771,#30523,.T.); #86672=EDGE_CURVE('',#68771,#68769,#30524,.T.); #86673=EDGE_CURVE('',#68772,#68770,#30525,.T.); #86674=EDGE_CURVE('',#68772,#68773,#30526,.T.); #86675=EDGE_CURVE('',#68773,#68771,#30527,.T.); #86676=EDGE_CURVE('',#68774,#68772,#30528,.T.); #86677=EDGE_CURVE('',#68774,#68775,#30529,.T.); #86678=EDGE_CURVE('',#68775,#68773,#30530,.T.); #86679=EDGE_CURVE('',#68776,#68774,#30531,.T.); #86680=EDGE_CURVE('',#68776,#68777,#30532,.T.); #86681=EDGE_CURVE('',#68777,#68775,#30533,.T.); #86682=EDGE_CURVE('',#68778,#68776,#59337,.T.); #86683=EDGE_CURVE('',#68778,#68779,#30534,.T.); #86684=EDGE_CURVE('',#68779,#68777,#59338,.T.); #86685=EDGE_CURVE('',#68780,#68778,#30535,.T.); #86686=EDGE_CURVE('',#68780,#68781,#30536,.T.); #86687=EDGE_CURVE('',#68781,#68779,#30537,.T.); #86688=EDGE_CURVE('',#68782,#68780,#30538,.T.); #86689=EDGE_CURVE('',#68782,#68783,#30539,.T.); #86690=EDGE_CURVE('',#68783,#68781,#30540,.T.); #86691=EDGE_CURVE('',#68784,#68782,#30541,.T.); #86692=EDGE_CURVE('',#68784,#68785,#30542,.T.); #86693=EDGE_CURVE('',#68785,#68783,#30543,.T.); #86694=EDGE_CURVE('',#68786,#68784,#30544,.T.); #86695=EDGE_CURVE('',#68786,#68787,#30545,.T.); #86696=EDGE_CURVE('',#68787,#68785,#30546,.T.); #86697=EDGE_CURVE('',#68788,#68786,#30547,.T.); #86698=EDGE_CURVE('',#68788,#68789,#30548,.T.); #86699=EDGE_CURVE('',#68789,#68787,#30549,.T.); #86700=EDGE_CURVE('',#68790,#68788,#30550,.T.); #86701=EDGE_CURVE('',#68790,#68791,#30551,.T.); #86702=EDGE_CURVE('',#68791,#68789,#30552,.T.); #86703=EDGE_CURVE('',#68792,#68790,#30553,.T.); #86704=EDGE_CURVE('',#68792,#68793,#30554,.T.); #86705=EDGE_CURVE('',#68793,#68791,#30555,.T.); #86706=EDGE_CURVE('',#68794,#68792,#30556,.T.); #86707=EDGE_CURVE('',#68794,#68795,#30557,.T.); #86708=EDGE_CURVE('',#68795,#68793,#30558,.T.); #86709=EDGE_CURVE('',#68796,#68794,#30559,.T.); #86710=EDGE_CURVE('',#68796,#68797,#30560,.T.); #86711=EDGE_CURVE('',#68797,#68795,#30561,.T.); #86712=EDGE_CURVE('',#68798,#68796,#30562,.T.); #86713=EDGE_CURVE('',#68798,#68799,#30563,.T.); #86714=EDGE_CURVE('',#68799,#68797,#30564,.T.); #86715=EDGE_CURVE('',#68800,#68798,#30565,.T.); #86716=EDGE_CURVE('',#68800,#68801,#30566,.T.); #86717=EDGE_CURVE('',#68801,#68799,#30567,.T.); #86718=EDGE_CURVE('',#68802,#68800,#30568,.T.); #86719=EDGE_CURVE('',#68802,#68803,#30569,.T.); #86720=EDGE_CURVE('',#68803,#68801,#30570,.T.); #86721=EDGE_CURVE('',#68804,#68802,#30571,.T.); #86722=EDGE_CURVE('',#68804,#68805,#30572,.T.); #86723=EDGE_CURVE('',#68805,#68803,#30573,.T.); #86724=EDGE_CURVE('',#68806,#68804,#30574,.T.); #86725=EDGE_CURVE('',#68806,#68807,#30575,.T.); #86726=EDGE_CURVE('',#68807,#68805,#30576,.T.); #86727=EDGE_CURVE('',#68808,#68806,#30577,.T.); #86728=EDGE_CURVE('',#68808,#68809,#30578,.T.); #86729=EDGE_CURVE('',#68809,#68807,#30579,.T.); #86730=EDGE_CURVE('',#68810,#68808,#30580,.T.); #86731=EDGE_CURVE('',#68810,#68811,#30581,.T.); #86732=EDGE_CURVE('',#68811,#68809,#30582,.T.); #86733=EDGE_CURVE('',#68812,#68810,#59339,.T.); #86734=EDGE_CURVE('',#68812,#68813,#30583,.T.); #86735=EDGE_CURVE('',#68813,#68811,#59340,.T.); #86736=EDGE_CURVE('',#68814,#68812,#30584,.T.); #86737=EDGE_CURVE('',#68814,#68815,#30585,.T.); #86738=EDGE_CURVE('',#68815,#68813,#30586,.T.); #86739=EDGE_CURVE('',#68816,#68814,#30587,.T.); #86740=EDGE_CURVE('',#68816,#68817,#30588,.T.); #86741=EDGE_CURVE('',#68817,#68815,#30589,.T.); #86742=EDGE_CURVE('',#68818,#68816,#30590,.T.); #86743=EDGE_CURVE('',#68818,#68819,#30591,.T.); #86744=EDGE_CURVE('',#68819,#68817,#30592,.T.); #86745=EDGE_CURVE('',#68820,#68818,#30593,.T.); #86746=EDGE_CURVE('',#68820,#68821,#30594,.T.); #86747=EDGE_CURVE('',#68821,#68819,#30595,.T.); #86748=EDGE_CURVE('',#68822,#68820,#30596,.T.); #86749=EDGE_CURVE('',#68822,#68823,#30597,.T.); #86750=EDGE_CURVE('',#68823,#68821,#30598,.T.); #86751=EDGE_CURVE('',#68824,#68822,#30599,.T.); #86752=EDGE_CURVE('',#68824,#68825,#30600,.T.); #86753=EDGE_CURVE('',#68825,#68823,#30601,.T.); #86754=EDGE_CURVE('',#68826,#68824,#30602,.T.); #86755=EDGE_CURVE('',#68826,#68827,#30603,.T.); #86756=EDGE_CURVE('',#68827,#68825,#30604,.T.); #86757=EDGE_CURVE('',#68828,#68826,#30605,.T.); #86758=EDGE_CURVE('',#68828,#68829,#30606,.T.); #86759=EDGE_CURVE('',#68829,#68827,#30607,.T.); #86760=EDGE_CURVE('',#68830,#68828,#59341,.T.); #86761=EDGE_CURVE('',#68830,#68831,#30608,.T.); #86762=EDGE_CURVE('',#68831,#68829,#59342,.T.); #86763=EDGE_CURVE('',#68832,#68830,#30609,.T.); #86764=EDGE_CURVE('',#68832,#68833,#30610,.T.); #86765=EDGE_CURVE('',#68833,#68831,#30611,.T.); #86766=EDGE_CURVE('',#68834,#68832,#30612,.T.); #86767=EDGE_CURVE('',#68834,#68835,#30613,.T.); #86768=EDGE_CURVE('',#68835,#68833,#30614,.T.); #86769=EDGE_CURVE('',#68836,#68834,#30615,.T.); #86770=EDGE_CURVE('',#68836,#68837,#30616,.T.); #86771=EDGE_CURVE('',#68837,#68835,#30617,.T.); #86772=EDGE_CURVE('',#68838,#68836,#30618,.T.); #86773=EDGE_CURVE('',#68838,#68839,#30619,.T.); #86774=EDGE_CURVE('',#68839,#68837,#30620,.T.); #86775=EDGE_CURVE('',#68840,#68838,#30621,.T.); #86776=EDGE_CURVE('',#68840,#68841,#30622,.T.); #86777=EDGE_CURVE('',#68841,#68839,#30623,.T.); #86778=EDGE_CURVE('',#68842,#68840,#59343,.T.); #86779=EDGE_CURVE('',#68842,#68843,#30624,.T.); #86780=EDGE_CURVE('',#68843,#68841,#59344,.T.); #86781=EDGE_CURVE('',#68844,#68842,#30625,.T.); #86782=EDGE_CURVE('',#68844,#68845,#30626,.T.); #86783=EDGE_CURVE('',#68845,#68843,#30627,.T.); #86784=EDGE_CURVE('',#68846,#68844,#30628,.T.); #86785=EDGE_CURVE('',#68846,#68847,#30629,.T.); #86786=EDGE_CURVE('',#68847,#68845,#30630,.T.); #86787=EDGE_CURVE('',#68848,#68846,#30631,.T.); #86788=EDGE_CURVE('',#68848,#68849,#30632,.T.); #86789=EDGE_CURVE('',#68849,#68847,#30633,.T.); #86790=EDGE_CURVE('',#68850,#68848,#30634,.T.); #86791=EDGE_CURVE('',#68850,#68851,#30635,.T.); #86792=EDGE_CURVE('',#68851,#68849,#30636,.T.); #86793=EDGE_CURVE('',#68852,#68850,#30637,.T.); #86794=EDGE_CURVE('',#68852,#68853,#30638,.T.); #86795=EDGE_CURVE('',#68853,#68851,#30639,.T.); #86796=EDGE_CURVE('',#68617,#68852,#30640,.T.); #86797=EDGE_CURVE('',#68619,#68853,#30641,.T.); #86798=EDGE_CURVE('',#68854,#68855,#59345,.T.); #86799=EDGE_CURVE('',#68854,#68856,#30642,.T.); #86800=EDGE_CURVE('',#68856,#68857,#59346,.T.); #86801=EDGE_CURVE('',#68855,#68857,#30643,.T.); #86802=EDGE_CURVE('',#68858,#68854,#30644,.T.); #86803=EDGE_CURVE('',#68858,#68859,#30645,.T.); #86804=EDGE_CURVE('',#68859,#68856,#30646,.T.); #86805=EDGE_CURVE('',#68860,#68858,#30647,.T.); #86806=EDGE_CURVE('',#68860,#68861,#30648,.T.); #86807=EDGE_CURVE('',#68861,#68859,#30649,.T.); #86808=EDGE_CURVE('',#68862,#68860,#30650,.T.); #86809=EDGE_CURVE('',#68862,#68863,#30651,.T.); #86810=EDGE_CURVE('',#68863,#68861,#30652,.T.); #86811=EDGE_CURVE('',#68864,#68862,#30653,.T.); #86812=EDGE_CURVE('',#68864,#68865,#30654,.T.); #86813=EDGE_CURVE('',#68865,#68863,#30655,.T.); #86814=EDGE_CURVE('',#68866,#68864,#30656,.T.); #86815=EDGE_CURVE('',#68866,#68867,#30657,.T.); #86816=EDGE_CURVE('',#68867,#68865,#30658,.T.); #86817=EDGE_CURVE('',#68868,#68866,#59347,.T.); #86818=EDGE_CURVE('',#68868,#68869,#30659,.T.); #86819=EDGE_CURVE('',#68869,#68867,#59348,.T.); #86820=EDGE_CURVE('',#68870,#68868,#59349,.T.); #86821=EDGE_CURVE('',#68870,#68871,#30660,.T.); #86822=EDGE_CURVE('',#68871,#68869,#59350,.T.); #86823=EDGE_CURVE('',#68872,#68870,#30661,.T.); #86824=EDGE_CURVE('',#68872,#68873,#30662,.T.); #86825=EDGE_CURVE('',#68873,#68871,#30663,.T.); #86826=EDGE_CURVE('',#68874,#68872,#30664,.T.); #86827=EDGE_CURVE('',#68874,#68875,#30665,.T.); #86828=EDGE_CURVE('',#68875,#68873,#30666,.T.); #86829=EDGE_CURVE('',#68876,#68874,#30667,.T.); #86830=EDGE_CURVE('',#68876,#68877,#30668,.T.); #86831=EDGE_CURVE('',#68877,#68875,#30669,.T.); #86832=EDGE_CURVE('',#68855,#68876,#30670,.T.); #86833=EDGE_CURVE('',#68857,#68877,#30671,.T.); #86834=EDGE_CURVE('',#68878,#68879,#59351,.T.); #86835=EDGE_CURVE('',#68878,#68880,#30672,.T.); #86836=EDGE_CURVE('',#68880,#68881,#59352,.T.); #86837=EDGE_CURVE('',#68879,#68881,#30673,.T.); #86838=EDGE_CURVE('',#68882,#68878,#30674,.T.); #86839=EDGE_CURVE('',#68882,#68883,#30675,.T.); #86840=EDGE_CURVE('',#68883,#68880,#30676,.T.); #86841=EDGE_CURVE('',#68884,#68882,#30677,.T.); #86842=EDGE_CURVE('',#68884,#68885,#30678,.T.); #86843=EDGE_CURVE('',#68885,#68883,#30679,.T.); #86844=EDGE_CURVE('',#68886,#68884,#30680,.T.); #86845=EDGE_CURVE('',#68886,#68887,#30681,.T.); #86846=EDGE_CURVE('',#68887,#68885,#30682,.T.); #86847=EDGE_CURVE('',#68888,#68886,#30683,.T.); #86848=EDGE_CURVE('',#68888,#68889,#30684,.T.); #86849=EDGE_CURVE('',#68889,#68887,#30685,.T.); #86850=EDGE_CURVE('',#68890,#68888,#30686,.T.); #86851=EDGE_CURVE('',#68890,#68891,#30687,.T.); #86852=EDGE_CURVE('',#68891,#68889,#30688,.T.); #86853=EDGE_CURVE('',#68892,#68890,#30689,.T.); #86854=EDGE_CURVE('',#68892,#68893,#30690,.T.); #86855=EDGE_CURVE('',#68893,#68891,#30691,.T.); #86856=EDGE_CURVE('',#68894,#68892,#30692,.T.); #86857=EDGE_CURVE('',#68894,#68895,#30693,.T.); #86858=EDGE_CURVE('',#68895,#68893,#30694,.T.); #86859=EDGE_CURVE('',#68896,#68894,#30695,.T.); #86860=EDGE_CURVE('',#68896,#68897,#30696,.T.); #86861=EDGE_CURVE('',#68897,#68895,#30697,.T.); #86862=EDGE_CURVE('',#68898,#68896,#30698,.T.); #86863=EDGE_CURVE('',#68898,#68899,#30699,.T.); #86864=EDGE_CURVE('',#68899,#68897,#30700,.T.); #86865=EDGE_CURVE('',#68900,#68898,#30701,.T.); #86866=EDGE_CURVE('',#68900,#68901,#30702,.T.); #86867=EDGE_CURVE('',#68901,#68899,#30703,.T.); #86868=EDGE_CURVE('',#68902,#68900,#30704,.T.); #86869=EDGE_CURVE('',#68902,#68903,#30705,.T.); #86870=EDGE_CURVE('',#68903,#68901,#30706,.T.); #86871=EDGE_CURVE('',#68904,#68902,#59353,.T.); #86872=EDGE_CURVE('',#68904,#68905,#30707,.T.); #86873=EDGE_CURVE('',#68905,#68903,#59354,.T.); #86874=EDGE_CURVE('',#68906,#68904,#30708,.T.); #86875=EDGE_CURVE('',#68906,#68907,#30709,.T.); #86876=EDGE_CURVE('',#68907,#68905,#30710,.T.); #86877=EDGE_CURVE('',#68908,#68906,#30711,.T.); #86878=EDGE_CURVE('',#68908,#68909,#30712,.T.); #86879=EDGE_CURVE('',#68909,#68907,#30713,.T.); #86880=EDGE_CURVE('',#68910,#68908,#30714,.T.); #86881=EDGE_CURVE('',#68910,#68911,#30715,.T.); #86882=EDGE_CURVE('',#68911,#68909,#30716,.T.); #86883=EDGE_CURVE('',#68912,#68910,#30717,.T.); #86884=EDGE_CURVE('',#68912,#68913,#30718,.T.); #86885=EDGE_CURVE('',#68913,#68911,#30719,.T.); #86886=EDGE_CURVE('',#68914,#68912,#30720,.T.); #86887=EDGE_CURVE('',#68914,#68915,#30721,.T.); #86888=EDGE_CURVE('',#68915,#68913,#30722,.T.); #86889=EDGE_CURVE('',#68916,#68914,#30723,.T.); #86890=EDGE_CURVE('',#68916,#68917,#30724,.T.); #86891=EDGE_CURVE('',#68917,#68915,#30725,.T.); #86892=EDGE_CURVE('',#68918,#68916,#59355,.T.); #86893=EDGE_CURVE('',#68918,#68919,#30726,.T.); #86894=EDGE_CURVE('',#68919,#68917,#59356,.T.); #86895=EDGE_CURVE('',#68920,#68918,#30727,.T.); #86896=EDGE_CURVE('',#68920,#68921,#30728,.T.); #86897=EDGE_CURVE('',#68921,#68919,#30729,.T.); #86898=EDGE_CURVE('',#68922,#68920,#30730,.T.); #86899=EDGE_CURVE('',#68922,#68923,#30731,.T.); #86900=EDGE_CURVE('',#68923,#68921,#30732,.T.); #86901=EDGE_CURVE('',#68924,#68922,#30733,.T.); #86902=EDGE_CURVE('',#68924,#68925,#30734,.T.); #86903=EDGE_CURVE('',#68925,#68923,#30735,.T.); #86904=EDGE_CURVE('',#68926,#68924,#30736,.T.); #86905=EDGE_CURVE('',#68926,#68927,#30737,.T.); #86906=EDGE_CURVE('',#68927,#68925,#30738,.T.); #86907=EDGE_CURVE('',#68928,#68926,#30739,.T.); #86908=EDGE_CURVE('',#68928,#68929,#30740,.T.); #86909=EDGE_CURVE('',#68929,#68927,#30741,.T.); #86910=EDGE_CURVE('',#68930,#68928,#30742,.T.); #86911=EDGE_CURVE('',#68930,#68931,#30743,.T.); #86912=EDGE_CURVE('',#68931,#68929,#30744,.T.); #86913=EDGE_CURVE('',#68932,#68930,#30745,.T.); #86914=EDGE_CURVE('',#68932,#68933,#30746,.T.); #86915=EDGE_CURVE('',#68933,#68931,#30747,.T.); #86916=EDGE_CURVE('',#68934,#68932,#30748,.T.); #86917=EDGE_CURVE('',#68934,#68935,#30749,.T.); #86918=EDGE_CURVE('',#68935,#68933,#30750,.T.); #86919=EDGE_CURVE('',#68936,#68934,#30751,.T.); #86920=EDGE_CURVE('',#68936,#68937,#30752,.T.); #86921=EDGE_CURVE('',#68937,#68935,#30753,.T.); #86922=EDGE_CURVE('',#68938,#68936,#30754,.T.); #86923=EDGE_CURVE('',#68938,#68939,#30755,.T.); #86924=EDGE_CURVE('',#68939,#68937,#30756,.T.); #86925=EDGE_CURVE('',#68940,#68938,#30757,.T.); #86926=EDGE_CURVE('',#68940,#68941,#30758,.T.); #86927=EDGE_CURVE('',#68941,#68939,#30759,.T.); #86928=EDGE_CURVE('',#68942,#68940,#30760,.T.); #86929=EDGE_CURVE('',#68942,#68943,#30761,.T.); #86930=EDGE_CURVE('',#68943,#68941,#30762,.T.); #86931=EDGE_CURVE('',#68944,#68942,#30763,.T.); #86932=EDGE_CURVE('',#68944,#68945,#30764,.T.); #86933=EDGE_CURVE('',#68945,#68943,#30765,.T.); #86934=EDGE_CURVE('',#68946,#68944,#30766,.T.); #86935=EDGE_CURVE('',#68946,#68947,#30767,.T.); #86936=EDGE_CURVE('',#68947,#68945,#30768,.T.); #86937=EDGE_CURVE('',#68948,#68946,#30769,.T.); #86938=EDGE_CURVE('',#68948,#68949,#30770,.T.); #86939=EDGE_CURVE('',#68949,#68947,#30771,.T.); #86940=EDGE_CURVE('',#68950,#68948,#30772,.T.); #86941=EDGE_CURVE('',#68950,#68951,#30773,.T.); #86942=EDGE_CURVE('',#68951,#68949,#30774,.T.); #86943=EDGE_CURVE('',#68952,#68950,#30775,.T.); #86944=EDGE_CURVE('',#68952,#68953,#30776,.T.); #86945=EDGE_CURVE('',#68953,#68951,#30777,.T.); #86946=EDGE_CURVE('',#68954,#68952,#30778,.T.); #86947=EDGE_CURVE('',#68954,#68955,#30779,.T.); #86948=EDGE_CURVE('',#68955,#68953,#30780,.T.); #86949=EDGE_CURVE('',#68956,#68954,#30781,.T.); #86950=EDGE_CURVE('',#68956,#68957,#30782,.T.); #86951=EDGE_CURVE('',#68957,#68955,#30783,.T.); #86952=EDGE_CURVE('',#68958,#68956,#30784,.T.); #86953=EDGE_CURVE('',#68958,#68959,#30785,.T.); #86954=EDGE_CURVE('',#68959,#68957,#30786,.T.); #86955=EDGE_CURVE('',#68960,#68958,#30787,.T.); #86956=EDGE_CURVE('',#68960,#68961,#30788,.T.); #86957=EDGE_CURVE('',#68961,#68959,#30789,.T.); #86958=EDGE_CURVE('',#68962,#68960,#30790,.T.); #86959=EDGE_CURVE('',#68962,#68963,#30791,.T.); #86960=EDGE_CURVE('',#68963,#68961,#30792,.T.); #86961=EDGE_CURVE('',#68964,#68962,#30793,.T.); #86962=EDGE_CURVE('',#68964,#68965,#30794,.T.); #86963=EDGE_CURVE('',#68965,#68963,#30795,.T.); #86964=EDGE_CURVE('',#68966,#68964,#30796,.T.); #86965=EDGE_CURVE('',#68966,#68967,#30797,.T.); #86966=EDGE_CURVE('',#68967,#68965,#30798,.T.); #86967=EDGE_CURVE('',#68968,#68966,#59357,.T.); #86968=EDGE_CURVE('',#68968,#68969,#30799,.T.); #86969=EDGE_CURVE('',#68969,#68967,#59358,.T.); #86970=EDGE_CURVE('',#68970,#68968,#30800,.T.); #86971=EDGE_CURVE('',#68970,#68971,#30801,.T.); #86972=EDGE_CURVE('',#68971,#68969,#30802,.T.); #86973=EDGE_CURVE('',#68972,#68970,#30803,.T.); #86974=EDGE_CURVE('',#68972,#68973,#30804,.T.); #86975=EDGE_CURVE('',#68973,#68971,#30805,.T.); #86976=EDGE_CURVE('',#68974,#68972,#30806,.T.); #86977=EDGE_CURVE('',#68974,#68975,#30807,.T.); #86978=EDGE_CURVE('',#68975,#68973,#30808,.T.); #86979=EDGE_CURVE('',#68976,#68974,#30809,.T.); #86980=EDGE_CURVE('',#68976,#68977,#30810,.T.); #86981=EDGE_CURVE('',#68977,#68975,#30811,.T.); #86982=EDGE_CURVE('',#68978,#68976,#30812,.T.); #86983=EDGE_CURVE('',#68978,#68979,#30813,.T.); #86984=EDGE_CURVE('',#68979,#68977,#30814,.T.); #86985=EDGE_CURVE('',#68980,#68978,#30815,.T.); #86986=EDGE_CURVE('',#68980,#68981,#30816,.T.); #86987=EDGE_CURVE('',#68981,#68979,#30817,.T.); #86988=EDGE_CURVE('',#68982,#68980,#30818,.T.); #86989=EDGE_CURVE('',#68982,#68983,#30819,.T.); #86990=EDGE_CURVE('',#68983,#68981,#30820,.T.); #86991=EDGE_CURVE('',#68984,#68982,#30821,.T.); #86992=EDGE_CURVE('',#68984,#68985,#30822,.T.); #86993=EDGE_CURVE('',#68985,#68983,#30823,.T.); #86994=EDGE_CURVE('',#68986,#68984,#30824,.T.); #86995=EDGE_CURVE('',#68986,#68987,#30825,.T.); #86996=EDGE_CURVE('',#68987,#68985,#30826,.T.); #86997=EDGE_CURVE('',#68988,#68986,#30827,.T.); #86998=EDGE_CURVE('',#68988,#68989,#30828,.T.); #86999=EDGE_CURVE('',#68989,#68987,#30829,.T.); #87000=EDGE_CURVE('',#68990,#68988,#30830,.T.); #87001=EDGE_CURVE('',#68990,#68991,#30831,.T.); #87002=EDGE_CURVE('',#68991,#68989,#30832,.T.); #87003=EDGE_CURVE('',#68992,#68990,#30833,.T.); #87004=EDGE_CURVE('',#68992,#68993,#30834,.T.); #87005=EDGE_CURVE('',#68993,#68991,#30835,.T.); #87006=EDGE_CURVE('',#68994,#68992,#30836,.T.); #87007=EDGE_CURVE('',#68994,#68995,#30837,.T.); #87008=EDGE_CURVE('',#68995,#68993,#30838,.T.); #87009=EDGE_CURVE('',#68996,#68994,#30839,.T.); #87010=EDGE_CURVE('',#68996,#68997,#30840,.T.); #87011=EDGE_CURVE('',#68997,#68995,#30841,.T.); #87012=EDGE_CURVE('',#68998,#68996,#30842,.T.); #87013=EDGE_CURVE('',#68998,#68999,#30843,.T.); #87014=EDGE_CURVE('',#68999,#68997,#30844,.T.); #87015=EDGE_CURVE('',#69000,#68998,#30845,.T.); #87016=EDGE_CURVE('',#69000,#69001,#30846,.T.); #87017=EDGE_CURVE('',#69001,#68999,#30847,.T.); #87018=EDGE_CURVE('',#69002,#69000,#30848,.T.); #87019=EDGE_CURVE('',#69002,#69003,#30849,.T.); #87020=EDGE_CURVE('',#69003,#69001,#30850,.T.); #87021=EDGE_CURVE('',#69004,#69002,#30851,.T.); #87022=EDGE_CURVE('',#69004,#69005,#30852,.T.); #87023=EDGE_CURVE('',#69005,#69003,#30853,.T.); #87024=EDGE_CURVE('',#69006,#69004,#30854,.T.); #87025=EDGE_CURVE('',#69006,#69007,#30855,.T.); #87026=EDGE_CURVE('',#69007,#69005,#30856,.T.); #87027=EDGE_CURVE('',#69008,#69006,#30857,.T.); #87028=EDGE_CURVE('',#69008,#69009,#30858,.T.); #87029=EDGE_CURVE('',#69009,#69007,#30859,.T.); #87030=EDGE_CURVE('',#69010,#69008,#30860,.T.); #87031=EDGE_CURVE('',#69010,#69011,#30861,.T.); #87032=EDGE_CURVE('',#69011,#69009,#30862,.T.); #87033=EDGE_CURVE('',#69012,#69010,#30863,.T.); #87034=EDGE_CURVE('',#69012,#69013,#30864,.T.); #87035=EDGE_CURVE('',#69013,#69011,#30865,.T.); #87036=EDGE_CURVE('',#69014,#69012,#59359,.T.); #87037=EDGE_CURVE('',#69014,#69015,#30866,.T.); #87038=EDGE_CURVE('',#69015,#69013,#59360,.T.); #87039=EDGE_CURVE('',#69016,#69014,#30867,.T.); #87040=EDGE_CURVE('',#69016,#69017,#30868,.T.); #87041=EDGE_CURVE('',#69017,#69015,#30869,.T.); #87042=EDGE_CURVE('',#69018,#69016,#30870,.T.); #87043=EDGE_CURVE('',#69018,#69019,#30871,.T.); #87044=EDGE_CURVE('',#69019,#69017,#30872,.T.); #87045=EDGE_CURVE('',#69020,#69018,#30873,.T.); #87046=EDGE_CURVE('',#69020,#69021,#30874,.T.); #87047=EDGE_CURVE('',#69021,#69019,#30875,.T.); #87048=EDGE_CURVE('',#69022,#69020,#30876,.T.); #87049=EDGE_CURVE('',#69022,#69023,#30877,.T.); #87050=EDGE_CURVE('',#69023,#69021,#30878,.T.); #87051=EDGE_CURVE('',#69024,#69022,#30879,.T.); #87052=EDGE_CURVE('',#69024,#69025,#30880,.T.); #87053=EDGE_CURVE('',#69025,#69023,#30881,.T.); #87054=EDGE_CURVE('',#69026,#69024,#30882,.T.); #87055=EDGE_CURVE('',#69026,#69027,#30883,.T.); #87056=EDGE_CURVE('',#69027,#69025,#30884,.T.); #87057=EDGE_CURVE('',#69028,#69026,#30885,.T.); #87058=EDGE_CURVE('',#69028,#69029,#30886,.T.); #87059=EDGE_CURVE('',#69029,#69027,#30887,.T.); #87060=EDGE_CURVE('',#69030,#69028,#59361,.T.); #87061=EDGE_CURVE('',#69030,#69031,#30888,.T.); #87062=EDGE_CURVE('',#69031,#69029,#59362,.T.); #87063=EDGE_CURVE('',#69032,#69030,#59363,.T.); #87064=EDGE_CURVE('',#69032,#69033,#30889,.T.); #87065=EDGE_CURVE('',#69033,#69031,#59364,.T.); #87066=EDGE_CURVE('',#69034,#69032,#30890,.T.); #87067=EDGE_CURVE('',#69034,#69035,#30891,.T.); #87068=EDGE_CURVE('',#69035,#69033,#30892,.T.); #87069=EDGE_CURVE('',#69036,#69034,#30893,.T.); #87070=EDGE_CURVE('',#69036,#69037,#30894,.T.); #87071=EDGE_CURVE('',#69037,#69035,#30895,.T.); #87072=EDGE_CURVE('',#69038,#69036,#30896,.T.); #87073=EDGE_CURVE('',#69038,#69039,#30897,.T.); #87074=EDGE_CURVE('',#69039,#69037,#30898,.T.); #87075=EDGE_CURVE('',#69040,#69038,#30899,.T.); #87076=EDGE_CURVE('',#69040,#69041,#30900,.T.); #87077=EDGE_CURVE('',#69041,#69039,#30901,.T.); #87078=EDGE_CURVE('',#69042,#69040,#30902,.T.); #87079=EDGE_CURVE('',#69042,#69043,#30903,.T.); #87080=EDGE_CURVE('',#69043,#69041,#30904,.T.); #87081=EDGE_CURVE('',#69044,#69042,#30905,.T.); #87082=EDGE_CURVE('',#69044,#69045,#30906,.T.); #87083=EDGE_CURVE('',#69045,#69043,#30907,.T.); #87084=EDGE_CURVE('',#69046,#69044,#30908,.T.); #87085=EDGE_CURVE('',#69046,#69047,#30909,.T.); #87086=EDGE_CURVE('',#69047,#69045,#30910,.T.); #87087=EDGE_CURVE('',#69048,#69046,#59365,.T.); #87088=EDGE_CURVE('',#69048,#69049,#30911,.T.); #87089=EDGE_CURVE('',#69049,#69047,#59366,.T.); #87090=EDGE_CURVE('',#69050,#69048,#30912,.T.); #87091=EDGE_CURVE('',#69050,#69051,#30913,.T.); #87092=EDGE_CURVE('',#69051,#69049,#30914,.T.); #87093=EDGE_CURVE('',#69052,#69050,#59367,.T.); #87094=EDGE_CURVE('',#69052,#69053,#30915,.T.); #87095=EDGE_CURVE('',#69053,#69051,#59368,.T.); #87096=EDGE_CURVE('',#69054,#69052,#30916,.T.); #87097=EDGE_CURVE('',#69054,#69055,#30917,.T.); #87098=EDGE_CURVE('',#69055,#69053,#30918,.T.); #87099=EDGE_CURVE('',#69056,#69054,#30919,.T.); #87100=EDGE_CURVE('',#69056,#69057,#30920,.T.); #87101=EDGE_CURVE('',#69057,#69055,#30921,.T.); #87102=EDGE_CURVE('',#69058,#69056,#30922,.T.); #87103=EDGE_CURVE('',#69058,#69059,#30923,.T.); #87104=EDGE_CURVE('',#69059,#69057,#30924,.T.); #87105=EDGE_CURVE('',#69060,#69058,#30925,.T.); #87106=EDGE_CURVE('',#69060,#69061,#30926,.T.); #87107=EDGE_CURVE('',#69061,#69059,#30927,.T.); #87108=EDGE_CURVE('',#69062,#69060,#30928,.T.); #87109=EDGE_CURVE('',#69062,#69063,#30929,.T.); #87110=EDGE_CURVE('',#69063,#69061,#30930,.T.); #87111=EDGE_CURVE('',#69064,#69062,#30931,.T.); #87112=EDGE_CURVE('',#69064,#69065,#30932,.T.); #87113=EDGE_CURVE('',#69065,#69063,#30933,.T.); #87114=EDGE_CURVE('',#69066,#69064,#30934,.T.); #87115=EDGE_CURVE('',#69066,#69067,#30935,.T.); #87116=EDGE_CURVE('',#69067,#69065,#30936,.T.); #87117=EDGE_CURVE('',#69068,#69066,#30937,.T.); #87118=EDGE_CURVE('',#69068,#69069,#30938,.T.); #87119=EDGE_CURVE('',#69069,#69067,#30939,.T.); #87120=EDGE_CURVE('',#69070,#69068,#30940,.T.); #87121=EDGE_CURVE('',#69070,#69071,#30941,.T.); #87122=EDGE_CURVE('',#69071,#69069,#30942,.T.); #87123=EDGE_CURVE('',#69072,#69070,#30943,.T.); #87124=EDGE_CURVE('',#69072,#69073,#30944,.T.); #87125=EDGE_CURVE('',#69073,#69071,#30945,.T.); #87126=EDGE_CURVE('',#69074,#69072,#59369,.T.); #87127=EDGE_CURVE('',#69074,#69075,#30946,.T.); #87128=EDGE_CURVE('',#69075,#69073,#59370,.T.); #87129=EDGE_CURVE('',#69076,#69074,#30947,.T.); #87130=EDGE_CURVE('',#69076,#69077,#30948,.T.); #87131=EDGE_CURVE('',#69077,#69075,#30949,.T.); #87132=EDGE_CURVE('',#69078,#69076,#30950,.T.); #87133=EDGE_CURVE('',#69078,#69079,#30951,.T.); #87134=EDGE_CURVE('',#69079,#69077,#30952,.T.); #87135=EDGE_CURVE('',#69080,#69078,#30953,.T.); #87136=EDGE_CURVE('',#69080,#69081,#30954,.T.); #87137=EDGE_CURVE('',#69081,#69079,#30955,.T.); #87138=EDGE_CURVE('',#69082,#69080,#30956,.T.); #87139=EDGE_CURVE('',#69082,#69083,#30957,.T.); #87140=EDGE_CURVE('',#69083,#69081,#30958,.T.); #87141=EDGE_CURVE('',#69084,#69082,#59371,.T.); #87142=EDGE_CURVE('',#69084,#69085,#30959,.T.); #87143=EDGE_CURVE('',#69085,#69083,#59372,.T.); #87144=EDGE_CURVE('',#69086,#69084,#30960,.T.); #87145=EDGE_CURVE('',#69086,#69087,#30961,.T.); #87146=EDGE_CURVE('',#69087,#69085,#30962,.T.); #87147=EDGE_CURVE('',#69088,#69086,#30963,.T.); #87148=EDGE_CURVE('',#69088,#69089,#30964,.T.); #87149=EDGE_CURVE('',#69089,#69087,#30965,.T.); #87150=EDGE_CURVE('',#69090,#69088,#30966,.T.); #87151=EDGE_CURVE('',#69090,#69091,#30967,.T.); #87152=EDGE_CURVE('',#69091,#69089,#30968,.T.); #87153=EDGE_CURVE('',#69092,#69090,#30969,.T.); #87154=EDGE_CURVE('',#69092,#69093,#30970,.T.); #87155=EDGE_CURVE('',#69093,#69091,#30971,.T.); #87156=EDGE_CURVE('',#69094,#69092,#30972,.T.); #87157=EDGE_CURVE('',#69094,#69095,#30973,.T.); #87158=EDGE_CURVE('',#69095,#69093,#30974,.T.); #87159=EDGE_CURVE('',#69096,#69094,#30975,.T.); #87160=EDGE_CURVE('',#69096,#69097,#30976,.T.); #87161=EDGE_CURVE('',#69097,#69095,#30977,.T.); #87162=EDGE_CURVE('',#69098,#69096,#59373,.T.); #87163=EDGE_CURVE('',#69098,#69099,#30978,.T.); #87164=EDGE_CURVE('',#69099,#69097,#59374,.T.); #87165=EDGE_CURVE('',#69100,#69098,#30979,.T.); #87166=EDGE_CURVE('',#69100,#69101,#30980,.T.); #87167=EDGE_CURVE('',#69101,#69099,#30981,.T.); #87168=EDGE_CURVE('',#69102,#69100,#30982,.T.); #87169=EDGE_CURVE('',#69102,#69103,#30983,.T.); #87170=EDGE_CURVE('',#69103,#69101,#30984,.T.); #87171=EDGE_CURVE('',#69104,#69102,#30985,.T.); #87172=EDGE_CURVE('',#69104,#69105,#30986,.T.); #87173=EDGE_CURVE('',#69105,#69103,#30987,.T.); #87174=EDGE_CURVE('',#69106,#69104,#30988,.T.); #87175=EDGE_CURVE('',#69106,#69107,#30989,.T.); #87176=EDGE_CURVE('',#69107,#69105,#30990,.T.); #87177=EDGE_CURVE('',#69108,#69106,#59375,.T.); #87178=EDGE_CURVE('',#69108,#69109,#30991,.T.); #87179=EDGE_CURVE('',#69109,#69107,#59376,.T.); #87180=EDGE_CURVE('',#69110,#69108,#59377,.T.); #87181=EDGE_CURVE('',#69110,#69111,#30992,.T.); #87182=EDGE_CURVE('',#69111,#69109,#59378,.T.); #87183=EDGE_CURVE('',#69112,#69110,#30993,.T.); #87184=EDGE_CURVE('',#69112,#69113,#30994,.T.); #87185=EDGE_CURVE('',#69113,#69111,#30995,.T.); #87186=EDGE_CURVE('',#69114,#69112,#30996,.T.); #87187=EDGE_CURVE('',#69114,#69115,#30997,.T.); #87188=EDGE_CURVE('',#69115,#69113,#30998,.T.); #87189=EDGE_CURVE('',#69116,#69114,#30999,.T.); #87190=EDGE_CURVE('',#69116,#69117,#31000,.T.); #87191=EDGE_CURVE('',#69117,#69115,#31001,.T.); #87192=EDGE_CURVE('',#69118,#69116,#59379,.T.); #87193=EDGE_CURVE('',#69118,#69119,#31002,.T.); #87194=EDGE_CURVE('',#69119,#69117,#59380,.T.); #87195=EDGE_CURVE('',#69120,#69118,#59381,.T.); #87196=EDGE_CURVE('',#69120,#69121,#31003,.T.); #87197=EDGE_CURVE('',#69121,#69119,#59382,.T.); #87198=EDGE_CURVE('',#69122,#69120,#31004,.T.); #87199=EDGE_CURVE('',#69122,#69123,#31005,.T.); #87200=EDGE_CURVE('',#69123,#69121,#31006,.T.); #87201=EDGE_CURVE('',#69124,#69122,#31007,.T.); #87202=EDGE_CURVE('',#69124,#69125,#31008,.T.); #87203=EDGE_CURVE('',#69125,#69123,#31009,.T.); #87204=EDGE_CURVE('',#69126,#69124,#59383,.T.); #87205=EDGE_CURVE('',#69126,#69127,#31010,.T.); #87206=EDGE_CURVE('',#69127,#69125,#59384,.T.); #87207=EDGE_CURVE('',#69128,#69126,#31011,.T.); #87208=EDGE_CURVE('',#69128,#69129,#31012,.T.); #87209=EDGE_CURVE('',#69129,#69127,#31013,.T.); #87210=EDGE_CURVE('',#69130,#69128,#31014,.T.); #87211=EDGE_CURVE('',#69130,#69131,#31015,.T.); #87212=EDGE_CURVE('',#69131,#69129,#31016,.T.); #87213=EDGE_CURVE('',#69132,#69130,#31017,.T.); #87214=EDGE_CURVE('',#69132,#69133,#31018,.T.); #87215=EDGE_CURVE('',#69133,#69131,#31019,.T.); #87216=EDGE_CURVE('',#69134,#69132,#31020,.T.); #87217=EDGE_CURVE('',#69134,#69135,#31021,.T.); #87218=EDGE_CURVE('',#69135,#69133,#31022,.T.); #87219=EDGE_CURVE('',#69136,#69134,#31023,.T.); #87220=EDGE_CURVE('',#69136,#69137,#31024,.T.); #87221=EDGE_CURVE('',#69137,#69135,#31025,.T.); #87222=EDGE_CURVE('',#69138,#69136,#31026,.T.); #87223=EDGE_CURVE('',#69138,#69139,#31027,.T.); #87224=EDGE_CURVE('',#69139,#69137,#31028,.T.); #87225=EDGE_CURVE('',#69140,#69138,#31029,.T.); #87226=EDGE_CURVE('',#69140,#69141,#31030,.T.); #87227=EDGE_CURVE('',#69141,#69139,#31031,.T.); #87228=EDGE_CURVE('',#69142,#69140,#31032,.T.); #87229=EDGE_CURVE('',#69142,#69143,#31033,.T.); #87230=EDGE_CURVE('',#69143,#69141,#31034,.T.); #87231=EDGE_CURVE('',#69144,#69142,#31035,.T.); #87232=EDGE_CURVE('',#69144,#69145,#31036,.T.); #87233=EDGE_CURVE('',#69145,#69143,#31037,.T.); #87234=EDGE_CURVE('',#69146,#69144,#31038,.T.); #87235=EDGE_CURVE('',#69146,#69147,#31039,.T.); #87236=EDGE_CURVE('',#69147,#69145,#31040,.T.); #87237=EDGE_CURVE('',#69148,#69146,#31041,.T.); #87238=EDGE_CURVE('',#69148,#69149,#31042,.T.); #87239=EDGE_CURVE('',#69149,#69147,#31043,.T.); #87240=EDGE_CURVE('',#69150,#69148,#31044,.T.); #87241=EDGE_CURVE('',#69150,#69151,#31045,.T.); #87242=EDGE_CURVE('',#69151,#69149,#31046,.T.); #87243=EDGE_CURVE('',#68879,#69150,#31047,.T.); #87244=EDGE_CURVE('',#68881,#69151,#31048,.T.); #87245=EDGE_CURVE('',#69152,#69153,#59385,.T.); #87246=EDGE_CURVE('',#69152,#69154,#31049,.T.); #87247=EDGE_CURVE('',#69154,#69155,#59386,.T.); #87248=EDGE_CURVE('',#69153,#69155,#31050,.T.); #87249=EDGE_CURVE('',#69156,#69152,#59387,.T.); #87250=EDGE_CURVE('',#69156,#69157,#31051,.T.); #87251=EDGE_CURVE('',#69157,#69154,#59388,.T.); #87252=EDGE_CURVE('',#69158,#69156,#59389,.T.); #87253=EDGE_CURVE('',#69158,#69159,#31052,.T.); #87254=EDGE_CURVE('',#69159,#69157,#59390,.T.); #87255=EDGE_CURVE('',#69160,#69158,#59391,.T.); #87256=EDGE_CURVE('',#69160,#69161,#31053,.T.); #87257=EDGE_CURVE('',#69161,#69159,#59392,.T.); #87258=EDGE_CURVE('',#69162,#69160,#31054,.T.); #87259=EDGE_CURVE('',#69162,#69163,#31055,.T.); #87260=EDGE_CURVE('',#69163,#69161,#31056,.T.); #87261=EDGE_CURVE('',#69164,#69162,#31057,.T.); #87262=EDGE_CURVE('',#69164,#69165,#31058,.T.); #87263=EDGE_CURVE('',#69165,#69163,#31059,.T.); #87264=EDGE_CURVE('',#69153,#69164,#31060,.T.); #87265=EDGE_CURVE('',#69155,#69165,#31061,.T.); #87266=EDGE_CURVE('',#69166,#69167,#59393,.T.); #87267=EDGE_CURVE('',#69166,#69168,#31062,.T.); #87268=EDGE_CURVE('',#69168,#69169,#59394,.T.); #87269=EDGE_CURVE('',#69167,#69169,#31063,.T.); #87270=EDGE_CURVE('',#69170,#69166,#31064,.T.); #87271=EDGE_CURVE('',#69170,#69171,#31065,.T.); #87272=EDGE_CURVE('',#69171,#69168,#31066,.T.); #87273=EDGE_CURVE('',#69172,#69170,#31067,.T.); #87274=EDGE_CURVE('',#69172,#69173,#31068,.T.); #87275=EDGE_CURVE('',#69173,#69171,#31069,.T.); #87276=EDGE_CURVE('',#69174,#69172,#31070,.T.); #87277=EDGE_CURVE('',#69174,#69175,#31071,.T.); #87278=EDGE_CURVE('',#69175,#69173,#31072,.T.); #87279=EDGE_CURVE('',#69176,#69174,#31073,.T.); #87280=EDGE_CURVE('',#69176,#69177,#31074,.T.); #87281=EDGE_CURVE('',#69177,#69175,#31075,.T.); #87282=EDGE_CURVE('',#69178,#69176,#59395,.T.); #87283=EDGE_CURVE('',#69178,#69179,#31076,.T.); #87284=EDGE_CURVE('',#69179,#69177,#59396,.T.); #87285=EDGE_CURVE('',#69180,#69178,#59397,.T.); #87286=EDGE_CURVE('',#69180,#69181,#31077,.T.); #87287=EDGE_CURVE('',#69181,#69179,#59398,.T.); #87288=EDGE_CURVE('',#69167,#69180,#59399,.T.); #87289=EDGE_CURVE('',#69169,#69181,#59400,.T.); #87290=EDGE_CURVE('',#69182,#69183,#31078,.T.); #87291=EDGE_CURVE('',#69182,#69184,#31079,.T.); #87292=EDGE_CURVE('',#69184,#69185,#31080,.T.); #87293=EDGE_CURVE('',#69183,#69185,#31081,.T.); #87294=EDGE_CURVE('',#69186,#69182,#31082,.T.); #87295=EDGE_CURVE('',#69186,#69187,#31083,.T.); #87296=EDGE_CURVE('',#69187,#69184,#31084,.T.); #87297=EDGE_CURVE('',#69188,#69186,#31085,.T.); #87298=EDGE_CURVE('',#69188,#69189,#31086,.T.); #87299=EDGE_CURVE('',#69189,#69187,#31087,.T.); #87300=EDGE_CURVE('',#69190,#69188,#31088,.T.); #87301=EDGE_CURVE('',#69190,#69191,#31089,.T.); #87302=EDGE_CURVE('',#69191,#69189,#31090,.T.); #87303=EDGE_CURVE('',#69192,#69190,#31091,.T.); #87304=EDGE_CURVE('',#69192,#69193,#31092,.T.); #87305=EDGE_CURVE('',#69193,#69191,#31093,.T.); #87306=EDGE_CURVE('',#69194,#69192,#31094,.T.); #87307=EDGE_CURVE('',#69194,#69195,#31095,.T.); #87308=EDGE_CURVE('',#69195,#69193,#31096,.T.); #87309=EDGE_CURVE('',#69196,#69194,#59401,.T.); #87310=EDGE_CURVE('',#69196,#69197,#31097,.T.); #87311=EDGE_CURVE('',#69197,#69195,#59402,.T.); #87312=EDGE_CURVE('',#69198,#69196,#31098,.T.); #87313=EDGE_CURVE('',#69198,#69199,#31099,.T.); #87314=EDGE_CURVE('',#69199,#69197,#31100,.T.); #87315=EDGE_CURVE('',#69200,#69198,#59403,.T.); #87316=EDGE_CURVE('',#69200,#69201,#31101,.T.); #87317=EDGE_CURVE('',#69201,#69199,#59404,.T.); #87318=EDGE_CURVE('',#69202,#69200,#59405,.T.); #87319=EDGE_CURVE('',#69202,#69203,#31102,.T.); #87320=EDGE_CURVE('',#69203,#69201,#59406,.T.); #87321=EDGE_CURVE('',#69204,#69202,#59407,.T.); #87322=EDGE_CURVE('',#69204,#69205,#31103,.T.); #87323=EDGE_CURVE('',#69205,#69203,#59408,.T.); #87324=EDGE_CURVE('',#69206,#69204,#59409,.T.); #87325=EDGE_CURVE('',#69206,#69207,#31104,.T.); #87326=EDGE_CURVE('',#69207,#69205,#59410,.T.); #87327=EDGE_CURVE('',#69208,#69206,#31105,.T.); #87328=EDGE_CURVE('',#69208,#69209,#31106,.T.); #87329=EDGE_CURVE('',#69209,#69207,#31107,.T.); #87330=EDGE_CURVE('',#69210,#69208,#59411,.T.); #87331=EDGE_CURVE('',#69210,#69211,#31108,.T.); #87332=EDGE_CURVE('',#69211,#69209,#59412,.T.); #87333=EDGE_CURVE('',#69212,#69210,#59413,.T.); #87334=EDGE_CURVE('',#69212,#69213,#31109,.T.); #87335=EDGE_CURVE('',#69213,#69211,#59414,.T.); #87336=EDGE_CURVE('',#69214,#69212,#59415,.T.); #87337=EDGE_CURVE('',#69214,#69215,#31110,.T.); #87338=EDGE_CURVE('',#69215,#69213,#59416,.T.); #87339=EDGE_CURVE('',#69216,#69214,#59417,.T.); #87340=EDGE_CURVE('',#69216,#69217,#31111,.T.); #87341=EDGE_CURVE('',#69217,#69215,#59418,.T.); #87342=EDGE_CURVE('',#69218,#69216,#31112,.T.); #87343=EDGE_CURVE('',#69218,#69219,#31113,.T.); #87344=EDGE_CURVE('',#69219,#69217,#31114,.T.); #87345=EDGE_CURVE('',#69220,#69218,#31115,.T.); #87346=EDGE_CURVE('',#69220,#69221,#31116,.T.); #87347=EDGE_CURVE('',#69221,#69219,#31117,.T.); #87348=EDGE_CURVE('',#69222,#69220,#59419,.T.); #87349=EDGE_CURVE('',#69222,#69223,#31118,.T.); #87350=EDGE_CURVE('',#69223,#69221,#59420,.T.); #87351=EDGE_CURVE('',#69224,#69222,#31119,.T.); #87352=EDGE_CURVE('',#69224,#69225,#31120,.T.); #87353=EDGE_CURVE('',#69225,#69223,#31121,.T.); #87354=EDGE_CURVE('',#69226,#69224,#31122,.T.); #87355=EDGE_CURVE('',#69226,#69227,#31123,.T.); #87356=EDGE_CURVE('',#69227,#69225,#31124,.T.); #87357=EDGE_CURVE('',#69228,#69226,#31125,.T.); #87358=EDGE_CURVE('',#69228,#69229,#31126,.T.); #87359=EDGE_CURVE('',#69229,#69227,#31127,.T.); #87360=EDGE_CURVE('',#69230,#69228,#31128,.T.); #87361=EDGE_CURVE('',#69230,#69231,#31129,.T.); #87362=EDGE_CURVE('',#69231,#69229,#31130,.T.); #87363=EDGE_CURVE('',#69232,#69230,#31131,.T.); #87364=EDGE_CURVE('',#69232,#69233,#31132,.T.); #87365=EDGE_CURVE('',#69233,#69231,#31133,.T.); #87366=EDGE_CURVE('',#69234,#69232,#31134,.T.); #87367=EDGE_CURVE('',#69234,#69235,#31135,.T.); #87368=EDGE_CURVE('',#69235,#69233,#31136,.T.); #87369=EDGE_CURVE('',#69236,#69234,#31137,.T.); #87370=EDGE_CURVE('',#69236,#69237,#31138,.T.); #87371=EDGE_CURVE('',#69237,#69235,#31139,.T.); #87372=EDGE_CURVE('',#69238,#69236,#59421,.T.); #87373=EDGE_CURVE('',#69238,#69239,#31140,.T.); #87374=EDGE_CURVE('',#69239,#69237,#59422,.T.); #87375=EDGE_CURVE('',#69240,#69238,#31141,.T.); #87376=EDGE_CURVE('',#69240,#69241,#31142,.T.); #87377=EDGE_CURVE('',#69241,#69239,#31143,.T.); #87378=EDGE_CURVE('',#69242,#69240,#31144,.T.); #87379=EDGE_CURVE('',#69242,#69243,#31145,.T.); #87380=EDGE_CURVE('',#69243,#69241,#31146,.T.); #87381=EDGE_CURVE('',#69244,#69242,#31147,.T.); #87382=EDGE_CURVE('',#69244,#69245,#31148,.T.); #87383=EDGE_CURVE('',#69245,#69243,#31149,.T.); #87384=EDGE_CURVE('',#69246,#69244,#31150,.T.); #87385=EDGE_CURVE('',#69246,#69247,#31151,.T.); #87386=EDGE_CURVE('',#69247,#69245,#31152,.T.); #87387=EDGE_CURVE('',#69248,#69246,#31153,.T.); #87388=EDGE_CURVE('',#69248,#69249,#31154,.T.); #87389=EDGE_CURVE('',#69249,#69247,#31155,.T.); #87390=EDGE_CURVE('',#69250,#69248,#31156,.T.); #87391=EDGE_CURVE('',#69250,#69251,#31157,.T.); #87392=EDGE_CURVE('',#69251,#69249,#31158,.T.); #87393=EDGE_CURVE('',#69252,#69250,#31159,.T.); #87394=EDGE_CURVE('',#69252,#69253,#31160,.T.); #87395=EDGE_CURVE('',#69253,#69251,#31161,.T.); #87396=EDGE_CURVE('',#69254,#69252,#31162,.T.); #87397=EDGE_CURVE('',#69254,#69255,#31163,.T.); #87398=EDGE_CURVE('',#69255,#69253,#31164,.T.); #87399=EDGE_CURVE('',#69256,#69254,#59423,.T.); #87400=EDGE_CURVE('',#69256,#69257,#31165,.T.); #87401=EDGE_CURVE('',#69257,#69255,#59424,.T.); #87402=EDGE_CURVE('',#69258,#69256,#31166,.T.); #87403=EDGE_CURVE('',#69258,#69259,#31167,.T.); #87404=EDGE_CURVE('',#69259,#69257,#31168,.T.); #87405=EDGE_CURVE('',#69260,#69258,#59425,.T.); #87406=EDGE_CURVE('',#69260,#69261,#31169,.T.); #87407=EDGE_CURVE('',#69261,#69259,#59426,.T.); #87408=EDGE_CURVE('',#69262,#69260,#31170,.T.); #87409=EDGE_CURVE('',#69262,#69263,#31171,.T.); #87410=EDGE_CURVE('',#69263,#69261,#31172,.T.); #87411=EDGE_CURVE('',#69264,#69262,#31173,.T.); #87412=EDGE_CURVE('',#69264,#69265,#31174,.T.); #87413=EDGE_CURVE('',#69265,#69263,#31175,.T.); #87414=EDGE_CURVE('',#69266,#69264,#59427,.T.); #87415=EDGE_CURVE('',#69266,#69267,#31176,.T.); #87416=EDGE_CURVE('',#69267,#69265,#59428,.T.); #87417=EDGE_CURVE('',#69268,#69266,#31177,.T.); #87418=EDGE_CURVE('',#69268,#69269,#31178,.T.); #87419=EDGE_CURVE('',#69269,#69267,#31179,.T.); #87420=EDGE_CURVE('',#69270,#69268,#31180,.T.); #87421=EDGE_CURVE('',#69270,#69271,#31181,.T.); #87422=EDGE_CURVE('',#69271,#69269,#31182,.T.); #87423=EDGE_CURVE('',#69272,#69270,#31183,.T.); #87424=EDGE_CURVE('',#69272,#69273,#31184,.T.); #87425=EDGE_CURVE('',#69273,#69271,#31185,.T.); #87426=EDGE_CURVE('',#69274,#69272,#31186,.T.); #87427=EDGE_CURVE('',#69274,#69275,#31187,.T.); #87428=EDGE_CURVE('',#69275,#69273,#31188,.T.); #87429=EDGE_CURVE('',#69276,#69274,#31189,.T.); #87430=EDGE_CURVE('',#69276,#69277,#31190,.T.); #87431=EDGE_CURVE('',#69277,#69275,#31191,.T.); #87432=EDGE_CURVE('',#69278,#69276,#31192,.T.); #87433=EDGE_CURVE('',#69278,#69279,#31193,.T.); #87434=EDGE_CURVE('',#69279,#69277,#31194,.T.); #87435=EDGE_CURVE('',#69280,#69278,#31195,.T.); #87436=EDGE_CURVE('',#69280,#69281,#31196,.T.); #87437=EDGE_CURVE('',#69281,#69279,#31197,.T.); #87438=EDGE_CURVE('',#69282,#69280,#31198,.T.); #87439=EDGE_CURVE('',#69282,#69283,#31199,.T.); #87440=EDGE_CURVE('',#69283,#69281,#31200,.T.); #87441=EDGE_CURVE('',#69284,#69282,#31201,.T.); #87442=EDGE_CURVE('',#69284,#69285,#31202,.T.); #87443=EDGE_CURVE('',#69285,#69283,#31203,.T.); #87444=EDGE_CURVE('',#69286,#69284,#31204,.T.); #87445=EDGE_CURVE('',#69286,#69287,#31205,.T.); #87446=EDGE_CURVE('',#69287,#69285,#31206,.T.); #87447=EDGE_CURVE('',#69288,#69286,#31207,.T.); #87448=EDGE_CURVE('',#69288,#69289,#31208,.T.); #87449=EDGE_CURVE('',#69289,#69287,#31209,.T.); #87450=EDGE_CURVE('',#69290,#69288,#31210,.T.); #87451=EDGE_CURVE('',#69290,#69291,#31211,.T.); #87452=EDGE_CURVE('',#69291,#69289,#31212,.T.); #87453=EDGE_CURVE('',#69292,#69290,#31213,.T.); #87454=EDGE_CURVE('',#69292,#69293,#31214,.T.); #87455=EDGE_CURVE('',#69293,#69291,#31215,.T.); #87456=EDGE_CURVE('',#69294,#69292,#31216,.T.); #87457=EDGE_CURVE('',#69294,#69295,#31217,.T.); #87458=EDGE_CURVE('',#69295,#69293,#31218,.T.); #87459=EDGE_CURVE('',#69296,#69294,#31219,.T.); #87460=EDGE_CURVE('',#69296,#69297,#31220,.T.); #87461=EDGE_CURVE('',#69297,#69295,#31221,.T.); #87462=EDGE_CURVE('',#69298,#69296,#31222,.T.); #87463=EDGE_CURVE('',#69298,#69299,#31223,.T.); #87464=EDGE_CURVE('',#69299,#69297,#31224,.T.); #87465=EDGE_CURVE('',#69300,#69298,#31225,.T.); #87466=EDGE_CURVE('',#69300,#69301,#31226,.T.); #87467=EDGE_CURVE('',#69301,#69299,#31227,.T.); #87468=EDGE_CURVE('',#69183,#69300,#31228,.T.); #87469=EDGE_CURVE('',#69185,#69301,#31229,.T.); #87470=EDGE_CURVE('',#69302,#69303,#59429,.T.); #87471=EDGE_CURVE('',#69302,#69304,#31230,.T.); #87472=EDGE_CURVE('',#69304,#69305,#59430,.T.); #87473=EDGE_CURVE('',#69303,#69305,#31231,.T.); #87474=EDGE_CURVE('',#69306,#69302,#59431,.T.); #87475=EDGE_CURVE('',#69306,#69307,#31232,.T.); #87476=EDGE_CURVE('',#69307,#69304,#59432,.T.); #87477=EDGE_CURVE('',#69308,#69306,#59433,.T.); #87478=EDGE_CURVE('',#69308,#69309,#31233,.T.); #87479=EDGE_CURVE('',#69309,#69307,#59434,.T.); #87480=EDGE_CURVE('',#69310,#69308,#31234,.T.); #87481=EDGE_CURVE('',#69310,#69311,#31235,.T.); #87482=EDGE_CURVE('',#69311,#69309,#31236,.T.); #87483=EDGE_CURVE('',#69312,#69310,#59435,.T.); #87484=EDGE_CURVE('',#69312,#69313,#31237,.T.); #87485=EDGE_CURVE('',#69313,#69311,#59436,.T.); #87486=EDGE_CURVE('',#69314,#69312,#31238,.T.); #87487=EDGE_CURVE('',#69314,#69315,#31239,.T.); #87488=EDGE_CURVE('',#69315,#69313,#31240,.T.); #87489=EDGE_CURVE('',#69316,#69314,#31241,.T.); #87490=EDGE_CURVE('',#69316,#69317,#31242,.T.); #87491=EDGE_CURVE('',#69317,#69315,#31243,.T.); #87492=EDGE_CURVE('',#69318,#69316,#31244,.T.); #87493=EDGE_CURVE('',#69318,#69319,#31245,.T.); #87494=EDGE_CURVE('',#69319,#69317,#31246,.T.); #87495=EDGE_CURVE('',#69320,#69318,#31247,.T.); #87496=EDGE_CURVE('',#69320,#69321,#31248,.T.); #87497=EDGE_CURVE('',#69321,#69319,#31249,.T.); #87498=EDGE_CURVE('',#69322,#69320,#31250,.T.); #87499=EDGE_CURVE('',#69322,#69323,#31251,.T.); #87500=EDGE_CURVE('',#69323,#69321,#31252,.T.); #87501=EDGE_CURVE('',#69324,#69322,#31253,.T.); #87502=EDGE_CURVE('',#69324,#69325,#31254,.T.); #87503=EDGE_CURVE('',#69325,#69323,#31255,.T.); #87504=EDGE_CURVE('',#69326,#69324,#59437,.T.); #87505=EDGE_CURVE('',#69326,#69327,#31256,.T.); #87506=EDGE_CURVE('',#69327,#69325,#59438,.T.); #87507=EDGE_CURVE('',#69328,#69326,#59439,.T.); #87508=EDGE_CURVE('',#69328,#69329,#31257,.T.); #87509=EDGE_CURVE('',#69329,#69327,#59440,.T.); #87510=EDGE_CURVE('',#69330,#69328,#31258,.T.); #87511=EDGE_CURVE('',#69330,#69331,#31259,.T.); #87512=EDGE_CURVE('',#69331,#69329,#31260,.T.); #87513=EDGE_CURVE('',#69332,#69330,#31261,.T.); #87514=EDGE_CURVE('',#69332,#69333,#31262,.T.); #87515=EDGE_CURVE('',#69333,#69331,#31263,.T.); #87516=EDGE_CURVE('',#69334,#69332,#31264,.T.); #87517=EDGE_CURVE('',#69334,#69335,#31265,.T.); #87518=EDGE_CURVE('',#69335,#69333,#31266,.T.); #87519=EDGE_CURVE('',#69336,#69334,#31267,.T.); #87520=EDGE_CURVE('',#69336,#69337,#31268,.T.); #87521=EDGE_CURVE('',#69337,#69335,#31269,.T.); #87522=EDGE_CURVE('',#69338,#69336,#31270,.T.); #87523=EDGE_CURVE('',#69338,#69339,#31271,.T.); #87524=EDGE_CURVE('',#69339,#69337,#31272,.T.); #87525=EDGE_CURVE('',#69340,#69338,#31273,.T.); #87526=EDGE_CURVE('',#69340,#69341,#31274,.T.); #87527=EDGE_CURVE('',#69341,#69339,#31275,.T.); #87528=EDGE_CURVE('',#69342,#69340,#31276,.T.); #87529=EDGE_CURVE('',#69342,#69343,#31277,.T.); #87530=EDGE_CURVE('',#69343,#69341,#31278,.T.); #87531=EDGE_CURVE('',#69344,#69342,#31279,.T.); #87532=EDGE_CURVE('',#69344,#69345,#31280,.T.); #87533=EDGE_CURVE('',#69345,#69343,#31281,.T.); #87534=EDGE_CURVE('',#69346,#69344,#31282,.T.); #87535=EDGE_CURVE('',#69346,#69347,#31283,.T.); #87536=EDGE_CURVE('',#69347,#69345,#31284,.T.); #87537=EDGE_CURVE('',#69348,#69346,#31285,.T.); #87538=EDGE_CURVE('',#69348,#69349,#31286,.T.); #87539=EDGE_CURVE('',#69349,#69347,#31287,.T.); #87540=EDGE_CURVE('',#69350,#69348,#59441,.T.); #87541=EDGE_CURVE('',#69350,#69351,#31288,.T.); #87542=EDGE_CURVE('',#69351,#69349,#59442,.T.); #87543=EDGE_CURVE('',#69352,#69350,#59443,.T.); #87544=EDGE_CURVE('',#69352,#69353,#31289,.T.); #87545=EDGE_CURVE('',#69353,#69351,#59444,.T.); #87546=EDGE_CURVE('',#69354,#69352,#59445,.T.); #87547=EDGE_CURVE('',#69354,#69355,#31290,.T.); #87548=EDGE_CURVE('',#69355,#69353,#59446,.T.); #87549=EDGE_CURVE('',#69356,#69354,#31291,.T.); #87550=EDGE_CURVE('',#69356,#69357,#31292,.T.); #87551=EDGE_CURVE('',#69357,#69355,#31293,.T.); #87552=EDGE_CURVE('',#69358,#69356,#59447,.T.); #87553=EDGE_CURVE('',#69358,#69359,#31294,.T.); #87554=EDGE_CURVE('',#69359,#69357,#59448,.T.); #87555=EDGE_CURVE('',#69360,#69358,#59449,.T.); #87556=EDGE_CURVE('',#69360,#69361,#31295,.T.); #87557=EDGE_CURVE('',#69361,#69359,#59450,.T.); #87558=EDGE_CURVE('',#69362,#69360,#31296,.T.); #87559=EDGE_CURVE('',#69362,#69363,#31297,.T.); #87560=EDGE_CURVE('',#69363,#69361,#31298,.T.); #87561=EDGE_CURVE('',#69364,#69362,#31299,.T.); #87562=EDGE_CURVE('',#69364,#69365,#31300,.T.); #87563=EDGE_CURVE('',#69365,#69363,#31301,.T.); #87564=EDGE_CURVE('',#69366,#69364,#31302,.T.); #87565=EDGE_CURVE('',#69366,#69367,#31303,.T.); #87566=EDGE_CURVE('',#69367,#69365,#31304,.T.); #87567=EDGE_CURVE('',#69368,#69366,#59451,.T.); #87568=EDGE_CURVE('',#69368,#69369,#31305,.T.); #87569=EDGE_CURVE('',#69369,#69367,#59452,.T.); #87570=EDGE_CURVE('',#69370,#69368,#59453,.T.); #87571=EDGE_CURVE('',#69370,#69371,#31306,.T.); #87572=EDGE_CURVE('',#69371,#69369,#59454,.T.); #87573=EDGE_CURVE('',#69372,#69370,#59455,.T.); #87574=EDGE_CURVE('',#69372,#69373,#31307,.T.); #87575=EDGE_CURVE('',#69373,#69371,#59456,.T.); #87576=EDGE_CURVE('',#69374,#69372,#59457,.T.); #87577=EDGE_CURVE('',#69374,#69375,#31308,.T.); #87578=EDGE_CURVE('',#69375,#69373,#59458,.T.); #87579=EDGE_CURVE('',#69376,#69374,#59459,.T.); #87580=EDGE_CURVE('',#69376,#69377,#31309,.T.); #87581=EDGE_CURVE('',#69377,#69375,#59460,.T.); #87582=EDGE_CURVE('',#69378,#69376,#59461,.T.); #87583=EDGE_CURVE('',#69378,#69379,#31310,.T.); #87584=EDGE_CURVE('',#69379,#69377,#59462,.T.); #87585=EDGE_CURVE('',#69380,#69378,#59463,.T.); #87586=EDGE_CURVE('',#69380,#69381,#31311,.T.); #87587=EDGE_CURVE('',#69381,#69379,#59464,.T.); #87588=EDGE_CURVE('',#69382,#69380,#31312,.T.); #87589=EDGE_CURVE('',#69382,#69383,#31313,.T.); #87590=EDGE_CURVE('',#69383,#69381,#31314,.T.); #87591=EDGE_CURVE('',#69384,#69382,#31315,.T.); #87592=EDGE_CURVE('',#69384,#69385,#31316,.T.); #87593=EDGE_CURVE('',#69385,#69383,#31317,.T.); #87594=EDGE_CURVE('',#69386,#69384,#59465,.T.); #87595=EDGE_CURVE('',#69386,#69387,#31318,.T.); #87596=EDGE_CURVE('',#69387,#69385,#59466,.T.); #87597=EDGE_CURVE('',#69388,#69386,#31319,.T.); #87598=EDGE_CURVE('',#69388,#69389,#31320,.T.); #87599=EDGE_CURVE('',#69389,#69387,#31321,.T.); #87600=EDGE_CURVE('',#69390,#69388,#59467,.T.); #87601=EDGE_CURVE('',#69390,#69391,#31322,.T.); #87602=EDGE_CURVE('',#69391,#69389,#59468,.T.); #87603=EDGE_CURVE('',#69392,#69390,#59469,.T.); #87604=EDGE_CURVE('',#69392,#69393,#31323,.T.); #87605=EDGE_CURVE('',#69393,#69391,#59470,.T.); #87606=EDGE_CURVE('',#69394,#69392,#59471,.T.); #87607=EDGE_CURVE('',#69394,#69395,#31324,.T.); #87608=EDGE_CURVE('',#69395,#69393,#59472,.T.); #87609=EDGE_CURVE('',#69396,#69394,#59473,.T.); #87610=EDGE_CURVE('',#69396,#69397,#31325,.T.); #87611=EDGE_CURVE('',#69397,#69395,#59474,.T.); #87612=EDGE_CURVE('',#69398,#69396,#59475,.T.); #87613=EDGE_CURVE('',#69398,#69399,#31326,.T.); #87614=EDGE_CURVE('',#69399,#69397,#59476,.T.); #87615=EDGE_CURVE('',#69400,#69398,#31327,.T.); #87616=EDGE_CURVE('',#69400,#69401,#31328,.T.); #87617=EDGE_CURVE('',#69401,#69399,#31329,.T.); #87618=EDGE_CURVE('',#69402,#69400,#31330,.T.); #87619=EDGE_CURVE('',#69402,#69403,#31331,.T.); #87620=EDGE_CURVE('',#69403,#69401,#31332,.T.); #87621=EDGE_CURVE('',#69404,#69402,#59477,.T.); #87622=EDGE_CURVE('',#69404,#69405,#31333,.T.); #87623=EDGE_CURVE('',#69405,#69403,#59478,.T.); #87624=EDGE_CURVE('',#69406,#69404,#31334,.T.); #87625=EDGE_CURVE('',#69406,#69407,#31335,.T.); #87626=EDGE_CURVE('',#69407,#69405,#31336,.T.); #87627=EDGE_CURVE('',#69408,#69406,#31337,.T.); #87628=EDGE_CURVE('',#69408,#69409,#31338,.T.); #87629=EDGE_CURVE('',#69409,#69407,#31339,.T.); #87630=EDGE_CURVE('',#69410,#69408,#31340,.T.); #87631=EDGE_CURVE('',#69410,#69411,#31341,.T.); #87632=EDGE_CURVE('',#69411,#69409,#31342,.T.); #87633=EDGE_CURVE('',#69412,#69410,#31343,.T.); #87634=EDGE_CURVE('',#69412,#69413,#31344,.T.); #87635=EDGE_CURVE('',#69413,#69411,#31345,.T.); #87636=EDGE_CURVE('',#69414,#69412,#31346,.T.); #87637=EDGE_CURVE('',#69414,#69415,#31347,.T.); #87638=EDGE_CURVE('',#69415,#69413,#31348,.T.); #87639=EDGE_CURVE('',#69416,#69414,#31349,.T.); #87640=EDGE_CURVE('',#69416,#69417,#31350,.T.); #87641=EDGE_CURVE('',#69417,#69415,#31351,.T.); #87642=EDGE_CURVE('',#69418,#69416,#31352,.T.); #87643=EDGE_CURVE('',#69418,#69419,#31353,.T.); #87644=EDGE_CURVE('',#69419,#69417,#31354,.T.); #87645=EDGE_CURVE('',#69420,#69418,#59479,.T.); #87646=EDGE_CURVE('',#69420,#69421,#31355,.T.); #87647=EDGE_CURVE('',#69421,#69419,#59480,.T.); #87648=EDGE_CURVE('',#69422,#69420,#31356,.T.); #87649=EDGE_CURVE('',#69422,#69423,#31357,.T.); #87650=EDGE_CURVE('',#69423,#69421,#31358,.T.); #87651=EDGE_CURVE('',#69424,#69422,#31359,.T.); #87652=EDGE_CURVE('',#69424,#69425,#31360,.T.); #87653=EDGE_CURVE('',#69425,#69423,#31361,.T.); #87654=EDGE_CURVE('',#69426,#69424,#31362,.T.); #87655=EDGE_CURVE('',#69426,#69427,#31363,.T.); #87656=EDGE_CURVE('',#69427,#69425,#31364,.T.); #87657=EDGE_CURVE('',#69428,#69426,#31365,.T.); #87658=EDGE_CURVE('',#69428,#69429,#31366,.T.); #87659=EDGE_CURVE('',#69429,#69427,#31367,.T.); #87660=EDGE_CURVE('',#69430,#69428,#31368,.T.); #87661=EDGE_CURVE('',#69430,#69431,#31369,.T.); #87662=EDGE_CURVE('',#69431,#69429,#31370,.T.); #87663=EDGE_CURVE('',#69432,#69430,#31371,.T.); #87664=EDGE_CURVE('',#69432,#69433,#31372,.T.); #87665=EDGE_CURVE('',#69433,#69431,#31373,.T.); #87666=EDGE_CURVE('',#69434,#69432,#59481,.T.); #87667=EDGE_CURVE('',#69434,#69435,#31374,.T.); #87668=EDGE_CURVE('',#69435,#69433,#59482,.T.); #87669=EDGE_CURVE('',#69436,#69434,#31375,.T.); #87670=EDGE_CURVE('',#69436,#69437,#31376,.T.); #87671=EDGE_CURVE('',#69437,#69435,#31377,.T.); #87672=EDGE_CURVE('',#69438,#69436,#31378,.T.); #87673=EDGE_CURVE('',#69438,#69439,#31379,.T.); #87674=EDGE_CURVE('',#69439,#69437,#31380,.T.); #87675=EDGE_CURVE('',#69440,#69438,#31381,.T.); #87676=EDGE_CURVE('',#69440,#69441,#31382,.T.); #87677=EDGE_CURVE('',#69441,#69439,#31383,.T.); #87678=EDGE_CURVE('',#69442,#69440,#31384,.T.); #87679=EDGE_CURVE('',#69442,#69443,#31385,.T.); #87680=EDGE_CURVE('',#69443,#69441,#31386,.T.); #87681=EDGE_CURVE('',#69444,#69442,#31387,.T.); #87682=EDGE_CURVE('',#69444,#69445,#31388,.T.); #87683=EDGE_CURVE('',#69445,#69443,#31389,.T.); #87684=EDGE_CURVE('',#69446,#69444,#31390,.T.); #87685=EDGE_CURVE('',#69446,#69447,#31391,.T.); #87686=EDGE_CURVE('',#69447,#69445,#31392,.T.); #87687=EDGE_CURVE('',#69448,#69446,#31393,.T.); #87688=EDGE_CURVE('',#69448,#69449,#31394,.T.); #87689=EDGE_CURVE('',#69449,#69447,#31395,.T.); #87690=EDGE_CURVE('',#69450,#69448,#31396,.T.); #87691=EDGE_CURVE('',#69450,#69451,#31397,.T.); #87692=EDGE_CURVE('',#69451,#69449,#31398,.T.); #87693=EDGE_CURVE('',#69452,#69450,#59483,.T.); #87694=EDGE_CURVE('',#69452,#69453,#31399,.T.); #87695=EDGE_CURVE('',#69453,#69451,#59484,.T.); #87696=EDGE_CURVE('',#69454,#69452,#31400,.T.); #87697=EDGE_CURVE('',#69454,#69455,#31401,.T.); #87698=EDGE_CURVE('',#69455,#69453,#31402,.T.); #87699=EDGE_CURVE('',#69456,#69454,#59485,.T.); #87700=EDGE_CURVE('',#69456,#69457,#31403,.T.); #87701=EDGE_CURVE('',#69457,#69455,#59486,.T.); #87702=EDGE_CURVE('',#69458,#69456,#31404,.T.); #87703=EDGE_CURVE('',#69458,#69459,#31405,.T.); #87704=EDGE_CURVE('',#69459,#69457,#31406,.T.); #87705=EDGE_CURVE('',#69460,#69458,#31407,.T.); #87706=EDGE_CURVE('',#69460,#69461,#31408,.T.); #87707=EDGE_CURVE('',#69461,#69459,#31409,.T.); #87708=EDGE_CURVE('',#69462,#69460,#31410,.T.); #87709=EDGE_CURVE('',#69462,#69463,#31411,.T.); #87710=EDGE_CURVE('',#69463,#69461,#31412,.T.); #87711=EDGE_CURVE('',#69464,#69462,#31413,.T.); #87712=EDGE_CURVE('',#69464,#69465,#31414,.T.); #87713=EDGE_CURVE('',#69465,#69463,#31415,.T.); #87714=EDGE_CURVE('',#69466,#69464,#31416,.T.); #87715=EDGE_CURVE('',#69466,#69467,#31417,.T.); #87716=EDGE_CURVE('',#69467,#69465,#31418,.T.); #87717=EDGE_CURVE('',#69468,#69466,#31419,.T.); #87718=EDGE_CURVE('',#69468,#69469,#31420,.T.); #87719=EDGE_CURVE('',#69469,#69467,#31421,.T.); #87720=EDGE_CURVE('',#69470,#69468,#31422,.T.); #87721=EDGE_CURVE('',#69470,#69471,#31423,.T.); #87722=EDGE_CURVE('',#69471,#69469,#31424,.T.); #87723=EDGE_CURVE('',#69472,#69470,#31425,.T.); #87724=EDGE_CURVE('',#69472,#69473,#31426,.T.); #87725=EDGE_CURVE('',#69473,#69471,#31427,.T.); #87726=EDGE_CURVE('',#69474,#69472,#31428,.T.); #87727=EDGE_CURVE('',#69474,#69475,#31429,.T.); #87728=EDGE_CURVE('',#69475,#69473,#31430,.T.); #87729=EDGE_CURVE('',#69476,#69474,#31431,.T.); #87730=EDGE_CURVE('',#69476,#69477,#31432,.T.); #87731=EDGE_CURVE('',#69477,#69475,#31433,.T.); #87732=EDGE_CURVE('',#69478,#69476,#31434,.T.); #87733=EDGE_CURVE('',#69478,#69479,#31435,.T.); #87734=EDGE_CURVE('',#69479,#69477,#31436,.T.); #87735=EDGE_CURVE('',#69480,#69478,#31437,.T.); #87736=EDGE_CURVE('',#69480,#69481,#31438,.T.); #87737=EDGE_CURVE('',#69481,#69479,#31439,.T.); #87738=EDGE_CURVE('',#69482,#69480,#31440,.T.); #87739=EDGE_CURVE('',#69482,#69483,#31441,.T.); #87740=EDGE_CURVE('',#69483,#69481,#31442,.T.); #87741=EDGE_CURVE('',#69484,#69482,#59487,.T.); #87742=EDGE_CURVE('',#69484,#69485,#31443,.T.); #87743=EDGE_CURVE('',#69485,#69483,#59488,.T.); #87744=EDGE_CURVE('',#69486,#69484,#59489,.T.); #87745=EDGE_CURVE('',#69486,#69487,#31444,.T.); #87746=EDGE_CURVE('',#69487,#69485,#59490,.T.); #87747=EDGE_CURVE('',#69488,#69486,#31445,.T.); #87748=EDGE_CURVE('',#69488,#69489,#31446,.T.); #87749=EDGE_CURVE('',#69489,#69487,#31447,.T.); #87750=EDGE_CURVE('',#69490,#69488,#31448,.T.); #87751=EDGE_CURVE('',#69490,#69491,#31449,.T.); #87752=EDGE_CURVE('',#69491,#69489,#31450,.T.); #87753=EDGE_CURVE('',#69492,#69490,#31451,.T.); #87754=EDGE_CURVE('',#69492,#69493,#31452,.T.); #87755=EDGE_CURVE('',#69493,#69491,#31453,.T.); #87756=EDGE_CURVE('',#69494,#69492,#31454,.T.); #87757=EDGE_CURVE('',#69494,#69495,#31455,.T.); #87758=EDGE_CURVE('',#69495,#69493,#31456,.T.); #87759=EDGE_CURVE('',#69496,#69494,#31457,.T.); #87760=EDGE_CURVE('',#69496,#69497,#31458,.T.); #87761=EDGE_CURVE('',#69497,#69495,#31459,.T.); #87762=EDGE_CURVE('',#69498,#69496,#59491,.T.); #87763=EDGE_CURVE('',#69498,#69499,#31460,.T.); #87764=EDGE_CURVE('',#69499,#69497,#59492,.T.); #87765=EDGE_CURVE('',#69500,#69498,#31461,.T.); #87766=EDGE_CURVE('',#69500,#69501,#31462,.T.); #87767=EDGE_CURVE('',#69501,#69499,#31463,.T.); #87768=EDGE_CURVE('',#69502,#69500,#59493,.T.); #87769=EDGE_CURVE('',#69502,#69503,#31464,.T.); #87770=EDGE_CURVE('',#69503,#69501,#59494,.T.); #87771=EDGE_CURVE('',#69504,#69502,#31465,.T.); #87772=EDGE_CURVE('',#69504,#69505,#31466,.T.); #87773=EDGE_CURVE('',#69505,#69503,#31467,.T.); #87774=EDGE_CURVE('',#69506,#69504,#31468,.T.); #87775=EDGE_CURVE('',#69506,#69507,#31469,.T.); #87776=EDGE_CURVE('',#69507,#69505,#31470,.T.); #87777=EDGE_CURVE('',#69508,#69506,#59495,.T.); #87778=EDGE_CURVE('',#69508,#69509,#31471,.T.); #87779=EDGE_CURVE('',#69509,#69507,#59496,.T.); #87780=EDGE_CURVE('',#69303,#69508,#59497,.T.); #87781=EDGE_CURVE('',#69305,#69509,#59498,.T.); #87782=EDGE_CURVE('',#69510,#69511,#31472,.T.); #87783=EDGE_CURVE('',#69510,#69512,#31473,.T.); #87784=EDGE_CURVE('',#69512,#69513,#31474,.T.); #87785=EDGE_CURVE('',#69511,#69513,#31475,.T.); #87786=EDGE_CURVE('',#69514,#69510,#31476,.T.); #87787=EDGE_CURVE('',#69514,#69515,#31477,.T.); #87788=EDGE_CURVE('',#69515,#69512,#31478,.T.); #87789=EDGE_CURVE('',#69516,#69514,#31479,.T.); #87790=EDGE_CURVE('',#69516,#69517,#31480,.T.); #87791=EDGE_CURVE('',#69517,#69515,#31481,.T.); #87792=EDGE_CURVE('',#69511,#69516,#31482,.T.); #87793=EDGE_CURVE('',#69513,#69517,#31483,.T.); #87794=EDGE_CURVE('',#69518,#69519,#31484,.T.); #87795=EDGE_CURVE('',#69518,#69520,#31485,.T.); #87796=EDGE_CURVE('',#69520,#69521,#31486,.T.); #87797=EDGE_CURVE('',#69519,#69521,#31487,.T.); #87798=EDGE_CURVE('',#69522,#69518,#31488,.T.); #87799=EDGE_CURVE('',#69522,#69523,#31489,.T.); #87800=EDGE_CURVE('',#69523,#69520,#31490,.T.); #87801=EDGE_CURVE('',#69524,#69522,#31491,.T.); #87802=EDGE_CURVE('',#69524,#69525,#31492,.T.); #87803=EDGE_CURVE('',#69525,#69523,#31493,.T.); #87804=EDGE_CURVE('',#69526,#69524,#31494,.T.); #87805=EDGE_CURVE('',#69526,#69527,#31495,.T.); #87806=EDGE_CURVE('',#69527,#69525,#31496,.T.); #87807=EDGE_CURVE('',#69528,#69526,#31497,.T.); #87808=EDGE_CURVE('',#69528,#69529,#31498,.T.); #87809=EDGE_CURVE('',#69529,#69527,#31499,.T.); #87810=EDGE_CURVE('',#69530,#69528,#59499,.T.); #87811=EDGE_CURVE('',#69530,#69531,#31500,.T.); #87812=EDGE_CURVE('',#69531,#69529,#59500,.T.); #87813=EDGE_CURVE('',#69532,#69530,#59501,.T.); #87814=EDGE_CURVE('',#69532,#69533,#31501,.T.); #87815=EDGE_CURVE('',#69533,#69531,#59502,.T.); #87816=EDGE_CURVE('',#69534,#69532,#59503,.T.); #87817=EDGE_CURVE('',#69534,#69535,#31502,.T.); #87818=EDGE_CURVE('',#69535,#69533,#59504,.T.); #87819=EDGE_CURVE('',#69536,#69534,#31503,.T.); #87820=EDGE_CURVE('',#69536,#69537,#31504,.T.); #87821=EDGE_CURVE('',#69537,#69535,#31505,.T.); #87822=EDGE_CURVE('',#69519,#69536,#31506,.T.); #87823=EDGE_CURVE('',#69521,#69537,#31507,.T.); #87824=EDGE_CURVE('',#69538,#69539,#31508,.T.); #87825=EDGE_CURVE('',#69538,#69540,#31509,.T.); #87826=EDGE_CURVE('',#69540,#69541,#31510,.T.); #87827=EDGE_CURVE('',#69539,#69541,#31511,.T.); #87828=EDGE_CURVE('',#69542,#69538,#31512,.T.); #87829=EDGE_CURVE('',#69542,#69543,#31513,.T.); #87830=EDGE_CURVE('',#69543,#69540,#31514,.T.); #87831=EDGE_CURVE('',#69544,#69542,#31515,.T.); #87832=EDGE_CURVE('',#69544,#69545,#31516,.T.); #87833=EDGE_CURVE('',#69545,#69543,#31517,.T.); #87834=EDGE_CURVE('',#69546,#69544,#31518,.T.); #87835=EDGE_CURVE('',#69546,#69547,#31519,.T.); #87836=EDGE_CURVE('',#69547,#69545,#31520,.T.); #87837=EDGE_CURVE('',#69548,#69546,#59505,.T.); #87838=EDGE_CURVE('',#69548,#69549,#31521,.T.); #87839=EDGE_CURVE('',#69549,#69547,#59506,.T.); #87840=EDGE_CURVE('',#69550,#69548,#31522,.T.); #87841=EDGE_CURVE('',#69550,#69551,#31523,.T.); #87842=EDGE_CURVE('',#69551,#69549,#31524,.T.); #87843=EDGE_CURVE('',#69552,#69550,#31525,.T.); #87844=EDGE_CURVE('',#69552,#69553,#31526,.T.); #87845=EDGE_CURVE('',#69553,#69551,#31527,.T.); #87846=EDGE_CURVE('',#69554,#69552,#31528,.T.); #87847=EDGE_CURVE('',#69554,#69555,#31529,.T.); #87848=EDGE_CURVE('',#69555,#69553,#31530,.T.); #87849=EDGE_CURVE('',#69556,#69554,#31531,.T.); #87850=EDGE_CURVE('',#69556,#69557,#31532,.T.); #87851=EDGE_CURVE('',#69557,#69555,#31533,.T.); #87852=EDGE_CURVE('',#69558,#69556,#31534,.T.); #87853=EDGE_CURVE('',#69558,#69559,#31535,.T.); #87854=EDGE_CURVE('',#69559,#69557,#31536,.T.); #87855=EDGE_CURVE('',#69560,#69558,#31537,.T.); #87856=EDGE_CURVE('',#69560,#69561,#31538,.T.); #87857=EDGE_CURVE('',#69561,#69559,#31539,.T.); #87858=EDGE_CURVE('',#69562,#69560,#31540,.T.); #87859=EDGE_CURVE('',#69562,#69563,#31541,.T.); #87860=EDGE_CURVE('',#69563,#69561,#31542,.T.); #87861=EDGE_CURVE('',#69564,#69562,#31543,.T.); #87862=EDGE_CURVE('',#69564,#69565,#31544,.T.); #87863=EDGE_CURVE('',#69565,#69563,#31545,.T.); #87864=EDGE_CURVE('',#69566,#69564,#59507,.T.); #87865=EDGE_CURVE('',#69566,#69567,#31546,.T.); #87866=EDGE_CURVE('',#69567,#69565,#59508,.T.); #87867=EDGE_CURVE('',#69568,#69566,#31547,.T.); #87868=EDGE_CURVE('',#69568,#69569,#31548,.T.); #87869=EDGE_CURVE('',#69569,#69567,#31549,.T.); #87870=EDGE_CURVE('',#69570,#69568,#31550,.T.); #87871=EDGE_CURVE('',#69570,#69571,#31551,.T.); #87872=EDGE_CURVE('',#69571,#69569,#31552,.T.); #87873=EDGE_CURVE('',#69572,#69570,#31553,.T.); #87874=EDGE_CURVE('',#69572,#69573,#31554,.T.); #87875=EDGE_CURVE('',#69573,#69571,#31555,.T.); #87876=EDGE_CURVE('',#69574,#69572,#31556,.T.); #87877=EDGE_CURVE('',#69574,#69575,#31557,.T.); #87878=EDGE_CURVE('',#69575,#69573,#31558,.T.); #87879=EDGE_CURVE('',#69576,#69574,#31559,.T.); #87880=EDGE_CURVE('',#69576,#69577,#31560,.T.); #87881=EDGE_CURVE('',#69577,#69575,#31561,.T.); #87882=EDGE_CURVE('',#69578,#69576,#31562,.T.); #87883=EDGE_CURVE('',#69578,#69579,#31563,.T.); #87884=EDGE_CURVE('',#69579,#69577,#31564,.T.); #87885=EDGE_CURVE('',#69580,#69578,#31565,.T.); #87886=EDGE_CURVE('',#69580,#69581,#31566,.T.); #87887=EDGE_CURVE('',#69581,#69579,#31567,.T.); #87888=EDGE_CURVE('',#69582,#69580,#31568,.T.); #87889=EDGE_CURVE('',#69582,#69583,#31569,.T.); #87890=EDGE_CURVE('',#69583,#69581,#31570,.T.); #87891=EDGE_CURVE('',#69584,#69582,#59509,.T.); #87892=EDGE_CURVE('',#69584,#69585,#31571,.T.); #87893=EDGE_CURVE('',#69585,#69583,#59510,.T.); #87894=EDGE_CURVE('',#69586,#69584,#31572,.T.); #87895=EDGE_CURVE('',#69586,#69587,#31573,.T.); #87896=EDGE_CURVE('',#69587,#69585,#31574,.T.); #87897=EDGE_CURVE('',#69588,#69586,#31575,.T.); #87898=EDGE_CURVE('',#69588,#69589,#31576,.T.); #87899=EDGE_CURVE('',#69589,#69587,#31577,.T.); #87900=EDGE_CURVE('',#69590,#69588,#59511,.T.); #87901=EDGE_CURVE('',#69590,#69591,#31578,.T.); #87902=EDGE_CURVE('',#69591,#69589,#59512,.T.); #87903=EDGE_CURVE('',#69592,#69590,#31579,.T.); #87904=EDGE_CURVE('',#69592,#69593,#31580,.T.); #87905=EDGE_CURVE('',#69593,#69591,#31581,.T.); #87906=EDGE_CURVE('',#69594,#69592,#31582,.T.); #87907=EDGE_CURVE('',#69594,#69595,#31583,.T.); #87908=EDGE_CURVE('',#69595,#69593,#31584,.T.); #87909=EDGE_CURVE('',#69596,#69594,#59513,.T.); #87910=EDGE_CURVE('',#69596,#69597,#31585,.T.); #87911=EDGE_CURVE('',#69597,#69595,#59514,.T.); #87912=EDGE_CURVE('',#69598,#69596,#31586,.T.); #87913=EDGE_CURVE('',#69598,#69599,#31587,.T.); #87914=EDGE_CURVE('',#69599,#69597,#31588,.T.); #87915=EDGE_CURVE('',#69600,#69598,#31589,.T.); #87916=EDGE_CURVE('',#69600,#69601,#31590,.T.); #87917=EDGE_CURVE('',#69601,#69599,#31591,.T.); #87918=EDGE_CURVE('',#69602,#69600,#31592,.T.); #87919=EDGE_CURVE('',#69602,#69603,#31593,.T.); #87920=EDGE_CURVE('',#69603,#69601,#31594,.T.); #87921=EDGE_CURVE('',#69604,#69602,#59515,.T.); #87922=EDGE_CURVE('',#69604,#69605,#31595,.T.); #87923=EDGE_CURVE('',#69605,#69603,#59516,.T.); #87924=EDGE_CURVE('',#69606,#69604,#59517,.T.); #87925=EDGE_CURVE('',#69606,#69607,#31596,.T.); #87926=EDGE_CURVE('',#69607,#69605,#59518,.T.); #87927=EDGE_CURVE('',#69608,#69606,#31597,.T.); #87928=EDGE_CURVE('',#69608,#69609,#31598,.T.); #87929=EDGE_CURVE('',#69609,#69607,#31599,.T.); #87930=EDGE_CURVE('',#69610,#69608,#31600,.T.); #87931=EDGE_CURVE('',#69610,#69611,#31601,.T.); #87932=EDGE_CURVE('',#69611,#69609,#31602,.T.); #87933=EDGE_CURVE('',#69612,#69610,#31603,.T.); #87934=EDGE_CURVE('',#69612,#69613,#31604,.T.); #87935=EDGE_CURVE('',#69613,#69611,#31605,.T.); #87936=EDGE_CURVE('',#69614,#69612,#31606,.T.); #87937=EDGE_CURVE('',#69614,#69615,#31607,.T.); #87938=EDGE_CURVE('',#69615,#69613,#31608,.T.); #87939=EDGE_CURVE('',#69616,#69614,#31609,.T.); #87940=EDGE_CURVE('',#69616,#69617,#31610,.T.); #87941=EDGE_CURVE('',#69617,#69615,#31611,.T.); #87942=EDGE_CURVE('',#69618,#69616,#31612,.T.); #87943=EDGE_CURVE('',#69618,#69619,#31613,.T.); #87944=EDGE_CURVE('',#69619,#69617,#31614,.T.); #87945=EDGE_CURVE('',#69620,#69618,#31615,.T.); #87946=EDGE_CURVE('',#69620,#69621,#31616,.T.); #87947=EDGE_CURVE('',#69621,#69619,#31617,.T.); #87948=EDGE_CURVE('',#69622,#69620,#31618,.T.); #87949=EDGE_CURVE('',#69622,#69623,#31619,.T.); #87950=EDGE_CURVE('',#69623,#69621,#31620,.T.); #87951=EDGE_CURVE('',#69624,#69622,#59519,.T.); #87952=EDGE_CURVE('',#69624,#69625,#31621,.T.); #87953=EDGE_CURVE('',#69625,#69623,#59520,.T.); #87954=EDGE_CURVE('',#69626,#69624,#31622,.T.); #87955=EDGE_CURVE('',#69626,#69627,#31623,.T.); #87956=EDGE_CURVE('',#69627,#69625,#31624,.T.); #87957=EDGE_CURVE('',#69628,#69626,#59521,.T.); #87958=EDGE_CURVE('',#69628,#69629,#31625,.T.); #87959=EDGE_CURVE('',#69629,#69627,#59522,.T.); #87960=EDGE_CURVE('',#69630,#69628,#59523,.T.); #87961=EDGE_CURVE('',#69630,#69631,#31626,.T.); #87962=EDGE_CURVE('',#69631,#69629,#59524,.T.); #87963=EDGE_CURVE('',#69632,#69630,#59525,.T.); #87964=EDGE_CURVE('',#69632,#69633,#31627,.T.); #87965=EDGE_CURVE('',#69633,#69631,#59526,.T.); #87966=EDGE_CURVE('',#69634,#69632,#31628,.T.); #87967=EDGE_CURVE('',#69634,#69635,#31629,.T.); #87968=EDGE_CURVE('',#69635,#69633,#31630,.T.); #87969=EDGE_CURVE('',#69636,#69634,#31631,.T.); #87970=EDGE_CURVE('',#69636,#69637,#31632,.T.); #87971=EDGE_CURVE('',#69637,#69635,#31633,.T.); #87972=EDGE_CURVE('',#69638,#69636,#31634,.T.); #87973=EDGE_CURVE('',#69638,#69639,#31635,.T.); #87974=EDGE_CURVE('',#69639,#69637,#31636,.T.); #87975=EDGE_CURVE('',#69640,#69638,#31637,.T.); #87976=EDGE_CURVE('',#69640,#69641,#31638,.T.); #87977=EDGE_CURVE('',#69641,#69639,#31639,.T.); #87978=EDGE_CURVE('',#69642,#69640,#31640,.T.); #87979=EDGE_CURVE('',#69642,#69643,#31641,.T.); #87980=EDGE_CURVE('',#69643,#69641,#31642,.T.); #87981=EDGE_CURVE('',#69644,#69642,#59527,.T.); #87982=EDGE_CURVE('',#69644,#69645,#31643,.T.); #87983=EDGE_CURVE('',#69645,#69643,#59528,.T.); #87984=EDGE_CURVE('',#69646,#69644,#31644,.T.); #87985=EDGE_CURVE('',#69646,#69647,#31645,.T.); #87986=EDGE_CURVE('',#69647,#69645,#31646,.T.); #87987=EDGE_CURVE('',#69648,#69646,#31647,.T.); #87988=EDGE_CURVE('',#69648,#69649,#31648,.T.); #87989=EDGE_CURVE('',#69649,#69647,#31649,.T.); #87990=EDGE_CURVE('',#69650,#69648,#31650,.T.); #87991=EDGE_CURVE('',#69650,#69651,#31651,.T.); #87992=EDGE_CURVE('',#69651,#69649,#31652,.T.); #87993=EDGE_CURVE('',#69652,#69650,#59529,.T.); #87994=EDGE_CURVE('',#69652,#69653,#31653,.T.); #87995=EDGE_CURVE('',#69653,#69651,#59530,.T.); #87996=EDGE_CURVE('',#69654,#69652,#31654,.T.); #87997=EDGE_CURVE('',#69654,#69655,#31655,.T.); #87998=EDGE_CURVE('',#69655,#69653,#31656,.T.); #87999=EDGE_CURVE('',#69656,#69654,#59531,.T.); #88000=EDGE_CURVE('',#69656,#69657,#31657,.T.); #88001=EDGE_CURVE('',#69657,#69655,#59532,.T.); #88002=EDGE_CURVE('',#69658,#69656,#31658,.T.); #88003=EDGE_CURVE('',#69658,#69659,#31659,.T.); #88004=EDGE_CURVE('',#69659,#69657,#31660,.T.); #88005=EDGE_CURVE('',#69660,#69658,#31661,.T.); #88006=EDGE_CURVE('',#69660,#69661,#31662,.T.); #88007=EDGE_CURVE('',#69661,#69659,#31663,.T.); #88008=EDGE_CURVE('',#69662,#69660,#31664,.T.); #88009=EDGE_CURVE('',#69662,#69663,#31665,.T.); #88010=EDGE_CURVE('',#69663,#69661,#31666,.T.); #88011=EDGE_CURVE('',#69664,#69662,#31667,.T.); #88012=EDGE_CURVE('',#69664,#69665,#31668,.T.); #88013=EDGE_CURVE('',#69665,#69663,#31669,.T.); #88014=EDGE_CURVE('',#69666,#69664,#31670,.T.); #88015=EDGE_CURVE('',#69666,#69667,#31671,.T.); #88016=EDGE_CURVE('',#69667,#69665,#31672,.T.); #88017=EDGE_CURVE('',#69668,#69666,#31673,.T.); #88018=EDGE_CURVE('',#69668,#69669,#31674,.T.); #88019=EDGE_CURVE('',#69669,#69667,#31675,.T.); #88020=EDGE_CURVE('',#69670,#69668,#31676,.T.); #88021=EDGE_CURVE('',#69670,#69671,#31677,.T.); #88022=EDGE_CURVE('',#69671,#69669,#31678,.T.); #88023=EDGE_CURVE('',#69672,#69670,#31679,.T.); #88024=EDGE_CURVE('',#69672,#69673,#31680,.T.); #88025=EDGE_CURVE('',#69673,#69671,#31681,.T.); #88026=EDGE_CURVE('',#69674,#69672,#59533,.T.); #88027=EDGE_CURVE('',#69674,#69675,#31682,.T.); #88028=EDGE_CURVE('',#69675,#69673,#59534,.T.); #88029=EDGE_CURVE('',#69676,#69674,#31683,.T.); #88030=EDGE_CURVE('',#69676,#69677,#31684,.T.); #88031=EDGE_CURVE('',#69677,#69675,#31685,.T.); #88032=EDGE_CURVE('',#69678,#69676,#59535,.T.); #88033=EDGE_CURVE('',#69678,#69679,#31686,.T.); #88034=EDGE_CURVE('',#69679,#69677,#59536,.T.); #88035=EDGE_CURVE('',#69680,#69678,#31687,.T.); #88036=EDGE_CURVE('',#69680,#69681,#31688,.T.); #88037=EDGE_CURVE('',#69681,#69679,#31689,.T.); #88038=EDGE_CURVE('',#69682,#69680,#31690,.T.); #88039=EDGE_CURVE('',#69682,#69683,#31691,.T.); #88040=EDGE_CURVE('',#69683,#69681,#31692,.T.); #88041=EDGE_CURVE('',#69684,#69682,#31693,.T.); #88042=EDGE_CURVE('',#69684,#69685,#31694,.T.); #88043=EDGE_CURVE('',#69685,#69683,#31695,.T.); #88044=EDGE_CURVE('',#69686,#69684,#31696,.T.); #88045=EDGE_CURVE('',#69686,#69687,#31697,.T.); #88046=EDGE_CURVE('',#69687,#69685,#31698,.T.); #88047=EDGE_CURVE('',#69688,#69686,#59537,.T.); #88048=EDGE_CURVE('',#69688,#69689,#31699,.T.); #88049=EDGE_CURVE('',#69689,#69687,#59538,.T.); #88050=EDGE_CURVE('',#69690,#69688,#31700,.T.); #88051=EDGE_CURVE('',#69690,#69691,#31701,.T.); #88052=EDGE_CURVE('',#69691,#69689,#31702,.T.); #88053=EDGE_CURVE('',#69692,#69690,#59539,.T.); #88054=EDGE_CURVE('',#69692,#69693,#31703,.T.); #88055=EDGE_CURVE('',#69693,#69691,#59540,.T.); #88056=EDGE_CURVE('',#69694,#69692,#31704,.T.); #88057=EDGE_CURVE('',#69694,#69695,#31705,.T.); #88058=EDGE_CURVE('',#69695,#69693,#31706,.T.); #88059=EDGE_CURVE('',#69696,#69694,#59541,.T.); #88060=EDGE_CURVE('',#69696,#69697,#31707,.T.); #88061=EDGE_CURVE('',#69697,#69695,#59542,.T.); #88062=EDGE_CURVE('',#69698,#69696,#59543,.T.); #88063=EDGE_CURVE('',#69698,#69699,#31708,.T.); #88064=EDGE_CURVE('',#69699,#69697,#59544,.T.); #88065=EDGE_CURVE('',#69700,#69698,#31709,.T.); #88066=EDGE_CURVE('',#69700,#69701,#31710,.T.); #88067=EDGE_CURVE('',#69701,#69699,#31711,.T.); #88068=EDGE_CURVE('',#69702,#69700,#31712,.T.); #88069=EDGE_CURVE('',#69702,#69703,#31713,.T.); #88070=EDGE_CURVE('',#69703,#69701,#31714,.T.); #88071=EDGE_CURVE('',#69704,#69702,#31715,.T.); #88072=EDGE_CURVE('',#69704,#69705,#31716,.T.); #88073=EDGE_CURVE('',#69705,#69703,#31717,.T.); #88074=EDGE_CURVE('',#69706,#69704,#31718,.T.); #88075=EDGE_CURVE('',#69706,#69707,#31719,.T.); #88076=EDGE_CURVE('',#69707,#69705,#31720,.T.); #88077=EDGE_CURVE('',#69708,#69706,#31721,.T.); #88078=EDGE_CURVE('',#69708,#69709,#31722,.T.); #88079=EDGE_CURVE('',#69709,#69707,#31723,.T.); #88080=EDGE_CURVE('',#69710,#69708,#31724,.T.); #88081=EDGE_CURVE('',#69710,#69711,#31725,.T.); #88082=EDGE_CURVE('',#69711,#69709,#31726,.T.); #88083=EDGE_CURVE('',#69712,#69710,#31727,.T.); #88084=EDGE_CURVE('',#69712,#69713,#31728,.T.); #88085=EDGE_CURVE('',#69713,#69711,#31729,.T.); #88086=EDGE_CURVE('',#69714,#69712,#31730,.T.); #88087=EDGE_CURVE('',#69714,#69715,#31731,.T.); #88088=EDGE_CURVE('',#69715,#69713,#31732,.T.); #88089=EDGE_CURVE('',#69716,#69714,#31733,.T.); #88090=EDGE_CURVE('',#69716,#69717,#31734,.T.); #88091=EDGE_CURVE('',#69717,#69715,#31735,.T.); #88092=EDGE_CURVE('',#69718,#69716,#59545,.T.); #88093=EDGE_CURVE('',#69718,#69719,#31736,.T.); #88094=EDGE_CURVE('',#69719,#69717,#59546,.T.); #88095=EDGE_CURVE('',#69720,#69718,#31737,.T.); #88096=EDGE_CURVE('',#69720,#69721,#31738,.T.); #88097=EDGE_CURVE('',#69721,#69719,#31739,.T.); #88098=EDGE_CURVE('',#69722,#69720,#31740,.T.); #88099=EDGE_CURVE('',#69722,#69723,#31741,.T.); #88100=EDGE_CURVE('',#69723,#69721,#31742,.T.); #88101=EDGE_CURVE('',#69724,#69722,#31743,.T.); #88102=EDGE_CURVE('',#69724,#69725,#31744,.T.); #88103=EDGE_CURVE('',#69725,#69723,#31745,.T.); #88104=EDGE_CURVE('',#69726,#69724,#31746,.T.); #88105=EDGE_CURVE('',#69726,#69727,#31747,.T.); #88106=EDGE_CURVE('',#69727,#69725,#31748,.T.); #88107=EDGE_CURVE('',#69728,#69726,#59547,.T.); #88108=EDGE_CURVE('',#69728,#69729,#31749,.T.); #88109=EDGE_CURVE('',#69729,#69727,#59548,.T.); #88110=EDGE_CURVE('',#69730,#69728,#59549,.T.); #88111=EDGE_CURVE('',#69730,#69731,#31750,.T.); #88112=EDGE_CURVE('',#69731,#69729,#59550,.T.); #88113=EDGE_CURVE('',#69732,#69730,#31751,.T.); #88114=EDGE_CURVE('',#69732,#69733,#31752,.T.); #88115=EDGE_CURVE('',#69733,#69731,#31753,.T.); #88116=EDGE_CURVE('',#69734,#69732,#59551,.T.); #88117=EDGE_CURVE('',#69734,#69735,#31754,.T.); #88118=EDGE_CURVE('',#69735,#69733,#59552,.T.); #88119=EDGE_CURVE('',#69736,#69734,#59553,.T.); #88120=EDGE_CURVE('',#69736,#69737,#31755,.T.); #88121=EDGE_CURVE('',#69737,#69735,#59554,.T.); #88122=EDGE_CURVE('',#69738,#69736,#59555,.T.); #88123=EDGE_CURVE('',#69738,#69739,#31756,.T.); #88124=EDGE_CURVE('',#69739,#69737,#59556,.T.); #88125=EDGE_CURVE('',#69740,#69738,#31757,.T.); #88126=EDGE_CURVE('',#69740,#69741,#31758,.T.); #88127=EDGE_CURVE('',#69741,#69739,#31759,.T.); #88128=EDGE_CURVE('',#69742,#69740,#31760,.T.); #88129=EDGE_CURVE('',#69742,#69743,#31761,.T.); #88130=EDGE_CURVE('',#69743,#69741,#31762,.T.); #88131=EDGE_CURVE('',#69744,#69742,#31763,.T.); #88132=EDGE_CURVE('',#69744,#69745,#31764,.T.); #88133=EDGE_CURVE('',#69745,#69743,#31765,.T.); #88134=EDGE_CURVE('',#69746,#69744,#31766,.T.); #88135=EDGE_CURVE('',#69746,#69747,#31767,.T.); #88136=EDGE_CURVE('',#69747,#69745,#31768,.T.); #88137=EDGE_CURVE('',#69748,#69746,#31769,.T.); #88138=EDGE_CURVE('',#69748,#69749,#31770,.T.); #88139=EDGE_CURVE('',#69749,#69747,#31771,.T.); #88140=EDGE_CURVE('',#69750,#69748,#31772,.T.); #88141=EDGE_CURVE('',#69750,#69751,#31773,.T.); #88142=EDGE_CURVE('',#69751,#69749,#31774,.T.); #88143=EDGE_CURVE('',#69752,#69750,#31775,.T.); #88144=EDGE_CURVE('',#69752,#69753,#31776,.T.); #88145=EDGE_CURVE('',#69753,#69751,#31777,.T.); #88146=EDGE_CURVE('',#69754,#69752,#31778,.T.); #88147=EDGE_CURVE('',#69754,#69755,#31779,.T.); #88148=EDGE_CURVE('',#69755,#69753,#31780,.T.); #88149=EDGE_CURVE('',#69539,#69754,#31781,.T.); #88150=EDGE_CURVE('',#69541,#69755,#31782,.T.); #88151=EDGE_CURVE('',#69756,#69757,#31783,.T.); #88152=EDGE_CURVE('',#69756,#69758,#31784,.T.); #88153=EDGE_CURVE('',#69758,#69759,#31785,.T.); #88154=EDGE_CURVE('',#69757,#69759,#31786,.T.); #88155=EDGE_CURVE('',#69760,#69756,#31787,.T.); #88156=EDGE_CURVE('',#69760,#69761,#31788,.T.); #88157=EDGE_CURVE('',#69761,#69758,#31789,.T.); #88158=EDGE_CURVE('',#69762,#69760,#31790,.T.); #88159=EDGE_CURVE('',#69762,#69763,#31791,.T.); #88160=EDGE_CURVE('',#69763,#69761,#31792,.T.); #88161=EDGE_CURVE('',#69757,#69762,#31793,.T.); #88162=EDGE_CURVE('',#69759,#69763,#31794,.T.); #88163=EDGE_CURVE('',#69764,#69765,#31795,.T.); #88164=EDGE_CURVE('',#69764,#69766,#31796,.T.); #88165=EDGE_CURVE('',#69766,#69767,#31797,.T.); #88166=EDGE_CURVE('',#69765,#69767,#31798,.T.); #88167=EDGE_CURVE('',#69768,#69764,#31799,.T.); #88168=EDGE_CURVE('',#69768,#69769,#31800,.T.); #88169=EDGE_CURVE('',#69769,#69766,#31801,.T.); #88170=EDGE_CURVE('',#69770,#69768,#31802,.T.); #88171=EDGE_CURVE('',#69770,#69771,#31803,.T.); #88172=EDGE_CURVE('',#69771,#69769,#31804,.T.); #88173=EDGE_CURVE('',#69772,#69770,#31805,.T.); #88174=EDGE_CURVE('',#69772,#69773,#31806,.T.); #88175=EDGE_CURVE('',#69773,#69771,#31807,.T.); #88176=EDGE_CURVE('',#69774,#69772,#31808,.T.); #88177=EDGE_CURVE('',#69774,#69775,#31809,.T.); #88178=EDGE_CURVE('',#69775,#69773,#31810,.T.); #88179=EDGE_CURVE('',#69776,#69774,#31811,.T.); #88180=EDGE_CURVE('',#69776,#69777,#31812,.T.); #88181=EDGE_CURVE('',#69777,#69775,#31813,.T.); #88182=EDGE_CURVE('',#69778,#69776,#31814,.T.); #88183=EDGE_CURVE('',#69778,#69779,#31815,.T.); #88184=EDGE_CURVE('',#69779,#69777,#31816,.T.); #88185=EDGE_CURVE('',#69780,#69778,#31817,.T.); #88186=EDGE_CURVE('',#69780,#69781,#31818,.T.); #88187=EDGE_CURVE('',#69781,#69779,#31819,.T.); #88188=EDGE_CURVE('',#69782,#69780,#31820,.T.); #88189=EDGE_CURVE('',#69782,#69783,#31821,.T.); #88190=EDGE_CURVE('',#69783,#69781,#31822,.T.); #88191=EDGE_CURVE('',#69784,#69782,#59557,.T.); #88192=EDGE_CURVE('',#69784,#69785,#31823,.T.); #88193=EDGE_CURVE('',#69785,#69783,#59558,.T.); #88194=EDGE_CURVE('',#69786,#69784,#31824,.T.); #88195=EDGE_CURVE('',#69786,#69787,#31825,.T.); #88196=EDGE_CURVE('',#69787,#69785,#31826,.T.); #88197=EDGE_CURVE('',#69788,#69786,#31827,.T.); #88198=EDGE_CURVE('',#69788,#69789,#31828,.T.); #88199=EDGE_CURVE('',#69789,#69787,#31829,.T.); #88200=EDGE_CURVE('',#69790,#69788,#31830,.T.); #88201=EDGE_CURVE('',#69790,#69791,#31831,.T.); #88202=EDGE_CURVE('',#69791,#69789,#31832,.T.); #88203=EDGE_CURVE('',#69792,#69790,#59559,.T.); #88204=EDGE_CURVE('',#69792,#69793,#31833,.T.); #88205=EDGE_CURVE('',#69793,#69791,#59560,.T.); #88206=EDGE_CURVE('',#69794,#69792,#59561,.T.); #88207=EDGE_CURVE('',#69794,#69795,#31834,.T.); #88208=EDGE_CURVE('',#69795,#69793,#59562,.T.); #88209=EDGE_CURVE('',#69765,#69794,#59563,.T.); #88210=EDGE_CURVE('',#69767,#69795,#59564,.T.); #88211=EDGE_CURVE('',#69796,#69797,#31835,.T.); #88212=EDGE_CURVE('',#69796,#69798,#31836,.T.); #88213=EDGE_CURVE('',#69798,#69799,#31837,.T.); #88214=EDGE_CURVE('',#69797,#69799,#31838,.T.); #88215=EDGE_CURVE('',#69800,#69796,#31839,.T.); #88216=EDGE_CURVE('',#69800,#69801,#31840,.T.); #88217=EDGE_CURVE('',#69801,#69798,#31841,.T.); #88218=EDGE_CURVE('',#69802,#69800,#31842,.T.); #88219=EDGE_CURVE('',#69802,#69803,#31843,.T.); #88220=EDGE_CURVE('',#69803,#69801,#31844,.T.); #88221=EDGE_CURVE('',#69804,#69802,#31845,.T.); #88222=EDGE_CURVE('',#69804,#69805,#31846,.T.); #88223=EDGE_CURVE('',#69805,#69803,#31847,.T.); #88224=EDGE_CURVE('',#69806,#69804,#31848,.T.); #88225=EDGE_CURVE('',#69806,#69807,#31849,.T.); #88226=EDGE_CURVE('',#69807,#69805,#31850,.T.); #88227=EDGE_CURVE('',#69808,#69806,#31851,.T.); #88228=EDGE_CURVE('',#69808,#69809,#31852,.T.); #88229=EDGE_CURVE('',#69809,#69807,#31853,.T.); #88230=EDGE_CURVE('',#69810,#69808,#31854,.T.); #88231=EDGE_CURVE('',#69810,#69811,#31855,.T.); #88232=EDGE_CURVE('',#69811,#69809,#31856,.T.); #88233=EDGE_CURVE('',#69812,#69810,#31857,.T.); #88234=EDGE_CURVE('',#69812,#69813,#31858,.T.); #88235=EDGE_CURVE('',#69813,#69811,#31859,.T.); #88236=EDGE_CURVE('',#69814,#69812,#31860,.T.); #88237=EDGE_CURVE('',#69814,#69815,#31861,.T.); #88238=EDGE_CURVE('',#69815,#69813,#31862,.T.); #88239=EDGE_CURVE('',#69816,#69814,#31863,.T.); #88240=EDGE_CURVE('',#69816,#69817,#31864,.T.); #88241=EDGE_CURVE('',#69817,#69815,#31865,.T.); #88242=EDGE_CURVE('',#69818,#69816,#31866,.T.); #88243=EDGE_CURVE('',#69818,#69819,#31867,.T.); #88244=EDGE_CURVE('',#69819,#69817,#31868,.T.); #88245=EDGE_CURVE('',#69820,#69818,#31869,.T.); #88246=EDGE_CURVE('',#69820,#69821,#31870,.T.); #88247=EDGE_CURVE('',#69821,#69819,#31871,.T.); #88248=EDGE_CURVE('',#69822,#69820,#31872,.T.); #88249=EDGE_CURVE('',#69822,#69823,#31873,.T.); #88250=EDGE_CURVE('',#69823,#69821,#31874,.T.); #88251=EDGE_CURVE('',#69824,#69822,#31875,.T.); #88252=EDGE_CURVE('',#69824,#69825,#31876,.T.); #88253=EDGE_CURVE('',#69825,#69823,#31877,.T.); #88254=EDGE_CURVE('',#69826,#69824,#31878,.T.); #88255=EDGE_CURVE('',#69826,#69827,#31879,.T.); #88256=EDGE_CURVE('',#69827,#69825,#31880,.T.); #88257=EDGE_CURVE('',#69828,#69826,#31881,.T.); #88258=EDGE_CURVE('',#69828,#69829,#31882,.T.); #88259=EDGE_CURVE('',#69829,#69827,#31883,.T.); #88260=EDGE_CURVE('',#69830,#69828,#59565,.T.); #88261=EDGE_CURVE('',#69830,#69831,#31884,.T.); #88262=EDGE_CURVE('',#69831,#69829,#59566,.T.); #88263=EDGE_CURVE('',#69832,#69830,#31885,.T.); #88264=EDGE_CURVE('',#69832,#69833,#31886,.T.); #88265=EDGE_CURVE('',#69833,#69831,#31887,.T.); #88266=EDGE_CURVE('',#69834,#69832,#31888,.T.); #88267=EDGE_CURVE('',#69834,#69835,#31889,.T.); #88268=EDGE_CURVE('',#69835,#69833,#31890,.T.); #88269=EDGE_CURVE('',#69836,#69834,#59567,.T.); #88270=EDGE_CURVE('',#69836,#69837,#31891,.T.); #88271=EDGE_CURVE('',#69837,#69835,#59568,.T.); #88272=EDGE_CURVE('',#69838,#69836,#31892,.T.); #88273=EDGE_CURVE('',#69838,#69839,#31893,.T.); #88274=EDGE_CURVE('',#69839,#69837,#31894,.T.); #88275=EDGE_CURVE('',#69840,#69838,#31895,.T.); #88276=EDGE_CURVE('',#69840,#69841,#31896,.T.); #88277=EDGE_CURVE('',#69841,#69839,#31897,.T.); #88278=EDGE_CURVE('',#69842,#69840,#31898,.T.); #88279=EDGE_CURVE('',#69842,#69843,#31899,.T.); #88280=EDGE_CURVE('',#69843,#69841,#31900,.T.); #88281=EDGE_CURVE('',#69844,#69842,#31901,.T.); #88282=EDGE_CURVE('',#69844,#69845,#31902,.T.); #88283=EDGE_CURVE('',#69845,#69843,#31903,.T.); #88284=EDGE_CURVE('',#69846,#69844,#31904,.T.); #88285=EDGE_CURVE('',#69846,#69847,#31905,.T.); #88286=EDGE_CURVE('',#69847,#69845,#31906,.T.); #88287=EDGE_CURVE('',#69848,#69846,#31907,.T.); #88288=EDGE_CURVE('',#69848,#69849,#31908,.T.); #88289=EDGE_CURVE('',#69849,#69847,#31909,.T.); #88290=EDGE_CURVE('',#69850,#69848,#31910,.T.); #88291=EDGE_CURVE('',#69850,#69851,#31911,.T.); #88292=EDGE_CURVE('',#69851,#69849,#31912,.T.); #88293=EDGE_CURVE('',#69852,#69850,#31913,.T.); #88294=EDGE_CURVE('',#69852,#69853,#31914,.T.); #88295=EDGE_CURVE('',#69853,#69851,#31915,.T.); #88296=EDGE_CURVE('',#69854,#69852,#31916,.T.); #88297=EDGE_CURVE('',#69854,#69855,#31917,.T.); #88298=EDGE_CURVE('',#69855,#69853,#31918,.T.); #88299=EDGE_CURVE('',#69856,#69854,#31919,.T.); #88300=EDGE_CURVE('',#69856,#69857,#31920,.T.); #88301=EDGE_CURVE('',#69857,#69855,#31921,.T.); #88302=EDGE_CURVE('',#69858,#69856,#31922,.T.); #88303=EDGE_CURVE('',#69858,#69859,#31923,.T.); #88304=EDGE_CURVE('',#69859,#69857,#31924,.T.); #88305=EDGE_CURVE('',#69860,#69858,#31925,.T.); #88306=EDGE_CURVE('',#69860,#69861,#31926,.T.); #88307=EDGE_CURVE('',#69861,#69859,#31927,.T.); #88308=EDGE_CURVE('',#69862,#69860,#31928,.T.); #88309=EDGE_CURVE('',#69862,#69863,#31929,.T.); #88310=EDGE_CURVE('',#69863,#69861,#31930,.T.); #88311=EDGE_CURVE('',#69864,#69862,#31931,.T.); #88312=EDGE_CURVE('',#69864,#69865,#31932,.T.); #88313=EDGE_CURVE('',#69865,#69863,#31933,.T.); #88314=EDGE_CURVE('',#69866,#69864,#31934,.T.); #88315=EDGE_CURVE('',#69866,#69867,#31935,.T.); #88316=EDGE_CURVE('',#69867,#69865,#31936,.T.); #88317=EDGE_CURVE('',#69868,#69866,#31937,.T.); #88318=EDGE_CURVE('',#69868,#69869,#31938,.T.); #88319=EDGE_CURVE('',#69869,#69867,#31939,.T.); #88320=EDGE_CURVE('',#69870,#69868,#31940,.T.); #88321=EDGE_CURVE('',#69870,#69871,#31941,.T.); #88322=EDGE_CURVE('',#69871,#69869,#31942,.T.); #88323=EDGE_CURVE('',#69872,#69870,#31943,.T.); #88324=EDGE_CURVE('',#69872,#69873,#31944,.T.); #88325=EDGE_CURVE('',#69873,#69871,#31945,.T.); #88326=EDGE_CURVE('',#69874,#69872,#31946,.T.); #88327=EDGE_CURVE('',#69874,#69875,#31947,.T.); #88328=EDGE_CURVE('',#69875,#69873,#31948,.T.); #88329=EDGE_CURVE('',#69876,#69874,#31949,.T.); #88330=EDGE_CURVE('',#69876,#69877,#31950,.T.); #88331=EDGE_CURVE('',#69877,#69875,#31951,.T.); #88332=EDGE_CURVE('',#69878,#69876,#31952,.T.); #88333=EDGE_CURVE('',#69878,#69879,#31953,.T.); #88334=EDGE_CURVE('',#69879,#69877,#31954,.T.); #88335=EDGE_CURVE('',#69880,#69878,#31955,.T.); #88336=EDGE_CURVE('',#69880,#69881,#31956,.T.); #88337=EDGE_CURVE('',#69881,#69879,#31957,.T.); #88338=EDGE_CURVE('',#69882,#69880,#31958,.T.); #88339=EDGE_CURVE('',#69882,#69883,#31959,.T.); #88340=EDGE_CURVE('',#69883,#69881,#31960,.T.); #88341=EDGE_CURVE('',#69884,#69882,#31961,.T.); #88342=EDGE_CURVE('',#69884,#69885,#31962,.T.); #88343=EDGE_CURVE('',#69885,#69883,#31963,.T.); #88344=EDGE_CURVE('',#69886,#69884,#31964,.T.); #88345=EDGE_CURVE('',#69886,#69887,#31965,.T.); #88346=EDGE_CURVE('',#69887,#69885,#31966,.T.); #88347=EDGE_CURVE('',#69888,#69886,#31967,.T.); #88348=EDGE_CURVE('',#69888,#69889,#31968,.T.); #88349=EDGE_CURVE('',#69889,#69887,#31969,.T.); #88350=EDGE_CURVE('',#69890,#69888,#59569,.T.); #88351=EDGE_CURVE('',#69890,#69891,#31970,.T.); #88352=EDGE_CURVE('',#69891,#69889,#59570,.T.); #88353=EDGE_CURVE('',#69892,#69890,#31971,.T.); #88354=EDGE_CURVE('',#69892,#69893,#31972,.T.); #88355=EDGE_CURVE('',#69893,#69891,#31973,.T.); #88356=EDGE_CURVE('',#69894,#69892,#31974,.T.); #88357=EDGE_CURVE('',#69894,#69895,#31975,.T.); #88358=EDGE_CURVE('',#69895,#69893,#31976,.T.); #88359=EDGE_CURVE('',#69896,#69894,#31977,.T.); #88360=EDGE_CURVE('',#69896,#69897,#31978,.T.); #88361=EDGE_CURVE('',#69897,#69895,#31979,.T.); #88362=EDGE_CURVE('',#69898,#69896,#31980,.T.); #88363=EDGE_CURVE('',#69898,#69899,#31981,.T.); #88364=EDGE_CURVE('',#69899,#69897,#31982,.T.); #88365=EDGE_CURVE('',#69900,#69898,#31983,.T.); #88366=EDGE_CURVE('',#69900,#69901,#31984,.T.); #88367=EDGE_CURVE('',#69901,#69899,#31985,.T.); #88368=EDGE_CURVE('',#69902,#69900,#31986,.T.); #88369=EDGE_CURVE('',#69902,#69903,#31987,.T.); #88370=EDGE_CURVE('',#69903,#69901,#31988,.T.); #88371=EDGE_CURVE('',#69904,#69902,#31989,.T.); #88372=EDGE_CURVE('',#69904,#69905,#31990,.T.); #88373=EDGE_CURVE('',#69905,#69903,#31991,.T.); #88374=EDGE_CURVE('',#69906,#69904,#31992,.T.); #88375=EDGE_CURVE('',#69906,#69907,#31993,.T.); #88376=EDGE_CURVE('',#69907,#69905,#31994,.T.); #88377=EDGE_CURVE('',#69908,#69906,#31995,.T.); #88378=EDGE_CURVE('',#69908,#69909,#31996,.T.); #88379=EDGE_CURVE('',#69909,#69907,#31997,.T.); #88380=EDGE_CURVE('',#69910,#69908,#31998,.T.); #88381=EDGE_CURVE('',#69910,#69911,#31999,.T.); #88382=EDGE_CURVE('',#69911,#69909,#32000,.T.); #88383=EDGE_CURVE('',#69912,#69910,#32001,.T.); #88384=EDGE_CURVE('',#69912,#69913,#32002,.T.); #88385=EDGE_CURVE('',#69913,#69911,#32003,.T.); #88386=EDGE_CURVE('',#69914,#69912,#32004,.T.); #88387=EDGE_CURVE('',#69914,#69915,#32005,.T.); #88388=EDGE_CURVE('',#69915,#69913,#32006,.T.); #88389=EDGE_CURVE('',#69916,#69914,#32007,.T.); #88390=EDGE_CURVE('',#69916,#69917,#32008,.T.); #88391=EDGE_CURVE('',#69917,#69915,#32009,.T.); #88392=EDGE_CURVE('',#69918,#69916,#32010,.T.); #88393=EDGE_CURVE('',#69918,#69919,#32011,.T.); #88394=EDGE_CURVE('',#69919,#69917,#32012,.T.); #88395=EDGE_CURVE('',#69920,#69918,#32013,.T.); #88396=EDGE_CURVE('',#69920,#69921,#32014,.T.); #88397=EDGE_CURVE('',#69921,#69919,#32015,.T.); #88398=EDGE_CURVE('',#69922,#69920,#32016,.T.); #88399=EDGE_CURVE('',#69922,#69923,#32017,.T.); #88400=EDGE_CURVE('',#69923,#69921,#32018,.T.); #88401=EDGE_CURVE('',#69924,#69922,#32019,.T.); #88402=EDGE_CURVE('',#69924,#69925,#32020,.T.); #88403=EDGE_CURVE('',#69925,#69923,#32021,.T.); #88404=EDGE_CURVE('',#69926,#69924,#32022,.T.); #88405=EDGE_CURVE('',#69926,#69927,#32023,.T.); #88406=EDGE_CURVE('',#69927,#69925,#32024,.T.); #88407=EDGE_CURVE('',#69928,#69926,#32025,.T.); #88408=EDGE_CURVE('',#69928,#69929,#32026,.T.); #88409=EDGE_CURVE('',#69929,#69927,#32027,.T.); #88410=EDGE_CURVE('',#69930,#69928,#32028,.T.); #88411=EDGE_CURVE('',#69930,#69931,#32029,.T.); #88412=EDGE_CURVE('',#69931,#69929,#32030,.T.); #88413=EDGE_CURVE('',#69932,#69930,#32031,.T.); #88414=EDGE_CURVE('',#69932,#69933,#32032,.T.); #88415=EDGE_CURVE('',#69933,#69931,#32033,.T.); #88416=EDGE_CURVE('',#69934,#69932,#32034,.T.); #88417=EDGE_CURVE('',#69934,#69935,#32035,.T.); #88418=EDGE_CURVE('',#69935,#69933,#32036,.T.); #88419=EDGE_CURVE('',#69936,#69934,#32037,.T.); #88420=EDGE_CURVE('',#69936,#69937,#32038,.T.); #88421=EDGE_CURVE('',#69937,#69935,#32039,.T.); #88422=EDGE_CURVE('',#69938,#69936,#32040,.T.); #88423=EDGE_CURVE('',#69938,#69939,#32041,.T.); #88424=EDGE_CURVE('',#69939,#69937,#32042,.T.); #88425=EDGE_CURVE('',#69940,#69938,#32043,.T.); #88426=EDGE_CURVE('',#69940,#69941,#32044,.T.); #88427=EDGE_CURVE('',#69941,#69939,#32045,.T.); #88428=EDGE_CURVE('',#69942,#69940,#59571,.T.); #88429=EDGE_CURVE('',#69942,#69943,#32046,.T.); #88430=EDGE_CURVE('',#69943,#69941,#59572,.T.); #88431=EDGE_CURVE('',#69944,#69942,#32047,.T.); #88432=EDGE_CURVE('',#69944,#69945,#32048,.T.); #88433=EDGE_CURVE('',#69945,#69943,#32049,.T.); #88434=EDGE_CURVE('',#69946,#69944,#32050,.T.); #88435=EDGE_CURVE('',#69946,#69947,#32051,.T.); #88436=EDGE_CURVE('',#69947,#69945,#32052,.T.); #88437=EDGE_CURVE('',#69948,#69946,#59573,.T.); #88438=EDGE_CURVE('',#69948,#69949,#32053,.T.); #88439=EDGE_CURVE('',#69949,#69947,#59574,.T.); #88440=EDGE_CURVE('',#69950,#69948,#59575,.T.); #88441=EDGE_CURVE('',#69950,#69951,#32054,.T.); #88442=EDGE_CURVE('',#69951,#69949,#59576,.T.); #88443=EDGE_CURVE('',#69952,#69950,#59577,.T.); #88444=EDGE_CURVE('',#69952,#69953,#32055,.T.); #88445=EDGE_CURVE('',#69953,#69951,#59578,.T.); #88446=EDGE_CURVE('',#69954,#69952,#59579,.T.); #88447=EDGE_CURVE('',#69954,#69955,#32056,.T.); #88448=EDGE_CURVE('',#69955,#69953,#59580,.T.); #88449=EDGE_CURVE('',#69956,#69954,#32057,.T.); #88450=EDGE_CURVE('',#69956,#69957,#32058,.T.); #88451=EDGE_CURVE('',#69957,#69955,#32059,.T.); #88452=EDGE_CURVE('',#69958,#69956,#32060,.T.); #88453=EDGE_CURVE('',#69958,#69959,#32061,.T.); #88454=EDGE_CURVE('',#69959,#69957,#32062,.T.); #88455=EDGE_CURVE('',#69960,#69958,#32063,.T.); #88456=EDGE_CURVE('',#69960,#69961,#32064,.T.); #88457=EDGE_CURVE('',#69961,#69959,#32065,.T.); #88458=EDGE_CURVE('',#69962,#69960,#32066,.T.); #88459=EDGE_CURVE('',#69962,#69963,#32067,.T.); #88460=EDGE_CURVE('',#69963,#69961,#32068,.T.); #88461=EDGE_CURVE('',#69964,#69962,#32069,.T.); #88462=EDGE_CURVE('',#69964,#69965,#32070,.T.); #88463=EDGE_CURVE('',#69965,#69963,#32071,.T.); #88464=EDGE_CURVE('',#69966,#69964,#32072,.T.); #88465=EDGE_CURVE('',#69966,#69967,#32073,.T.); #88466=EDGE_CURVE('',#69967,#69965,#32074,.T.); #88467=EDGE_CURVE('',#69968,#69966,#32075,.T.); #88468=EDGE_CURVE('',#69968,#69969,#32076,.T.); #88469=EDGE_CURVE('',#69969,#69967,#32077,.T.); #88470=EDGE_CURVE('',#69970,#69968,#32078,.T.); #88471=EDGE_CURVE('',#69970,#69971,#32079,.T.); #88472=EDGE_CURVE('',#69971,#69969,#32080,.T.); #88473=EDGE_CURVE('',#69797,#69970,#32081,.T.); #88474=EDGE_CURVE('',#69799,#69971,#32082,.T.); #88475=EDGE_CURVE('',#69972,#69973,#32083,.T.); #88476=EDGE_CURVE('',#69972,#69974,#32084,.T.); #88477=EDGE_CURVE('',#69974,#69975,#32085,.T.); #88478=EDGE_CURVE('',#69973,#69975,#32086,.T.); #88479=EDGE_CURVE('',#69976,#69972,#59581,.T.); #88480=EDGE_CURVE('',#69976,#69977,#32087,.T.); #88481=EDGE_CURVE('',#69977,#69974,#59582,.T.); #88482=EDGE_CURVE('',#69978,#69976,#59583,.T.); #88483=EDGE_CURVE('',#69978,#69979,#32088,.T.); #88484=EDGE_CURVE('',#69979,#69977,#59584,.T.); #88485=EDGE_CURVE('',#69980,#69978,#59585,.T.); #88486=EDGE_CURVE('',#69980,#69981,#32089,.T.); #88487=EDGE_CURVE('',#69981,#69979,#59586,.T.); #88488=EDGE_CURVE('',#69973,#69980,#32090,.T.); #88489=EDGE_CURVE('',#69975,#69981,#32091,.T.); #88490=EDGE_CURVE('',#69982,#69983,#32092,.T.); #88491=EDGE_CURVE('',#69982,#69984,#32093,.T.); #88492=EDGE_CURVE('',#69984,#69985,#32094,.T.); #88493=EDGE_CURVE('',#69983,#69985,#32095,.T.); #88494=EDGE_CURVE('',#69986,#69982,#32096,.T.); #88495=EDGE_CURVE('',#69986,#69987,#32097,.T.); #88496=EDGE_CURVE('',#69987,#69984,#32098,.T.); #88497=EDGE_CURVE('',#69988,#69986,#32099,.T.); #88498=EDGE_CURVE('',#69988,#69989,#32100,.T.); #88499=EDGE_CURVE('',#69989,#69987,#32101,.T.); #88500=EDGE_CURVE('',#69990,#69988,#32102,.T.); #88501=EDGE_CURVE('',#69990,#69991,#32103,.T.); #88502=EDGE_CURVE('',#69991,#69989,#32104,.T.); #88503=EDGE_CURVE('',#69992,#69990,#32105,.T.); #88504=EDGE_CURVE('',#69992,#69993,#32106,.T.); #88505=EDGE_CURVE('',#69993,#69991,#32107,.T.); #88506=EDGE_CURVE('',#69994,#69992,#32108,.T.); #88507=EDGE_CURVE('',#69994,#69995,#32109,.T.); #88508=EDGE_CURVE('',#69995,#69993,#32110,.T.); #88509=EDGE_CURVE('',#69996,#69994,#32111,.T.); #88510=EDGE_CURVE('',#69996,#69997,#32112,.T.); #88511=EDGE_CURVE('',#69997,#69995,#32113,.T.); #88512=EDGE_CURVE('',#69998,#69996,#32114,.T.); #88513=EDGE_CURVE('',#69998,#69999,#32115,.T.); #88514=EDGE_CURVE('',#69999,#69997,#32116,.T.); #88515=EDGE_CURVE('',#70000,#69998,#32117,.T.); #88516=EDGE_CURVE('',#70000,#70001,#32118,.T.); #88517=EDGE_CURVE('',#70001,#69999,#32119,.T.); #88518=EDGE_CURVE('',#70002,#70000,#32120,.T.); #88519=EDGE_CURVE('',#70002,#70003,#32121,.T.); #88520=EDGE_CURVE('',#70003,#70001,#32122,.T.); #88521=EDGE_CURVE('',#70004,#70002,#32123,.T.); #88522=EDGE_CURVE('',#70004,#70005,#32124,.T.); #88523=EDGE_CURVE('',#70005,#70003,#32125,.T.); #88524=EDGE_CURVE('',#70006,#70004,#32126,.T.); #88525=EDGE_CURVE('',#70006,#70007,#32127,.T.); #88526=EDGE_CURVE('',#70007,#70005,#32128,.T.); #88527=EDGE_CURVE('',#70008,#70006,#32129,.T.); #88528=EDGE_CURVE('',#70008,#70009,#32130,.T.); #88529=EDGE_CURVE('',#70009,#70007,#32131,.T.); #88530=EDGE_CURVE('',#70010,#70008,#32132,.T.); #88531=EDGE_CURVE('',#70010,#70011,#32133,.T.); #88532=EDGE_CURVE('',#70011,#70009,#32134,.T.); #88533=EDGE_CURVE('',#70012,#70010,#32135,.T.); #88534=EDGE_CURVE('',#70012,#70013,#32136,.T.); #88535=EDGE_CURVE('',#70013,#70011,#32137,.T.); #88536=EDGE_CURVE('',#70014,#70012,#32138,.T.); #88537=EDGE_CURVE('',#70014,#70015,#32139,.T.); #88538=EDGE_CURVE('',#70015,#70013,#32140,.T.); #88539=EDGE_CURVE('',#70016,#70014,#32141,.T.); #88540=EDGE_CURVE('',#70016,#70017,#32142,.T.); #88541=EDGE_CURVE('',#70017,#70015,#32143,.T.); #88542=EDGE_CURVE('',#70018,#70016,#32144,.T.); #88543=EDGE_CURVE('',#70018,#70019,#32145,.T.); #88544=EDGE_CURVE('',#70019,#70017,#32146,.T.); #88545=EDGE_CURVE('',#70020,#70018,#59587,.T.); #88546=EDGE_CURVE('',#70020,#70021,#32147,.T.); #88547=EDGE_CURVE('',#70021,#70019,#59588,.T.); #88548=EDGE_CURVE('',#70022,#70020,#59589,.T.); #88549=EDGE_CURVE('',#70022,#70023,#32148,.T.); #88550=EDGE_CURVE('',#70023,#70021,#59590,.T.); #88551=EDGE_CURVE('',#70024,#70022,#32149,.T.); #88552=EDGE_CURVE('',#70024,#70025,#32150,.T.); #88553=EDGE_CURVE('',#70025,#70023,#32151,.T.); #88554=EDGE_CURVE('',#70026,#70024,#59591,.T.); #88555=EDGE_CURVE('',#70026,#70027,#32152,.T.); #88556=EDGE_CURVE('',#70027,#70025,#59592,.T.); #88557=EDGE_CURVE('',#70028,#70026,#59593,.T.); #88558=EDGE_CURVE('',#70028,#70029,#32153,.T.); #88559=EDGE_CURVE('',#70029,#70027,#59594,.T.); #88560=EDGE_CURVE('',#70030,#70028,#59595,.T.); #88561=EDGE_CURVE('',#70030,#70031,#32154,.T.); #88562=EDGE_CURVE('',#70031,#70029,#59596,.T.); #88563=EDGE_CURVE('',#70032,#70030,#59597,.T.); #88564=EDGE_CURVE('',#70032,#70033,#32155,.T.); #88565=EDGE_CURVE('',#70033,#70031,#59598,.T.); #88566=EDGE_CURVE('',#70034,#70032,#59599,.T.); #88567=EDGE_CURVE('',#70034,#70035,#32156,.T.); #88568=EDGE_CURVE('',#70035,#70033,#59600,.T.); #88569=EDGE_CURVE('',#70036,#70034,#32157,.T.); #88570=EDGE_CURVE('',#70036,#70037,#32158,.T.); #88571=EDGE_CURVE('',#70037,#70035,#32159,.T.); #88572=EDGE_CURVE('',#70038,#70036,#32160,.T.); #88573=EDGE_CURVE('',#70038,#70039,#32161,.T.); #88574=EDGE_CURVE('',#70039,#70037,#32162,.T.); #88575=EDGE_CURVE('',#70040,#70038,#32163,.T.); #88576=EDGE_CURVE('',#70040,#70041,#32164,.T.); #88577=EDGE_CURVE('',#70041,#70039,#32165,.T.); #88578=EDGE_CURVE('',#70042,#70040,#32166,.T.); #88579=EDGE_CURVE('',#70042,#70043,#32167,.T.); #88580=EDGE_CURVE('',#70043,#70041,#32168,.T.); #88581=EDGE_CURVE('',#70044,#70042,#32169,.T.); #88582=EDGE_CURVE('',#70044,#70045,#32170,.T.); #88583=EDGE_CURVE('',#70045,#70043,#32171,.T.); #88584=EDGE_CURVE('',#70046,#70044,#32172,.T.); #88585=EDGE_CURVE('',#70046,#70047,#32173,.T.); #88586=EDGE_CURVE('',#70047,#70045,#32174,.T.); #88587=EDGE_CURVE('',#70048,#70046,#32175,.T.); #88588=EDGE_CURVE('',#70048,#70049,#32176,.T.); #88589=EDGE_CURVE('',#70049,#70047,#32177,.T.); #88590=EDGE_CURVE('',#70050,#70048,#32178,.T.); #88591=EDGE_CURVE('',#70050,#70051,#32179,.T.); #88592=EDGE_CURVE('',#70051,#70049,#32180,.T.); #88593=EDGE_CURVE('',#70052,#70050,#32181,.T.); #88594=EDGE_CURVE('',#70052,#70053,#32182,.T.); #88595=EDGE_CURVE('',#70053,#70051,#32183,.T.); #88596=EDGE_CURVE('',#70054,#70052,#32184,.T.); #88597=EDGE_CURVE('',#70054,#70055,#32185,.T.); #88598=EDGE_CURVE('',#70055,#70053,#32186,.T.); #88599=EDGE_CURVE('',#70056,#70054,#32187,.T.); #88600=EDGE_CURVE('',#70056,#70057,#32188,.T.); #88601=EDGE_CURVE('',#70057,#70055,#32189,.T.); #88602=EDGE_CURVE('',#70058,#70056,#59601,.T.); #88603=EDGE_CURVE('',#70058,#70059,#32190,.T.); #88604=EDGE_CURVE('',#70059,#70057,#59602,.T.); #88605=EDGE_CURVE('',#70060,#70058,#32191,.T.); #88606=EDGE_CURVE('',#70060,#70061,#32192,.T.); #88607=EDGE_CURVE('',#70061,#70059,#32193,.T.); #88608=EDGE_CURVE('',#70062,#70060,#32194,.T.); #88609=EDGE_CURVE('',#70062,#70063,#32195,.T.); #88610=EDGE_CURVE('',#70063,#70061,#32196,.T.); #88611=EDGE_CURVE('',#70064,#70062,#32197,.T.); #88612=EDGE_CURVE('',#70064,#70065,#32198,.T.); #88613=EDGE_CURVE('',#70065,#70063,#32199,.T.); #88614=EDGE_CURVE('',#70066,#70064,#32200,.T.); #88615=EDGE_CURVE('',#70066,#70067,#32201,.T.); #88616=EDGE_CURVE('',#70067,#70065,#32202,.T.); #88617=EDGE_CURVE('',#70068,#70066,#32203,.T.); #88618=EDGE_CURVE('',#70068,#70069,#32204,.T.); #88619=EDGE_CURVE('',#70069,#70067,#32205,.T.); #88620=EDGE_CURVE('',#70070,#70068,#32206,.T.); #88621=EDGE_CURVE('',#70070,#70071,#32207,.T.); #88622=EDGE_CURVE('',#70071,#70069,#32208,.T.); #88623=EDGE_CURVE('',#70072,#70070,#32209,.T.); #88624=EDGE_CURVE('',#70072,#70073,#32210,.T.); #88625=EDGE_CURVE('',#70073,#70071,#32211,.T.); #88626=EDGE_CURVE('',#70074,#70072,#32212,.T.); #88627=EDGE_CURVE('',#70074,#70075,#32213,.T.); #88628=EDGE_CURVE('',#70075,#70073,#32214,.T.); #88629=EDGE_CURVE('',#70076,#70074,#32215,.T.); #88630=EDGE_CURVE('',#70076,#70077,#32216,.T.); #88631=EDGE_CURVE('',#70077,#70075,#32217,.T.); #88632=EDGE_CURVE('',#70078,#70076,#59603,.T.); #88633=EDGE_CURVE('',#70078,#70079,#32218,.T.); #88634=EDGE_CURVE('',#70079,#70077,#59604,.T.); #88635=EDGE_CURVE('',#70080,#70078,#32219,.T.); #88636=EDGE_CURVE('',#70080,#70081,#32220,.T.); #88637=EDGE_CURVE('',#70081,#70079,#32221,.T.); #88638=EDGE_CURVE('',#70082,#70080,#32222,.T.); #88639=EDGE_CURVE('',#70082,#70083,#32223,.T.); #88640=EDGE_CURVE('',#70083,#70081,#32224,.T.); #88641=EDGE_CURVE('',#70084,#70082,#32225,.T.); #88642=EDGE_CURVE('',#70084,#70085,#32226,.T.); #88643=EDGE_CURVE('',#70085,#70083,#32227,.T.); #88644=EDGE_CURVE('',#70086,#70084,#32228,.T.); #88645=EDGE_CURVE('',#70086,#70087,#32229,.T.); #88646=EDGE_CURVE('',#70087,#70085,#32230,.T.); #88647=EDGE_CURVE('',#70088,#70086,#32231,.T.); #88648=EDGE_CURVE('',#70088,#70089,#32232,.T.); #88649=EDGE_CURVE('',#70089,#70087,#32233,.T.); #88650=EDGE_CURVE('',#70090,#70088,#59605,.T.); #88651=EDGE_CURVE('',#70090,#70091,#32234,.T.); #88652=EDGE_CURVE('',#70091,#70089,#59606,.T.); #88653=EDGE_CURVE('',#70092,#70090,#59607,.T.); #88654=EDGE_CURVE('',#70092,#70093,#32235,.T.); #88655=EDGE_CURVE('',#70093,#70091,#59608,.T.); #88656=EDGE_CURVE('',#70094,#70092,#32236,.T.); #88657=EDGE_CURVE('',#70094,#70095,#32237,.T.); #88658=EDGE_CURVE('',#70095,#70093,#32238,.T.); #88659=EDGE_CURVE('',#70096,#70094,#32239,.T.); #88660=EDGE_CURVE('',#70096,#70097,#32240,.T.); #88661=EDGE_CURVE('',#70097,#70095,#32241,.T.); #88662=EDGE_CURVE('',#70098,#70096,#32242,.T.); #88663=EDGE_CURVE('',#70098,#70099,#32243,.T.); #88664=EDGE_CURVE('',#70099,#70097,#32244,.T.); #88665=EDGE_CURVE('',#70100,#70098,#32245,.T.); #88666=EDGE_CURVE('',#70100,#70101,#32246,.T.); #88667=EDGE_CURVE('',#70101,#70099,#32247,.T.); #88668=EDGE_CURVE('',#70102,#70100,#32248,.T.); #88669=EDGE_CURVE('',#70102,#70103,#32249,.T.); #88670=EDGE_CURVE('',#70103,#70101,#32250,.T.); #88671=EDGE_CURVE('',#70104,#70102,#32251,.T.); #88672=EDGE_CURVE('',#70104,#70105,#32252,.T.); #88673=EDGE_CURVE('',#70105,#70103,#32253,.T.); #88674=EDGE_CURVE('',#70106,#70104,#32254,.T.); #88675=EDGE_CURVE('',#70106,#70107,#32255,.T.); #88676=EDGE_CURVE('',#70107,#70105,#32256,.T.); #88677=EDGE_CURVE('',#70108,#70106,#32257,.T.); #88678=EDGE_CURVE('',#70108,#70109,#32258,.T.); #88679=EDGE_CURVE('',#70109,#70107,#32259,.T.); #88680=EDGE_CURVE('',#70110,#70108,#32260,.T.); #88681=EDGE_CURVE('',#70110,#70111,#32261,.T.); #88682=EDGE_CURVE('',#70111,#70109,#32262,.T.); #88683=EDGE_CURVE('',#70112,#70110,#32263,.T.); #88684=EDGE_CURVE('',#70112,#70113,#32264,.T.); #88685=EDGE_CURVE('',#70113,#70111,#32265,.T.); #88686=EDGE_CURVE('',#70114,#70112,#32266,.T.); #88687=EDGE_CURVE('',#70114,#70115,#32267,.T.); #88688=EDGE_CURVE('',#70115,#70113,#32268,.T.); #88689=EDGE_CURVE('',#70116,#70114,#32269,.T.); #88690=EDGE_CURVE('',#70116,#70117,#32270,.T.); #88691=EDGE_CURVE('',#70117,#70115,#32271,.T.); #88692=EDGE_CURVE('',#70118,#70116,#32272,.T.); #88693=EDGE_CURVE('',#70118,#70119,#32273,.T.); #88694=EDGE_CURVE('',#70119,#70117,#32274,.T.); #88695=EDGE_CURVE('',#70120,#70118,#32275,.T.); #88696=EDGE_CURVE('',#70120,#70121,#32276,.T.); #88697=EDGE_CURVE('',#70121,#70119,#32277,.T.); #88698=EDGE_CURVE('',#70122,#70120,#32278,.T.); #88699=EDGE_CURVE('',#70122,#70123,#32279,.T.); #88700=EDGE_CURVE('',#70123,#70121,#32280,.T.); #88701=EDGE_CURVE('',#70124,#70122,#32281,.T.); #88702=EDGE_CURVE('',#70124,#70125,#32282,.T.); #88703=EDGE_CURVE('',#70125,#70123,#32283,.T.); #88704=EDGE_CURVE('',#70126,#70124,#32284,.T.); #88705=EDGE_CURVE('',#70126,#70127,#32285,.T.); #88706=EDGE_CURVE('',#70127,#70125,#32286,.T.); #88707=EDGE_CURVE('',#70128,#70126,#32287,.T.); #88708=EDGE_CURVE('',#70128,#70129,#32288,.T.); #88709=EDGE_CURVE('',#70129,#70127,#32289,.T.); #88710=EDGE_CURVE('',#70130,#70128,#32290,.T.); #88711=EDGE_CURVE('',#70130,#70131,#32291,.T.); #88712=EDGE_CURVE('',#70131,#70129,#32292,.T.); #88713=EDGE_CURVE('',#70132,#70130,#32293,.T.); #88714=EDGE_CURVE('',#70132,#70133,#32294,.T.); #88715=EDGE_CURVE('',#70133,#70131,#32295,.T.); #88716=EDGE_CURVE('',#70134,#70132,#32296,.T.); #88717=EDGE_CURVE('',#70134,#70135,#32297,.T.); #88718=EDGE_CURVE('',#70135,#70133,#32298,.T.); #88719=EDGE_CURVE('',#70136,#70134,#32299,.T.); #88720=EDGE_CURVE('',#70136,#70137,#32300,.T.); #88721=EDGE_CURVE('',#70137,#70135,#32301,.T.); #88722=EDGE_CURVE('',#70138,#70136,#59609,.T.); #88723=EDGE_CURVE('',#70138,#70139,#32302,.T.); #88724=EDGE_CURVE('',#70139,#70137,#59610,.T.); #88725=EDGE_CURVE('',#70140,#70138,#59611,.T.); #88726=EDGE_CURVE('',#70140,#70141,#32303,.T.); #88727=EDGE_CURVE('',#70141,#70139,#59612,.T.); #88728=EDGE_CURVE('',#70142,#70140,#59613,.T.); #88729=EDGE_CURVE('',#70142,#70143,#32304,.T.); #88730=EDGE_CURVE('',#70143,#70141,#59614,.T.); #88731=EDGE_CURVE('',#70144,#70142,#59615,.T.); #88732=EDGE_CURVE('',#70144,#70145,#32305,.T.); #88733=EDGE_CURVE('',#70145,#70143,#59616,.T.); #88734=EDGE_CURVE('',#70146,#70144,#32306,.T.); #88735=EDGE_CURVE('',#70146,#70147,#32307,.T.); #88736=EDGE_CURVE('',#70147,#70145,#32308,.T.); #88737=EDGE_CURVE('',#70148,#70146,#32309,.T.); #88738=EDGE_CURVE('',#70148,#70149,#32310,.T.); #88739=EDGE_CURVE('',#70149,#70147,#32311,.T.); #88740=EDGE_CURVE('',#70150,#70148,#32312,.T.); #88741=EDGE_CURVE('',#70150,#70151,#32313,.T.); #88742=EDGE_CURVE('',#70151,#70149,#32314,.T.); #88743=EDGE_CURVE('',#70152,#70150,#32315,.T.); #88744=EDGE_CURVE('',#70152,#70153,#32316,.T.); #88745=EDGE_CURVE('',#70153,#70151,#32317,.T.); #88746=EDGE_CURVE('',#70154,#70152,#32318,.T.); #88747=EDGE_CURVE('',#70154,#70155,#32319,.T.); #88748=EDGE_CURVE('',#70155,#70153,#32320,.T.); #88749=EDGE_CURVE('',#70156,#70154,#32321,.T.); #88750=EDGE_CURVE('',#70156,#70157,#32322,.T.); #88751=EDGE_CURVE('',#70157,#70155,#32323,.T.); #88752=EDGE_CURVE('',#70158,#70156,#32324,.T.); #88753=EDGE_CURVE('',#70158,#70159,#32325,.T.); #88754=EDGE_CURVE('',#70159,#70157,#32326,.T.); #88755=EDGE_CURVE('',#70160,#70158,#32327,.T.); #88756=EDGE_CURVE('',#70160,#70161,#32328,.T.); #88757=EDGE_CURVE('',#70161,#70159,#32329,.T.); #88758=EDGE_CURVE('',#70162,#70160,#32330,.T.); #88759=EDGE_CURVE('',#70162,#70163,#32331,.T.); #88760=EDGE_CURVE('',#70163,#70161,#32332,.T.); #88761=EDGE_CURVE('',#70164,#70162,#32333,.T.); #88762=EDGE_CURVE('',#70164,#70165,#32334,.T.); #88763=EDGE_CURVE('',#70165,#70163,#32335,.T.); #88764=EDGE_CURVE('',#70166,#70164,#59617,.T.); #88765=EDGE_CURVE('',#70166,#70167,#32336,.T.); #88766=EDGE_CURVE('',#70167,#70165,#59618,.T.); #88767=EDGE_CURVE('',#70168,#70166,#32337,.T.); #88768=EDGE_CURVE('',#70168,#70169,#32338,.T.); #88769=EDGE_CURVE('',#70169,#70167,#32339,.T.); #88770=EDGE_CURVE('',#70170,#70168,#32340,.T.); #88771=EDGE_CURVE('',#70170,#70171,#32341,.T.); #88772=EDGE_CURVE('',#70171,#70169,#32342,.T.); #88773=EDGE_CURVE('',#70172,#70170,#32343,.T.); #88774=EDGE_CURVE('',#70172,#70173,#32344,.T.); #88775=EDGE_CURVE('',#70173,#70171,#32345,.T.); #88776=EDGE_CURVE('',#70174,#70172,#32346,.T.); #88777=EDGE_CURVE('',#70174,#70175,#32347,.T.); #88778=EDGE_CURVE('',#70175,#70173,#32348,.T.); #88779=EDGE_CURVE('',#70176,#70174,#32349,.T.); #88780=EDGE_CURVE('',#70176,#70177,#32350,.T.); #88781=EDGE_CURVE('',#70177,#70175,#32351,.T.); #88782=EDGE_CURVE('',#70178,#70176,#32352,.T.); #88783=EDGE_CURVE('',#70178,#70179,#32353,.T.); #88784=EDGE_CURVE('',#70179,#70177,#32354,.T.); #88785=EDGE_CURVE('',#70180,#70178,#32355,.T.); #88786=EDGE_CURVE('',#70180,#70181,#32356,.T.); #88787=EDGE_CURVE('',#70181,#70179,#32357,.T.); #88788=EDGE_CURVE('',#70182,#70180,#32358,.T.); #88789=EDGE_CURVE('',#70182,#70183,#32359,.T.); #88790=EDGE_CURVE('',#70183,#70181,#32360,.T.); #88791=EDGE_CURVE('',#70184,#70182,#32361,.T.); #88792=EDGE_CURVE('',#70184,#70185,#32362,.T.); #88793=EDGE_CURVE('',#70185,#70183,#32363,.T.); #88794=EDGE_CURVE('',#70186,#70184,#32364,.T.); #88795=EDGE_CURVE('',#70186,#70187,#32365,.T.); #88796=EDGE_CURVE('',#70187,#70185,#32366,.T.); #88797=EDGE_CURVE('',#70188,#70186,#59619,.T.); #88798=EDGE_CURVE('',#70188,#70189,#32367,.T.); #88799=EDGE_CURVE('',#70189,#70187,#59620,.T.); #88800=EDGE_CURVE('',#70190,#70188,#32368,.T.); #88801=EDGE_CURVE('',#70190,#70191,#32369,.T.); #88802=EDGE_CURVE('',#70191,#70189,#32370,.T.); #88803=EDGE_CURVE('',#70192,#70190,#32371,.T.); #88804=EDGE_CURVE('',#70192,#70193,#32372,.T.); #88805=EDGE_CURVE('',#70193,#70191,#32373,.T.); #88806=EDGE_CURVE('',#70194,#70192,#32374,.T.); #88807=EDGE_CURVE('',#70194,#70195,#32375,.T.); #88808=EDGE_CURVE('',#70195,#70193,#32376,.T.); #88809=EDGE_CURVE('',#70196,#70194,#59621,.T.); #88810=EDGE_CURVE('',#70196,#70197,#32377,.T.); #88811=EDGE_CURVE('',#70197,#70195,#59622,.T.); #88812=EDGE_CURVE('',#70198,#70196,#32378,.T.); #88813=EDGE_CURVE('',#70198,#70199,#32379,.T.); #88814=EDGE_CURVE('',#70199,#70197,#32380,.T.); #88815=EDGE_CURVE('',#70200,#70198,#59623,.T.); #88816=EDGE_CURVE('',#70200,#70201,#32381,.T.); #88817=EDGE_CURVE('',#70201,#70199,#59624,.T.); #88818=EDGE_CURVE('',#70202,#70200,#59625,.T.); #88819=EDGE_CURVE('',#70202,#70203,#32382,.T.); #88820=EDGE_CURVE('',#70203,#70201,#59626,.T.); #88821=EDGE_CURVE('',#69983,#70202,#32383,.T.); #88822=EDGE_CURVE('',#69985,#70203,#32384,.T.); #88823=EDGE_CURVE('',#70204,#70205,#32385,.T.); #88824=EDGE_CURVE('',#70204,#70206,#32386,.T.); #88825=EDGE_CURVE('',#70206,#70207,#32387,.T.); #88826=EDGE_CURVE('',#70205,#70207,#32388,.T.); #88827=EDGE_CURVE('',#70208,#70204,#32389,.T.); #88828=EDGE_CURVE('',#70208,#70209,#32390,.T.); #88829=EDGE_CURVE('',#70209,#70206,#32391,.T.); #88830=EDGE_CURVE('',#70210,#70208,#32392,.T.); #88831=EDGE_CURVE('',#70210,#70211,#32393,.T.); #88832=EDGE_CURVE('',#70211,#70209,#32394,.T.); #88833=EDGE_CURVE('',#70212,#70210,#32395,.T.); #88834=EDGE_CURVE('',#70212,#70213,#32396,.T.); #88835=EDGE_CURVE('',#70213,#70211,#32397,.T.); #88836=EDGE_CURVE('',#70214,#70212,#32398,.T.); #88837=EDGE_CURVE('',#70214,#70215,#32399,.T.); #88838=EDGE_CURVE('',#70215,#70213,#32400,.T.); #88839=EDGE_CURVE('',#70216,#70214,#32401,.T.); #88840=EDGE_CURVE('',#70216,#70217,#32402,.T.); #88841=EDGE_CURVE('',#70217,#70215,#32403,.T.); #88842=EDGE_CURVE('',#70218,#70216,#32404,.T.); #88843=EDGE_CURVE('',#70218,#70219,#32405,.T.); #88844=EDGE_CURVE('',#70219,#70217,#32406,.T.); #88845=EDGE_CURVE('',#70220,#70218,#59627,.T.); #88846=EDGE_CURVE('',#70220,#70221,#32407,.T.); #88847=EDGE_CURVE('',#70221,#70219,#59628,.T.); #88848=EDGE_CURVE('',#70222,#70220,#59629,.T.); #88849=EDGE_CURVE('',#70222,#70223,#32408,.T.); #88850=EDGE_CURVE('',#70223,#70221,#59630,.T.); #88851=EDGE_CURVE('',#70224,#70222,#32409,.T.); #88852=EDGE_CURVE('',#70224,#70225,#32410,.T.); #88853=EDGE_CURVE('',#70225,#70223,#32411,.T.); #88854=EDGE_CURVE('',#70226,#70224,#59631,.T.); #88855=EDGE_CURVE('',#70226,#70227,#32412,.T.); #88856=EDGE_CURVE('',#70227,#70225,#59632,.T.); #88857=EDGE_CURVE('',#70228,#70226,#59633,.T.); #88858=EDGE_CURVE('',#70228,#70229,#32413,.T.); #88859=EDGE_CURVE('',#70229,#70227,#59634,.T.); #88860=EDGE_CURVE('',#70230,#70228,#59635,.T.); #88861=EDGE_CURVE('',#70230,#70231,#32414,.T.); #88862=EDGE_CURVE('',#70231,#70229,#59636,.T.); #88863=EDGE_CURVE('',#70232,#70230,#32415,.T.); #88864=EDGE_CURVE('',#70232,#70233,#32416,.T.); #88865=EDGE_CURVE('',#70233,#70231,#32417,.T.); #88866=EDGE_CURVE('',#70234,#70232,#59637,.T.); #88867=EDGE_CURVE('',#70234,#70235,#32418,.T.); #88868=EDGE_CURVE('',#70235,#70233,#59638,.T.); #88869=EDGE_CURVE('',#70236,#70234,#32419,.T.); #88870=EDGE_CURVE('',#70236,#70237,#32420,.T.); #88871=EDGE_CURVE('',#70237,#70235,#32421,.T.); #88872=EDGE_CURVE('',#70238,#70236,#32422,.T.); #88873=EDGE_CURVE('',#70238,#70239,#32423,.T.); #88874=EDGE_CURVE('',#70239,#70237,#32424,.T.); #88875=EDGE_CURVE('',#70240,#70238,#59639,.T.); #88876=EDGE_CURVE('',#70240,#70241,#32425,.T.); #88877=EDGE_CURVE('',#70241,#70239,#59640,.T.); #88878=EDGE_CURVE('',#70242,#70240,#32426,.T.); #88879=EDGE_CURVE('',#70242,#70243,#32427,.T.); #88880=EDGE_CURVE('',#70243,#70241,#32428,.T.); #88881=EDGE_CURVE('',#70244,#70242,#59641,.T.); #88882=EDGE_CURVE('',#70244,#70245,#32429,.T.); #88883=EDGE_CURVE('',#70245,#70243,#59642,.T.); #88884=EDGE_CURVE('',#70246,#70244,#59643,.T.); #88885=EDGE_CURVE('',#70246,#70247,#32430,.T.); #88886=EDGE_CURVE('',#70247,#70245,#59644,.T.); #88887=EDGE_CURVE('',#70248,#70246,#59645,.T.); #88888=EDGE_CURVE('',#70248,#70249,#32431,.T.); #88889=EDGE_CURVE('',#70249,#70247,#59646,.T.); #88890=EDGE_CURVE('',#70250,#70248,#32432,.T.); #88891=EDGE_CURVE('',#70250,#70251,#32433,.T.); #88892=EDGE_CURVE('',#70251,#70249,#32434,.T.); #88893=EDGE_CURVE('',#70252,#70250,#59647,.T.); #88894=EDGE_CURVE('',#70252,#70253,#32435,.T.); #88895=EDGE_CURVE('',#70253,#70251,#59648,.T.); #88896=EDGE_CURVE('',#70254,#70252,#59649,.T.); #88897=EDGE_CURVE('',#70254,#70255,#32436,.T.); #88898=EDGE_CURVE('',#70255,#70253,#59650,.T.); #88899=EDGE_CURVE('',#70256,#70254,#59651,.T.); #88900=EDGE_CURVE('',#70256,#70257,#32437,.T.); #88901=EDGE_CURVE('',#70257,#70255,#59652,.T.); #88902=EDGE_CURVE('',#70258,#70256,#32438,.T.); #88903=EDGE_CURVE('',#70258,#70259,#32439,.T.); #88904=EDGE_CURVE('',#70259,#70257,#32440,.T.); #88905=EDGE_CURVE('',#70260,#70258,#32441,.T.); #88906=EDGE_CURVE('',#70260,#70261,#32442,.T.); #88907=EDGE_CURVE('',#70261,#70259,#32443,.T.); #88908=EDGE_CURVE('',#70262,#70260,#32444,.T.); #88909=EDGE_CURVE('',#70262,#70263,#32445,.T.); #88910=EDGE_CURVE('',#70263,#70261,#32446,.T.); #88911=EDGE_CURVE('',#70264,#70262,#32447,.T.); #88912=EDGE_CURVE('',#70264,#70265,#32448,.T.); #88913=EDGE_CURVE('',#70265,#70263,#32449,.T.); #88914=EDGE_CURVE('',#70266,#70264,#59653,.T.); #88915=EDGE_CURVE('',#70266,#70267,#32450,.T.); #88916=EDGE_CURVE('',#70267,#70265,#59654,.T.); #88917=EDGE_CURVE('',#70268,#70266,#59655,.T.); #88918=EDGE_CURVE('',#70268,#70269,#32451,.T.); #88919=EDGE_CURVE('',#70269,#70267,#59656,.T.); #88920=EDGE_CURVE('',#70270,#70268,#32452,.T.); #88921=EDGE_CURVE('',#70270,#70271,#32453,.T.); #88922=EDGE_CURVE('',#70271,#70269,#32454,.T.); #88923=EDGE_CURVE('',#70272,#70270,#59657,.T.); #88924=EDGE_CURVE('',#70272,#70273,#32455,.T.); #88925=EDGE_CURVE('',#70273,#70271,#59658,.T.); #88926=EDGE_CURVE('',#70274,#70272,#59659,.T.); #88927=EDGE_CURVE('',#70274,#70275,#32456,.T.); #88928=EDGE_CURVE('',#70275,#70273,#59660,.T.); #88929=EDGE_CURVE('',#70276,#70274,#59661,.T.); #88930=EDGE_CURVE('',#70276,#70277,#32457,.T.); #88931=EDGE_CURVE('',#70277,#70275,#59662,.T.); #88932=EDGE_CURVE('',#70278,#70276,#32458,.T.); #88933=EDGE_CURVE('',#70278,#70279,#32459,.T.); #88934=EDGE_CURVE('',#70279,#70277,#32460,.T.); #88935=EDGE_CURVE('',#70280,#70278,#32461,.T.); #88936=EDGE_CURVE('',#70280,#70281,#32462,.T.); #88937=EDGE_CURVE('',#70281,#70279,#32463,.T.); #88938=EDGE_CURVE('',#70282,#70280,#32464,.T.); #88939=EDGE_CURVE('',#70282,#70283,#32465,.T.); #88940=EDGE_CURVE('',#70283,#70281,#32466,.T.); #88941=EDGE_CURVE('',#70284,#70282,#59663,.T.); #88942=EDGE_CURVE('',#70284,#70285,#32467,.T.); #88943=EDGE_CURVE('',#70285,#70283,#59664,.T.); #88944=EDGE_CURVE('',#70286,#70284,#32468,.T.); #88945=EDGE_CURVE('',#70286,#70287,#32469,.T.); #88946=EDGE_CURVE('',#70287,#70285,#32470,.T.); #88947=EDGE_CURVE('',#70288,#70286,#32471,.T.); #88948=EDGE_CURVE('',#70288,#70289,#32472,.T.); #88949=EDGE_CURVE('',#70289,#70287,#32473,.T.); #88950=EDGE_CURVE('',#70290,#70288,#59665,.T.); #88951=EDGE_CURVE('',#70290,#70291,#32474,.T.); #88952=EDGE_CURVE('',#70291,#70289,#59666,.T.); #88953=EDGE_CURVE('',#70292,#70290,#32475,.T.); #88954=EDGE_CURVE('',#70292,#70293,#32476,.T.); #88955=EDGE_CURVE('',#70293,#70291,#32477,.T.); #88956=EDGE_CURVE('',#70205,#70292,#59667,.T.); #88957=EDGE_CURVE('',#70207,#70293,#59668,.T.); #88958=EDGE_CURVE('',#70294,#70295,#32478,.T.); #88959=EDGE_CURVE('',#70294,#70296,#32479,.T.); #88960=EDGE_CURVE('',#70296,#70297,#32480,.T.); #88961=EDGE_CURVE('',#70295,#70297,#32481,.T.); #88962=EDGE_CURVE('',#70298,#70294,#59669,.T.); #88963=EDGE_CURVE('',#70298,#70299,#32482,.T.); #88964=EDGE_CURVE('',#70299,#70296,#59670,.T.); #88965=EDGE_CURVE('',#70300,#70298,#32483,.T.); #88966=EDGE_CURVE('',#70300,#70301,#32484,.T.); #88967=EDGE_CURVE('',#70301,#70299,#32485,.T.); #88968=EDGE_CURVE('',#70302,#70300,#32486,.T.); #88969=EDGE_CURVE('',#70302,#70303,#32487,.T.); #88970=EDGE_CURVE('',#70303,#70301,#32488,.T.); #88971=EDGE_CURVE('',#70304,#70302,#32489,.T.); #88972=EDGE_CURVE('',#70304,#70305,#32490,.T.); #88973=EDGE_CURVE('',#70305,#70303,#32491,.T.); #88974=EDGE_CURVE('',#70306,#70304,#59671,.T.); #88975=EDGE_CURVE('',#70306,#70307,#32492,.T.); #88976=EDGE_CURVE('',#70307,#70305,#59672,.T.); #88977=EDGE_CURVE('',#70308,#70306,#59673,.T.); #88978=EDGE_CURVE('',#70308,#70309,#32493,.T.); #88979=EDGE_CURVE('',#70309,#70307,#59674,.T.); #88980=EDGE_CURVE('',#70310,#70308,#32494,.T.); #88981=EDGE_CURVE('',#70310,#70311,#32495,.T.); #88982=EDGE_CURVE('',#70311,#70309,#32496,.T.); #88983=EDGE_CURVE('',#70312,#70310,#32497,.T.); #88984=EDGE_CURVE('',#70312,#70313,#32498,.T.); #88985=EDGE_CURVE('',#70313,#70311,#32499,.T.); #88986=EDGE_CURVE('',#70314,#70312,#59675,.T.); #88987=EDGE_CURVE('',#70314,#70315,#32500,.T.); #88988=EDGE_CURVE('',#70315,#70313,#59676,.T.); #88989=EDGE_CURVE('',#70316,#70314,#59677,.T.); #88990=EDGE_CURVE('',#70316,#70317,#32501,.T.); #88991=EDGE_CURVE('',#70317,#70315,#59678,.T.); #88992=EDGE_CURVE('',#70318,#70316,#32502,.T.); #88993=EDGE_CURVE('',#70318,#70319,#32503,.T.); #88994=EDGE_CURVE('',#70319,#70317,#32504,.T.); #88995=EDGE_CURVE('',#70320,#70318,#59679,.T.); #88996=EDGE_CURVE('',#70320,#70321,#32505,.T.); #88997=EDGE_CURVE('',#70321,#70319,#59680,.T.); #88998=EDGE_CURVE('',#70322,#70320,#32506,.T.); #88999=EDGE_CURVE('',#70322,#70323,#32507,.T.); #89000=EDGE_CURVE('',#70323,#70321,#32508,.T.); #89001=EDGE_CURVE('',#70324,#70322,#32509,.T.); #89002=EDGE_CURVE('',#70324,#70325,#32510,.T.); #89003=EDGE_CURVE('',#70325,#70323,#32511,.T.); #89004=EDGE_CURVE('',#70326,#70324,#32512,.T.); #89005=EDGE_CURVE('',#70326,#70327,#32513,.T.); #89006=EDGE_CURVE('',#70327,#70325,#32514,.T.); #89007=EDGE_CURVE('',#70328,#70326,#32515,.T.); #89008=EDGE_CURVE('',#70328,#70329,#32516,.T.); #89009=EDGE_CURVE('',#70329,#70327,#32517,.T.); #89010=EDGE_CURVE('',#70330,#70328,#59681,.T.); #89011=EDGE_CURVE('',#70330,#70331,#32518,.T.); #89012=EDGE_CURVE('',#70331,#70329,#59682,.T.); #89013=EDGE_CURVE('',#70332,#70330,#59683,.T.); #89014=EDGE_CURVE('',#70332,#70333,#32519,.T.); #89015=EDGE_CURVE('',#70333,#70331,#59684,.T.); #89016=EDGE_CURVE('',#70334,#70332,#32520,.T.); #89017=EDGE_CURVE('',#70334,#70335,#32521,.T.); #89018=EDGE_CURVE('',#70335,#70333,#32522,.T.); #89019=EDGE_CURVE('',#70336,#70334,#32523,.T.); #89020=EDGE_CURVE('',#70336,#70337,#32524,.T.); #89021=EDGE_CURVE('',#70337,#70335,#32525,.T.); #89022=EDGE_CURVE('',#70295,#70336,#59685,.T.); #89023=EDGE_CURVE('',#70297,#70337,#59686,.T.); #89024=EDGE_CURVE('',#70338,#70339,#59687,.T.); #89025=EDGE_CURVE('',#70338,#70340,#32526,.T.); #89026=EDGE_CURVE('',#70340,#70341,#59688,.T.); #89027=EDGE_CURVE('',#70339,#70341,#32527,.T.); #89028=EDGE_CURVE('',#70342,#70338,#59689,.T.); #89029=EDGE_CURVE('',#70342,#70343,#32528,.T.); #89030=EDGE_CURVE('',#70343,#70340,#59690,.T.); #89031=EDGE_CURVE('',#70344,#70342,#32529,.T.); #89032=EDGE_CURVE('',#70344,#70345,#32530,.T.); #89033=EDGE_CURVE('',#70345,#70343,#32531,.T.); #89034=EDGE_CURVE('',#70346,#70344,#32532,.T.); #89035=EDGE_CURVE('',#70346,#70347,#32533,.T.); #89036=EDGE_CURVE('',#70347,#70345,#32534,.T.); #89037=EDGE_CURVE('',#70348,#70346,#32535,.T.); #89038=EDGE_CURVE('',#70348,#70349,#32536,.T.); #89039=EDGE_CURVE('',#70349,#70347,#32537,.T.); #89040=EDGE_CURVE('',#70350,#70348,#32538,.T.); #89041=EDGE_CURVE('',#70350,#70351,#32539,.T.); #89042=EDGE_CURVE('',#70351,#70349,#32540,.T.); #89043=EDGE_CURVE('',#70352,#70350,#32541,.T.); #89044=EDGE_CURVE('',#70352,#70353,#32542,.T.); #89045=EDGE_CURVE('',#70353,#70351,#32543,.T.); #89046=EDGE_CURVE('',#70354,#70352,#32544,.T.); #89047=EDGE_CURVE('',#70354,#70355,#32545,.T.); #89048=EDGE_CURVE('',#70355,#70353,#32546,.T.); #89049=EDGE_CURVE('',#70356,#70354,#32547,.T.); #89050=EDGE_CURVE('',#70356,#70357,#32548,.T.); #89051=EDGE_CURVE('',#70357,#70355,#32549,.T.); #89052=EDGE_CURVE('',#70358,#70356,#32550,.T.); #89053=EDGE_CURVE('',#70358,#70359,#32551,.T.); #89054=EDGE_CURVE('',#70359,#70357,#32552,.T.); #89055=EDGE_CURVE('',#70360,#70358,#59691,.T.); #89056=EDGE_CURVE('',#70360,#70361,#32553,.T.); #89057=EDGE_CURVE('',#70361,#70359,#59692,.T.); #89058=EDGE_CURVE('',#70362,#70360,#32554,.T.); #89059=EDGE_CURVE('',#70362,#70363,#32555,.T.); #89060=EDGE_CURVE('',#70363,#70361,#32556,.T.); #89061=EDGE_CURVE('',#70364,#70362,#32557,.T.); #89062=EDGE_CURVE('',#70364,#70365,#32558,.T.); #89063=EDGE_CURVE('',#70365,#70363,#32559,.T.); #89064=EDGE_CURVE('',#70366,#70364,#32560,.T.); #89065=EDGE_CURVE('',#70366,#70367,#32561,.T.); #89066=EDGE_CURVE('',#70367,#70365,#32562,.T.); #89067=EDGE_CURVE('',#70368,#70366,#32563,.T.); #89068=EDGE_CURVE('',#70368,#70369,#32564,.T.); #89069=EDGE_CURVE('',#70369,#70367,#32565,.T.); #89070=EDGE_CURVE('',#70370,#70368,#32566,.T.); #89071=EDGE_CURVE('',#70370,#70371,#32567,.T.); #89072=EDGE_CURVE('',#70371,#70369,#32568,.T.); #89073=EDGE_CURVE('',#70372,#70370,#32569,.T.); #89074=EDGE_CURVE('',#70372,#70373,#32570,.T.); #89075=EDGE_CURVE('',#70373,#70371,#32571,.T.); #89076=EDGE_CURVE('',#70374,#70372,#32572,.T.); #89077=EDGE_CURVE('',#70374,#70375,#32573,.T.); #89078=EDGE_CURVE('',#70375,#70373,#32574,.T.); #89079=EDGE_CURVE('',#70376,#70374,#59693,.T.); #89080=EDGE_CURVE('',#70376,#70377,#32575,.T.); #89081=EDGE_CURVE('',#70377,#70375,#59694,.T.); #89082=EDGE_CURVE('',#70378,#70376,#59695,.T.); #89083=EDGE_CURVE('',#70378,#70379,#32576,.T.); #89084=EDGE_CURVE('',#70379,#70377,#59696,.T.); #89085=EDGE_CURVE('',#70380,#70378,#32577,.T.); #89086=EDGE_CURVE('',#70380,#70381,#32578,.T.); #89087=EDGE_CURVE('',#70381,#70379,#32579,.T.); #89088=EDGE_CURVE('',#70382,#70380,#59697,.T.); #89089=EDGE_CURVE('',#70382,#70383,#32580,.T.); #89090=EDGE_CURVE('',#70383,#70381,#59698,.T.); #89091=EDGE_CURVE('',#70384,#70382,#59699,.T.); #89092=EDGE_CURVE('',#70384,#70385,#32581,.T.); #89093=EDGE_CURVE('',#70385,#70383,#59700,.T.); #89094=EDGE_CURVE('',#70386,#70384,#59701,.T.); #89095=EDGE_CURVE('',#70386,#70387,#32582,.T.); #89096=EDGE_CURVE('',#70387,#70385,#59702,.T.); #89097=EDGE_CURVE('',#70388,#70386,#32583,.T.); #89098=EDGE_CURVE('',#70388,#70389,#32584,.T.); #89099=EDGE_CURVE('',#70389,#70387,#32585,.T.); #89100=EDGE_CURVE('',#70390,#70388,#59703,.T.); #89101=EDGE_CURVE('',#70390,#70391,#32586,.T.); #89102=EDGE_CURVE('',#70391,#70389,#59704,.T.); #89103=EDGE_CURVE('',#70392,#70390,#59705,.T.); #89104=EDGE_CURVE('',#70392,#70393,#32587,.T.); #89105=EDGE_CURVE('',#70393,#70391,#59706,.T.); #89106=EDGE_CURVE('',#70394,#70392,#59707,.T.); #89107=EDGE_CURVE('',#70394,#70395,#32588,.T.); #89108=EDGE_CURVE('',#70395,#70393,#59708,.T.); #89109=EDGE_CURVE('',#70396,#70394,#59709,.T.); #89110=EDGE_CURVE('',#70396,#70397,#32589,.T.); #89111=EDGE_CURVE('',#70397,#70395,#59710,.T.); #89112=EDGE_CURVE('',#70398,#70396,#32590,.T.); #89113=EDGE_CURVE('',#70398,#70399,#32591,.T.); #89114=EDGE_CURVE('',#70399,#70397,#32592,.T.); #89115=EDGE_CURVE('',#70400,#70398,#59711,.T.); #89116=EDGE_CURVE('',#70400,#70401,#32593,.T.); #89117=EDGE_CURVE('',#70401,#70399,#59712,.T.); #89118=EDGE_CURVE('',#70402,#70400,#32594,.T.); #89119=EDGE_CURVE('',#70402,#70403,#32595,.T.); #89120=EDGE_CURVE('',#70403,#70401,#32596,.T.); #89121=EDGE_CURVE('',#70404,#70402,#32597,.T.); #89122=EDGE_CURVE('',#70404,#70405,#32598,.T.); #89123=EDGE_CURVE('',#70405,#70403,#32599,.T.); #89124=EDGE_CURVE('',#70406,#70404,#32600,.T.); #89125=EDGE_CURVE('',#70406,#70407,#32601,.T.); #89126=EDGE_CURVE('',#70407,#70405,#32602,.T.); #89127=EDGE_CURVE('',#70408,#70406,#32603,.T.); #89128=EDGE_CURVE('',#70408,#70409,#32604,.T.); #89129=EDGE_CURVE('',#70409,#70407,#32605,.T.); #89130=EDGE_CURVE('',#70410,#70408,#32606,.T.); #89131=EDGE_CURVE('',#70410,#70411,#32607,.T.); #89132=EDGE_CURVE('',#70411,#70409,#32608,.T.); #89133=EDGE_CURVE('',#70412,#70410,#32609,.T.); #89134=EDGE_CURVE('',#70412,#70413,#32610,.T.); #89135=EDGE_CURVE('',#70413,#70411,#32611,.T.); #89136=EDGE_CURVE('',#70414,#70412,#32612,.T.); #89137=EDGE_CURVE('',#70414,#70415,#32613,.T.); #89138=EDGE_CURVE('',#70415,#70413,#32614,.T.); #89139=EDGE_CURVE('',#70416,#70414,#32615,.T.); #89140=EDGE_CURVE('',#70416,#70417,#32616,.T.); #89141=EDGE_CURVE('',#70417,#70415,#32617,.T.); #89142=EDGE_CURVE('',#70418,#70416,#32618,.T.); #89143=EDGE_CURVE('',#70418,#70419,#32619,.T.); #89144=EDGE_CURVE('',#70419,#70417,#32620,.T.); #89145=EDGE_CURVE('',#70420,#70418,#32621,.T.); #89146=EDGE_CURVE('',#70420,#70421,#32622,.T.); #89147=EDGE_CURVE('',#70421,#70419,#32623,.T.); #89148=EDGE_CURVE('',#70422,#70420,#32624,.T.); #89149=EDGE_CURVE('',#70422,#70423,#32625,.T.); #89150=EDGE_CURVE('',#70423,#70421,#32626,.T.); #89151=EDGE_CURVE('',#70424,#70422,#59713,.T.); #89152=EDGE_CURVE('',#70424,#70425,#32627,.T.); #89153=EDGE_CURVE('',#70425,#70423,#59714,.T.); #89154=EDGE_CURVE('',#70426,#70424,#32628,.T.); #89155=EDGE_CURVE('',#70426,#70427,#32629,.T.); #89156=EDGE_CURVE('',#70427,#70425,#32630,.T.); #89157=EDGE_CURVE('',#70428,#70426,#32631,.T.); #89158=EDGE_CURVE('',#70428,#70429,#32632,.T.); #89159=EDGE_CURVE('',#70429,#70427,#32633,.T.); #89160=EDGE_CURVE('',#70430,#70428,#59715,.T.); #89161=EDGE_CURVE('',#70430,#70431,#32634,.T.); #89162=EDGE_CURVE('',#70431,#70429,#59716,.T.); #89163=EDGE_CURVE('',#70432,#70430,#32635,.T.); #89164=EDGE_CURVE('',#70432,#70433,#32636,.T.); #89165=EDGE_CURVE('',#70433,#70431,#32637,.T.); #89166=EDGE_CURVE('',#70434,#70432,#32638,.T.); #89167=EDGE_CURVE('',#70434,#70435,#32639,.T.); #89168=EDGE_CURVE('',#70435,#70433,#32640,.T.); #89169=EDGE_CURVE('',#70436,#70434,#32641,.T.); #89170=EDGE_CURVE('',#70436,#70437,#32642,.T.); #89171=EDGE_CURVE('',#70437,#70435,#32643,.T.); #89172=EDGE_CURVE('',#70438,#70436,#32644,.T.); #89173=EDGE_CURVE('',#70438,#70439,#32645,.T.); #89174=EDGE_CURVE('',#70439,#70437,#32646,.T.); #89175=EDGE_CURVE('',#70440,#70438,#32647,.T.); #89176=EDGE_CURVE('',#70440,#70441,#32648,.T.); #89177=EDGE_CURVE('',#70441,#70439,#32649,.T.); #89178=EDGE_CURVE('',#70442,#70440,#32650,.T.); #89179=EDGE_CURVE('',#70442,#70443,#32651,.T.); #89180=EDGE_CURVE('',#70443,#70441,#32652,.T.); #89181=EDGE_CURVE('',#70444,#70442,#59717,.T.); #89182=EDGE_CURVE('',#70444,#70445,#32653,.T.); #89183=EDGE_CURVE('',#70445,#70443,#59718,.T.); #89184=EDGE_CURVE('',#70446,#70444,#59719,.T.); #89185=EDGE_CURVE('',#70446,#70447,#32654,.T.); #89186=EDGE_CURVE('',#70447,#70445,#59720,.T.); #89187=EDGE_CURVE('',#70448,#70446,#59721,.T.); #89188=EDGE_CURVE('',#70448,#70449,#32655,.T.); #89189=EDGE_CURVE('',#70449,#70447,#59722,.T.); #89190=EDGE_CURVE('',#70450,#70448,#32656,.T.); #89191=EDGE_CURVE('',#70450,#70451,#32657,.T.); #89192=EDGE_CURVE('',#70451,#70449,#32658,.T.); #89193=EDGE_CURVE('',#70452,#70450,#32659,.T.); #89194=EDGE_CURVE('',#70452,#70453,#32660,.T.); #89195=EDGE_CURVE('',#70453,#70451,#32661,.T.); #89196=EDGE_CURVE('',#70454,#70452,#32662,.T.); #89197=EDGE_CURVE('',#70454,#70455,#32663,.T.); #89198=EDGE_CURVE('',#70455,#70453,#32664,.T.); #89199=EDGE_CURVE('',#70456,#70454,#32665,.T.); #89200=EDGE_CURVE('',#70456,#70457,#32666,.T.); #89201=EDGE_CURVE('',#70457,#70455,#32667,.T.); #89202=EDGE_CURVE('',#70458,#70456,#32668,.T.); #89203=EDGE_CURVE('',#70458,#70459,#32669,.T.); #89204=EDGE_CURVE('',#70459,#70457,#32670,.T.); #89205=EDGE_CURVE('',#70460,#70458,#32671,.T.); #89206=EDGE_CURVE('',#70460,#70461,#32672,.T.); #89207=EDGE_CURVE('',#70461,#70459,#32673,.T.); #89208=EDGE_CURVE('',#70462,#70460,#32674,.T.); #89209=EDGE_CURVE('',#70462,#70463,#32675,.T.); #89210=EDGE_CURVE('',#70463,#70461,#32676,.T.); #89211=EDGE_CURVE('',#70464,#70462,#32677,.T.); #89212=EDGE_CURVE('',#70464,#70465,#32678,.T.); #89213=EDGE_CURVE('',#70465,#70463,#32679,.T.); #89214=EDGE_CURVE('',#70466,#70464,#59723,.T.); #89215=EDGE_CURVE('',#70466,#70467,#32680,.T.); #89216=EDGE_CURVE('',#70467,#70465,#59724,.T.); #89217=EDGE_CURVE('',#70468,#70466,#32681,.T.); #89218=EDGE_CURVE('',#70468,#70469,#32682,.T.); #89219=EDGE_CURVE('',#70469,#70467,#32683,.T.); #89220=EDGE_CURVE('',#70470,#70468,#32684,.T.); #89221=EDGE_CURVE('',#70470,#70471,#32685,.T.); #89222=EDGE_CURVE('',#70471,#70469,#32686,.T.); #89223=EDGE_CURVE('',#70472,#70470,#32687,.T.); #89224=EDGE_CURVE('',#70472,#70473,#32688,.T.); #89225=EDGE_CURVE('',#70473,#70471,#32689,.T.); #89226=EDGE_CURVE('',#70474,#70472,#32690,.T.); #89227=EDGE_CURVE('',#70474,#70475,#32691,.T.); #89228=EDGE_CURVE('',#70475,#70473,#32692,.T.); #89229=EDGE_CURVE('',#70476,#70474,#32693,.T.); #89230=EDGE_CURVE('',#70476,#70477,#32694,.T.); #89231=EDGE_CURVE('',#70477,#70475,#32695,.T.); #89232=EDGE_CURVE('',#70478,#70476,#59725,.T.); #89233=EDGE_CURVE('',#70478,#70479,#32696,.T.); #89234=EDGE_CURVE('',#70479,#70477,#59726,.T.); #89235=EDGE_CURVE('',#70480,#70478,#32697,.T.); #89236=EDGE_CURVE('',#70480,#70481,#32698,.T.); #89237=EDGE_CURVE('',#70481,#70479,#32699,.T.); #89238=EDGE_CURVE('',#70482,#70480,#32700,.T.); #89239=EDGE_CURVE('',#70482,#70483,#32701,.T.); #89240=EDGE_CURVE('',#70483,#70481,#32702,.T.); #89241=EDGE_CURVE('',#70484,#70482,#32703,.T.); #89242=EDGE_CURVE('',#70484,#70485,#32704,.T.); #89243=EDGE_CURVE('',#70485,#70483,#32705,.T.); #89244=EDGE_CURVE('',#70486,#70484,#32706,.T.); #89245=EDGE_CURVE('',#70486,#70487,#32707,.T.); #89246=EDGE_CURVE('',#70487,#70485,#32708,.T.); #89247=EDGE_CURVE('',#70488,#70486,#32709,.T.); #89248=EDGE_CURVE('',#70488,#70489,#32710,.T.); #89249=EDGE_CURVE('',#70489,#70487,#32711,.T.); #89250=EDGE_CURVE('',#70490,#70488,#59727,.T.); #89251=EDGE_CURVE('',#70490,#70491,#32712,.T.); #89252=EDGE_CURVE('',#70491,#70489,#59728,.T.); #89253=EDGE_CURVE('',#70492,#70490,#32713,.T.); #89254=EDGE_CURVE('',#70492,#70493,#32714,.T.); #89255=EDGE_CURVE('',#70493,#70491,#32715,.T.); #89256=EDGE_CURVE('',#70494,#70492,#59729,.T.); #89257=EDGE_CURVE('',#70494,#70495,#32716,.T.); #89258=EDGE_CURVE('',#70495,#70493,#59730,.T.); #89259=EDGE_CURVE('',#70496,#70494,#59731,.T.); #89260=EDGE_CURVE('',#70496,#70497,#32717,.T.); #89261=EDGE_CURVE('',#70497,#70495,#59732,.T.); #89262=EDGE_CURVE('',#70498,#70496,#59733,.T.); #89263=EDGE_CURVE('',#70498,#70499,#32718,.T.); #89264=EDGE_CURVE('',#70499,#70497,#59734,.T.); #89265=EDGE_CURVE('',#70500,#70498,#59735,.T.); #89266=EDGE_CURVE('',#70500,#70501,#32719,.T.); #89267=EDGE_CURVE('',#70501,#70499,#59736,.T.); #89268=EDGE_CURVE('',#70502,#70500,#32720,.T.); #89269=EDGE_CURVE('',#70502,#70503,#32721,.T.); #89270=EDGE_CURVE('',#70503,#70501,#32722,.T.); #89271=EDGE_CURVE('',#70504,#70502,#59737,.T.); #89272=EDGE_CURVE('',#70504,#70505,#32723,.T.); #89273=EDGE_CURVE('',#70505,#70503,#59738,.T.); #89274=EDGE_CURVE('',#70506,#70504,#59739,.T.); #89275=EDGE_CURVE('',#70506,#70507,#32724,.T.); #89276=EDGE_CURVE('',#70507,#70505,#59740,.T.); #89277=EDGE_CURVE('',#70508,#70506,#59741,.T.); #89278=EDGE_CURVE('',#70508,#70509,#32725,.T.); #89279=EDGE_CURVE('',#70509,#70507,#59742,.T.); #89280=EDGE_CURVE('',#70510,#70508,#59743,.T.); #89281=EDGE_CURVE('',#70510,#70511,#32726,.T.); #89282=EDGE_CURVE('',#70511,#70509,#59744,.T.); #89283=EDGE_CURVE('',#70512,#70510,#59745,.T.); #89284=EDGE_CURVE('',#70512,#70513,#32727,.T.); #89285=EDGE_CURVE('',#70513,#70511,#59746,.T.); #89286=EDGE_CURVE('',#70514,#70512,#32728,.T.); #89287=EDGE_CURVE('',#70514,#70515,#32729,.T.); #89288=EDGE_CURVE('',#70515,#70513,#32730,.T.); #89289=EDGE_CURVE('',#70516,#70514,#59747,.T.); #89290=EDGE_CURVE('',#70516,#70517,#32731,.T.); #89291=EDGE_CURVE('',#70517,#70515,#59748,.T.); #89292=EDGE_CURVE('',#70518,#70516,#32732,.T.); #89293=EDGE_CURVE('',#70518,#70519,#32733,.T.); #89294=EDGE_CURVE('',#70519,#70517,#32734,.T.); #89295=EDGE_CURVE('',#70520,#70518,#32735,.T.); #89296=EDGE_CURVE('',#70520,#70521,#32736,.T.); #89297=EDGE_CURVE('',#70521,#70519,#32737,.T.); #89298=EDGE_CURVE('',#70522,#70520,#32738,.T.); #89299=EDGE_CURVE('',#70522,#70523,#32739,.T.); #89300=EDGE_CURVE('',#70523,#70521,#32740,.T.); #89301=EDGE_CURVE('',#70524,#70522,#32741,.T.); #89302=EDGE_CURVE('',#70524,#70525,#32742,.T.); #89303=EDGE_CURVE('',#70525,#70523,#32743,.T.); #89304=EDGE_CURVE('',#70526,#70524,#32744,.T.); #89305=EDGE_CURVE('',#70526,#70527,#32745,.T.); #89306=EDGE_CURVE('',#70527,#70525,#32746,.T.); #89307=EDGE_CURVE('',#70528,#70526,#32747,.T.); #89308=EDGE_CURVE('',#70528,#70529,#32748,.T.); #89309=EDGE_CURVE('',#70529,#70527,#32749,.T.); #89310=EDGE_CURVE('',#70530,#70528,#32750,.T.); #89311=EDGE_CURVE('',#70530,#70531,#32751,.T.); #89312=EDGE_CURVE('',#70531,#70529,#32752,.T.); #89313=EDGE_CURVE('',#70532,#70530,#32753,.T.); #89314=EDGE_CURVE('',#70532,#70533,#32754,.T.); #89315=EDGE_CURVE('',#70533,#70531,#32755,.T.); #89316=EDGE_CURVE('',#70534,#70532,#32756,.T.); #89317=EDGE_CURVE('',#70534,#70535,#32757,.T.); #89318=EDGE_CURVE('',#70535,#70533,#32758,.T.); #89319=EDGE_CURVE('',#70536,#70534,#32759,.T.); #89320=EDGE_CURVE('',#70536,#70537,#32760,.T.); #89321=EDGE_CURVE('',#70537,#70535,#32761,.T.); #89322=EDGE_CURVE('',#70538,#70536,#32762,.T.); #89323=EDGE_CURVE('',#70538,#70539,#32763,.T.); #89324=EDGE_CURVE('',#70539,#70537,#32764,.T.); #89325=EDGE_CURVE('',#70540,#70538,#32765,.T.); #89326=EDGE_CURVE('',#70540,#70541,#32766,.T.); #89327=EDGE_CURVE('',#70541,#70539,#32767,.T.); #89328=EDGE_CURVE('',#70542,#70540,#32768,.T.); #89329=EDGE_CURVE('',#70542,#70543,#32769,.T.); #89330=EDGE_CURVE('',#70543,#70541,#32770,.T.); #89331=EDGE_CURVE('',#70544,#70542,#32771,.T.); #89332=EDGE_CURVE('',#70544,#70545,#32772,.T.); #89333=EDGE_CURVE('',#70545,#70543,#32773,.T.); #89334=EDGE_CURVE('',#70546,#70544,#32774,.T.); #89335=EDGE_CURVE('',#70546,#70547,#32775,.T.); #89336=EDGE_CURVE('',#70547,#70545,#32776,.T.); #89337=EDGE_CURVE('',#70548,#70546,#32777,.T.); #89338=EDGE_CURVE('',#70548,#70549,#32778,.T.); #89339=EDGE_CURVE('',#70549,#70547,#32779,.T.); #89340=EDGE_CURVE('',#70550,#70548,#59749,.T.); #89341=EDGE_CURVE('',#70550,#70551,#32780,.T.); #89342=EDGE_CURVE('',#70551,#70549,#59750,.T.); #89343=EDGE_CURVE('',#70552,#70550,#32781,.T.); #89344=EDGE_CURVE('',#70552,#70553,#32782,.T.); #89345=EDGE_CURVE('',#70553,#70551,#32783,.T.); #89346=EDGE_CURVE('',#70554,#70552,#32784,.T.); #89347=EDGE_CURVE('',#70554,#70555,#32785,.T.); #89348=EDGE_CURVE('',#70555,#70553,#32786,.T.); #89349=EDGE_CURVE('',#70556,#70554,#32787,.T.); #89350=EDGE_CURVE('',#70556,#70557,#32788,.T.); #89351=EDGE_CURVE('',#70557,#70555,#32789,.T.); #89352=EDGE_CURVE('',#70558,#70556,#59751,.T.); #89353=EDGE_CURVE('',#70558,#70559,#32790,.T.); #89354=EDGE_CURVE('',#70559,#70557,#59752,.T.); #89355=EDGE_CURVE('',#70560,#70558,#59753,.T.); #89356=EDGE_CURVE('',#70560,#70561,#32791,.T.); #89357=EDGE_CURVE('',#70561,#70559,#59754,.T.); #89358=EDGE_CURVE('',#70562,#70560,#32792,.T.); #89359=EDGE_CURVE('',#70562,#70563,#32793,.T.); #89360=EDGE_CURVE('',#70563,#70561,#32794,.T.); #89361=EDGE_CURVE('',#70339,#70562,#32795,.T.); #89362=EDGE_CURVE('',#70341,#70563,#32796,.T.); #89363=EDGE_CURVE('',#70564,#70565,#59755,.T.); #89364=EDGE_CURVE('',#70564,#70566,#32797,.T.); #89365=EDGE_CURVE('',#70566,#70567,#59756,.T.); #89366=EDGE_CURVE('',#70565,#70567,#32798,.T.); #89367=EDGE_CURVE('',#70568,#70564,#59757,.T.); #89368=EDGE_CURVE('',#70568,#70569,#32799,.T.); #89369=EDGE_CURVE('',#70569,#70566,#59758,.T.); #89370=EDGE_CURVE('',#70570,#70568,#59759,.T.); #89371=EDGE_CURVE('',#70570,#70571,#32800,.T.); #89372=EDGE_CURVE('',#70571,#70569,#59760,.T.); #89373=EDGE_CURVE('',#70572,#70570,#32801,.T.); #89374=EDGE_CURVE('',#70572,#70573,#32802,.T.); #89375=EDGE_CURVE('',#70573,#70571,#32803,.T.); #89376=EDGE_CURVE('',#70574,#70572,#32804,.T.); #89377=EDGE_CURVE('',#70574,#70575,#32805,.T.); #89378=EDGE_CURVE('',#70575,#70573,#32806,.T.); #89379=EDGE_CURVE('',#70576,#70574,#32807,.T.); #89380=EDGE_CURVE('',#70576,#70577,#32808,.T.); #89381=EDGE_CURVE('',#70577,#70575,#32809,.T.); #89382=EDGE_CURVE('',#70578,#70576,#32810,.T.); #89383=EDGE_CURVE('',#70578,#70579,#32811,.T.); #89384=EDGE_CURVE('',#70579,#70577,#32812,.T.); #89385=EDGE_CURVE('',#70565,#70578,#32813,.T.); #89386=EDGE_CURVE('',#70567,#70579,#32814,.T.); #89387=EDGE_CURVE('',#70580,#70581,#32815,.T.); #89388=EDGE_CURVE('',#70580,#70582,#32816,.T.); #89389=EDGE_CURVE('',#70582,#70583,#32817,.T.); #89390=EDGE_CURVE('',#70581,#70583,#32818,.T.); #89391=EDGE_CURVE('',#70584,#70580,#32819,.T.); #89392=EDGE_CURVE('',#70584,#70585,#32820,.T.); #89393=EDGE_CURVE('',#70585,#70582,#32821,.T.); #89394=EDGE_CURVE('',#70586,#70584,#59761,.T.); #89395=EDGE_CURVE('',#70586,#70587,#32822,.T.); #89396=EDGE_CURVE('',#70587,#70585,#59762,.T.); #89397=EDGE_CURVE('',#70588,#70586,#59763,.T.); #89398=EDGE_CURVE('',#70588,#70589,#32823,.T.); #89399=EDGE_CURVE('',#70589,#70587,#59764,.T.); #89400=EDGE_CURVE('',#70590,#70588,#59765,.T.); #89401=EDGE_CURVE('',#70590,#70591,#32824,.T.); #89402=EDGE_CURVE('',#70591,#70589,#59766,.T.); #89403=EDGE_CURVE('',#70592,#70590,#32825,.T.); #89404=EDGE_CURVE('',#70592,#70593,#32826,.T.); #89405=EDGE_CURVE('',#70593,#70591,#32827,.T.); #89406=EDGE_CURVE('',#70581,#70592,#32828,.T.); #89407=EDGE_CURVE('',#70583,#70593,#32829,.T.); #89408=EDGE_CURVE('',#70594,#70595,#32830,.T.); #89409=EDGE_CURVE('',#70594,#70596,#32831,.T.); #89410=EDGE_CURVE('',#70596,#70597,#32832,.T.); #89411=EDGE_CURVE('',#70595,#70597,#32833,.T.); #89412=EDGE_CURVE('',#70598,#70594,#32834,.T.); #89413=EDGE_CURVE('',#70598,#70599,#32835,.T.); #89414=EDGE_CURVE('',#70599,#70596,#32836,.T.); #89415=EDGE_CURVE('',#70600,#70598,#32837,.T.); #89416=EDGE_CURVE('',#70600,#70601,#32838,.T.); #89417=EDGE_CURVE('',#70601,#70599,#32839,.T.); #89418=EDGE_CURVE('',#70602,#70600,#32840,.T.); #89419=EDGE_CURVE('',#70602,#70603,#32841,.T.); #89420=EDGE_CURVE('',#70603,#70601,#32842,.T.); #89421=EDGE_CURVE('',#70604,#70602,#32843,.T.); #89422=EDGE_CURVE('',#70604,#70605,#32844,.T.); #89423=EDGE_CURVE('',#70605,#70603,#32845,.T.); #89424=EDGE_CURVE('',#70606,#70604,#59767,.T.); #89425=EDGE_CURVE('',#70606,#70607,#32846,.T.); #89426=EDGE_CURVE('',#70607,#70605,#59768,.T.); #89427=EDGE_CURVE('',#70608,#70606,#59769,.T.); #89428=EDGE_CURVE('',#70608,#70609,#32847,.T.); #89429=EDGE_CURVE('',#70609,#70607,#59770,.T.); #89430=EDGE_CURVE('',#70610,#70608,#59771,.T.); #89431=EDGE_CURVE('',#70610,#70611,#32848,.T.); #89432=EDGE_CURVE('',#70611,#70609,#59772,.T.); #89433=EDGE_CURVE('',#70612,#70610,#59773,.T.); #89434=EDGE_CURVE('',#70612,#70613,#32849,.T.); #89435=EDGE_CURVE('',#70613,#70611,#59774,.T.); #89436=EDGE_CURVE('',#70614,#70612,#32850,.T.); #89437=EDGE_CURVE('',#70614,#70615,#32851,.T.); #89438=EDGE_CURVE('',#70615,#70613,#32852,.T.); #89439=EDGE_CURVE('',#70616,#70614,#59775,.T.); #89440=EDGE_CURVE('',#70616,#70617,#32853,.T.); #89441=EDGE_CURVE('',#70617,#70615,#59776,.T.); #89442=EDGE_CURVE('',#70618,#70616,#59777,.T.); #89443=EDGE_CURVE('',#70618,#70619,#32854,.T.); #89444=EDGE_CURVE('',#70619,#70617,#59778,.T.); #89445=EDGE_CURVE('',#70620,#70618,#59779,.T.); #89446=EDGE_CURVE('',#70620,#70621,#32855,.T.); #89447=EDGE_CURVE('',#70621,#70619,#59780,.T.); #89448=EDGE_CURVE('',#70622,#70620,#32856,.T.); #89449=EDGE_CURVE('',#70622,#70623,#32857,.T.); #89450=EDGE_CURVE('',#70623,#70621,#32858,.T.); #89451=EDGE_CURVE('',#70624,#70622,#32859,.T.); #89452=EDGE_CURVE('',#70624,#70625,#32860,.T.); #89453=EDGE_CURVE('',#70625,#70623,#32861,.T.); #89454=EDGE_CURVE('',#70626,#70624,#32862,.T.); #89455=EDGE_CURVE('',#70626,#70627,#32863,.T.); #89456=EDGE_CURVE('',#70627,#70625,#32864,.T.); #89457=EDGE_CURVE('',#70628,#70626,#32865,.T.); #89458=EDGE_CURVE('',#70628,#70629,#32866,.T.); #89459=EDGE_CURVE('',#70629,#70627,#32867,.T.); #89460=EDGE_CURVE('',#70630,#70628,#32868,.T.); #89461=EDGE_CURVE('',#70630,#70631,#32869,.T.); #89462=EDGE_CURVE('',#70631,#70629,#32870,.T.); #89463=EDGE_CURVE('',#70632,#70630,#32871,.T.); #89464=EDGE_CURVE('',#70632,#70633,#32872,.T.); #89465=EDGE_CURVE('',#70633,#70631,#32873,.T.); #89466=EDGE_CURVE('',#70634,#70632,#32874,.T.); #89467=EDGE_CURVE('',#70634,#70635,#32875,.T.); #89468=EDGE_CURVE('',#70635,#70633,#32876,.T.); #89469=EDGE_CURVE('',#70636,#70634,#32877,.T.); #89470=EDGE_CURVE('',#70636,#70637,#32878,.T.); #89471=EDGE_CURVE('',#70637,#70635,#32879,.T.); #89472=EDGE_CURVE('',#70638,#70636,#32880,.T.); #89473=EDGE_CURVE('',#70638,#70639,#32881,.T.); #89474=EDGE_CURVE('',#70639,#70637,#32882,.T.); #89475=EDGE_CURVE('',#70640,#70638,#32883,.T.); #89476=EDGE_CURVE('',#70640,#70641,#32884,.T.); #89477=EDGE_CURVE('',#70641,#70639,#32885,.T.); #89478=EDGE_CURVE('',#70642,#70640,#32886,.T.); #89479=EDGE_CURVE('',#70642,#70643,#32887,.T.); #89480=EDGE_CURVE('',#70643,#70641,#32888,.T.); #89481=EDGE_CURVE('',#70644,#70642,#32889,.T.); #89482=EDGE_CURVE('',#70644,#70645,#32890,.T.); #89483=EDGE_CURVE('',#70645,#70643,#32891,.T.); #89484=EDGE_CURVE('',#70646,#70644,#32892,.T.); #89485=EDGE_CURVE('',#70646,#70647,#32893,.T.); #89486=EDGE_CURVE('',#70647,#70645,#32894,.T.); #89487=EDGE_CURVE('',#70648,#70646,#32895,.T.); #89488=EDGE_CURVE('',#70648,#70649,#32896,.T.); #89489=EDGE_CURVE('',#70649,#70647,#32897,.T.); #89490=EDGE_CURVE('',#70650,#70648,#32898,.T.); #89491=EDGE_CURVE('',#70650,#70651,#32899,.T.); #89492=EDGE_CURVE('',#70651,#70649,#32900,.T.); #89493=EDGE_CURVE('',#70652,#70650,#32901,.T.); #89494=EDGE_CURVE('',#70652,#70653,#32902,.T.); #89495=EDGE_CURVE('',#70653,#70651,#32903,.T.); #89496=EDGE_CURVE('',#70654,#70652,#32904,.T.); #89497=EDGE_CURVE('',#70654,#70655,#32905,.T.); #89498=EDGE_CURVE('',#70655,#70653,#32906,.T.); #89499=EDGE_CURVE('',#70656,#70654,#32907,.T.); #89500=EDGE_CURVE('',#70656,#70657,#32908,.T.); #89501=EDGE_CURVE('',#70657,#70655,#32909,.T.); #89502=EDGE_CURVE('',#70658,#70656,#32910,.T.); #89503=EDGE_CURVE('',#70658,#70659,#32911,.T.); #89504=EDGE_CURVE('',#70659,#70657,#32912,.T.); #89505=EDGE_CURVE('',#70660,#70658,#32913,.T.); #89506=EDGE_CURVE('',#70660,#70661,#32914,.T.); #89507=EDGE_CURVE('',#70661,#70659,#32915,.T.); #89508=EDGE_CURVE('',#70662,#70660,#32916,.T.); #89509=EDGE_CURVE('',#70662,#70663,#32917,.T.); #89510=EDGE_CURVE('',#70663,#70661,#32918,.T.); #89511=EDGE_CURVE('',#70664,#70662,#32919,.T.); #89512=EDGE_CURVE('',#70664,#70665,#32920,.T.); #89513=EDGE_CURVE('',#70665,#70663,#32921,.T.); #89514=EDGE_CURVE('',#70666,#70664,#32922,.T.); #89515=EDGE_CURVE('',#70666,#70667,#32923,.T.); #89516=EDGE_CURVE('',#70667,#70665,#32924,.T.); #89517=EDGE_CURVE('',#70668,#70666,#32925,.T.); #89518=EDGE_CURVE('',#70668,#70669,#32926,.T.); #89519=EDGE_CURVE('',#70669,#70667,#32927,.T.); #89520=EDGE_CURVE('',#70670,#70668,#32928,.T.); #89521=EDGE_CURVE('',#70670,#70671,#32929,.T.); #89522=EDGE_CURVE('',#70671,#70669,#32930,.T.); #89523=EDGE_CURVE('',#70672,#70670,#32931,.T.); #89524=EDGE_CURVE('',#70672,#70673,#32932,.T.); #89525=EDGE_CURVE('',#70673,#70671,#32933,.T.); #89526=EDGE_CURVE('',#70674,#70672,#59781,.T.); #89527=EDGE_CURVE('',#70674,#70675,#32934,.T.); #89528=EDGE_CURVE('',#70675,#70673,#59782,.T.); #89529=EDGE_CURVE('',#70676,#70674,#32935,.T.); #89530=EDGE_CURVE('',#70676,#70677,#32936,.T.); #89531=EDGE_CURVE('',#70677,#70675,#32937,.T.); #89532=EDGE_CURVE('',#70678,#70676,#32938,.T.); #89533=EDGE_CURVE('',#70678,#70679,#32939,.T.); #89534=EDGE_CURVE('',#70679,#70677,#32940,.T.); #89535=EDGE_CURVE('',#70680,#70678,#59783,.T.); #89536=EDGE_CURVE('',#70680,#70681,#32941,.T.); #89537=EDGE_CURVE('',#70681,#70679,#59784,.T.); #89538=EDGE_CURVE('',#70682,#70680,#32942,.T.); #89539=EDGE_CURVE('',#70682,#70683,#32943,.T.); #89540=EDGE_CURVE('',#70683,#70681,#32944,.T.); #89541=EDGE_CURVE('',#70684,#70682,#32945,.T.); #89542=EDGE_CURVE('',#70684,#70685,#32946,.T.); #89543=EDGE_CURVE('',#70685,#70683,#32947,.T.); #89544=EDGE_CURVE('',#70686,#70684,#32948,.T.); #89545=EDGE_CURVE('',#70686,#70687,#32949,.T.); #89546=EDGE_CURVE('',#70687,#70685,#32950,.T.); #89547=EDGE_CURVE('',#70688,#70686,#32951,.T.); #89548=EDGE_CURVE('',#70688,#70689,#32952,.T.); #89549=EDGE_CURVE('',#70689,#70687,#32953,.T.); #89550=EDGE_CURVE('',#70690,#70688,#32954,.T.); #89551=EDGE_CURVE('',#70690,#70691,#32955,.T.); #89552=EDGE_CURVE('',#70691,#70689,#32956,.T.); #89553=EDGE_CURVE('',#70692,#70690,#32957,.T.); #89554=EDGE_CURVE('',#70692,#70693,#32958,.T.); #89555=EDGE_CURVE('',#70693,#70691,#32959,.T.); #89556=EDGE_CURVE('',#70694,#70692,#32960,.T.); #89557=EDGE_CURVE('',#70694,#70695,#32961,.T.); #89558=EDGE_CURVE('',#70695,#70693,#32962,.T.); #89559=EDGE_CURVE('',#70696,#70694,#32963,.T.); #89560=EDGE_CURVE('',#70696,#70697,#32964,.T.); #89561=EDGE_CURVE('',#70697,#70695,#32965,.T.); #89562=EDGE_CURVE('',#70698,#70696,#32966,.T.); #89563=EDGE_CURVE('',#70698,#70699,#32967,.T.); #89564=EDGE_CURVE('',#70699,#70697,#32968,.T.); #89565=EDGE_CURVE('',#70700,#70698,#32969,.T.); #89566=EDGE_CURVE('',#70700,#70701,#32970,.T.); #89567=EDGE_CURVE('',#70701,#70699,#32971,.T.); #89568=EDGE_CURVE('',#70702,#70700,#32972,.T.); #89569=EDGE_CURVE('',#70702,#70703,#32973,.T.); #89570=EDGE_CURVE('',#70703,#70701,#32974,.T.); #89571=EDGE_CURVE('',#70704,#70702,#32975,.T.); #89572=EDGE_CURVE('',#70704,#70705,#32976,.T.); #89573=EDGE_CURVE('',#70705,#70703,#32977,.T.); #89574=EDGE_CURVE('',#70706,#70704,#32978,.T.); #89575=EDGE_CURVE('',#70706,#70707,#32979,.T.); #89576=EDGE_CURVE('',#70707,#70705,#32980,.T.); #89577=EDGE_CURVE('',#70708,#70706,#32981,.T.); #89578=EDGE_CURVE('',#70708,#70709,#32982,.T.); #89579=EDGE_CURVE('',#70709,#70707,#32983,.T.); #89580=EDGE_CURVE('',#70710,#70708,#32984,.T.); #89581=EDGE_CURVE('',#70710,#70711,#32985,.T.); #89582=EDGE_CURVE('',#70711,#70709,#32986,.T.); #89583=EDGE_CURVE('',#70712,#70710,#32987,.T.); #89584=EDGE_CURVE('',#70712,#70713,#32988,.T.); #89585=EDGE_CURVE('',#70713,#70711,#32989,.T.); #89586=EDGE_CURVE('',#70714,#70712,#32990,.T.); #89587=EDGE_CURVE('',#70714,#70715,#32991,.T.); #89588=EDGE_CURVE('',#70715,#70713,#32992,.T.); #89589=EDGE_CURVE('',#70716,#70714,#32993,.T.); #89590=EDGE_CURVE('',#70716,#70717,#32994,.T.); #89591=EDGE_CURVE('',#70717,#70715,#32995,.T.); #89592=EDGE_CURVE('',#70718,#70716,#32996,.T.); #89593=EDGE_CURVE('',#70718,#70719,#32997,.T.); #89594=EDGE_CURVE('',#70719,#70717,#32998,.T.); #89595=EDGE_CURVE('',#70720,#70718,#32999,.T.); #89596=EDGE_CURVE('',#70720,#70721,#33000,.T.); #89597=EDGE_CURVE('',#70721,#70719,#33001,.T.); #89598=EDGE_CURVE('',#70722,#70720,#33002,.T.); #89599=EDGE_CURVE('',#70722,#70723,#33003,.T.); #89600=EDGE_CURVE('',#70723,#70721,#33004,.T.); #89601=EDGE_CURVE('',#70724,#70722,#33005,.T.); #89602=EDGE_CURVE('',#70724,#70725,#33006,.T.); #89603=EDGE_CURVE('',#70725,#70723,#33007,.T.); #89604=EDGE_CURVE('',#70595,#70724,#33008,.T.); #89605=EDGE_CURVE('',#70597,#70725,#33009,.T.); #89606=EDGE_CURVE('',#70726,#70727,#33010,.T.); #89607=EDGE_CURVE('',#70726,#70728,#33011,.T.); #89608=EDGE_CURVE('',#70728,#70729,#33012,.T.); #89609=EDGE_CURVE('',#70727,#70729,#33013,.T.); #89610=EDGE_CURVE('',#70730,#70726,#33014,.T.); #89611=EDGE_CURVE('',#70730,#70731,#33015,.T.); #89612=EDGE_CURVE('',#70731,#70728,#33016,.T.); #89613=EDGE_CURVE('',#70732,#70730,#33017,.T.); #89614=EDGE_CURVE('',#70732,#70733,#33018,.T.); #89615=EDGE_CURVE('',#70733,#70731,#33019,.T.); #89616=EDGE_CURVE('',#70734,#70732,#33020,.T.); #89617=EDGE_CURVE('',#70734,#70735,#33021,.T.); #89618=EDGE_CURVE('',#70735,#70733,#33022,.T.); #89619=EDGE_CURVE('',#70736,#70734,#33023,.T.); #89620=EDGE_CURVE('',#70736,#70737,#33024,.T.); #89621=EDGE_CURVE('',#70737,#70735,#33025,.T.); #89622=EDGE_CURVE('',#70738,#70736,#33026,.T.); #89623=EDGE_CURVE('',#70738,#70739,#33027,.T.); #89624=EDGE_CURVE('',#70739,#70737,#33028,.T.); #89625=EDGE_CURVE('',#70740,#70738,#33029,.T.); #89626=EDGE_CURVE('',#70740,#70741,#33030,.T.); #89627=EDGE_CURVE('',#70741,#70739,#33031,.T.); #89628=EDGE_CURVE('',#70742,#70740,#33032,.T.); #89629=EDGE_CURVE('',#70742,#70743,#33033,.T.); #89630=EDGE_CURVE('',#70743,#70741,#33034,.T.); #89631=EDGE_CURVE('',#70744,#70742,#33035,.T.); #89632=EDGE_CURVE('',#70744,#70745,#33036,.T.); #89633=EDGE_CURVE('',#70745,#70743,#33037,.T.); #89634=EDGE_CURVE('',#70746,#70744,#33038,.T.); #89635=EDGE_CURVE('',#70746,#70747,#33039,.T.); #89636=EDGE_CURVE('',#70747,#70745,#33040,.T.); #89637=EDGE_CURVE('',#70748,#70746,#33041,.T.); #89638=EDGE_CURVE('',#70748,#70749,#33042,.T.); #89639=EDGE_CURVE('',#70749,#70747,#33043,.T.); #89640=EDGE_CURVE('',#70750,#70748,#33044,.T.); #89641=EDGE_CURVE('',#70750,#70751,#33045,.T.); #89642=EDGE_CURVE('',#70751,#70749,#33046,.T.); #89643=EDGE_CURVE('',#70752,#70750,#33047,.T.); #89644=EDGE_CURVE('',#70752,#70753,#33048,.T.); #89645=EDGE_CURVE('',#70753,#70751,#33049,.T.); #89646=EDGE_CURVE('',#70754,#70752,#33050,.T.); #89647=EDGE_CURVE('',#70754,#70755,#33051,.T.); #89648=EDGE_CURVE('',#70755,#70753,#33052,.T.); #89649=EDGE_CURVE('',#70756,#70754,#33053,.T.); #89650=EDGE_CURVE('',#70756,#70757,#33054,.T.); #89651=EDGE_CURVE('',#70757,#70755,#33055,.T.); #89652=EDGE_CURVE('',#70758,#70756,#33056,.T.); #89653=EDGE_CURVE('',#70758,#70759,#33057,.T.); #89654=EDGE_CURVE('',#70759,#70757,#33058,.T.); #89655=EDGE_CURVE('',#70760,#70758,#33059,.T.); #89656=EDGE_CURVE('',#70760,#70761,#33060,.T.); #89657=EDGE_CURVE('',#70761,#70759,#33061,.T.); #89658=EDGE_CURVE('',#70762,#70760,#33062,.T.); #89659=EDGE_CURVE('',#70762,#70763,#33063,.T.); #89660=EDGE_CURVE('',#70763,#70761,#33064,.T.); #89661=EDGE_CURVE('',#70764,#70762,#33065,.T.); #89662=EDGE_CURVE('',#70764,#70765,#33066,.T.); #89663=EDGE_CURVE('',#70765,#70763,#33067,.T.); #89664=EDGE_CURVE('',#70766,#70764,#59785,.T.); #89665=EDGE_CURVE('',#70766,#70767,#33068,.T.); #89666=EDGE_CURVE('',#70767,#70765,#59786,.T.); #89667=EDGE_CURVE('',#70768,#70766,#59787,.T.); #89668=EDGE_CURVE('',#70768,#70769,#33069,.T.); #89669=EDGE_CURVE('',#70769,#70767,#59788,.T.); #89670=EDGE_CURVE('',#70770,#70768,#33070,.T.); #89671=EDGE_CURVE('',#70770,#70771,#33071,.T.); #89672=EDGE_CURVE('',#70771,#70769,#33072,.T.); #89673=EDGE_CURVE('',#70772,#70770,#33073,.T.); #89674=EDGE_CURVE('',#70772,#70773,#33074,.T.); #89675=EDGE_CURVE('',#70773,#70771,#33075,.T.); #89676=EDGE_CURVE('',#70774,#70772,#33076,.T.); #89677=EDGE_CURVE('',#70774,#70775,#33077,.T.); #89678=EDGE_CURVE('',#70775,#70773,#33078,.T.); #89679=EDGE_CURVE('',#70776,#70774,#33079,.T.); #89680=EDGE_CURVE('',#70776,#70777,#33080,.T.); #89681=EDGE_CURVE('',#70777,#70775,#33081,.T.); #89682=EDGE_CURVE('',#70778,#70776,#33082,.T.); #89683=EDGE_CURVE('',#70778,#70779,#33083,.T.); #89684=EDGE_CURVE('',#70779,#70777,#33084,.T.); #89685=EDGE_CURVE('',#70780,#70778,#33085,.T.); #89686=EDGE_CURVE('',#70780,#70781,#33086,.T.); #89687=EDGE_CURVE('',#70781,#70779,#33087,.T.); #89688=EDGE_CURVE('',#70782,#70780,#33088,.T.); #89689=EDGE_CURVE('',#70782,#70783,#33089,.T.); #89690=EDGE_CURVE('',#70783,#70781,#33090,.T.); #89691=EDGE_CURVE('',#70784,#70782,#33091,.T.); #89692=EDGE_CURVE('',#70784,#70785,#33092,.T.); #89693=EDGE_CURVE('',#70785,#70783,#33093,.T.); #89694=EDGE_CURVE('',#70786,#70784,#33094,.T.); #89695=EDGE_CURVE('',#70786,#70787,#33095,.T.); #89696=EDGE_CURVE('',#70787,#70785,#33096,.T.); #89697=EDGE_CURVE('',#70788,#70786,#33097,.T.); #89698=EDGE_CURVE('',#70788,#70789,#33098,.T.); #89699=EDGE_CURVE('',#70789,#70787,#33099,.T.); #89700=EDGE_CURVE('',#70790,#70788,#33100,.T.); #89701=EDGE_CURVE('',#70790,#70791,#33101,.T.); #89702=EDGE_CURVE('',#70791,#70789,#33102,.T.); #89703=EDGE_CURVE('',#70792,#70790,#33103,.T.); #89704=EDGE_CURVE('',#70792,#70793,#33104,.T.); #89705=EDGE_CURVE('',#70793,#70791,#33105,.T.); #89706=EDGE_CURVE('',#70794,#70792,#33106,.T.); #89707=EDGE_CURVE('',#70794,#70795,#33107,.T.); #89708=EDGE_CURVE('',#70795,#70793,#33108,.T.); #89709=EDGE_CURVE('',#70796,#70794,#33109,.T.); #89710=EDGE_CURVE('',#70796,#70797,#33110,.T.); #89711=EDGE_CURVE('',#70797,#70795,#33111,.T.); #89712=EDGE_CURVE('',#70798,#70796,#33112,.T.); #89713=EDGE_CURVE('',#70798,#70799,#33113,.T.); #89714=EDGE_CURVE('',#70799,#70797,#33114,.T.); #89715=EDGE_CURVE('',#70800,#70798,#33115,.T.); #89716=EDGE_CURVE('',#70800,#70801,#33116,.T.); #89717=EDGE_CURVE('',#70801,#70799,#33117,.T.); #89718=EDGE_CURVE('',#70802,#70800,#33118,.T.); #89719=EDGE_CURVE('',#70802,#70803,#33119,.T.); #89720=EDGE_CURVE('',#70803,#70801,#33120,.T.); #89721=EDGE_CURVE('',#70804,#70802,#33121,.T.); #89722=EDGE_CURVE('',#70804,#70805,#33122,.T.); #89723=EDGE_CURVE('',#70805,#70803,#33123,.T.); #89724=EDGE_CURVE('',#70806,#70804,#33124,.T.); #89725=EDGE_CURVE('',#70806,#70807,#33125,.T.); #89726=EDGE_CURVE('',#70807,#70805,#33126,.T.); #89727=EDGE_CURVE('',#70808,#70806,#33127,.T.); #89728=EDGE_CURVE('',#70808,#70809,#33128,.T.); #89729=EDGE_CURVE('',#70809,#70807,#33129,.T.); #89730=EDGE_CURVE('',#70810,#70808,#33130,.T.); #89731=EDGE_CURVE('',#70810,#70811,#33131,.T.); #89732=EDGE_CURVE('',#70811,#70809,#33132,.T.); #89733=EDGE_CURVE('',#70812,#70810,#33133,.T.); #89734=EDGE_CURVE('',#70812,#70813,#33134,.T.); #89735=EDGE_CURVE('',#70813,#70811,#33135,.T.); #89736=EDGE_CURVE('',#70814,#70812,#33136,.T.); #89737=EDGE_CURVE('',#70814,#70815,#33137,.T.); #89738=EDGE_CURVE('',#70815,#70813,#33138,.T.); #89739=EDGE_CURVE('',#70816,#70814,#59789,.T.); #89740=EDGE_CURVE('',#70816,#70817,#33139,.T.); #89741=EDGE_CURVE('',#70817,#70815,#59790,.T.); #89742=EDGE_CURVE('',#70818,#70816,#33140,.T.); #89743=EDGE_CURVE('',#70818,#70819,#33141,.T.); #89744=EDGE_CURVE('',#70819,#70817,#33142,.T.); #89745=EDGE_CURVE('',#70820,#70818,#33143,.T.); #89746=EDGE_CURVE('',#70820,#70821,#33144,.T.); #89747=EDGE_CURVE('',#70821,#70819,#33145,.T.); #89748=EDGE_CURVE('',#70822,#70820,#33146,.T.); #89749=EDGE_CURVE('',#70822,#70823,#33147,.T.); #89750=EDGE_CURVE('',#70823,#70821,#33148,.T.); #89751=EDGE_CURVE('',#70824,#70822,#59791,.T.); #89752=EDGE_CURVE('',#70824,#70825,#33149,.T.); #89753=EDGE_CURVE('',#70825,#70823,#59792,.T.); #89754=EDGE_CURVE('',#70826,#70824,#33150,.T.); #89755=EDGE_CURVE('',#70826,#70827,#33151,.T.); #89756=EDGE_CURVE('',#70827,#70825,#33152,.T.); #89757=EDGE_CURVE('',#70828,#70826,#33153,.T.); #89758=EDGE_CURVE('',#70828,#70829,#33154,.T.); #89759=EDGE_CURVE('',#70829,#70827,#33155,.T.); #89760=EDGE_CURVE('',#70830,#70828,#33156,.T.); #89761=EDGE_CURVE('',#70830,#70831,#33157,.T.); #89762=EDGE_CURVE('',#70831,#70829,#33158,.T.); #89763=EDGE_CURVE('',#70832,#70830,#59793,.T.); #89764=EDGE_CURVE('',#70832,#70833,#33159,.T.); #89765=EDGE_CURVE('',#70833,#70831,#59794,.T.); #89766=EDGE_CURVE('',#70834,#70832,#33160,.T.); #89767=EDGE_CURVE('',#70834,#70835,#33161,.T.); #89768=EDGE_CURVE('',#70835,#70833,#33162,.T.); #89769=EDGE_CURVE('',#70836,#70834,#33163,.T.); #89770=EDGE_CURVE('',#70836,#70837,#33164,.T.); #89771=EDGE_CURVE('',#70837,#70835,#33165,.T.); #89772=EDGE_CURVE('',#70838,#70836,#33166,.T.); #89773=EDGE_CURVE('',#70838,#70839,#33167,.T.); #89774=EDGE_CURVE('',#70839,#70837,#33168,.T.); #89775=EDGE_CURVE('',#70840,#70838,#33169,.T.); #89776=EDGE_CURVE('',#70840,#70841,#33170,.T.); #89777=EDGE_CURVE('',#70841,#70839,#33171,.T.); #89778=EDGE_CURVE('',#70842,#70840,#33172,.T.); #89779=EDGE_CURVE('',#70842,#70843,#33173,.T.); #89780=EDGE_CURVE('',#70843,#70841,#33174,.T.); #89781=EDGE_CURVE('',#70844,#70842,#33175,.T.); #89782=EDGE_CURVE('',#70844,#70845,#33176,.T.); #89783=EDGE_CURVE('',#70845,#70843,#33177,.T.); #89784=EDGE_CURVE('',#70846,#70844,#33178,.T.); #89785=EDGE_CURVE('',#70846,#70847,#33179,.T.); #89786=EDGE_CURVE('',#70847,#70845,#33180,.T.); #89787=EDGE_CURVE('',#70848,#70846,#33181,.T.); #89788=EDGE_CURVE('',#70848,#70849,#33182,.T.); #89789=EDGE_CURVE('',#70849,#70847,#33183,.T.); #89790=EDGE_CURVE('',#70850,#70848,#59795,.T.); #89791=EDGE_CURVE('',#70850,#70851,#33184,.T.); #89792=EDGE_CURVE('',#70851,#70849,#59796,.T.); #89793=EDGE_CURVE('',#70852,#70850,#33185,.T.); #89794=EDGE_CURVE('',#70852,#70853,#33186,.T.); #89795=EDGE_CURVE('',#70853,#70851,#33187,.T.); #89796=EDGE_CURVE('',#70854,#70852,#33188,.T.); #89797=EDGE_CURVE('',#70854,#70855,#33189,.T.); #89798=EDGE_CURVE('',#70855,#70853,#33190,.T.); #89799=EDGE_CURVE('',#70856,#70854,#33191,.T.); #89800=EDGE_CURVE('',#70856,#70857,#33192,.T.); #89801=EDGE_CURVE('',#70857,#70855,#33193,.T.); #89802=EDGE_CURVE('',#70858,#70856,#33194,.T.); #89803=EDGE_CURVE('',#70858,#70859,#33195,.T.); #89804=EDGE_CURVE('',#70859,#70857,#33196,.T.); #89805=EDGE_CURVE('',#70860,#70858,#33197,.T.); #89806=EDGE_CURVE('',#70860,#70861,#33198,.T.); #89807=EDGE_CURVE('',#70861,#70859,#33199,.T.); #89808=EDGE_CURVE('',#70862,#70860,#33200,.T.); #89809=EDGE_CURVE('',#70862,#70863,#33201,.T.); #89810=EDGE_CURVE('',#70863,#70861,#33202,.T.); #89811=EDGE_CURVE('',#70864,#70862,#59797,.T.); #89812=EDGE_CURVE('',#70864,#70865,#33203,.T.); #89813=EDGE_CURVE('',#70865,#70863,#59798,.T.); #89814=EDGE_CURVE('',#70866,#70864,#33204,.T.); #89815=EDGE_CURVE('',#70866,#70867,#33205,.T.); #89816=EDGE_CURVE('',#70867,#70865,#33206,.T.); #89817=EDGE_CURVE('',#70868,#70866,#33207,.T.); #89818=EDGE_CURVE('',#70868,#70869,#33208,.T.); #89819=EDGE_CURVE('',#70869,#70867,#33209,.T.); #89820=EDGE_CURVE('',#70870,#70868,#33210,.T.); #89821=EDGE_CURVE('',#70870,#70871,#33211,.T.); #89822=EDGE_CURVE('',#70871,#70869,#33212,.T.); #89823=EDGE_CURVE('',#70872,#70870,#33213,.T.); #89824=EDGE_CURVE('',#70872,#70873,#33214,.T.); #89825=EDGE_CURVE('',#70873,#70871,#33215,.T.); #89826=EDGE_CURVE('',#70874,#70872,#33216,.T.); #89827=EDGE_CURVE('',#70874,#70875,#33217,.T.); #89828=EDGE_CURVE('',#70875,#70873,#33218,.T.); #89829=EDGE_CURVE('',#70876,#70874,#33219,.T.); #89830=EDGE_CURVE('',#70876,#70877,#33220,.T.); #89831=EDGE_CURVE('',#70877,#70875,#33221,.T.); #89832=EDGE_CURVE('',#70878,#70876,#33222,.T.); #89833=EDGE_CURVE('',#70878,#70879,#33223,.T.); #89834=EDGE_CURVE('',#70879,#70877,#33224,.T.); #89835=EDGE_CURVE('',#70880,#70878,#33225,.T.); #89836=EDGE_CURVE('',#70880,#70881,#33226,.T.); #89837=EDGE_CURVE('',#70881,#70879,#33227,.T.); #89838=EDGE_CURVE('',#70882,#70880,#33228,.T.); #89839=EDGE_CURVE('',#70882,#70883,#33229,.T.); #89840=EDGE_CURVE('',#70883,#70881,#33230,.T.); #89841=EDGE_CURVE('',#70884,#70882,#59799,.T.); #89842=EDGE_CURVE('',#70884,#70885,#33231,.T.); #89843=EDGE_CURVE('',#70885,#70883,#59800,.T.); #89844=EDGE_CURVE('',#70886,#70884,#59801,.T.); #89845=EDGE_CURVE('',#70886,#70887,#33232,.T.); #89846=EDGE_CURVE('',#70887,#70885,#59802,.T.); #89847=EDGE_CURVE('',#70888,#70886,#59803,.T.); #89848=EDGE_CURVE('',#70888,#70889,#33233,.T.); #89849=EDGE_CURVE('',#70889,#70887,#59804,.T.); #89850=EDGE_CURVE('',#70890,#70888,#59805,.T.); #89851=EDGE_CURVE('',#70890,#70891,#33234,.T.); #89852=EDGE_CURVE('',#70891,#70889,#59806,.T.); #89853=EDGE_CURVE('',#70892,#70890,#33235,.T.); #89854=EDGE_CURVE('',#70892,#70893,#33236,.T.); #89855=EDGE_CURVE('',#70893,#70891,#33237,.T.); #89856=EDGE_CURVE('',#70894,#70892,#33238,.T.); #89857=EDGE_CURVE('',#70894,#70895,#33239,.T.); #89858=EDGE_CURVE('',#70895,#70893,#33240,.T.); #89859=EDGE_CURVE('',#70896,#70894,#33241,.T.); #89860=EDGE_CURVE('',#70896,#70897,#33242,.T.); #89861=EDGE_CURVE('',#70897,#70895,#33243,.T.); #89862=EDGE_CURVE('',#70898,#70896,#33244,.T.); #89863=EDGE_CURVE('',#70898,#70899,#33245,.T.); #89864=EDGE_CURVE('',#70899,#70897,#33246,.T.); #89865=EDGE_CURVE('',#70900,#70898,#33247,.T.); #89866=EDGE_CURVE('',#70900,#70901,#33248,.T.); #89867=EDGE_CURVE('',#70901,#70899,#33249,.T.); #89868=EDGE_CURVE('',#70902,#70900,#33250,.T.); #89869=EDGE_CURVE('',#70902,#70903,#33251,.T.); #89870=EDGE_CURVE('',#70903,#70901,#33252,.T.); #89871=EDGE_CURVE('',#70904,#70902,#33253,.T.); #89872=EDGE_CURVE('',#70904,#70905,#33254,.T.); #89873=EDGE_CURVE('',#70905,#70903,#33255,.T.); #89874=EDGE_CURVE('',#70906,#70904,#33256,.T.); #89875=EDGE_CURVE('',#70906,#70907,#33257,.T.); #89876=EDGE_CURVE('',#70907,#70905,#33258,.T.); #89877=EDGE_CURVE('',#70908,#70906,#33259,.T.); #89878=EDGE_CURVE('',#70908,#70909,#33260,.T.); #89879=EDGE_CURVE('',#70909,#70907,#33261,.T.); #89880=EDGE_CURVE('',#70910,#70908,#33262,.T.); #89881=EDGE_CURVE('',#70910,#70911,#33263,.T.); #89882=EDGE_CURVE('',#70911,#70909,#33264,.T.); #89883=EDGE_CURVE('',#70912,#70910,#33265,.T.); #89884=EDGE_CURVE('',#70912,#70913,#33266,.T.); #89885=EDGE_CURVE('',#70913,#70911,#33267,.T.); #89886=EDGE_CURVE('',#70914,#70912,#33268,.T.); #89887=EDGE_CURVE('',#70914,#70915,#33269,.T.); #89888=EDGE_CURVE('',#70915,#70913,#33270,.T.); #89889=EDGE_CURVE('',#70916,#70914,#33271,.T.); #89890=EDGE_CURVE('',#70916,#70917,#33272,.T.); #89891=EDGE_CURVE('',#70917,#70915,#33273,.T.); #89892=EDGE_CURVE('',#70918,#70916,#33274,.T.); #89893=EDGE_CURVE('',#70918,#70919,#33275,.T.); #89894=EDGE_CURVE('',#70919,#70917,#33276,.T.); #89895=EDGE_CURVE('',#70920,#70918,#33277,.T.); #89896=EDGE_CURVE('',#70920,#70921,#33278,.T.); #89897=EDGE_CURVE('',#70921,#70919,#33279,.T.); #89898=EDGE_CURVE('',#70922,#70920,#33280,.T.); #89899=EDGE_CURVE('',#70922,#70923,#33281,.T.); #89900=EDGE_CURVE('',#70923,#70921,#33282,.T.); #89901=EDGE_CURVE('',#70924,#70922,#33283,.T.); #89902=EDGE_CURVE('',#70924,#70925,#33284,.T.); #89903=EDGE_CURVE('',#70925,#70923,#33285,.T.); #89904=EDGE_CURVE('',#70926,#70924,#33286,.T.); #89905=EDGE_CURVE('',#70926,#70927,#33287,.T.); #89906=EDGE_CURVE('',#70927,#70925,#33288,.T.); #89907=EDGE_CURVE('',#70928,#70926,#33289,.T.); #89908=EDGE_CURVE('',#70928,#70929,#33290,.T.); #89909=EDGE_CURVE('',#70929,#70927,#33291,.T.); #89910=EDGE_CURVE('',#70930,#70928,#33292,.T.); #89911=EDGE_CURVE('',#70930,#70931,#33293,.T.); #89912=EDGE_CURVE('',#70931,#70929,#33294,.T.); #89913=EDGE_CURVE('',#70932,#70930,#33295,.T.); #89914=EDGE_CURVE('',#70932,#70933,#33296,.T.); #89915=EDGE_CURVE('',#70933,#70931,#33297,.T.); #89916=EDGE_CURVE('',#70934,#70932,#33298,.T.); #89917=EDGE_CURVE('',#70934,#70935,#33299,.T.); #89918=EDGE_CURVE('',#70935,#70933,#33300,.T.); #89919=EDGE_CURVE('',#70936,#70934,#33301,.T.); #89920=EDGE_CURVE('',#70936,#70937,#33302,.T.); #89921=EDGE_CURVE('',#70937,#70935,#33303,.T.); #89922=EDGE_CURVE('',#70938,#70936,#33304,.T.); #89923=EDGE_CURVE('',#70938,#70939,#33305,.T.); #89924=EDGE_CURVE('',#70939,#70937,#33306,.T.); #89925=EDGE_CURVE('',#70940,#70938,#33307,.T.); #89926=EDGE_CURVE('',#70940,#70941,#33308,.T.); #89927=EDGE_CURVE('',#70941,#70939,#33309,.T.); #89928=EDGE_CURVE('',#70942,#70940,#59807,.T.); #89929=EDGE_CURVE('',#70942,#70943,#33310,.T.); #89930=EDGE_CURVE('',#70943,#70941,#59808,.T.); #89931=EDGE_CURVE('',#70944,#70942,#33311,.T.); #89932=EDGE_CURVE('',#70944,#70945,#33312,.T.); #89933=EDGE_CURVE('',#70945,#70943,#33313,.T.); #89934=EDGE_CURVE('',#70946,#70944,#33314,.T.); #89935=EDGE_CURVE('',#70946,#70947,#33315,.T.); #89936=EDGE_CURVE('',#70947,#70945,#33316,.T.); #89937=EDGE_CURVE('',#70948,#70946,#59809,.T.); #89938=EDGE_CURVE('',#70948,#70949,#33317,.T.); #89939=EDGE_CURVE('',#70949,#70947,#59810,.T.); #89940=EDGE_CURVE('',#70950,#70948,#33318,.T.); #89941=EDGE_CURVE('',#70950,#70951,#33319,.T.); #89942=EDGE_CURVE('',#70951,#70949,#33320,.T.); #89943=EDGE_CURVE('',#70952,#70950,#33321,.T.); #89944=EDGE_CURVE('',#70952,#70953,#33322,.T.); #89945=EDGE_CURVE('',#70953,#70951,#33323,.T.); #89946=EDGE_CURVE('',#70954,#70952,#33324,.T.); #89947=EDGE_CURVE('',#70954,#70955,#33325,.T.); #89948=EDGE_CURVE('',#70955,#70953,#33326,.T.); #89949=EDGE_CURVE('',#70956,#70954,#33327,.T.); #89950=EDGE_CURVE('',#70956,#70957,#33328,.T.); #89951=EDGE_CURVE('',#70957,#70955,#33329,.T.); #89952=EDGE_CURVE('',#70958,#70956,#33330,.T.); #89953=EDGE_CURVE('',#70958,#70959,#33331,.T.); #89954=EDGE_CURVE('',#70959,#70957,#33332,.T.); #89955=EDGE_CURVE('',#70960,#70958,#33333,.T.); #89956=EDGE_CURVE('',#70960,#70961,#33334,.T.); #89957=EDGE_CURVE('',#70961,#70959,#33335,.T.); #89958=EDGE_CURVE('',#70962,#70960,#33336,.T.); #89959=EDGE_CURVE('',#70962,#70963,#33337,.T.); #89960=EDGE_CURVE('',#70963,#70961,#33338,.T.); #89961=EDGE_CURVE('',#70964,#70962,#33339,.T.); #89962=EDGE_CURVE('',#70964,#70965,#33340,.T.); #89963=EDGE_CURVE('',#70965,#70963,#33341,.T.); #89964=EDGE_CURVE('',#70966,#70964,#33342,.T.); #89965=EDGE_CURVE('',#70966,#70967,#33343,.T.); #89966=EDGE_CURVE('',#70967,#70965,#33344,.T.); #89967=EDGE_CURVE('',#70968,#70966,#33345,.T.); #89968=EDGE_CURVE('',#70968,#70969,#33346,.T.); #89969=EDGE_CURVE('',#70969,#70967,#33347,.T.); #89970=EDGE_CURVE('',#70970,#70968,#33348,.T.); #89971=EDGE_CURVE('',#70970,#70971,#33349,.T.); #89972=EDGE_CURVE('',#70971,#70969,#33350,.T.); #89973=EDGE_CURVE('',#70972,#70970,#33351,.T.); #89974=EDGE_CURVE('',#70972,#70973,#33352,.T.); #89975=EDGE_CURVE('',#70973,#70971,#33353,.T.); #89976=EDGE_CURVE('',#70974,#70972,#33354,.T.); #89977=EDGE_CURVE('',#70974,#70975,#33355,.T.); #89978=EDGE_CURVE('',#70975,#70973,#33356,.T.); #89979=EDGE_CURVE('',#70976,#70974,#33357,.T.); #89980=EDGE_CURVE('',#70976,#70977,#33358,.T.); #89981=EDGE_CURVE('',#70977,#70975,#33359,.T.); #89982=EDGE_CURVE('',#70978,#70976,#33360,.T.); #89983=EDGE_CURVE('',#70978,#70979,#33361,.T.); #89984=EDGE_CURVE('',#70979,#70977,#33362,.T.); #89985=EDGE_CURVE('',#70980,#70978,#33363,.T.); #89986=EDGE_CURVE('',#70980,#70981,#33364,.T.); #89987=EDGE_CURVE('',#70981,#70979,#33365,.T.); #89988=EDGE_CURVE('',#70982,#70980,#33366,.T.); #89989=EDGE_CURVE('',#70982,#70983,#33367,.T.); #89990=EDGE_CURVE('',#70983,#70981,#33368,.T.); #89991=EDGE_CURVE('',#70984,#70982,#33369,.T.); #89992=EDGE_CURVE('',#70984,#70985,#33370,.T.); #89993=EDGE_CURVE('',#70985,#70983,#33371,.T.); #89994=EDGE_CURVE('',#70986,#70984,#33372,.T.); #89995=EDGE_CURVE('',#70986,#70987,#33373,.T.); #89996=EDGE_CURVE('',#70987,#70985,#33374,.T.); #89997=EDGE_CURVE('',#70988,#70986,#33375,.T.); #89998=EDGE_CURVE('',#70988,#70989,#33376,.T.); #89999=EDGE_CURVE('',#70989,#70987,#33377,.T.); #90000=EDGE_CURVE('',#70990,#70988,#33378,.T.); #90001=EDGE_CURVE('',#70990,#70991,#33379,.T.); #90002=EDGE_CURVE('',#70991,#70989,#33380,.T.); #90003=EDGE_CURVE('',#70992,#70990,#33381,.T.); #90004=EDGE_CURVE('',#70992,#70993,#33382,.T.); #90005=EDGE_CURVE('',#70993,#70991,#33383,.T.); #90006=EDGE_CURVE('',#70994,#70992,#33384,.T.); #90007=EDGE_CURVE('',#70994,#70995,#33385,.T.); #90008=EDGE_CURVE('',#70995,#70993,#33386,.T.); #90009=EDGE_CURVE('',#70996,#70994,#33387,.T.); #90010=EDGE_CURVE('',#70996,#70997,#33388,.T.); #90011=EDGE_CURVE('',#70997,#70995,#33389,.T.); #90012=EDGE_CURVE('',#70998,#70996,#33390,.T.); #90013=EDGE_CURVE('',#70998,#70999,#33391,.T.); #90014=EDGE_CURVE('',#70999,#70997,#33392,.T.); #90015=EDGE_CURVE('',#71000,#70998,#33393,.T.); #90016=EDGE_CURVE('',#71000,#71001,#33394,.T.); #90017=EDGE_CURVE('',#71001,#70999,#33395,.T.); #90018=EDGE_CURVE('',#71002,#71000,#33396,.T.); #90019=EDGE_CURVE('',#71002,#71003,#33397,.T.); #90020=EDGE_CURVE('',#71003,#71001,#33398,.T.); #90021=EDGE_CURVE('',#71004,#71002,#59811,.T.); #90022=EDGE_CURVE('',#71004,#71005,#33399,.T.); #90023=EDGE_CURVE('',#71005,#71003,#59812,.T.); #90024=EDGE_CURVE('',#71006,#71004,#59813,.T.); #90025=EDGE_CURVE('',#71006,#71007,#33400,.T.); #90026=EDGE_CURVE('',#71007,#71005,#59814,.T.); #90027=EDGE_CURVE('',#71008,#71006,#33401,.T.); #90028=EDGE_CURVE('',#71008,#71009,#33402,.T.); #90029=EDGE_CURVE('',#71009,#71007,#33403,.T.); #90030=EDGE_CURVE('',#71010,#71008,#33404,.T.); #90031=EDGE_CURVE('',#71010,#71011,#33405,.T.); #90032=EDGE_CURVE('',#71011,#71009,#33406,.T.); #90033=EDGE_CURVE('',#71012,#71010,#33407,.T.); #90034=EDGE_CURVE('',#71012,#71013,#33408,.T.); #90035=EDGE_CURVE('',#71013,#71011,#33409,.T.); #90036=EDGE_CURVE('',#71014,#71012,#33410,.T.); #90037=EDGE_CURVE('',#71014,#71015,#33411,.T.); #90038=EDGE_CURVE('',#71015,#71013,#33412,.T.); #90039=EDGE_CURVE('',#71016,#71014,#33413,.T.); #90040=EDGE_CURVE('',#71016,#71017,#33414,.T.); #90041=EDGE_CURVE('',#71017,#71015,#33415,.T.); #90042=EDGE_CURVE('',#71018,#71016,#33416,.T.); #90043=EDGE_CURVE('',#71018,#71019,#33417,.T.); #90044=EDGE_CURVE('',#71019,#71017,#33418,.T.); #90045=EDGE_CURVE('',#71020,#71018,#33419,.T.); #90046=EDGE_CURVE('',#71020,#71021,#33420,.T.); #90047=EDGE_CURVE('',#71021,#71019,#33421,.T.); #90048=EDGE_CURVE('',#71022,#71020,#33422,.T.); #90049=EDGE_CURVE('',#71022,#71023,#33423,.T.); #90050=EDGE_CURVE('',#71023,#71021,#33424,.T.); #90051=EDGE_CURVE('',#71024,#71022,#33425,.T.); #90052=EDGE_CURVE('',#71024,#71025,#33426,.T.); #90053=EDGE_CURVE('',#71025,#71023,#33427,.T.); #90054=EDGE_CURVE('',#71026,#71024,#33428,.T.); #90055=EDGE_CURVE('',#71026,#71027,#33429,.T.); #90056=EDGE_CURVE('',#71027,#71025,#33430,.T.); #90057=EDGE_CURVE('',#71028,#71026,#33431,.T.); #90058=EDGE_CURVE('',#71028,#71029,#33432,.T.); #90059=EDGE_CURVE('',#71029,#71027,#33433,.T.); #90060=EDGE_CURVE('',#71030,#71028,#33434,.T.); #90061=EDGE_CURVE('',#71030,#71031,#33435,.T.); #90062=EDGE_CURVE('',#71031,#71029,#33436,.T.); #90063=EDGE_CURVE('',#71032,#71030,#33437,.T.); #90064=EDGE_CURVE('',#71032,#71033,#33438,.T.); #90065=EDGE_CURVE('',#71033,#71031,#33439,.T.); #90066=EDGE_CURVE('',#71034,#71032,#33440,.T.); #90067=EDGE_CURVE('',#71034,#71035,#33441,.T.); #90068=EDGE_CURVE('',#71035,#71033,#33442,.T.); #90069=EDGE_CURVE('',#71036,#71034,#33443,.T.); #90070=EDGE_CURVE('',#71036,#71037,#33444,.T.); #90071=EDGE_CURVE('',#71037,#71035,#33445,.T.); #90072=EDGE_CURVE('',#71038,#71036,#33446,.T.); #90073=EDGE_CURVE('',#71038,#71039,#33447,.T.); #90074=EDGE_CURVE('',#71039,#71037,#33448,.T.); #90075=EDGE_CURVE('',#71040,#71038,#33449,.T.); #90076=EDGE_CURVE('',#71040,#71041,#33450,.T.); #90077=EDGE_CURVE('',#71041,#71039,#33451,.T.); #90078=EDGE_CURVE('',#71042,#71040,#33452,.T.); #90079=EDGE_CURVE('',#71042,#71043,#33453,.T.); #90080=EDGE_CURVE('',#71043,#71041,#33454,.T.); #90081=EDGE_CURVE('',#71044,#71042,#33455,.T.); #90082=EDGE_CURVE('',#71044,#71045,#33456,.T.); #90083=EDGE_CURVE('',#71045,#71043,#33457,.T.); #90084=EDGE_CURVE('',#71046,#71044,#33458,.T.); #90085=EDGE_CURVE('',#71046,#71047,#33459,.T.); #90086=EDGE_CURVE('',#71047,#71045,#33460,.T.); #90087=EDGE_CURVE('',#71048,#71046,#33461,.T.); #90088=EDGE_CURVE('',#71048,#71049,#33462,.T.); #90089=EDGE_CURVE('',#71049,#71047,#33463,.T.); #90090=EDGE_CURVE('',#71050,#71048,#33464,.T.); #90091=EDGE_CURVE('',#71050,#71051,#33465,.T.); #90092=EDGE_CURVE('',#71051,#71049,#33466,.T.); #90093=EDGE_CURVE('',#71052,#71050,#33467,.T.); #90094=EDGE_CURVE('',#71052,#71053,#33468,.T.); #90095=EDGE_CURVE('',#71053,#71051,#33469,.T.); #90096=EDGE_CURVE('',#71054,#71052,#33470,.T.); #90097=EDGE_CURVE('',#71054,#71055,#33471,.T.); #90098=EDGE_CURVE('',#71055,#71053,#33472,.T.); #90099=EDGE_CURVE('',#71056,#71054,#33473,.T.); #90100=EDGE_CURVE('',#71056,#71057,#33474,.T.); #90101=EDGE_CURVE('',#71057,#71055,#33475,.T.); #90102=EDGE_CURVE('',#71058,#71056,#33476,.T.); #90103=EDGE_CURVE('',#71058,#71059,#33477,.T.); #90104=EDGE_CURVE('',#71059,#71057,#33478,.T.); #90105=EDGE_CURVE('',#71060,#71058,#59815,.T.); #90106=EDGE_CURVE('',#71060,#71061,#33479,.T.); #90107=EDGE_CURVE('',#71061,#71059,#59816,.T.); #90108=EDGE_CURVE('',#71062,#71060,#33480,.T.); #90109=EDGE_CURVE('',#71062,#71063,#33481,.T.); #90110=EDGE_CURVE('',#71063,#71061,#33482,.T.); #90111=EDGE_CURVE('',#71064,#71062,#33483,.T.); #90112=EDGE_CURVE('',#71064,#71065,#33484,.T.); #90113=EDGE_CURVE('',#71065,#71063,#33485,.T.); #90114=EDGE_CURVE('',#71066,#71064,#33486,.T.); #90115=EDGE_CURVE('',#71066,#71067,#33487,.T.); #90116=EDGE_CURVE('',#71067,#71065,#33488,.T.); #90117=EDGE_CURVE('',#71068,#71066,#33489,.T.); #90118=EDGE_CURVE('',#71068,#71069,#33490,.T.); #90119=EDGE_CURVE('',#71069,#71067,#33491,.T.); #90120=EDGE_CURVE('',#71070,#71068,#59817,.T.); #90121=EDGE_CURVE('',#71070,#71071,#33492,.T.); #90122=EDGE_CURVE('',#71071,#71069,#59818,.T.); #90123=EDGE_CURVE('',#71072,#71070,#33493,.T.); #90124=EDGE_CURVE('',#71072,#71073,#33494,.T.); #90125=EDGE_CURVE('',#71073,#71071,#33495,.T.); #90126=EDGE_CURVE('',#71074,#71072,#33496,.T.); #90127=EDGE_CURVE('',#71074,#71075,#33497,.T.); #90128=EDGE_CURVE('',#71075,#71073,#33498,.T.); #90129=EDGE_CURVE('',#71076,#71074,#33499,.T.); #90130=EDGE_CURVE('',#71076,#71077,#33500,.T.); #90131=EDGE_CURVE('',#71077,#71075,#33501,.T.); #90132=EDGE_CURVE('',#71078,#71076,#33502,.T.); #90133=EDGE_CURVE('',#71078,#71079,#33503,.T.); #90134=EDGE_CURVE('',#71079,#71077,#33504,.T.); #90135=EDGE_CURVE('',#71080,#71078,#33505,.T.); #90136=EDGE_CURVE('',#71080,#71081,#33506,.T.); #90137=EDGE_CURVE('',#71081,#71079,#33507,.T.); #90138=EDGE_CURVE('',#71082,#71080,#33508,.T.); #90139=EDGE_CURVE('',#71082,#71083,#33509,.T.); #90140=EDGE_CURVE('',#71083,#71081,#33510,.T.); #90141=EDGE_CURVE('',#70727,#71082,#33511,.T.); #90142=EDGE_CURVE('',#70729,#71083,#33512,.T.); #90143=EDGE_CURVE('',#71084,#71085,#59819,.T.); #90144=EDGE_CURVE('',#71084,#71086,#33513,.T.); #90145=EDGE_CURVE('',#71086,#71087,#59820,.T.); #90146=EDGE_CURVE('',#71085,#71087,#33514,.T.); #90147=EDGE_CURVE('',#71088,#71084,#59821,.T.); #90148=EDGE_CURVE('',#71088,#71089,#33515,.T.); #90149=EDGE_CURVE('',#71089,#71086,#59822,.T.); #90150=EDGE_CURVE('',#71090,#71088,#59823,.T.); #90151=EDGE_CURVE('',#71090,#71091,#33516,.T.); #90152=EDGE_CURVE('',#71091,#71089,#59824,.T.); #90153=EDGE_CURVE('',#71092,#71090,#59825,.T.); #90154=EDGE_CURVE('',#71092,#71093,#33517,.T.); #90155=EDGE_CURVE('',#71093,#71091,#59826,.T.); #90156=EDGE_CURVE('',#71094,#71092,#59827,.T.); #90157=EDGE_CURVE('',#71094,#71095,#33518,.T.); #90158=EDGE_CURVE('',#71095,#71093,#59828,.T.); #90159=EDGE_CURVE('',#71096,#71094,#59829,.T.); #90160=EDGE_CURVE('',#71096,#71097,#33519,.T.); #90161=EDGE_CURVE('',#71097,#71095,#59830,.T.); #90162=EDGE_CURVE('',#71098,#71096,#59831,.T.); #90163=EDGE_CURVE('',#71098,#71099,#33520,.T.); #90164=EDGE_CURVE('',#71099,#71097,#59832,.T.); #90165=EDGE_CURVE('',#71100,#71098,#33521,.T.); #90166=EDGE_CURVE('',#71100,#71101,#33522,.T.); #90167=EDGE_CURVE('',#71101,#71099,#33523,.T.); #90168=EDGE_CURVE('',#71102,#71100,#59833,.T.); #90169=EDGE_CURVE('',#71102,#71103,#33524,.T.); #90170=EDGE_CURVE('',#71103,#71101,#59834,.T.); #90171=EDGE_CURVE('',#71104,#71102,#59835,.T.); #90172=EDGE_CURVE('',#71104,#71105,#33525,.T.); #90173=EDGE_CURVE('',#71105,#71103,#59836,.T.); #90174=EDGE_CURVE('',#71085,#71104,#59837,.T.); #90175=EDGE_CURVE('',#71087,#71105,#59838,.T.); #90176=EDGE_CURVE('',#71106,#71107,#33526,.T.); #90177=EDGE_CURVE('',#71106,#71108,#33527,.T.); #90178=EDGE_CURVE('',#71108,#71109,#33528,.T.); #90179=EDGE_CURVE('',#71107,#71109,#33529,.T.); #90180=EDGE_CURVE('',#71110,#71106,#59839,.T.); #90181=EDGE_CURVE('',#71110,#71111,#33530,.T.); #90182=EDGE_CURVE('',#71111,#71108,#59840,.T.); #90183=EDGE_CURVE('',#71112,#71110,#59841,.T.); #90184=EDGE_CURVE('',#71112,#71113,#33531,.T.); #90185=EDGE_CURVE('',#71113,#71111,#59842,.T.); #90186=EDGE_CURVE('',#71114,#71112,#59843,.T.); #90187=EDGE_CURVE('',#71114,#71115,#33532,.T.); #90188=EDGE_CURVE('',#71115,#71113,#59844,.T.); #90189=EDGE_CURVE('',#71116,#71114,#59845,.T.); #90190=EDGE_CURVE('',#71116,#71117,#33533,.T.); #90191=EDGE_CURVE('',#71117,#71115,#59846,.T.); #90192=EDGE_CURVE('',#71118,#71116,#59847,.T.); #90193=EDGE_CURVE('',#71118,#71119,#33534,.T.); #90194=EDGE_CURVE('',#71119,#71117,#59848,.T.); #90195=EDGE_CURVE('',#71120,#71118,#59849,.T.); #90196=EDGE_CURVE('',#71120,#71121,#33535,.T.); #90197=EDGE_CURVE('',#71121,#71119,#59850,.T.); #90198=EDGE_CURVE('',#71122,#71120,#59851,.T.); #90199=EDGE_CURVE('',#71122,#71123,#33536,.T.); #90200=EDGE_CURVE('',#71123,#71121,#59852,.T.); #90201=EDGE_CURVE('',#71124,#71122,#59853,.T.); #90202=EDGE_CURVE('',#71124,#71125,#33537,.T.); #90203=EDGE_CURVE('',#71125,#71123,#59854,.T.); #90204=EDGE_CURVE('',#71107,#71124,#59855,.T.); #90205=EDGE_CURVE('',#71109,#71125,#59856,.T.); #90206=EDGE_CURVE('',#71126,#71127,#59857,.T.); #90207=EDGE_CURVE('',#71126,#71128,#33538,.T.); #90208=EDGE_CURVE('',#71128,#71129,#59858,.T.); #90209=EDGE_CURVE('',#71127,#71129,#33539,.T.); #90210=EDGE_CURVE('',#71130,#71126,#59859,.T.); #90211=EDGE_CURVE('',#71130,#71131,#33540,.T.); #90212=EDGE_CURVE('',#71131,#71128,#59860,.T.); #90213=EDGE_CURVE('',#71132,#71130,#33541,.T.); #90214=EDGE_CURVE('',#71132,#71133,#33542,.T.); #90215=EDGE_CURVE('',#71133,#71131,#33543,.T.); #90216=EDGE_CURVE('',#71134,#71132,#59861,.T.); #90217=EDGE_CURVE('',#71134,#71135,#33544,.T.); #90218=EDGE_CURVE('',#71135,#71133,#59862,.T.); #90219=EDGE_CURVE('',#71136,#71134,#33545,.T.); #90220=EDGE_CURVE('',#71136,#71137,#33546,.T.); #90221=EDGE_CURVE('',#71137,#71135,#33547,.T.); #90222=EDGE_CURVE('',#71138,#71136,#59863,.T.); #90223=EDGE_CURVE('',#71138,#71139,#33548,.T.); #90224=EDGE_CURVE('',#71139,#71137,#59864,.T.); #90225=EDGE_CURVE('',#71140,#71138,#33549,.T.); #90226=EDGE_CURVE('',#71140,#71141,#33550,.T.); #90227=EDGE_CURVE('',#71141,#71139,#33551,.T.); #90228=EDGE_CURVE('',#71142,#71140,#33552,.T.); #90229=EDGE_CURVE('',#71142,#71143,#33553,.T.); #90230=EDGE_CURVE('',#71143,#71141,#33554,.T.); #90231=EDGE_CURVE('',#71144,#71142,#33555,.T.); #90232=EDGE_CURVE('',#71144,#71145,#33556,.T.); #90233=EDGE_CURVE('',#71145,#71143,#33557,.T.); #90234=EDGE_CURVE('',#71146,#71144,#59865,.T.); #90235=EDGE_CURVE('',#71146,#71147,#33558,.T.); #90236=EDGE_CURVE('',#71147,#71145,#59866,.T.); #90237=EDGE_CURVE('',#71148,#71146,#33559,.T.); #90238=EDGE_CURVE('',#71148,#71149,#33560,.T.); #90239=EDGE_CURVE('',#71149,#71147,#33561,.T.); #90240=EDGE_CURVE('',#71150,#71148,#33562,.T.); #90241=EDGE_CURVE('',#71150,#71151,#33563,.T.); #90242=EDGE_CURVE('',#71151,#71149,#33564,.T.); #90243=EDGE_CURVE('',#71152,#71150,#33565,.T.); #90244=EDGE_CURVE('',#71152,#71153,#33566,.T.); #90245=EDGE_CURVE('',#71153,#71151,#33567,.T.); #90246=EDGE_CURVE('',#71154,#71152,#59867,.T.); #90247=EDGE_CURVE('',#71154,#71155,#33568,.T.); #90248=EDGE_CURVE('',#71155,#71153,#59868,.T.); #90249=EDGE_CURVE('',#71156,#71154,#59869,.T.); #90250=EDGE_CURVE('',#71156,#71157,#33569,.T.); #90251=EDGE_CURVE('',#71157,#71155,#59870,.T.); #90252=EDGE_CURVE('',#71158,#71156,#33570,.T.); #90253=EDGE_CURVE('',#71158,#71159,#33571,.T.); #90254=EDGE_CURVE('',#71159,#71157,#33572,.T.); #90255=EDGE_CURVE('',#71160,#71158,#33573,.T.); #90256=EDGE_CURVE('',#71160,#71161,#33574,.T.); #90257=EDGE_CURVE('',#71161,#71159,#33575,.T.); #90258=EDGE_CURVE('',#71162,#71160,#59871,.T.); #90259=EDGE_CURVE('',#71162,#71163,#33576,.T.); #90260=EDGE_CURVE('',#71163,#71161,#59872,.T.); #90261=EDGE_CURVE('',#71164,#71162,#33577,.T.); #90262=EDGE_CURVE('',#71164,#71165,#33578,.T.); #90263=EDGE_CURVE('',#71165,#71163,#33579,.T.); #90264=EDGE_CURVE('',#71166,#71164,#33580,.T.); #90265=EDGE_CURVE('',#71166,#71167,#33581,.T.); #90266=EDGE_CURVE('',#71167,#71165,#33582,.T.); #90267=EDGE_CURVE('',#71168,#71166,#33583,.T.); #90268=EDGE_CURVE('',#71168,#71169,#33584,.T.); #90269=EDGE_CURVE('',#71169,#71167,#33585,.T.); #90270=EDGE_CURVE('',#71170,#71168,#33586,.T.); #90271=EDGE_CURVE('',#71170,#71171,#33587,.T.); #90272=EDGE_CURVE('',#71171,#71169,#33588,.T.); #90273=EDGE_CURVE('',#71172,#71170,#33589,.T.); #90274=EDGE_CURVE('',#71172,#71173,#33590,.T.); #90275=EDGE_CURVE('',#71173,#71171,#33591,.T.); #90276=EDGE_CURVE('',#71174,#71172,#33592,.T.); #90277=EDGE_CURVE('',#71174,#71175,#33593,.T.); #90278=EDGE_CURVE('',#71175,#71173,#33594,.T.); #90279=EDGE_CURVE('',#71176,#71174,#59873,.T.); #90280=EDGE_CURVE('',#71176,#71177,#33595,.T.); #90281=EDGE_CURVE('',#71177,#71175,#59874,.T.); #90282=EDGE_CURVE('',#71178,#71176,#59875,.T.); #90283=EDGE_CURVE('',#71178,#71179,#33596,.T.); #90284=EDGE_CURVE('',#71179,#71177,#59876,.T.); #90285=EDGE_CURVE('',#71180,#71178,#59877,.T.); #90286=EDGE_CURVE('',#71180,#71181,#33597,.T.); #90287=EDGE_CURVE('',#71181,#71179,#59878,.T.); #90288=EDGE_CURVE('',#71182,#71180,#59879,.T.); #90289=EDGE_CURVE('',#71182,#71183,#33598,.T.); #90290=EDGE_CURVE('',#71183,#71181,#59880,.T.); #90291=EDGE_CURVE('',#71184,#71182,#33599,.T.); #90292=EDGE_CURVE('',#71184,#71185,#33600,.T.); #90293=EDGE_CURVE('',#71185,#71183,#33601,.T.); #90294=EDGE_CURVE('',#71186,#71184,#59881,.T.); #90295=EDGE_CURVE('',#71186,#71187,#33602,.T.); #90296=EDGE_CURVE('',#71187,#71185,#59882,.T.); #90297=EDGE_CURVE('',#71188,#71186,#33603,.T.); #90298=EDGE_CURVE('',#71188,#71189,#33604,.T.); #90299=EDGE_CURVE('',#71189,#71187,#33605,.T.); #90300=EDGE_CURVE('',#71190,#71188,#59883,.T.); #90301=EDGE_CURVE('',#71190,#71191,#33606,.T.); #90302=EDGE_CURVE('',#71191,#71189,#59884,.T.); #90303=EDGE_CURVE('',#71192,#71190,#33607,.T.); #90304=EDGE_CURVE('',#71192,#71193,#33608,.T.); #90305=EDGE_CURVE('',#71193,#71191,#33609,.T.); #90306=EDGE_CURVE('',#71194,#71192,#59885,.T.); #90307=EDGE_CURVE('',#71194,#71195,#33610,.T.); #90308=EDGE_CURVE('',#71195,#71193,#59886,.T.); #90309=EDGE_CURVE('',#71196,#71194,#33611,.T.); #90310=EDGE_CURVE('',#71196,#71197,#33612,.T.); #90311=EDGE_CURVE('',#71197,#71195,#33613,.T.); #90312=EDGE_CURVE('',#71198,#71196,#33614,.T.); #90313=EDGE_CURVE('',#71198,#71199,#33615,.T.); #90314=EDGE_CURVE('',#71199,#71197,#33616,.T.); #90315=EDGE_CURVE('',#71200,#71198,#59887,.T.); #90316=EDGE_CURVE('',#71200,#71201,#33617,.T.); #90317=EDGE_CURVE('',#71201,#71199,#59888,.T.); #90318=EDGE_CURVE('',#71202,#71200,#33618,.T.); #90319=EDGE_CURVE('',#71202,#71203,#33619,.T.); #90320=EDGE_CURVE('',#71203,#71201,#33620,.T.); #90321=EDGE_CURVE('',#71204,#71202,#59889,.T.); #90322=EDGE_CURVE('',#71204,#71205,#33621,.T.); #90323=EDGE_CURVE('',#71205,#71203,#59890,.T.); #90324=EDGE_CURVE('',#71206,#71204,#33622,.T.); #90325=EDGE_CURVE('',#71206,#71207,#33623,.T.); #90326=EDGE_CURVE('',#71207,#71205,#33624,.T.); #90327=EDGE_CURVE('',#71208,#71206,#59891,.T.); #90328=EDGE_CURVE('',#71208,#71209,#33625,.T.); #90329=EDGE_CURVE('',#71209,#71207,#59892,.T.); #90330=EDGE_CURVE('',#71210,#71208,#33626,.T.); #90331=EDGE_CURVE('',#71210,#71211,#33627,.T.); #90332=EDGE_CURVE('',#71211,#71209,#33628,.T.); #90333=EDGE_CURVE('',#71212,#71210,#33629,.T.); #90334=EDGE_CURVE('',#71212,#71213,#33630,.T.); #90335=EDGE_CURVE('',#71213,#71211,#33631,.T.); #90336=EDGE_CURVE('',#71127,#71212,#33632,.T.); #90337=EDGE_CURVE('',#71129,#71213,#33633,.T.); #90338=EDGE_CURVE('',#71214,#71215,#33634,.T.); #90339=EDGE_CURVE('',#71214,#71216,#33635,.T.); #90340=EDGE_CURVE('',#71216,#71217,#33636,.T.); #90341=EDGE_CURVE('',#71215,#71217,#33637,.T.); #90342=EDGE_CURVE('',#71218,#71214,#33638,.T.); #90343=EDGE_CURVE('',#71218,#71219,#33639,.T.); #90344=EDGE_CURVE('',#71219,#71216,#33640,.T.); #90345=EDGE_CURVE('',#71220,#71218,#33641,.T.); #90346=EDGE_CURVE('',#71220,#71221,#33642,.T.); #90347=EDGE_CURVE('',#71221,#71219,#33643,.T.); #90348=EDGE_CURVE('',#71222,#71220,#33644,.T.); #90349=EDGE_CURVE('',#71222,#71223,#33645,.T.); #90350=EDGE_CURVE('',#71223,#71221,#33646,.T.); #90351=EDGE_CURVE('',#71224,#71222,#33647,.T.); #90352=EDGE_CURVE('',#71224,#71225,#33648,.T.); #90353=EDGE_CURVE('',#71225,#71223,#33649,.T.); #90354=EDGE_CURVE('',#71226,#71224,#33650,.T.); #90355=EDGE_CURVE('',#71226,#71227,#33651,.T.); #90356=EDGE_CURVE('',#71227,#71225,#33652,.T.); #90357=EDGE_CURVE('',#71228,#71226,#33653,.T.); #90358=EDGE_CURVE('',#71228,#71229,#33654,.T.); #90359=EDGE_CURVE('',#71229,#71227,#33655,.T.); #90360=EDGE_CURVE('',#71230,#71228,#33656,.T.); #90361=EDGE_CURVE('',#71230,#71231,#33657,.T.); #90362=EDGE_CURVE('',#71231,#71229,#33658,.T.); #90363=EDGE_CURVE('',#71232,#71230,#33659,.T.); #90364=EDGE_CURVE('',#71232,#71233,#33660,.T.); #90365=EDGE_CURVE('',#71233,#71231,#33661,.T.); #90366=EDGE_CURVE('',#71234,#71232,#33662,.T.); #90367=EDGE_CURVE('',#71234,#71235,#33663,.T.); #90368=EDGE_CURVE('',#71235,#71233,#33664,.T.); #90369=EDGE_CURVE('',#71236,#71234,#33665,.T.); #90370=EDGE_CURVE('',#71236,#71237,#33666,.T.); #90371=EDGE_CURVE('',#71237,#71235,#33667,.T.); #90372=EDGE_CURVE('',#71238,#71236,#33668,.T.); #90373=EDGE_CURVE('',#71238,#71239,#33669,.T.); #90374=EDGE_CURVE('',#71239,#71237,#33670,.T.); #90375=EDGE_CURVE('',#71240,#71238,#33671,.T.); #90376=EDGE_CURVE('',#71240,#71241,#33672,.T.); #90377=EDGE_CURVE('',#71241,#71239,#33673,.T.); #90378=EDGE_CURVE('',#71242,#71240,#33674,.T.); #90379=EDGE_CURVE('',#71242,#71243,#33675,.T.); #90380=EDGE_CURVE('',#71243,#71241,#33676,.T.); #90381=EDGE_CURVE('',#71244,#71242,#59893,.T.); #90382=EDGE_CURVE('',#71244,#71245,#33677,.T.); #90383=EDGE_CURVE('',#71245,#71243,#59894,.T.); #90384=EDGE_CURVE('',#71246,#71244,#59895,.T.); #90385=EDGE_CURVE('',#71246,#71247,#33678,.T.); #90386=EDGE_CURVE('',#71247,#71245,#59896,.T.); #90387=EDGE_CURVE('',#71248,#71246,#33679,.T.); #90388=EDGE_CURVE('',#71248,#71249,#33680,.T.); #90389=EDGE_CURVE('',#71249,#71247,#33681,.T.); #90390=EDGE_CURVE('',#71250,#71248,#33682,.T.); #90391=EDGE_CURVE('',#71250,#71251,#33683,.T.); #90392=EDGE_CURVE('',#71251,#71249,#33684,.T.); #90393=EDGE_CURVE('',#71252,#71250,#33685,.T.); #90394=EDGE_CURVE('',#71252,#71253,#33686,.T.); #90395=EDGE_CURVE('',#71253,#71251,#33687,.T.); #90396=EDGE_CURVE('',#71254,#71252,#33688,.T.); #90397=EDGE_CURVE('',#71254,#71255,#33689,.T.); #90398=EDGE_CURVE('',#71255,#71253,#33690,.T.); #90399=EDGE_CURVE('',#71256,#71254,#33691,.T.); #90400=EDGE_CURVE('',#71256,#71257,#33692,.T.); #90401=EDGE_CURVE('',#71257,#71255,#33693,.T.); #90402=EDGE_CURVE('',#71258,#71256,#33694,.T.); #90403=EDGE_CURVE('',#71258,#71259,#33695,.T.); #90404=EDGE_CURVE('',#71259,#71257,#33696,.T.); #90405=EDGE_CURVE('',#71260,#71258,#33697,.T.); #90406=EDGE_CURVE('',#71260,#71261,#33698,.T.); #90407=EDGE_CURVE('',#71261,#71259,#33699,.T.); #90408=EDGE_CURVE('',#71262,#71260,#33700,.T.); #90409=EDGE_CURVE('',#71262,#71263,#33701,.T.); #90410=EDGE_CURVE('',#71263,#71261,#33702,.T.); #90411=EDGE_CURVE('',#71264,#71262,#33703,.T.); #90412=EDGE_CURVE('',#71264,#71265,#33704,.T.); #90413=EDGE_CURVE('',#71265,#71263,#33705,.T.); #90414=EDGE_CURVE('',#71266,#71264,#33706,.T.); #90415=EDGE_CURVE('',#71266,#71267,#33707,.T.); #90416=EDGE_CURVE('',#71267,#71265,#33708,.T.); #90417=EDGE_CURVE('',#71268,#71266,#33709,.T.); #90418=EDGE_CURVE('',#71268,#71269,#33710,.T.); #90419=EDGE_CURVE('',#71269,#71267,#33711,.T.); #90420=EDGE_CURVE('',#71270,#71268,#33712,.T.); #90421=EDGE_CURVE('',#71270,#71271,#33713,.T.); #90422=EDGE_CURVE('',#71271,#71269,#33714,.T.); #90423=EDGE_CURVE('',#71272,#71270,#33715,.T.); #90424=EDGE_CURVE('',#71272,#71273,#33716,.T.); #90425=EDGE_CURVE('',#71273,#71271,#33717,.T.); #90426=EDGE_CURVE('',#71274,#71272,#33718,.T.); #90427=EDGE_CURVE('',#71274,#71275,#33719,.T.); #90428=EDGE_CURVE('',#71275,#71273,#33720,.T.); #90429=EDGE_CURVE('',#71276,#71274,#33721,.T.); #90430=EDGE_CURVE('',#71276,#71277,#33722,.T.); #90431=EDGE_CURVE('',#71277,#71275,#33723,.T.); #90432=EDGE_CURVE('',#71278,#71276,#33724,.T.); #90433=EDGE_CURVE('',#71278,#71279,#33725,.T.); #90434=EDGE_CURVE('',#71279,#71277,#33726,.T.); #90435=EDGE_CURVE('',#71280,#71278,#59897,.T.); #90436=EDGE_CURVE('',#71280,#71281,#33727,.T.); #90437=EDGE_CURVE('',#71281,#71279,#59898,.T.); #90438=EDGE_CURVE('',#71282,#71280,#33728,.T.); #90439=EDGE_CURVE('',#71282,#71283,#33729,.T.); #90440=EDGE_CURVE('',#71283,#71281,#33730,.T.); #90441=EDGE_CURVE('',#71284,#71282,#33731,.T.); #90442=EDGE_CURVE('',#71284,#71285,#33732,.T.); #90443=EDGE_CURVE('',#71285,#71283,#33733,.T.); #90444=EDGE_CURVE('',#71286,#71284,#33734,.T.); #90445=EDGE_CURVE('',#71286,#71287,#33735,.T.); #90446=EDGE_CURVE('',#71287,#71285,#33736,.T.); #90447=EDGE_CURVE('',#71288,#71286,#33737,.T.); #90448=EDGE_CURVE('',#71288,#71289,#33738,.T.); #90449=EDGE_CURVE('',#71289,#71287,#33739,.T.); #90450=EDGE_CURVE('',#71290,#71288,#33740,.T.); #90451=EDGE_CURVE('',#71290,#71291,#33741,.T.); #90452=EDGE_CURVE('',#71291,#71289,#33742,.T.); #90453=EDGE_CURVE('',#71292,#71290,#33743,.T.); #90454=EDGE_CURVE('',#71292,#71293,#33744,.T.); #90455=EDGE_CURVE('',#71293,#71291,#33745,.T.); #90456=EDGE_CURVE('',#71294,#71292,#33746,.T.); #90457=EDGE_CURVE('',#71294,#71295,#33747,.T.); #90458=EDGE_CURVE('',#71295,#71293,#33748,.T.); #90459=EDGE_CURVE('',#71296,#71294,#59899,.T.); #90460=EDGE_CURVE('',#71296,#71297,#33749,.T.); #90461=EDGE_CURVE('',#71297,#71295,#59900,.T.); #90462=EDGE_CURVE('',#71298,#71296,#33750,.T.); #90463=EDGE_CURVE('',#71298,#71299,#33751,.T.); #90464=EDGE_CURVE('',#71299,#71297,#33752,.T.); #90465=EDGE_CURVE('',#71300,#71298,#59901,.T.); #90466=EDGE_CURVE('',#71300,#71301,#33753,.T.); #90467=EDGE_CURVE('',#71301,#71299,#59902,.T.); #90468=EDGE_CURVE('',#71302,#71300,#59903,.T.); #90469=EDGE_CURVE('',#71302,#71303,#33754,.T.); #90470=EDGE_CURVE('',#71303,#71301,#59904,.T.); #90471=EDGE_CURVE('',#71304,#71302,#33755,.T.); #90472=EDGE_CURVE('',#71304,#71305,#33756,.T.); #90473=EDGE_CURVE('',#71305,#71303,#33757,.T.); #90474=EDGE_CURVE('',#71306,#71304,#33758,.T.); #90475=EDGE_CURVE('',#71306,#71307,#33759,.T.); #90476=EDGE_CURVE('',#71307,#71305,#33760,.T.); #90477=EDGE_CURVE('',#71308,#71306,#33761,.T.); #90478=EDGE_CURVE('',#71308,#71309,#33762,.T.); #90479=EDGE_CURVE('',#71309,#71307,#33763,.T.); #90480=EDGE_CURVE('',#71310,#71308,#33764,.T.); #90481=EDGE_CURVE('',#71310,#71311,#33765,.T.); #90482=EDGE_CURVE('',#71311,#71309,#33766,.T.); #90483=EDGE_CURVE('',#71312,#71310,#33767,.T.); #90484=EDGE_CURVE('',#71312,#71313,#33768,.T.); #90485=EDGE_CURVE('',#71313,#71311,#33769,.T.); #90486=EDGE_CURVE('',#71314,#71312,#33770,.T.); #90487=EDGE_CURVE('',#71314,#71315,#33771,.T.); #90488=EDGE_CURVE('',#71315,#71313,#33772,.T.); #90489=EDGE_CURVE('',#71316,#71314,#33773,.T.); #90490=EDGE_CURVE('',#71316,#71317,#33774,.T.); #90491=EDGE_CURVE('',#71317,#71315,#33775,.T.); #90492=EDGE_CURVE('',#71318,#71316,#33776,.T.); #90493=EDGE_CURVE('',#71318,#71319,#33777,.T.); #90494=EDGE_CURVE('',#71319,#71317,#33778,.T.); #90495=EDGE_CURVE('',#71320,#71318,#33779,.T.); #90496=EDGE_CURVE('',#71320,#71321,#33780,.T.); #90497=EDGE_CURVE('',#71321,#71319,#33781,.T.); #90498=EDGE_CURVE('',#71322,#71320,#33782,.T.); #90499=EDGE_CURVE('',#71322,#71323,#33783,.T.); #90500=EDGE_CURVE('',#71323,#71321,#33784,.T.); #90501=EDGE_CURVE('',#71324,#71322,#33785,.T.); #90502=EDGE_CURVE('',#71324,#71325,#33786,.T.); #90503=EDGE_CURVE('',#71325,#71323,#33787,.T.); #90504=EDGE_CURVE('',#71326,#71324,#33788,.T.); #90505=EDGE_CURVE('',#71326,#71327,#33789,.T.); #90506=EDGE_CURVE('',#71327,#71325,#33790,.T.); #90507=EDGE_CURVE('',#71328,#71326,#33791,.T.); #90508=EDGE_CURVE('',#71328,#71329,#33792,.T.); #90509=EDGE_CURVE('',#71329,#71327,#33793,.T.); #90510=EDGE_CURVE('',#71330,#71328,#33794,.T.); #90511=EDGE_CURVE('',#71330,#71331,#33795,.T.); #90512=EDGE_CURVE('',#71331,#71329,#33796,.T.); #90513=EDGE_CURVE('',#71332,#71330,#33797,.T.); #90514=EDGE_CURVE('',#71332,#71333,#33798,.T.); #90515=EDGE_CURVE('',#71333,#71331,#33799,.T.); #90516=EDGE_CURVE('',#71334,#71332,#33800,.T.); #90517=EDGE_CURVE('',#71334,#71335,#33801,.T.); #90518=EDGE_CURVE('',#71335,#71333,#33802,.T.); #90519=EDGE_CURVE('',#71336,#71334,#33803,.T.); #90520=EDGE_CURVE('',#71336,#71337,#33804,.T.); #90521=EDGE_CURVE('',#71337,#71335,#33805,.T.); #90522=EDGE_CURVE('',#71338,#71336,#33806,.T.); #90523=EDGE_CURVE('',#71338,#71339,#33807,.T.); #90524=EDGE_CURVE('',#71339,#71337,#33808,.T.); #90525=EDGE_CURVE('',#71340,#71338,#33809,.T.); #90526=EDGE_CURVE('',#71340,#71341,#33810,.T.); #90527=EDGE_CURVE('',#71341,#71339,#33811,.T.); #90528=EDGE_CURVE('',#71342,#71340,#33812,.T.); #90529=EDGE_CURVE('',#71342,#71343,#33813,.T.); #90530=EDGE_CURVE('',#71343,#71341,#33814,.T.); #90531=EDGE_CURVE('',#71344,#71342,#33815,.T.); #90532=EDGE_CURVE('',#71344,#71345,#33816,.T.); #90533=EDGE_CURVE('',#71345,#71343,#33817,.T.); #90534=EDGE_CURVE('',#71346,#71344,#33818,.T.); #90535=EDGE_CURVE('',#71346,#71347,#33819,.T.); #90536=EDGE_CURVE('',#71347,#71345,#33820,.T.); #90537=EDGE_CURVE('',#71348,#71346,#33821,.T.); #90538=EDGE_CURVE('',#71348,#71349,#33822,.T.); #90539=EDGE_CURVE('',#71349,#71347,#33823,.T.); #90540=EDGE_CURVE('',#71350,#71348,#33824,.T.); #90541=EDGE_CURVE('',#71350,#71351,#33825,.T.); #90542=EDGE_CURVE('',#71351,#71349,#33826,.T.); #90543=EDGE_CURVE('',#71352,#71350,#59905,.T.); #90544=EDGE_CURVE('',#71352,#71353,#33827,.T.); #90545=EDGE_CURVE('',#71353,#71351,#59906,.T.); #90546=EDGE_CURVE('',#71354,#71352,#33828,.T.); #90547=EDGE_CURVE('',#71354,#71355,#33829,.T.); #90548=EDGE_CURVE('',#71355,#71353,#33830,.T.); #90549=EDGE_CURVE('',#71356,#71354,#33831,.T.); #90550=EDGE_CURVE('',#71356,#71357,#33832,.T.); #90551=EDGE_CURVE('',#71357,#71355,#33833,.T.); #90552=EDGE_CURVE('',#71358,#71356,#33834,.T.); #90553=EDGE_CURVE('',#71358,#71359,#33835,.T.); #90554=EDGE_CURVE('',#71359,#71357,#33836,.T.); #90555=EDGE_CURVE('',#71360,#71358,#33837,.T.); #90556=EDGE_CURVE('',#71360,#71361,#33838,.T.); #90557=EDGE_CURVE('',#71361,#71359,#33839,.T.); #90558=EDGE_CURVE('',#71362,#71360,#59907,.T.); #90559=EDGE_CURVE('',#71362,#71363,#33840,.T.); #90560=EDGE_CURVE('',#71363,#71361,#59908,.T.); #90561=EDGE_CURVE('',#71364,#71362,#33841,.T.); #90562=EDGE_CURVE('',#71364,#71365,#33842,.T.); #90563=EDGE_CURVE('',#71365,#71363,#33843,.T.); #90564=EDGE_CURVE('',#71366,#71364,#33844,.T.); #90565=EDGE_CURVE('',#71366,#71367,#33845,.T.); #90566=EDGE_CURVE('',#71367,#71365,#33846,.T.); #90567=EDGE_CURVE('',#71368,#71366,#33847,.T.); #90568=EDGE_CURVE('',#71368,#71369,#33848,.T.); #90569=EDGE_CURVE('',#71369,#71367,#33849,.T.); #90570=EDGE_CURVE('',#71370,#71368,#33850,.T.); #90571=EDGE_CURVE('',#71370,#71371,#33851,.T.); #90572=EDGE_CURVE('',#71371,#71369,#33852,.T.); #90573=EDGE_CURVE('',#71372,#71370,#33853,.T.); #90574=EDGE_CURVE('',#71372,#71373,#33854,.T.); #90575=EDGE_CURVE('',#71373,#71371,#33855,.T.); #90576=EDGE_CURVE('',#71374,#71372,#33856,.T.); #90577=EDGE_CURVE('',#71374,#71375,#33857,.T.); #90578=EDGE_CURVE('',#71375,#71373,#33858,.T.); #90579=EDGE_CURVE('',#71376,#71374,#33859,.T.); #90580=EDGE_CURVE('',#71376,#71377,#33860,.T.); #90581=EDGE_CURVE('',#71377,#71375,#33861,.T.); #90582=EDGE_CURVE('',#71378,#71376,#33862,.T.); #90583=EDGE_CURVE('',#71378,#71379,#33863,.T.); #90584=EDGE_CURVE('',#71379,#71377,#33864,.T.); #90585=EDGE_CURVE('',#71380,#71378,#33865,.T.); #90586=EDGE_CURVE('',#71380,#71381,#33866,.T.); #90587=EDGE_CURVE('',#71381,#71379,#33867,.T.); #90588=EDGE_CURVE('',#71382,#71380,#33868,.T.); #90589=EDGE_CURVE('',#71382,#71383,#33869,.T.); #90590=EDGE_CURVE('',#71383,#71381,#33870,.T.); #90591=EDGE_CURVE('',#71384,#71382,#33871,.T.); #90592=EDGE_CURVE('',#71384,#71385,#33872,.T.); #90593=EDGE_CURVE('',#71385,#71383,#33873,.T.); #90594=EDGE_CURVE('',#71386,#71384,#33874,.T.); #90595=EDGE_CURVE('',#71386,#71387,#33875,.T.); #90596=EDGE_CURVE('',#71387,#71385,#33876,.T.); #90597=EDGE_CURVE('',#71388,#71386,#33877,.T.); #90598=EDGE_CURVE('',#71388,#71389,#33878,.T.); #90599=EDGE_CURVE('',#71389,#71387,#33879,.T.); #90600=EDGE_CURVE('',#71390,#71388,#33880,.T.); #90601=EDGE_CURVE('',#71390,#71391,#33881,.T.); #90602=EDGE_CURVE('',#71391,#71389,#33882,.T.); #90603=EDGE_CURVE('',#71392,#71390,#33883,.T.); #90604=EDGE_CURVE('',#71392,#71393,#33884,.T.); #90605=EDGE_CURVE('',#71393,#71391,#33885,.T.); #90606=EDGE_CURVE('',#71394,#71392,#33886,.T.); #90607=EDGE_CURVE('',#71394,#71395,#33887,.T.); #90608=EDGE_CURVE('',#71395,#71393,#33888,.T.); #90609=EDGE_CURVE('',#71396,#71394,#33889,.T.); #90610=EDGE_CURVE('',#71396,#71397,#33890,.T.); #90611=EDGE_CURVE('',#71397,#71395,#33891,.T.); #90612=EDGE_CURVE('',#71398,#71396,#33892,.T.); #90613=EDGE_CURVE('',#71398,#71399,#33893,.T.); #90614=EDGE_CURVE('',#71399,#71397,#33894,.T.); #90615=EDGE_CURVE('',#71400,#71398,#59909,.T.); #90616=EDGE_CURVE('',#71400,#71401,#33895,.T.); #90617=EDGE_CURVE('',#71401,#71399,#59910,.T.); #90618=EDGE_CURVE('',#71402,#71400,#33896,.T.); #90619=EDGE_CURVE('',#71402,#71403,#33897,.T.); #90620=EDGE_CURVE('',#71403,#71401,#33898,.T.); #90621=EDGE_CURVE('',#71404,#71402,#33899,.T.); #90622=EDGE_CURVE('',#71404,#71405,#33900,.T.); #90623=EDGE_CURVE('',#71405,#71403,#33901,.T.); #90624=EDGE_CURVE('',#71406,#71404,#33902,.T.); #90625=EDGE_CURVE('',#71406,#71407,#33903,.T.); #90626=EDGE_CURVE('',#71407,#71405,#33904,.T.); #90627=EDGE_CURVE('',#71408,#71406,#33905,.T.); #90628=EDGE_CURVE('',#71408,#71409,#33906,.T.); #90629=EDGE_CURVE('',#71409,#71407,#33907,.T.); #90630=EDGE_CURVE('',#71410,#71408,#33908,.T.); #90631=EDGE_CURVE('',#71410,#71411,#33909,.T.); #90632=EDGE_CURVE('',#71411,#71409,#33910,.T.); #90633=EDGE_CURVE('',#71412,#71410,#33911,.T.); #90634=EDGE_CURVE('',#71412,#71413,#33912,.T.); #90635=EDGE_CURVE('',#71413,#71411,#33913,.T.); #90636=EDGE_CURVE('',#71414,#71412,#59911,.T.); #90637=EDGE_CURVE('',#71414,#71415,#33914,.T.); #90638=EDGE_CURVE('',#71415,#71413,#59912,.T.); #90639=EDGE_CURVE('',#71416,#71414,#59913,.T.); #90640=EDGE_CURVE('',#71416,#71417,#33915,.T.); #90641=EDGE_CURVE('',#71417,#71415,#59914,.T.); #90642=EDGE_CURVE('',#71418,#71416,#33916,.T.); #90643=EDGE_CURVE('',#71418,#71419,#33917,.T.); #90644=EDGE_CURVE('',#71419,#71417,#33918,.T.); #90645=EDGE_CURVE('',#71420,#71418,#59915,.T.); #90646=EDGE_CURVE('',#71420,#71421,#33919,.T.); #90647=EDGE_CURVE('',#71421,#71419,#59916,.T.); #90648=EDGE_CURVE('',#71422,#71420,#33920,.T.); #90649=EDGE_CURVE('',#71422,#71423,#33921,.T.); #90650=EDGE_CURVE('',#71423,#71421,#33922,.T.); #90651=EDGE_CURVE('',#71424,#71422,#33923,.T.); #90652=EDGE_CURVE('',#71424,#71425,#33924,.T.); #90653=EDGE_CURVE('',#71425,#71423,#33925,.T.); #90654=EDGE_CURVE('',#71426,#71424,#59917,.T.); #90655=EDGE_CURVE('',#71426,#71427,#33926,.T.); #90656=EDGE_CURVE('',#71427,#71425,#59918,.T.); #90657=EDGE_CURVE('',#71428,#71426,#33927,.T.); #90658=EDGE_CURVE('',#71428,#71429,#33928,.T.); #90659=EDGE_CURVE('',#71429,#71427,#33929,.T.); #90660=EDGE_CURVE('',#71430,#71428,#33930,.T.); #90661=EDGE_CURVE('',#71430,#71431,#33931,.T.); #90662=EDGE_CURVE('',#71431,#71429,#33932,.T.); #90663=EDGE_CURVE('',#71432,#71430,#33933,.T.); #90664=EDGE_CURVE('',#71432,#71433,#33934,.T.); #90665=EDGE_CURVE('',#71433,#71431,#33935,.T.); #90666=EDGE_CURVE('',#71434,#71432,#33936,.T.); #90667=EDGE_CURVE('',#71434,#71435,#33937,.T.); #90668=EDGE_CURVE('',#71435,#71433,#33938,.T.); #90669=EDGE_CURVE('',#71436,#71434,#33939,.T.); #90670=EDGE_CURVE('',#71436,#71437,#33940,.T.); #90671=EDGE_CURVE('',#71437,#71435,#33941,.T.); #90672=EDGE_CURVE('',#71438,#71436,#59919,.T.); #90673=EDGE_CURVE('',#71438,#71439,#33942,.T.); #90674=EDGE_CURVE('',#71439,#71437,#59920,.T.); #90675=EDGE_CURVE('',#71440,#71438,#33943,.T.); #90676=EDGE_CURVE('',#71440,#71441,#33944,.T.); #90677=EDGE_CURVE('',#71441,#71439,#33945,.T.); #90678=EDGE_CURVE('',#71442,#71440,#33946,.T.); #90679=EDGE_CURVE('',#71442,#71443,#33947,.T.); #90680=EDGE_CURVE('',#71443,#71441,#33948,.T.); #90681=EDGE_CURVE('',#71444,#71442,#33949,.T.); #90682=EDGE_CURVE('',#71444,#71445,#33950,.T.); #90683=EDGE_CURVE('',#71445,#71443,#33951,.T.); #90684=EDGE_CURVE('',#71215,#71444,#33952,.T.); #90685=EDGE_CURVE('',#71217,#71445,#33953,.T.); #90686=EDGE_CURVE('',#71446,#71447,#33954,.T.); #90687=EDGE_CURVE('',#71448,#71446,#33955,.T.); #90688=EDGE_CURVE('',#71449,#71448,#33956,.T.); #90689=EDGE_CURVE('',#71450,#71449,#33957,.T.); #90690=EDGE_CURVE('',#71450,#71451,#33958,.T.); #90691=EDGE_CURVE('',#71451,#71452,#59921,.T.); #90692=EDGE_CURVE('',#71452,#71453,#33959,.T.); #90693=EDGE_CURVE('',#71453,#71454,#59922,.T.); #90694=EDGE_CURVE('',#71454,#71455,#33960,.T.); #90695=EDGE_CURVE('',#71456,#71455,#33961,.T.); #90696=EDGE_CURVE('',#71456,#71457,#33962,.T.); #90697=EDGE_CURVE('',#71457,#71458,#59923,.T.); #90698=EDGE_CURVE('',#71458,#71459,#33963,.T.); #90699=EDGE_CURVE('',#71459,#71460,#59924,.T.); #90700=EDGE_CURVE('',#71460,#71461,#33964,.T.); #90701=EDGE_CURVE('',#71462,#71461,#33965,.T.); #90702=EDGE_CURVE('',#71462,#71463,#33966,.T.); #90703=EDGE_CURVE('',#71463,#71464,#59925,.T.); #90704=EDGE_CURVE('',#71464,#71465,#33967,.T.); #90705=EDGE_CURVE('',#71465,#71466,#59926,.T.); #90706=EDGE_CURVE('',#71466,#71467,#33968,.T.); #90707=EDGE_CURVE('',#71468,#71467,#33969,.T.); #90708=EDGE_CURVE('',#71468,#71469,#33970,.T.); #90709=EDGE_CURVE('',#71469,#71470,#59927,.T.); #90710=EDGE_CURVE('',#71470,#71471,#33971,.T.); #90711=EDGE_CURVE('',#71471,#71472,#33972,.T.); #90712=EDGE_CURVE('',#71472,#71473,#33973,.T.); #90713=EDGE_CURVE('',#71473,#71474,#59928,.T.); #90714=EDGE_CURVE('',#71474,#71475,#33974,.T.); #90715=EDGE_CURVE('',#71476,#71475,#33975,.T.); #90716=EDGE_CURVE('',#71476,#71477,#33976,.T.); #90717=EDGE_CURVE('',#71477,#71478,#59929,.T.); #90718=EDGE_CURVE('',#71478,#71479,#33977,.T.); #90719=EDGE_CURVE('',#71479,#71480,#59930,.T.); #90720=EDGE_CURVE('',#71480,#71481,#33978,.T.); #90721=EDGE_CURVE('',#71482,#71481,#33979,.T.); #90722=EDGE_CURVE('',#71482,#71483,#33980,.T.); #90723=EDGE_CURVE('',#71483,#71484,#59931,.T.); #90724=EDGE_CURVE('',#71484,#71485,#33981,.T.); #90725=EDGE_CURVE('',#71485,#71486,#59932,.T.); #90726=EDGE_CURVE('',#71486,#71487,#33982,.T.); #90727=EDGE_CURVE('',#71488,#71487,#33983,.T.); #90728=EDGE_CURVE('',#71488,#71489,#33984,.T.); #90729=EDGE_CURVE('',#71489,#71490,#59933,.T.); #90730=EDGE_CURVE('',#71490,#71491,#33985,.T.); #90731=EDGE_CURVE('',#71491,#71492,#59934,.T.); #90732=EDGE_CURVE('',#71492,#71493,#33986,.T.); #90733=EDGE_CURVE('',#71447,#71493,#33987,.T.); #90734=EDGE_CURVE('',#71494,#71494,#59935,.T.); #90735=EDGE_CURVE('',#71495,#71495,#59936,.T.); #90736=EDGE_CURVE('',#71496,#71496,#59937,.T.); #90737=EDGE_CURVE('',#71497,#71497,#59938,.T.); #90738=EDGE_CURVE('',#71498,#71499,#59939,.T.); #90739=EDGE_CURVE('',#71498,#71500,#33988,.T.); #90740=EDGE_CURVE('',#71500,#71501,#59940,.T.); #90741=EDGE_CURVE('',#71501,#71499,#33989,.T.); #90742=EDGE_CURVE('',#71498,#71502,#33990,.T.); #90743=EDGE_CURVE('',#71503,#71502,#33991,.T.); #90744=EDGE_CURVE('',#71500,#71503,#33992,.T.); #90745=EDGE_CURVE('',#71504,#71505,#59941,.T.); #90746=EDGE_CURVE('',#71504,#71506,#33993,.T.); #90747=EDGE_CURVE('',#71506,#71507,#59942,.T.); #90748=EDGE_CURVE('',#71507,#71505,#33994,.T.); #90749=EDGE_CURVE('',#71504,#71499,#59943,.T.); #90750=EDGE_CURVE('',#71508,#71505,#33995,.T.); #90751=EDGE_CURVE('',#71509,#71508,#59944,.T.); #90752=EDGE_CURVE('',#71510,#71509,#33996,.T.); #90753=EDGE_CURVE('',#71511,#71510,#59945,.T.); #90754=EDGE_CURVE('',#71511,#71512,#33997,.T.); #90755=EDGE_CURVE('',#71513,#71512,#59946,.T.); #90756=EDGE_CURVE('',#71514,#71513,#33998,.T.); #90757=EDGE_CURVE('',#71502,#71514,#59947,.T.); #90758=EDGE_CURVE('',#71515,#71515,#59948,.T.); #90759=EDGE_CURVE('',#71516,#71516,#59949,.T.); #90760=EDGE_CURVE('',#71517,#71517,#59950,.T.); #90761=EDGE_CURVE('',#71518,#71507,#33999,.T.); #90762=EDGE_CURVE('',#71518,#71508,#34000,.T.); #90763=EDGE_CURVE('',#71519,#71520,#59951,.T.); #90764=EDGE_CURVE('',#71521,#71519,#34001,.T.); #90765=EDGE_CURVE('',#71522,#71521,#59952,.T.); #90766=EDGE_CURVE('',#71520,#71522,#34002,.T.); #90767=EDGE_CURVE('',#71523,#71521,#34003,.T.); #90768=EDGE_CURVE('',#71448,#71523,#34004,.T.); #90769=EDGE_CURVE('',#71524,#71446,#34005,.T.); #90770=EDGE_CURVE('',#71522,#71524,#34006,.T.); #90771=EDGE_CURVE('',#71525,#71526,#59953,.T.); #90772=EDGE_CURVE('',#71525,#71527,#34007,.T.); #90773=EDGE_CURVE('',#71527,#71528,#59954,.T.); #90774=EDGE_CURVE('',#71528,#71526,#34008,.T.); #90775=EDGE_CURVE('',#71529,#71526,#34009,.T.); #90776=EDGE_CURVE('',#71529,#71530,#59955,.T.); #90777=EDGE_CURVE('',#71531,#71530,#34010,.T.); #90778=EDGE_CURVE('',#71531,#71532,#59956,.T.); #90779=EDGE_CURVE('',#71533,#71532,#34011,.T.); #90780=EDGE_CURVE('',#71533,#71534,#59957,.T.); #90781=EDGE_CURVE('',#71525,#71534,#34012,.T.); #90782=EDGE_CURVE('',#71535,#71528,#34013,.T.); #90783=EDGE_CURVE('',#71529,#71535,#34014,.T.); #90784=EDGE_CURVE('',#71535,#71536,#59958,.T.); #90785=EDGE_CURVE('',#71537,#71536,#34015,.F.); #90786=EDGE_CURVE('',#71537,#71538,#59959,.T.); #90787=EDGE_CURVE('',#71538,#71530,#34016,.T.); #90788=EDGE_CURVE('',#71531,#71539,#34017,.T.); #90789=EDGE_CURVE('',#71539,#71540,#59960,.T.); #90790=EDGE_CURVE('',#71540,#71532,#34018,.T.); #90791=EDGE_CURVE('',#71541,#71540,#34019,.T.); #90792=EDGE_CURVE('',#71533,#71541,#34020,.T.); #90793=EDGE_CURVE('',#71542,#71541,#59961,.F.); #90794=EDGE_CURVE('',#71542,#71543,#34021,.T.); #90795=EDGE_CURVE('',#71544,#71543,#59962,.F.); #90796=EDGE_CURVE('',#71544,#71534,#34022,.T.); #90797=EDGE_CURVE('',#71527,#71520,#34023,.T.); #90798=EDGE_CURVE('',#71544,#71545,#34024,.T.); #90799=EDGE_CURVE('',#71546,#71545,#34025,.T.); #90800=EDGE_CURVE('',#71519,#71546,#34026,.T.); #90801=EDGE_CURVE('',#71547,#71538,#34027,.T.); #90802=EDGE_CURVE('',#71548,#71547,#34028,.T.); #90803=EDGE_CURVE('',#71539,#71548,#34029,.T.); #90804=EDGE_CURVE('',#71549,#71537,#34030,.T.); #90805=EDGE_CURVE('',#71549,#71550,#59963,.T.); #90806=EDGE_CURVE('',#71551,#71550,#34031,.T.); #90807=EDGE_CURVE('',#71552,#71551,#59964,.T.); #90808=EDGE_CURVE('',#71552,#71501,#59965,.T.); #90809=EDGE_CURVE('',#71503,#71553,#59966,.T.); #90810=EDGE_CURVE('',#71553,#71547,#34032,.T.); #90811=EDGE_CURVE('',#71543,#71554,#59967,.T.); #90812=EDGE_CURVE('',#71554,#71555,#59968,.T.); #90813=EDGE_CURVE('',#71555,#71556,#59969,.T.); #90814=EDGE_CURVE('',#71556,#71557,#34033,.T.); #90815=EDGE_CURVE('',#71557,#71558,#59970,.T.); #90816=EDGE_CURVE('',#71558,#71559,#34034,.T.); #90817=EDGE_CURVE('',#71559,#71518,#59971,.T.); #90818=EDGE_CURVE('',#71506,#71560,#59972,.T.); #90819=EDGE_CURVE('',#71561,#71560,#59973,.T.); #90820=EDGE_CURVE('',#71562,#71561,#34035,.T.); #90821=EDGE_CURVE('',#71562,#71563,#59974,.T.); #90822=EDGE_CURVE('',#71564,#71563,#34036,.T.); #90823=EDGE_CURVE('',#71564,#71565,#59975,.T.); #90824=EDGE_CURVE('',#71566,#71565,#34037,.T.); #90825=EDGE_CURVE('',#71567,#71566,#59976,.T.); #90826=EDGE_CURVE('',#71568,#71567,#59977,.T.); #90827=EDGE_CURVE('',#71545,#71568,#59978,.T.); #90828=EDGE_CURVE('',#71542,#71569,#59979,.T.); #90829=EDGE_CURVE('',#71554,#71569,#34038,.T.); #90830=EDGE_CURVE('',#71570,#71548,#34039,.T.); #90831=EDGE_CURVE('',#71570,#71571,#59980,.T.); #90832=EDGE_CURVE('',#71572,#71571,#34040,.T.); #90833=EDGE_CURVE('',#71572,#71573,#59981,.T.); #90834=EDGE_CURVE('',#71574,#71573,#34041,.T.); #90835=EDGE_CURVE('',#71574,#71575,#59982,.T.); #90836=EDGE_CURVE('',#71575,#71576,#34042,.T.); #90837=EDGE_CURVE('',#71577,#71576,#59983,.F.); #90838=EDGE_CURVE('',#71577,#71578,#34043,.T.); #90839=EDGE_CURVE('',#71578,#71579,#59984,.T.); #90840=EDGE_CURVE('',#71580,#71579,#34044,.T.); #90841=EDGE_CURVE('',#71581,#71580,#59985,.T.); #90842=EDGE_CURVE('',#71582,#71581,#34045,.T.); #90843=EDGE_CURVE('',#71583,#71582,#59986,.T.); #90844=EDGE_CURVE('',#71569,#71583,#59987,.T.); #90845=EDGE_CURVE('',#71584,#71584,#59988,.T.); #90846=EDGE_CURVE('',#71574,#71585,#34046,.T.); #90847=EDGE_CURVE('',#71585,#71586,#59989,.T.); #90848=EDGE_CURVE('',#71586,#71575,#34047,.T.); #90849=EDGE_CURVE('',#71587,#71586,#34048,.T.); #90850=EDGE_CURVE('',#71576,#71587,#34049,.T.); #90851=EDGE_CURVE('',#71587,#71588,#59990,.T.); #90852=EDGE_CURVE('',#71588,#71577,#34050,.T.); #90853=EDGE_CURVE('',#71578,#71589,#34051,.T.); #90854=EDGE_CURVE('',#71589,#71590,#59991,.T.); #90855=EDGE_CURVE('',#71590,#71579,#34052,.T.); #90856=EDGE_CURVE('',#71510,#71573,#34053,.T.); #90857=EDGE_CURVE('',#71559,#71509,#34054,.T.); #90858=EDGE_CURVE('',#71558,#71580,#34055,.T.); #90859=EDGE_CURVE('',#71590,#71585,#34056,.T.); #90860=EDGE_CURVE('',#71589,#71588,#34057,.T.); #90861=EDGE_CURVE('',#71591,#71591,#59992,.T.); #90862=EDGE_CURVE('',#71592,#71592,#59993,.T.); #90863=EDGE_CURVE('',#71593,#71593,#59994,.T.); #90864=EDGE_CURVE('',#71570,#71513,#34058,.T.); #90865=EDGE_CURVE('',#71512,#71571,#34059,.T.); #90866=EDGE_CURVE('',#71572,#71511,#34060,.T.); #90867=EDGE_CURVE('',#71553,#71514,#34061,.T.); #90868=EDGE_CURVE('',#71557,#71581,#34062,.T.); #90869=EDGE_CURVE('',#71556,#71582,#34063,.T.); #90870=EDGE_CURVE('',#71555,#71583,#34064,.T.); #90871=EDGE_CURVE('',#71594,#71536,#34065,.T.); #90872=EDGE_CURVE('',#71549,#71594,#34066,.T.); #90873=EDGE_CURVE('',#71594,#71595,#59995,.T.); #90874=EDGE_CURVE('',#71595,#71550,#34067,.T.); #90875=EDGE_CURVE('',#71596,#71595,#34068,.T.); #90876=EDGE_CURVE('',#71596,#71551,#34069,.T.); #90877=EDGE_CURVE('',#71562,#71597,#34070,.T.); #90878=EDGE_CURVE('',#71597,#71598,#59996,.T.); #90879=EDGE_CURVE('',#71598,#71563,#34071,.T.); #90880=EDGE_CURVE('',#71599,#71598,#34072,.T.); #90881=EDGE_CURVE('',#71564,#71599,#34073,.T.); #90882=EDGE_CURVE('',#71599,#71600,#59997,.T.); #90883=EDGE_CURVE('',#71600,#71565,#34074,.T.); #90884=EDGE_CURVE('',#71601,#71600,#34075,.T.); #90885=EDGE_CURVE('',#71601,#71566,#34076,.T.); #90886=EDGE_CURVE('',#71602,#71552,#34077,.T.); #90887=EDGE_CURVE('',#71603,#71602,#59998,.T.); #90888=EDGE_CURVE('',#71603,#71560,#34078,.T.); #90889=EDGE_CURVE('',#71604,#71603,#59999,.T.); #90890=EDGE_CURVE('',#71604,#71561,#34079,.T.); #90891=EDGE_CURVE('',#71597,#71604,#34080,.T.); #90892=EDGE_CURVE('',#71605,#71601,#60000,.T.); #90893=EDGE_CURVE('',#71605,#71567,#34081,.T.); #90894=EDGE_CURVE('',#71606,#71605,#60001,.T.); #90895=EDGE_CURVE('',#71606,#71568,#34082,.T.); #90896=EDGE_CURVE('',#71546,#71606,#60002,.T.); #90897=EDGE_CURVE('',#71602,#71596,#60003,.T.); #90898=EDGE_CURVE('',#71607,#71607,#60004,.F.); #90899=EDGE_CURVE('',#71607,#71608,#34083,.T.); #90900=EDGE_CURVE('',#71608,#71608,#60005,.T.); #90901=EDGE_CURVE('',#71609,#71609,#60006,.F.); #90902=EDGE_CURVE('',#71609,#71610,#34084,.T.); #90903=EDGE_CURVE('',#71610,#71610,#60007,.T.); #90904=EDGE_CURVE('',#71497,#71611,#34085,.T.); #90905=EDGE_CURVE('',#71611,#71611,#60008,.T.); #90906=EDGE_CURVE('',#71611,#71591,#34086,.T.); #90907=EDGE_CURVE('',#71494,#71612,#34087,.T.); #90908=EDGE_CURVE('',#71612,#71612,#60009,.T.); #90909=EDGE_CURVE('',#71612,#71584,#34088,.T.); #90910=EDGE_CURVE('',#71496,#71613,#34089,.T.); #90911=EDGE_CURVE('',#71613,#71613,#60010,.T.); #90912=EDGE_CURVE('',#71613,#71593,#34090,.T.); #90913=EDGE_CURVE('',#71495,#71614,#34091,.T.); #90914=EDGE_CURVE('',#71614,#71614,#60011,.T.); #90915=EDGE_CURVE('',#71614,#71592,#34092,.T.); #90916=EDGE_CURVE('',#71615,#71615,#60012,.T.); #90917=EDGE_CURVE('',#71615,#71616,#34093,.T.); #90918=EDGE_CURVE('',#71616,#71616,#60013,.T.); #90919=EDGE_CURVE('',#71617,#71618,#34094,.T.); #90920=EDGE_CURVE('',#71618,#71619,#34095,.T.); #90921=EDGE_CURVE('',#71619,#71620,#34096,.T.); #90922=EDGE_CURVE('',#71620,#71617,#34097,.T.); #90923=EDGE_CURVE('',#71621,#71621,#60014,.T.); #90924=EDGE_CURVE('',#71622,#71622,#60015,.T.); #90925=EDGE_CURVE('',#71616,#71517,#34098,.T.); #90926=EDGE_CURVE('',#71621,#71623,#34099,.T.); #90927=EDGE_CURVE('',#71623,#71623,#60016,.T.); #90928=EDGE_CURVE('',#71623,#71515,#34100,.T.); #90929=EDGE_CURVE('',#71622,#71624,#34101,.T.); #90930=EDGE_CURVE('',#71624,#71624,#60017,.T.); #90931=EDGE_CURVE('',#71624,#71516,#34102,.T.); #90932=EDGE_CURVE('',#71447,#71625,#34103,.T.); #90933=EDGE_CURVE('',#71625,#71524,#34104,.T.); #90934=EDGE_CURVE('',#71493,#71626,#34105,.T.); #90935=EDGE_CURVE('',#71625,#71626,#34106,.T.); #90936=EDGE_CURVE('',#71627,#71628,#34107,.T.); #90937=EDGE_CURVE('',#71628,#71629,#34108,.T.); #90938=EDGE_CURVE('',#71629,#71630,#34109,.T.); #90939=EDGE_CURVE('',#71630,#71627,#34110,.T.); #90940=EDGE_CURVE('',#71631,#71630,#34111,.T.); #90941=EDGE_CURVE('',#71631,#71473,#34112,.T.); #90942=EDGE_CURVE('',#71620,#71472,#34113,.T.); #90943=EDGE_CURVE('',#71632,#71619,#34114,.T.); #90944=EDGE_CURVE('',#71627,#71632,#34115,.T.); #90945=EDGE_CURVE('',#71626,#71633,#34116,.T.); #90946=EDGE_CURVE('',#71633,#71634,#60018,.T.); #90947=EDGE_CURVE('',#71634,#71635,#34117,.T.); #90948=EDGE_CURVE('',#71635,#71636,#60019,.T.); #90949=EDGE_CURVE('',#71636,#71637,#34118,.T.); #90950=EDGE_CURVE('',#71637,#71638,#34119,.T.); #90951=EDGE_CURVE('',#71638,#71639,#34120,.T.); #90952=EDGE_CURVE('',#71639,#71640,#60020,.T.); #90953=EDGE_CURVE('',#71640,#71641,#34121,.T.); #90954=EDGE_CURVE('',#71641,#71642,#60021,.T.); #90955=EDGE_CURVE('',#71642,#71643,#34122,.T.); #90956=EDGE_CURVE('',#71643,#71644,#34123,.T.); #90957=EDGE_CURVE('',#71644,#71645,#34124,.T.); #90958=EDGE_CURVE('',#71645,#71646,#60022,.T.); #90959=EDGE_CURVE('',#71646,#71647,#34125,.T.); #90960=EDGE_CURVE('',#71647,#71648,#60023,.T.); #90961=EDGE_CURVE('',#71648,#71649,#34126,.T.); #90962=EDGE_CURVE('',#71649,#71650,#34127,.T.); #90963=EDGE_CURVE('',#71650,#71651,#34128,.T.); #90964=EDGE_CURVE('',#71651,#71631,#60024,.T.); #90965=EDGE_CURVE('',#71629,#71652,#34129,.T.); #90966=EDGE_CURVE('',#71653,#71652,#34130,.T.); #90967=EDGE_CURVE('',#71653,#71654,#34131,.T.); #90968=EDGE_CURVE('',#71654,#71655,#60025,.T.); #90969=EDGE_CURVE('',#71655,#71656,#34132,.T.); #90970=EDGE_CURVE('',#71656,#71657,#34133,.T.); #90971=EDGE_CURVE('',#71657,#71658,#34134,.T.); #90972=EDGE_CURVE('',#71658,#71659,#60026,.T.); #90973=EDGE_CURVE('',#71659,#71660,#34135,.T.); #90974=EDGE_CURVE('',#71660,#71661,#60027,.T.); #90975=EDGE_CURVE('',#71661,#71662,#34136,.T.); #90976=EDGE_CURVE('',#71662,#71663,#34137,.T.); #90977=EDGE_CURVE('',#71663,#71664,#34138,.T.); #90978=EDGE_CURVE('',#71664,#71665,#60028,.T.); #90979=EDGE_CURVE('',#71665,#71666,#34139,.T.); #90980=EDGE_CURVE('',#71666,#71667,#60029,.T.); #90981=EDGE_CURVE('',#71667,#71668,#34140,.T.); #90982=EDGE_CURVE('',#71668,#71669,#34141,.T.); #90983=EDGE_CURVE('',#71669,#71670,#34142,.T.); #90984=EDGE_CURVE('',#71670,#71671,#60030,.T.); #90985=EDGE_CURVE('',#71671,#71672,#34143,.T.); #90986=EDGE_CURVE('',#71672,#71673,#60031,.T.); #90987=EDGE_CURVE('',#71673,#71674,#34144,.T.); #90988=EDGE_CURVE('',#71674,#71675,#34145,.T.); #90989=EDGE_CURVE('',#71523,#71675,#34146,.T.); #90990=EDGE_CURVE('',#71652,#71676,#34147,.T.); #90991=EDGE_CURVE('',#71676,#71677,#34148,.T.); #90992=EDGE_CURVE('',#71677,#71653,#34149,.T.); #90993=EDGE_CURVE('',#71678,#71677,#34150,.T.); #90994=EDGE_CURVE('',#71618,#71678,#34151,.T.); #90995=EDGE_CURVE('',#71471,#71617,#34152,.T.); #90996=EDGE_CURVE('',#71654,#71470,#34153,.T.); #90997=EDGE_CURVE('',#71675,#71449,#34154,.T.); #90998=EDGE_CURVE('',#71674,#71450,#34155,.T.); #90999=EDGE_CURVE('',#71655,#71469,#34156,.T.); #91000=EDGE_CURVE('',#71656,#71468,#34157,.T.); #91001=EDGE_CURVE('',#71665,#71459,#34158,.T.); #91002=EDGE_CURVE('',#71664,#71460,#34159,.T.); #91003=EDGE_CURVE('',#71461,#71663,#34160,.T.); #91004=EDGE_CURVE('',#71668,#71456,#34161,.T.); #91005=EDGE_CURVE('',#71667,#71457,#34162,.T.); #91006=EDGE_CURVE('',#71666,#71458,#34163,.T.); #91007=EDGE_CURVE('',#71651,#71474,#34164,.T.); #91008=EDGE_CURVE('',#71475,#71650,#34165,.T.); #91009=EDGE_CURVE('',#71634,#71491,#34166,.T.); #91010=EDGE_CURVE('',#71633,#71492,#34167,.T.); #91011=EDGE_CURVE('',#71636,#71489,#34168,.T.); #91012=EDGE_CURVE('',#71635,#71490,#34169,.T.); #91013=EDGE_CURVE('',#71637,#71488,#34170,.T.); #91014=EDGE_CURVE('',#71671,#71453,#34171,.T.); #91015=EDGE_CURVE('',#71670,#71454,#34172,.T.); #91016=EDGE_CURVE('',#71455,#71669,#34173,.T.); #91017=EDGE_CURVE('',#71673,#71451,#34174,.T.); #91018=EDGE_CURVE('',#71672,#71452,#34175,.T.); #91019=EDGE_CURVE('',#71659,#71465,#34176,.T.); #91020=EDGE_CURVE('',#71658,#71466,#34177,.T.); #91021=EDGE_CURVE('',#71467,#71657,#34178,.T.); #91022=EDGE_CURVE('',#71662,#71462,#34179,.T.); #91023=EDGE_CURVE('',#71661,#71463,#34180,.T.); #91024=EDGE_CURVE('',#71660,#71464,#34181,.T.); #91025=EDGE_CURVE('',#71641,#71484,#34182,.T.); #91026=EDGE_CURVE('',#71640,#71485,#34183,.T.); #91027=EDGE_CURVE('',#71639,#71486,#34184,.T.); #91028=EDGE_CURVE('',#71487,#71638,#34185,.T.); #91029=EDGE_CURVE('',#71643,#71482,#34186,.T.); #91030=EDGE_CURVE('',#71642,#71483,#34187,.T.); #91031=EDGE_CURVE('',#71647,#71478,#34188,.T.); #91032=EDGE_CURVE('',#71646,#71479,#34189,.T.); #91033=EDGE_CURVE('',#71645,#71480,#34190,.T.); #91034=EDGE_CURVE('',#71481,#71644,#34191,.T.); #91035=EDGE_CURVE('',#71649,#71476,#34192,.T.); #91036=EDGE_CURVE('',#71648,#71477,#34193,.T.); #91037=EDGE_CURVE('',#71678,#71632,#34194,.T.); #91038=EDGE_CURVE('',#71628,#71676,#34195,.T.); #91039=EDGE_CURVE('',#71679,#71679,#60032,.T.); #91040=EDGE_CURVE('',#71680,#71680,#60033,.T.); #91041=EDGE_CURVE('',#71680,#71679,#34196,.T.); #91042=EDGE_CURVE('',#71681,#71681,#60034,.T.); #91043=EDGE_CURVE('',#71681,#71680,#34197,.T.); #91044=EDGE_CURVE('',#71682,#71683,#34198,.T.); #91045=EDGE_CURVE('',#71682,#71684,#404,.T.); #91046=EDGE_CURVE('',#71685,#71684,#60035,.T.); #91047=EDGE_CURVE('',#71683,#71685,#380,.T.); #91048=EDGE_CURVE('',#71686,#71687,#34199,.T.); #91049=EDGE_CURVE('',#71686,#71682,#405,.T.); #91050=EDGE_CURVE('',#71687,#71683,#381,.T.); #91051=EDGE_CURVE('',#71688,#71689,#34200,.T.); #91052=EDGE_CURVE('',#71688,#71686,#406,.T.); #91053=EDGE_CURVE('',#71689,#71687,#382,.T.); #91054=EDGE_CURVE('',#71690,#71691,#34201,.T.); #91055=EDGE_CURVE('',#71690,#71688,#407,.T.); #91056=EDGE_CURVE('',#71691,#71689,#383,.T.); #91057=EDGE_CURVE('',#71692,#71693,#34202,.T.); #91058=EDGE_CURVE('',#71692,#71690,#408,.T.); #91059=EDGE_CURVE('',#71693,#71691,#384,.T.); #91060=EDGE_CURVE('',#71694,#71695,#34203,.T.); #91061=EDGE_CURVE('',#71694,#71692,#409,.T.); #91062=EDGE_CURVE('',#71695,#71693,#385,.T.); #91063=EDGE_CURVE('',#71696,#71697,#34204,.T.); #91064=EDGE_CURVE('',#71696,#71694,#410,.T.); #91065=EDGE_CURVE('',#71697,#71695,#386,.T.); #91066=EDGE_CURVE('',#71698,#71699,#34205,.T.); #91067=EDGE_CURVE('',#71698,#71696,#411,.T.); #91068=EDGE_CURVE('',#71699,#71697,#387,.T.); #91069=EDGE_CURVE('',#71700,#71701,#34206,.T.); #91070=EDGE_CURVE('',#71700,#71698,#412,.T.); #91071=EDGE_CURVE('',#71701,#71699,#388,.T.); #91072=EDGE_CURVE('',#71702,#71703,#34207,.T.); #91073=EDGE_CURVE('',#71702,#71700,#413,.T.); #91074=EDGE_CURVE('',#71703,#71701,#389,.T.); #91075=EDGE_CURVE('',#71704,#71705,#34208,.T.); #91076=EDGE_CURVE('',#71704,#71702,#414,.T.); #91077=EDGE_CURVE('',#71705,#71703,#390,.T.); #91078=EDGE_CURVE('',#71706,#71707,#34209,.T.); #91079=EDGE_CURVE('',#71706,#71704,#415,.T.); #91080=EDGE_CURVE('',#71707,#71705,#391,.T.); #91081=EDGE_CURVE('',#71708,#71709,#34210,.T.); #91082=EDGE_CURVE('',#71708,#71706,#416,.T.); #91083=EDGE_CURVE('',#71709,#71707,#392,.T.); #91084=EDGE_CURVE('',#71710,#71711,#34211,.T.); #91085=EDGE_CURVE('',#71710,#71708,#417,.T.); #91086=EDGE_CURVE('',#71711,#71709,#393,.T.); #91087=EDGE_CURVE('',#71712,#71713,#34212,.T.); #91088=EDGE_CURVE('',#71712,#71710,#418,.T.); #91089=EDGE_CURVE('',#71713,#71711,#394,.T.); #91090=EDGE_CURVE('',#71714,#71715,#34213,.T.); #91091=EDGE_CURVE('',#71714,#71712,#419,.T.); #91092=EDGE_CURVE('',#71715,#71713,#395,.T.); #91093=EDGE_CURVE('',#71716,#71717,#34214,.T.); #91094=EDGE_CURVE('',#71716,#71718,#60036,.T.); #91095=EDGE_CURVE('',#71718,#71685,#420,.T.); #91096=EDGE_CURVE('',#71684,#71717,#421,.T.); #91097=EDGE_CURVE('',#71719,#71720,#34215,.T.); #91098=EDGE_CURVE('',#71714,#71719,#422,.F.); #91099=EDGE_CURVE('',#71714,#71720,#60037,.T.); #91100=EDGE_CURVE('',#71721,#71722,#34216,.T.); #91101=EDGE_CURVE('',#71723,#71721,#423,.F.); #91102=EDGE_CURVE('',#71723,#71724,#60038,.T.); #91103=EDGE_CURVE('',#71724,#71722,#424,.T.); #91104=EDGE_CURVE('',#71725,#71726,#34217,.T.); #91105=EDGE_CURVE('',#71721,#71725,#425,.F.); #91106=EDGE_CURVE('',#71722,#71726,#426,.T.); #91107=EDGE_CURVE('',#71727,#71728,#34218,.T.); #91108=EDGE_CURVE('',#71725,#71727,#427,.F.); #91109=EDGE_CURVE('',#71726,#71728,#428,.T.); #91110=EDGE_CURVE('',#71729,#71730,#34219,.T.); #91111=EDGE_CURVE('',#71727,#71729,#429,.F.); #91112=EDGE_CURVE('',#71728,#71730,#430,.T.); #91113=EDGE_CURVE('',#71731,#71732,#34220,.T.); #91114=EDGE_CURVE('',#71729,#71731,#431,.F.); #91115=EDGE_CURVE('',#71730,#71732,#432,.T.); #91116=EDGE_CURVE('',#71733,#71734,#34221,.T.); #91117=EDGE_CURVE('',#71731,#71733,#433,.F.); #91118=EDGE_CURVE('',#71732,#71734,#434,.T.); #91119=EDGE_CURVE('',#71735,#71736,#34222,.T.); #91120=EDGE_CURVE('',#71733,#71735,#435,.F.); #91121=EDGE_CURVE('',#71734,#71736,#436,.T.); #91122=EDGE_CURVE('',#71737,#71738,#34223,.T.); #91123=EDGE_CURVE('',#71735,#71737,#437,.F.); #91124=EDGE_CURVE('',#71736,#71738,#438,.T.); #91125=EDGE_CURVE('',#71739,#71740,#34224,.T.); #91126=EDGE_CURVE('',#71737,#71739,#439,.F.); #91127=EDGE_CURVE('',#71738,#71740,#440,.T.); #91128=EDGE_CURVE('',#71741,#71742,#34225,.T.); #91129=EDGE_CURVE('',#71739,#71741,#441,.F.); #91130=EDGE_CURVE('',#71740,#71742,#442,.T.); #91131=EDGE_CURVE('',#71743,#71744,#34226,.T.); #91132=EDGE_CURVE('',#71741,#71743,#443,.F.); #91133=EDGE_CURVE('',#71742,#71744,#444,.T.); #91134=EDGE_CURVE('',#71745,#71746,#34227,.T.); #91135=EDGE_CURVE('',#71743,#71745,#445,.F.); #91136=EDGE_CURVE('',#71744,#71746,#446,.T.); #91137=EDGE_CURVE('',#71747,#71748,#34228,.T.); #91138=EDGE_CURVE('',#71745,#71747,#447,.F.); #91139=EDGE_CURVE('',#71746,#71748,#448,.T.); #91140=EDGE_CURVE('',#71749,#71750,#34229,.T.); #91141=EDGE_CURVE('',#71747,#71749,#449,.F.); #91142=EDGE_CURVE('',#71748,#71750,#450,.T.); #91143=EDGE_CURVE('',#71751,#71752,#34230,.T.); #91144=EDGE_CURVE('',#71749,#71751,#451,.F.); #91145=EDGE_CURVE('',#71750,#71752,#452,.T.); #91146=EDGE_CURVE('',#71753,#71754,#60039,.T.); #91147=EDGE_CURVE('',#71754,#71716,#34231,.T.); #91148=EDGE_CURVE('',#71755,#71716,#60040,.T.); #91149=EDGE_CURVE('',#71756,#71755,#453,.F.); #91150=EDGE_CURVE('',#71756,#71757,#34232,.T.); #91151=EDGE_CURVE('',#71757,#71718,#454,.T.); #91152=EDGE_CURVE('',#71754,#71753,#60041,.T.); #91153=EDGE_CURVE('',#71751,#71756,#455,.F.); #91154=EDGE_CURVE('',#71752,#71757,#456,.T.); #91155=EDGE_CURVE('',#71720,#71758,#60042,.T.); #91156=EDGE_CURVE('',#71724,#71758,#457,.F.); #91157=EDGE_CURVE('',#71719,#71723,#458,.F.); #91158=EDGE_CURVE('',#71717,#71755,#459,.T.); #91159=EDGE_CURVE('',#71753,#71759,#60043,.T.); #91160=EDGE_CURVE('',#71759,#71759,#60044,.T.); #91161=EDGE_CURVE('',#71760,#71761,#34233,.T.); #91162=EDGE_CURVE('',#71762,#71761,#60045,.T.); #91163=EDGE_CURVE('',#71763,#71762,#60046,.T.); #91164=EDGE_CURVE('',#71764,#71763,#60047,.T.); #91165=EDGE_CURVE('',#71764,#71765,#60048,.T.); #91166=EDGE_CURVE('',#71766,#71765,#60049,.T.); #91167=EDGE_CURVE('',#71761,#71766,#60050,.T.); #91168=EDGE_CURVE('',#71767,#71768,#396,.F.); #91169=EDGE_CURVE('',#71768,#71769,#460,.T.); #91170=EDGE_CURVE('',#71769,#71770,#397,.T.); #91171=EDGE_CURVE('',#71770,#71771,#461,.T.); #91172=EDGE_CURVE('',#71771,#71772,#398,.T.); #91173=EDGE_CURVE('',#71772,#71773,#462,.T.); #91174=EDGE_CURVE('',#71773,#71774,#399,.T.); #91175=EDGE_CURVE('',#71774,#71775,#34234,.T.); #91176=EDGE_CURVE('',#71775,#71775,#60051,.T.); #91177=EDGE_CURVE('',#71774,#71776,#400,.T.); #91178=EDGE_CURVE('',#71776,#71777,#463,.T.); #91179=EDGE_CURVE('',#71777,#71778,#401,.T.); #91180=EDGE_CURVE('',#71778,#71779,#464,.T.); #91181=EDGE_CURVE('',#71779,#71780,#402,.T.); #91182=EDGE_CURVE('',#71780,#71767,#465,.T.); #91183=EDGE_CURVE('',#71781,#71781,#60052,.T.); #91184=EDGE_CURVE('',#71781,#71782,#60053,.T.); #91185=EDGE_CURVE('',#71782,#71782,#60054,.T.); #91186=EDGE_CURVE('',#71783,#71783,#60055,.T.); #91187=EDGE_CURVE('',#71783,#71781,#34235,.T.); #91188=EDGE_CURVE('',#71784,#71784,#60056,.T.); #91189=EDGE_CURVE('',#71784,#71783,#60057,.T.); #91190=EDGE_CURVE('',#71785,#71785,#60058,.T.); #91191=EDGE_CURVE('',#71785,#71784,#34236,.T.); #91192=EDGE_CURVE('',#71786,#71787,#60059,.T.); #91193=EDGE_CURVE('',#71787,#71786,#60060,.T.); #91194=EDGE_CURVE('',#71787,#71785,#60061,.T.); #91195=EDGE_CURVE('',#71786,#71788,#34237,.T.); #91196=EDGE_CURVE('',#71789,#71788,#60062,.T.); #91197=EDGE_CURVE('',#71788,#71789,#60063,.T.); #91198=EDGE_CURVE('',#71790,#71790,#60064,.T.); #91199=EDGE_CURVE('',#71790,#71789,#60065,.T.); #91200=EDGE_CURVE('',#71764,#71791,#34238,.T.); #91201=EDGE_CURVE('',#71791,#71792,#60066,.T.); #91202=EDGE_CURVE('',#71792,#71765,#34239,.T.); #91203=EDGE_CURVE('',#71763,#71793,#34240,.T.); #91204=EDGE_CURVE('',#71793,#71794,#60067,.T.); #91205=EDGE_CURVE('',#71794,#71764,#34241,.T.); #91206=EDGE_CURVE('',#71762,#71795,#34242,.T.); #91207=EDGE_CURVE('',#71795,#71796,#60068,.T.); #91208=EDGE_CURVE('',#71796,#71763,#34243,.T.); #91209=EDGE_CURVE('',#71761,#71797,#34244,.T.); #91210=EDGE_CURVE('',#71797,#71798,#60069,.T.); #91211=EDGE_CURVE('',#71798,#71762,#34245,.T.); #91212=EDGE_CURVE('',#71766,#71799,#34246,.T.); #91213=EDGE_CURVE('',#71799,#71800,#60070,.T.); #91214=EDGE_CURVE('',#71800,#71761,#34247,.T.); #91215=EDGE_CURVE('',#71779,#71801,#34248,.T.); #91216=EDGE_CURVE('',#71765,#71801,#34249,.T.); #91217=EDGE_CURVE('',#71792,#71780,#34250,.T.); #91218=EDGE_CURVE('',#71767,#71791,#34251,.T.); #91219=EDGE_CURVE('',#71794,#71768,#34252,.T.); #91220=EDGE_CURVE('',#71769,#71793,#34253,.T.); #91221=EDGE_CURVE('',#71796,#71770,#34254,.T.); #91222=EDGE_CURVE('',#71771,#71795,#34255,.T.); #91223=EDGE_CURVE('',#71798,#71772,#34256,.T.); #91224=EDGE_CURVE('',#71800,#71776,#34257,.T.); #91225=EDGE_CURVE('',#71773,#71797,#34258,.T.); #91226=EDGE_CURVE('',#71777,#71799,#34259,.T.); #91227=EDGE_CURVE('',#71802,#71766,#34260,.T.); #91228=EDGE_CURVE('',#71802,#71778,#34261,.T.); #91229=EDGE_CURVE('',#71801,#71802,#60071,.T.); #91230=EDGE_CURVE('',#71758,#71714,#60072,.T.); #91231=EDGE_CURVE('',#71758,#71715,#403,.T.); #91232=EDGE_CURVE('',#71803,#71804,#60073,.T.); #91233=EDGE_CURVE('',#71803,#71805,#34262,.T.); #91234=EDGE_CURVE('',#71805,#71806,#60074,.T.); #91235=EDGE_CURVE('',#71804,#71806,#34263,.T.); #91236=EDGE_CURVE('',#71807,#71803,#60075,.T.); #91237=EDGE_CURVE('',#71807,#71808,#34264,.T.); #91238=EDGE_CURVE('',#71808,#71805,#60076,.T.); #91239=EDGE_CURVE('',#71809,#71807,#60077,.T.); #91240=EDGE_CURVE('',#71809,#71810,#34265,.T.); #91241=EDGE_CURVE('',#71810,#71808,#60078,.T.); #91242=EDGE_CURVE('',#71811,#71809,#60079,.T.); #91243=EDGE_CURVE('',#71811,#71812,#34266,.T.); #91244=EDGE_CURVE('',#71812,#71810,#60080,.T.); #91245=EDGE_CURVE('',#71813,#71811,#60081,.T.); #91246=EDGE_CURVE('',#71813,#71814,#34267,.T.); #91247=EDGE_CURVE('',#71814,#71812,#60082,.T.); #91248=EDGE_CURVE('',#71815,#71813,#60083,.T.); #91249=EDGE_CURVE('',#71815,#71816,#34268,.T.); #91250=EDGE_CURVE('',#71816,#71814,#60084,.T.); #91251=EDGE_CURVE('',#71817,#71815,#34269,.T.); #91252=EDGE_CURVE('',#71817,#71818,#34270,.T.); #91253=EDGE_CURVE('',#71818,#71816,#34271,.T.); #91254=EDGE_CURVE('',#71819,#71817,#60085,.T.); #91255=EDGE_CURVE('',#71819,#71820,#34272,.T.); #91256=EDGE_CURVE('',#71820,#71818,#60086,.T.); #91257=EDGE_CURVE('',#71821,#71819,#60087,.T.); #91258=EDGE_CURVE('',#71821,#71822,#34273,.T.); #91259=EDGE_CURVE('',#71822,#71820,#60088,.T.); #91260=EDGE_CURVE('',#71823,#71821,#60089,.T.); #91261=EDGE_CURVE('',#71823,#71824,#34274,.T.); #91262=EDGE_CURVE('',#71824,#71822,#60090,.T.); #91263=EDGE_CURVE('',#71825,#71823,#60091,.T.); #91264=EDGE_CURVE('',#71825,#71826,#34275,.T.); #91265=EDGE_CURVE('',#71826,#71824,#60092,.T.); #91266=EDGE_CURVE('',#71827,#71825,#60093,.T.); #91267=EDGE_CURVE('',#71827,#71828,#34276,.T.); #91268=EDGE_CURVE('',#71828,#71826,#60094,.T.); #91269=EDGE_CURVE('',#71829,#71827,#60095,.T.); #91270=EDGE_CURVE('',#71829,#71830,#34277,.T.); #91271=EDGE_CURVE('',#71830,#71828,#60096,.T.); #91272=EDGE_CURVE('',#71804,#71829,#34278,.T.); #91273=EDGE_CURVE('',#71806,#71830,#34279,.T.); #91274=EDGE_CURVE('',#71831,#71832,#60097,.T.); #91275=EDGE_CURVE('',#71831,#71833,#34280,.T.); #91276=EDGE_CURVE('',#71833,#71834,#60098,.T.); #91277=EDGE_CURVE('',#71832,#71834,#34281,.T.); #91278=EDGE_CURVE('',#71835,#71831,#34282,.T.); #91279=EDGE_CURVE('',#71835,#71836,#34283,.T.); #91280=EDGE_CURVE('',#71836,#71833,#34284,.T.); #91281=EDGE_CURVE('',#71837,#71835,#60099,.T.); #91282=EDGE_CURVE('',#71837,#71838,#34285,.T.); #91283=EDGE_CURVE('',#71838,#71836,#60100,.T.); #91284=EDGE_CURVE('',#71839,#71837,#60101,.T.); #91285=EDGE_CURVE('',#71839,#71840,#34286,.T.); #91286=EDGE_CURVE('',#71840,#71838,#60102,.T.); #91287=EDGE_CURVE('',#71841,#71839,#60103,.T.); #91288=EDGE_CURVE('',#71841,#71842,#34287,.T.); #91289=EDGE_CURVE('',#71842,#71840,#60104,.T.); #91290=EDGE_CURVE('',#71843,#71841,#60105,.T.); #91291=EDGE_CURVE('',#71843,#71844,#34288,.T.); #91292=EDGE_CURVE('',#71844,#71842,#60106,.T.); #91293=EDGE_CURVE('',#71845,#71843,#60107,.T.); #91294=EDGE_CURVE('',#71845,#71846,#34289,.T.); #91295=EDGE_CURVE('',#71846,#71844,#60108,.T.); #91296=EDGE_CURVE('',#71847,#71845,#60109,.T.); #91297=EDGE_CURVE('',#71847,#71848,#34290,.T.); #91298=EDGE_CURVE('',#71848,#71846,#60110,.T.); #91299=EDGE_CURVE('',#71849,#71847,#60111,.T.); #91300=EDGE_CURVE('',#71849,#71850,#34291,.T.); #91301=EDGE_CURVE('',#71850,#71848,#60112,.T.); #91302=EDGE_CURVE('',#71851,#71849,#34292,.T.); #91303=EDGE_CURVE('',#71851,#71852,#34293,.T.); #91304=EDGE_CURVE('',#71852,#71850,#34294,.T.); #91305=EDGE_CURVE('',#71853,#71851,#60113,.T.); #91306=EDGE_CURVE('',#71853,#71854,#34295,.T.); #91307=EDGE_CURVE('',#71854,#71852,#60114,.T.); #91308=EDGE_CURVE('',#71855,#71853,#60115,.T.); #91309=EDGE_CURVE('',#71855,#71856,#34296,.T.); #91310=EDGE_CURVE('',#71856,#71854,#60116,.T.); #91311=EDGE_CURVE('',#71857,#71855,#60117,.T.); #91312=EDGE_CURVE('',#71857,#71858,#34297,.T.); #91313=EDGE_CURVE('',#71858,#71856,#60118,.T.); #91314=EDGE_CURVE('',#71859,#71857,#60119,.T.); #91315=EDGE_CURVE('',#71859,#71860,#34298,.T.); #91316=EDGE_CURVE('',#71860,#71858,#60120,.T.); #91317=EDGE_CURVE('',#71861,#71859,#60121,.T.); #91318=EDGE_CURVE('',#71861,#71862,#34299,.T.); #91319=EDGE_CURVE('',#71862,#71860,#60122,.T.); #91320=EDGE_CURVE('',#71832,#71861,#60123,.T.); #91321=EDGE_CURVE('',#71834,#71862,#60124,.T.); #91322=EDGE_CURVE('',#71863,#71864,#34300,.T.); #91323=EDGE_CURVE('',#71863,#71865,#34301,.T.); #91324=EDGE_CURVE('',#71865,#71866,#34302,.T.); #91325=EDGE_CURVE('',#71864,#71866,#34303,.T.); #91326=EDGE_CURVE('',#71867,#71863,#34304,.T.); #91327=EDGE_CURVE('',#71867,#71868,#34305,.T.); #91328=EDGE_CURVE('',#71868,#71865,#34306,.T.); #91329=EDGE_CURVE('',#71869,#71867,#34307,.T.); #91330=EDGE_CURVE('',#71869,#71870,#34308,.T.); #91331=EDGE_CURVE('',#71870,#71868,#34309,.T.); #91332=EDGE_CURVE('',#71864,#71869,#34310,.T.); #91333=EDGE_CURVE('',#71866,#71870,#34311,.T.); #91334=EDGE_CURVE('',#71871,#71872,#34312,.T.); #91335=EDGE_CURVE('',#71871,#71873,#34313,.T.); #91336=EDGE_CURVE('',#71873,#71874,#34314,.T.); #91337=EDGE_CURVE('',#71872,#71874,#34315,.T.); #91338=EDGE_CURVE('',#71875,#71871,#34316,.T.); #91339=EDGE_CURVE('',#71875,#71876,#34317,.T.); #91340=EDGE_CURVE('',#71876,#71873,#34318,.T.); #91341=EDGE_CURVE('',#71877,#71875,#34319,.T.); #91342=EDGE_CURVE('',#71877,#71878,#34320,.T.); #91343=EDGE_CURVE('',#71878,#71876,#34321,.T.); #91344=EDGE_CURVE('',#71872,#71877,#34322,.T.); #91345=EDGE_CURVE('',#71874,#71878,#34323,.T.); #91346=EDGE_CURVE('',#71879,#71880,#60125,.T.); #91347=EDGE_CURVE('',#71879,#71881,#34324,.T.); #91348=EDGE_CURVE('',#71881,#71882,#60126,.T.); #91349=EDGE_CURVE('',#71880,#71882,#34325,.T.); #91350=EDGE_CURVE('',#71883,#71879,#34326,.T.); #91351=EDGE_CURVE('',#71883,#71884,#34327,.T.); #91352=EDGE_CURVE('',#71884,#71881,#34328,.T.); #91353=EDGE_CURVE('',#71885,#71883,#34329,.T.); #91354=EDGE_CURVE('',#71885,#71886,#34330,.T.); #91355=EDGE_CURVE('',#71886,#71884,#34331,.T.); #91356=EDGE_CURVE('',#71887,#71885,#34332,.T.); #91357=EDGE_CURVE('',#71887,#71888,#34333,.T.); #91358=EDGE_CURVE('',#71888,#71886,#34334,.T.); #91359=EDGE_CURVE('',#71889,#71887,#60127,.T.); #91360=EDGE_CURVE('',#71889,#71890,#34335,.T.); #91361=EDGE_CURVE('',#71890,#71888,#60128,.T.); #91362=EDGE_CURVE('',#71891,#71889,#60129,.T.); #91363=EDGE_CURVE('',#71891,#71892,#34336,.T.); #91364=EDGE_CURVE('',#71892,#71890,#60130,.T.); #91365=EDGE_CURVE('',#71893,#71891,#60131,.T.); #91366=EDGE_CURVE('',#71893,#71894,#34337,.T.); #91367=EDGE_CURVE('',#71894,#71892,#60132,.T.); #91368=EDGE_CURVE('',#71895,#71893,#60133,.T.); #91369=EDGE_CURVE('',#71895,#71896,#34338,.T.); #91370=EDGE_CURVE('',#71896,#71894,#60134,.T.); #91371=EDGE_CURVE('',#71897,#71895,#34339,.T.); #91372=EDGE_CURVE('',#71897,#71898,#34340,.T.); #91373=EDGE_CURVE('',#71898,#71896,#34341,.T.); #91374=EDGE_CURVE('',#71899,#71897,#34342,.T.); #91375=EDGE_CURVE('',#71899,#71900,#34343,.T.); #91376=EDGE_CURVE('',#71900,#71898,#34344,.T.); #91377=EDGE_CURVE('',#71901,#71899,#34345,.T.); #91378=EDGE_CURVE('',#71901,#71902,#34346,.T.); #91379=EDGE_CURVE('',#71902,#71900,#34347,.T.); #91380=EDGE_CURVE('',#71903,#71901,#60135,.T.); #91381=EDGE_CURVE('',#71903,#71904,#34348,.T.); #91382=EDGE_CURVE('',#71904,#71902,#60136,.T.); #91383=EDGE_CURVE('',#71905,#71903,#60137,.T.); #91384=EDGE_CURVE('',#71905,#71906,#34349,.T.); #91385=EDGE_CURVE('',#71906,#71904,#60138,.T.); #91386=EDGE_CURVE('',#71907,#71905,#60139,.T.); #91387=EDGE_CURVE('',#71907,#71908,#34350,.T.); #91388=EDGE_CURVE('',#71908,#71906,#60140,.T.); #91389=EDGE_CURVE('',#71909,#71907,#60141,.T.); #91390=EDGE_CURVE('',#71909,#71910,#34351,.T.); #91391=EDGE_CURVE('',#71910,#71908,#60142,.T.); #91392=EDGE_CURVE('',#71911,#71909,#34352,.T.); #91393=EDGE_CURVE('',#71911,#71912,#34353,.T.); #91394=EDGE_CURVE('',#71912,#71910,#34354,.T.); #91395=EDGE_CURVE('',#71913,#71911,#60143,.T.); #91396=EDGE_CURVE('',#71913,#71914,#34355,.T.); #91397=EDGE_CURVE('',#71914,#71912,#60144,.T.); #91398=EDGE_CURVE('',#71915,#71913,#60145,.T.); #91399=EDGE_CURVE('',#71915,#71916,#34356,.T.); #91400=EDGE_CURVE('',#71916,#71914,#60146,.T.); #91401=EDGE_CURVE('',#71917,#71915,#60147,.T.); #91402=EDGE_CURVE('',#71917,#71918,#34357,.T.); #91403=EDGE_CURVE('',#71918,#71916,#60148,.T.); #91404=EDGE_CURVE('',#71919,#71917,#60149,.T.); #91405=EDGE_CURVE('',#71919,#71920,#34358,.T.); #91406=EDGE_CURVE('',#71920,#71918,#60150,.T.); #91407=EDGE_CURVE('',#71921,#71919,#34359,.T.); #91408=EDGE_CURVE('',#71921,#71922,#34360,.T.); #91409=EDGE_CURVE('',#71922,#71920,#34361,.T.); #91410=EDGE_CURVE('',#71923,#71921,#34362,.T.); #91411=EDGE_CURVE('',#71923,#71924,#34363,.T.); #91412=EDGE_CURVE('',#71924,#71922,#34364,.T.); #91413=EDGE_CURVE('',#71925,#71923,#34365,.T.); #91414=EDGE_CURVE('',#71925,#71926,#34366,.T.); #91415=EDGE_CURVE('',#71926,#71924,#34367,.T.); #91416=EDGE_CURVE('',#71927,#71925,#34368,.T.); #91417=EDGE_CURVE('',#71927,#71928,#34369,.T.); #91418=EDGE_CURVE('',#71928,#71926,#34370,.T.); #91419=EDGE_CURVE('',#71929,#71927,#34371,.T.); #91420=EDGE_CURVE('',#71929,#71930,#34372,.T.); #91421=EDGE_CURVE('',#71930,#71928,#34373,.T.); #91422=EDGE_CURVE('',#71931,#71929,#60151,.T.); #91423=EDGE_CURVE('',#71931,#71932,#34374,.T.); #91424=EDGE_CURVE('',#71932,#71930,#60152,.T.); #91425=EDGE_CURVE('',#71933,#71931,#60153,.T.); #91426=EDGE_CURVE('',#71933,#71934,#34375,.T.); #91427=EDGE_CURVE('',#71934,#71932,#60154,.T.); #91428=EDGE_CURVE('',#71935,#71933,#60155,.T.); #91429=EDGE_CURVE('',#71935,#71936,#34376,.T.); #91430=EDGE_CURVE('',#71936,#71934,#60156,.T.); #91431=EDGE_CURVE('',#71937,#71935,#60157,.T.); #91432=EDGE_CURVE('',#71937,#71938,#34377,.T.); #91433=EDGE_CURVE('',#71938,#71936,#60158,.T.); #91434=EDGE_CURVE('',#71939,#71937,#34378,.T.); #91435=EDGE_CURVE('',#71939,#71940,#34379,.T.); #91436=EDGE_CURVE('',#71940,#71938,#34380,.T.); #91437=EDGE_CURVE('',#71941,#71939,#60159,.T.); #91438=EDGE_CURVE('',#71941,#71942,#34381,.T.); #91439=EDGE_CURVE('',#71942,#71940,#60160,.T.); #91440=EDGE_CURVE('',#71943,#71941,#60161,.T.); #91441=EDGE_CURVE('',#71943,#71944,#34382,.T.); #91442=EDGE_CURVE('',#71944,#71942,#60162,.T.); #91443=EDGE_CURVE('',#71880,#71943,#60163,.T.); #91444=EDGE_CURVE('',#71882,#71944,#60164,.T.); #91445=EDGE_CURVE('',#71945,#71946,#34383,.T.); #91446=EDGE_CURVE('',#71945,#71947,#34384,.T.); #91447=EDGE_CURVE('',#71947,#71948,#34385,.T.); #91448=EDGE_CURVE('',#71946,#71948,#34386,.T.); #91449=EDGE_CURVE('',#71949,#71945,#60165,.T.); #91450=EDGE_CURVE('',#71949,#71950,#34387,.T.); #91451=EDGE_CURVE('',#71950,#71947,#60166,.T.); #91452=EDGE_CURVE('',#71951,#71949,#60167,.T.); #91453=EDGE_CURVE('',#71951,#71952,#34388,.T.); #91454=EDGE_CURVE('',#71952,#71950,#60168,.T.); #91455=EDGE_CURVE('',#71953,#71951,#60169,.T.); #91456=EDGE_CURVE('',#71953,#71954,#34389,.T.); #91457=EDGE_CURVE('',#71954,#71952,#60170,.T.); #91458=EDGE_CURVE('',#71955,#71953,#60171,.T.); #91459=EDGE_CURVE('',#71955,#71956,#34390,.T.); #91460=EDGE_CURVE('',#71956,#71954,#60172,.T.); #91461=EDGE_CURVE('',#71957,#71955,#34391,.T.); #91462=EDGE_CURVE('',#71957,#71958,#34392,.T.); #91463=EDGE_CURVE('',#71958,#71956,#34393,.T.); #91464=EDGE_CURVE('',#71959,#71957,#34394,.T.); #91465=EDGE_CURVE('',#71959,#71960,#34395,.T.); #91466=EDGE_CURVE('',#71960,#71958,#34396,.T.); #91467=EDGE_CURVE('',#71961,#71959,#34397,.T.); #91468=EDGE_CURVE('',#71961,#71962,#34398,.T.); #91469=EDGE_CURVE('',#71962,#71960,#34399,.T.); #91470=EDGE_CURVE('',#71963,#71961,#60173,.T.); #91471=EDGE_CURVE('',#71963,#71964,#34400,.T.); #91472=EDGE_CURVE('',#71964,#71962,#60174,.T.); #91473=EDGE_CURVE('',#71965,#71963,#60175,.T.); #91474=EDGE_CURVE('',#71965,#71966,#34401,.T.); #91475=EDGE_CURVE('',#71966,#71964,#60176,.T.); #91476=EDGE_CURVE('',#71967,#71965,#60177,.T.); #91477=EDGE_CURVE('',#71967,#71968,#34402,.T.); #91478=EDGE_CURVE('',#71968,#71966,#60178,.T.); #91479=EDGE_CURVE('',#71969,#71967,#60179,.T.); #91480=EDGE_CURVE('',#71969,#71970,#34403,.T.); #91481=EDGE_CURVE('',#71970,#71968,#60180,.T.); #91482=EDGE_CURVE('',#71971,#71969,#60181,.T.); #91483=EDGE_CURVE('',#71971,#71972,#34404,.T.); #91484=EDGE_CURVE('',#71972,#71970,#60182,.T.); #91485=EDGE_CURVE('',#71973,#71971,#34405,.T.); #91486=EDGE_CURVE('',#71973,#71974,#34406,.T.); #91487=EDGE_CURVE('',#71974,#71972,#34407,.T.); #91488=EDGE_CURVE('',#71975,#71973,#34408,.T.); #91489=EDGE_CURVE('',#71975,#71976,#34409,.T.); #91490=EDGE_CURVE('',#71976,#71974,#34410,.T.); #91491=EDGE_CURVE('',#71977,#71975,#34411,.T.); #91492=EDGE_CURVE('',#71977,#71978,#34412,.T.); #91493=EDGE_CURVE('',#71978,#71976,#34413,.T.); #91494=EDGE_CURVE('',#71979,#71977,#60183,.T.); #91495=EDGE_CURVE('',#71979,#71980,#34414,.T.); #91496=EDGE_CURVE('',#71980,#71978,#60184,.T.); #91497=EDGE_CURVE('',#71981,#71979,#60185,.T.); #91498=EDGE_CURVE('',#71981,#71982,#34415,.T.); #91499=EDGE_CURVE('',#71982,#71980,#60186,.T.); #91500=EDGE_CURVE('',#71983,#71981,#60187,.T.); #91501=EDGE_CURVE('',#71983,#71984,#34416,.T.); #91502=EDGE_CURVE('',#71984,#71982,#60188,.T.); #91503=EDGE_CURVE('',#71946,#71983,#60189,.T.); #91504=EDGE_CURVE('',#71948,#71984,#60190,.T.); #91505=EDGE_CURVE('',#71985,#71986,#34417,.T.); #91506=EDGE_CURVE('',#71985,#71987,#34418,.T.); #91507=EDGE_CURVE('',#71987,#71988,#34419,.T.); #91508=EDGE_CURVE('',#71986,#71988,#34420,.T.); #91509=EDGE_CURVE('',#71989,#71985,#34421,.T.); #91510=EDGE_CURVE('',#71989,#71990,#34422,.T.); #91511=EDGE_CURVE('',#71990,#71987,#34423,.T.); #91512=EDGE_CURVE('',#71991,#71989,#60191,.T.); #91513=EDGE_CURVE('',#71991,#71992,#34424,.T.); #91514=EDGE_CURVE('',#71992,#71990,#60192,.T.); #91515=EDGE_CURVE('',#71993,#71991,#60193,.T.); #91516=EDGE_CURVE('',#71993,#71994,#34425,.T.); #91517=EDGE_CURVE('',#71994,#71992,#60194,.T.); #91518=EDGE_CURVE('',#71995,#71993,#60195,.T.); #91519=EDGE_CURVE('',#71995,#71996,#34426,.T.); #91520=EDGE_CURVE('',#71996,#71994,#60196,.T.); #91521=EDGE_CURVE('',#71997,#71995,#60197,.T.); #91522=EDGE_CURVE('',#71997,#71998,#34427,.T.); #91523=EDGE_CURVE('',#71998,#71996,#60198,.T.); #91524=EDGE_CURVE('',#71999,#71997,#34428,.T.); #91525=EDGE_CURVE('',#71999,#72000,#34429,.T.); #91526=EDGE_CURVE('',#72000,#71998,#34430,.T.); #91527=EDGE_CURVE('',#72001,#71999,#60199,.T.); #91528=EDGE_CURVE('',#72001,#72002,#34431,.T.); #91529=EDGE_CURVE('',#72002,#72000,#60200,.T.); #91530=EDGE_CURVE('',#72003,#72001,#60201,.T.); #91531=EDGE_CURVE('',#72003,#72004,#34432,.T.); #91532=EDGE_CURVE('',#72004,#72002,#60202,.T.); #91533=EDGE_CURVE('',#72005,#72003,#60203,.T.); #91534=EDGE_CURVE('',#72005,#72006,#34433,.T.); #91535=EDGE_CURVE('',#72006,#72004,#60204,.T.); #91536=EDGE_CURVE('',#71986,#72005,#34434,.T.); #91537=EDGE_CURVE('',#71988,#72006,#34435,.T.); #91538=EDGE_CURVE('',#72007,#72008,#60205,.T.); #91539=EDGE_CURVE('',#72007,#72009,#34436,.T.); #91540=EDGE_CURVE('',#72009,#72010,#60206,.T.); #91541=EDGE_CURVE('',#72008,#72010,#34437,.T.); #91542=EDGE_CURVE('',#72011,#72007,#60207,.T.); #91543=EDGE_CURVE('',#72011,#72012,#34438,.T.); #91544=EDGE_CURVE('',#72012,#72009,#60208,.T.); #91545=EDGE_CURVE('',#72013,#72011,#60209,.T.); #91546=EDGE_CURVE('',#72013,#72014,#34439,.T.); #91547=EDGE_CURVE('',#72014,#72012,#60210,.T.); #91548=EDGE_CURVE('',#72015,#72013,#34440,.T.); #91549=EDGE_CURVE('',#72015,#72016,#34441,.T.); #91550=EDGE_CURVE('',#72016,#72014,#34442,.T.); #91551=EDGE_CURVE('',#72017,#72015,#60211,.T.); #91552=EDGE_CURVE('',#72017,#72018,#34443,.T.); #91553=EDGE_CURVE('',#72018,#72016,#60212,.T.); #91554=EDGE_CURVE('',#72019,#72017,#60213,.T.); #91555=EDGE_CURVE('',#72019,#72020,#34444,.T.); #91556=EDGE_CURVE('',#72020,#72018,#60214,.T.); #91557=EDGE_CURVE('',#72021,#72019,#60215,.T.); #91558=EDGE_CURVE('',#72021,#72022,#34445,.T.); #91559=EDGE_CURVE('',#72022,#72020,#60216,.T.); #91560=EDGE_CURVE('',#72023,#72021,#60217,.T.); #91561=EDGE_CURVE('',#72023,#72024,#34446,.T.); #91562=EDGE_CURVE('',#72024,#72022,#60218,.T.); #91563=EDGE_CURVE('',#72025,#72023,#34447,.T.); #91564=EDGE_CURVE('',#72025,#72026,#34448,.T.); #91565=EDGE_CURVE('',#72026,#72024,#34449,.T.); #91566=EDGE_CURVE('',#72027,#72025,#60219,.T.); #91567=EDGE_CURVE('',#72027,#72028,#34450,.T.); #91568=EDGE_CURVE('',#72028,#72026,#60220,.T.); #91569=EDGE_CURVE('',#72029,#72027,#60221,.T.); #91570=EDGE_CURVE('',#72029,#72030,#34451,.T.); #91571=EDGE_CURVE('',#72030,#72028,#60222,.T.); #91572=EDGE_CURVE('',#72031,#72029,#60223,.T.); #91573=EDGE_CURVE('',#72031,#72032,#34452,.T.); #91574=EDGE_CURVE('',#72032,#72030,#60224,.T.); #91575=EDGE_CURVE('',#72033,#72031,#60225,.T.); #91576=EDGE_CURVE('',#72033,#72034,#34453,.T.); #91577=EDGE_CURVE('',#72034,#72032,#60226,.T.); #91578=EDGE_CURVE('',#72035,#72033,#34454,.T.); #91579=EDGE_CURVE('',#72035,#72036,#34455,.T.); #91580=EDGE_CURVE('',#72036,#72034,#34456,.T.); #91581=EDGE_CURVE('',#72037,#72035,#34457,.T.); #91582=EDGE_CURVE('',#72037,#72038,#34458,.T.); #91583=EDGE_CURVE('',#72038,#72036,#34459,.T.); #91584=EDGE_CURVE('',#72039,#72037,#34460,.T.); #91585=EDGE_CURVE('',#72039,#72040,#34461,.T.); #91586=EDGE_CURVE('',#72040,#72038,#34462,.T.); #91587=EDGE_CURVE('',#72041,#72039,#60227,.T.); #91588=EDGE_CURVE('',#72041,#72042,#34463,.T.); #91589=EDGE_CURVE('',#72042,#72040,#60228,.T.); #91590=EDGE_CURVE('',#72043,#72041,#60229,.T.); #91591=EDGE_CURVE('',#72043,#72044,#34464,.T.); #91592=EDGE_CURVE('',#72044,#72042,#60230,.T.); #91593=EDGE_CURVE('',#72045,#72043,#60231,.T.); #91594=EDGE_CURVE('',#72045,#72046,#34465,.T.); #91595=EDGE_CURVE('',#72046,#72044,#60232,.T.); #91596=EDGE_CURVE('',#72047,#72045,#60233,.T.); #91597=EDGE_CURVE('',#72047,#72048,#34466,.T.); #91598=EDGE_CURVE('',#72048,#72046,#60234,.T.); #91599=EDGE_CURVE('',#72008,#72047,#34467,.T.); #91600=EDGE_CURVE('',#72010,#72048,#34468,.T.); #91601=EDGE_CURVE('',#72049,#72050,#60235,.T.); #91602=EDGE_CURVE('',#72049,#72051,#34469,.T.); #91603=EDGE_CURVE('',#72051,#72052,#60236,.T.); #91604=EDGE_CURVE('',#72050,#72052,#34470,.T.); #91605=EDGE_CURVE('',#72053,#72049,#34471,.T.); #91606=EDGE_CURVE('',#72053,#72054,#34472,.T.); #91607=EDGE_CURVE('',#72054,#72051,#34473,.T.); #91608=EDGE_CURVE('',#72055,#72053,#34474,.T.); #91609=EDGE_CURVE('',#72055,#72056,#34475,.T.); #91610=EDGE_CURVE('',#72056,#72054,#34476,.T.); #91611=EDGE_CURVE('',#72057,#72055,#34477,.T.); #91612=EDGE_CURVE('',#72057,#72058,#34478,.T.); #91613=EDGE_CURVE('',#72058,#72056,#34479,.T.); #91614=EDGE_CURVE('',#72059,#72057,#34480,.T.); #91615=EDGE_CURVE('',#72059,#72060,#34481,.T.); #91616=EDGE_CURVE('',#72060,#72058,#34482,.T.); #91617=EDGE_CURVE('',#72061,#72059,#60237,.T.); #91618=EDGE_CURVE('',#72061,#72062,#34483,.T.); #91619=EDGE_CURVE('',#72062,#72060,#60238,.T.); #91620=EDGE_CURVE('',#72063,#72061,#60239,.T.); #91621=EDGE_CURVE('',#72063,#72064,#34484,.T.); #91622=EDGE_CURVE('',#72064,#72062,#60240,.T.); #91623=EDGE_CURVE('',#72065,#72063,#60241,.T.); #91624=EDGE_CURVE('',#72065,#72066,#34485,.T.); #91625=EDGE_CURVE('',#72066,#72064,#60242,.T.); #91626=EDGE_CURVE('',#72067,#72065,#60243,.T.); #91627=EDGE_CURVE('',#72067,#72068,#34486,.T.); #91628=EDGE_CURVE('',#72068,#72066,#60244,.T.); #91629=EDGE_CURVE('',#72069,#72067,#34487,.T.); #91630=EDGE_CURVE('',#72069,#72070,#34488,.T.); #91631=EDGE_CURVE('',#72070,#72068,#34489,.T.); #91632=EDGE_CURVE('',#72071,#72069,#34490,.T.); #91633=EDGE_CURVE('',#72071,#72072,#34491,.T.); #91634=EDGE_CURVE('',#72072,#72070,#34492,.T.); #91635=EDGE_CURVE('',#72073,#72071,#34493,.T.); #91636=EDGE_CURVE('',#72073,#72074,#34494,.T.); #91637=EDGE_CURVE('',#72074,#72072,#34495,.T.); #91638=EDGE_CURVE('',#72075,#72073,#60245,.T.); #91639=EDGE_CURVE('',#72075,#72076,#34496,.T.); #91640=EDGE_CURVE('',#72076,#72074,#60246,.T.); #91641=EDGE_CURVE('',#72077,#72075,#60247,.T.); #91642=EDGE_CURVE('',#72077,#72078,#34497,.T.); #91643=EDGE_CURVE('',#72078,#72076,#60248,.T.); #91644=EDGE_CURVE('',#72079,#72077,#60249,.T.); #91645=EDGE_CURVE('',#72079,#72080,#34498,.T.); #91646=EDGE_CURVE('',#72080,#72078,#60250,.T.); #91647=EDGE_CURVE('',#72081,#72079,#60251,.T.); #91648=EDGE_CURVE('',#72081,#72082,#34499,.T.); #91649=EDGE_CURVE('',#72082,#72080,#60252,.T.); #91650=EDGE_CURVE('',#72083,#72081,#34500,.T.); #91651=EDGE_CURVE('',#72083,#72084,#34501,.T.); #91652=EDGE_CURVE('',#72084,#72082,#34502,.T.); #91653=EDGE_CURVE('',#72085,#72083,#60253,.T.); #91654=EDGE_CURVE('',#72085,#72086,#34503,.T.); #91655=EDGE_CURVE('',#72086,#72084,#60254,.T.); #91656=EDGE_CURVE('',#72087,#72085,#60255,.T.); #91657=EDGE_CURVE('',#72087,#72088,#34504,.T.); #91658=EDGE_CURVE('',#72088,#72086,#60256,.T.); #91659=EDGE_CURVE('',#72089,#72087,#60257,.T.); #91660=EDGE_CURVE('',#72089,#72090,#34505,.T.); #91661=EDGE_CURVE('',#72090,#72088,#60258,.T.); #91662=EDGE_CURVE('',#72091,#72089,#60259,.T.); #91663=EDGE_CURVE('',#72091,#72092,#34506,.T.); #91664=EDGE_CURVE('',#72092,#72090,#60260,.T.); #91665=EDGE_CURVE('',#72093,#72091,#34507,.T.); #91666=EDGE_CURVE('',#72093,#72094,#34508,.T.); #91667=EDGE_CURVE('',#72094,#72092,#34509,.T.); #91668=EDGE_CURVE('',#72095,#72093,#34510,.T.); #91669=EDGE_CURVE('',#72095,#72096,#34511,.T.); #91670=EDGE_CURVE('',#72096,#72094,#34512,.T.); #91671=EDGE_CURVE('',#72097,#72095,#34513,.T.); #91672=EDGE_CURVE('',#72097,#72098,#34514,.T.); #91673=EDGE_CURVE('',#72098,#72096,#34515,.T.); #91674=EDGE_CURVE('',#72099,#72097,#34516,.T.); #91675=EDGE_CURVE('',#72099,#72100,#34517,.T.); #91676=EDGE_CURVE('',#72100,#72098,#34518,.T.); #91677=EDGE_CURVE('',#72101,#72099,#34519,.T.); #91678=EDGE_CURVE('',#72101,#72102,#34520,.T.); #91679=EDGE_CURVE('',#72102,#72100,#34521,.T.); #91680=EDGE_CURVE('',#72103,#72101,#34522,.T.); #91681=EDGE_CURVE('',#72103,#72104,#34523,.T.); #91682=EDGE_CURVE('',#72104,#72102,#34524,.T.); #91683=EDGE_CURVE('',#72105,#72103,#60261,.T.); #91684=EDGE_CURVE('',#72105,#72106,#34525,.T.); #91685=EDGE_CURVE('',#72106,#72104,#60262,.T.); #91686=EDGE_CURVE('',#72107,#72105,#60263,.T.); #91687=EDGE_CURVE('',#72107,#72108,#34526,.T.); #91688=EDGE_CURVE('',#72108,#72106,#60264,.T.); #91689=EDGE_CURVE('',#72109,#72107,#60265,.T.); #91690=EDGE_CURVE('',#72109,#72110,#34527,.T.); #91691=EDGE_CURVE('',#72110,#72108,#60266,.T.); #91692=EDGE_CURVE('',#72111,#72109,#60267,.T.); #91693=EDGE_CURVE('',#72111,#72112,#34528,.T.); #91694=EDGE_CURVE('',#72112,#72110,#60268,.T.); #91695=EDGE_CURVE('',#72113,#72111,#34529,.T.); #91696=EDGE_CURVE('',#72113,#72114,#34530,.T.); #91697=EDGE_CURVE('',#72114,#72112,#34531,.T.); #91698=EDGE_CURVE('',#72115,#72113,#60269,.T.); #91699=EDGE_CURVE('',#72115,#72116,#34532,.T.); #91700=EDGE_CURVE('',#72116,#72114,#60270,.T.); #91701=EDGE_CURVE('',#72117,#72115,#60271,.T.); #91702=EDGE_CURVE('',#72117,#72118,#34533,.T.); #91703=EDGE_CURVE('',#72118,#72116,#60272,.T.); #91704=EDGE_CURVE('',#72050,#72117,#60273,.T.); #91705=EDGE_CURVE('',#72052,#72118,#60274,.T.); #91706=EDGE_CURVE('',#72119,#72120,#34534,.T.); #91707=EDGE_CURVE('',#72119,#72121,#34535,.T.); #91708=EDGE_CURVE('',#72121,#72122,#34536,.T.); #91709=EDGE_CURVE('',#72120,#72122,#34537,.T.); #91710=EDGE_CURVE('',#72123,#72119,#34538,.T.); #91711=EDGE_CURVE('',#72123,#72124,#34539,.T.); #91712=EDGE_CURVE('',#72124,#72121,#34540,.T.); #91713=EDGE_CURVE('',#72125,#72123,#34541,.T.); #91714=EDGE_CURVE('',#72125,#72126,#34542,.T.); #91715=EDGE_CURVE('',#72126,#72124,#34543,.T.); #91716=EDGE_CURVE('',#72120,#72125,#34544,.T.); #91717=EDGE_CURVE('',#72122,#72126,#34545,.T.); #91718=EDGE_CURVE('',#72127,#72128,#34546,.T.); #91719=EDGE_CURVE('',#72127,#72129,#34547,.T.); #91720=EDGE_CURVE('',#72129,#72130,#34548,.T.); #91721=EDGE_CURVE('',#72128,#72130,#34549,.T.); #91722=EDGE_CURVE('',#72131,#72127,#34550,.T.); #91723=EDGE_CURVE('',#72131,#72132,#34551,.T.); #91724=EDGE_CURVE('',#72132,#72129,#34552,.T.); #91725=EDGE_CURVE('',#72133,#72131,#34553,.T.); #91726=EDGE_CURVE('',#72133,#72134,#34554,.T.); #91727=EDGE_CURVE('',#72134,#72132,#34555,.T.); #91728=EDGE_CURVE('',#72135,#72133,#34556,.T.); #91729=EDGE_CURVE('',#72135,#72136,#34557,.T.); #91730=EDGE_CURVE('',#72136,#72134,#34558,.T.); #91731=EDGE_CURVE('',#72137,#72135,#34559,.T.); #91732=EDGE_CURVE('',#72137,#72138,#34560,.T.); #91733=EDGE_CURVE('',#72138,#72136,#34561,.T.); #91734=EDGE_CURVE('',#72128,#72137,#34562,.T.); #91735=EDGE_CURVE('',#72128,#72130,#34563,.T.); #91736=EDGE_CURVE('',#72130,#72138,#34564,.T.); #91737=EDGE_CURVE('',#72139,#72140,#34565,.T.); #91738=EDGE_CURVE('',#72139,#72141,#34566,.T.); #91739=EDGE_CURVE('',#72141,#72142,#34567,.T.); #91740=EDGE_CURVE('',#72140,#72142,#34568,.T.); #91741=EDGE_CURVE('',#72143,#72139,#34569,.T.); #91742=EDGE_CURVE('',#72143,#72144,#34570,.T.); #91743=EDGE_CURVE('',#72144,#72141,#34571,.T.); #91744=EDGE_CURVE('',#72145,#72143,#34572,.T.); #91745=EDGE_CURVE('',#72145,#72146,#34573,.T.); #91746=EDGE_CURVE('',#72146,#72144,#34574,.T.); #91747=EDGE_CURVE('',#72140,#72145,#34575,.T.); #91748=EDGE_CURVE('',#72142,#72146,#34576,.T.); #91749=EDGE_CURVE('',#72145,#72146,#34577,.T.); #91750=EDGE_CURVE('',#72147,#72148,#34578,.T.); #91751=EDGE_CURVE('',#72147,#72149,#34579,.T.); #91752=EDGE_CURVE('',#72149,#72150,#34580,.T.); #91753=EDGE_CURVE('',#72148,#72150,#34581,.T.); #91754=EDGE_CURVE('',#72151,#72147,#34582,.T.); #91755=EDGE_CURVE('',#72151,#72152,#34583,.T.); #91756=EDGE_CURVE('',#72152,#72149,#34584,.T.); #91757=EDGE_CURVE('',#72153,#72151,#34585,.T.); #91758=EDGE_CURVE('',#72153,#72154,#34586,.T.); #91759=EDGE_CURVE('',#72154,#72152,#34587,.T.); #91760=EDGE_CURVE('',#72148,#72153,#34588,.T.); #91761=EDGE_CURVE('',#72148,#72150,#34589,.T.); #91762=EDGE_CURVE('',#72150,#72154,#34590,.T.); #91763=EDGE_CURVE('',#72155,#72156,#34591,.T.); #91764=EDGE_CURVE('',#72155,#72157,#34592,.T.); #91765=EDGE_CURVE('',#72157,#72158,#34593,.T.); #91766=EDGE_CURVE('',#72156,#72158,#34594,.T.); #91767=EDGE_CURVE('',#72159,#72155,#34595,.T.); #91768=EDGE_CURVE('',#72159,#72160,#34596,.T.); #91769=EDGE_CURVE('',#72160,#72157,#34597,.T.); #91770=EDGE_CURVE('',#72161,#72159,#34598,.T.); #91771=EDGE_CURVE('',#72161,#72162,#34599,.T.); #91772=EDGE_CURVE('',#72162,#72160,#34600,.T.); #91773=EDGE_CURVE('',#72159,#72160,#34601,.T.); #91774=EDGE_CURVE('',#72145,#72161,#34602,.T.); #91775=EDGE_CURVE('',#72146,#72162,#34603,.T.); #91776=EDGE_CURVE('',#72163,#72145,#34604,.T.); #91777=EDGE_CURVE('',#72163,#72164,#34605,.T.); #91778=EDGE_CURVE('',#72164,#72146,#34606,.T.); #91779=EDGE_CURVE('',#72165,#72163,#34607,.T.); #91780=EDGE_CURVE('',#72165,#72166,#34608,.T.); #91781=EDGE_CURVE('',#72166,#72164,#34609,.T.); #91782=EDGE_CURVE('',#72167,#72165,#34610,.T.); #91783=EDGE_CURVE('',#72167,#72168,#34611,.T.); #91784=EDGE_CURVE('',#72168,#72166,#34612,.T.); #91785=EDGE_CURVE('',#72169,#72167,#34613,.T.); #91786=EDGE_CURVE('',#72169,#72170,#34614,.T.); #91787=EDGE_CURVE('',#72170,#72168,#34615,.T.); #91788=EDGE_CURVE('',#72171,#72169,#34616,.T.); #91789=EDGE_CURVE('',#72171,#72172,#34617,.T.); #91790=EDGE_CURVE('',#72172,#72170,#34618,.T.); #91791=EDGE_CURVE('',#72148,#72171,#34619,.T.); #91792=EDGE_CURVE('',#72150,#72172,#34620,.T.); #91793=EDGE_CURVE('',#72173,#72148,#34621,.T.); #91794=EDGE_CURVE('',#72173,#72174,#34622,.T.); #91795=EDGE_CURVE('',#72174,#72150,#34623,.T.); #91796=EDGE_CURVE('',#72175,#72173,#34624,.T.); #91797=EDGE_CURVE('',#72175,#72176,#34625,.T.); #91798=EDGE_CURVE('',#72176,#72174,#34626,.T.); #91799=EDGE_CURVE('',#72177,#72175,#34627,.T.); #91800=EDGE_CURVE('',#72177,#72178,#34628,.T.); #91801=EDGE_CURVE('',#72178,#72176,#34629,.T.); #91802=EDGE_CURVE('',#72156,#72177,#34630,.T.); #91803=EDGE_CURVE('',#72158,#72178,#34631,.T.); #91804=EDGE_CURVE('',#72179,#72180,#34632,.T.); #91805=EDGE_CURVE('',#72179,#72181,#34633,.T.); #91806=EDGE_CURVE('',#72181,#72182,#34634,.T.); #91807=EDGE_CURVE('',#72180,#72182,#34635,.T.); #91808=EDGE_CURVE('',#72183,#72179,#34636,.T.); #91809=EDGE_CURVE('',#72183,#72184,#34637,.T.); #91810=EDGE_CURVE('',#72184,#72181,#34638,.T.); #91811=EDGE_CURVE('',#72185,#72183,#34639,.T.); #91812=EDGE_CURVE('',#72185,#72186,#34640,.T.); #91813=EDGE_CURVE('',#72186,#72184,#34641,.T.); #91814=EDGE_CURVE('',#72183,#72184,#34642,.T.); #91815=EDGE_CURVE('',#72180,#72185,#34643,.T.); #91816=EDGE_CURVE('',#72180,#72182,#34644,.T.); #91817=EDGE_CURVE('',#72182,#72186,#34645,.T.); #91818=EDGE_CURVE('',#72187,#72188,#34646,.T.); #91819=EDGE_CURVE('',#72187,#72189,#34647,.T.); #91820=EDGE_CURVE('',#72189,#72190,#34648,.T.); #91821=EDGE_CURVE('',#72188,#72190,#34649,.T.); #91822=EDGE_CURVE('',#72191,#72187,#34650,.T.); #91823=EDGE_CURVE('',#72191,#72192,#34651,.T.); #91824=EDGE_CURVE('',#72192,#72189,#34652,.T.); #91825=EDGE_CURVE('',#72193,#72191,#34653,.T.); #91826=EDGE_CURVE('',#72193,#72194,#34654,.T.); #91827=EDGE_CURVE('',#72194,#72192,#34655,.T.); #91828=EDGE_CURVE('',#72188,#72193,#34656,.T.); #91829=EDGE_CURVE('',#72190,#72194,#34657,.T.); #91830=EDGE_CURVE('',#72193,#72194,#34658,.T.); #91831=EDGE_CURVE('',#72195,#72196,#34659,.T.); #91832=EDGE_CURVE('',#72195,#72197,#34660,.T.); #91833=EDGE_CURVE('',#72197,#72198,#34661,.T.); #91834=EDGE_CURVE('',#72196,#72198,#34662,.T.); #91835=EDGE_CURVE('',#72199,#72195,#34663,.T.); #91836=EDGE_CURVE('',#72199,#72200,#34664,.T.); #91837=EDGE_CURVE('',#72200,#72197,#34665,.T.); #91838=EDGE_CURVE('',#72201,#72199,#34666,.T.); #91839=EDGE_CURVE('',#72201,#72202,#34667,.T.); #91840=EDGE_CURVE('',#72202,#72200,#34668,.T.); #91841=EDGE_CURVE('',#72203,#72201,#34669,.T.); #91842=EDGE_CURVE('',#72203,#72204,#34670,.T.); #91843=EDGE_CURVE('',#72204,#72202,#34671,.T.); #91844=EDGE_CURVE('',#72201,#72202,#34672,.T.); #91845=EDGE_CURVE('',#72205,#72203,#34673,.T.); #91846=EDGE_CURVE('',#72205,#72206,#34674,.T.); #91847=EDGE_CURVE('',#72206,#72204,#34675,.T.); #91848=EDGE_CURVE('',#72180,#72205,#34676,.T.); #91849=EDGE_CURVE('',#72182,#72206,#34677,.T.); #91850=EDGE_CURVE('',#72207,#72180,#34678,.T.); #91851=EDGE_CURVE('',#72207,#72208,#34679,.T.); #91852=EDGE_CURVE('',#72208,#72182,#34680,.T.); #91853=EDGE_CURVE('',#72209,#72207,#34681,.T.); #91854=EDGE_CURVE('',#72209,#72210,#34682,.T.); #91855=EDGE_CURVE('',#72210,#72208,#34683,.T.); #91856=EDGE_CURVE('',#72193,#72209,#34684,.T.); #91857=EDGE_CURVE('',#72194,#72210,#34685,.T.); #91858=EDGE_CURVE('',#72211,#72193,#34686,.T.); #91859=EDGE_CURVE('',#72211,#72212,#34687,.T.); #91860=EDGE_CURVE('',#72212,#72194,#34688,.T.); #91861=EDGE_CURVE('',#72213,#72211,#34689,.T.); #91862=EDGE_CURVE('',#72213,#72214,#34690,.T.); #91863=EDGE_CURVE('',#72214,#72212,#34691,.T.); #91864=EDGE_CURVE('',#72196,#72213,#34692,.T.); #91865=EDGE_CURVE('',#72198,#72214,#34693,.T.); #91866=EDGE_CURVE('',#72215,#72216,#34694,.T.); #91867=EDGE_CURVE('',#72215,#72217,#34695,.T.); #91868=EDGE_CURVE('',#72217,#72218,#34696,.T.); #91869=EDGE_CURVE('',#72216,#72218,#34697,.T.); #91870=EDGE_CURVE('',#72201,#72215,#34698,.T.); #91871=EDGE_CURVE('',#72202,#72217,#34699,.T.); #91872=EDGE_CURVE('',#72219,#72201,#34700,.T.); #91873=EDGE_CURVE('',#72219,#72220,#34701,.T.); #91874=EDGE_CURVE('',#72220,#72202,#34702,.T.); #91875=EDGE_CURVE('',#72216,#72219,#34703,.T.); #91876=EDGE_CURVE('',#72216,#72218,#34704,.T.); #91877=EDGE_CURVE('',#72218,#72220,#34705,.T.); #91878=EDGE_CURVE('',#72221,#72222,#34706,.T.); #91879=EDGE_CURVE('',#72221,#72223,#34707,.T.); #91880=EDGE_CURVE('',#72223,#72224,#34708,.T.); #91881=EDGE_CURVE('',#72222,#72224,#34709,.T.); #91882=EDGE_CURVE('',#72225,#72221,#34710,.T.); #91883=EDGE_CURVE('',#72225,#72226,#34711,.T.); #91884=EDGE_CURVE('',#72226,#72223,#34712,.T.); #91885=EDGE_CURVE('',#72227,#72225,#34713,.T.); #91886=EDGE_CURVE('',#72227,#72228,#34714,.T.); #91887=EDGE_CURVE('',#72228,#72226,#34715,.T.); #91888=EDGE_CURVE('',#72229,#72227,#34716,.T.); #91889=EDGE_CURVE('',#72229,#72230,#34717,.T.); #91890=EDGE_CURVE('',#72230,#72228,#34718,.T.); #91891=EDGE_CURVE('',#72231,#72229,#34719,.T.); #91892=EDGE_CURVE('',#72231,#72232,#34720,.T.); #91893=EDGE_CURVE('',#72232,#72230,#34721,.T.); #91894=EDGE_CURVE('',#72216,#72231,#34722,.T.); #91895=EDGE_CURVE('',#72218,#72232,#34723,.T.); #91896=EDGE_CURVE('',#72231,#72232,#34724,.T.); #91897=EDGE_CURVE('',#72233,#72216,#34725,.T.); #91898=EDGE_CURVE('',#72233,#72234,#34726,.T.); #91899=EDGE_CURVE('',#72234,#72218,#34727,.T.); #91900=EDGE_CURVE('',#72222,#72233,#34728,.T.); #91901=EDGE_CURVE('',#72224,#72234,#34729,.T.); #91902=EDGE_CURVE('',#72235,#72236,#34730,.T.); #91903=EDGE_CURVE('',#72235,#72237,#34731,.T.); #91904=EDGE_CURVE('',#72237,#72238,#34732,.T.); #91905=EDGE_CURVE('',#72236,#72238,#34733,.T.); #91906=EDGE_CURVE('',#72231,#72235,#34734,.T.); #91907=EDGE_CURVE('',#72232,#72237,#34735,.T.); #91908=EDGE_CURVE('',#72239,#72231,#34736,.T.); #91909=EDGE_CURVE('',#72239,#72240,#34737,.T.); #91910=EDGE_CURVE('',#72240,#72232,#34738,.T.); #91911=EDGE_CURVE('',#72236,#72239,#34739,.T.); #91912=EDGE_CURVE('',#72236,#72238,#34740,.T.); #91913=EDGE_CURVE('',#72238,#72240,#34741,.T.); #91914=EDGE_CURVE('',#72241,#72242,#34742,.T.); #91915=EDGE_CURVE('',#72241,#72243,#34743,.T.); #91916=EDGE_CURVE('',#72243,#72244,#34744,.T.); #91917=EDGE_CURVE('',#72242,#72244,#34745,.T.); #91918=EDGE_CURVE('',#72245,#72241,#34746,.T.); #91919=EDGE_CURVE('',#72245,#72246,#34747,.T.); #91920=EDGE_CURVE('',#72246,#72243,#34748,.T.); #91921=EDGE_CURVE('',#72247,#72245,#34749,.T.); #91922=EDGE_CURVE('',#72247,#72248,#34750,.T.); #91923=EDGE_CURVE('',#72248,#72246,#34751,.T.); #91924=EDGE_CURVE('',#72245,#72246,#34752,.T.); #91925=EDGE_CURVE('',#72242,#72247,#34753,.T.); #91926=EDGE_CURVE('',#72242,#72244,#34754,.T.); #91927=EDGE_CURVE('',#72244,#72248,#34755,.T.); #91928=EDGE_CURVE('',#72183,#72249,#34756,.T.); #91929=EDGE_CURVE('',#72184,#72250,#34757,.T.); #91930=EDGE_CURVE('',#72249,#72250,#34758,.T.); #91931=EDGE_CURVE('',#72245,#72183,#34759,.T.); #91932=EDGE_CURVE('',#72246,#72184,#34760,.T.); #91933=EDGE_CURVE('',#72251,#72245,#34761,.T.); #91934=EDGE_CURVE('',#72251,#72252,#34762,.T.); #91935=EDGE_CURVE('',#72252,#72246,#34763,.T.); #91936=EDGE_CURVE('',#72253,#72251,#34764,.T.); #91937=EDGE_CURVE('',#72253,#72254,#34765,.T.); #91938=EDGE_CURVE('',#72254,#72252,#34766,.T.); #91939=EDGE_CURVE('',#72255,#72253,#34767,.T.); #91940=EDGE_CURVE('',#72255,#72256,#34768,.T.); #91941=EDGE_CURVE('',#72256,#72254,#34769,.T.); #91942=EDGE_CURVE('',#72257,#72255,#34770,.T.); #91943=EDGE_CURVE('',#72257,#72258,#34771,.T.); #91944=EDGE_CURVE('',#72258,#72256,#34772,.T.); #91945=EDGE_CURVE('',#72255,#72256,#34773,.T.); #91946=EDGE_CURVE('',#72259,#72257,#34774,.T.); #91947=EDGE_CURVE('',#72259,#72260,#34775,.T.); #91948=EDGE_CURVE('',#72260,#72258,#34776,.T.); #91949=EDGE_CURVE('',#72261,#72259,#34777,.T.); #91950=EDGE_CURVE('',#72261,#72262,#34778,.T.); #91951=EDGE_CURVE('',#72262,#72260,#34779,.T.); #91952=EDGE_CURVE('',#72259,#72260,#34780,.T.); #91953=EDGE_CURVE('',#72263,#72261,#34781,.T.); #91954=EDGE_CURVE('',#72263,#72264,#34782,.T.); #91955=EDGE_CURVE('',#72264,#72262,#34783,.T.); #91956=EDGE_CURVE('',#72159,#72263,#34784,.T.); #91957=EDGE_CURVE('',#72160,#72264,#34785,.T.); #91958=EDGE_CURVE('',#72265,#72159,#34786,.T.); #91959=EDGE_CURVE('',#72265,#72266,#34787,.T.); #91960=EDGE_CURVE('',#72266,#72160,#34788,.T.); #91961=EDGE_CURVE('',#72249,#72265,#34789,.T.); #91962=EDGE_CURVE('',#72250,#72266,#34790,.T.); #91963=EDGE_CURVE('',#72267,#72268,#34791,.T.); #91964=EDGE_CURVE('',#72267,#72269,#34792,.T.); #91965=EDGE_CURVE('',#72269,#72270,#34793,.T.); #91966=EDGE_CURVE('',#72268,#72270,#34794,.T.); #91967=EDGE_CURVE('',#72255,#72267,#34795,.T.); #91968=EDGE_CURVE('',#72256,#72269,#34796,.T.); #91969=EDGE_CURVE('',#72267,#72269,#34797,.T.); #91970=EDGE_CURVE('',#72271,#72255,#34798,.T.); #91971=EDGE_CURVE('',#72271,#72272,#34799,.T.); #91972=EDGE_CURVE('',#72272,#72256,#34800,.T.); #91973=EDGE_CURVE('',#72268,#72271,#34801,.T.); #91974=EDGE_CURVE('',#72270,#72272,#34802,.T.); #91975=EDGE_CURVE('',#72273,#72274,#34803,.T.); #91976=EDGE_CURVE('',#72273,#72275,#34804,.T.); #91977=EDGE_CURVE('',#72275,#72276,#34805,.T.); #91978=EDGE_CURVE('',#72274,#72276,#34806,.T.); #91979=EDGE_CURVE('',#72277,#72273,#34807,.T.); #91980=EDGE_CURVE('',#72277,#72278,#34808,.T.); #91981=EDGE_CURVE('',#72278,#72275,#34809,.T.); #91982=EDGE_CURVE('',#72279,#72277,#34810,.T.); #91983=EDGE_CURVE('',#72279,#72280,#34811,.T.); #91984=EDGE_CURVE('',#72280,#72278,#34812,.T.); #91985=EDGE_CURVE('',#72281,#72279,#34813,.T.); #91986=EDGE_CURVE('',#72281,#72282,#34814,.T.); #91987=EDGE_CURVE('',#72282,#72280,#34815,.T.); #91988=EDGE_CURVE('',#72259,#72281,#34816,.T.); #91989=EDGE_CURVE('',#72260,#72282,#34817,.T.); #91990=EDGE_CURVE('',#72267,#72259,#34818,.T.); #91991=EDGE_CURVE('',#72269,#72260,#34819,.T.); #91992=EDGE_CURVE('',#72283,#72267,#34820,.T.); #91993=EDGE_CURVE('',#72283,#72284,#34821,.T.); #91994=EDGE_CURVE('',#72284,#72269,#34822,.T.); #91995=EDGE_CURVE('',#72285,#72283,#34823,.T.); #91996=EDGE_CURVE('',#72285,#72286,#34824,.T.); #91997=EDGE_CURVE('',#72286,#72284,#34825,.T.); #91998=EDGE_CURVE('',#72287,#72285,#34826,.T.); #91999=EDGE_CURVE('',#72287,#72288,#34827,.T.); #92000=EDGE_CURVE('',#72288,#72286,#34828,.T.); #92001=EDGE_CURVE('',#72289,#72287,#34829,.T.); #92002=EDGE_CURVE('',#72289,#72290,#34830,.T.); #92003=EDGE_CURVE('',#72290,#72288,#34831,.T.); #92004=EDGE_CURVE('',#72291,#72289,#34832,.T.); #92005=EDGE_CURVE('',#72291,#72292,#34833,.T.); #92006=EDGE_CURVE('',#72292,#72290,#34834,.T.); #92007=EDGE_CURVE('',#72289,#72290,#34835,.T.); #92008=EDGE_CURVE('',#72274,#72291,#34836,.T.); #92009=EDGE_CURVE('',#72276,#72292,#34837,.T.); #92010=EDGE_CURVE('',#72291,#72292,#34838,.T.); #92011=EDGE_CURVE('',#72293,#72294,#34839,.T.); #92012=EDGE_CURVE('',#72293,#72295,#34840,.T.); #92013=EDGE_CURVE('',#72295,#72296,#34841,.T.); #92014=EDGE_CURVE('',#72294,#72296,#34842,.T.); #92015=EDGE_CURVE('',#72297,#72293,#34843,.T.); #92016=EDGE_CURVE('',#72297,#72298,#34844,.T.); #92017=EDGE_CURVE('',#72298,#72295,#34845,.T.); #92018=EDGE_CURVE('',#72293,#72295,#34846,.T.); #92019=EDGE_CURVE('',#72299,#72297,#34847,.T.); #92020=EDGE_CURVE('',#72299,#72300,#34848,.T.); #92021=EDGE_CURVE('',#72300,#72298,#34849,.T.); #92022=EDGE_CURVE('',#72294,#72299,#34850,.T.); #92023=EDGE_CURVE('',#72296,#72300,#34851,.T.); #92024=EDGE_CURVE('',#72301,#72302,#34852,.T.); #92025=EDGE_CURVE('',#72301,#72303,#34853,.T.); #92026=EDGE_CURVE('',#72303,#72304,#34854,.T.); #92027=EDGE_CURVE('',#72302,#72304,#34855,.T.); #92028=EDGE_CURVE('',#72305,#72301,#34856,.T.); #92029=EDGE_CURVE('',#72305,#72306,#34857,.T.); #92030=EDGE_CURVE('',#72306,#72303,#34858,.T.); #92031=EDGE_CURVE('',#72307,#72305,#34859,.T.); #92032=EDGE_CURVE('',#72307,#72308,#34860,.T.); #92033=EDGE_CURVE('',#72308,#72306,#34861,.T.); #92034=EDGE_CURVE('',#72302,#72307,#34862,.T.); #92035=EDGE_CURVE('',#72304,#72308,#34863,.T.); #92036=EDGE_CURVE('',#72309,#72302,#34864,.T.); #92037=EDGE_CURVE('',#72309,#72310,#34865,.T.); #92038=EDGE_CURVE('',#72310,#72304,#34866,.T.); #92039=EDGE_CURVE('',#72302,#72304,#34867,.T.); #92040=EDGE_CURVE('',#72311,#72309,#34868,.T.); #92041=EDGE_CURVE('',#72311,#72312,#34869,.T.); #92042=EDGE_CURVE('',#72312,#72310,#34870,.T.); #92043=EDGE_CURVE('',#72313,#72311,#34871,.T.); #92044=EDGE_CURVE('',#72313,#72314,#34872,.T.); #92045=EDGE_CURVE('',#72314,#72312,#34873,.T.); #92046=EDGE_CURVE('',#72315,#72313,#34874,.T.); #92047=EDGE_CURVE('',#72315,#72316,#34875,.T.); #92048=EDGE_CURVE('',#72316,#72314,#34876,.T.); #92049=EDGE_CURVE('',#72317,#72315,#34877,.T.); #92050=EDGE_CURVE('',#72317,#72318,#34878,.T.); #92051=EDGE_CURVE('',#72318,#72316,#34879,.T.); #92052=EDGE_CURVE('',#72319,#72317,#34880,.T.); #92053=EDGE_CURVE('',#72319,#72320,#34881,.T.); #92054=EDGE_CURVE('',#72320,#72318,#34882,.T.); #92055=EDGE_CURVE('',#72321,#72319,#34883,.T.); #92056=EDGE_CURVE('',#72321,#72322,#34884,.T.); #92057=EDGE_CURVE('',#72322,#72320,#34885,.T.); #92058=EDGE_CURVE('',#72323,#72321,#34886,.T.); #92059=EDGE_CURVE('',#72323,#72324,#34887,.T.); #92060=EDGE_CURVE('',#72324,#72322,#34888,.T.); #92061=EDGE_CURVE('',#72325,#72323,#34889,.T.); #92062=EDGE_CURVE('',#72325,#72326,#34890,.T.); #92063=EDGE_CURVE('',#72326,#72324,#34891,.T.); #92064=EDGE_CURVE('',#72323,#72324,#34892,.T.); #92065=EDGE_CURVE('',#72327,#72325,#34893,.T.); #92066=EDGE_CURVE('',#72327,#72328,#34894,.T.); #92067=EDGE_CURVE('',#72328,#72326,#34895,.T.); #92068=EDGE_CURVE('',#72329,#72327,#34896,.T.); #92069=EDGE_CURVE('',#72329,#72330,#34897,.T.); #92070=EDGE_CURVE('',#72330,#72328,#34898,.T.); #92071=EDGE_CURVE('',#72323,#72329,#34899,.T.); #92072=EDGE_CURVE('',#72324,#72330,#34900,.T.); #92073=EDGE_CURVE('',#72331,#72323,#34901,.T.); #92074=EDGE_CURVE('',#72331,#72332,#34902,.T.); #92075=EDGE_CURVE('',#72332,#72324,#34903,.T.); #92076=EDGE_CURVE('',#72333,#72331,#34904,.T.); #92077=EDGE_CURVE('',#72333,#72334,#34905,.T.); #92078=EDGE_CURVE('',#72334,#72332,#34906,.T.); #92079=EDGE_CURVE('',#72335,#72333,#34907,.T.); #92080=EDGE_CURVE('',#72335,#72336,#34908,.T.); #92081=EDGE_CURVE('',#72336,#72334,#34909,.T.); #92082=EDGE_CURVE('',#72337,#72335,#34910,.T.); #92083=EDGE_CURVE('',#72337,#72338,#34911,.T.); #92084=EDGE_CURVE('',#72338,#72336,#34912,.T.); #92085=EDGE_CURVE('',#72339,#72337,#34913,.T.); #92086=EDGE_CURVE('',#72339,#72340,#34914,.T.); #92087=EDGE_CURVE('',#72340,#72338,#34915,.T.); #92088=EDGE_CURVE('',#72293,#72339,#34916,.T.); #92089=EDGE_CURVE('',#72295,#72340,#34917,.T.); #92090=EDGE_CURVE('',#72341,#72293,#34918,.T.); #92091=EDGE_CURVE('',#72341,#72342,#34919,.T.); #92092=EDGE_CURVE('',#72342,#72295,#34920,.T.); #92093=EDGE_CURVE('',#72343,#72341,#34921,.T.); #92094=EDGE_CURVE('',#72343,#72344,#34922,.T.); #92095=EDGE_CURVE('',#72344,#72342,#34923,.T.); #92096=EDGE_CURVE('',#72289,#72343,#34924,.T.); #92097=EDGE_CURVE('',#72290,#72344,#34925,.T.); #92098=EDGE_CURVE('',#72345,#72289,#34926,.T.); #92099=EDGE_CURVE('',#72345,#72346,#34927,.T.); #92100=EDGE_CURVE('',#72346,#72290,#34928,.T.); #92101=EDGE_CURVE('',#72347,#72345,#34929,.T.); #92102=EDGE_CURVE('',#72347,#72348,#34930,.T.); #92103=EDGE_CURVE('',#72348,#72346,#34931,.T.); #92104=EDGE_CURVE('',#72349,#72347,#34932,.T.); #92105=EDGE_CURVE('',#72349,#72350,#34933,.T.); #92106=EDGE_CURVE('',#72350,#72348,#34934,.T.); #92107=EDGE_CURVE('',#72351,#72349,#34935,.T.); #92108=EDGE_CURVE('',#72351,#72352,#34936,.T.); #92109=EDGE_CURVE('',#72352,#72350,#34937,.T.); #92110=EDGE_CURVE('',#72302,#72351,#34938,.T.); #92111=EDGE_CURVE('',#72304,#72352,#34939,.T.); #92112=EDGE_CURVE('',#72353,#72354,#34940,.T.); #92113=EDGE_CURVE('',#72353,#72355,#34941,.T.); #92114=EDGE_CURVE('',#72355,#72356,#34942,.T.); #92115=EDGE_CURVE('',#72354,#72356,#34943,.T.); #92116=EDGE_CURVE('',#72357,#72353,#34944,.T.); #92117=EDGE_CURVE('',#72357,#72358,#34945,.T.); #92118=EDGE_CURVE('',#72358,#72355,#34946,.T.); #92119=EDGE_CURVE('',#72291,#72357,#34947,.T.); #92120=EDGE_CURVE('',#72292,#72358,#34948,.T.); #92121=EDGE_CURVE('',#72354,#72291,#34949,.T.); #92122=EDGE_CURVE('',#72356,#72292,#34950,.T.); #92123=EDGE_CURVE('',#72359,#72360,#34951,.T.); #92124=EDGE_CURVE('',#72359,#72361,#34952,.T.); #92125=EDGE_CURVE('',#72361,#72362,#34953,.T.); #92126=EDGE_CURVE('',#72360,#72362,#34954,.T.); #92127=EDGE_CURVE('',#72363,#72359,#34955,.T.); #92128=EDGE_CURVE('',#72363,#72364,#34956,.T.); #92129=EDGE_CURVE('',#72364,#72361,#34957,.T.); #92130=EDGE_CURVE('',#72359,#72361,#34958,.T.); #92131=EDGE_CURVE('',#72365,#72363,#34959,.T.); #92132=EDGE_CURVE('',#72365,#72366,#34960,.T.); #92133=EDGE_CURVE('',#72366,#72364,#34961,.T.); #92134=EDGE_CURVE('',#72360,#72365,#34962,.T.); #92135=EDGE_CURVE('',#72362,#72366,#34963,.T.); #92136=EDGE_CURVE('',#72367,#72368,#34964,.T.); #92137=EDGE_CURVE('',#72367,#72369,#34965,.T.); #92138=EDGE_CURVE('',#72369,#72370,#34966,.T.); #92139=EDGE_CURVE('',#72368,#72370,#34967,.T.); #92140=EDGE_CURVE('',#72371,#72367,#34968,.T.); #92141=EDGE_CURVE('',#72371,#72372,#34969,.T.); #92142=EDGE_CURVE('',#72372,#72369,#34970,.T.); #92143=EDGE_CURVE('',#72373,#72371,#34971,.T.); #92144=EDGE_CURVE('',#72373,#72374,#34972,.T.); #92145=EDGE_CURVE('',#72374,#72372,#34973,.T.); #92146=EDGE_CURVE('',#72371,#72372,#34974,.T.); #92147=EDGE_CURVE('',#72359,#72373,#34975,.T.); #92148=EDGE_CURVE('',#72361,#72374,#34976,.T.); #92149=EDGE_CURVE('',#72375,#72359,#34977,.T.); #92150=EDGE_CURVE('',#72375,#72376,#34978,.T.); #92151=EDGE_CURVE('',#72376,#72361,#34979,.T.); #92152=EDGE_CURVE('',#72377,#72375,#34980,.T.); #92153=EDGE_CURVE('',#72377,#72378,#34981,.T.); #92154=EDGE_CURVE('',#72378,#72376,#34982,.T.); #92155=EDGE_CURVE('',#72128,#72377,#34983,.T.); #92156=EDGE_CURVE('',#72130,#72378,#34984,.T.); #92157=EDGE_CURVE('',#72379,#72128,#34985,.T.); #92158=EDGE_CURVE('',#72379,#72380,#34986,.T.); #92159=EDGE_CURVE('',#72380,#72130,#34987,.T.); #92160=EDGE_CURVE('',#72381,#72379,#34988,.T.); #92161=EDGE_CURVE('',#72381,#72382,#34989,.T.); #92162=EDGE_CURVE('',#72382,#72380,#34990,.T.); #92163=EDGE_CURVE('',#72242,#72381,#34991,.T.); #92164=EDGE_CURVE('',#72244,#72382,#34992,.T.); #92165=EDGE_CURVE('',#72383,#72242,#34993,.T.); #92166=EDGE_CURVE('',#72383,#72384,#34994,.T.); #92167=EDGE_CURVE('',#72384,#72244,#34995,.T.); #92168=EDGE_CURVE('',#72385,#72383,#34996,.T.); #92169=EDGE_CURVE('',#72385,#72386,#34997,.T.); #92170=EDGE_CURVE('',#72386,#72384,#34998,.T.); #92171=EDGE_CURVE('',#72236,#72385,#34999,.T.); #92172=EDGE_CURVE('',#72238,#72386,#35000,.T.); #92173=EDGE_CURVE('',#72368,#72236,#35001,.T.); #92174=EDGE_CURVE('',#72370,#72238,#35002,.T.); #92175=EDGE_CURVE('',#72387,#72388,#35003,.T.); #92176=EDGE_CURVE('',#72387,#72389,#35004,.T.); #92177=EDGE_CURVE('',#72389,#72390,#35005,.T.); #92178=EDGE_CURVE('',#72388,#72390,#35006,.T.); #92179=EDGE_CURVE('',#72391,#72387,#35007,.T.); #92180=EDGE_CURVE('',#72391,#72392,#35008,.T.); #92181=EDGE_CURVE('',#72392,#72389,#35009,.T.); #92182=EDGE_CURVE('',#72393,#72391,#35010,.T.); #92183=EDGE_CURVE('',#72393,#72394,#35011,.T.); #92184=EDGE_CURVE('',#72394,#72392,#35012,.T.); #92185=EDGE_CURVE('',#72395,#72393,#35013,.T.); #92186=EDGE_CURVE('',#72395,#72396,#35014,.T.); #92187=EDGE_CURVE('',#72396,#72394,#35015,.T.); #92188=EDGE_CURVE('',#72371,#72395,#35016,.T.); #92189=EDGE_CURVE('',#72372,#72396,#35017,.T.); #92190=EDGE_CURVE('',#72388,#72371,#35018,.T.); #92191=EDGE_CURVE('',#72390,#72372,#35019,.T.); #92192=EDGE_CURVE('',#72397,#72398,#35020,.T.); #92193=EDGE_CURVE('',#72397,#72399,#35021,.T.); #92194=EDGE_CURVE('',#72399,#72400,#35022,.T.); #92195=EDGE_CURVE('',#72398,#72400,#35023,.T.); #92196=EDGE_CURVE('',#72401,#72397,#35024,.T.); #92197=EDGE_CURVE('',#72401,#72402,#35025,.T.); #92198=EDGE_CURVE('',#72402,#72399,#35026,.T.); #92199=EDGE_CURVE('',#72403,#72401,#35027,.T.); #92200=EDGE_CURVE('',#72403,#72404,#35028,.T.); #92201=EDGE_CURVE('',#72404,#72402,#35029,.T.); #92202=EDGE_CURVE('',#72405,#72403,#35030,.T.); #92203=EDGE_CURVE('',#72405,#72406,#35031,.T.); #92204=EDGE_CURVE('',#72406,#72404,#35032,.T.); #92205=EDGE_CURVE('',#72407,#72405,#35033,.T.); #92206=EDGE_CURVE('',#72407,#72408,#35034,.T.); #92207=EDGE_CURVE('',#72408,#72406,#35035,.T.); #92208=EDGE_CURVE('',#72409,#72407,#35036,.T.); #92209=EDGE_CURVE('',#72409,#72410,#35037,.T.); #92210=EDGE_CURVE('',#72410,#72408,#35038,.T.); #92211=EDGE_CURVE('',#72411,#72409,#35039,.T.); #92212=EDGE_CURVE('',#72411,#72412,#35040,.T.); #92213=EDGE_CURVE('',#72412,#72410,#35041,.T.); #92214=EDGE_CURVE('',#72413,#72411,#35042,.T.); #92215=EDGE_CURVE('',#72413,#72414,#35043,.T.); #92216=EDGE_CURVE('',#72414,#72412,#35044,.T.); #92217=EDGE_CURVE('',#72415,#72413,#35045,.T.); #92218=EDGE_CURVE('',#72415,#72416,#35046,.T.); #92219=EDGE_CURVE('',#72416,#72414,#35047,.T.); #92220=EDGE_CURVE('',#72417,#72415,#35048,.T.); #92221=EDGE_CURVE('',#72417,#72418,#35049,.T.); #92222=EDGE_CURVE('',#72418,#72416,#35050,.T.); #92223=EDGE_CURVE('',#72419,#72417,#35051,.T.); #92224=EDGE_CURVE('',#72419,#72420,#35052,.T.); #92225=EDGE_CURVE('',#72420,#72418,#35053,.T.); #92226=EDGE_CURVE('',#72421,#72419,#35054,.T.); #92227=EDGE_CURVE('',#72421,#72422,#35055,.T.); #92228=EDGE_CURVE('',#72422,#72420,#35056,.T.); #92229=EDGE_CURVE('',#72423,#72421,#35057,.T.); #92230=EDGE_CURVE('',#72423,#72424,#35058,.T.); #92231=EDGE_CURVE('',#72424,#72422,#35059,.T.); #92232=EDGE_CURVE('',#72425,#72423,#35060,.T.); #92233=EDGE_CURVE('',#72425,#72426,#35061,.T.); #92234=EDGE_CURVE('',#72426,#72424,#35062,.T.); #92235=EDGE_CURVE('',#72427,#72425,#35063,.T.); #92236=EDGE_CURVE('',#72427,#72428,#35064,.T.); #92237=EDGE_CURVE('',#72428,#72426,#35065,.T.); #92238=EDGE_CURVE('',#72429,#72427,#35066,.T.); #92239=EDGE_CURVE('',#72429,#72430,#35067,.T.); #92240=EDGE_CURVE('',#72430,#72428,#35068,.T.); #92241=EDGE_CURVE('',#72431,#72429,#35069,.T.); #92242=EDGE_CURVE('',#72431,#72432,#35070,.T.); #92243=EDGE_CURVE('',#72432,#72430,#35071,.T.); #92244=EDGE_CURVE('',#72433,#72431,#35072,.T.); #92245=EDGE_CURVE('',#72433,#72434,#35073,.T.); #92246=EDGE_CURVE('',#72434,#72432,#35074,.T.); #92247=EDGE_CURVE('',#72431,#72432,#35075,.T.); #92248=EDGE_CURVE('',#72435,#72433,#35076,.T.); #92249=EDGE_CURVE('',#72435,#72436,#35077,.T.); #92250=EDGE_CURVE('',#72436,#72434,#35078,.T.); #92251=EDGE_CURVE('',#72433,#72434,#35079,.T.); #92252=EDGE_CURVE('',#72398,#72435,#35080,.T.); #92253=EDGE_CURVE('',#72398,#72400,#35081,.T.); #92254=EDGE_CURVE('',#72400,#72436,#35082,.T.); #92255=EDGE_CURVE('',#72437,#72438,#35083,.T.); #92256=EDGE_CURVE('',#72437,#72439,#35084,.T.); #92257=EDGE_CURVE('',#72439,#72440,#35085,.T.); #92258=EDGE_CURVE('',#72438,#72440,#35086,.T.); #92259=EDGE_CURVE('',#72398,#72437,#35087,.T.); #92260=EDGE_CURVE('',#72400,#72439,#35088,.T.); #92261=EDGE_CURVE('',#72441,#72398,#35089,.T.); #92262=EDGE_CURVE('',#72441,#72442,#35090,.T.); #92263=EDGE_CURVE('',#72442,#72400,#35091,.T.); #92264=EDGE_CURVE('',#72443,#72441,#35092,.T.); #92265=EDGE_CURVE('',#72443,#72444,#35093,.T.); #92266=EDGE_CURVE('',#72444,#72442,#35094,.T.); #92267=EDGE_CURVE('',#72445,#72443,#35095,.T.); #92268=EDGE_CURVE('',#72445,#72446,#35096,.T.); #92269=EDGE_CURVE('',#72446,#72444,#35097,.T.); #92270=EDGE_CURVE('',#72438,#72445,#35098,.T.); #92271=EDGE_CURVE('',#72440,#72446,#35099,.T.); #92272=EDGE_CURVE('',#72431,#72447,#35100,.T.); #92273=EDGE_CURVE('',#72432,#72448,#35101,.T.); #92274=EDGE_CURVE('',#72447,#72448,#35102,.T.); #92275=EDGE_CURVE('',#72449,#72431,#35103,.T.); #92276=EDGE_CURVE('',#72449,#72450,#35104,.T.); #92277=EDGE_CURVE('',#72450,#72432,#35105,.T.); #92278=EDGE_CURVE('',#72451,#72449,#35106,.T.); #92279=EDGE_CURVE('',#72451,#72452,#35107,.T.); #92280=EDGE_CURVE('',#72452,#72450,#35108,.T.); #92281=EDGE_CURVE('',#72447,#72451,#35109,.T.); #92282=EDGE_CURVE('',#72448,#72452,#35110,.T.); #92283=EDGE_CURVE('',#72453,#72454,#35111,.T.); #92284=EDGE_CURVE('',#72453,#72455,#35112,.T.); #92285=EDGE_CURVE('',#72455,#72456,#35113,.T.); #92286=EDGE_CURVE('',#72454,#72456,#35114,.T.); #92287=EDGE_CURVE('',#72433,#72453,#35115,.T.); #92288=EDGE_CURVE('',#72434,#72455,#35116,.T.); #92289=EDGE_CURVE('',#72457,#72433,#35117,.T.); #92290=EDGE_CURVE('',#72457,#72458,#35118,.T.); #92291=EDGE_CURVE('',#72458,#72434,#35119,.T.); #92292=EDGE_CURVE('',#72454,#72457,#35120,.T.); #92293=EDGE_CURVE('',#72456,#72458,#35121,.T.); #92294=EDGE_CURVE('',#72459,#72460,#35122,.T.); #92295=EDGE_CURVE('',#72459,#72461,#35123,.T.); #92296=EDGE_CURVE('',#72461,#72462,#35124,.T.); #92297=EDGE_CURVE('',#72460,#72462,#35125,.T.); #92298=EDGE_CURVE('',#72463,#72459,#35126,.T.); #92299=EDGE_CURVE('',#72463,#72464,#35127,.T.); #92300=EDGE_CURVE('',#72464,#72461,#35128,.T.); #92301=EDGE_CURVE('',#72465,#72463,#35129,.T.); #92302=EDGE_CURVE('',#72465,#72466,#35130,.T.); #92303=EDGE_CURVE('',#72466,#72464,#35131,.T.); #92304=EDGE_CURVE('',#72460,#72465,#35132,.T.); #92305=EDGE_CURVE('',#72462,#72466,#35133,.T.); #92306=EDGE_CURVE('',#72467,#72468,#35134,.T.); #92307=EDGE_CURVE('',#72467,#72469,#35135,.T.); #92308=EDGE_CURVE('',#72469,#72470,#35136,.T.); #92309=EDGE_CURVE('',#72468,#72470,#35137,.T.); #92310=EDGE_CURVE('',#72471,#72467,#35138,.T.); #92311=EDGE_CURVE('',#72471,#72472,#35139,.T.); #92312=EDGE_CURVE('',#72472,#72469,#35140,.T.); #92313=EDGE_CURVE('',#72473,#72471,#35141,.T.); #92314=EDGE_CURVE('',#72473,#72474,#35142,.T.); #92315=EDGE_CURVE('',#72474,#72472,#35143,.T.); #92316=EDGE_CURVE('',#72468,#72473,#35144,.T.); #92317=EDGE_CURVE('',#72470,#72474,#35145,.T.); #92318=EDGE_CURVE('',#72475,#72476,#35146,.T.); #92319=EDGE_CURVE('',#72475,#72477,#35147,.T.); #92320=EDGE_CURVE('',#72477,#72478,#35148,.T.); #92321=EDGE_CURVE('',#72476,#72478,#35149,.T.); #92322=EDGE_CURVE('',#72479,#72475,#35150,.T.); #92323=EDGE_CURVE('',#72479,#72480,#35151,.T.); #92324=EDGE_CURVE('',#72480,#72477,#35152,.T.); #92325=EDGE_CURVE('',#72481,#72479,#35153,.T.); #92326=EDGE_CURVE('',#72481,#72482,#35154,.T.); #92327=EDGE_CURVE('',#72482,#72480,#35155,.T.); #92328=EDGE_CURVE('',#72483,#72481,#35156,.T.); #92329=EDGE_CURVE('',#72483,#72484,#35157,.T.); #92330=EDGE_CURVE('',#72484,#72482,#35158,.T.); #92331=EDGE_CURVE('',#72485,#72483,#35159,.T.); #92332=EDGE_CURVE('',#72485,#72486,#35160,.T.); #92333=EDGE_CURVE('',#72486,#72484,#35161,.T.); #92334=EDGE_CURVE('',#72487,#72485,#60275,.T.); #92335=EDGE_CURVE('',#72487,#72488,#35162,.T.); #92336=EDGE_CURVE('',#72488,#72486,#60276,.T.); #92337=EDGE_CURVE('',#72489,#72487,#35163,.T.); #92338=EDGE_CURVE('',#72489,#72490,#35164,.T.); #92339=EDGE_CURVE('',#72490,#72488,#35165,.T.); #92340=EDGE_CURVE('',#72491,#72489,#35166,.T.); #92341=EDGE_CURVE('',#72491,#72492,#35167,.T.); #92342=EDGE_CURVE('',#72492,#72490,#35168,.T.); #92343=EDGE_CURVE('',#72493,#72491,#35169,.T.); #92344=EDGE_CURVE('',#72493,#72494,#35170,.T.); #92345=EDGE_CURVE('',#72494,#72492,#35171,.T.); #92346=EDGE_CURVE('',#72495,#72493,#35172,.T.); #92347=EDGE_CURVE('',#72495,#72496,#35173,.T.); #92348=EDGE_CURVE('',#72496,#72494,#35174,.T.); #92349=EDGE_CURVE('',#72497,#72495,#60277,.T.); #92350=EDGE_CURVE('',#72497,#72498,#35175,.T.); #92351=EDGE_CURVE('',#72498,#72496,#60278,.T.); #92352=EDGE_CURVE('',#72499,#72497,#35176,.T.); #92353=EDGE_CURVE('',#72499,#72500,#35177,.T.); #92354=EDGE_CURVE('',#72500,#72498,#35178,.T.); #92355=EDGE_CURVE('',#72501,#72499,#35179,.T.); #92356=EDGE_CURVE('',#72501,#72502,#35180,.T.); #92357=EDGE_CURVE('',#72502,#72500,#35181,.T.); #92358=EDGE_CURVE('',#72503,#72501,#35182,.T.); #92359=EDGE_CURVE('',#72503,#72504,#35183,.T.); #92360=EDGE_CURVE('',#72504,#72502,#35184,.T.); #92361=EDGE_CURVE('',#72505,#72503,#60279,.T.); #92362=EDGE_CURVE('',#72505,#72506,#35185,.T.); #92363=EDGE_CURVE('',#72506,#72504,#60280,.T.); #92364=EDGE_CURVE('',#72507,#72505,#35186,.T.); #92365=EDGE_CURVE('',#72507,#72508,#35187,.T.); #92366=EDGE_CURVE('',#72508,#72506,#35188,.T.); #92367=EDGE_CURVE('',#72509,#72507,#35189,.T.); #92368=EDGE_CURVE('',#72509,#72510,#35190,.T.); #92369=EDGE_CURVE('',#72510,#72508,#35191,.T.); #92370=EDGE_CURVE('',#72511,#72509,#35192,.T.); #92371=EDGE_CURVE('',#72511,#72512,#35193,.T.); #92372=EDGE_CURVE('',#72512,#72510,#35194,.T.); #92373=EDGE_CURVE('',#72513,#72511,#35195,.T.); #92374=EDGE_CURVE('',#72513,#72514,#35196,.T.); #92375=EDGE_CURVE('',#72514,#72512,#35197,.T.); #92376=EDGE_CURVE('',#72515,#72513,#60281,.T.); #92377=EDGE_CURVE('',#72515,#72516,#35198,.T.); #92378=EDGE_CURVE('',#72516,#72514,#60282,.T.); #92379=EDGE_CURVE('',#72517,#72515,#35199,.T.); #92380=EDGE_CURVE('',#72517,#72518,#35200,.T.); #92381=EDGE_CURVE('',#72518,#72516,#35201,.T.); #92382=EDGE_CURVE('',#72519,#72517,#35202,.T.); #92383=EDGE_CURVE('',#72519,#72520,#35203,.T.); #92384=EDGE_CURVE('',#72520,#72518,#35204,.T.); #92385=EDGE_CURVE('',#72521,#72519,#35205,.T.); #92386=EDGE_CURVE('',#72521,#72522,#35206,.T.); #92387=EDGE_CURVE('',#72522,#72520,#35207,.T.); #92388=EDGE_CURVE('',#72476,#72521,#35208,.T.); #92389=EDGE_CURVE('',#72478,#72522,#35209,.T.); #92390=EDGE_CURVE('',#72523,#72524,#35210,.T.); #92391=EDGE_CURVE('',#72523,#72525,#35211,.T.); #92392=EDGE_CURVE('',#72525,#72526,#35212,.T.); #92393=EDGE_CURVE('',#72524,#72526,#35213,.T.); #92394=EDGE_CURVE('',#72527,#72523,#35214,.T.); #92395=EDGE_CURVE('',#72527,#72528,#35215,.T.); #92396=EDGE_CURVE('',#72528,#72525,#35216,.T.); #92397=EDGE_CURVE('',#72529,#72527,#35217,.T.); #92398=EDGE_CURVE('',#72529,#72530,#35218,.T.); #92399=EDGE_CURVE('',#72530,#72528,#35219,.T.); #92400=EDGE_CURVE('',#72531,#72529,#60283,.T.); #92401=EDGE_CURVE('',#72531,#72532,#35220,.T.); #92402=EDGE_CURVE('',#72532,#72530,#60284,.T.); #92403=EDGE_CURVE('',#72533,#72531,#60285,.T.); #92404=EDGE_CURVE('',#72533,#72534,#35221,.T.); #92405=EDGE_CURVE('',#72534,#72532,#60286,.T.); #92406=EDGE_CURVE('',#72535,#72533,#60287,.T.); #92407=EDGE_CURVE('',#72535,#72536,#35222,.T.); #92408=EDGE_CURVE('',#72536,#72534,#60288,.T.); #92409=EDGE_CURVE('',#72537,#72535,#60289,.T.); #92410=EDGE_CURVE('',#72537,#72538,#35223,.T.); #92411=EDGE_CURVE('',#72538,#72536,#60290,.T.); #92412=EDGE_CURVE('',#72539,#72537,#60291,.T.); #92413=EDGE_CURVE('',#72539,#72540,#35224,.T.); #92414=EDGE_CURVE('',#72540,#72538,#60292,.T.); #92415=EDGE_CURVE('',#72524,#72539,#35225,.T.); #92416=EDGE_CURVE('',#72526,#72540,#35226,.T.); #92417=EDGE_CURVE('',#72541,#72542,#60293,.T.); #92418=EDGE_CURVE('',#72541,#72543,#35227,.T.); #92419=EDGE_CURVE('',#72543,#72544,#60294,.T.); #92420=EDGE_CURVE('',#72542,#72544,#35228,.T.); #92421=EDGE_CURVE('',#72545,#72541,#60295,.T.); #92422=EDGE_CURVE('',#72545,#72546,#35229,.T.); #92423=EDGE_CURVE('',#72546,#72543,#60296,.T.); #92424=EDGE_CURVE('',#72547,#72545,#35230,.T.); #92425=EDGE_CURVE('',#72547,#72548,#35231,.T.); #92426=EDGE_CURVE('',#72548,#72546,#35232,.T.); #92427=EDGE_CURVE('',#72549,#72547,#60297,.T.); #92428=EDGE_CURVE('',#72549,#72550,#35233,.T.); #92429=EDGE_CURVE('',#72550,#72548,#60298,.T.); #92430=EDGE_CURVE('',#72551,#72549,#60299,.T.); #92431=EDGE_CURVE('',#72551,#72552,#35234,.T.); #92432=EDGE_CURVE('',#72552,#72550,#60300,.T.); #92433=EDGE_CURVE('',#72553,#72551,#60301,.T.); #92434=EDGE_CURVE('',#72553,#72554,#35235,.T.); #92435=EDGE_CURVE('',#72554,#72552,#60302,.T.); #92436=EDGE_CURVE('',#72555,#72553,#35236,.T.); #92437=EDGE_CURVE('',#72555,#72556,#35237,.T.); #92438=EDGE_CURVE('',#72556,#72554,#35238,.T.); #92439=EDGE_CURVE('',#72557,#72555,#35239,.T.); #92440=EDGE_CURVE('',#72557,#72558,#35240,.T.); #92441=EDGE_CURVE('',#72558,#72556,#35241,.T.); #92442=EDGE_CURVE('',#72559,#72557,#35242,.T.); #92443=EDGE_CURVE('',#72559,#72560,#35243,.T.); #92444=EDGE_CURVE('',#72560,#72558,#35244,.T.); #92445=EDGE_CURVE('',#72561,#72559,#35245,.T.); #92446=EDGE_CURVE('',#72561,#72562,#35246,.T.); #92447=EDGE_CURVE('',#72562,#72560,#35247,.T.); #92448=EDGE_CURVE('',#72563,#72561,#35248,.T.); #92449=EDGE_CURVE('',#72563,#72564,#35249,.T.); #92450=EDGE_CURVE('',#72564,#72562,#35250,.T.); #92451=EDGE_CURVE('',#72565,#72563,#35251,.T.); #92452=EDGE_CURVE('',#72565,#72566,#35252,.T.); #92453=EDGE_CURVE('',#72566,#72564,#35253,.T.); #92454=EDGE_CURVE('',#72567,#72565,#35254,.T.); #92455=EDGE_CURVE('',#72567,#72568,#35255,.T.); #92456=EDGE_CURVE('',#72568,#72566,#35256,.T.); #92457=EDGE_CURVE('',#72569,#72567,#35257,.T.); #92458=EDGE_CURVE('',#72569,#72570,#35258,.T.); #92459=EDGE_CURVE('',#72570,#72568,#35259,.T.); #92460=EDGE_CURVE('',#72571,#72569,#60303,.T.); #92461=EDGE_CURVE('',#72571,#72572,#35260,.T.); #92462=EDGE_CURVE('',#72572,#72570,#60304,.T.); #92463=EDGE_CURVE('',#72573,#72571,#60305,.T.); #92464=EDGE_CURVE('',#72573,#72574,#35261,.T.); #92465=EDGE_CURVE('',#72574,#72572,#60306,.T.); #92466=EDGE_CURVE('',#72575,#72573,#60307,.T.); #92467=EDGE_CURVE('',#72575,#72576,#35262,.T.); #92468=EDGE_CURVE('',#72576,#72574,#60308,.T.); #92469=EDGE_CURVE('',#72577,#72575,#60309,.T.); #92470=EDGE_CURVE('',#72577,#72578,#35263,.T.); #92471=EDGE_CURVE('',#72578,#72576,#60310,.T.); #92472=EDGE_CURVE('',#72579,#72577,#60311,.T.); #92473=EDGE_CURVE('',#72579,#72580,#35264,.T.); #92474=EDGE_CURVE('',#72580,#72578,#60312,.T.); #92475=EDGE_CURVE('',#72581,#72579,#35265,.T.); #92476=EDGE_CURVE('',#72581,#72582,#35266,.T.); #92477=EDGE_CURVE('',#72582,#72580,#35267,.T.); #92478=EDGE_CURVE('',#72583,#72581,#60313,.T.); #92479=EDGE_CURVE('',#72583,#72584,#35268,.T.); #92480=EDGE_CURVE('',#72584,#72582,#60314,.T.); #92481=EDGE_CURVE('',#72585,#72583,#60315,.T.); #92482=EDGE_CURVE('',#72585,#72586,#35269,.T.); #92483=EDGE_CURVE('',#72586,#72584,#60316,.T.); #92484=EDGE_CURVE('',#72587,#72585,#35270,.T.); #92485=EDGE_CURVE('',#72587,#72588,#35271,.T.); #92486=EDGE_CURVE('',#72588,#72586,#35272,.T.); #92487=EDGE_CURVE('',#72589,#72587,#35273,.T.); #92488=EDGE_CURVE('',#72589,#72590,#35274,.T.); #92489=EDGE_CURVE('',#72590,#72588,#35275,.T.); #92490=EDGE_CURVE('',#72591,#72589,#35276,.T.); #92491=EDGE_CURVE('',#72591,#72592,#35277,.T.); #92492=EDGE_CURVE('',#72592,#72590,#35278,.T.); #92493=EDGE_CURVE('',#72593,#72591,#35279,.T.); #92494=EDGE_CURVE('',#72593,#72594,#35280,.T.); #92495=EDGE_CURVE('',#72594,#72592,#35281,.T.); #92496=EDGE_CURVE('',#72595,#72593,#35282,.T.); #92497=EDGE_CURVE('',#72595,#72596,#35283,.T.); #92498=EDGE_CURVE('',#72596,#72594,#35284,.T.); #92499=EDGE_CURVE('',#72597,#72595,#35285,.T.); #92500=EDGE_CURVE('',#72597,#72598,#35286,.T.); #92501=EDGE_CURVE('',#72598,#72596,#35287,.T.); #92502=EDGE_CURVE('',#72599,#72597,#35288,.T.); #92503=EDGE_CURVE('',#72599,#72600,#35289,.T.); #92504=EDGE_CURVE('',#72600,#72598,#35290,.T.); #92505=EDGE_CURVE('',#72601,#72599,#60317,.T.); #92506=EDGE_CURVE('',#72601,#72602,#35291,.T.); #92507=EDGE_CURVE('',#72602,#72600,#60318,.T.); #92508=EDGE_CURVE('',#72542,#72601,#35292,.T.); #92509=EDGE_CURVE('',#72544,#72602,#35293,.T.); #92510=EDGE_CURVE('',#72603,#72604,#35294,.T.); #92511=EDGE_CURVE('',#72603,#72605,#35295,.T.); #92512=EDGE_CURVE('',#72605,#72606,#35296,.T.); #92513=EDGE_CURVE('',#72604,#72606,#35297,.T.); #92514=EDGE_CURVE('',#72607,#72603,#35298,.T.); #92515=EDGE_CURVE('',#72607,#72608,#35299,.T.); #92516=EDGE_CURVE('',#72608,#72605,#35300,.T.); #92517=EDGE_CURVE('',#72609,#72607,#35301,.T.); #92518=EDGE_CURVE('',#72609,#72610,#35302,.T.); #92519=EDGE_CURVE('',#72610,#72608,#35303,.T.); #92520=EDGE_CURVE('',#72611,#72609,#60319,.T.); #92521=EDGE_CURVE('',#72611,#72612,#35304,.T.); #92522=EDGE_CURVE('',#72612,#72610,#60320,.T.); #92523=EDGE_CURVE('',#72613,#72611,#35305,.T.); #92524=EDGE_CURVE('',#72613,#72614,#35306,.T.); #92525=EDGE_CURVE('',#72614,#72612,#35307,.T.); #92526=EDGE_CURVE('',#72615,#72613,#60321,.T.); #92527=EDGE_CURVE('',#72615,#72616,#35308,.T.); #92528=EDGE_CURVE('',#72616,#72614,#60322,.T.); #92529=EDGE_CURVE('',#72617,#72615,#35309,.T.); #92530=EDGE_CURVE('',#72617,#72618,#35310,.T.); #92531=EDGE_CURVE('',#72618,#72616,#35311,.T.); #92532=EDGE_CURVE('',#72619,#72617,#35312,.T.); #92533=EDGE_CURVE('',#72619,#72620,#35313,.T.); #92534=EDGE_CURVE('',#72620,#72618,#35314,.T.); #92535=EDGE_CURVE('',#72621,#72619,#35315,.T.); #92536=EDGE_CURVE('',#72621,#72622,#35316,.T.); #92537=EDGE_CURVE('',#72622,#72620,#35317,.T.); #92538=EDGE_CURVE('',#72623,#72621,#35318,.T.); #92539=EDGE_CURVE('',#72623,#72624,#35319,.T.); #92540=EDGE_CURVE('',#72624,#72622,#35320,.T.); #92541=EDGE_CURVE('',#72604,#72623,#35321,.T.); #92542=EDGE_CURVE('',#72606,#72624,#35322,.T.); #92543=EDGE_CURVE('',#72625,#72626,#60323,.T.); #92544=EDGE_CURVE('',#72625,#72627,#35323,.T.); #92545=EDGE_CURVE('',#72627,#72628,#60324,.T.); #92546=EDGE_CURVE('',#72626,#72628,#35324,.T.); #92547=EDGE_CURVE('',#72629,#72625,#60325,.T.); #92548=EDGE_CURVE('',#72629,#72630,#35325,.T.); #92549=EDGE_CURVE('',#72630,#72627,#60326,.T.); #92550=EDGE_CURVE('',#72631,#72629,#60327,.T.); #92551=EDGE_CURVE('',#72631,#72632,#35326,.T.); #92552=EDGE_CURVE('',#72632,#72630,#60328,.T.); #92553=EDGE_CURVE('',#72633,#72631,#60329,.T.); #92554=EDGE_CURVE('',#72633,#72634,#35327,.T.); #92555=EDGE_CURVE('',#72634,#72632,#60330,.T.); #92556=EDGE_CURVE('',#72635,#72633,#60331,.T.); #92557=EDGE_CURVE('',#72635,#72636,#35328,.T.); #92558=EDGE_CURVE('',#72636,#72634,#60332,.T.); #92559=EDGE_CURVE('',#72637,#72635,#60333,.T.); #92560=EDGE_CURVE('',#72637,#72638,#35329,.T.); #92561=EDGE_CURVE('',#72638,#72636,#60334,.T.); #92562=EDGE_CURVE('',#72639,#72637,#60335,.T.); #92563=EDGE_CURVE('',#72639,#72640,#35330,.T.); #92564=EDGE_CURVE('',#72640,#72638,#60336,.T.); #92565=EDGE_CURVE('',#72641,#72639,#60337,.T.); #92566=EDGE_CURVE('',#72641,#72642,#35331,.T.); #92567=EDGE_CURVE('',#72642,#72640,#60338,.T.); #92568=EDGE_CURVE('',#72643,#72641,#60339,.T.); #92569=EDGE_CURVE('',#72643,#72644,#35332,.T.); #92570=EDGE_CURVE('',#72644,#72642,#60340,.T.); #92571=EDGE_CURVE('',#72626,#72643,#35333,.T.); #92572=EDGE_CURVE('',#72628,#72644,#35334,.T.); #92573=EDGE_CURVE('',#72645,#72646,#60341,.T.); #92574=EDGE_CURVE('',#72645,#72647,#35335,.T.); #92575=EDGE_CURVE('',#72647,#72648,#60342,.T.); #92576=EDGE_CURVE('',#72646,#72648,#35336,.T.); #92577=EDGE_CURVE('',#72649,#72645,#60343,.T.); #92578=EDGE_CURVE('',#72649,#72650,#35337,.T.); #92579=EDGE_CURVE('',#72650,#72647,#60344,.T.); #92580=EDGE_CURVE('',#72651,#72649,#60345,.T.); #92581=EDGE_CURVE('',#72651,#72652,#35338,.T.); #92582=EDGE_CURVE('',#72652,#72650,#60346,.T.); #92583=EDGE_CURVE('',#72653,#72651,#60347,.T.); #92584=EDGE_CURVE('',#72653,#72654,#35339,.T.); #92585=EDGE_CURVE('',#72654,#72652,#60348,.T.); #92586=EDGE_CURVE('',#72655,#72653,#60349,.T.); #92587=EDGE_CURVE('',#72655,#72656,#35340,.T.); #92588=EDGE_CURVE('',#72656,#72654,#60350,.T.); #92589=EDGE_CURVE('',#72657,#72655,#60351,.T.); #92590=EDGE_CURVE('',#72657,#72658,#35341,.T.); #92591=EDGE_CURVE('',#72658,#72656,#60352,.T.); #92592=EDGE_CURVE('',#72659,#72657,#35342,.T.); #92593=EDGE_CURVE('',#72659,#72660,#35343,.T.); #92594=EDGE_CURVE('',#72660,#72658,#35344,.T.); #92595=EDGE_CURVE('',#72661,#72659,#60353,.T.); #92596=EDGE_CURVE('',#72661,#72662,#35345,.T.); #92597=EDGE_CURVE('',#72662,#72660,#60354,.T.); #92598=EDGE_CURVE('',#72663,#72661,#35346,.T.); #92599=EDGE_CURVE('',#72663,#72664,#35347,.T.); #92600=EDGE_CURVE('',#72664,#72662,#35348,.T.); #92601=EDGE_CURVE('',#72665,#72663,#35349,.T.); #92602=EDGE_CURVE('',#72665,#72666,#35350,.T.); #92603=EDGE_CURVE('',#72666,#72664,#35351,.T.); #92604=EDGE_CURVE('',#72667,#72665,#35352,.T.); #92605=EDGE_CURVE('',#72667,#72668,#35353,.T.); #92606=EDGE_CURVE('',#72668,#72666,#35354,.T.); #92607=EDGE_CURVE('',#72669,#72667,#35355,.T.); #92608=EDGE_CURVE('',#72669,#72670,#35356,.T.); #92609=EDGE_CURVE('',#72670,#72668,#35357,.T.); #92610=EDGE_CURVE('',#72671,#72669,#60355,.T.); #92611=EDGE_CURVE('',#72671,#72672,#35358,.T.); #92612=EDGE_CURVE('',#72672,#72670,#60356,.T.); #92613=EDGE_CURVE('',#72673,#72671,#35359,.T.); #92614=EDGE_CURVE('',#72673,#72674,#35360,.T.); #92615=EDGE_CURVE('',#72674,#72672,#35361,.T.); #92616=EDGE_CURVE('',#72675,#72673,#35362,.T.); #92617=EDGE_CURVE('',#72675,#72676,#35363,.T.); #92618=EDGE_CURVE('',#72676,#72674,#35364,.T.); #92619=EDGE_CURVE('',#72677,#72675,#35365,.T.); #92620=EDGE_CURVE('',#72677,#72678,#35366,.T.); #92621=EDGE_CURVE('',#72678,#72676,#35367,.T.); #92622=EDGE_CURVE('',#72679,#72677,#35368,.T.); #92623=EDGE_CURVE('',#72679,#72680,#35369,.T.); #92624=EDGE_CURVE('',#72680,#72678,#35370,.T.); #92625=EDGE_CURVE('',#72681,#72679,#35371,.T.); #92626=EDGE_CURVE('',#72681,#72682,#35372,.T.); #92627=EDGE_CURVE('',#72682,#72680,#35373,.T.); #92628=EDGE_CURVE('',#72683,#72681,#35374,.T.); #92629=EDGE_CURVE('',#72683,#72684,#35375,.T.); #92630=EDGE_CURVE('',#72684,#72682,#35376,.T.); #92631=EDGE_CURVE('',#72685,#72683,#35377,.T.); #92632=EDGE_CURVE('',#72685,#72686,#35378,.T.); #92633=EDGE_CURVE('',#72686,#72684,#35379,.T.); #92634=EDGE_CURVE('',#72687,#72685,#35380,.T.); #92635=EDGE_CURVE('',#72687,#72688,#35381,.T.); #92636=EDGE_CURVE('',#72688,#72686,#35382,.T.); #92637=EDGE_CURVE('',#72689,#72687,#35383,.T.); #92638=EDGE_CURVE('',#72689,#72690,#35384,.T.); #92639=EDGE_CURVE('',#72690,#72688,#35385,.T.); #92640=EDGE_CURVE('',#72691,#72689,#35386,.T.); #92641=EDGE_CURVE('',#72691,#72692,#35387,.T.); #92642=EDGE_CURVE('',#72692,#72690,#35388,.T.); #92643=EDGE_CURVE('',#72693,#72691,#35389,.T.); #92644=EDGE_CURVE('',#72693,#72694,#35390,.T.); #92645=EDGE_CURVE('',#72694,#72692,#35391,.T.); #92646=EDGE_CURVE('',#72695,#72693,#35392,.T.); #92647=EDGE_CURVE('',#72695,#72696,#35393,.T.); #92648=EDGE_CURVE('',#72696,#72694,#35394,.T.); #92649=EDGE_CURVE('',#72697,#72695,#35395,.T.); #92650=EDGE_CURVE('',#72697,#72698,#35396,.T.); #92651=EDGE_CURVE('',#72698,#72696,#35397,.T.); #92652=EDGE_CURVE('',#72699,#72697,#35398,.T.); #92653=EDGE_CURVE('',#72699,#72700,#35399,.T.); #92654=EDGE_CURVE('',#72700,#72698,#35400,.T.); #92655=EDGE_CURVE('',#72701,#72699,#35401,.T.); #92656=EDGE_CURVE('',#72701,#72702,#35402,.T.); #92657=EDGE_CURVE('',#72702,#72700,#35403,.T.); #92658=EDGE_CURVE('',#72703,#72701,#35404,.T.); #92659=EDGE_CURVE('',#72703,#72704,#35405,.T.); #92660=EDGE_CURVE('',#72704,#72702,#35406,.T.); #92661=EDGE_CURVE('',#72705,#72703,#60357,.T.); #92662=EDGE_CURVE('',#72705,#72706,#35407,.T.); #92663=EDGE_CURVE('',#72706,#72704,#60358,.T.); #92664=EDGE_CURVE('',#72707,#72705,#35408,.T.); #92665=EDGE_CURVE('',#72707,#72708,#35409,.T.); #92666=EDGE_CURVE('',#72708,#72706,#35410,.T.); #92667=EDGE_CURVE('',#72709,#72707,#60359,.T.); #92668=EDGE_CURVE('',#72709,#72710,#35411,.T.); #92669=EDGE_CURVE('',#72710,#72708,#60360,.T.); #92670=EDGE_CURVE('',#72711,#72709,#60361,.T.); #92671=EDGE_CURVE('',#72711,#72712,#35412,.T.); #92672=EDGE_CURVE('',#72712,#72710,#60362,.T.); #92673=EDGE_CURVE('',#72713,#72711,#35413,.T.); #92674=EDGE_CURVE('',#72713,#72714,#35414,.T.); #92675=EDGE_CURVE('',#72714,#72712,#35415,.T.); #92676=EDGE_CURVE('',#72715,#72713,#60363,.T.); #92677=EDGE_CURVE('',#72715,#72716,#35416,.T.); #92678=EDGE_CURVE('',#72716,#72714,#60364,.T.); #92679=EDGE_CURVE('',#72717,#72715,#35417,.T.); #92680=EDGE_CURVE('',#72717,#72718,#35418,.T.); #92681=EDGE_CURVE('',#72718,#72716,#35419,.T.); #92682=EDGE_CURVE('',#72719,#72717,#35420,.T.); #92683=EDGE_CURVE('',#72719,#72720,#35421,.T.); #92684=EDGE_CURVE('',#72720,#72718,#35422,.T.); #92685=EDGE_CURVE('',#72646,#72719,#60365,.T.); #92686=EDGE_CURVE('',#72648,#72720,#60366,.T.); #92687=EDGE_CURVE('',#72721,#72722,#60367,.T.); #92688=EDGE_CURVE('',#72721,#72723,#35423,.T.); #92689=EDGE_CURVE('',#72723,#72724,#60368,.T.); #92690=EDGE_CURVE('',#72722,#72724,#35424,.T.); #92691=EDGE_CURVE('',#72725,#72721,#35425,.T.); #92692=EDGE_CURVE('',#72725,#72726,#35426,.T.); #92693=EDGE_CURVE('',#72726,#72723,#35427,.T.); #92694=EDGE_CURVE('',#72727,#72725,#60369,.T.); #92695=EDGE_CURVE('',#72727,#72728,#35428,.T.); #92696=EDGE_CURVE('',#72728,#72726,#60370,.T.); #92697=EDGE_CURVE('',#72729,#72727,#35429,.T.); #92698=EDGE_CURVE('',#72729,#72730,#35430,.T.); #92699=EDGE_CURVE('',#72730,#72728,#35431,.T.); #92700=EDGE_CURVE('',#72731,#72729,#60371,.T.); #92701=EDGE_CURVE('',#72731,#72732,#35432,.T.); #92702=EDGE_CURVE('',#72732,#72730,#60372,.T.); #92703=EDGE_CURVE('',#72733,#72731,#35433,.T.); #92704=EDGE_CURVE('',#72733,#72734,#35434,.T.); #92705=EDGE_CURVE('',#72734,#72732,#35435,.T.); #92706=EDGE_CURVE('',#72735,#72733,#35436,.T.); #92707=EDGE_CURVE('',#72735,#72736,#35437,.T.); #92708=EDGE_CURVE('',#72736,#72734,#35438,.T.); #92709=EDGE_CURVE('',#72737,#72735,#60373,.T.); #92710=EDGE_CURVE('',#72737,#72738,#35439,.T.); #92711=EDGE_CURVE('',#72738,#72736,#60374,.T.); #92712=EDGE_CURVE('',#72739,#72737,#60375,.T.); #92713=EDGE_CURVE('',#72739,#72740,#35440,.T.); #92714=EDGE_CURVE('',#72740,#72738,#60376,.T.); #92715=EDGE_CURVE('',#72741,#72739,#60377,.T.); #92716=EDGE_CURVE('',#72741,#72742,#35441,.T.); #92717=EDGE_CURVE('',#72742,#72740,#60378,.T.); #92718=EDGE_CURVE('',#72743,#72741,#60379,.T.); #92719=EDGE_CURVE('',#72743,#72744,#35442,.T.); #92720=EDGE_CURVE('',#72744,#72742,#60380,.T.); #92721=EDGE_CURVE('',#72745,#72743,#60381,.T.); #92722=EDGE_CURVE('',#72745,#72746,#35443,.T.); #92723=EDGE_CURVE('',#72746,#72744,#60382,.T.); #92724=EDGE_CURVE('',#72747,#72745,#60383,.T.); #92725=EDGE_CURVE('',#72747,#72748,#35444,.T.); #92726=EDGE_CURVE('',#72748,#72746,#60384,.T.); #92727=EDGE_CURVE('',#72749,#72747,#35445,.T.); #92728=EDGE_CURVE('',#72749,#72750,#35446,.T.); #92729=EDGE_CURVE('',#72750,#72748,#35447,.T.); #92730=EDGE_CURVE('',#72751,#72749,#60385,.T.); #92731=EDGE_CURVE('',#72751,#72752,#35448,.T.); #92732=EDGE_CURVE('',#72752,#72750,#60386,.T.); #92733=EDGE_CURVE('',#72753,#72751,#60387,.T.); #92734=EDGE_CURVE('',#72753,#72754,#35449,.T.); #92735=EDGE_CURVE('',#72754,#72752,#60388,.T.); #92736=EDGE_CURVE('',#72755,#72753,#60389,.T.); #92737=EDGE_CURVE('',#72755,#72756,#35450,.T.); #92738=EDGE_CURVE('',#72756,#72754,#60390,.T.); #92739=EDGE_CURVE('',#72757,#72755,#60391,.T.); #92740=EDGE_CURVE('',#72757,#72758,#35451,.T.); #92741=EDGE_CURVE('',#72758,#72756,#60392,.T.); #92742=EDGE_CURVE('',#72759,#72757,#60393,.T.); #92743=EDGE_CURVE('',#72759,#72760,#35452,.T.); #92744=EDGE_CURVE('',#72760,#72758,#60394,.T.); #92745=EDGE_CURVE('',#72761,#72759,#35453,.T.); #92746=EDGE_CURVE('',#72761,#72762,#35454,.T.); #92747=EDGE_CURVE('',#72762,#72760,#35455,.T.); #92748=EDGE_CURVE('',#72763,#72761,#35456,.T.); #92749=EDGE_CURVE('',#72763,#72764,#35457,.T.); #92750=EDGE_CURVE('',#72764,#72762,#35458,.T.); #92751=EDGE_CURVE('',#72765,#72763,#35459,.T.); #92752=EDGE_CURVE('',#72765,#72766,#35460,.T.); #92753=EDGE_CURVE('',#72766,#72764,#35461,.T.); #92754=EDGE_CURVE('',#72767,#72765,#35462,.T.); #92755=EDGE_CURVE('',#72767,#72768,#35463,.T.); #92756=EDGE_CURVE('',#72768,#72766,#35464,.T.); #92757=EDGE_CURVE('',#72769,#72767,#35465,.T.); #92758=EDGE_CURVE('',#72769,#72770,#35466,.T.); #92759=EDGE_CURVE('',#72770,#72768,#35467,.T.); #92760=EDGE_CURVE('',#72771,#72769,#35468,.T.); #92761=EDGE_CURVE('',#72771,#72772,#35469,.T.); #92762=EDGE_CURVE('',#72772,#72770,#35470,.T.); #92763=EDGE_CURVE('',#72773,#72771,#35471,.T.); #92764=EDGE_CURVE('',#72773,#72774,#35472,.T.); #92765=EDGE_CURVE('',#72774,#72772,#35473,.T.); #92766=EDGE_CURVE('',#72775,#72773,#60395,.T.); #92767=EDGE_CURVE('',#72775,#72776,#35474,.T.); #92768=EDGE_CURVE('',#72776,#72774,#60396,.T.); #92769=EDGE_CURVE('',#72777,#72775,#35475,.T.); #92770=EDGE_CURVE('',#72777,#72778,#35476,.T.); #92771=EDGE_CURVE('',#72778,#72776,#35477,.T.); #92772=EDGE_CURVE('',#72779,#72777,#60397,.T.); #92773=EDGE_CURVE('',#72779,#72780,#35478,.T.); #92774=EDGE_CURVE('',#72780,#72778,#60398,.T.); #92775=EDGE_CURVE('',#72781,#72779,#35479,.T.); #92776=EDGE_CURVE('',#72781,#72782,#35480,.T.); #92777=EDGE_CURVE('',#72782,#72780,#35481,.T.); #92778=EDGE_CURVE('',#72783,#72781,#35482,.T.); #92779=EDGE_CURVE('',#72783,#72784,#35483,.T.); #92780=EDGE_CURVE('',#72784,#72782,#35484,.T.); #92781=EDGE_CURVE('',#72785,#72783,#60399,.T.); #92782=EDGE_CURVE('',#72785,#72786,#35485,.T.); #92783=EDGE_CURVE('',#72786,#72784,#60400,.T.); #92784=EDGE_CURVE('',#72787,#72785,#60401,.T.); #92785=EDGE_CURVE('',#72787,#72788,#35486,.T.); #92786=EDGE_CURVE('',#72788,#72786,#60402,.T.); #92787=EDGE_CURVE('',#72789,#72787,#60403,.T.); #92788=EDGE_CURVE('',#72789,#72790,#35487,.T.); #92789=EDGE_CURVE('',#72790,#72788,#60404,.T.); #92790=EDGE_CURVE('',#72791,#72789,#60405,.T.); #92791=EDGE_CURVE('',#72791,#72792,#35488,.T.); #92792=EDGE_CURVE('',#72792,#72790,#60406,.T.); #92793=EDGE_CURVE('',#72793,#72791,#60407,.T.); #92794=EDGE_CURVE('',#72793,#72794,#35489,.T.); #92795=EDGE_CURVE('',#72794,#72792,#60408,.T.); #92796=EDGE_CURVE('',#72795,#72793,#60409,.T.); #92797=EDGE_CURVE('',#72795,#72796,#35490,.T.); #92798=EDGE_CURVE('',#72796,#72794,#60410,.T.); #92799=EDGE_CURVE('',#72797,#72795,#60411,.T.); #92800=EDGE_CURVE('',#72797,#72798,#35491,.T.); #92801=EDGE_CURVE('',#72798,#72796,#60412,.T.); #92802=EDGE_CURVE('',#72799,#72797,#35492,.T.); #92803=EDGE_CURVE('',#72799,#72800,#35493,.T.); #92804=EDGE_CURVE('',#72800,#72798,#35494,.T.); #92805=EDGE_CURVE('',#72801,#72799,#60413,.T.); #92806=EDGE_CURVE('',#72801,#72802,#35495,.T.); #92807=EDGE_CURVE('',#72802,#72800,#60414,.T.); #92808=EDGE_CURVE('',#72722,#72801,#60415,.T.); #92809=EDGE_CURVE('',#72724,#72802,#60416,.T.); #92810=EDGE_CURVE('',#72803,#72804,#35496,.T.); #92811=EDGE_CURVE('',#72803,#72805,#35497,.T.); #92812=EDGE_CURVE('',#72805,#72806,#35498,.T.); #92813=EDGE_CURVE('',#72804,#72806,#35499,.T.); #92814=EDGE_CURVE('',#72807,#72803,#35500,.T.); #92815=EDGE_CURVE('',#72807,#72808,#35501,.T.); #92816=EDGE_CURVE('',#72808,#72805,#35502,.T.); #92817=EDGE_CURVE('',#72809,#72807,#35503,.T.); #92818=EDGE_CURVE('',#72809,#72810,#35504,.T.); #92819=EDGE_CURVE('',#72810,#72808,#35505,.T.); #92820=EDGE_CURVE('',#72804,#72809,#35506,.T.); #92821=EDGE_CURVE('',#72806,#72810,#35507,.T.); #92822=EDGE_CURVE('',#72811,#72812,#35508,.T.); #92823=EDGE_CURVE('',#72811,#72813,#35509,.T.); #92824=EDGE_CURVE('',#72813,#72814,#35510,.T.); #92825=EDGE_CURVE('',#72812,#72814,#35511,.T.); #92826=EDGE_CURVE('',#72815,#72811,#35512,.T.); #92827=EDGE_CURVE('',#72815,#72816,#35513,.T.); #92828=EDGE_CURVE('',#72816,#72813,#35514,.T.); #92829=EDGE_CURVE('',#72817,#72815,#35515,.T.); #92830=EDGE_CURVE('',#72817,#72818,#35516,.T.); #92831=EDGE_CURVE('',#72818,#72816,#35517,.T.); #92832=EDGE_CURVE('',#72812,#72817,#35518,.T.); #92833=EDGE_CURVE('',#72814,#72818,#35519,.T.); #92834=EDGE_CURVE('',#72819,#72820,#35520,.T.); #92835=EDGE_CURVE('',#72819,#72821,#35521,.T.); #92836=EDGE_CURVE('',#72821,#72822,#35522,.T.); #92837=EDGE_CURVE('',#72820,#72822,#35523,.T.); #92838=EDGE_CURVE('',#72823,#72819,#35524,.T.); #92839=EDGE_CURVE('',#72823,#72824,#35525,.T.); #92840=EDGE_CURVE('',#72824,#72821,#35526,.T.); #92841=EDGE_CURVE('',#72825,#72823,#35527,.T.); #92842=EDGE_CURVE('',#72825,#72826,#35528,.T.); #92843=EDGE_CURVE('',#72826,#72824,#35529,.T.); #92844=EDGE_CURVE('',#72820,#72825,#35530,.T.); #92845=EDGE_CURVE('',#72822,#72826,#35531,.T.); #92846=EDGE_CURVE('',#72827,#72828,#35532,.T.); #92847=EDGE_CURVE('',#72827,#72829,#35533,.T.); #92848=EDGE_CURVE('',#72829,#72830,#35534,.T.); #92849=EDGE_CURVE('',#72828,#72830,#35535,.T.); #92850=EDGE_CURVE('',#72831,#72827,#35536,.T.); #92851=EDGE_CURVE('',#72831,#72832,#35537,.T.); #92852=EDGE_CURVE('',#72832,#72829,#35538,.T.); #92853=EDGE_CURVE('',#72833,#72831,#60417,.T.); #92854=EDGE_CURVE('',#72833,#72834,#35539,.T.); #92855=EDGE_CURVE('',#72834,#72832,#60418,.T.); #92856=EDGE_CURVE('',#72835,#72833,#60419,.T.); #92857=EDGE_CURVE('',#72835,#72836,#35540,.T.); #92858=EDGE_CURVE('',#72836,#72834,#60420,.T.); #92859=EDGE_CURVE('',#72837,#72835,#60421,.T.); #92860=EDGE_CURVE('',#72837,#72838,#35541,.T.); #92861=EDGE_CURVE('',#72838,#72836,#60422,.T.); #92862=EDGE_CURVE('',#72839,#72837,#60423,.T.); #92863=EDGE_CURVE('',#72839,#72840,#35542,.T.); #92864=EDGE_CURVE('',#72840,#72838,#60424,.T.); #92865=EDGE_CURVE('',#72841,#72839,#60425,.T.); #92866=EDGE_CURVE('',#72841,#72842,#35543,.T.); #92867=EDGE_CURVE('',#72842,#72840,#60426,.T.); #92868=EDGE_CURVE('',#72828,#72841,#35544,.T.); #92869=EDGE_CURVE('',#72830,#72842,#35545,.T.); #92870=EDGE_CURVE('',#72843,#72844,#60427,.T.); #92871=EDGE_CURVE('',#72843,#72845,#35546,.T.); #92872=EDGE_CURVE('',#72845,#72846,#60428,.T.); #92873=EDGE_CURVE('',#72844,#72846,#35547,.T.); #92874=EDGE_CURVE('',#72847,#72843,#60429,.T.); #92875=EDGE_CURVE('',#72847,#72848,#35548,.T.); #92876=EDGE_CURVE('',#72848,#72845,#60430,.T.); #92877=EDGE_CURVE('',#72849,#72847,#60431,.T.); #92878=EDGE_CURVE('',#72849,#72850,#35549,.T.); #92879=EDGE_CURVE('',#72850,#72848,#60432,.T.); #92880=EDGE_CURVE('',#72851,#72849,#60433,.T.); #92881=EDGE_CURVE('',#72851,#72852,#35550,.T.); #92882=EDGE_CURVE('',#72852,#72850,#60434,.T.); #92883=EDGE_CURVE('',#72853,#72851,#60435,.T.); #92884=EDGE_CURVE('',#72853,#72854,#35551,.T.); #92885=EDGE_CURVE('',#72854,#72852,#60436,.T.); #92886=EDGE_CURVE('',#72855,#72853,#60437,.T.); #92887=EDGE_CURVE('',#72855,#72856,#35552,.T.); #92888=EDGE_CURVE('',#72856,#72854,#60438,.T.); #92889=EDGE_CURVE('',#72857,#72855,#35553,.T.); #92890=EDGE_CURVE('',#72857,#72858,#35554,.T.); #92891=EDGE_CURVE('',#72858,#72856,#35555,.T.); #92892=EDGE_CURVE('',#72859,#72857,#60439,.T.); #92893=EDGE_CURVE('',#72859,#72860,#35556,.T.); #92894=EDGE_CURVE('',#72860,#72858,#60440,.T.); #92895=EDGE_CURVE('',#72861,#72859,#60441,.T.); #92896=EDGE_CURVE('',#72861,#72862,#35557,.T.); #92897=EDGE_CURVE('',#72862,#72860,#60442,.T.); #92898=EDGE_CURVE('',#72863,#72861,#60443,.T.); #92899=EDGE_CURVE('',#72863,#72864,#35558,.T.); #92900=EDGE_CURVE('',#72864,#72862,#60444,.T.); #92901=EDGE_CURVE('',#72865,#72863,#60445,.T.); #92902=EDGE_CURVE('',#72865,#72866,#35559,.T.); #92903=EDGE_CURVE('',#72866,#72864,#60446,.T.); #92904=EDGE_CURVE('',#72867,#72865,#35560,.T.); #92905=EDGE_CURVE('',#72867,#72868,#35561,.T.); #92906=EDGE_CURVE('',#72868,#72866,#35562,.T.); #92907=EDGE_CURVE('',#72869,#72867,#35563,.T.); #92908=EDGE_CURVE('',#72869,#72870,#35564,.T.); #92909=EDGE_CURVE('',#72870,#72868,#35565,.T.); #92910=EDGE_CURVE('',#72871,#72869,#35566,.T.); #92911=EDGE_CURVE('',#72871,#72872,#35567,.T.); #92912=EDGE_CURVE('',#72872,#72870,#35568,.T.); #92913=EDGE_CURVE('',#72873,#72871,#60447,.T.); #92914=EDGE_CURVE('',#72873,#72874,#35569,.T.); #92915=EDGE_CURVE('',#72874,#72872,#60448,.T.); #92916=EDGE_CURVE('',#72875,#72873,#60449,.T.); #92917=EDGE_CURVE('',#72875,#72876,#35570,.T.); #92918=EDGE_CURVE('',#72876,#72874,#60450,.T.); #92919=EDGE_CURVE('',#72877,#72875,#60451,.T.); #92920=EDGE_CURVE('',#72877,#72878,#35571,.T.); #92921=EDGE_CURVE('',#72878,#72876,#60452,.T.); #92922=EDGE_CURVE('',#72879,#72877,#60453,.T.); #92923=EDGE_CURVE('',#72879,#72880,#35572,.T.); #92924=EDGE_CURVE('',#72880,#72878,#60454,.T.); #92925=EDGE_CURVE('',#72881,#72879,#35573,.T.); #92926=EDGE_CURVE('',#72881,#72882,#35574,.T.); #92927=EDGE_CURVE('',#72882,#72880,#35575,.T.); #92928=EDGE_CURVE('',#72883,#72881,#60455,.T.); #92929=EDGE_CURVE('',#72883,#72884,#35576,.T.); #92930=EDGE_CURVE('',#72884,#72882,#60456,.T.); #92931=EDGE_CURVE('',#72885,#72883,#60457,.T.); #92932=EDGE_CURVE('',#72885,#72886,#35577,.T.); #92933=EDGE_CURVE('',#72886,#72884,#60458,.T.); #92934=EDGE_CURVE('',#72887,#72885,#60459,.T.); #92935=EDGE_CURVE('',#72887,#72888,#35578,.T.); #92936=EDGE_CURVE('',#72888,#72886,#60460,.T.); #92937=EDGE_CURVE('',#72889,#72887,#60461,.T.); #92938=EDGE_CURVE('',#72889,#72890,#35579,.T.); #92939=EDGE_CURVE('',#72890,#72888,#60462,.T.); #92940=EDGE_CURVE('',#72891,#72889,#35580,.T.); #92941=EDGE_CURVE('',#72891,#72892,#35581,.T.); #92942=EDGE_CURVE('',#72892,#72890,#35582,.T.); #92943=EDGE_CURVE('',#72893,#72891,#35583,.T.); #92944=EDGE_CURVE('',#72893,#72894,#35584,.T.); #92945=EDGE_CURVE('',#72894,#72892,#35585,.T.); #92946=EDGE_CURVE('',#72895,#72893,#35586,.T.); #92947=EDGE_CURVE('',#72895,#72896,#35587,.T.); #92948=EDGE_CURVE('',#72896,#72894,#35588,.T.); #92949=EDGE_CURVE('',#72897,#72895,#35589,.T.); #92950=EDGE_CURVE('',#72897,#72898,#35590,.T.); #92951=EDGE_CURVE('',#72898,#72896,#35591,.T.); #92952=EDGE_CURVE('',#72899,#72897,#35592,.T.); #92953=EDGE_CURVE('',#72899,#72900,#35593,.T.); #92954=EDGE_CURVE('',#72900,#72898,#35594,.T.); #92955=EDGE_CURVE('',#72901,#72899,#35595,.T.); #92956=EDGE_CURVE('',#72901,#72902,#35596,.T.); #92957=EDGE_CURVE('',#72902,#72900,#35597,.T.); #92958=EDGE_CURVE('',#72903,#72901,#35598,.T.); #92959=EDGE_CURVE('',#72903,#72904,#35599,.T.); #92960=EDGE_CURVE('',#72904,#72902,#35600,.T.); #92961=EDGE_CURVE('',#72905,#72903,#35601,.T.); #92962=EDGE_CURVE('',#72905,#72906,#35602,.T.); #92963=EDGE_CURVE('',#72906,#72904,#35603,.T.); #92964=EDGE_CURVE('',#72907,#72905,#60463,.T.); #92965=EDGE_CURVE('',#72907,#72908,#35604,.T.); #92966=EDGE_CURVE('',#72908,#72906,#60464,.T.); #92967=EDGE_CURVE('',#72909,#72907,#60465,.T.); #92968=EDGE_CURVE('',#72909,#72910,#35605,.T.); #92969=EDGE_CURVE('',#72910,#72908,#60466,.T.); #92970=EDGE_CURVE('',#72911,#72909,#60467,.T.); #92971=EDGE_CURVE('',#72911,#72912,#35606,.T.); #92972=EDGE_CURVE('',#72912,#72910,#60468,.T.); #92973=EDGE_CURVE('',#72844,#72911,#60469,.T.); #92974=EDGE_CURVE('',#72846,#72912,#60470,.T.); #92975=EDGE_CURVE('',#72913,#72914,#35607,.T.); #92976=EDGE_CURVE('',#72913,#72915,#35608,.T.); #92977=EDGE_CURVE('',#72915,#72916,#35609,.T.); #92978=EDGE_CURVE('',#72914,#72916,#35610,.T.); #92979=EDGE_CURVE('',#72917,#72913,#60471,.T.); #92980=EDGE_CURVE('',#72917,#72918,#35611,.T.); #92981=EDGE_CURVE('',#72918,#72915,#60472,.T.); #92982=EDGE_CURVE('',#72919,#72917,#60473,.T.); #92983=EDGE_CURVE('',#72919,#72920,#35612,.T.); #92984=EDGE_CURVE('',#72920,#72918,#60474,.T.); #92985=EDGE_CURVE('',#72921,#72919,#60475,.T.); #92986=EDGE_CURVE('',#72921,#72922,#35613,.T.); #92987=EDGE_CURVE('',#72922,#72920,#60476,.T.); #92988=EDGE_CURVE('',#72923,#72921,#60477,.T.); #92989=EDGE_CURVE('',#72923,#72924,#35614,.T.); #92990=EDGE_CURVE('',#72924,#72922,#60478,.T.); #92991=EDGE_CURVE('',#72925,#72923,#35615,.T.); #92992=EDGE_CURVE('',#72925,#72926,#35616,.T.); #92993=EDGE_CURVE('',#72926,#72924,#35617,.T.); #92994=EDGE_CURVE('',#72927,#72925,#60479,.T.); #92995=EDGE_CURVE('',#72927,#72928,#35618,.T.); #92996=EDGE_CURVE('',#72928,#72926,#60480,.T.); #92997=EDGE_CURVE('',#72929,#72927,#60481,.T.); #92998=EDGE_CURVE('',#72929,#72930,#35619,.T.); #92999=EDGE_CURVE('',#72930,#72928,#60482,.T.); #93000=EDGE_CURVE('',#72931,#72929,#60483,.T.); #93001=EDGE_CURVE('',#72931,#72932,#35620,.T.); #93002=EDGE_CURVE('',#72932,#72930,#60484,.T.); #93003=EDGE_CURVE('',#72933,#72931,#60485,.T.); #93004=EDGE_CURVE('',#72933,#72934,#35621,.T.); #93005=EDGE_CURVE('',#72934,#72932,#60486,.T.); #93006=EDGE_CURVE('',#72935,#72933,#60487,.T.); #93007=EDGE_CURVE('',#72935,#72936,#35622,.T.); #93008=EDGE_CURVE('',#72936,#72934,#60488,.T.); #93009=EDGE_CURVE('',#72937,#72935,#60489,.T.); #93010=EDGE_CURVE('',#72937,#72938,#35623,.T.); #93011=EDGE_CURVE('',#72938,#72936,#60490,.T.); #93012=EDGE_CURVE('',#72939,#72937,#60491,.T.); #93013=EDGE_CURVE('',#72939,#72940,#35624,.T.); #93014=EDGE_CURVE('',#72940,#72938,#60492,.T.); #93015=EDGE_CURVE('',#72941,#72939,#60493,.T.); #93016=EDGE_CURVE('',#72941,#72942,#35625,.T.); #93017=EDGE_CURVE('',#72942,#72940,#60494,.T.); #93018=EDGE_CURVE('',#72943,#72941,#60495,.T.); #93019=EDGE_CURVE('',#72943,#72944,#35626,.T.); #93020=EDGE_CURVE('',#72944,#72942,#60496,.T.); #93021=EDGE_CURVE('',#72945,#72943,#60497,.T.); #93022=EDGE_CURVE('',#72945,#72946,#35627,.T.); #93023=EDGE_CURVE('',#72946,#72944,#60498,.T.); #93024=EDGE_CURVE('',#72947,#72945,#60499,.T.); #93025=EDGE_CURVE('',#72947,#72948,#35628,.T.); #93026=EDGE_CURVE('',#72948,#72946,#60500,.T.); #93027=EDGE_CURVE('',#72949,#72947,#60501,.T.); #93028=EDGE_CURVE('',#72949,#72950,#35629,.T.); #93029=EDGE_CURVE('',#72950,#72948,#60502,.T.); #93030=EDGE_CURVE('',#72951,#72949,#60503,.T.); #93031=EDGE_CURVE('',#72951,#72952,#35630,.T.); #93032=EDGE_CURVE('',#72952,#72950,#60504,.T.); #93033=EDGE_CURVE('',#72953,#72951,#60505,.T.); #93034=EDGE_CURVE('',#72953,#72954,#35631,.T.); #93035=EDGE_CURVE('',#72954,#72952,#60506,.T.); #93036=EDGE_CURVE('',#72955,#72953,#60507,.T.); #93037=EDGE_CURVE('',#72955,#72956,#35632,.T.); #93038=EDGE_CURVE('',#72956,#72954,#60508,.T.); #93039=EDGE_CURVE('',#72957,#72955,#60509,.T.); #93040=EDGE_CURVE('',#72957,#72958,#35633,.T.); #93041=EDGE_CURVE('',#72958,#72956,#60510,.T.); #93042=EDGE_CURVE('',#72959,#72957,#60511,.T.); #93043=EDGE_CURVE('',#72959,#72960,#35634,.T.); #93044=EDGE_CURVE('',#72960,#72958,#60512,.T.); #93045=EDGE_CURVE('',#72961,#72959,#60513,.T.); #93046=EDGE_CURVE('',#72961,#72962,#35635,.T.); #93047=EDGE_CURVE('',#72962,#72960,#60514,.T.); #93048=EDGE_CURVE('',#72963,#72961,#35636,.T.); #93049=EDGE_CURVE('',#72963,#72964,#35637,.T.); #93050=EDGE_CURVE('',#72964,#72962,#35638,.T.); #93051=EDGE_CURVE('',#72965,#72963,#60515,.T.); #93052=EDGE_CURVE('',#72965,#72966,#35639,.T.); #93053=EDGE_CURVE('',#72966,#72964,#60516,.T.); #93054=EDGE_CURVE('',#72967,#72965,#60517,.T.); #93055=EDGE_CURVE('',#72967,#72968,#35640,.T.); #93056=EDGE_CURVE('',#72968,#72966,#60518,.T.); #93057=EDGE_CURVE('',#72969,#72967,#60519,.T.); #93058=EDGE_CURVE('',#72969,#72970,#35641,.T.); #93059=EDGE_CURVE('',#72970,#72968,#60520,.T.); #93060=EDGE_CURVE('',#72971,#72969,#60521,.T.); #93061=EDGE_CURVE('',#72971,#72972,#35642,.T.); #93062=EDGE_CURVE('',#72972,#72970,#60522,.T.); #93063=EDGE_CURVE('',#72973,#72971,#35643,.T.); #93064=EDGE_CURVE('',#72973,#72974,#35644,.T.); #93065=EDGE_CURVE('',#72974,#72972,#35645,.T.); #93066=EDGE_CURVE('',#72975,#72973,#60523,.T.); #93067=EDGE_CURVE('',#72975,#72976,#35646,.T.); #93068=EDGE_CURVE('',#72976,#72974,#60524,.T.); #93069=EDGE_CURVE('',#72977,#72975,#60525,.T.); #93070=EDGE_CURVE('',#72977,#72978,#35647,.T.); #93071=EDGE_CURVE('',#72978,#72976,#60526,.T.); #93072=EDGE_CURVE('',#72979,#72977,#60527,.T.); #93073=EDGE_CURVE('',#72979,#72980,#35648,.T.); #93074=EDGE_CURVE('',#72980,#72978,#60528,.T.); #93075=EDGE_CURVE('',#72981,#72979,#60529,.T.); #93076=EDGE_CURVE('',#72981,#72982,#35649,.T.); #93077=EDGE_CURVE('',#72982,#72980,#60530,.T.); #93078=EDGE_CURVE('',#72983,#72981,#60531,.T.); #93079=EDGE_CURVE('',#72983,#72984,#35650,.T.); #93080=EDGE_CURVE('',#72984,#72982,#60532,.T.); #93081=EDGE_CURVE('',#72985,#72983,#60533,.T.); #93082=EDGE_CURVE('',#72985,#72986,#35651,.T.); #93083=EDGE_CURVE('',#72986,#72984,#60534,.T.); #93084=EDGE_CURVE('',#72987,#72985,#60535,.T.); #93085=EDGE_CURVE('',#72987,#72988,#35652,.T.); #93086=EDGE_CURVE('',#72988,#72986,#60536,.T.); #93087=EDGE_CURVE('',#72989,#72987,#60537,.T.); #93088=EDGE_CURVE('',#72989,#72990,#35653,.T.); #93089=EDGE_CURVE('',#72990,#72988,#60538,.T.); #93090=EDGE_CURVE('',#72991,#72989,#60539,.T.); #93091=EDGE_CURVE('',#72991,#72992,#35654,.T.); #93092=EDGE_CURVE('',#72992,#72990,#60540,.T.); #93093=EDGE_CURVE('',#72993,#72991,#60541,.T.); #93094=EDGE_CURVE('',#72993,#72994,#35655,.T.); #93095=EDGE_CURVE('',#72994,#72992,#60542,.T.); #93096=EDGE_CURVE('',#72995,#72993,#60543,.T.); #93097=EDGE_CURVE('',#72995,#72996,#35656,.T.); #93098=EDGE_CURVE('',#72996,#72994,#60544,.T.); #93099=EDGE_CURVE('',#72997,#72995,#60545,.T.); #93100=EDGE_CURVE('',#72997,#72998,#35657,.T.); #93101=EDGE_CURVE('',#72998,#72996,#60546,.T.); #93102=EDGE_CURVE('',#72999,#72997,#60547,.T.); #93103=EDGE_CURVE('',#72999,#73000,#35658,.T.); #93104=EDGE_CURVE('',#73000,#72998,#60548,.T.); #93105=EDGE_CURVE('',#73001,#72999,#60549,.T.); #93106=EDGE_CURVE('',#73001,#73002,#35659,.T.); #93107=EDGE_CURVE('',#73002,#73000,#60550,.T.); #93108=EDGE_CURVE('',#73003,#73001,#60551,.T.); #93109=EDGE_CURVE('',#73003,#73004,#35660,.T.); #93110=EDGE_CURVE('',#73004,#73002,#60552,.T.); #93111=EDGE_CURVE('',#72914,#73003,#60553,.T.); #93112=EDGE_CURVE('',#72916,#73004,#60554,.T.); #93113=EDGE_CURVE('',#73005,#73006,#60555,.T.); #93114=EDGE_CURVE('',#73005,#73007,#35661,.T.); #93115=EDGE_CURVE('',#73007,#73008,#60556,.T.); #93116=EDGE_CURVE('',#73006,#73008,#35662,.T.); #93117=EDGE_CURVE('',#73009,#73005,#60557,.T.); #93118=EDGE_CURVE('',#73009,#73010,#35663,.T.); #93119=EDGE_CURVE('',#73010,#73007,#60558,.T.); #93120=EDGE_CURVE('',#73011,#73009,#60559,.T.); #93121=EDGE_CURVE('',#73011,#73012,#35664,.T.); #93122=EDGE_CURVE('',#73012,#73010,#60560,.T.); #93123=EDGE_CURVE('',#73013,#73011,#35665,.T.); #93124=EDGE_CURVE('',#73013,#73014,#35666,.T.); #93125=EDGE_CURVE('',#73014,#73012,#35667,.T.); #93126=EDGE_CURVE('',#73015,#73013,#60561,.T.); #93127=EDGE_CURVE('',#73015,#73016,#35668,.T.); #93128=EDGE_CURVE('',#73016,#73014,#60562,.T.); #93129=EDGE_CURVE('',#73017,#73015,#60563,.T.); #93130=EDGE_CURVE('',#73017,#73018,#35669,.T.); #93131=EDGE_CURVE('',#73018,#73016,#60564,.T.); #93132=EDGE_CURVE('',#73019,#73017,#60565,.T.); #93133=EDGE_CURVE('',#73019,#73020,#35670,.T.); #93134=EDGE_CURVE('',#73020,#73018,#60566,.T.); #93135=EDGE_CURVE('',#73021,#73019,#60567,.T.); #93136=EDGE_CURVE('',#73021,#73022,#35671,.T.); #93137=EDGE_CURVE('',#73022,#73020,#60568,.T.); #93138=EDGE_CURVE('',#73023,#73021,#60569,.T.); #93139=EDGE_CURVE('',#73023,#73024,#35672,.T.); #93140=EDGE_CURVE('',#73024,#73022,#60570,.T.); #93141=EDGE_CURVE('',#73025,#73023,#60571,.T.); #93142=EDGE_CURVE('',#73025,#73026,#35673,.T.); #93143=EDGE_CURVE('',#73026,#73024,#60572,.T.); #93144=EDGE_CURVE('',#73027,#73025,#60573,.T.); #93145=EDGE_CURVE('',#73027,#73028,#35674,.T.); #93146=EDGE_CURVE('',#73028,#73026,#60574,.T.); #93147=EDGE_CURVE('',#73029,#73027,#60575,.T.); #93148=EDGE_CURVE('',#73029,#73030,#35675,.T.); #93149=EDGE_CURVE('',#73030,#73028,#60576,.T.); #93150=EDGE_CURVE('',#73031,#73029,#60577,.T.); #93151=EDGE_CURVE('',#73031,#73032,#35676,.T.); #93152=EDGE_CURVE('',#73032,#73030,#60578,.T.); #93153=EDGE_CURVE('',#73033,#73031,#60579,.T.); #93154=EDGE_CURVE('',#73033,#73034,#35677,.T.); #93155=EDGE_CURVE('',#73034,#73032,#60580,.T.); #93156=EDGE_CURVE('',#73035,#73033,#35678,.T.); #93157=EDGE_CURVE('',#73035,#73036,#35679,.T.); #93158=EDGE_CURVE('',#73036,#73034,#35680,.T.); #93159=EDGE_CURVE('',#73037,#73035,#60581,.T.); #93160=EDGE_CURVE('',#73037,#73038,#35681,.T.); #93161=EDGE_CURVE('',#73038,#73036,#60582,.T.); #93162=EDGE_CURVE('',#73039,#73037,#60583,.T.); #93163=EDGE_CURVE('',#73039,#73040,#35682,.T.); #93164=EDGE_CURVE('',#73040,#73038,#60584,.T.); #93165=EDGE_CURVE('',#73041,#73039,#60585,.T.); #93166=EDGE_CURVE('',#73041,#73042,#35683,.T.); #93167=EDGE_CURVE('',#73042,#73040,#60586,.T.); #93168=EDGE_CURVE('',#73043,#73041,#60587,.T.); #93169=EDGE_CURVE('',#73043,#73044,#35684,.T.); #93170=EDGE_CURVE('',#73044,#73042,#60588,.T.); #93171=EDGE_CURVE('',#73045,#73043,#35685,.T.); #93172=EDGE_CURVE('',#73045,#73046,#35686,.T.); #93173=EDGE_CURVE('',#73046,#73044,#35687,.T.); #93174=EDGE_CURVE('',#73047,#73045,#60589,.T.); #93175=EDGE_CURVE('',#73047,#73048,#35688,.T.); #93176=EDGE_CURVE('',#73048,#73046,#60590,.T.); #93177=EDGE_CURVE('',#73049,#73047,#60591,.T.); #93178=EDGE_CURVE('',#73049,#73050,#35689,.T.); #93179=EDGE_CURVE('',#73050,#73048,#60592,.T.); #93180=EDGE_CURVE('',#73051,#73049,#60593,.T.); #93181=EDGE_CURVE('',#73051,#73052,#35690,.T.); #93182=EDGE_CURVE('',#73052,#73050,#60594,.T.); #93183=EDGE_CURVE('',#73053,#73051,#60595,.T.); #93184=EDGE_CURVE('',#73053,#73054,#35691,.T.); #93185=EDGE_CURVE('',#73054,#73052,#60596,.T.); #93186=EDGE_CURVE('',#73055,#73053,#35692,.T.); #93187=EDGE_CURVE('',#73055,#73056,#35693,.T.); #93188=EDGE_CURVE('',#73056,#73054,#35694,.T.); #93189=EDGE_CURVE('',#73057,#73055,#60597,.T.); #93190=EDGE_CURVE('',#73057,#73058,#35695,.T.); #93191=EDGE_CURVE('',#73058,#73056,#60598,.T.); #93192=EDGE_CURVE('',#73059,#73057,#60599,.T.); #93193=EDGE_CURVE('',#73059,#73060,#35696,.T.); #93194=EDGE_CURVE('',#73060,#73058,#60600,.T.); #93195=EDGE_CURVE('',#73061,#73059,#60601,.T.); #93196=EDGE_CURVE('',#73061,#73062,#35697,.T.); #93197=EDGE_CURVE('',#73062,#73060,#60602,.T.); #93198=EDGE_CURVE('',#73063,#73061,#60603,.T.); #93199=EDGE_CURVE('',#73063,#73064,#35698,.T.); #93200=EDGE_CURVE('',#73064,#73062,#60604,.T.); #93201=EDGE_CURVE('',#73065,#73063,#60605,.T.); #93202=EDGE_CURVE('',#73065,#73066,#35699,.T.); #93203=EDGE_CURVE('',#73066,#73064,#60606,.T.); #93204=EDGE_CURVE('',#73067,#73065,#60607,.T.); #93205=EDGE_CURVE('',#73067,#73068,#35700,.T.); #93206=EDGE_CURVE('',#73068,#73066,#60608,.T.); #93207=EDGE_CURVE('',#73069,#73067,#60609,.T.); #93208=EDGE_CURVE('',#73069,#73070,#35701,.T.); #93209=EDGE_CURVE('',#73070,#73068,#60610,.T.); #93210=EDGE_CURVE('',#73071,#73069,#60611,.T.); #93211=EDGE_CURVE('',#73071,#73072,#35702,.T.); #93212=EDGE_CURVE('',#73072,#73070,#60612,.T.); #93213=EDGE_CURVE('',#73073,#73071,#60613,.T.); #93214=EDGE_CURVE('',#73073,#73074,#35703,.T.); #93215=EDGE_CURVE('',#73074,#73072,#60614,.T.); #93216=EDGE_CURVE('',#73075,#73073,#60615,.T.); #93217=EDGE_CURVE('',#73075,#73076,#35704,.T.); #93218=EDGE_CURVE('',#73076,#73074,#60616,.T.); #93219=EDGE_CURVE('',#73077,#73075,#60617,.T.); #93220=EDGE_CURVE('',#73077,#73078,#35705,.T.); #93221=EDGE_CURVE('',#73078,#73076,#60618,.T.); #93222=EDGE_CURVE('',#73006,#73077,#60619,.T.); #93223=EDGE_CURVE('',#73008,#73078,#60620,.T.); #93224=EDGE_CURVE('',#73079,#73080,#35706,.T.); #93225=EDGE_CURVE('',#73079,#73081,#35707,.T.); #93226=EDGE_CURVE('',#73081,#73082,#35708,.T.); #93227=EDGE_CURVE('',#73080,#73082,#35709,.T.); #93228=EDGE_CURVE('',#73083,#73079,#60621,.T.); #93229=EDGE_CURVE('',#73083,#73084,#35710,.T.); #93230=EDGE_CURVE('',#73084,#73081,#60622,.T.); #93231=EDGE_CURVE('',#73085,#73083,#60623,.T.); #93232=EDGE_CURVE('',#73085,#73086,#35711,.T.); #93233=EDGE_CURVE('',#73086,#73084,#60624,.T.); #93234=EDGE_CURVE('',#73087,#73085,#60625,.T.); #93235=EDGE_CURVE('',#73087,#73088,#35712,.T.); #93236=EDGE_CURVE('',#73088,#73086,#60626,.T.); #93237=EDGE_CURVE('',#73089,#73087,#60627,.T.); #93238=EDGE_CURVE('',#73089,#73090,#35713,.T.); #93239=EDGE_CURVE('',#73090,#73088,#60628,.T.); #93240=EDGE_CURVE('',#73091,#73089,#35714,.T.); #93241=EDGE_CURVE('',#73091,#73092,#35715,.T.); #93242=EDGE_CURVE('',#73092,#73090,#35716,.T.); #93243=EDGE_CURVE('',#73093,#73091,#60629,.T.); #93244=EDGE_CURVE('',#73093,#73094,#35717,.T.); #93245=EDGE_CURVE('',#73094,#73092,#60630,.T.); #93246=EDGE_CURVE('',#73095,#73093,#60631,.T.); #93247=EDGE_CURVE('',#73095,#73096,#35718,.T.); #93248=EDGE_CURVE('',#73096,#73094,#60632,.T.); #93249=EDGE_CURVE('',#73097,#73095,#60633,.T.); #93250=EDGE_CURVE('',#73097,#73098,#35719,.T.); #93251=EDGE_CURVE('',#73098,#73096,#60634,.T.); #93252=EDGE_CURVE('',#73099,#73097,#60635,.T.); #93253=EDGE_CURVE('',#73099,#73100,#35720,.T.); #93254=EDGE_CURVE('',#73100,#73098,#60636,.T.); #93255=EDGE_CURVE('',#73080,#73099,#60637,.T.); #93256=EDGE_CURVE('',#73082,#73100,#60638,.T.); #93257=EDGE_CURVE('',#73101,#73102,#35721,.T.); #93258=EDGE_CURVE('',#73101,#73103,#35722,.T.); #93259=EDGE_CURVE('',#73103,#73104,#35723,.T.); #93260=EDGE_CURVE('',#73102,#73104,#35724,.T.); #93261=EDGE_CURVE('',#73105,#73101,#60639,.T.); #93262=EDGE_CURVE('',#73105,#73106,#35725,.T.); #93263=EDGE_CURVE('',#73106,#73103,#60640,.T.); #93264=EDGE_CURVE('',#73107,#73105,#60641,.T.); #93265=EDGE_CURVE('',#73107,#73108,#35726,.T.); #93266=EDGE_CURVE('',#73108,#73106,#60642,.T.); #93267=EDGE_CURVE('',#73109,#73107,#60643,.T.); #93268=EDGE_CURVE('',#73109,#73110,#35727,.T.); #93269=EDGE_CURVE('',#73110,#73108,#60644,.T.); #93270=EDGE_CURVE('',#73111,#73109,#60645,.T.); #93271=EDGE_CURVE('',#73111,#73112,#35728,.T.); #93272=EDGE_CURVE('',#73112,#73110,#60646,.T.); #93273=EDGE_CURVE('',#73113,#73111,#35729,.T.); #93274=EDGE_CURVE('',#73113,#73114,#35730,.T.); #93275=EDGE_CURVE('',#73114,#73112,#35731,.T.); #93276=EDGE_CURVE('',#73115,#73113,#35732,.T.); #93277=EDGE_CURVE('',#73115,#73116,#35733,.T.); #93278=EDGE_CURVE('',#73116,#73114,#35734,.T.); #93279=EDGE_CURVE('',#73117,#73115,#35735,.T.); #93280=EDGE_CURVE('',#73117,#73118,#35736,.T.); #93281=EDGE_CURVE('',#73118,#73116,#35737,.T.); #93282=EDGE_CURVE('',#73119,#73117,#60647,.T.); #93283=EDGE_CURVE('',#73119,#73120,#35738,.T.); #93284=EDGE_CURVE('',#73120,#73118,#60648,.T.); #93285=EDGE_CURVE('',#73121,#73119,#60649,.T.); #93286=EDGE_CURVE('',#73121,#73122,#35739,.T.); #93287=EDGE_CURVE('',#73122,#73120,#60650,.T.); #93288=EDGE_CURVE('',#73123,#73121,#60651,.T.); #93289=EDGE_CURVE('',#73123,#73124,#35740,.T.); #93290=EDGE_CURVE('',#73124,#73122,#60652,.T.); #93291=EDGE_CURVE('',#73125,#73123,#60653,.T.); #93292=EDGE_CURVE('',#73125,#73126,#35741,.T.); #93293=EDGE_CURVE('',#73126,#73124,#60654,.T.); #93294=EDGE_CURVE('',#73127,#73125,#35742,.T.); #93295=EDGE_CURVE('',#73127,#73128,#35743,.T.); #93296=EDGE_CURVE('',#73128,#73126,#35744,.T.); #93297=EDGE_CURVE('',#73129,#73127,#60655,.T.); #93298=EDGE_CURVE('',#73129,#73130,#35745,.T.); #93299=EDGE_CURVE('',#73130,#73128,#60656,.T.); #93300=EDGE_CURVE('',#73131,#73129,#60657,.T.); #93301=EDGE_CURVE('',#73131,#73132,#35746,.T.); #93302=EDGE_CURVE('',#73132,#73130,#60658,.T.); #93303=EDGE_CURVE('',#73133,#73131,#60659,.T.); #93304=EDGE_CURVE('',#73133,#73134,#35747,.T.); #93305=EDGE_CURVE('',#73134,#73132,#60660,.T.); #93306=EDGE_CURVE('',#73135,#73133,#60661,.T.); #93307=EDGE_CURVE('',#73135,#73136,#35748,.T.); #93308=EDGE_CURVE('',#73136,#73134,#60662,.T.); #93309=EDGE_CURVE('',#73137,#73135,#35749,.T.); #93310=EDGE_CURVE('',#73137,#73138,#35750,.T.); #93311=EDGE_CURVE('',#73138,#73136,#35751,.T.); #93312=EDGE_CURVE('',#73139,#73137,#35752,.T.); #93313=EDGE_CURVE('',#73139,#73140,#35753,.T.); #93314=EDGE_CURVE('',#73140,#73138,#35754,.T.); #93315=EDGE_CURVE('',#73141,#73139,#60663,.T.); #93316=EDGE_CURVE('',#73141,#73142,#35755,.T.); #93317=EDGE_CURVE('',#73142,#73140,#60664,.T.); #93318=EDGE_CURVE('',#73143,#73141,#60665,.T.); #93319=EDGE_CURVE('',#73143,#73144,#35756,.T.); #93320=EDGE_CURVE('',#73144,#73142,#60666,.T.); #93321=EDGE_CURVE('',#73145,#73143,#60667,.T.); #93322=EDGE_CURVE('',#73145,#73146,#35757,.T.); #93323=EDGE_CURVE('',#73146,#73144,#60668,.T.); #93324=EDGE_CURVE('',#73147,#73145,#60669,.T.); #93325=EDGE_CURVE('',#73147,#73148,#35758,.T.); #93326=EDGE_CURVE('',#73148,#73146,#60670,.T.); #93327=EDGE_CURVE('',#73149,#73147,#35759,.T.); #93328=EDGE_CURVE('',#73149,#73150,#35760,.T.); #93329=EDGE_CURVE('',#73150,#73148,#35761,.T.); #93330=EDGE_CURVE('',#73151,#73149,#35762,.T.); #93331=EDGE_CURVE('',#73151,#73152,#35763,.T.); #93332=EDGE_CURVE('',#73152,#73150,#35764,.T.); #93333=EDGE_CURVE('',#73153,#73151,#60671,.T.); #93334=EDGE_CURVE('',#73153,#73154,#35765,.T.); #93335=EDGE_CURVE('',#73154,#73152,#60672,.T.); #93336=EDGE_CURVE('',#73155,#73153,#60673,.T.); #93337=EDGE_CURVE('',#73155,#73156,#35766,.T.); #93338=EDGE_CURVE('',#73156,#73154,#60674,.T.); #93339=EDGE_CURVE('',#73157,#73155,#60675,.T.); #93340=EDGE_CURVE('',#73157,#73158,#35767,.T.); #93341=EDGE_CURVE('',#73158,#73156,#60676,.T.); #93342=EDGE_CURVE('',#73159,#73157,#60677,.T.); #93343=EDGE_CURVE('',#73159,#73160,#35768,.T.); #93344=EDGE_CURVE('',#73160,#73158,#60678,.T.); #93345=EDGE_CURVE('',#73161,#73159,#35769,.T.); #93346=EDGE_CURVE('',#73161,#73162,#35770,.T.); #93347=EDGE_CURVE('',#73162,#73160,#35771,.T.); #93348=EDGE_CURVE('',#73163,#73161,#35772,.T.); #93349=EDGE_CURVE('',#73163,#73164,#35773,.T.); #93350=EDGE_CURVE('',#73164,#73162,#35774,.T.); #93351=EDGE_CURVE('',#73165,#73163,#60679,.T.); #93352=EDGE_CURVE('',#73165,#73166,#35775,.T.); #93353=EDGE_CURVE('',#73166,#73164,#60680,.T.); #93354=EDGE_CURVE('',#73167,#73165,#60681,.T.); #93355=EDGE_CURVE('',#73167,#73168,#35776,.T.); #93356=EDGE_CURVE('',#73168,#73166,#60682,.T.); #93357=EDGE_CURVE('',#73169,#73167,#60683,.T.); #93358=EDGE_CURVE('',#73169,#73170,#35777,.T.); #93359=EDGE_CURVE('',#73170,#73168,#60684,.T.); #93360=EDGE_CURVE('',#73171,#73169,#60685,.T.); #93361=EDGE_CURVE('',#73171,#73172,#35778,.T.); #93362=EDGE_CURVE('',#73172,#73170,#60686,.T.); #93363=EDGE_CURVE('',#73173,#73171,#35779,.T.); #93364=EDGE_CURVE('',#73173,#73174,#35780,.T.); #93365=EDGE_CURVE('',#73174,#73172,#35781,.T.); #93366=EDGE_CURVE('',#73175,#73173,#35782,.T.); #93367=EDGE_CURVE('',#73175,#73176,#35783,.T.); #93368=EDGE_CURVE('',#73176,#73174,#35784,.T.); #93369=EDGE_CURVE('',#73177,#73175,#60687,.T.); #93370=EDGE_CURVE('',#73177,#73178,#35785,.T.); #93371=EDGE_CURVE('',#73178,#73176,#60688,.T.); #93372=EDGE_CURVE('',#73179,#73177,#60689,.T.); #93373=EDGE_CURVE('',#73179,#73180,#35786,.T.); #93374=EDGE_CURVE('',#73180,#73178,#60690,.T.); #93375=EDGE_CURVE('',#73181,#73179,#60691,.T.); #93376=EDGE_CURVE('',#73181,#73182,#35787,.T.); #93377=EDGE_CURVE('',#73182,#73180,#60692,.T.); #93378=EDGE_CURVE('',#73183,#73181,#60693,.T.); #93379=EDGE_CURVE('',#73183,#73184,#35788,.T.); #93380=EDGE_CURVE('',#73184,#73182,#60694,.T.); #93381=EDGE_CURVE('',#73185,#73183,#35789,.T.); #93382=EDGE_CURVE('',#73185,#73186,#35790,.T.); #93383=EDGE_CURVE('',#73186,#73184,#35791,.T.); #93384=EDGE_CURVE('',#73187,#73185,#35792,.T.); #93385=EDGE_CURVE('',#73187,#73188,#35793,.T.); #93386=EDGE_CURVE('',#73188,#73186,#35794,.T.); #93387=EDGE_CURVE('',#73189,#73187,#60695,.T.); #93388=EDGE_CURVE('',#73189,#73190,#35795,.T.); #93389=EDGE_CURVE('',#73190,#73188,#60696,.T.); #93390=EDGE_CURVE('',#73191,#73189,#60697,.T.); #93391=EDGE_CURVE('',#73191,#73192,#35796,.T.); #93392=EDGE_CURVE('',#73192,#73190,#60698,.T.); #93393=EDGE_CURVE('',#73193,#73191,#60699,.T.); #93394=EDGE_CURVE('',#73193,#73194,#35797,.T.); #93395=EDGE_CURVE('',#73194,#73192,#60700,.T.); #93396=EDGE_CURVE('',#73195,#73193,#60701,.T.); #93397=EDGE_CURVE('',#73195,#73196,#35798,.T.); #93398=EDGE_CURVE('',#73196,#73194,#60702,.T.); #93399=EDGE_CURVE('',#73197,#73195,#60703,.T.); #93400=EDGE_CURVE('',#73197,#73198,#35799,.T.); #93401=EDGE_CURVE('',#73198,#73196,#60704,.T.); #93402=EDGE_CURVE('',#73199,#73197,#60705,.T.); #93403=EDGE_CURVE('',#73199,#73200,#35800,.T.); #93404=EDGE_CURVE('',#73200,#73198,#60706,.T.); #93405=EDGE_CURVE('',#73201,#73199,#60707,.T.); #93406=EDGE_CURVE('',#73201,#73202,#35801,.T.); #93407=EDGE_CURVE('',#73202,#73200,#60708,.T.); #93408=EDGE_CURVE('',#73102,#73201,#35802,.T.); #93409=EDGE_CURVE('',#73104,#73202,#35803,.T.); #93410=EDGE_CURVE('',#73203,#73204,#60709,.T.); #93411=EDGE_CURVE('',#73203,#73205,#35804,.T.); #93412=EDGE_CURVE('',#73205,#73206,#60710,.T.); #93413=EDGE_CURVE('',#73204,#73206,#35805,.T.); #93414=EDGE_CURVE('',#73207,#73203,#35806,.T.); #93415=EDGE_CURVE('',#73207,#73208,#35807,.T.); #93416=EDGE_CURVE('',#73208,#73205,#35808,.T.); #93417=EDGE_CURVE('',#73209,#73207,#60711,.T.); #93418=EDGE_CURVE('',#73209,#73210,#35809,.T.); #93419=EDGE_CURVE('',#73210,#73208,#60712,.T.); #93420=EDGE_CURVE('',#73211,#73209,#60713,.T.); #93421=EDGE_CURVE('',#73211,#73212,#35810,.T.); #93422=EDGE_CURVE('',#73212,#73210,#60714,.T.); #93423=EDGE_CURVE('',#73213,#73211,#60715,.T.); #93424=EDGE_CURVE('',#73213,#73214,#35811,.T.); #93425=EDGE_CURVE('',#73214,#73212,#60716,.T.); #93426=EDGE_CURVE('',#73215,#73213,#60717,.T.); #93427=EDGE_CURVE('',#73215,#73216,#35812,.T.); #93428=EDGE_CURVE('',#73216,#73214,#60718,.T.); #93429=EDGE_CURVE('',#73217,#73215,#60719,.T.); #93430=EDGE_CURVE('',#73217,#73218,#35813,.T.); #93431=EDGE_CURVE('',#73218,#73216,#60720,.T.); #93432=EDGE_CURVE('',#73219,#73217,#60721,.T.); #93433=EDGE_CURVE('',#73219,#73220,#35814,.T.); #93434=EDGE_CURVE('',#73220,#73218,#60722,.T.); #93435=EDGE_CURVE('',#73221,#73219,#35815,.T.); #93436=EDGE_CURVE('',#73221,#73222,#35816,.T.); #93437=EDGE_CURVE('',#73222,#73220,#35817,.T.); #93438=EDGE_CURVE('',#73223,#73221,#60723,.T.); #93439=EDGE_CURVE('',#73223,#73224,#35818,.T.); #93440=EDGE_CURVE('',#73224,#73222,#60724,.T.); #93441=EDGE_CURVE('',#73225,#73223,#60725,.T.); #93442=EDGE_CURVE('',#73225,#73226,#35819,.T.); #93443=EDGE_CURVE('',#73226,#73224,#60726,.T.); #93444=EDGE_CURVE('',#73227,#73225,#60727,.T.); #93445=EDGE_CURVE('',#73227,#73228,#35820,.T.); #93446=EDGE_CURVE('',#73228,#73226,#60728,.T.); #93447=EDGE_CURVE('',#73229,#73227,#60729,.T.); #93448=EDGE_CURVE('',#73229,#73230,#35821,.T.); #93449=EDGE_CURVE('',#73230,#73228,#60730,.T.); #93450=EDGE_CURVE('',#73204,#73229,#60731,.T.); #93451=EDGE_CURVE('',#73206,#73230,#60732,.T.); #93452=EDGE_CURVE('',#73231,#73232,#35822,.T.); #93453=EDGE_CURVE('',#73231,#73233,#35823,.T.); #93454=EDGE_CURVE('',#73233,#73234,#35824,.T.); #93455=EDGE_CURVE('',#73232,#73234,#35825,.T.); #93456=EDGE_CURVE('',#73235,#73231,#60733,.T.); #93457=EDGE_CURVE('',#73235,#73236,#35826,.T.); #93458=EDGE_CURVE('',#73236,#73233,#60734,.T.); #93459=EDGE_CURVE('',#73237,#73235,#60735,.T.); #93460=EDGE_CURVE('',#73237,#73238,#35827,.T.); #93461=EDGE_CURVE('',#73238,#73236,#60736,.T.); #93462=EDGE_CURVE('',#73239,#73237,#60737,.T.); #93463=EDGE_CURVE('',#73239,#73240,#35828,.T.); #93464=EDGE_CURVE('',#73240,#73238,#60738,.T.); #93465=EDGE_CURVE('',#73241,#73239,#60739,.T.); #93466=EDGE_CURVE('',#73241,#73242,#35829,.T.); #93467=EDGE_CURVE('',#73242,#73240,#60740,.T.); #93468=EDGE_CURVE('',#73243,#73241,#60741,.T.); #93469=EDGE_CURVE('',#73243,#73244,#35830,.T.); #93470=EDGE_CURVE('',#73244,#73242,#60742,.T.); #93471=EDGE_CURVE('',#73245,#73243,#60743,.T.); #93472=EDGE_CURVE('',#73245,#73246,#35831,.T.); #93473=EDGE_CURVE('',#73246,#73244,#60744,.T.); #93474=EDGE_CURVE('',#73247,#73245,#60745,.T.); #93475=EDGE_CURVE('',#73247,#73248,#35832,.T.); #93476=EDGE_CURVE('',#73248,#73246,#60746,.T.); #93477=EDGE_CURVE('',#73249,#73247,#35833,.T.); #93478=EDGE_CURVE('',#73249,#73250,#35834,.T.); #93479=EDGE_CURVE('',#73250,#73248,#35835,.T.); #93480=EDGE_CURVE('',#73251,#73249,#60747,.T.); #93481=EDGE_CURVE('',#73251,#73252,#35836,.T.); #93482=EDGE_CURVE('',#73252,#73250,#60748,.T.); #93483=EDGE_CURVE('',#73253,#73251,#60749,.T.); #93484=EDGE_CURVE('',#73253,#73254,#35837,.T.); #93485=EDGE_CURVE('',#73254,#73252,#60750,.T.); #93486=EDGE_CURVE('',#73255,#73253,#60751,.T.); #93487=EDGE_CURVE('',#73255,#73256,#35838,.T.); #93488=EDGE_CURVE('',#73256,#73254,#60752,.T.); #93489=EDGE_CURVE('',#73257,#73255,#60753,.T.); #93490=EDGE_CURVE('',#73257,#73258,#35839,.T.); #93491=EDGE_CURVE('',#73258,#73256,#60754,.T.); #93492=EDGE_CURVE('',#73259,#73257,#60755,.T.); #93493=EDGE_CURVE('',#73259,#73260,#35840,.T.); #93494=EDGE_CURVE('',#73260,#73258,#60756,.T.); #93495=EDGE_CURVE('',#73261,#73259,#60757,.T.); #93496=EDGE_CURVE('',#73261,#73262,#35841,.T.); #93497=EDGE_CURVE('',#73262,#73260,#60758,.T.); #93498=EDGE_CURVE('',#73232,#73261,#60759,.T.); #93499=EDGE_CURVE('',#73234,#73262,#60760,.T.); #93500=EDGE_CURVE('',#73263,#73264,#60761,.T.); #93501=EDGE_CURVE('',#73263,#73265,#35842,.T.); #93502=EDGE_CURVE('',#73265,#73266,#60762,.T.); #93503=EDGE_CURVE('',#73264,#73266,#35843,.T.); #93504=EDGE_CURVE('',#73267,#73263,#35844,.T.); #93505=EDGE_CURVE('',#73267,#73268,#35845,.T.); #93506=EDGE_CURVE('',#73268,#73265,#35846,.T.); #93507=EDGE_CURVE('',#73269,#73267,#60763,.T.); #93508=EDGE_CURVE('',#73269,#73270,#35847,.T.); #93509=EDGE_CURVE('',#73270,#73268,#60764,.T.); #93510=EDGE_CURVE('',#73271,#73269,#60765,.T.); #93511=EDGE_CURVE('',#73271,#73272,#35848,.T.); #93512=EDGE_CURVE('',#73272,#73270,#60766,.T.); #93513=EDGE_CURVE('',#73273,#73271,#60767,.T.); #93514=EDGE_CURVE('',#73273,#73274,#35849,.T.); #93515=EDGE_CURVE('',#73274,#73272,#60768,.T.); #93516=EDGE_CURVE('',#73275,#73273,#60769,.T.); #93517=EDGE_CURVE('',#73275,#73276,#35850,.T.); #93518=EDGE_CURVE('',#73276,#73274,#60770,.T.); #93519=EDGE_CURVE('',#73277,#73275,#60771,.T.); #93520=EDGE_CURVE('',#73277,#73278,#35851,.T.); #93521=EDGE_CURVE('',#73278,#73276,#60772,.T.); #93522=EDGE_CURVE('',#73279,#73277,#60773,.T.); #93523=EDGE_CURVE('',#73279,#73280,#35852,.T.); #93524=EDGE_CURVE('',#73280,#73278,#60774,.T.); #93525=EDGE_CURVE('',#73281,#73279,#35853,.T.); #93526=EDGE_CURVE('',#73281,#73282,#35854,.T.); #93527=EDGE_CURVE('',#73282,#73280,#35855,.T.); #93528=EDGE_CURVE('',#73283,#73281,#60775,.T.); #93529=EDGE_CURVE('',#73283,#73284,#35856,.T.); #93530=EDGE_CURVE('',#73284,#73282,#60776,.T.); #93531=EDGE_CURVE('',#73285,#73283,#60777,.T.); #93532=EDGE_CURVE('',#73285,#73286,#35857,.T.); #93533=EDGE_CURVE('',#73286,#73284,#60778,.T.); #93534=EDGE_CURVE('',#73287,#73285,#60779,.T.); #93535=EDGE_CURVE('',#73287,#73288,#35858,.T.); #93536=EDGE_CURVE('',#73288,#73286,#60780,.T.); #93537=EDGE_CURVE('',#73289,#73287,#60781,.T.); #93538=EDGE_CURVE('',#73289,#73290,#35859,.T.); #93539=EDGE_CURVE('',#73290,#73288,#60782,.T.); #93540=EDGE_CURVE('',#73264,#73289,#60783,.T.); #93541=EDGE_CURVE('',#73266,#73290,#60784,.T.); #93542=EDGE_CURVE('',#73291,#73292,#60785,.T.); #93543=EDGE_CURVE('',#73291,#73293,#35860,.T.); #93544=EDGE_CURVE('',#73293,#73294,#60786,.T.); #93545=EDGE_CURVE('',#73292,#73294,#35861,.T.); #93546=EDGE_CURVE('',#73295,#73291,#35862,.T.); #93547=EDGE_CURVE('',#73295,#73296,#35863,.T.); #93548=EDGE_CURVE('',#73296,#73293,#35864,.T.); #93549=EDGE_CURVE('',#73297,#73295,#60787,.T.); #93550=EDGE_CURVE('',#73297,#73298,#35865,.T.); #93551=EDGE_CURVE('',#73298,#73296,#60788,.T.); #93552=EDGE_CURVE('',#73299,#73297,#60789,.T.); #93553=EDGE_CURVE('',#73299,#73300,#35866,.T.); #93554=EDGE_CURVE('',#73300,#73298,#60790,.T.); #93555=EDGE_CURVE('',#73301,#73299,#60791,.T.); #93556=EDGE_CURVE('',#73301,#73302,#35867,.T.); #93557=EDGE_CURVE('',#73302,#73300,#60792,.T.); #93558=EDGE_CURVE('',#73303,#73301,#60793,.T.); #93559=EDGE_CURVE('',#73303,#73304,#35868,.T.); #93560=EDGE_CURVE('',#73304,#73302,#60794,.T.); #93561=EDGE_CURVE('',#73305,#73303,#60795,.T.); #93562=EDGE_CURVE('',#73305,#73306,#35869,.T.); #93563=EDGE_CURVE('',#73306,#73304,#60796,.T.); #93564=EDGE_CURVE('',#73307,#73305,#60797,.T.); #93565=EDGE_CURVE('',#73307,#73308,#35870,.T.); #93566=EDGE_CURVE('',#73308,#73306,#60798,.T.); #93567=EDGE_CURVE('',#73309,#73307,#60799,.T.); #93568=EDGE_CURVE('',#73309,#73310,#35871,.T.); #93569=EDGE_CURVE('',#73310,#73308,#60800,.T.); #93570=EDGE_CURVE('',#73311,#73309,#35872,.T.); #93571=EDGE_CURVE('',#73311,#73312,#35873,.T.); #93572=EDGE_CURVE('',#73312,#73310,#35874,.T.); #93573=EDGE_CURVE('',#73313,#73311,#60801,.T.); #93574=EDGE_CURVE('',#73313,#73314,#35875,.T.); #93575=EDGE_CURVE('',#73314,#73312,#60802,.T.); #93576=EDGE_CURVE('',#73315,#73313,#60803,.T.); #93577=EDGE_CURVE('',#73315,#73316,#35876,.T.); #93578=EDGE_CURVE('',#73316,#73314,#60804,.T.); #93579=EDGE_CURVE('',#73317,#73315,#60805,.T.); #93580=EDGE_CURVE('',#73317,#73318,#35877,.T.); #93581=EDGE_CURVE('',#73318,#73316,#60806,.T.); #93582=EDGE_CURVE('',#73319,#73317,#60807,.T.); #93583=EDGE_CURVE('',#73319,#73320,#35878,.T.); #93584=EDGE_CURVE('',#73320,#73318,#60808,.T.); #93585=EDGE_CURVE('',#73321,#73319,#60809,.T.); #93586=EDGE_CURVE('',#73321,#73322,#35879,.T.); #93587=EDGE_CURVE('',#73322,#73320,#60810,.T.); #93588=EDGE_CURVE('',#73292,#73321,#60811,.T.); #93589=EDGE_CURVE('',#73294,#73322,#60812,.T.); #93590=EDGE_CURVE('',#73323,#73324,#60813,.T.); #93591=EDGE_CURVE('',#73323,#73325,#35880,.T.); #93592=EDGE_CURVE('',#73325,#73326,#60814,.T.); #93593=EDGE_CURVE('',#73324,#73326,#35881,.T.); #93594=EDGE_CURVE('',#73327,#73323,#60815,.T.); #93595=EDGE_CURVE('',#73327,#73328,#35882,.T.); #93596=EDGE_CURVE('',#73328,#73325,#60816,.T.); #93597=EDGE_CURVE('',#73329,#73327,#35883,.T.); #93598=EDGE_CURVE('',#73329,#73330,#35884,.T.); #93599=EDGE_CURVE('',#73330,#73328,#35885,.T.); #93600=EDGE_CURVE('',#73331,#73329,#60817,.T.); #93601=EDGE_CURVE('',#73331,#73332,#35886,.T.); #93602=EDGE_CURVE('',#73332,#73330,#60818,.T.); #93603=EDGE_CURVE('',#73333,#73331,#60819,.T.); #93604=EDGE_CURVE('',#73333,#73334,#35887,.T.); #93605=EDGE_CURVE('',#73334,#73332,#60820,.T.); #93606=EDGE_CURVE('',#73335,#73333,#60821,.T.); #93607=EDGE_CURVE('',#73335,#73336,#35888,.T.); #93608=EDGE_CURVE('',#73336,#73334,#60822,.T.); #93609=EDGE_CURVE('',#73337,#73335,#60823,.T.); #93610=EDGE_CURVE('',#73337,#73338,#35889,.T.); #93611=EDGE_CURVE('',#73338,#73336,#60824,.T.); #93612=EDGE_CURVE('',#73339,#73337,#60825,.T.); #93613=EDGE_CURVE('',#73339,#73340,#35890,.T.); #93614=EDGE_CURVE('',#73340,#73338,#60826,.T.); #93615=EDGE_CURVE('',#73341,#73339,#60827,.T.); #93616=EDGE_CURVE('',#73341,#73342,#35891,.T.); #93617=EDGE_CURVE('',#73342,#73340,#60828,.T.); #93618=EDGE_CURVE('',#73343,#73341,#35892,.T.); #93619=EDGE_CURVE('',#73343,#73344,#35893,.T.); #93620=EDGE_CURVE('',#73344,#73342,#35894,.T.); #93621=EDGE_CURVE('',#73345,#73343,#60829,.T.); #93622=EDGE_CURVE('',#73345,#73346,#35895,.T.); #93623=EDGE_CURVE('',#73346,#73344,#60830,.T.); #93624=EDGE_CURVE('',#73347,#73345,#60831,.T.); #93625=EDGE_CURVE('',#73347,#73348,#35896,.T.); #93626=EDGE_CURVE('',#73348,#73346,#60832,.T.); #93627=EDGE_CURVE('',#73349,#73347,#60833,.T.); #93628=EDGE_CURVE('',#73349,#73350,#35897,.T.); #93629=EDGE_CURVE('',#73350,#73348,#60834,.T.); #93630=EDGE_CURVE('',#73324,#73349,#60835,.T.); #93631=EDGE_CURVE('',#73326,#73350,#60836,.T.); #93632=EDGE_CURVE('',#73351,#73352,#35898,.T.); #93633=EDGE_CURVE('',#73351,#73353,#35899,.T.); #93634=EDGE_CURVE('',#73353,#73354,#35900,.T.); #93635=EDGE_CURVE('',#73352,#73354,#35901,.T.); #93636=EDGE_CURVE('',#73355,#73351,#60837,.T.); #93637=EDGE_CURVE('',#73355,#73356,#35902,.T.); #93638=EDGE_CURVE('',#73356,#73353,#60838,.T.); #93639=EDGE_CURVE('',#73357,#73355,#60839,.T.); #93640=EDGE_CURVE('',#73357,#73358,#35903,.T.); #93641=EDGE_CURVE('',#73358,#73356,#60840,.T.); #93642=EDGE_CURVE('',#73359,#73357,#60841,.T.); #93643=EDGE_CURVE('',#73359,#73360,#35904,.T.); #93644=EDGE_CURVE('',#73360,#73358,#60842,.T.); #93645=EDGE_CURVE('',#73361,#73359,#60843,.T.); #93646=EDGE_CURVE('',#73361,#73362,#35905,.T.); #93647=EDGE_CURVE('',#73362,#73360,#60844,.T.); #93648=EDGE_CURVE('',#73363,#73361,#60845,.T.); #93649=EDGE_CURVE('',#73363,#73364,#35906,.T.); #93650=EDGE_CURVE('',#73364,#73362,#60846,.T.); #93651=EDGE_CURVE('',#73365,#73363,#60847,.T.); #93652=EDGE_CURVE('',#73365,#73366,#35907,.T.); #93653=EDGE_CURVE('',#73366,#73364,#60848,.T.); #93654=EDGE_CURVE('',#73367,#73365,#60849,.T.); #93655=EDGE_CURVE('',#73367,#73368,#35908,.T.); #93656=EDGE_CURVE('',#73368,#73366,#60850,.T.); #93657=EDGE_CURVE('',#73369,#73367,#35909,.T.); #93658=EDGE_CURVE('',#73369,#73370,#35910,.T.); #93659=EDGE_CURVE('',#73370,#73368,#35911,.T.); #93660=EDGE_CURVE('',#73371,#73369,#60851,.T.); #93661=EDGE_CURVE('',#73371,#73372,#35912,.T.); #93662=EDGE_CURVE('',#73372,#73370,#60852,.T.); #93663=EDGE_CURVE('',#73373,#73371,#60853,.T.); #93664=EDGE_CURVE('',#73373,#73374,#35913,.T.); #93665=EDGE_CURVE('',#73374,#73372,#60854,.T.); #93666=EDGE_CURVE('',#73375,#73373,#60855,.T.); #93667=EDGE_CURVE('',#73375,#73376,#35914,.T.); #93668=EDGE_CURVE('',#73376,#73374,#60856,.T.); #93669=EDGE_CURVE('',#73377,#73375,#60857,.T.); #93670=EDGE_CURVE('',#73377,#73378,#35915,.T.); #93671=EDGE_CURVE('',#73378,#73376,#60858,.T.); #93672=EDGE_CURVE('',#73379,#73377,#60859,.T.); #93673=EDGE_CURVE('',#73379,#73380,#35916,.T.); #93674=EDGE_CURVE('',#73380,#73378,#60860,.T.); #93675=EDGE_CURVE('',#73381,#73379,#60861,.T.); #93676=EDGE_CURVE('',#73381,#73382,#35917,.T.); #93677=EDGE_CURVE('',#73382,#73380,#60862,.T.); #93678=EDGE_CURVE('',#73352,#73381,#60863,.T.); #93679=EDGE_CURVE('',#73354,#73382,#60864,.T.); #93680=EDGE_CURVE('',#73383,#73384,#60865,.T.); #93681=EDGE_CURVE('',#73383,#73385,#35918,.T.); #93682=EDGE_CURVE('',#73385,#73386,#60866,.T.); #93683=EDGE_CURVE('',#73384,#73386,#35919,.T.); #93684=EDGE_CURVE('',#73387,#73383,#60867,.T.); #93685=EDGE_CURVE('',#73387,#73388,#35920,.T.); #93686=EDGE_CURVE('',#73388,#73385,#60868,.T.); #93687=EDGE_CURVE('',#73389,#73387,#60869,.T.); #93688=EDGE_CURVE('',#73389,#73390,#35921,.T.); #93689=EDGE_CURVE('',#73390,#73388,#60870,.T.); #93690=EDGE_CURVE('',#73391,#73389,#60871,.T.); #93691=EDGE_CURVE('',#73391,#73392,#35922,.T.); #93692=EDGE_CURVE('',#73392,#73390,#60872,.T.); #93693=EDGE_CURVE('',#73393,#73391,#35923,.T.); #93694=EDGE_CURVE('',#73393,#73394,#35924,.T.); #93695=EDGE_CURVE('',#73394,#73392,#35925,.T.); #93696=EDGE_CURVE('',#73395,#73393,#60873,.T.); #93697=EDGE_CURVE('',#73395,#73396,#35926,.T.); #93698=EDGE_CURVE('',#73396,#73394,#60874,.T.); #93699=EDGE_CURVE('',#73397,#73395,#60875,.T.); #93700=EDGE_CURVE('',#73397,#73398,#35927,.T.); #93701=EDGE_CURVE('',#73398,#73396,#60876,.T.); #93702=EDGE_CURVE('',#73399,#73397,#60877,.T.); #93703=EDGE_CURVE('',#73399,#73400,#35928,.T.); #93704=EDGE_CURVE('',#73400,#73398,#60878,.T.); #93705=EDGE_CURVE('',#73401,#73399,#60879,.T.); #93706=EDGE_CURVE('',#73401,#73402,#35929,.T.); #93707=EDGE_CURVE('',#73402,#73400,#60880,.T.); #93708=EDGE_CURVE('',#73403,#73401,#60881,.T.); #93709=EDGE_CURVE('',#73403,#73404,#35930,.T.); #93710=EDGE_CURVE('',#73404,#73402,#60882,.T.); #93711=EDGE_CURVE('',#73405,#73403,#60883,.T.); #93712=EDGE_CURVE('',#73405,#73406,#35931,.T.); #93713=EDGE_CURVE('',#73406,#73404,#60884,.T.); #93714=EDGE_CURVE('',#73407,#73405,#35932,.T.); #93715=EDGE_CURVE('',#73407,#73408,#35933,.T.); #93716=EDGE_CURVE('',#73408,#73406,#35934,.T.); #93717=EDGE_CURVE('',#73409,#73407,#60885,.T.); #93718=EDGE_CURVE('',#73409,#73410,#35935,.T.); #93719=EDGE_CURVE('',#73410,#73408,#60886,.T.); #93720=EDGE_CURVE('',#73384,#73409,#60887,.T.); #93721=EDGE_CURVE('',#73386,#73410,#60888,.T.); #93722=EDGE_CURVE('',#73411,#73412,#35936,.T.); #93723=EDGE_CURVE('',#73411,#73413,#35937,.T.); #93724=EDGE_CURVE('',#73413,#73414,#35938,.T.); #93725=EDGE_CURVE('',#73412,#73414,#35939,.T.); #93726=EDGE_CURVE('',#73415,#73411,#60889,.T.); #93727=EDGE_CURVE('',#73415,#73416,#35940,.T.); #93728=EDGE_CURVE('',#73416,#73413,#60890,.T.); #93729=EDGE_CURVE('',#73417,#73415,#60891,.T.); #93730=EDGE_CURVE('',#73417,#73418,#35941,.T.); #93731=EDGE_CURVE('',#73418,#73416,#60892,.T.); #93732=EDGE_CURVE('',#73419,#73417,#60893,.T.); #93733=EDGE_CURVE('',#73419,#73420,#35942,.T.); #93734=EDGE_CURVE('',#73420,#73418,#60894,.T.); #93735=EDGE_CURVE('',#73421,#73419,#60895,.T.); #93736=EDGE_CURVE('',#73421,#73422,#35943,.T.); #93737=EDGE_CURVE('',#73422,#73420,#60896,.T.); #93738=EDGE_CURVE('',#73423,#73421,#60897,.T.); #93739=EDGE_CURVE('',#73423,#73424,#35944,.T.); #93740=EDGE_CURVE('',#73424,#73422,#60898,.T.); #93741=EDGE_CURVE('',#73425,#73423,#60899,.T.); #93742=EDGE_CURVE('',#73425,#73426,#35945,.T.); #93743=EDGE_CURVE('',#73426,#73424,#60900,.T.); #93744=EDGE_CURVE('',#73427,#73425,#60901,.T.); #93745=EDGE_CURVE('',#73427,#73428,#35946,.T.); #93746=EDGE_CURVE('',#73428,#73426,#60902,.T.); #93747=EDGE_CURVE('',#73429,#73427,#35947,.T.); #93748=EDGE_CURVE('',#73429,#73430,#35948,.T.); #93749=EDGE_CURVE('',#73430,#73428,#35949,.T.); #93750=EDGE_CURVE('',#73431,#73429,#60903,.T.); #93751=EDGE_CURVE('',#73431,#73432,#35950,.T.); #93752=EDGE_CURVE('',#73432,#73430,#60904,.T.); #93753=EDGE_CURVE('',#73433,#73431,#60905,.T.); #93754=EDGE_CURVE('',#73433,#73434,#35951,.T.); #93755=EDGE_CURVE('',#73434,#73432,#60906,.T.); #93756=EDGE_CURVE('',#73435,#73433,#60907,.T.); #93757=EDGE_CURVE('',#73435,#73436,#35952,.T.); #93758=EDGE_CURVE('',#73436,#73434,#60908,.T.); #93759=EDGE_CURVE('',#73437,#73435,#60909,.T.); #93760=EDGE_CURVE('',#73437,#73438,#35953,.T.); #93761=EDGE_CURVE('',#73438,#73436,#60910,.T.); #93762=EDGE_CURVE('',#73439,#73437,#60911,.T.); #93763=EDGE_CURVE('',#73439,#73440,#35954,.T.); #93764=EDGE_CURVE('',#73440,#73438,#60912,.T.); #93765=EDGE_CURVE('',#73441,#73439,#60913,.T.); #93766=EDGE_CURVE('',#73441,#73442,#35955,.T.); #93767=EDGE_CURVE('',#73442,#73440,#60914,.T.); #93768=EDGE_CURVE('',#73412,#73441,#60915,.T.); #93769=EDGE_CURVE('',#73414,#73442,#60916,.T.); #93770=EDGE_CURVE('',#73443,#73444,#60917,.T.); #93771=EDGE_CURVE('',#73443,#73445,#35956,.T.); #93772=EDGE_CURVE('',#73445,#73446,#60918,.T.); #93773=EDGE_CURVE('',#73444,#73446,#35957,.T.); #93774=EDGE_CURVE('',#73447,#73443,#60919,.T.); #93775=EDGE_CURVE('',#73447,#73448,#35958,.T.); #93776=EDGE_CURVE('',#73448,#73445,#60920,.T.); #93777=EDGE_CURVE('',#73449,#73447,#60921,.T.); #93778=EDGE_CURVE('',#73449,#73450,#35959,.T.); #93779=EDGE_CURVE('',#73450,#73448,#60922,.T.); #93780=EDGE_CURVE('',#73451,#73449,#60923,.T.); #93781=EDGE_CURVE('',#73451,#73452,#35960,.T.); #93782=EDGE_CURVE('',#73452,#73450,#60924,.T.); #93783=EDGE_CURVE('',#73453,#73451,#60925,.T.); #93784=EDGE_CURVE('',#73453,#73454,#35961,.T.); #93785=EDGE_CURVE('',#73454,#73452,#60926,.T.); #93786=EDGE_CURVE('',#73455,#73453,#60927,.T.); #93787=EDGE_CURVE('',#73455,#73456,#35962,.T.); #93788=EDGE_CURVE('',#73456,#73454,#60928,.T.); #93789=EDGE_CURVE('',#73457,#73455,#60929,.T.); #93790=EDGE_CURVE('',#73457,#73458,#35963,.T.); #93791=EDGE_CURVE('',#73458,#73456,#60930,.T.); #93792=EDGE_CURVE('',#73459,#73457,#60931,.T.); #93793=EDGE_CURVE('',#73459,#73460,#35964,.T.); #93794=EDGE_CURVE('',#73460,#73458,#60932,.T.); #93795=EDGE_CURVE('',#73461,#73459,#60933,.T.); #93796=EDGE_CURVE('',#73461,#73462,#35965,.T.); #93797=EDGE_CURVE('',#73462,#73460,#60934,.T.); #93798=EDGE_CURVE('',#73463,#73461,#60935,.T.); #93799=EDGE_CURVE('',#73463,#73464,#35966,.T.); #93800=EDGE_CURVE('',#73464,#73462,#60936,.T.); #93801=EDGE_CURVE('',#73465,#73463,#60937,.T.); #93802=EDGE_CURVE('',#73465,#73466,#35967,.T.); #93803=EDGE_CURVE('',#73466,#73464,#60938,.T.); #93804=EDGE_CURVE('',#73467,#73465,#60939,.T.); #93805=EDGE_CURVE('',#73467,#73468,#35968,.T.); #93806=EDGE_CURVE('',#73468,#73466,#60940,.T.); #93807=EDGE_CURVE('',#73469,#73467,#60941,.T.); #93808=EDGE_CURVE('',#73469,#73470,#35969,.T.); #93809=EDGE_CURVE('',#73470,#73468,#60942,.T.); #93810=EDGE_CURVE('',#73471,#73469,#60943,.T.); #93811=EDGE_CURVE('',#73471,#73472,#35970,.T.); #93812=EDGE_CURVE('',#73472,#73470,#60944,.T.); #93813=EDGE_CURVE('',#73473,#73471,#60945,.T.); #93814=EDGE_CURVE('',#73473,#73474,#35971,.T.); #93815=EDGE_CURVE('',#73474,#73472,#60946,.T.); #93816=EDGE_CURVE('',#73475,#73473,#60947,.T.); #93817=EDGE_CURVE('',#73475,#73476,#35972,.T.); #93818=EDGE_CURVE('',#73476,#73474,#60948,.T.); #93819=EDGE_CURVE('',#73477,#73475,#60949,.T.); #93820=EDGE_CURVE('',#73477,#73478,#35973,.T.); #93821=EDGE_CURVE('',#73478,#73476,#60950,.T.); #93822=EDGE_CURVE('',#73479,#73477,#60951,.T.); #93823=EDGE_CURVE('',#73479,#73480,#35974,.T.); #93824=EDGE_CURVE('',#73480,#73478,#60952,.T.); #93825=EDGE_CURVE('',#73481,#73479,#35975,.T.); #93826=EDGE_CURVE('',#73481,#73482,#35976,.T.); #93827=EDGE_CURVE('',#73482,#73480,#35977,.T.); #93828=EDGE_CURVE('',#73483,#73481,#35978,.T.); #93829=EDGE_CURVE('',#73483,#73484,#35979,.T.); #93830=EDGE_CURVE('',#73484,#73482,#35980,.T.); #93831=EDGE_CURVE('',#73485,#73483,#35981,.T.); #93832=EDGE_CURVE('',#73485,#73486,#35982,.T.); #93833=EDGE_CURVE('',#73486,#73484,#35983,.T.); #93834=EDGE_CURVE('',#73487,#73485,#60953,.T.); #93835=EDGE_CURVE('',#73487,#73488,#35984,.T.); #93836=EDGE_CURVE('',#73488,#73486,#60954,.T.); #93837=EDGE_CURVE('',#73489,#73487,#60955,.T.); #93838=EDGE_CURVE('',#73489,#73490,#35985,.T.); #93839=EDGE_CURVE('',#73490,#73488,#60956,.T.); #93840=EDGE_CURVE('',#73491,#73489,#60957,.T.); #93841=EDGE_CURVE('',#73491,#73492,#35986,.T.); #93842=EDGE_CURVE('',#73492,#73490,#60958,.T.); #93843=EDGE_CURVE('',#73493,#73491,#60959,.T.); #93844=EDGE_CURVE('',#73493,#73494,#35987,.T.); #93845=EDGE_CURVE('',#73494,#73492,#60960,.T.); #93846=EDGE_CURVE('',#73495,#73493,#35988,.T.); #93847=EDGE_CURVE('',#73495,#73496,#35989,.T.); #93848=EDGE_CURVE('',#73496,#73494,#35990,.T.); #93849=EDGE_CURVE('',#73497,#73495,#35991,.T.); #93850=EDGE_CURVE('',#73497,#73498,#35992,.T.); #93851=EDGE_CURVE('',#73498,#73496,#35993,.T.); #93852=EDGE_CURVE('',#73499,#73497,#35994,.T.); #93853=EDGE_CURVE('',#73499,#73500,#35995,.T.); #93854=EDGE_CURVE('',#73500,#73498,#35996,.T.); #93855=EDGE_CURVE('',#73501,#73499,#60961,.T.); #93856=EDGE_CURVE('',#73501,#73502,#35997,.T.); #93857=EDGE_CURVE('',#73502,#73500,#60962,.T.); #93858=EDGE_CURVE('',#73503,#73501,#60963,.T.); #93859=EDGE_CURVE('',#73503,#73504,#35998,.T.); #93860=EDGE_CURVE('',#73504,#73502,#60964,.T.); #93861=EDGE_CURVE('',#73444,#73503,#60965,.T.); #93862=EDGE_CURVE('',#73446,#73504,#60966,.T.); #93863=EDGE_CURVE('',#73505,#73506,#60967,.T.); #93864=EDGE_CURVE('',#73505,#73507,#35999,.T.); #93865=EDGE_CURVE('',#73507,#73508,#60968,.T.); #93866=EDGE_CURVE('',#73506,#73508,#36000,.T.); #93867=EDGE_CURVE('',#73509,#73505,#60969,.T.); #93868=EDGE_CURVE('',#73509,#73510,#36001,.T.); #93869=EDGE_CURVE('',#73510,#73507,#60970,.T.); #93870=EDGE_CURVE('',#73511,#73509,#60971,.T.); #93871=EDGE_CURVE('',#73511,#73512,#36002,.T.); #93872=EDGE_CURVE('',#73512,#73510,#60972,.T.); #93873=EDGE_CURVE('',#73513,#73511,#60973,.T.); #93874=EDGE_CURVE('',#73513,#73514,#36003,.T.); #93875=EDGE_CURVE('',#73514,#73512,#60974,.T.); #93876=EDGE_CURVE('',#73515,#73513,#60975,.T.); #93877=EDGE_CURVE('',#73515,#73516,#36004,.T.); #93878=EDGE_CURVE('',#73516,#73514,#60976,.T.); #93879=EDGE_CURVE('',#73517,#73515,#60977,.T.); #93880=EDGE_CURVE('',#73517,#73518,#36005,.T.); #93881=EDGE_CURVE('',#73518,#73516,#60978,.T.); #93882=EDGE_CURVE('',#73519,#73517,#60979,.T.); #93883=EDGE_CURVE('',#73519,#73520,#36006,.T.); #93884=EDGE_CURVE('',#73520,#73518,#60980,.T.); #93885=EDGE_CURVE('',#73521,#73519,#60981,.T.); #93886=EDGE_CURVE('',#73521,#73522,#36007,.T.); #93887=EDGE_CURVE('',#73522,#73520,#60982,.T.); #93888=EDGE_CURVE('',#73523,#73521,#60983,.T.); #93889=EDGE_CURVE('',#73523,#73524,#36008,.T.); #93890=EDGE_CURVE('',#73524,#73522,#60984,.T.); #93891=EDGE_CURVE('',#73525,#73523,#60985,.T.); #93892=EDGE_CURVE('',#73525,#73526,#36009,.T.); #93893=EDGE_CURVE('',#73526,#73524,#60986,.T.); #93894=EDGE_CURVE('',#73527,#73525,#60987,.T.); #93895=EDGE_CURVE('',#73527,#73528,#36010,.T.); #93896=EDGE_CURVE('',#73528,#73526,#60988,.T.); #93897=EDGE_CURVE('',#73529,#73527,#60989,.T.); #93898=EDGE_CURVE('',#73529,#73530,#36011,.T.); #93899=EDGE_CURVE('',#73530,#73528,#60990,.T.); #93900=EDGE_CURVE('',#73531,#73529,#60991,.T.); #93901=EDGE_CURVE('',#73531,#73532,#36012,.T.); #93902=EDGE_CURVE('',#73532,#73530,#60992,.T.); #93903=EDGE_CURVE('',#73533,#73531,#60993,.T.); #93904=EDGE_CURVE('',#73533,#73534,#36013,.T.); #93905=EDGE_CURVE('',#73534,#73532,#60994,.T.); #93906=EDGE_CURVE('',#73535,#73533,#60995,.T.); #93907=EDGE_CURVE('',#73535,#73536,#36014,.T.); #93908=EDGE_CURVE('',#73536,#73534,#60996,.T.); #93909=EDGE_CURVE('',#73537,#73535,#60997,.T.); #93910=EDGE_CURVE('',#73537,#73538,#36015,.T.); #93911=EDGE_CURVE('',#73538,#73536,#60998,.T.); #93912=EDGE_CURVE('',#73539,#73537,#60999,.T.); #93913=EDGE_CURVE('',#73539,#73540,#36016,.T.); #93914=EDGE_CURVE('',#73540,#73538,#61000,.T.); #93915=EDGE_CURVE('',#73541,#73539,#61001,.T.); #93916=EDGE_CURVE('',#73541,#73542,#36017,.T.); #93917=EDGE_CURVE('',#73542,#73540,#61002,.T.); #93918=EDGE_CURVE('',#73543,#73541,#61003,.T.); #93919=EDGE_CURVE('',#73543,#73544,#36018,.T.); #93920=EDGE_CURVE('',#73544,#73542,#61004,.T.); #93921=EDGE_CURVE('',#73545,#73543,#61005,.T.); #93922=EDGE_CURVE('',#73545,#73546,#36019,.T.); #93923=EDGE_CURVE('',#73546,#73544,#61006,.T.); #93924=EDGE_CURVE('',#73547,#73545,#61007,.T.); #93925=EDGE_CURVE('',#73547,#73548,#36020,.T.); #93926=EDGE_CURVE('',#73548,#73546,#61008,.T.); #93927=EDGE_CURVE('',#73549,#73547,#61009,.T.); #93928=EDGE_CURVE('',#73549,#73550,#36021,.T.); #93929=EDGE_CURVE('',#73550,#73548,#61010,.T.); #93930=EDGE_CURVE('',#73551,#73549,#61011,.T.); #93931=EDGE_CURVE('',#73551,#73552,#36022,.T.); #93932=EDGE_CURVE('',#73552,#73550,#61012,.T.); #93933=EDGE_CURVE('',#73553,#73551,#61013,.T.); #93934=EDGE_CURVE('',#73553,#73554,#36023,.T.); #93935=EDGE_CURVE('',#73554,#73552,#61014,.T.); #93936=EDGE_CURVE('',#73555,#73553,#61015,.T.); #93937=EDGE_CURVE('',#73555,#73556,#36024,.T.); #93938=EDGE_CURVE('',#73556,#73554,#61016,.T.); #93939=EDGE_CURVE('',#73557,#73555,#61017,.T.); #93940=EDGE_CURVE('',#73557,#73558,#36025,.T.); #93941=EDGE_CURVE('',#73558,#73556,#61018,.T.); #93942=EDGE_CURVE('',#73559,#73557,#61019,.T.); #93943=EDGE_CURVE('',#73559,#73560,#36026,.T.); #93944=EDGE_CURVE('',#73560,#73558,#61020,.T.); #93945=EDGE_CURVE('',#73561,#73559,#61021,.T.); #93946=EDGE_CURVE('',#73561,#73562,#36027,.T.); #93947=EDGE_CURVE('',#73562,#73560,#61022,.T.); #93948=EDGE_CURVE('',#73563,#73561,#36028,.T.); #93949=EDGE_CURVE('',#73563,#73564,#36029,.T.); #93950=EDGE_CURVE('',#73564,#73562,#36030,.T.); #93951=EDGE_CURVE('',#73565,#73563,#61023,.T.); #93952=EDGE_CURVE('',#73565,#73566,#36031,.T.); #93953=EDGE_CURVE('',#73566,#73564,#61024,.T.); #93954=EDGE_CURVE('',#73567,#73565,#61025,.T.); #93955=EDGE_CURVE('',#73567,#73568,#36032,.T.); #93956=EDGE_CURVE('',#73568,#73566,#61026,.T.); #93957=EDGE_CURVE('',#73569,#73567,#61027,.T.); #93958=EDGE_CURVE('',#73569,#73570,#36033,.T.); #93959=EDGE_CURVE('',#73570,#73568,#61028,.T.); #93960=EDGE_CURVE('',#73571,#73569,#61029,.T.); #93961=EDGE_CURVE('',#73571,#73572,#36034,.T.); #93962=EDGE_CURVE('',#73572,#73570,#61030,.T.); #93963=EDGE_CURVE('',#73573,#73571,#61031,.T.); #93964=EDGE_CURVE('',#73573,#73574,#36035,.T.); #93965=EDGE_CURVE('',#73574,#73572,#61032,.T.); #93966=EDGE_CURVE('',#73575,#73573,#61033,.T.); #93967=EDGE_CURVE('',#73575,#73576,#36036,.T.); #93968=EDGE_CURVE('',#73576,#73574,#61034,.T.); #93969=EDGE_CURVE('',#73577,#73575,#61035,.T.); #93970=EDGE_CURVE('',#73577,#73578,#36037,.T.); #93971=EDGE_CURVE('',#73578,#73576,#61036,.T.); #93972=EDGE_CURVE('',#73506,#73577,#61037,.T.); #93973=EDGE_CURVE('',#73508,#73578,#61038,.T.); #93974=EDGE_CURVE('',#73579,#73580,#61039,.T.); #93975=EDGE_CURVE('',#73579,#73581,#36038,.T.); #93976=EDGE_CURVE('',#73581,#73582,#61040,.T.); #93977=EDGE_CURVE('',#73580,#73582,#36039,.T.); #93978=EDGE_CURVE('',#73583,#73579,#61041,.T.); #93979=EDGE_CURVE('',#73583,#73584,#36040,.T.); #93980=EDGE_CURVE('',#73584,#73581,#61042,.T.); #93981=EDGE_CURVE('',#73585,#73583,#61043,.T.); #93982=EDGE_CURVE('',#73585,#73586,#36041,.T.); #93983=EDGE_CURVE('',#73586,#73584,#61044,.T.); #93984=EDGE_CURVE('',#73587,#73585,#61045,.T.); #93985=EDGE_CURVE('',#73587,#73588,#36042,.T.); #93986=EDGE_CURVE('',#73588,#73586,#61046,.T.); #93987=EDGE_CURVE('',#73589,#73587,#61047,.T.); #93988=EDGE_CURVE('',#73589,#73590,#36043,.T.); #93989=EDGE_CURVE('',#73590,#73588,#61048,.T.); #93990=EDGE_CURVE('',#73591,#73589,#61049,.T.); #93991=EDGE_CURVE('',#73591,#73592,#36044,.T.); #93992=EDGE_CURVE('',#73592,#73590,#61050,.T.); #93993=EDGE_CURVE('',#73593,#73591,#61051,.T.); #93994=EDGE_CURVE('',#73593,#73594,#36045,.T.); #93995=EDGE_CURVE('',#73594,#73592,#61052,.T.); #93996=EDGE_CURVE('',#73595,#73593,#61053,.T.); #93997=EDGE_CURVE('',#73595,#73596,#36046,.T.); #93998=EDGE_CURVE('',#73596,#73594,#61054,.T.); #93999=EDGE_CURVE('',#73597,#73595,#61055,.T.); #94000=EDGE_CURVE('',#73597,#73598,#36047,.T.); #94001=EDGE_CURVE('',#73598,#73596,#61056,.T.); #94002=EDGE_CURVE('',#73599,#73597,#61057,.T.); #94003=EDGE_CURVE('',#73599,#73600,#36048,.T.); #94004=EDGE_CURVE('',#73600,#73598,#61058,.T.); #94005=EDGE_CURVE('',#73601,#73599,#61059,.T.); #94006=EDGE_CURVE('',#73601,#73602,#36049,.T.); #94007=EDGE_CURVE('',#73602,#73600,#61060,.T.); #94008=EDGE_CURVE('',#73603,#73601,#61061,.T.); #94009=EDGE_CURVE('',#73603,#73604,#36050,.T.); #94010=EDGE_CURVE('',#73604,#73602,#61062,.T.); #94011=EDGE_CURVE('',#73605,#73603,#61063,.T.); #94012=EDGE_CURVE('',#73605,#73606,#36051,.T.); #94013=EDGE_CURVE('',#73606,#73604,#61064,.T.); #94014=EDGE_CURVE('',#73607,#73605,#61065,.T.); #94015=EDGE_CURVE('',#73607,#73608,#36052,.T.); #94016=EDGE_CURVE('',#73608,#73606,#61066,.T.); #94017=EDGE_CURVE('',#73609,#73607,#36053,.T.); #94018=EDGE_CURVE('',#73609,#73610,#36054,.T.); #94019=EDGE_CURVE('',#73610,#73608,#36055,.T.); #94020=EDGE_CURVE('',#73611,#73609,#36056,.T.); #94021=EDGE_CURVE('',#73611,#73612,#36057,.T.); #94022=EDGE_CURVE('',#73612,#73610,#36058,.T.); #94023=EDGE_CURVE('',#73613,#73611,#61067,.T.); #94024=EDGE_CURVE('',#73613,#73614,#36059,.T.); #94025=EDGE_CURVE('',#73614,#73612,#61068,.T.); #94026=EDGE_CURVE('',#73615,#73613,#61069,.T.); #94027=EDGE_CURVE('',#73615,#73616,#36060,.T.); #94028=EDGE_CURVE('',#73616,#73614,#61070,.T.); #94029=EDGE_CURVE('',#73617,#73615,#61071,.T.); #94030=EDGE_CURVE('',#73617,#73618,#36061,.T.); #94031=EDGE_CURVE('',#73618,#73616,#61072,.T.); #94032=EDGE_CURVE('',#73619,#73617,#61073,.T.); #94033=EDGE_CURVE('',#73619,#73620,#36062,.T.); #94034=EDGE_CURVE('',#73620,#73618,#61074,.T.); #94035=EDGE_CURVE('',#73621,#73619,#36063,.T.); #94036=EDGE_CURVE('',#73621,#73622,#36064,.T.); #94037=EDGE_CURVE('',#73622,#73620,#36065,.T.); #94038=EDGE_CURVE('',#73623,#73621,#36066,.T.); #94039=EDGE_CURVE('',#73623,#73624,#36067,.T.); #94040=EDGE_CURVE('',#73624,#73622,#36068,.T.); #94041=EDGE_CURVE('',#73625,#73623,#61075,.T.); #94042=EDGE_CURVE('',#73625,#73626,#36069,.T.); #94043=EDGE_CURVE('',#73626,#73624,#61076,.T.); #94044=EDGE_CURVE('',#73627,#73625,#61077,.T.); #94045=EDGE_CURVE('',#73627,#73628,#36070,.T.); #94046=EDGE_CURVE('',#73628,#73626,#61078,.T.); #94047=EDGE_CURVE('',#73629,#73627,#61079,.T.); #94048=EDGE_CURVE('',#73629,#73630,#36071,.T.); #94049=EDGE_CURVE('',#73630,#73628,#61080,.T.); #94050=EDGE_CURVE('',#73631,#73629,#61081,.T.); #94051=EDGE_CURVE('',#73631,#73632,#36072,.T.); #94052=EDGE_CURVE('',#73632,#73630,#61082,.T.); #94053=EDGE_CURVE('',#73633,#73631,#61083,.T.); #94054=EDGE_CURVE('',#73633,#73634,#36073,.T.); #94055=EDGE_CURVE('',#73634,#73632,#61084,.T.); #94056=EDGE_CURVE('',#73635,#73633,#61085,.T.); #94057=EDGE_CURVE('',#73635,#73636,#36074,.T.); #94058=EDGE_CURVE('',#73636,#73634,#61086,.T.); #94059=EDGE_CURVE('',#73580,#73635,#61087,.T.); #94060=EDGE_CURVE('',#73582,#73636,#61088,.T.); #94061=EDGE_CURVE('',#73637,#73638,#61089,.T.); #94062=EDGE_CURVE('',#73637,#73639,#36075,.T.); #94063=EDGE_CURVE('',#73639,#73640,#61090,.T.); #94064=EDGE_CURVE('',#73638,#73640,#36076,.T.); #94065=EDGE_CURVE('',#73641,#73637,#61091,.T.); #94066=EDGE_CURVE('',#73641,#73642,#36077,.T.); #94067=EDGE_CURVE('',#73642,#73639,#61092,.T.); #94068=EDGE_CURVE('',#73643,#73641,#61093,.T.); #94069=EDGE_CURVE('',#73643,#73644,#36078,.T.); #94070=EDGE_CURVE('',#73644,#73642,#61094,.T.); #94071=EDGE_CURVE('',#73645,#73643,#61095,.T.); #94072=EDGE_CURVE('',#73645,#73646,#36079,.T.); #94073=EDGE_CURVE('',#73646,#73644,#61096,.T.); #94074=EDGE_CURVE('',#73647,#73645,#36080,.T.); #94075=EDGE_CURVE('',#73647,#73648,#36081,.T.); #94076=EDGE_CURVE('',#73648,#73646,#36082,.T.); #94077=EDGE_CURVE('',#73649,#73647,#61097,.T.); #94078=EDGE_CURVE('',#73649,#73650,#36083,.T.); #94079=EDGE_CURVE('',#73650,#73648,#61098,.T.); #94080=EDGE_CURVE('',#73651,#73649,#61099,.T.); #94081=EDGE_CURVE('',#73651,#73652,#36084,.T.); #94082=EDGE_CURVE('',#73652,#73650,#61100,.T.); #94083=EDGE_CURVE('',#73653,#73651,#61101,.T.); #94084=EDGE_CURVE('',#73653,#73654,#36085,.T.); #94085=EDGE_CURVE('',#73654,#73652,#61102,.T.); #94086=EDGE_CURVE('',#73655,#73653,#61103,.T.); #94087=EDGE_CURVE('',#73655,#73656,#36086,.T.); #94088=EDGE_CURVE('',#73656,#73654,#61104,.T.); #94089=EDGE_CURVE('',#73638,#73655,#36087,.T.); #94090=EDGE_CURVE('',#73640,#73656,#36088,.T.); #94091=EDGE_CURVE('',#73657,#73658,#61105,.T.); #94092=EDGE_CURVE('',#73657,#73659,#36089,.T.); #94093=EDGE_CURVE('',#73659,#73660,#61106,.T.); #94094=EDGE_CURVE('',#73658,#73660,#36090,.T.); #94095=EDGE_CURVE('',#73661,#73657,#61107,.T.); #94096=EDGE_CURVE('',#73661,#73662,#36091,.T.); #94097=EDGE_CURVE('',#73662,#73659,#61108,.T.); #94098=EDGE_CURVE('',#73663,#73661,#36092,.T.); #94099=EDGE_CURVE('',#73663,#73664,#36093,.T.); #94100=EDGE_CURVE('',#73664,#73662,#36094,.T.); #94101=EDGE_CURVE('',#73665,#73663,#61109,.T.); #94102=EDGE_CURVE('',#73665,#73666,#36095,.T.); #94103=EDGE_CURVE('',#73666,#73664,#61110,.T.); #94104=EDGE_CURVE('',#73667,#73665,#61111,.T.); #94105=EDGE_CURVE('',#73667,#73668,#36096,.T.); #94106=EDGE_CURVE('',#73668,#73666,#61112,.T.); #94107=EDGE_CURVE('',#73669,#73667,#61113,.T.); #94108=EDGE_CURVE('',#73669,#73670,#36097,.T.); #94109=EDGE_CURVE('',#73670,#73668,#61114,.T.); #94110=EDGE_CURVE('',#73671,#73669,#61115,.T.); #94111=EDGE_CURVE('',#73671,#73672,#36098,.T.); #94112=EDGE_CURVE('',#73672,#73670,#61116,.T.); #94113=EDGE_CURVE('',#73673,#73671,#36099,.T.); #94114=EDGE_CURVE('',#73673,#73674,#36100,.T.); #94115=EDGE_CURVE('',#73674,#73672,#36101,.T.); #94116=EDGE_CURVE('',#73675,#73673,#36102,.T.); #94117=EDGE_CURVE('',#73675,#73676,#36103,.T.); #94118=EDGE_CURVE('',#73676,#73674,#36104,.T.); #94119=EDGE_CURVE('',#73677,#73675,#36105,.T.); #94120=EDGE_CURVE('',#73677,#73678,#36106,.T.); #94121=EDGE_CURVE('',#73678,#73676,#36107,.T.); #94122=EDGE_CURVE('',#73679,#73677,#61117,.T.); #94123=EDGE_CURVE('',#73679,#73680,#36108,.T.); #94124=EDGE_CURVE('',#73680,#73678,#61118,.T.); #94125=EDGE_CURVE('',#73681,#73679,#61119,.T.); #94126=EDGE_CURVE('',#73681,#73682,#36109,.T.); #94127=EDGE_CURVE('',#73682,#73680,#61120,.T.); #94128=EDGE_CURVE('',#73683,#73681,#61121,.T.); #94129=EDGE_CURVE('',#73683,#73684,#36110,.T.); #94130=EDGE_CURVE('',#73684,#73682,#61122,.T.); #94131=EDGE_CURVE('',#73685,#73683,#61123,.T.); #94132=EDGE_CURVE('',#73685,#73686,#36111,.T.); #94133=EDGE_CURVE('',#73686,#73684,#61124,.T.); #94134=EDGE_CURVE('',#73687,#73685,#61125,.T.); #94135=EDGE_CURVE('',#73687,#73688,#36112,.T.); #94136=EDGE_CURVE('',#73688,#73686,#61126,.T.); #94137=EDGE_CURVE('',#73689,#73687,#36113,.T.); #94138=EDGE_CURVE('',#73689,#73690,#36114,.T.); #94139=EDGE_CURVE('',#73690,#73688,#36115,.T.); #94140=EDGE_CURVE('',#73691,#73689,#36116,.T.); #94141=EDGE_CURVE('',#73691,#73692,#36117,.T.); #94142=EDGE_CURVE('',#73692,#73690,#36118,.T.); #94143=EDGE_CURVE('',#73693,#73691,#36119,.T.); #94144=EDGE_CURVE('',#73693,#73694,#36120,.T.); #94145=EDGE_CURVE('',#73694,#73692,#36121,.T.); #94146=EDGE_CURVE('',#73695,#73693,#61127,.T.); #94147=EDGE_CURVE('',#73695,#73696,#36122,.T.); #94148=EDGE_CURVE('',#73696,#73694,#61128,.T.); #94149=EDGE_CURVE('',#73658,#73695,#61129,.T.); #94150=EDGE_CURVE('',#73660,#73696,#61130,.T.); #94151=EDGE_CURVE('',#73697,#73698,#36123,.T.); #94152=EDGE_CURVE('',#73697,#73699,#36124,.T.); #94153=EDGE_CURVE('',#73699,#73700,#36125,.T.); #94154=EDGE_CURVE('',#73698,#73700,#36126,.T.); #94155=EDGE_CURVE('',#73701,#73697,#36127,.T.); #94156=EDGE_CURVE('',#73701,#73702,#36128,.T.); #94157=EDGE_CURVE('',#73702,#73699,#36129,.T.); #94158=EDGE_CURVE('',#73703,#73701,#36130,.T.); #94159=EDGE_CURVE('',#73703,#73704,#36131,.T.); #94160=EDGE_CURVE('',#73704,#73702,#36132,.T.); #94161=EDGE_CURVE('',#73705,#73703,#36133,.T.); #94162=EDGE_CURVE('',#73705,#73706,#36134,.T.); #94163=EDGE_CURVE('',#73706,#73704,#36135,.T.); #94164=EDGE_CURVE('',#73707,#73705,#36136,.T.); #94165=EDGE_CURVE('',#73707,#73708,#36137,.T.); #94166=EDGE_CURVE('',#73708,#73706,#36138,.T.); #94167=EDGE_CURVE('',#73709,#73707,#36139,.T.); #94168=EDGE_CURVE('',#73709,#73710,#36140,.T.); #94169=EDGE_CURVE('',#73710,#73708,#36141,.T.); #94170=EDGE_CURVE('',#73711,#73709,#36142,.T.); #94171=EDGE_CURVE('',#73711,#73712,#36143,.T.); #94172=EDGE_CURVE('',#73712,#73710,#36144,.T.); #94173=EDGE_CURVE('',#73698,#73711,#36145,.T.); #94174=EDGE_CURVE('',#73700,#73712,#36146,.T.); #94175=EDGE_CURVE('',#73711,#73712,#36147,.T.); #94176=EDGE_CURVE('',#73713,#73714,#36148,.T.); #94177=EDGE_CURVE('',#73713,#73715,#36149,.T.); #94178=EDGE_CURVE('',#73715,#73716,#36150,.T.); #94179=EDGE_CURVE('',#73714,#73716,#36151,.T.); #94180=EDGE_CURVE('',#73717,#73713,#36152,.T.); #94181=EDGE_CURVE('',#73717,#73718,#36153,.T.); #94182=EDGE_CURVE('',#73718,#73715,#36154,.T.); #94183=EDGE_CURVE('',#73719,#73717,#36155,.T.); #94184=EDGE_CURVE('',#73719,#73720,#36156,.T.); #94185=EDGE_CURVE('',#73720,#73718,#36157,.T.); #94186=EDGE_CURVE('',#73721,#73719,#36158,.T.); #94187=EDGE_CURVE('',#73721,#73722,#36159,.T.); #94188=EDGE_CURVE('',#73722,#73720,#36160,.T.); #94189=EDGE_CURVE('',#73723,#73721,#36161,.T.); #94190=EDGE_CURVE('',#73723,#73724,#36162,.T.); #94191=EDGE_CURVE('',#73724,#73722,#36163,.T.); #94192=EDGE_CURVE('',#73711,#73723,#36164,.T.); #94193=EDGE_CURVE('',#73712,#73724,#36165,.T.); #94194=EDGE_CURVE('',#73725,#73711,#36166,.T.); #94195=EDGE_CURVE('',#73725,#73726,#36167,.T.); #94196=EDGE_CURVE('',#73726,#73712,#36168,.T.); #94197=EDGE_CURVE('',#73714,#73725,#36169,.T.); #94198=EDGE_CURVE('',#73716,#73726,#36170,.T.); #94199=EDGE_CURVE('',#73727,#73728,#36171,.T.); #94200=EDGE_CURVE('',#73727,#73729,#36172,.T.); #94201=EDGE_CURVE('',#73729,#73730,#36173,.T.); #94202=EDGE_CURVE('',#73728,#73730,#36174,.T.); #94203=EDGE_CURVE('',#73731,#73727,#36175,.T.); #94204=EDGE_CURVE('',#73731,#73732,#36176,.T.); #94205=EDGE_CURVE('',#73732,#73729,#36177,.T.); #94206=EDGE_CURVE('',#73733,#73731,#36178,.T.); #94207=EDGE_CURVE('',#73733,#73734,#36179,.T.); #94208=EDGE_CURVE('',#73734,#73732,#36180,.T.); #94209=EDGE_CURVE('',#73728,#73733,#36181,.T.); #94210=EDGE_CURVE('',#73730,#73734,#36182,.T.); #94211=EDGE_CURVE('',#73735,#73736,#36183,.T.); #94212=EDGE_CURVE('',#73735,#73737,#36184,.T.); #94213=EDGE_CURVE('',#73737,#73738,#36185,.T.); #94214=EDGE_CURVE('',#73736,#73738,#36186,.T.); #94215=EDGE_CURVE('',#73739,#73735,#36187,.T.); #94216=EDGE_CURVE('',#73739,#73740,#36188,.T.); #94217=EDGE_CURVE('',#73740,#73737,#36189,.T.); #94218=EDGE_CURVE('',#73741,#73739,#36190,.T.); #94219=EDGE_CURVE('',#73741,#73742,#36191,.T.); #94220=EDGE_CURVE('',#73742,#73740,#36192,.T.); #94221=EDGE_CURVE('',#73736,#73741,#36193,.T.); #94222=EDGE_CURVE('',#73738,#73742,#36194,.T.); #94223=EDGE_CURVE('',#73743,#73744,#36195,.T.); #94224=EDGE_CURVE('',#73743,#73745,#36196,.T.); #94225=EDGE_CURVE('',#73745,#73746,#36197,.T.); #94226=EDGE_CURVE('',#73744,#73746,#36198,.T.); #94227=EDGE_CURVE('',#73747,#73743,#36199,.T.); #94228=EDGE_CURVE('',#73747,#73748,#36200,.T.); #94229=EDGE_CURVE('',#73748,#73745,#36201,.T.); #94230=EDGE_CURVE('',#73749,#73747,#36202,.T.); #94231=EDGE_CURVE('',#73749,#73750,#36203,.T.); #94232=EDGE_CURVE('',#73750,#73748,#36204,.T.); #94233=EDGE_CURVE('',#73744,#73749,#36205,.T.); #94234=EDGE_CURVE('',#73746,#73750,#36206,.T.); #94235=EDGE_CURVE('',#73751,#73752,#36207,.T.); #94236=EDGE_CURVE('',#73751,#73753,#36208,.T.); #94237=EDGE_CURVE('',#73753,#73754,#36209,.T.); #94238=EDGE_CURVE('',#73752,#73754,#36210,.T.); #94239=EDGE_CURVE('',#73755,#73751,#36211,.T.); #94240=EDGE_CURVE('',#73755,#73756,#36212,.T.); #94241=EDGE_CURVE('',#73756,#73753,#36213,.T.); #94242=EDGE_CURVE('',#73757,#73755,#36214,.T.); #94243=EDGE_CURVE('',#73757,#73758,#36215,.T.); #94244=EDGE_CURVE('',#73758,#73756,#36216,.T.); #94245=EDGE_CURVE('',#73752,#73757,#36217,.T.); #94246=EDGE_CURVE('',#73754,#73758,#36218,.T.); #94247=EDGE_CURVE('',#73759,#73760,#36219,.T.); #94248=EDGE_CURVE('',#73759,#73761,#36220,.T.); #94249=EDGE_CURVE('',#73761,#73762,#36221,.T.); #94250=EDGE_CURVE('',#73760,#73762,#36222,.T.); #94251=EDGE_CURVE('',#73763,#73759,#61131,.T.); #94252=EDGE_CURVE('',#73763,#73764,#36223,.T.); #94253=EDGE_CURVE('',#73764,#73761,#61132,.T.); #94254=EDGE_CURVE('',#73765,#73763,#36224,.T.); #94255=EDGE_CURVE('',#73765,#73766,#36225,.T.); #94256=EDGE_CURVE('',#73766,#73764,#36226,.T.); #94257=EDGE_CURVE('',#73767,#73765,#36227,.T.); #94258=EDGE_CURVE('',#73767,#73768,#36228,.T.); #94259=EDGE_CURVE('',#73768,#73766,#36229,.T.); #94260=EDGE_CURVE('',#73769,#73767,#36230,.T.); #94261=EDGE_CURVE('',#73769,#73770,#36231,.T.); #94262=EDGE_CURVE('',#73770,#73768,#36232,.T.); #94263=EDGE_CURVE('',#73771,#73769,#36233,.T.); #94264=EDGE_CURVE('',#73771,#73772,#36234,.T.); #94265=EDGE_CURVE('',#73772,#73770,#36235,.T.); #94266=EDGE_CURVE('',#73773,#73771,#36236,.T.); #94267=EDGE_CURVE('',#73773,#73774,#36237,.T.); #94268=EDGE_CURVE('',#73774,#73772,#36238,.T.); #94269=EDGE_CURVE('',#73775,#73773,#36239,.T.); #94270=EDGE_CURVE('',#73775,#73776,#36240,.T.); #94271=EDGE_CURVE('',#73776,#73774,#36241,.T.); #94272=EDGE_CURVE('',#73777,#73775,#36242,.T.); #94273=EDGE_CURVE('',#73777,#73778,#36243,.T.); #94274=EDGE_CURVE('',#73778,#73776,#36244,.T.); #94275=EDGE_CURVE('',#73779,#73777,#36245,.T.); #94276=EDGE_CURVE('',#73779,#73780,#36246,.T.); #94277=EDGE_CURVE('',#73780,#73778,#36247,.T.); #94278=EDGE_CURVE('',#73781,#73779,#61133,.T.); #94279=EDGE_CURVE('',#73781,#73782,#36248,.T.); #94280=EDGE_CURVE('',#73782,#73780,#61134,.T.); #94281=EDGE_CURVE('',#73783,#73781,#36249,.T.); #94282=EDGE_CURVE('',#73783,#73784,#36250,.T.); #94283=EDGE_CURVE('',#73784,#73782,#36251,.T.); #94284=EDGE_CURVE('',#73785,#73783,#36252,.T.); #94285=EDGE_CURVE('',#73785,#73786,#36253,.T.); #94286=EDGE_CURVE('',#73786,#73784,#36254,.T.); #94287=EDGE_CURVE('',#73787,#73785,#61135,.T.); #94288=EDGE_CURVE('',#73787,#73788,#36255,.T.); #94289=EDGE_CURVE('',#73788,#73786,#61136,.T.); #94290=EDGE_CURVE('',#73789,#73787,#61137,.T.); #94291=EDGE_CURVE('',#73789,#73790,#36256,.T.); #94292=EDGE_CURVE('',#73790,#73788,#61138,.T.); #94293=EDGE_CURVE('',#73791,#73789,#36257,.T.); #94294=EDGE_CURVE('',#73791,#73792,#36258,.T.); #94295=EDGE_CURVE('',#73792,#73790,#36259,.T.); #94296=EDGE_CURVE('',#73793,#73791,#61139,.T.); #94297=EDGE_CURVE('',#73793,#73794,#36260,.T.); #94298=EDGE_CURVE('',#73794,#73792,#61140,.T.); #94299=EDGE_CURVE('',#73795,#73793,#36261,.T.); #94300=EDGE_CURVE('',#73795,#73796,#36262,.T.); #94301=EDGE_CURVE('',#73796,#73794,#36263,.T.); #94302=EDGE_CURVE('',#73797,#73795,#36264,.T.); #94303=EDGE_CURVE('',#73797,#73798,#36265,.T.); #94304=EDGE_CURVE('',#73798,#73796,#36266,.T.); #94305=EDGE_CURVE('',#73799,#73797,#36267,.T.); #94306=EDGE_CURVE('',#73799,#73800,#36268,.T.); #94307=EDGE_CURVE('',#73800,#73798,#36269,.T.); #94308=EDGE_CURVE('',#73801,#73799,#36270,.T.); #94309=EDGE_CURVE('',#73801,#73802,#36271,.T.); #94310=EDGE_CURVE('',#73802,#73800,#36272,.T.); #94311=EDGE_CURVE('',#73803,#73801,#36273,.T.); #94312=EDGE_CURVE('',#73803,#73804,#36274,.T.); #94313=EDGE_CURVE('',#73804,#73802,#36275,.T.); #94314=EDGE_CURVE('',#73805,#73803,#36276,.T.); #94315=EDGE_CURVE('',#73805,#73806,#36277,.T.); #94316=EDGE_CURVE('',#73806,#73804,#36278,.T.); #94317=EDGE_CURVE('',#73807,#73805,#36279,.T.); #94318=EDGE_CURVE('',#73807,#73808,#36280,.T.); #94319=EDGE_CURVE('',#73808,#73806,#36281,.T.); #94320=EDGE_CURVE('',#73809,#73807,#36282,.T.); #94321=EDGE_CURVE('',#73809,#73810,#36283,.T.); #94322=EDGE_CURVE('',#73810,#73808,#36284,.T.); #94323=EDGE_CURVE('',#73811,#73809,#61141,.T.); #94324=EDGE_CURVE('',#73811,#73812,#36285,.T.); #94325=EDGE_CURVE('',#73812,#73810,#61142,.T.); #94326=EDGE_CURVE('',#73813,#73811,#36286,.T.); #94327=EDGE_CURVE('',#73813,#73814,#36287,.T.); #94328=EDGE_CURVE('',#73814,#73812,#36288,.T.); #94329=EDGE_CURVE('',#73815,#73813,#36289,.T.); #94330=EDGE_CURVE('',#73815,#73816,#36290,.T.); #94331=EDGE_CURVE('',#73816,#73814,#36291,.T.); #94332=EDGE_CURVE('',#73760,#73815,#36292,.T.); #94333=EDGE_CURVE('',#73762,#73816,#36293,.T.); #94334=EDGE_CURVE('',#73817,#73818,#36294,.T.); #94335=EDGE_CURVE('',#73817,#73819,#36295,.T.); #94336=EDGE_CURVE('',#73819,#73820,#36296,.T.); #94337=EDGE_CURVE('',#73818,#73820,#36297,.T.); #94338=EDGE_CURVE('',#73821,#73817,#36298,.T.); #94339=EDGE_CURVE('',#73821,#73822,#36299,.T.); #94340=EDGE_CURVE('',#73822,#73819,#36300,.T.); #94341=EDGE_CURVE('',#73823,#73821,#36301,.T.); #94342=EDGE_CURVE('',#73823,#73824,#36302,.T.); #94343=EDGE_CURVE('',#73824,#73822,#36303,.T.); #94344=EDGE_CURVE('',#73825,#73823,#36304,.T.); #94345=EDGE_CURVE('',#73825,#73826,#36305,.T.); #94346=EDGE_CURVE('',#73826,#73824,#36306,.T.); #94347=EDGE_CURVE('',#73827,#73825,#36307,.T.); #94348=EDGE_CURVE('',#73827,#73828,#36308,.T.); #94349=EDGE_CURVE('',#73828,#73826,#36309,.T.); #94350=EDGE_CURVE('',#73829,#73827,#36310,.T.); #94351=EDGE_CURVE('',#73829,#73830,#36311,.T.); #94352=EDGE_CURVE('',#73830,#73828,#36312,.T.); #94353=EDGE_CURVE('',#73831,#73829,#36313,.T.); #94354=EDGE_CURVE('',#73831,#73832,#36314,.T.); #94355=EDGE_CURVE('',#73832,#73830,#36315,.T.); #94356=EDGE_CURVE('',#73833,#73831,#36316,.T.); #94357=EDGE_CURVE('',#73833,#73834,#36317,.T.); #94358=EDGE_CURVE('',#73834,#73832,#36318,.T.); #94359=EDGE_CURVE('',#73835,#73833,#61143,.T.); #94360=EDGE_CURVE('',#73835,#73836,#36319,.T.); #94361=EDGE_CURVE('',#73836,#73834,#61144,.T.); #94362=EDGE_CURVE('',#73837,#73835,#61145,.T.); #94363=EDGE_CURVE('',#73837,#73838,#36320,.T.); #94364=EDGE_CURVE('',#73838,#73836,#61146,.T.); #94365=EDGE_CURVE('',#73839,#73837,#36321,.T.); #94366=EDGE_CURVE('',#73839,#73840,#36322,.T.); #94367=EDGE_CURVE('',#73840,#73838,#36323,.T.); #94368=EDGE_CURVE('',#73841,#73839,#61147,.T.); #94369=EDGE_CURVE('',#73841,#73842,#36324,.T.); #94370=EDGE_CURVE('',#73842,#73840,#61148,.T.); #94371=EDGE_CURVE('',#73843,#73841,#61149,.T.); #94372=EDGE_CURVE('',#73843,#73844,#36325,.T.); #94373=EDGE_CURVE('',#73844,#73842,#61150,.T.); #94374=EDGE_CURVE('',#73845,#73843,#61151,.T.); #94375=EDGE_CURVE('',#73845,#73846,#36326,.T.); #94376=EDGE_CURVE('',#73846,#73844,#61152,.T.); #94377=EDGE_CURVE('',#73847,#73845,#36327,.T.); #94378=EDGE_CURVE('',#73847,#73848,#36328,.T.); #94379=EDGE_CURVE('',#73848,#73846,#36329,.T.); #94380=EDGE_CURVE('',#73849,#73847,#36330,.T.); #94381=EDGE_CURVE('',#73849,#73850,#36331,.T.); #94382=EDGE_CURVE('',#73850,#73848,#36332,.T.); #94383=EDGE_CURVE('',#73851,#73849,#36333,.T.); #94384=EDGE_CURVE('',#73851,#73852,#36334,.T.); #94385=EDGE_CURVE('',#73852,#73850,#36335,.T.); #94386=EDGE_CURVE('',#73853,#73851,#36336,.T.); #94387=EDGE_CURVE('',#73853,#73854,#36337,.T.); #94388=EDGE_CURVE('',#73854,#73852,#36338,.T.); #94389=EDGE_CURVE('',#73855,#73853,#36339,.T.); #94390=EDGE_CURVE('',#73855,#73856,#36340,.T.); #94391=EDGE_CURVE('',#73856,#73854,#36341,.T.); #94392=EDGE_CURVE('',#73857,#73855,#61153,.T.); #94393=EDGE_CURVE('',#73857,#73858,#36342,.T.); #94394=EDGE_CURVE('',#73858,#73856,#61154,.T.); #94395=EDGE_CURVE('',#73859,#73857,#36343,.T.); #94396=EDGE_CURVE('',#73859,#73860,#36344,.T.); #94397=EDGE_CURVE('',#73860,#73858,#36345,.T.); #94398=EDGE_CURVE('',#73861,#73859,#61155,.T.); #94399=EDGE_CURVE('',#73861,#73862,#36346,.T.); #94400=EDGE_CURVE('',#73862,#73860,#61156,.T.); #94401=EDGE_CURVE('',#73863,#73861,#61157,.T.); #94402=EDGE_CURVE('',#73863,#73864,#36347,.T.); #94403=EDGE_CURVE('',#73864,#73862,#61158,.T.); #94404=EDGE_CURVE('',#73865,#73863,#61159,.T.); #94405=EDGE_CURVE('',#73865,#73866,#36348,.T.); #94406=EDGE_CURVE('',#73866,#73864,#61160,.T.); #94407=EDGE_CURVE('',#73867,#73865,#36349,.T.); #94408=EDGE_CURVE('',#73867,#73868,#36350,.T.); #94409=EDGE_CURVE('',#73868,#73866,#36351,.T.); #94410=EDGE_CURVE('',#73869,#73867,#61161,.T.); #94411=EDGE_CURVE('',#73869,#73870,#36352,.T.); #94412=EDGE_CURVE('',#73870,#73868,#61162,.T.); #94413=EDGE_CURVE('',#73871,#73869,#36353,.T.); #94414=EDGE_CURVE('',#73871,#73872,#36354,.T.); #94415=EDGE_CURVE('',#73872,#73870,#36355,.T.); #94416=EDGE_CURVE('',#73873,#73871,#36356,.T.); #94417=EDGE_CURVE('',#73873,#73874,#36357,.T.); #94418=EDGE_CURVE('',#73874,#73872,#36358,.T.); #94419=EDGE_CURVE('',#73875,#73873,#36359,.T.); #94420=EDGE_CURVE('',#73875,#73876,#36360,.T.); #94421=EDGE_CURVE('',#73876,#73874,#36361,.T.); #94422=EDGE_CURVE('',#73877,#73875,#61163,.T.); #94423=EDGE_CURVE('',#73877,#73878,#36362,.T.); #94424=EDGE_CURVE('',#73878,#73876,#61164,.T.); #94425=EDGE_CURVE('',#73879,#73877,#36363,.T.); #94426=EDGE_CURVE('',#73879,#73880,#36364,.T.); #94427=EDGE_CURVE('',#73880,#73878,#36365,.T.); #94428=EDGE_CURVE('',#73881,#73879,#36366,.T.); #94429=EDGE_CURVE('',#73881,#73882,#36367,.T.); #94430=EDGE_CURVE('',#73882,#73880,#36368,.T.); #94431=EDGE_CURVE('',#73883,#73881,#36369,.T.); #94432=EDGE_CURVE('',#73883,#73884,#36370,.T.); #94433=EDGE_CURVE('',#73884,#73882,#36371,.T.); #94434=EDGE_CURVE('',#73818,#73883,#36372,.T.); #94435=EDGE_CURVE('',#73820,#73884,#36373,.T.); #94436=EDGE_CURVE('',#73885,#73886,#61165,.T.); #94437=EDGE_CURVE('',#73885,#73887,#36374,.T.); #94438=EDGE_CURVE('',#73887,#73888,#61166,.T.); #94439=EDGE_CURVE('',#73886,#73888,#36375,.T.); #94440=EDGE_CURVE('',#73889,#73885,#36376,.T.); #94441=EDGE_CURVE('',#73889,#73890,#36377,.T.); #94442=EDGE_CURVE('',#73890,#73887,#36378,.T.); #94443=EDGE_CURVE('',#73891,#73889,#36379,.T.); #94444=EDGE_CURVE('',#73891,#73892,#36380,.T.); #94445=EDGE_CURVE('',#73892,#73890,#36381,.T.); #94446=EDGE_CURVE('',#73893,#73891,#36382,.T.); #94447=EDGE_CURVE('',#73893,#73894,#36383,.T.); #94448=EDGE_CURVE('',#73894,#73892,#36384,.T.); #94449=EDGE_CURVE('',#73895,#73893,#36385,.T.); #94450=EDGE_CURVE('',#73895,#73896,#36386,.T.); #94451=EDGE_CURVE('',#73896,#73894,#36387,.T.); #94452=EDGE_CURVE('',#73897,#73895,#61167,.T.); #94453=EDGE_CURVE('',#73897,#73898,#36388,.T.); #94454=EDGE_CURVE('',#73898,#73896,#61168,.T.); #94455=EDGE_CURVE('',#73899,#73897,#61169,.T.); #94456=EDGE_CURVE('',#73899,#73900,#36389,.T.); #94457=EDGE_CURVE('',#73900,#73898,#61170,.T.); #94458=EDGE_CURVE('',#73901,#73899,#36390,.T.); #94459=EDGE_CURVE('',#73901,#73902,#36391,.T.); #94460=EDGE_CURVE('',#73902,#73900,#36392,.T.); #94461=EDGE_CURVE('',#73903,#73901,#36393,.T.); #94462=EDGE_CURVE('',#73903,#73904,#36394,.T.); #94463=EDGE_CURVE('',#73904,#73902,#36395,.T.); #94464=EDGE_CURVE('',#73905,#73903,#61171,.T.); #94465=EDGE_CURVE('',#73905,#73906,#36396,.T.); #94466=EDGE_CURVE('',#73906,#73904,#61172,.T.); #94467=EDGE_CURVE('',#73907,#73905,#36397,.T.); #94468=EDGE_CURVE('',#73907,#73908,#36398,.T.); #94469=EDGE_CURVE('',#73908,#73906,#36399,.T.); #94470=EDGE_CURVE('',#73909,#73907,#61173,.T.); #94471=EDGE_CURVE('',#73909,#73910,#36400,.T.); #94472=EDGE_CURVE('',#73910,#73908,#61174,.T.); #94473=EDGE_CURVE('',#73911,#73909,#61175,.T.); #94474=EDGE_CURVE('',#73911,#73912,#36401,.T.); #94475=EDGE_CURVE('',#73912,#73910,#61176,.T.); #94476=EDGE_CURVE('',#73913,#73911,#36402,.T.); #94477=EDGE_CURVE('',#73913,#73914,#36403,.T.); #94478=EDGE_CURVE('',#73914,#73912,#36404,.T.); #94479=EDGE_CURVE('',#73915,#73913,#36405,.T.); #94480=EDGE_CURVE('',#73915,#73916,#36406,.T.); #94481=EDGE_CURVE('',#73916,#73914,#36407,.T.); #94482=EDGE_CURVE('',#73917,#73915,#61177,.T.); #94483=EDGE_CURVE('',#73917,#73918,#36408,.T.); #94484=EDGE_CURVE('',#73918,#73916,#61178,.T.); #94485=EDGE_CURVE('',#73919,#73917,#61179,.T.); #94486=EDGE_CURVE('',#73919,#73920,#36409,.T.); #94487=EDGE_CURVE('',#73920,#73918,#61180,.T.); #94488=EDGE_CURVE('',#73921,#73919,#61181,.T.); #94489=EDGE_CURVE('',#73921,#73922,#36410,.T.); #94490=EDGE_CURVE('',#73922,#73920,#61182,.T.); #94491=EDGE_CURVE('',#73923,#73921,#36411,.T.); #94492=EDGE_CURVE('',#73923,#73924,#36412,.T.); #94493=EDGE_CURVE('',#73924,#73922,#36413,.T.); #94494=EDGE_CURVE('',#73925,#73923,#61183,.T.); #94495=EDGE_CURVE('',#73925,#73926,#36414,.T.); #94496=EDGE_CURVE('',#73926,#73924,#61184,.T.); #94497=EDGE_CURVE('',#73927,#73925,#36415,.T.); #94498=EDGE_CURVE('',#73927,#73928,#36416,.T.); #94499=EDGE_CURVE('',#73928,#73926,#36417,.T.); #94500=EDGE_CURVE('',#73929,#73927,#36418,.T.); #94501=EDGE_CURVE('',#73929,#73930,#36419,.T.); #94502=EDGE_CURVE('',#73930,#73928,#36420,.T.); #94503=EDGE_CURVE('',#73931,#73929,#61185,.T.); #94504=EDGE_CURVE('',#73931,#73932,#36421,.T.); #94505=EDGE_CURVE('',#73932,#73930,#61186,.T.); #94506=EDGE_CURVE('',#73933,#73931,#36422,.T.); #94507=EDGE_CURVE('',#73933,#73934,#36423,.T.); #94508=EDGE_CURVE('',#73934,#73932,#36424,.T.); #94509=EDGE_CURVE('',#73935,#73933,#36425,.T.); #94510=EDGE_CURVE('',#73935,#73936,#36426,.T.); #94511=EDGE_CURVE('',#73936,#73934,#36427,.T.); #94512=EDGE_CURVE('',#73937,#73935,#61187,.T.); #94513=EDGE_CURVE('',#73937,#73938,#36428,.T.); #94514=EDGE_CURVE('',#73938,#73936,#61188,.T.); #94515=EDGE_CURVE('',#73939,#73937,#36429,.T.); #94516=EDGE_CURVE('',#73939,#73940,#36430,.T.); #94517=EDGE_CURVE('',#73940,#73938,#36431,.T.); #94518=EDGE_CURVE('',#73941,#73939,#36432,.T.); #94519=EDGE_CURVE('',#73941,#73942,#36433,.T.); #94520=EDGE_CURVE('',#73942,#73940,#36434,.T.); #94521=EDGE_CURVE('',#73943,#73941,#61189,.T.); #94522=EDGE_CURVE('',#73943,#73944,#36435,.T.); #94523=EDGE_CURVE('',#73944,#73942,#61190,.T.); #94524=EDGE_CURVE('',#73945,#73943,#36436,.T.); #94525=EDGE_CURVE('',#73945,#73946,#36437,.T.); #94526=EDGE_CURVE('',#73946,#73944,#36438,.T.); #94527=EDGE_CURVE('',#73947,#73945,#36439,.T.); #94528=EDGE_CURVE('',#73947,#73948,#36440,.T.); #94529=EDGE_CURVE('',#73948,#73946,#36441,.T.); #94530=EDGE_CURVE('',#73949,#73947,#61191,.T.); #94531=EDGE_CURVE('',#73949,#73950,#36442,.T.); #94532=EDGE_CURVE('',#73950,#73948,#61192,.T.); #94533=EDGE_CURVE('',#73951,#73949,#36443,.T.); #94534=EDGE_CURVE('',#73951,#73952,#36444,.T.); #94535=EDGE_CURVE('',#73952,#73950,#36445,.T.); #94536=EDGE_CURVE('',#73953,#73951,#36446,.T.); #94537=EDGE_CURVE('',#73953,#73954,#36447,.T.); #94538=EDGE_CURVE('',#73954,#73952,#36448,.T.); #94539=EDGE_CURVE('',#73955,#73953,#61193,.T.); #94540=EDGE_CURVE('',#73955,#73956,#36449,.T.); #94541=EDGE_CURVE('',#73956,#73954,#61194,.T.); #94542=EDGE_CURVE('',#73957,#73955,#61195,.T.); #94543=EDGE_CURVE('',#73957,#73958,#36450,.T.); #94544=EDGE_CURVE('',#73958,#73956,#61196,.T.); #94545=EDGE_CURVE('',#73959,#73957,#36451,.T.); #94546=EDGE_CURVE('',#73959,#73960,#36452,.T.); #94547=EDGE_CURVE('',#73960,#73958,#36453,.T.); #94548=EDGE_CURVE('',#73961,#73959,#36454,.T.); #94549=EDGE_CURVE('',#73961,#73962,#36455,.T.); #94550=EDGE_CURVE('',#73962,#73960,#36456,.T.); #94551=EDGE_CURVE('',#73886,#73961,#61197,.T.); #94552=EDGE_CURVE('',#73888,#73962,#61198,.T.); #94553=EDGE_CURVE('',#73963,#73964,#61199,.T.); #94554=EDGE_CURVE('',#73963,#73965,#36457,.T.); #94555=EDGE_CURVE('',#73965,#73966,#61200,.T.); #94556=EDGE_CURVE('',#73964,#73966,#36458,.T.); #94557=EDGE_CURVE('',#73967,#73963,#61201,.T.); #94558=EDGE_CURVE('',#73967,#73968,#36459,.T.); #94559=EDGE_CURVE('',#73968,#73965,#61202,.T.); #94560=EDGE_CURVE('',#73969,#73967,#61203,.T.); #94561=EDGE_CURVE('',#73969,#73970,#36460,.T.); #94562=EDGE_CURVE('',#73970,#73968,#61204,.T.); #94563=EDGE_CURVE('',#73971,#73969,#36461,.T.); #94564=EDGE_CURVE('',#73971,#73972,#36462,.T.); #94565=EDGE_CURVE('',#73972,#73970,#36463,.T.); #94566=EDGE_CURVE('',#73973,#73971,#61205,.T.); #94567=EDGE_CURVE('',#73973,#73974,#36464,.T.); #94568=EDGE_CURVE('',#73974,#73972,#61206,.T.); #94569=EDGE_CURVE('',#73975,#73973,#61207,.T.); #94570=EDGE_CURVE('',#73975,#73976,#36465,.T.); #94571=EDGE_CURVE('',#73976,#73974,#61208,.T.); #94572=EDGE_CURVE('',#73977,#73975,#61209,.T.); #94573=EDGE_CURVE('',#73977,#73978,#36466,.T.); #94574=EDGE_CURVE('',#73978,#73976,#61210,.T.); #94575=EDGE_CURVE('',#73979,#73977,#61211,.T.); #94576=EDGE_CURVE('',#73979,#73980,#36467,.T.); #94577=EDGE_CURVE('',#73980,#73978,#61212,.T.); #94578=EDGE_CURVE('',#73981,#73979,#61213,.T.); #94579=EDGE_CURVE('',#73981,#73982,#36468,.T.); #94580=EDGE_CURVE('',#73982,#73980,#61214,.T.); #94581=EDGE_CURVE('',#73964,#73981,#61215,.T.); #94582=EDGE_CURVE('',#73966,#73982,#61216,.T.); #94583=EDGE_CURVE('',#73983,#73984,#36469,.T.); #94584=EDGE_CURVE('',#73983,#73985,#36470,.T.); #94585=EDGE_CURVE('',#73985,#73986,#36471,.T.); #94586=EDGE_CURVE('',#73984,#73986,#36472,.T.); #94587=EDGE_CURVE('',#73987,#73983,#61217,.T.); #94588=EDGE_CURVE('',#73987,#73988,#36473,.T.); #94589=EDGE_CURVE('',#73988,#73985,#61218,.T.); #94590=EDGE_CURVE('',#73989,#73987,#61219,.T.); #94591=EDGE_CURVE('',#73989,#73990,#36474,.T.); #94592=EDGE_CURVE('',#73990,#73988,#61220,.T.); #94593=EDGE_CURVE('',#73991,#73989,#61221,.T.); #94594=EDGE_CURVE('',#73991,#73992,#36475,.T.); #94595=EDGE_CURVE('',#73992,#73990,#61222,.T.); #94596=EDGE_CURVE('',#73993,#73991,#61223,.T.); #94597=EDGE_CURVE('',#73993,#73994,#36476,.T.); #94598=EDGE_CURVE('',#73994,#73992,#61224,.T.); #94599=EDGE_CURVE('',#73995,#73993,#61225,.T.); #94600=EDGE_CURVE('',#73995,#73996,#36477,.T.); #94601=EDGE_CURVE('',#73996,#73994,#61226,.T.); #94602=EDGE_CURVE('',#73997,#73995,#61227,.T.); #94603=EDGE_CURVE('',#73997,#73998,#36478,.T.); #94604=EDGE_CURVE('',#73998,#73996,#61228,.T.); #94605=EDGE_CURVE('',#73999,#73997,#61229,.T.); #94606=EDGE_CURVE('',#73999,#74000,#36479,.T.); #94607=EDGE_CURVE('',#74000,#73998,#61230,.T.); #94608=EDGE_CURVE('',#74001,#73999,#61231,.T.); #94609=EDGE_CURVE('',#74001,#74002,#36480,.T.); #94610=EDGE_CURVE('',#74002,#74000,#61232,.T.); #94611=EDGE_CURVE('',#74003,#74001,#61233,.T.); #94612=EDGE_CURVE('',#74003,#74004,#36481,.T.); #94613=EDGE_CURVE('',#74004,#74002,#61234,.T.); #94614=EDGE_CURVE('',#73984,#74003,#36482,.T.); #94615=EDGE_CURVE('',#73986,#74004,#36483,.T.); #94616=EDGE_CURVE('',#74005,#74006,#36484,.T.); #94617=EDGE_CURVE('',#74005,#74007,#36485,.T.); #94618=EDGE_CURVE('',#74007,#74008,#36486,.T.); #94619=EDGE_CURVE('',#74006,#74008,#36487,.T.); #94620=EDGE_CURVE('',#74009,#74005,#61235,.T.); #94621=EDGE_CURVE('',#74009,#74010,#36488,.T.); #94622=EDGE_CURVE('',#74010,#74007,#61236,.T.); #94623=EDGE_CURVE('',#74011,#74009,#61237,.T.); #94624=EDGE_CURVE('',#74011,#74012,#36489,.T.); #94625=EDGE_CURVE('',#74012,#74010,#61238,.T.); #94626=EDGE_CURVE('',#74013,#74011,#36490,.T.); #94627=EDGE_CURVE('',#74013,#74014,#36491,.T.); #94628=EDGE_CURVE('',#74014,#74012,#36492,.T.); #94629=EDGE_CURVE('',#74015,#74013,#61239,.T.); #94630=EDGE_CURVE('',#74015,#74016,#36493,.T.); #94631=EDGE_CURVE('',#74016,#74014,#61240,.T.); #94632=EDGE_CURVE('',#74017,#74015,#61241,.T.); #94633=EDGE_CURVE('',#74017,#74018,#36494,.T.); #94634=EDGE_CURVE('',#74018,#74016,#61242,.T.); #94635=EDGE_CURVE('',#74019,#74017,#36495,.T.); #94636=EDGE_CURVE('',#74019,#74020,#36496,.T.); #94637=EDGE_CURVE('',#74020,#74018,#36497,.T.); #94638=EDGE_CURVE('',#74021,#74019,#61243,.T.); #94639=EDGE_CURVE('',#74021,#74022,#36498,.T.); #94640=EDGE_CURVE('',#74022,#74020,#61244,.T.); #94641=EDGE_CURVE('',#74023,#74021,#36499,.T.); #94642=EDGE_CURVE('',#74023,#74024,#36500,.T.); #94643=EDGE_CURVE('',#74024,#74022,#36501,.T.); #94644=EDGE_CURVE('',#74025,#74023,#36502,.T.); #94645=EDGE_CURVE('',#74025,#74026,#36503,.T.); #94646=EDGE_CURVE('',#74026,#74024,#36504,.T.); #94647=EDGE_CURVE('',#74027,#74025,#36505,.T.); #94648=EDGE_CURVE('',#74027,#74028,#36506,.T.); #94649=EDGE_CURVE('',#74028,#74026,#36507,.T.); #94650=EDGE_CURVE('',#74029,#74027,#61245,.T.); #94651=EDGE_CURVE('',#74029,#74030,#36508,.T.); #94652=EDGE_CURVE('',#74030,#74028,#61246,.T.); #94653=EDGE_CURVE('',#74031,#74029,#36509,.T.); #94654=EDGE_CURVE('',#74031,#74032,#36510,.T.); #94655=EDGE_CURVE('',#74032,#74030,#36511,.T.); #94656=EDGE_CURVE('',#74033,#74031,#36512,.T.); #94657=EDGE_CURVE('',#74033,#74034,#36513,.T.); #94658=EDGE_CURVE('',#74034,#74032,#36514,.T.); #94659=EDGE_CURVE('',#74035,#74033,#36515,.T.); #94660=EDGE_CURVE('',#74035,#74036,#36516,.T.); #94661=EDGE_CURVE('',#74036,#74034,#36517,.T.); #94662=EDGE_CURVE('',#74037,#74035,#36518,.T.); #94663=EDGE_CURVE('',#74037,#74038,#36519,.T.); #94664=EDGE_CURVE('',#74038,#74036,#36520,.T.); #94665=EDGE_CURVE('',#74039,#74037,#61247,.T.); #94666=EDGE_CURVE('',#74039,#74040,#36521,.T.); #94667=EDGE_CURVE('',#74040,#74038,#61248,.T.); #94668=EDGE_CURVE('',#74041,#74039,#36522,.T.); #94669=EDGE_CURVE('',#74041,#74042,#36523,.T.); #94670=EDGE_CURVE('',#74042,#74040,#36524,.T.); #94671=EDGE_CURVE('',#74043,#74041,#36525,.T.); #94672=EDGE_CURVE('',#74043,#74044,#36526,.T.); #94673=EDGE_CURVE('',#74044,#74042,#36527,.T.); #94674=EDGE_CURVE('',#74045,#74043,#61249,.T.); #94675=EDGE_CURVE('',#74045,#74046,#36528,.T.); #94676=EDGE_CURVE('',#74046,#74044,#61250,.T.); #94677=EDGE_CURVE('',#74047,#74045,#61251,.T.); #94678=EDGE_CURVE('',#74047,#74048,#36529,.T.); #94679=EDGE_CURVE('',#74048,#74046,#61252,.T.); #94680=EDGE_CURVE('',#74049,#74047,#36530,.T.); #94681=EDGE_CURVE('',#74049,#74050,#36531,.T.); #94682=EDGE_CURVE('',#74050,#74048,#36532,.T.); #94683=EDGE_CURVE('',#74051,#74049,#36533,.T.); #94684=EDGE_CURVE('',#74051,#74052,#36534,.T.); #94685=EDGE_CURVE('',#74052,#74050,#36535,.T.); #94686=EDGE_CURVE('',#74053,#74051,#36536,.T.); #94687=EDGE_CURVE('',#74053,#74054,#36537,.T.); #94688=EDGE_CURVE('',#74054,#74052,#36538,.T.); #94689=EDGE_CURVE('',#74055,#74053,#36539,.T.); #94690=EDGE_CURVE('',#74055,#74056,#36540,.T.); #94691=EDGE_CURVE('',#74056,#74054,#36541,.T.); #94692=EDGE_CURVE('',#74057,#74055,#61253,.T.); #94693=EDGE_CURVE('',#74057,#74058,#36542,.T.); #94694=EDGE_CURVE('',#74058,#74056,#61254,.T.); #94695=EDGE_CURVE('',#74059,#74057,#61255,.T.); #94696=EDGE_CURVE('',#74059,#74060,#36543,.T.); #94697=EDGE_CURVE('',#74060,#74058,#61256,.T.); #94698=EDGE_CURVE('',#74061,#74059,#61257,.T.); #94699=EDGE_CURVE('',#74061,#74062,#36544,.T.); #94700=EDGE_CURVE('',#74062,#74060,#61258,.T.); #94701=EDGE_CURVE('',#74063,#74061,#36545,.T.); #94702=EDGE_CURVE('',#74063,#74064,#36546,.T.); #94703=EDGE_CURVE('',#74064,#74062,#36547,.T.); #94704=EDGE_CURVE('',#74065,#74063,#61259,.T.); #94705=EDGE_CURVE('',#74065,#74066,#36548,.T.); #94706=EDGE_CURVE('',#74066,#74064,#61260,.T.); #94707=EDGE_CURVE('',#74067,#74065,#61261,.T.); #94708=EDGE_CURVE('',#74067,#74068,#36549,.T.); #94709=EDGE_CURVE('',#74068,#74066,#61262,.T.); #94710=EDGE_CURVE('',#74069,#74067,#61263,.T.); #94711=EDGE_CURVE('',#74069,#74070,#36550,.T.); #94712=EDGE_CURVE('',#74070,#74068,#61264,.T.); #94713=EDGE_CURVE('',#74071,#74069,#36551,.T.); #94714=EDGE_CURVE('',#74071,#74072,#36552,.T.); #94715=EDGE_CURVE('',#74072,#74070,#36553,.T.); #94716=EDGE_CURVE('',#74073,#74071,#36554,.T.); #94717=EDGE_CURVE('',#74073,#74074,#36555,.T.); #94718=EDGE_CURVE('',#74074,#74072,#36556,.T.); #94719=EDGE_CURVE('',#74075,#74073,#36557,.T.); #94720=EDGE_CURVE('',#74075,#74076,#36558,.T.); #94721=EDGE_CURVE('',#74076,#74074,#36559,.T.); #94722=EDGE_CURVE('',#74077,#74075,#36560,.T.); #94723=EDGE_CURVE('',#74077,#74078,#36561,.T.); #94724=EDGE_CURVE('',#74078,#74076,#36562,.T.); #94725=EDGE_CURVE('',#74079,#74077,#36563,.T.); #94726=EDGE_CURVE('',#74079,#74080,#36564,.T.); #94727=EDGE_CURVE('',#74080,#74078,#36565,.T.); #94728=EDGE_CURVE('',#74081,#74079,#36566,.T.); #94729=EDGE_CURVE('',#74081,#74082,#36567,.T.); #94730=EDGE_CURVE('',#74082,#74080,#36568,.T.); #94731=EDGE_CURVE('',#74083,#74081,#36569,.T.); #94732=EDGE_CURVE('',#74083,#74084,#36570,.T.); #94733=EDGE_CURVE('',#74084,#74082,#36571,.T.); #94734=EDGE_CURVE('',#74085,#74083,#36572,.T.); #94735=EDGE_CURVE('',#74085,#74086,#36573,.T.); #94736=EDGE_CURVE('',#74086,#74084,#36574,.T.); #94737=EDGE_CURVE('',#74087,#74085,#36575,.T.); #94738=EDGE_CURVE('',#74087,#74088,#36576,.T.); #94739=EDGE_CURVE('',#74088,#74086,#36577,.T.); #94740=EDGE_CURVE('',#74089,#74087,#36578,.T.); #94741=EDGE_CURVE('',#74089,#74090,#36579,.T.); #94742=EDGE_CURVE('',#74090,#74088,#36580,.T.); #94743=EDGE_CURVE('',#74091,#74089,#61265,.T.); #94744=EDGE_CURVE('',#74091,#74092,#36581,.T.); #94745=EDGE_CURVE('',#74092,#74090,#61266,.T.); #94746=EDGE_CURVE('',#74093,#74091,#36582,.T.); #94747=EDGE_CURVE('',#74093,#74094,#36583,.T.); #94748=EDGE_CURVE('',#74094,#74092,#36584,.T.); #94749=EDGE_CURVE('',#74095,#74093,#36585,.T.); #94750=EDGE_CURVE('',#74095,#74096,#36586,.T.); #94751=EDGE_CURVE('',#74096,#74094,#36587,.T.); #94752=EDGE_CURVE('',#74097,#74095,#36588,.T.); #94753=EDGE_CURVE('',#74097,#74098,#36589,.T.); #94754=EDGE_CURVE('',#74098,#74096,#36590,.T.); #94755=EDGE_CURVE('',#74006,#74097,#61267,.T.); #94756=EDGE_CURVE('',#74008,#74098,#61268,.T.); #94757=EDGE_CURVE('',#74099,#74100,#36591,.T.); #94758=EDGE_CURVE('',#74099,#74101,#36592,.T.); #94759=EDGE_CURVE('',#74101,#74102,#36593,.T.); #94760=EDGE_CURVE('',#74100,#74102,#36594,.T.); #94761=EDGE_CURVE('',#74103,#74099,#36595,.T.); #94762=EDGE_CURVE('',#74103,#74104,#36596,.T.); #94763=EDGE_CURVE('',#74104,#74101,#36597,.T.); #94764=EDGE_CURVE('',#74105,#74103,#36598,.T.); #94765=EDGE_CURVE('',#74105,#74106,#36599,.T.); #94766=EDGE_CURVE('',#74106,#74104,#36600,.T.); #94767=EDGE_CURVE('',#74107,#74105,#61269,.T.); #94768=EDGE_CURVE('',#74107,#74108,#36601,.T.); #94769=EDGE_CURVE('',#74108,#74106,#61270,.T.); #94770=EDGE_CURVE('',#74109,#74107,#61271,.T.); #94771=EDGE_CURVE('',#74109,#74110,#36602,.T.); #94772=EDGE_CURVE('',#74110,#74108,#61272,.T.); #94773=EDGE_CURVE('',#74111,#74109,#61273,.T.); #94774=EDGE_CURVE('',#74111,#74112,#36603,.T.); #94775=EDGE_CURVE('',#74112,#74110,#61274,.T.); #94776=EDGE_CURVE('',#74113,#74111,#61275,.T.); #94777=EDGE_CURVE('',#74113,#74114,#36604,.T.); #94778=EDGE_CURVE('',#74114,#74112,#61276,.T.); #94779=EDGE_CURVE('',#74115,#74113,#36605,.T.); #94780=EDGE_CURVE('',#74115,#74116,#36606,.T.); #94781=EDGE_CURVE('',#74116,#74114,#36607,.T.); #94782=EDGE_CURVE('',#74117,#74115,#36608,.T.); #94783=EDGE_CURVE('',#74117,#74118,#36609,.T.); #94784=EDGE_CURVE('',#74118,#74116,#36610,.T.); #94785=EDGE_CURVE('',#74119,#74117,#36611,.T.); #94786=EDGE_CURVE('',#74119,#74120,#36612,.T.); #94787=EDGE_CURVE('',#74120,#74118,#36613,.T.); #94788=EDGE_CURVE('',#74121,#74119,#61277,.T.); #94789=EDGE_CURVE('',#74121,#74122,#36614,.T.); #94790=EDGE_CURVE('',#74122,#74120,#61278,.T.); #94791=EDGE_CURVE('',#74123,#74121,#61279,.T.); #94792=EDGE_CURVE('',#74123,#74124,#36615,.T.); #94793=EDGE_CURVE('',#74124,#74122,#61280,.T.); #94794=EDGE_CURVE('',#74125,#74123,#61281,.T.); #94795=EDGE_CURVE('',#74125,#74126,#36616,.T.); #94796=EDGE_CURVE('',#74126,#74124,#61282,.T.); #94797=EDGE_CURVE('',#74127,#74125,#61283,.T.); #94798=EDGE_CURVE('',#74127,#74128,#36617,.T.); #94799=EDGE_CURVE('',#74128,#74126,#61284,.T.); #94800=EDGE_CURVE('',#74129,#74127,#36618,.T.); #94801=EDGE_CURVE('',#74129,#74130,#36619,.T.); #94802=EDGE_CURVE('',#74130,#74128,#36620,.T.); #94803=EDGE_CURVE('',#74131,#74129,#36621,.T.); #94804=EDGE_CURVE('',#74131,#74132,#36622,.T.); #94805=EDGE_CURVE('',#74132,#74130,#36623,.T.); #94806=EDGE_CURVE('',#74133,#74131,#36624,.T.); #94807=EDGE_CURVE('',#74133,#74134,#36625,.T.); #94808=EDGE_CURVE('',#74134,#74132,#36626,.T.); #94809=EDGE_CURVE('',#74135,#74133,#36627,.T.); #94810=EDGE_CURVE('',#74135,#74136,#36628,.T.); #94811=EDGE_CURVE('',#74136,#74134,#36629,.T.); #94812=EDGE_CURVE('',#74137,#74135,#61285,.T.); #94813=EDGE_CURVE('',#74137,#74138,#36630,.T.); #94814=EDGE_CURVE('',#74138,#74136,#61286,.T.); #94815=EDGE_CURVE('',#74139,#74137,#61287,.T.); #94816=EDGE_CURVE('',#74139,#74140,#36631,.T.); #94817=EDGE_CURVE('',#74140,#74138,#61288,.T.); #94818=EDGE_CURVE('',#74141,#74139,#61289,.T.); #94819=EDGE_CURVE('',#74141,#74142,#36632,.T.); #94820=EDGE_CURVE('',#74142,#74140,#61290,.T.); #94821=EDGE_CURVE('',#74143,#74141,#61291,.T.); #94822=EDGE_CURVE('',#74143,#74144,#36633,.T.); #94823=EDGE_CURVE('',#74144,#74142,#61292,.T.); #94824=EDGE_CURVE('',#74145,#74143,#36634,.T.); #94825=EDGE_CURVE('',#74145,#74146,#36635,.T.); #94826=EDGE_CURVE('',#74146,#74144,#36636,.T.); #94827=EDGE_CURVE('',#74147,#74145,#61293,.T.); #94828=EDGE_CURVE('',#74147,#74148,#36637,.T.); #94829=EDGE_CURVE('',#74148,#74146,#61294,.T.); #94830=EDGE_CURVE('',#74149,#74147,#61295,.T.); #94831=EDGE_CURVE('',#74149,#74150,#36638,.T.); #94832=EDGE_CURVE('',#74150,#74148,#61296,.T.); #94833=EDGE_CURVE('',#74151,#74149,#61297,.T.); #94834=EDGE_CURVE('',#74151,#74152,#36639,.T.); #94835=EDGE_CURVE('',#74152,#74150,#61298,.T.); #94836=EDGE_CURVE('',#74153,#74151,#61299,.T.); #94837=EDGE_CURVE('',#74153,#74154,#36640,.T.); #94838=EDGE_CURVE('',#74154,#74152,#61300,.T.); #94839=EDGE_CURVE('',#74100,#74153,#36641,.T.); #94840=EDGE_CURVE('',#74102,#74154,#36642,.T.); #94841=EDGE_CURVE('',#74155,#74156,#61301,.T.); #94842=EDGE_CURVE('',#74155,#74157,#36643,.T.); #94843=EDGE_CURVE('',#74157,#74158,#61302,.T.); #94844=EDGE_CURVE('',#74156,#74158,#36644,.T.); #94845=EDGE_CURVE('',#74159,#74155,#36645,.T.); #94846=EDGE_CURVE('',#74159,#74160,#36646,.T.); #94847=EDGE_CURVE('',#74160,#74157,#36647,.T.); #94848=EDGE_CURVE('',#74161,#74159,#61303,.T.); #94849=EDGE_CURVE('',#74161,#74162,#36648,.T.); #94850=EDGE_CURVE('',#74162,#74160,#61304,.T.); #94851=EDGE_CURVE('',#74163,#74161,#61305,.T.); #94852=EDGE_CURVE('',#74163,#74164,#36649,.T.); #94853=EDGE_CURVE('',#74164,#74162,#61306,.T.); #94854=EDGE_CURVE('',#74165,#74163,#61307,.T.); #94855=EDGE_CURVE('',#74165,#74166,#36650,.T.); #94856=EDGE_CURVE('',#74166,#74164,#61308,.T.); #94857=EDGE_CURVE('',#74167,#74165,#61309,.T.); #94858=EDGE_CURVE('',#74167,#74168,#36651,.T.); #94859=EDGE_CURVE('',#74168,#74166,#61310,.T.); #94860=EDGE_CURVE('',#74169,#74167,#36652,.T.); #94861=EDGE_CURVE('',#74169,#74170,#36653,.T.); #94862=EDGE_CURVE('',#74170,#74168,#36654,.T.); #94863=EDGE_CURVE('',#74171,#74169,#36655,.T.); #94864=EDGE_CURVE('',#74171,#74172,#36656,.T.); #94865=EDGE_CURVE('',#74172,#74170,#36657,.T.); #94866=EDGE_CURVE('',#74173,#74171,#36658,.T.); #94867=EDGE_CURVE('',#74173,#74174,#36659,.T.); #94868=EDGE_CURVE('',#74174,#74172,#36660,.T.); #94869=EDGE_CURVE('',#74175,#74173,#61311,.T.); #94870=EDGE_CURVE('',#74175,#74176,#36661,.T.); #94871=EDGE_CURVE('',#74176,#74174,#61312,.T.); #94872=EDGE_CURVE('',#74177,#74175,#61313,.T.); #94873=EDGE_CURVE('',#74177,#74178,#36662,.T.); #94874=EDGE_CURVE('',#74178,#74176,#61314,.T.); #94875=EDGE_CURVE('',#74179,#74177,#61315,.T.); #94876=EDGE_CURVE('',#74179,#74180,#36663,.T.); #94877=EDGE_CURVE('',#74180,#74178,#61316,.T.); #94878=EDGE_CURVE('',#74181,#74179,#61317,.T.); #94879=EDGE_CURVE('',#74181,#74182,#36664,.T.); #94880=EDGE_CURVE('',#74182,#74180,#61318,.T.); #94881=EDGE_CURVE('',#74183,#74181,#36665,.T.); #94882=EDGE_CURVE('',#74183,#74184,#36666,.T.); #94883=EDGE_CURVE('',#74184,#74182,#36667,.T.); #94884=EDGE_CURVE('',#74185,#74183,#61319,.T.); #94885=EDGE_CURVE('',#74185,#74186,#36668,.T.); #94886=EDGE_CURVE('',#74186,#74184,#61320,.T.); #94887=EDGE_CURVE('',#74187,#74185,#61321,.T.); #94888=EDGE_CURVE('',#74187,#74188,#36669,.T.); #94889=EDGE_CURVE('',#74188,#74186,#61322,.T.); #94890=EDGE_CURVE('',#74189,#74187,#61323,.T.); #94891=EDGE_CURVE('',#74189,#74190,#36670,.T.); #94892=EDGE_CURVE('',#74190,#74188,#61324,.T.); #94893=EDGE_CURVE('',#74191,#74189,#61325,.T.); #94894=EDGE_CURVE('',#74191,#74192,#36671,.T.); #94895=EDGE_CURVE('',#74192,#74190,#61326,.T.); #94896=EDGE_CURVE('',#74193,#74191,#36672,.T.); #94897=EDGE_CURVE('',#74193,#74194,#36673,.T.); #94898=EDGE_CURVE('',#74194,#74192,#36674,.T.); #94899=EDGE_CURVE('',#74195,#74193,#36675,.T.); #94900=EDGE_CURVE('',#74195,#74196,#36676,.T.); #94901=EDGE_CURVE('',#74196,#74194,#36677,.T.); #94902=EDGE_CURVE('',#74197,#74195,#36678,.T.); #94903=EDGE_CURVE('',#74197,#74198,#36679,.T.); #94904=EDGE_CURVE('',#74198,#74196,#36680,.T.); #94905=EDGE_CURVE('',#74199,#74197,#36681,.T.); #94906=EDGE_CURVE('',#74199,#74200,#36682,.T.); #94907=EDGE_CURVE('',#74200,#74198,#36683,.T.); #94908=EDGE_CURVE('',#74201,#74199,#61327,.T.); #94909=EDGE_CURVE('',#74201,#74202,#36684,.T.); #94910=EDGE_CURVE('',#74202,#74200,#61328,.T.); #94911=EDGE_CURVE('',#74203,#74201,#61329,.T.); #94912=EDGE_CURVE('',#74203,#74204,#36685,.T.); #94913=EDGE_CURVE('',#74204,#74202,#61330,.T.); #94914=EDGE_CURVE('',#74205,#74203,#61331,.T.); #94915=EDGE_CURVE('',#74205,#74206,#36686,.T.); #94916=EDGE_CURVE('',#74206,#74204,#61332,.T.); #94917=EDGE_CURVE('',#74207,#74205,#61333,.T.); #94918=EDGE_CURVE('',#74207,#74208,#36687,.T.); #94919=EDGE_CURVE('',#74208,#74206,#61334,.T.); #94920=EDGE_CURVE('',#74209,#74207,#36688,.T.); #94921=EDGE_CURVE('',#74209,#74210,#36689,.T.); #94922=EDGE_CURVE('',#74210,#74208,#36690,.T.); #94923=EDGE_CURVE('',#74211,#74209,#36691,.T.); #94924=EDGE_CURVE('',#74211,#74212,#36692,.T.); #94925=EDGE_CURVE('',#74212,#74210,#36693,.T.); #94926=EDGE_CURVE('',#74213,#74211,#61335,.T.); #94927=EDGE_CURVE('',#74213,#74214,#36694,.T.); #94928=EDGE_CURVE('',#74214,#74212,#61336,.T.); #94929=EDGE_CURVE('',#74215,#74213,#61337,.T.); #94930=EDGE_CURVE('',#74215,#74216,#36695,.T.); #94931=EDGE_CURVE('',#74216,#74214,#61338,.T.); #94932=EDGE_CURVE('',#74217,#74215,#61339,.T.); #94933=EDGE_CURVE('',#74217,#74218,#36696,.T.); #94934=EDGE_CURVE('',#74218,#74216,#61340,.T.); #94935=EDGE_CURVE('',#74219,#74217,#61341,.T.); #94936=EDGE_CURVE('',#74219,#74220,#36697,.T.); #94937=EDGE_CURVE('',#74220,#74218,#61342,.T.); #94938=EDGE_CURVE('',#74221,#74219,#36698,.T.); #94939=EDGE_CURVE('',#74221,#74222,#36699,.T.); #94940=EDGE_CURVE('',#74222,#74220,#36700,.T.); #94941=EDGE_CURVE('',#74223,#74221,#36701,.T.); #94942=EDGE_CURVE('',#74223,#74224,#36702,.T.); #94943=EDGE_CURVE('',#74224,#74222,#36703,.T.); #94944=EDGE_CURVE('',#74225,#74223,#36704,.T.); #94945=EDGE_CURVE('',#74225,#74226,#36705,.T.); #94946=EDGE_CURVE('',#74226,#74224,#36706,.T.); #94947=EDGE_CURVE('',#74227,#74225,#61343,.T.); #94948=EDGE_CURVE('',#74227,#74228,#36707,.T.); #94949=EDGE_CURVE('',#74228,#74226,#61344,.T.); #94950=EDGE_CURVE('',#74229,#74227,#61345,.T.); #94951=EDGE_CURVE('',#74229,#74230,#36708,.T.); #94952=EDGE_CURVE('',#74230,#74228,#61346,.T.); #94953=EDGE_CURVE('',#74156,#74229,#61347,.T.); #94954=EDGE_CURVE('',#74158,#74230,#61348,.T.); #94955=EDGE_CURVE('',#74231,#74232,#61349,.T.); #94956=EDGE_CURVE('',#74231,#74233,#36709,.T.); #94957=EDGE_CURVE('',#74233,#74234,#61350,.T.); #94958=EDGE_CURVE('',#74232,#74234,#36710,.T.); #94959=EDGE_CURVE('',#74235,#74231,#61351,.T.); #94960=EDGE_CURVE('',#74235,#74236,#36711,.T.); #94961=EDGE_CURVE('',#74236,#74233,#61352,.T.); #94962=EDGE_CURVE('',#74237,#74235,#61353,.T.); #94963=EDGE_CURVE('',#74237,#74238,#36712,.T.); #94964=EDGE_CURVE('',#74238,#74236,#61354,.T.); #94965=EDGE_CURVE('',#74239,#74237,#61355,.T.); #94966=EDGE_CURVE('',#74239,#74240,#36713,.T.); #94967=EDGE_CURVE('',#74240,#74238,#61356,.T.); #94968=EDGE_CURVE('',#74241,#74239,#36714,.T.); #94969=EDGE_CURVE('',#74241,#74242,#36715,.T.); #94970=EDGE_CURVE('',#74242,#74240,#36716,.T.); #94971=EDGE_CURVE('',#74243,#74241,#61357,.T.); #94972=EDGE_CURVE('',#74243,#74244,#36717,.T.); #94973=EDGE_CURVE('',#74244,#74242,#61358,.T.); #94974=EDGE_CURVE('',#74245,#74243,#61359,.T.); #94975=EDGE_CURVE('',#74245,#74246,#36718,.T.); #94976=EDGE_CURVE('',#74246,#74244,#61360,.T.); #94977=EDGE_CURVE('',#74247,#74245,#61361,.T.); #94978=EDGE_CURVE('',#74247,#74248,#36719,.T.); #94979=EDGE_CURVE('',#74248,#74246,#61362,.T.); #94980=EDGE_CURVE('',#74249,#74247,#61363,.T.); #94981=EDGE_CURVE('',#74249,#74250,#36720,.T.); #94982=EDGE_CURVE('',#74250,#74248,#61364,.T.); #94983=EDGE_CURVE('',#74232,#74249,#36721,.T.); #94984=EDGE_CURVE('',#74234,#74250,#36722,.T.); #94985=EDGE_CURVE('',#74251,#74252,#36723,.T.); #94986=EDGE_CURVE('',#74251,#74253,#36724,.T.); #94987=EDGE_CURVE('',#74253,#74254,#36725,.T.); #94988=EDGE_CURVE('',#74252,#74254,#36726,.T.); #94989=EDGE_CURVE('',#74255,#74251,#36727,.T.); #94990=EDGE_CURVE('',#74255,#74256,#36728,.T.); #94991=EDGE_CURVE('',#74256,#74253,#36729,.T.); #94992=EDGE_CURVE('',#74257,#74255,#36730,.T.); #94993=EDGE_CURVE('',#74257,#74258,#36731,.T.); #94994=EDGE_CURVE('',#74258,#74256,#36732,.T.); #94995=EDGE_CURVE('',#74259,#74257,#36733,.T.); #94996=EDGE_CURVE('',#74259,#74260,#36734,.T.); #94997=EDGE_CURVE('',#74260,#74258,#36735,.T.); #94998=EDGE_CURVE('',#74261,#74259,#61365,.T.); #94999=EDGE_CURVE('',#74261,#74262,#36736,.T.); #95000=EDGE_CURVE('',#74262,#74260,#61366,.T.); #95001=EDGE_CURVE('',#74263,#74261,#61367,.T.); #95002=EDGE_CURVE('',#74263,#74264,#36737,.T.); #95003=EDGE_CURVE('',#74264,#74262,#61368,.T.); #95004=EDGE_CURVE('',#74265,#74263,#61369,.T.); #95005=EDGE_CURVE('',#74265,#74266,#36738,.T.); #95006=EDGE_CURVE('',#74266,#74264,#61370,.T.); #95007=EDGE_CURVE('',#74267,#74265,#61371,.T.); #95008=EDGE_CURVE('',#74267,#74268,#36739,.T.); #95009=EDGE_CURVE('',#74268,#74266,#61372,.T.); #95010=EDGE_CURVE('',#74269,#74267,#61373,.T.); #95011=EDGE_CURVE('',#74269,#74270,#36740,.T.); #95012=EDGE_CURVE('',#74270,#74268,#61374,.T.); #95013=EDGE_CURVE('',#74271,#74269,#61375,.T.); #95014=EDGE_CURVE('',#74271,#74272,#36741,.T.); #95015=EDGE_CURVE('',#74272,#74270,#61376,.T.); #95016=EDGE_CURVE('',#74273,#74271,#36742,.T.); #95017=EDGE_CURVE('',#74273,#74274,#36743,.T.); #95018=EDGE_CURVE('',#74274,#74272,#36744,.T.); #95019=EDGE_CURVE('',#74275,#74273,#61377,.T.); #95020=EDGE_CURVE('',#74275,#74276,#36745,.T.); #95021=EDGE_CURVE('',#74276,#74274,#61378,.T.); #95022=EDGE_CURVE('',#74277,#74275,#61379,.T.); #95023=EDGE_CURVE('',#74277,#74278,#36746,.T.); #95024=EDGE_CURVE('',#74278,#74276,#61380,.T.); #95025=EDGE_CURVE('',#74279,#74277,#61381,.T.); #95026=EDGE_CURVE('',#74279,#74280,#36747,.T.); #95027=EDGE_CURVE('',#74280,#74278,#61382,.T.); #95028=EDGE_CURVE('',#74281,#74279,#61383,.T.); #95029=EDGE_CURVE('',#74281,#74282,#36748,.T.); #95030=EDGE_CURVE('',#74282,#74280,#61384,.T.); #95031=EDGE_CURVE('',#74283,#74281,#61385,.T.); #95032=EDGE_CURVE('',#74283,#74284,#36749,.T.); #95033=EDGE_CURVE('',#74284,#74282,#61386,.T.); #95034=EDGE_CURVE('',#74285,#74283,#61387,.T.); #95035=EDGE_CURVE('',#74285,#74286,#36750,.T.); #95036=EDGE_CURVE('',#74286,#74284,#61388,.T.); #95037=EDGE_CURVE('',#74287,#74285,#36751,.T.); #95038=EDGE_CURVE('',#74287,#74288,#36752,.T.); #95039=EDGE_CURVE('',#74288,#74286,#36753,.T.); #95040=EDGE_CURVE('',#74289,#74287,#36754,.T.); #95041=EDGE_CURVE('',#74289,#74290,#36755,.T.); #95042=EDGE_CURVE('',#74290,#74288,#36756,.T.); #95043=EDGE_CURVE('',#74291,#74289,#36757,.T.); #95044=EDGE_CURVE('',#74291,#74292,#36758,.T.); #95045=EDGE_CURVE('',#74292,#74290,#36759,.T.); #95046=EDGE_CURVE('',#74293,#74291,#36760,.T.); #95047=EDGE_CURVE('',#74293,#74294,#36761,.T.); #95048=EDGE_CURVE('',#74294,#74292,#36762,.T.); #95049=EDGE_CURVE('',#74295,#74293,#36763,.T.); #95050=EDGE_CURVE('',#74295,#74296,#36764,.T.); #95051=EDGE_CURVE('',#74296,#74294,#36765,.T.); #95052=EDGE_CURVE('',#74297,#74295,#61389,.T.); #95053=EDGE_CURVE('',#74297,#74298,#36766,.T.); #95054=EDGE_CURVE('',#74298,#74296,#61390,.T.); #95055=EDGE_CURVE('',#74299,#74297,#61391,.T.); #95056=EDGE_CURVE('',#74299,#74300,#36767,.T.); #95057=EDGE_CURVE('',#74300,#74298,#61392,.T.); #95058=EDGE_CURVE('',#74301,#74299,#61393,.T.); #95059=EDGE_CURVE('',#74301,#74302,#36768,.T.); #95060=EDGE_CURVE('',#74302,#74300,#61394,.T.); #95061=EDGE_CURVE('',#74303,#74301,#61395,.T.); #95062=EDGE_CURVE('',#74303,#74304,#36769,.T.); #95063=EDGE_CURVE('',#74304,#74302,#61396,.T.); #95064=EDGE_CURVE('',#74305,#74303,#61397,.T.); #95065=EDGE_CURVE('',#74305,#74306,#36770,.T.); #95066=EDGE_CURVE('',#74306,#74304,#61398,.T.); #95067=EDGE_CURVE('',#74307,#74305,#61399,.T.); #95068=EDGE_CURVE('',#74307,#74308,#36771,.T.); #95069=EDGE_CURVE('',#74308,#74306,#61400,.T.); #95070=EDGE_CURVE('',#74309,#74307,#36772,.T.); #95071=EDGE_CURVE('',#74309,#74310,#36773,.T.); #95072=EDGE_CURVE('',#74310,#74308,#36774,.T.); #95073=EDGE_CURVE('',#74311,#74309,#61401,.T.); #95074=EDGE_CURVE('',#74311,#74312,#36775,.T.); #95075=EDGE_CURVE('',#74312,#74310,#61402,.T.); #95076=EDGE_CURVE('',#74313,#74311,#61403,.T.); #95077=EDGE_CURVE('',#74313,#74314,#36776,.T.); #95078=EDGE_CURVE('',#74314,#74312,#61404,.T.); #95079=EDGE_CURVE('',#74315,#74313,#61405,.T.); #95080=EDGE_CURVE('',#74315,#74316,#36777,.T.); #95081=EDGE_CURVE('',#74316,#74314,#61406,.T.); #95082=EDGE_CURVE('',#74317,#74315,#61407,.T.); #95083=EDGE_CURVE('',#74317,#74318,#36778,.T.); #95084=EDGE_CURVE('',#74318,#74316,#61408,.T.); #95085=EDGE_CURVE('',#74319,#74317,#61409,.T.); #95086=EDGE_CURVE('',#74319,#74320,#36779,.T.); #95087=EDGE_CURVE('',#74320,#74318,#61410,.T.); #95088=EDGE_CURVE('',#74321,#74319,#61411,.T.); #95089=EDGE_CURVE('',#74321,#74322,#36780,.T.); #95090=EDGE_CURVE('',#74322,#74320,#61412,.T.); #95091=EDGE_CURVE('',#74252,#74321,#36781,.T.); #95092=EDGE_CURVE('',#74254,#74322,#36782,.T.); #95093=EDGE_CURVE('',#74323,#74324,#61413,.T.); #95094=EDGE_CURVE('',#74323,#74325,#36783,.T.); #95095=EDGE_CURVE('',#74325,#74326,#61414,.T.); #95096=EDGE_CURVE('',#74324,#74326,#36784,.T.); #95097=EDGE_CURVE('',#74327,#74323,#36785,.T.); #95098=EDGE_CURVE('',#74327,#74328,#36786,.T.); #95099=EDGE_CURVE('',#74328,#74325,#36787,.T.); #95100=EDGE_CURVE('',#74329,#74327,#61415,.T.); #95101=EDGE_CURVE('',#74329,#74330,#36788,.T.); #95102=EDGE_CURVE('',#74330,#74328,#61416,.T.); #95103=EDGE_CURVE('',#74331,#74329,#61417,.T.); #95104=EDGE_CURVE('',#74331,#74332,#36789,.T.); #95105=EDGE_CURVE('',#74332,#74330,#61418,.T.); #95106=EDGE_CURVE('',#74333,#74331,#61419,.T.); #95107=EDGE_CURVE('',#74333,#74334,#36790,.T.); #95108=EDGE_CURVE('',#74334,#74332,#61420,.T.); #95109=EDGE_CURVE('',#74335,#74333,#61421,.T.); #95110=EDGE_CURVE('',#74335,#74336,#36791,.T.); #95111=EDGE_CURVE('',#74336,#74334,#61422,.T.); #95112=EDGE_CURVE('',#74337,#74335,#61423,.T.); #95113=EDGE_CURVE('',#74337,#74338,#36792,.T.); #95114=EDGE_CURVE('',#74338,#74336,#61424,.T.); #95115=EDGE_CURVE('',#74339,#74337,#61425,.T.); #95116=EDGE_CURVE('',#74339,#74340,#36793,.T.); #95117=EDGE_CURVE('',#74340,#74338,#61426,.T.); #95118=EDGE_CURVE('',#74341,#74339,#36794,.T.); #95119=EDGE_CURVE('',#74341,#74342,#36795,.T.); #95120=EDGE_CURVE('',#74342,#74340,#36796,.T.); #95121=EDGE_CURVE('',#74343,#74341,#61427,.T.); #95122=EDGE_CURVE('',#74343,#74344,#36797,.T.); #95123=EDGE_CURVE('',#74344,#74342,#61428,.T.); #95124=EDGE_CURVE('',#74345,#74343,#61429,.T.); #95125=EDGE_CURVE('',#74345,#74346,#36798,.T.); #95126=EDGE_CURVE('',#74346,#74344,#61430,.T.); #95127=EDGE_CURVE('',#74347,#74345,#61431,.T.); #95128=EDGE_CURVE('',#74347,#74348,#36799,.T.); #95129=EDGE_CURVE('',#74348,#74346,#61432,.T.); #95130=EDGE_CURVE('',#74349,#74347,#61433,.T.); #95131=EDGE_CURVE('',#74349,#74350,#36800,.T.); #95132=EDGE_CURVE('',#74350,#74348,#61434,.T.); #95133=EDGE_CURVE('',#74324,#74349,#61435,.T.); #95134=EDGE_CURVE('',#74326,#74350,#61436,.T.); #95135=EDGE_CURVE('',#74351,#74352,#61437,.T.); #95136=EDGE_CURVE('',#74351,#74353,#36801,.T.); #95137=EDGE_CURVE('',#74353,#74354,#61438,.T.); #95138=EDGE_CURVE('',#74352,#74354,#36802,.T.); #95139=EDGE_CURVE('',#74355,#74351,#36803,.T.); #95140=EDGE_CURVE('',#74355,#74356,#36804,.T.); #95141=EDGE_CURVE('',#74356,#74353,#36805,.T.); #95142=EDGE_CURVE('',#74357,#74355,#61439,.T.); #95143=EDGE_CURVE('',#74357,#74358,#36806,.T.); #95144=EDGE_CURVE('',#74358,#74356,#61440,.T.); #95145=EDGE_CURVE('',#74359,#74357,#61441,.T.); #95146=EDGE_CURVE('',#74359,#74360,#36807,.T.); #95147=EDGE_CURVE('',#74360,#74358,#61442,.T.); #95148=EDGE_CURVE('',#74361,#74359,#61443,.T.); #95149=EDGE_CURVE('',#74361,#74362,#36808,.T.); #95150=EDGE_CURVE('',#74362,#74360,#61444,.T.); #95151=EDGE_CURVE('',#74363,#74361,#61445,.T.); #95152=EDGE_CURVE('',#74363,#74364,#36809,.T.); #95153=EDGE_CURVE('',#74364,#74362,#61446,.T.); #95154=EDGE_CURVE('',#74365,#74363,#61447,.T.); #95155=EDGE_CURVE('',#74365,#74366,#36810,.T.); #95156=EDGE_CURVE('',#74366,#74364,#61448,.T.); #95157=EDGE_CURVE('',#74367,#74365,#61449,.T.); #95158=EDGE_CURVE('',#74367,#74368,#36811,.T.); #95159=EDGE_CURVE('',#74368,#74366,#61450,.T.); #95160=EDGE_CURVE('',#74369,#74367,#61451,.T.); #95161=EDGE_CURVE('',#74369,#74370,#36812,.T.); #95162=EDGE_CURVE('',#74370,#74368,#61452,.T.); #95163=EDGE_CURVE('',#74371,#74369,#36813,.T.); #95164=EDGE_CURVE('',#74371,#74372,#36814,.T.); #95165=EDGE_CURVE('',#74372,#74370,#36815,.T.); #95166=EDGE_CURVE('',#74373,#74371,#61453,.T.); #95167=EDGE_CURVE('',#74373,#74374,#36816,.T.); #95168=EDGE_CURVE('',#74374,#74372,#61454,.T.); #95169=EDGE_CURVE('',#74375,#74373,#61455,.T.); #95170=EDGE_CURVE('',#74375,#74376,#36817,.T.); #95171=EDGE_CURVE('',#74376,#74374,#61456,.T.); #95172=EDGE_CURVE('',#74377,#74375,#61457,.T.); #95173=EDGE_CURVE('',#74377,#74378,#36818,.T.); #95174=EDGE_CURVE('',#74378,#74376,#61458,.T.); #95175=EDGE_CURVE('',#74379,#74377,#61459,.T.); #95176=EDGE_CURVE('',#74379,#74380,#36819,.T.); #95177=EDGE_CURVE('',#74380,#74378,#61460,.T.); #95178=EDGE_CURVE('',#74381,#74379,#61461,.T.); #95179=EDGE_CURVE('',#74381,#74382,#36820,.T.); #95180=EDGE_CURVE('',#74382,#74380,#61462,.T.); #95181=EDGE_CURVE('',#74352,#74381,#61463,.T.); #95182=EDGE_CURVE('',#74354,#74382,#61464,.T.); #95183=EDGE_CURVE('',#74383,#74384,#61465,.T.); #95184=EDGE_CURVE('',#74383,#74385,#36821,.T.); #95185=EDGE_CURVE('',#74385,#74386,#61466,.T.); #95186=EDGE_CURVE('',#74384,#74386,#36822,.T.); #95187=EDGE_CURVE('',#74387,#74383,#61467,.T.); #95188=EDGE_CURVE('',#74387,#74388,#36823,.T.); #95189=EDGE_CURVE('',#74388,#74385,#61468,.T.); #95190=EDGE_CURVE('',#74389,#74387,#61469,.T.); #95191=EDGE_CURVE('',#74389,#74390,#36824,.T.); #95192=EDGE_CURVE('',#74390,#74388,#61470,.T.); #95193=EDGE_CURVE('',#74391,#74389,#61471,.T.); #95194=EDGE_CURVE('',#74391,#74392,#36825,.T.); #95195=EDGE_CURVE('',#74392,#74390,#61472,.T.); #95196=EDGE_CURVE('',#74393,#74391,#61473,.T.); #95197=EDGE_CURVE('',#74393,#74394,#36826,.T.); #95198=EDGE_CURVE('',#74394,#74392,#61474,.T.); #95199=EDGE_CURVE('',#74395,#74393,#61475,.T.); #95200=EDGE_CURVE('',#74395,#74396,#36827,.T.); #95201=EDGE_CURVE('',#74396,#74394,#61476,.T.); #95202=EDGE_CURVE('',#74397,#74395,#61477,.T.); #95203=EDGE_CURVE('',#74397,#74398,#36828,.T.); #95204=EDGE_CURVE('',#74398,#74396,#61478,.T.); #95205=EDGE_CURVE('',#74399,#74397,#61479,.T.); #95206=EDGE_CURVE('',#74399,#74400,#36829,.T.); #95207=EDGE_CURVE('',#74400,#74398,#61480,.T.); #95208=EDGE_CURVE('',#74401,#74399,#61481,.T.); #95209=EDGE_CURVE('',#74401,#74402,#36830,.T.); #95210=EDGE_CURVE('',#74402,#74400,#61482,.T.); #95211=EDGE_CURVE('',#74403,#74401,#36831,.T.); #95212=EDGE_CURVE('',#74403,#74404,#36832,.T.); #95213=EDGE_CURVE('',#74404,#74402,#36833,.T.); #95214=EDGE_CURVE('',#74405,#74403,#36834,.T.); #95215=EDGE_CURVE('',#74405,#74406,#36835,.T.); #95216=EDGE_CURVE('',#74406,#74404,#36836,.T.); #95217=EDGE_CURVE('',#74407,#74405,#36837,.T.); #95218=EDGE_CURVE('',#74407,#74408,#36838,.T.); #95219=EDGE_CURVE('',#74408,#74406,#36839,.T.); #95220=EDGE_CURVE('',#74409,#74407,#61483,.T.); #95221=EDGE_CURVE('',#74409,#74410,#36840,.T.); #95222=EDGE_CURVE('',#74410,#74408,#61484,.T.); #95223=EDGE_CURVE('',#74411,#74409,#61485,.T.); #95224=EDGE_CURVE('',#74411,#74412,#36841,.T.); #95225=EDGE_CURVE('',#74412,#74410,#61486,.T.); #95226=EDGE_CURVE('',#74413,#74411,#61487,.T.); #95227=EDGE_CURVE('',#74413,#74414,#36842,.T.); #95228=EDGE_CURVE('',#74414,#74412,#61488,.T.); #95229=EDGE_CURVE('',#74415,#74413,#61489,.T.); #95230=EDGE_CURVE('',#74415,#74416,#36843,.T.); #95231=EDGE_CURVE('',#74416,#74414,#61490,.T.); #95232=EDGE_CURVE('',#74417,#74415,#36844,.T.); #95233=EDGE_CURVE('',#74417,#74418,#36845,.T.); #95234=EDGE_CURVE('',#74418,#74416,#36846,.T.); #95235=EDGE_CURVE('',#74419,#74417,#36847,.T.); #95236=EDGE_CURVE('',#74419,#74420,#36848,.T.); #95237=EDGE_CURVE('',#74420,#74418,#36849,.T.); #95238=EDGE_CURVE('',#74421,#74419,#36850,.T.); #95239=EDGE_CURVE('',#74421,#74422,#36851,.T.); #95240=EDGE_CURVE('',#74422,#74420,#36852,.T.); #95241=EDGE_CURVE('',#74423,#74421,#61491,.T.); #95242=EDGE_CURVE('',#74423,#74424,#36853,.T.); #95243=EDGE_CURVE('',#74424,#74422,#61492,.T.); #95244=EDGE_CURVE('',#74425,#74423,#61493,.T.); #95245=EDGE_CURVE('',#74425,#74426,#36854,.T.); #95246=EDGE_CURVE('',#74426,#74424,#61494,.T.); #95247=EDGE_CURVE('',#74427,#74425,#61495,.T.); #95248=EDGE_CURVE('',#74427,#74428,#36855,.T.); #95249=EDGE_CURVE('',#74428,#74426,#61496,.T.); #95250=EDGE_CURVE('',#74429,#74427,#61497,.T.); #95251=EDGE_CURVE('',#74429,#74430,#36856,.T.); #95252=EDGE_CURVE('',#74430,#74428,#61498,.T.); #95253=EDGE_CURVE('',#74431,#74429,#61499,.T.); #95254=EDGE_CURVE('',#74431,#74432,#36857,.T.); #95255=EDGE_CURVE('',#74432,#74430,#61500,.T.); #95256=EDGE_CURVE('',#74433,#74431,#61501,.T.); #95257=EDGE_CURVE('',#74433,#74434,#36858,.T.); #95258=EDGE_CURVE('',#74434,#74432,#61502,.T.); #95259=EDGE_CURVE('',#74435,#74433,#61503,.T.); #95260=EDGE_CURVE('',#74435,#74436,#36859,.T.); #95261=EDGE_CURVE('',#74436,#74434,#61504,.T.); #95262=EDGE_CURVE('',#74437,#74435,#61505,.T.); #95263=EDGE_CURVE('',#74437,#74438,#36860,.T.); #95264=EDGE_CURVE('',#74438,#74436,#61506,.T.); #95265=EDGE_CURVE('',#74439,#74437,#61507,.T.); #95266=EDGE_CURVE('',#74439,#74440,#36861,.T.); #95267=EDGE_CURVE('',#74440,#74438,#61508,.T.); #95268=EDGE_CURVE('',#74441,#74439,#61509,.T.); #95269=EDGE_CURVE('',#74441,#74442,#36862,.T.); #95270=EDGE_CURVE('',#74442,#74440,#61510,.T.); #95271=EDGE_CURVE('',#74443,#74441,#61511,.T.); #95272=EDGE_CURVE('',#74443,#74444,#36863,.T.); #95273=EDGE_CURVE('',#74444,#74442,#61512,.T.); #95274=EDGE_CURVE('',#74384,#74443,#61513,.T.); #95275=EDGE_CURVE('',#74386,#74444,#61514,.T.); #95276=EDGE_CURVE('',#74445,#74446,#61515,.T.); #95277=EDGE_CURVE('',#74445,#74447,#36864,.T.); #95278=EDGE_CURVE('',#74447,#74448,#61516,.T.); #95279=EDGE_CURVE('',#74446,#74448,#36865,.T.); #95280=EDGE_CURVE('',#74449,#74445,#61517,.T.); #95281=EDGE_CURVE('',#74449,#74450,#36866,.T.); #95282=EDGE_CURVE('',#74450,#74447,#61518,.T.); #95283=EDGE_CURVE('',#74451,#74449,#61519,.T.); #95284=EDGE_CURVE('',#74451,#74452,#36867,.T.); #95285=EDGE_CURVE('',#74452,#74450,#61520,.T.); #95286=EDGE_CURVE('',#74453,#74451,#36868,.T.); #95287=EDGE_CURVE('',#74453,#74454,#36869,.T.); #95288=EDGE_CURVE('',#74454,#74452,#36870,.T.); #95289=EDGE_CURVE('',#74455,#74453,#61521,.T.); #95290=EDGE_CURVE('',#74455,#74456,#36871,.T.); #95291=EDGE_CURVE('',#74456,#74454,#61522,.T.); #95292=EDGE_CURVE('',#74457,#74455,#61523,.T.); #95293=EDGE_CURVE('',#74457,#74458,#36872,.T.); #95294=EDGE_CURVE('',#74458,#74456,#61524,.T.); #95295=EDGE_CURVE('',#74459,#74457,#61525,.T.); #95296=EDGE_CURVE('',#74459,#74460,#36873,.T.); #95297=EDGE_CURVE('',#74460,#74458,#61526,.T.); #95298=EDGE_CURVE('',#74461,#74459,#61527,.T.); #95299=EDGE_CURVE('',#74461,#74462,#36874,.T.); #95300=EDGE_CURVE('',#74462,#74460,#61528,.T.); #95301=EDGE_CURVE('',#74463,#74461,#61529,.T.); #95302=EDGE_CURVE('',#74463,#74464,#36875,.T.); #95303=EDGE_CURVE('',#74464,#74462,#61530,.T.); #95304=EDGE_CURVE('',#74465,#74463,#61531,.T.); #95305=EDGE_CURVE('',#74465,#74466,#36876,.T.); #95306=EDGE_CURVE('',#74466,#74464,#61532,.T.); #95307=EDGE_CURVE('',#74467,#74465,#36877,.T.); #95308=EDGE_CURVE('',#74467,#74468,#36878,.T.); #95309=EDGE_CURVE('',#74468,#74466,#36879,.T.); #95310=EDGE_CURVE('',#74469,#74467,#61533,.T.); #95311=EDGE_CURVE('',#74469,#74470,#36880,.T.); #95312=EDGE_CURVE('',#74470,#74468,#61534,.T.); #95313=EDGE_CURVE('',#74471,#74469,#61535,.T.); #95314=EDGE_CURVE('',#74471,#74472,#36881,.T.); #95315=EDGE_CURVE('',#74472,#74470,#61536,.T.); #95316=EDGE_CURVE('',#74446,#74471,#61537,.T.); #95317=EDGE_CURVE('',#74448,#74472,#61538,.T.); #95318=EDGE_CURVE('',#74473,#74474,#61539,.T.); #95319=EDGE_CURVE('',#74473,#74475,#36882,.T.); #95320=EDGE_CURVE('',#74475,#74476,#61540,.T.); #95321=EDGE_CURVE('',#74474,#74476,#36883,.T.); #95322=EDGE_CURVE('',#74477,#74473,#61541,.T.); #95323=EDGE_CURVE('',#74477,#74478,#36884,.T.); #95324=EDGE_CURVE('',#74478,#74475,#61542,.T.); #95325=EDGE_CURVE('',#74479,#74477,#36885,.T.); #95326=EDGE_CURVE('',#74479,#74480,#36886,.T.); #95327=EDGE_CURVE('',#74480,#74478,#36887,.T.); #95328=EDGE_CURVE('',#74481,#74479,#61543,.T.); #95329=EDGE_CURVE('',#74481,#74482,#36888,.T.); #95330=EDGE_CURVE('',#74482,#74480,#61544,.T.); #95331=EDGE_CURVE('',#74483,#74481,#61545,.T.); #95332=EDGE_CURVE('',#74483,#74484,#36889,.T.); #95333=EDGE_CURVE('',#74484,#74482,#61546,.T.); #95334=EDGE_CURVE('',#74485,#74483,#61547,.T.); #95335=EDGE_CURVE('',#74485,#74486,#36890,.T.); #95336=EDGE_CURVE('',#74486,#74484,#61548,.T.); #95337=EDGE_CURVE('',#74487,#74485,#61549,.T.); #95338=EDGE_CURVE('',#74487,#74488,#36891,.T.); #95339=EDGE_CURVE('',#74488,#74486,#61550,.T.); #95340=EDGE_CURVE('',#74489,#74487,#61551,.T.); #95341=EDGE_CURVE('',#74489,#74490,#36892,.T.); #95342=EDGE_CURVE('',#74490,#74488,#61552,.T.); #95343=EDGE_CURVE('',#74491,#74489,#61553,.T.); #95344=EDGE_CURVE('',#74491,#74492,#36893,.T.); #95345=EDGE_CURVE('',#74492,#74490,#61554,.T.); #95346=EDGE_CURVE('',#74493,#74491,#61555,.T.); #95347=EDGE_CURVE('',#74493,#74494,#36894,.T.); #95348=EDGE_CURVE('',#74494,#74492,#61556,.T.); #95349=EDGE_CURVE('',#74495,#74493,#36895,.T.); #95350=EDGE_CURVE('',#74495,#74496,#36896,.T.); #95351=EDGE_CURVE('',#74496,#74494,#36897,.T.); #95352=EDGE_CURVE('',#74497,#74495,#61557,.T.); #95353=EDGE_CURVE('',#74497,#74498,#36898,.T.); #95354=EDGE_CURVE('',#74498,#74496,#61558,.T.); #95355=EDGE_CURVE('',#74499,#74497,#61559,.T.); #95356=EDGE_CURVE('',#74499,#74500,#36899,.T.); #95357=EDGE_CURVE('',#74500,#74498,#61560,.T.); #95358=EDGE_CURVE('',#74501,#74499,#61561,.T.); #95359=EDGE_CURVE('',#74501,#74502,#36900,.T.); #95360=EDGE_CURVE('',#74502,#74500,#61562,.T.); #95361=EDGE_CURVE('',#74503,#74501,#61563,.T.); #95362=EDGE_CURVE('',#74503,#74504,#36901,.T.); #95363=EDGE_CURVE('',#74504,#74502,#61564,.T.); #95364=EDGE_CURVE('',#74474,#74503,#61565,.T.); #95365=EDGE_CURVE('',#74476,#74504,#61566,.T.); #95366=EDGE_CURVE('',#74505,#74506,#61567,.T.); #95367=EDGE_CURVE('',#74505,#74507,#36902,.T.); #95368=EDGE_CURVE('',#74507,#74508,#61568,.T.); #95369=EDGE_CURVE('',#74506,#74508,#36903,.T.); #95370=EDGE_CURVE('',#74509,#74505,#61569,.T.); #95371=EDGE_CURVE('',#74509,#74510,#36904,.T.); #95372=EDGE_CURVE('',#74510,#74507,#61570,.T.); #95373=EDGE_CURVE('',#74511,#74509,#36905,.T.); #95374=EDGE_CURVE('',#74511,#74512,#36906,.T.); #95375=EDGE_CURVE('',#74512,#74510,#36907,.T.); #95376=EDGE_CURVE('',#74513,#74511,#61571,.T.); #95377=EDGE_CURVE('',#74513,#74514,#36908,.T.); #95378=EDGE_CURVE('',#74514,#74512,#61572,.T.); #95379=EDGE_CURVE('',#74515,#74513,#61573,.T.); #95380=EDGE_CURVE('',#74515,#74516,#36909,.T.); #95381=EDGE_CURVE('',#74516,#74514,#61574,.T.); #95382=EDGE_CURVE('',#74517,#74515,#61575,.T.); #95383=EDGE_CURVE('',#74517,#74518,#36910,.T.); #95384=EDGE_CURVE('',#74518,#74516,#61576,.T.); #95385=EDGE_CURVE('',#74519,#74517,#61577,.T.); #95386=EDGE_CURVE('',#74519,#74520,#36911,.T.); #95387=EDGE_CURVE('',#74520,#74518,#61578,.T.); #95388=EDGE_CURVE('',#74521,#74519,#36912,.T.); #95389=EDGE_CURVE('',#74521,#74522,#36913,.T.); #95390=EDGE_CURVE('',#74522,#74520,#36914,.T.); #95391=EDGE_CURVE('',#74523,#74521,#36915,.T.); #95392=EDGE_CURVE('',#74523,#74524,#36916,.T.); #95393=EDGE_CURVE('',#74524,#74522,#36917,.T.); #95394=EDGE_CURVE('',#74525,#74523,#36918,.T.); #95395=EDGE_CURVE('',#74525,#74526,#36919,.T.); #95396=EDGE_CURVE('',#74526,#74524,#36920,.T.); #95397=EDGE_CURVE('',#74527,#74525,#61579,.T.); #95398=EDGE_CURVE('',#74527,#74528,#36921,.T.); #95399=EDGE_CURVE('',#74528,#74526,#61580,.T.); #95400=EDGE_CURVE('',#74529,#74527,#61581,.T.); #95401=EDGE_CURVE('',#74529,#74530,#36922,.T.); #95402=EDGE_CURVE('',#74530,#74528,#61582,.T.); #95403=EDGE_CURVE('',#74531,#74529,#61583,.T.); #95404=EDGE_CURVE('',#74531,#74532,#36923,.T.); #95405=EDGE_CURVE('',#74532,#74530,#61584,.T.); #95406=EDGE_CURVE('',#74533,#74531,#61585,.T.); #95407=EDGE_CURVE('',#74533,#74534,#36924,.T.); #95408=EDGE_CURVE('',#74534,#74532,#61586,.T.); #95409=EDGE_CURVE('',#74535,#74533,#61587,.T.); #95410=EDGE_CURVE('',#74535,#74536,#36925,.T.); #95411=EDGE_CURVE('',#74536,#74534,#61588,.T.); #95412=EDGE_CURVE('',#74537,#74535,#36926,.T.); #95413=EDGE_CURVE('',#74537,#74538,#36927,.T.); #95414=EDGE_CURVE('',#74538,#74536,#36928,.T.); #95415=EDGE_CURVE('',#74539,#74537,#36929,.T.); #95416=EDGE_CURVE('',#74539,#74540,#36930,.T.); #95417=EDGE_CURVE('',#74540,#74538,#36931,.T.); #95418=EDGE_CURVE('',#74541,#74539,#36932,.T.); #95419=EDGE_CURVE('',#74541,#74542,#36933,.T.); #95420=EDGE_CURVE('',#74542,#74540,#36934,.T.); #95421=EDGE_CURVE('',#74543,#74541,#61589,.T.); #95422=EDGE_CURVE('',#74543,#74544,#36935,.T.); #95423=EDGE_CURVE('',#74544,#74542,#61590,.T.); #95424=EDGE_CURVE('',#74506,#74543,#61591,.T.); #95425=EDGE_CURVE('',#74508,#74544,#61592,.T.); #95426=EDGE_CURVE('',#74545,#74546,#61593,.T.); #95427=EDGE_CURVE('',#74546,#74547,#36936,.T.); #95428=EDGE_CURVE('',#74547,#74548,#61594,.T.); #95429=EDGE_CURVE('',#74548,#74549,#36937,.T.); #95430=EDGE_CURVE('',#74549,#74550,#61595,.T.); #95431=EDGE_CURVE('',#74550,#74551,#36938,.T.); #95432=EDGE_CURVE('',#74551,#74552,#61596,.T.); #95433=EDGE_CURVE('',#74552,#74545,#36939,.T.); #95434=EDGE_CURVE('',#74553,#74554,#36940,.T.); #95435=EDGE_CURVE('',#74553,#74545,#36941,.T.); #95436=EDGE_CURVE('',#74554,#74552,#36942,.T.); #95437=EDGE_CURVE('',#74555,#74554,#61597,.T.); #95438=EDGE_CURVE('',#74555,#74551,#36943,.T.); #95439=EDGE_CURVE('',#74555,#74556,#36944,.T.); #95440=EDGE_CURVE('',#74556,#74550,#36945,.T.); #95441=EDGE_CURVE('',#74557,#74556,#61598,.T.); #95442=EDGE_CURVE('',#74557,#74549,#36946,.T.); #95443=EDGE_CURVE('',#74557,#74558,#36947,.T.); #95444=EDGE_CURVE('',#74558,#74548,#36948,.T.); #95445=EDGE_CURVE('',#74559,#74558,#61599,.T.); #95446=EDGE_CURVE('',#74559,#74547,#36949,.T.); #95447=EDGE_CURVE('',#74559,#74560,#36950,.T.); #95448=EDGE_CURVE('',#74560,#74546,#36951,.T.); #95449=EDGE_CURVE('',#74553,#74560,#61600,.T.); #95450=EDGE_CURVE('',#74561,#74562,#36952,.T.); #95451=EDGE_CURVE('',#74561,#74563,#36953,.T.); #95452=EDGE_CURVE('',#74564,#74563,#36954,.T.); #95453=EDGE_CURVE('',#74565,#74564,#36955,.T.); #95454=EDGE_CURVE('',#74566,#74565,#36956,.T.); #95455=EDGE_CURVE('',#74566,#74567,#36957,.T.); #95456=EDGE_CURVE('',#74568,#74567,#36958,.T.); #95457=EDGE_CURVE('',#74569,#74568,#36959,.T.); #95458=EDGE_CURVE('',#74570,#74569,#36960,.T.); #95459=EDGE_CURVE('',#74570,#74571,#36961,.T.); #95460=EDGE_CURVE('',#74572,#74571,#36962,.T.); #95461=EDGE_CURVE('',#74573,#74572,#36963,.T.); #95462=EDGE_CURVE('',#74574,#74573,#36964,.T.); #95463=EDGE_CURVE('',#74574,#74575,#36965,.T.); #95464=EDGE_CURVE('',#74576,#74575,#36966,.T.); #95465=EDGE_CURVE('',#74577,#74576,#36967,.T.); #95466=EDGE_CURVE('',#74578,#74577,#36968,.T.); #95467=EDGE_CURVE('',#74578,#74579,#36969,.T.); #95468=EDGE_CURVE('',#74580,#74579,#36970,.T.); #95469=EDGE_CURVE('',#74581,#74580,#36971,.T.); #95470=EDGE_CURVE('',#74582,#74581,#36972,.T.); #95471=EDGE_CURVE('',#74582,#74583,#36973,.T.); #95472=EDGE_CURVE('',#74584,#74583,#36974,.T.); #95473=EDGE_CURVE('',#74585,#74584,#36975,.T.); #95474=EDGE_CURVE('',#74586,#74585,#36976,.T.); #95475=EDGE_CURVE('',#74586,#74587,#36977,.T.); #95476=EDGE_CURVE('',#74588,#74587,#36978,.T.); #95477=EDGE_CURVE('',#74589,#74588,#36979,.T.); #95478=EDGE_CURVE('',#74590,#74589,#36980,.T.); #95479=EDGE_CURVE('',#74590,#74591,#36981,.T.); #95480=EDGE_CURVE('',#74592,#74591,#36982,.T.); #95481=EDGE_CURVE('',#74593,#74592,#36983,.T.); #95482=EDGE_CURVE('',#74594,#74593,#36984,.T.); #95483=EDGE_CURVE('',#74594,#74595,#36985,.T.); #95484=EDGE_CURVE('',#74596,#74595,#36986,.T.); #95485=EDGE_CURVE('',#74597,#74596,#36987,.T.); #95486=EDGE_CURVE('',#74598,#74597,#36988,.T.); #95487=EDGE_CURVE('',#74598,#74599,#36989,.T.); #95488=EDGE_CURVE('',#74600,#74599,#36990,.T.); #95489=EDGE_CURVE('',#74601,#74600,#36991,.T.); #95490=EDGE_CURVE('',#74602,#74601,#36992,.T.); #95491=EDGE_CURVE('',#74602,#74603,#36993,.T.); #95492=EDGE_CURVE('',#74604,#74603,#36994,.T.); #95493=EDGE_CURVE('',#74605,#74604,#36995,.T.); #95494=EDGE_CURVE('',#74606,#74605,#36996,.T.); #95495=EDGE_CURVE('',#74606,#74607,#36997,.T.); #95496=EDGE_CURVE('',#74608,#74607,#36998,.T.); #95497=EDGE_CURVE('',#74609,#74608,#36999,.T.); #95498=EDGE_CURVE('',#74610,#74609,#37000,.T.); #95499=EDGE_CURVE('',#74610,#74611,#37001,.T.); #95500=EDGE_CURVE('',#74612,#74611,#37002,.T.); #95501=EDGE_CURVE('',#74613,#74612,#37003,.T.); #95502=EDGE_CURVE('',#74614,#74613,#37004,.T.); #95503=EDGE_CURVE('',#74614,#74615,#37005,.T.); #95504=EDGE_CURVE('',#74616,#74615,#37006,.T.); #95505=EDGE_CURVE('',#74617,#74616,#37007,.T.); #95506=EDGE_CURVE('',#74618,#74617,#37008,.T.); #95507=EDGE_CURVE('',#74618,#74619,#37009,.T.); #95508=EDGE_CURVE('',#74620,#74619,#37010,.T.); #95509=EDGE_CURVE('',#74621,#74620,#37011,.T.); #95510=EDGE_CURVE('',#74622,#74621,#37012,.T.); #95511=EDGE_CURVE('',#74622,#74623,#37013,.T.); #95512=EDGE_CURVE('',#74623,#74624,#37014,.T.); #95513=EDGE_CURVE('',#74624,#74625,#37015,.T.); #95514=EDGE_CURVE('',#74626,#74625,#37016,.T.); #95515=EDGE_CURVE('',#74627,#74626,#37017,.T.); #95516=EDGE_CURVE('',#74628,#74627,#37018,.T.); #95517=EDGE_CURVE('',#74628,#74629,#37019,.T.); #95518=EDGE_CURVE('',#74630,#74629,#37020,.T.); #95519=EDGE_CURVE('',#74631,#74630,#37021,.T.); #95520=EDGE_CURVE('',#74632,#74631,#37022,.T.); #95521=EDGE_CURVE('',#74632,#74633,#37023,.T.); #95522=EDGE_CURVE('',#74634,#74633,#37024,.T.); #95523=EDGE_CURVE('',#74635,#74634,#37025,.T.); #95524=EDGE_CURVE('',#74636,#74635,#37026,.T.); #95525=EDGE_CURVE('',#74636,#74637,#37027,.T.); #95526=EDGE_CURVE('',#74638,#74637,#37028,.T.); #95527=EDGE_CURVE('',#74639,#74638,#37029,.T.); #95528=EDGE_CURVE('',#74640,#74639,#37030,.T.); #95529=EDGE_CURVE('',#74640,#74641,#37031,.T.); #95530=EDGE_CURVE('',#74642,#74641,#37032,.T.); #95531=EDGE_CURVE('',#74643,#74642,#37033,.T.); #95532=EDGE_CURVE('',#74644,#74643,#37034,.T.); #95533=EDGE_CURVE('',#74644,#74645,#37035,.T.); #95534=EDGE_CURVE('',#74646,#74645,#37036,.T.); #95535=EDGE_CURVE('',#74647,#74646,#37037,.T.); #95536=EDGE_CURVE('',#74648,#74647,#37038,.T.); #95537=EDGE_CURVE('',#74648,#74649,#37039,.T.); #95538=EDGE_CURVE('',#74650,#74649,#37040,.T.); #95539=EDGE_CURVE('',#74651,#74650,#37041,.T.); #95540=EDGE_CURVE('',#74652,#74651,#37042,.T.); #95541=EDGE_CURVE('',#74652,#74653,#37043,.T.); #95542=EDGE_CURVE('',#74654,#74653,#37044,.T.); #95543=EDGE_CURVE('',#74655,#74654,#37045,.T.); #95544=EDGE_CURVE('',#74656,#74655,#37046,.T.); #95545=EDGE_CURVE('',#74656,#74657,#37047,.T.); #95546=EDGE_CURVE('',#74658,#74657,#37048,.T.); #95547=EDGE_CURVE('',#74659,#74658,#37049,.T.); #95548=EDGE_CURVE('',#74660,#74659,#37050,.T.); #95549=EDGE_CURVE('',#74660,#74661,#37051,.T.); #95550=EDGE_CURVE('',#74662,#74661,#37052,.T.); #95551=EDGE_CURVE('',#74663,#74662,#37053,.T.); #95552=EDGE_CURVE('',#74664,#74663,#37054,.T.); #95553=EDGE_CURVE('',#74664,#74665,#37055,.T.); #95554=EDGE_CURVE('',#74666,#74665,#37056,.T.); #95555=EDGE_CURVE('',#74667,#74666,#37057,.T.); #95556=EDGE_CURVE('',#74668,#74667,#37058,.T.); #95557=EDGE_CURVE('',#74668,#74669,#37059,.T.); #95558=EDGE_CURVE('',#74670,#74669,#37060,.T.); #95559=EDGE_CURVE('',#74671,#74670,#37061,.T.); #95560=EDGE_CURVE('',#74672,#74671,#37062,.T.); #95561=EDGE_CURVE('',#74672,#74673,#37063,.T.); #95562=EDGE_CURVE('',#74674,#74673,#37064,.T.); #95563=EDGE_CURVE('',#74675,#74674,#37065,.T.); #95564=EDGE_CURVE('',#74676,#74675,#37066,.T.); #95565=EDGE_CURVE('',#74676,#74677,#37067,.T.); #95566=EDGE_CURVE('',#74678,#74677,#37068,.T.); #95567=EDGE_CURVE('',#74679,#74678,#37069,.T.); #95568=EDGE_CURVE('',#74680,#74679,#37070,.T.); #95569=EDGE_CURVE('',#74680,#74681,#37071,.T.); #95570=EDGE_CURVE('',#74682,#74681,#37072,.T.); #95571=EDGE_CURVE('',#74683,#74682,#37073,.T.); #95572=EDGE_CURVE('',#74684,#74683,#37074,.T.); #95573=EDGE_CURVE('',#74684,#74685,#37075,.T.); #95574=EDGE_CURVE('',#74686,#74685,#37076,.T.); #95575=EDGE_CURVE('',#74687,#74686,#37077,.T.); #95576=EDGE_CURVE('',#74688,#74687,#37078,.T.); #95577=EDGE_CURVE('',#74688,#74689,#37079,.T.); #95578=EDGE_CURVE('',#74689,#74690,#37080,.T.); #95579=EDGE_CURVE('',#74690,#74691,#37081,.T.); #95580=EDGE_CURVE('',#74692,#74691,#37082,.T.); #95581=EDGE_CURVE('',#74562,#74692,#37083,.T.); #95582=EDGE_CURVE('',#74693,#74694,#37084,.T.); #95583=EDGE_CURVE('',#74695,#74693,#37085,.T.); #95584=EDGE_CURVE('',#74696,#74695,#37086,.T.); #95585=EDGE_CURVE('',#74694,#74696,#37087,.T.); #95586=EDGE_CURVE('',#74697,#74698,#37088,.T.); #95587=EDGE_CURVE('',#74697,#74699,#37089,.T.); #95588=EDGE_CURVE('',#74700,#74699,#37090,.T.); #95589=EDGE_CURVE('',#74700,#74649,#37091,.T.); #95590=EDGE_CURVE('',#74701,#74648,#37092,.T.); #95591=EDGE_CURVE('',#74702,#74701,#37093,.T.); #95592=EDGE_CURVE('',#74702,#74703,#37094,.T.); #95593=EDGE_CURVE('',#74704,#74703,#37095,.T.); #95594=EDGE_CURVE('',#74704,#74705,#37096,.T.); #95595=EDGE_CURVE('',#74705,#74706,#37097,.T.); #95596=EDGE_CURVE('',#74698,#74706,#37098,.T.); #95597=EDGE_CURVE('',#74707,#74708,#37099,.T.); #95598=EDGE_CURVE('',#74707,#74709,#37100,.T.); #95599=EDGE_CURVE('',#74710,#74709,#37101,.T.); #95600=EDGE_CURVE('',#74710,#74645,#37102,.T.); #95601=EDGE_CURVE('',#74711,#74644,#37103,.T.); #95602=EDGE_CURVE('',#74712,#74711,#37104,.T.); #95603=EDGE_CURVE('',#74712,#74713,#37105,.T.); #95604=EDGE_CURVE('',#74714,#74713,#37106,.T.); #95605=EDGE_CURVE('',#74714,#74715,#37107,.T.); #95606=EDGE_CURVE('',#74715,#74716,#37108,.T.); #95607=EDGE_CURVE('',#74708,#74716,#37109,.T.); #95608=EDGE_CURVE('',#74717,#74718,#37110,.T.); #95609=EDGE_CURVE('',#74717,#74719,#37111,.T.); #95610=EDGE_CURVE('',#74720,#74719,#37112,.T.); #95611=EDGE_CURVE('',#74720,#74657,#37113,.T.); #95612=EDGE_CURVE('',#74721,#74656,#37114,.T.); #95613=EDGE_CURVE('',#74722,#74721,#37115,.T.); #95614=EDGE_CURVE('',#74722,#74723,#37116,.T.); #95615=EDGE_CURVE('',#74724,#74723,#37117,.T.); #95616=EDGE_CURVE('',#74724,#74725,#37118,.T.); #95617=EDGE_CURVE('',#74725,#74726,#37119,.T.); #95618=EDGE_CURVE('',#74718,#74726,#37120,.T.); #95619=EDGE_CURVE('',#74727,#74728,#37121,.T.); #95620=EDGE_CURVE('',#74727,#74729,#37122,.T.); #95621=EDGE_CURVE('',#74730,#74729,#37123,.T.); #95622=EDGE_CURVE('',#74730,#74731,#37124,.T.); #95623=EDGE_CURVE('',#74731,#74732,#37125,.T.); #95624=EDGE_CURVE('',#74733,#74732,#37126,.T.); #95625=EDGE_CURVE('',#74734,#74733,#37127,.T.); #95626=EDGE_CURVE('',#74734,#74735,#37128,.T.); #95627=EDGE_CURVE('',#74736,#74735,#37129,.T.); #95628=EDGE_CURVE('',#74736,#74653,#37130,.T.); #95629=EDGE_CURVE('',#74728,#74652,#37131,.T.); #95630=EDGE_CURVE('',#74737,#74738,#37132,.T.); #95631=EDGE_CURVE('',#74737,#74739,#37133,.T.); #95632=EDGE_CURVE('',#74740,#74739,#37134,.T.); #95633=EDGE_CURVE('',#74740,#74633,#37135,.T.); #95634=EDGE_CURVE('',#74741,#74632,#37136,.T.); #95635=EDGE_CURVE('',#74742,#74741,#37137,.T.); #95636=EDGE_CURVE('',#74742,#74743,#37138,.T.); #95637=EDGE_CURVE('',#74744,#74743,#37139,.T.); #95638=EDGE_CURVE('',#74744,#74745,#37140,.T.); #95639=EDGE_CURVE('',#74745,#74746,#37141,.T.); #95640=EDGE_CURVE('',#74738,#74746,#37142,.T.); #95641=EDGE_CURVE('',#74747,#74748,#37143,.T.); #95642=EDGE_CURVE('',#74747,#74749,#37144,.T.); #95643=EDGE_CURVE('',#74750,#74749,#37145,.T.); #95644=EDGE_CURVE('',#74750,#74629,#37146,.T.); #95645=EDGE_CURVE('',#74751,#74628,#37147,.T.); #95646=EDGE_CURVE('',#74752,#74751,#37148,.T.); #95647=EDGE_CURVE('',#74752,#74753,#37149,.T.); #95648=EDGE_CURVE('',#74754,#74753,#37150,.T.); #95649=EDGE_CURVE('',#74754,#74755,#37151,.T.); #95650=EDGE_CURVE('',#74755,#74756,#37152,.T.); #95651=EDGE_CURVE('',#74748,#74756,#37153,.T.); #95652=EDGE_CURVE('',#74757,#74758,#37154,.T.); #95653=EDGE_CURVE('',#74757,#74759,#37155,.T.); #95654=EDGE_CURVE('',#74760,#74759,#37156,.T.); #95655=EDGE_CURVE('',#74760,#74641,#37157,.T.); #95656=EDGE_CURVE('',#74761,#74640,#37158,.T.); #95657=EDGE_CURVE('',#74762,#74761,#37159,.T.); #95658=EDGE_CURVE('',#74762,#74763,#37160,.T.); #95659=EDGE_CURVE('',#74764,#74763,#37161,.T.); #95660=EDGE_CURVE('',#74764,#74765,#37162,.T.); #95661=EDGE_CURVE('',#74765,#74766,#37163,.T.); #95662=EDGE_CURVE('',#74758,#74766,#37164,.T.); #95663=EDGE_CURVE('',#74767,#74768,#37165,.T.); #95664=EDGE_CURVE('',#74767,#74769,#37166,.T.); #95665=EDGE_CURVE('',#74770,#74769,#37167,.T.); #95666=EDGE_CURVE('',#74770,#74771,#37168,.T.); #95667=EDGE_CURVE('',#74771,#74772,#37169,.T.); #95668=EDGE_CURVE('',#74773,#74772,#37170,.T.); #95669=EDGE_CURVE('',#74774,#74773,#37171,.T.); #95670=EDGE_CURVE('',#74774,#74775,#37172,.T.); #95671=EDGE_CURVE('',#74776,#74775,#37173,.T.); #95672=EDGE_CURVE('',#74776,#74637,#37174,.T.); #95673=EDGE_CURVE('',#74768,#74636,#37175,.T.); #95674=EDGE_CURVE('',#74777,#74778,#37176,.T.); #95675=EDGE_CURVE('',#74777,#74779,#37177,.T.); #95676=EDGE_CURVE('',#74780,#74779,#37178,.T.); #95677=EDGE_CURVE('',#74780,#74681,#37179,.T.); #95678=EDGE_CURVE('',#74781,#74680,#37180,.T.); #95679=EDGE_CURVE('',#74782,#74781,#37181,.T.); #95680=EDGE_CURVE('',#74782,#74783,#37182,.T.); #95681=EDGE_CURVE('',#74784,#74783,#37183,.T.); #95682=EDGE_CURVE('',#74784,#74785,#37184,.T.); #95683=EDGE_CURVE('',#74785,#74786,#37185,.T.); #95684=EDGE_CURVE('',#74778,#74786,#37186,.T.); #95685=EDGE_CURVE('',#74787,#74788,#37187,.T.); #95686=EDGE_CURVE('',#74787,#74789,#37188,.T.); #95687=EDGE_CURVE('',#74790,#74789,#37189,.T.); #95688=EDGE_CURVE('',#74790,#74677,#37190,.T.); #95689=EDGE_CURVE('',#74791,#74676,#37191,.T.); #95690=EDGE_CURVE('',#74792,#74791,#37192,.T.); #95691=EDGE_CURVE('',#74792,#74793,#37193,.T.); #95692=EDGE_CURVE('',#74794,#74793,#37194,.T.); #95693=EDGE_CURVE('',#74794,#74795,#37195,.T.); #95694=EDGE_CURVE('',#74795,#74796,#37196,.T.); #95695=EDGE_CURVE('',#74788,#74796,#37197,.T.); #95696=EDGE_CURVE('',#74797,#74798,#37198,.T.); #95697=EDGE_CURVE('',#74797,#74799,#37199,.T.); #95698=EDGE_CURVE('',#74800,#74799,#37200,.T.); #95699=EDGE_CURVE('',#74800,#74801,#37201,.T.); #95700=EDGE_CURVE('',#74801,#74802,#37202,.T.); #95701=EDGE_CURVE('',#74803,#74802,#37203,.T.); #95702=EDGE_CURVE('',#74804,#74803,#37204,.T.); #95703=EDGE_CURVE('',#74804,#74805,#37205,.T.); #95704=EDGE_CURVE('',#74806,#74805,#37206,.T.); #95705=EDGE_CURVE('',#74806,#74685,#37207,.T.); #95706=EDGE_CURVE('',#74798,#74684,#37208,.T.); #95707=EDGE_CURVE('',#74807,#74808,#37209,.T.); #95708=EDGE_CURVE('',#74807,#74809,#37210,.T.); #95709=EDGE_CURVE('',#74810,#74809,#37211,.T.); #95710=EDGE_CURVE('',#74810,#74665,#37212,.T.); #95711=EDGE_CURVE('',#74811,#74664,#37213,.T.); #95712=EDGE_CURVE('',#74812,#74811,#37214,.T.); #95713=EDGE_CURVE('',#74812,#74813,#37215,.T.); #95714=EDGE_CURVE('',#74814,#74813,#37216,.T.); #95715=EDGE_CURVE('',#74814,#74815,#37217,.T.); #95716=EDGE_CURVE('',#74815,#74816,#37218,.T.); #95717=EDGE_CURVE('',#74808,#74816,#37219,.T.); #95718=EDGE_CURVE('',#74817,#74818,#37220,.T.); #95719=EDGE_CURVE('',#74817,#74819,#37221,.T.); #95720=EDGE_CURVE('',#74820,#74819,#37222,.T.); #95721=EDGE_CURVE('',#74820,#74821,#37223,.T.); #95722=EDGE_CURVE('',#74821,#74822,#37224,.T.); #95723=EDGE_CURVE('',#74823,#74822,#37225,.T.); #95724=EDGE_CURVE('',#74824,#74823,#37226,.T.); #95725=EDGE_CURVE('',#74824,#74825,#37227,.T.); #95726=EDGE_CURVE('',#74826,#74825,#37228,.T.); #95727=EDGE_CURVE('',#74826,#74661,#37229,.T.); #95728=EDGE_CURVE('',#74818,#74660,#37230,.T.); #95729=EDGE_CURVE('',#74827,#74828,#37231,.T.); #95730=EDGE_CURVE('',#74827,#74829,#37232,.T.); #95731=EDGE_CURVE('',#74830,#74829,#37233,.T.); #95732=EDGE_CURVE('',#74830,#74673,#37234,.T.); #95733=EDGE_CURVE('',#74831,#74672,#37235,.T.); #95734=EDGE_CURVE('',#74832,#74831,#37236,.T.); #95735=EDGE_CURVE('',#74832,#74833,#37237,.T.); #95736=EDGE_CURVE('',#74834,#74833,#37238,.T.); #95737=EDGE_CURVE('',#74834,#74835,#37239,.T.); #95738=EDGE_CURVE('',#74835,#74836,#37240,.T.); #95739=EDGE_CURVE('',#74828,#74836,#37241,.T.); #95740=EDGE_CURVE('',#74837,#74838,#37242,.T.); #95741=EDGE_CURVE('',#74837,#74839,#37243,.T.); #95742=EDGE_CURVE('',#74840,#74839,#37244,.T.); #95743=EDGE_CURVE('',#74840,#74841,#37245,.T.); #95744=EDGE_CURVE('',#74841,#74842,#37246,.T.); #95745=EDGE_CURVE('',#74843,#74842,#37247,.T.); #95746=EDGE_CURVE('',#74844,#74843,#37248,.T.); #95747=EDGE_CURVE('',#74844,#74845,#37249,.T.); #95748=EDGE_CURVE('',#74846,#74845,#37250,.T.); #95749=EDGE_CURVE('',#74846,#74669,#37251,.T.); #95750=EDGE_CURVE('',#74838,#74668,#37252,.T.); #95751=EDGE_CURVE('',#74847,#74848,#37253,.T.); #95752=EDGE_CURVE('',#74847,#74849,#37254,.T.); #95753=EDGE_CURVE('',#74850,#74849,#37255,.T.); #95754=EDGE_CURVE('',#74850,#74625,#37256,.T.); #95755=EDGE_CURVE('',#74624,#74851,#37257,.T.); #95756=EDGE_CURVE('',#74851,#74852,#37258,.T.); #95757=EDGE_CURVE('',#74848,#74852,#37259,.T.); #95758=EDGE_CURVE('',#74853,#74854,#37260,.T.); #95759=EDGE_CURVE('',#74853,#74855,#37261,.T.); #95760=EDGE_CURVE('',#74856,#74855,#37262,.T.); #95761=EDGE_CURVE('',#74856,#74599,#37263,.T.); #95762=EDGE_CURVE('',#74857,#74598,#37264,.T.); #95763=EDGE_CURVE('',#74858,#74857,#37265,.T.); #95764=EDGE_CURVE('',#74858,#74859,#37266,.T.); #95765=EDGE_CURVE('',#74860,#74859,#37267,.T.); #95766=EDGE_CURVE('',#74860,#74861,#37268,.T.); #95767=EDGE_CURVE('',#74861,#74862,#37269,.T.); #95768=EDGE_CURVE('',#74854,#74862,#37270,.T.); #95769=EDGE_CURVE('',#74863,#74864,#37271,.T.); #95770=EDGE_CURVE('',#74863,#74865,#37272,.T.); #95771=EDGE_CURVE('',#74866,#74865,#37273,.T.); #95772=EDGE_CURVE('',#74866,#74867,#37274,.T.); #95773=EDGE_CURVE('',#74867,#74868,#37275,.T.); #95774=EDGE_CURVE('',#74869,#74868,#37276,.T.); #95775=EDGE_CURVE('',#74870,#74869,#37277,.T.); #95776=EDGE_CURVE('',#74870,#74871,#37278,.T.); #95777=EDGE_CURVE('',#74872,#74871,#37279,.T.); #95778=EDGE_CURVE('',#74872,#74603,#37280,.T.); #95779=EDGE_CURVE('',#74864,#74602,#37281,.T.); #95780=EDGE_CURVE('',#74873,#74874,#37282,.T.); #95781=EDGE_CURVE('',#74873,#74875,#37283,.T.); #95782=EDGE_CURVE('',#74876,#74875,#37284,.T.); #95783=EDGE_CURVE('',#74876,#74591,#37285,.T.); #95784=EDGE_CURVE('',#74877,#74590,#37286,.T.); #95785=EDGE_CURVE('',#74878,#74877,#37287,.T.); #95786=EDGE_CURVE('',#74878,#74879,#37288,.T.); #95787=EDGE_CURVE('',#74880,#74879,#37289,.T.); #95788=EDGE_CURVE('',#74880,#74881,#37290,.T.); #95789=EDGE_CURVE('',#74881,#74882,#37291,.T.); #95790=EDGE_CURVE('',#74874,#74882,#37292,.T.); #95791=EDGE_CURVE('',#74883,#74884,#37293,.T.); #95792=EDGE_CURVE('',#74883,#74885,#37294,.T.); #95793=EDGE_CURVE('',#74886,#74885,#37295,.T.); #95794=EDGE_CURVE('',#74886,#74887,#37296,.T.); #95795=EDGE_CURVE('',#74887,#74888,#37297,.T.); #95796=EDGE_CURVE('',#74889,#74888,#37298,.T.); #95797=EDGE_CURVE('',#74890,#74889,#37299,.T.); #95798=EDGE_CURVE('',#74890,#74891,#37300,.T.); #95799=EDGE_CURVE('',#74892,#74891,#37301,.T.); #95800=EDGE_CURVE('',#74892,#74595,#37302,.T.); #95801=EDGE_CURVE('',#74884,#74594,#37303,.T.); #95802=EDGE_CURVE('',#74893,#74894,#37304,.T.); #95803=EDGE_CURVE('',#74893,#74895,#37305,.T.); #95804=EDGE_CURVE('',#74896,#74895,#37306,.T.); #95805=EDGE_CURVE('',#74896,#74615,#37307,.T.); #95806=EDGE_CURVE('',#74897,#74614,#37308,.T.); #95807=EDGE_CURVE('',#74898,#74897,#37309,.T.); #95808=EDGE_CURVE('',#74898,#74899,#37310,.T.); #95809=EDGE_CURVE('',#74900,#74899,#37311,.T.); #95810=EDGE_CURVE('',#74900,#74901,#37312,.T.); #95811=EDGE_CURVE('',#74901,#74902,#37313,.T.); #95812=EDGE_CURVE('',#74894,#74902,#37314,.T.); #95813=EDGE_CURVE('',#74903,#74904,#37315,.T.); #95814=EDGE_CURVE('',#74903,#74905,#37316,.T.); #95815=EDGE_CURVE('',#74906,#74905,#37317,.T.); #95816=EDGE_CURVE('',#74906,#74907,#37318,.T.); #95817=EDGE_CURVE('',#74907,#74908,#37319,.T.); #95818=EDGE_CURVE('',#74909,#74908,#37320,.T.); #95819=EDGE_CURVE('',#74910,#74909,#37321,.T.); #95820=EDGE_CURVE('',#74910,#74911,#37322,.T.); #95821=EDGE_CURVE('',#74912,#74911,#37323,.T.); #95822=EDGE_CURVE('',#74912,#74619,#37324,.T.); #95823=EDGE_CURVE('',#74904,#74618,#37325,.T.); #95824=EDGE_CURVE('',#74913,#74914,#37326,.T.); #95825=EDGE_CURVE('',#74913,#74915,#37327,.T.); #95826=EDGE_CURVE('',#74916,#74915,#37328,.T.); #95827=EDGE_CURVE('',#74916,#74917,#37329,.T.); #95828=EDGE_CURVE('',#74917,#74918,#37330,.T.); #95829=EDGE_CURVE('',#74919,#74918,#37331,.T.); #95830=EDGE_CURVE('',#74920,#74919,#37332,.T.); #95831=EDGE_CURVE('',#74920,#74921,#37333,.T.); #95832=EDGE_CURVE('',#74922,#74921,#37334,.T.); #95833=EDGE_CURVE('',#74922,#74607,#37335,.T.); #95834=EDGE_CURVE('',#74914,#74606,#37336,.T.); #95835=EDGE_CURVE('',#74923,#74924,#37337,.T.); #95836=EDGE_CURVE('',#74923,#74925,#37338,.T.); #95837=EDGE_CURVE('',#74926,#74925,#37339,.T.); #95838=EDGE_CURVE('',#74926,#74927,#37340,.T.); #95839=EDGE_CURVE('',#74927,#74928,#37341,.T.); #95840=EDGE_CURVE('',#74929,#74928,#37342,.T.); #95841=EDGE_CURVE('',#74930,#74929,#37343,.T.); #95842=EDGE_CURVE('',#74930,#74931,#37344,.T.); #95843=EDGE_CURVE('',#74932,#74931,#37345,.T.); #95844=EDGE_CURVE('',#74932,#74611,#37346,.T.); #95845=EDGE_CURVE('',#74924,#74610,#37347,.T.); #95846=EDGE_CURVE('',#74933,#74934,#37348,.T.); #95847=EDGE_CURVE('',#74933,#74935,#37349,.T.); #95848=EDGE_CURVE('',#74936,#74935,#37350,.T.); #95849=EDGE_CURVE('',#74936,#74567,#37351,.T.); #95850=EDGE_CURVE('',#74937,#74566,#37352,.T.); #95851=EDGE_CURVE('',#74938,#74937,#37353,.T.); #95852=EDGE_CURVE('',#74938,#74939,#37354,.T.); #95853=EDGE_CURVE('',#74940,#74939,#37355,.T.); #95854=EDGE_CURVE('',#74940,#74941,#37356,.T.); #95855=EDGE_CURVE('',#74941,#74942,#37357,.T.); #95856=EDGE_CURVE('',#74934,#74942,#37358,.T.); #95857=EDGE_CURVE('',#74943,#74944,#37359,.T.); #95858=EDGE_CURVE('',#74943,#74945,#37360,.T.); #95859=EDGE_CURVE('',#74946,#74945,#37361,.T.); #95860=EDGE_CURVE('',#74946,#74947,#37362,.T.); #95861=EDGE_CURVE('',#74947,#74948,#37363,.T.); #95862=EDGE_CURVE('',#74949,#74948,#37364,.T.); #95863=EDGE_CURVE('',#74950,#74949,#37365,.T.); #95864=EDGE_CURVE('',#74950,#74951,#37366,.T.); #95865=EDGE_CURVE('',#74952,#74951,#37367,.T.); #95866=EDGE_CURVE('',#74952,#74571,#37368,.T.); #95867=EDGE_CURVE('',#74944,#74570,#37369,.T.); #95868=EDGE_CURVE('',#74953,#74954,#37370,.T.); #95869=EDGE_CURVE('',#74953,#74955,#37371,.T.); #95870=EDGE_CURVE('',#74956,#74955,#37372,.T.); #95871=EDGE_CURVE('',#74956,#74691,#37373,.T.); #95872=EDGE_CURVE('',#74690,#74957,#37374,.T.); #95873=EDGE_CURVE('',#74957,#74958,#37375,.T.); #95874=EDGE_CURVE('',#74954,#74958,#37376,.T.); #95875=EDGE_CURVE('',#74959,#74960,#37377,.T.); #95876=EDGE_CURVE('',#74959,#74961,#37378,.T.); #95877=EDGE_CURVE('',#74962,#74961,#37379,.T.); #95878=EDGE_CURVE('',#74962,#74963,#37380,.T.); #95879=EDGE_CURVE('',#74963,#74964,#37381,.T.); #95880=EDGE_CURVE('',#74965,#74964,#37382,.T.); #95881=EDGE_CURVE('',#74966,#74965,#37383,.T.); #95882=EDGE_CURVE('',#74966,#74967,#37384,.T.); #95883=EDGE_CURVE('',#74968,#74967,#37385,.T.); #95884=EDGE_CURVE('',#74968,#74563,#37386,.T.); #95885=EDGE_CURVE('',#74960,#74561,#37387,.T.); #95886=EDGE_CURVE('',#74969,#74970,#37388,.T.); #95887=EDGE_CURVE('',#74969,#74971,#37389,.T.); #95888=EDGE_CURVE('',#74972,#74971,#37390,.T.); #95889=EDGE_CURVE('',#74972,#74583,#37391,.T.); #95890=EDGE_CURVE('',#74973,#74582,#37392,.T.); #95891=EDGE_CURVE('',#74974,#74973,#37393,.T.); #95892=EDGE_CURVE('',#74974,#74975,#37394,.T.); #95893=EDGE_CURVE('',#74976,#74975,#37395,.T.); #95894=EDGE_CURVE('',#74976,#74977,#37396,.T.); #95895=EDGE_CURVE('',#74977,#74978,#37397,.T.); #95896=EDGE_CURVE('',#74970,#74978,#37398,.T.); #95897=EDGE_CURVE('',#74979,#74980,#37399,.T.); #95898=EDGE_CURVE('',#74979,#74981,#37400,.T.); #95899=EDGE_CURVE('',#74982,#74981,#37401,.T.); #95900=EDGE_CURVE('',#74982,#74983,#37402,.T.); #95901=EDGE_CURVE('',#74983,#74984,#37403,.T.); #95902=EDGE_CURVE('',#74985,#74984,#37404,.T.); #95903=EDGE_CURVE('',#74986,#74985,#37405,.T.); #95904=EDGE_CURVE('',#74986,#74987,#37406,.T.); #95905=EDGE_CURVE('',#74988,#74987,#37407,.T.); #95906=EDGE_CURVE('',#74988,#74587,#37408,.T.); #95907=EDGE_CURVE('',#74980,#74586,#37409,.T.); #95908=EDGE_CURVE('',#74989,#74990,#37410,.T.); #95909=EDGE_CURVE('',#74989,#74991,#37411,.T.); #95910=EDGE_CURVE('',#74992,#74991,#37412,.T.); #95911=EDGE_CURVE('',#74992,#74993,#37413,.T.); #95912=EDGE_CURVE('',#74993,#74994,#37414,.T.); #95913=EDGE_CURVE('',#74995,#74994,#37415,.T.); #95914=EDGE_CURVE('',#74996,#74995,#37416,.T.); #95915=EDGE_CURVE('',#74996,#74997,#37417,.T.); #95916=EDGE_CURVE('',#74998,#74997,#37418,.T.); #95917=EDGE_CURVE('',#74998,#74575,#37419,.T.); #95918=EDGE_CURVE('',#74990,#74574,#37420,.T.); #95919=EDGE_CURVE('',#74999,#75000,#37421,.T.); #95920=EDGE_CURVE('',#74999,#75001,#37422,.T.); #95921=EDGE_CURVE('',#75002,#75001,#37423,.T.); #95922=EDGE_CURVE('',#75002,#75003,#37424,.T.); #95923=EDGE_CURVE('',#75003,#75004,#37425,.T.); #95924=EDGE_CURVE('',#75005,#75004,#37426,.T.); #95925=EDGE_CURVE('',#75006,#75005,#37427,.T.); #95926=EDGE_CURVE('',#75006,#75007,#37428,.T.); #95927=EDGE_CURVE('',#75008,#75007,#37429,.T.); #95928=EDGE_CURVE('',#75008,#74579,#37430,.T.); #95929=EDGE_CURVE('',#75000,#74578,#37431,.T.); #95930=EDGE_CURVE('',#75009,#75010,#37432,.T.); #95931=EDGE_CURVE('',#74958,#75009,#37433,.T.); #95932=EDGE_CURVE('',#75011,#74957,#37434,.T.); #95933=EDGE_CURVE('',#75012,#75011,#37435,.T.); #95934=EDGE_CURVE('',#75013,#75012,#37436,.T.); #95935=EDGE_CURVE('',#75014,#75013,#37437,.T.); #95936=EDGE_CURVE('',#74802,#75014,#37438,.T.); #95937=EDGE_CURVE('',#75015,#74801,#37439,.T.); #95938=EDGE_CURVE('',#75016,#75015,#37440,.T.); #95939=EDGE_CURVE('',#74786,#75016,#37441,.T.); #95940=EDGE_CURVE('',#75017,#74785,#37442,.T.); #95941=EDGE_CURVE('',#75018,#75017,#37443,.T.); #95942=EDGE_CURVE('',#74796,#75018,#37444,.T.); #95943=EDGE_CURVE('',#75019,#74795,#37445,.T.); #95944=EDGE_CURVE('',#75020,#75019,#37446,.T.); #95945=EDGE_CURVE('',#74836,#75020,#37447,.T.); #95946=EDGE_CURVE('',#75021,#74835,#37448,.T.); #95947=EDGE_CURVE('',#75022,#75021,#37449,.T.); #95948=EDGE_CURVE('',#74842,#75022,#37450,.T.); #95949=EDGE_CURVE('',#75023,#74841,#37451,.T.); #95950=EDGE_CURVE('',#75024,#75023,#37452,.T.); #95951=EDGE_CURVE('',#74816,#75024,#37453,.T.); #95952=EDGE_CURVE('',#75025,#74815,#37454,.T.); #95953=EDGE_CURVE('',#75026,#75025,#37455,.T.); #95954=EDGE_CURVE('',#74822,#75026,#37456,.T.); #95955=EDGE_CURVE('',#75027,#74821,#37457,.T.); #95956=EDGE_CURVE('',#75028,#75027,#37458,.T.); #95957=EDGE_CURVE('',#74726,#75028,#37459,.T.); #95958=EDGE_CURVE('',#75029,#74725,#37460,.T.); #95959=EDGE_CURVE('',#75030,#75029,#37461,.T.); #95960=EDGE_CURVE('',#74732,#75030,#37462,.T.); #95961=EDGE_CURVE('',#75031,#74731,#37463,.T.); #95962=EDGE_CURVE('',#75032,#75031,#37464,.T.); #95963=EDGE_CURVE('',#74706,#75032,#37465,.T.); #95964=EDGE_CURVE('',#75033,#74705,#37466,.T.); #95965=EDGE_CURVE('',#75034,#75033,#37467,.T.); #95966=EDGE_CURVE('',#74716,#75034,#37468,.T.); #95967=EDGE_CURVE('',#75035,#74715,#37469,.T.); #95968=EDGE_CURVE('',#75036,#75035,#37470,.T.); #95969=EDGE_CURVE('',#74766,#75036,#37471,.T.); #95970=EDGE_CURVE('',#75037,#74765,#37472,.T.); #95971=EDGE_CURVE('',#75038,#75037,#37473,.T.); #95972=EDGE_CURVE('',#74772,#75038,#37474,.T.); #95973=EDGE_CURVE('',#75039,#74771,#37475,.T.); #95974=EDGE_CURVE('',#75040,#75039,#37476,.T.); #95975=EDGE_CURVE('',#74746,#75040,#37477,.T.); #95976=EDGE_CURVE('',#75041,#74745,#37478,.T.); #95977=EDGE_CURVE('',#75042,#75041,#37479,.T.); #95978=EDGE_CURVE('',#74756,#75042,#37480,.T.); #95979=EDGE_CURVE('',#75043,#74755,#37481,.T.); #95980=EDGE_CURVE('',#75044,#75043,#37482,.T.); #95981=EDGE_CURVE('',#74852,#75044,#37483,.T.); #95982=EDGE_CURVE('',#75045,#74851,#37484,.T.); #95983=EDGE_CURVE('',#75046,#75045,#37485,.T.); #95984=EDGE_CURVE('',#75047,#75046,#37486,.T.); #95985=EDGE_CURVE('',#75048,#75047,#37487,.T.); #95986=EDGE_CURVE('',#74908,#75048,#37488,.T.); #95987=EDGE_CURVE('',#75049,#74907,#37489,.T.); #95988=EDGE_CURVE('',#75050,#75049,#37490,.T.); #95989=EDGE_CURVE('',#74902,#75050,#37491,.T.); #95990=EDGE_CURVE('',#75051,#74901,#37492,.T.); #95991=EDGE_CURVE('',#75052,#75051,#37493,.T.); #95992=EDGE_CURVE('',#74928,#75052,#37494,.T.); #95993=EDGE_CURVE('',#75053,#74927,#37495,.T.); #95994=EDGE_CURVE('',#75054,#75053,#37496,.T.); #95995=EDGE_CURVE('',#74918,#75054,#37497,.T.); #95996=EDGE_CURVE('',#75055,#74917,#37498,.T.); #95997=EDGE_CURVE('',#75056,#75055,#37499,.T.); #95998=EDGE_CURVE('',#74868,#75056,#37500,.T.); #95999=EDGE_CURVE('',#75057,#74867,#37501,.T.); #96000=EDGE_CURVE('',#75058,#75057,#37502,.T.); #96001=EDGE_CURVE('',#74862,#75058,#37503,.T.); #96002=EDGE_CURVE('',#75059,#74861,#37504,.T.); #96003=EDGE_CURVE('',#75060,#75059,#37505,.T.); #96004=EDGE_CURVE('',#74888,#75060,#37506,.T.); #96005=EDGE_CURVE('',#75061,#74887,#37507,.T.); #96006=EDGE_CURVE('',#75062,#75061,#37508,.T.); #96007=EDGE_CURVE('',#74882,#75062,#37509,.T.); #96008=EDGE_CURVE('',#75063,#74881,#37510,.T.); #96009=EDGE_CURVE('',#75064,#75063,#37511,.T.); #96010=EDGE_CURVE('',#74984,#75064,#37512,.T.); #96011=EDGE_CURVE('',#75065,#74983,#37513,.T.); #96012=EDGE_CURVE('',#75066,#75065,#37514,.T.); #96013=EDGE_CURVE('',#74978,#75066,#37515,.T.); #96014=EDGE_CURVE('',#75067,#74977,#37516,.T.); #96015=EDGE_CURVE('',#75068,#75067,#37517,.T.); #96016=EDGE_CURVE('',#75004,#75068,#37518,.T.); #96017=EDGE_CURVE('',#75069,#75003,#37519,.T.); #96018=EDGE_CURVE('',#75070,#75069,#37520,.T.); #96019=EDGE_CURVE('',#74994,#75070,#37521,.T.); #96020=EDGE_CURVE('',#75071,#74993,#37522,.T.); #96021=EDGE_CURVE('',#75072,#75071,#37523,.T.); #96022=EDGE_CURVE('',#74948,#75072,#37524,.T.); #96023=EDGE_CURVE('',#75073,#74947,#37525,.T.); #96024=EDGE_CURVE('',#75074,#75073,#37526,.T.); #96025=EDGE_CURVE('',#74942,#75074,#37527,.T.); #96026=EDGE_CURVE('',#75075,#74941,#37528,.T.); #96027=EDGE_CURVE('',#75076,#75075,#37529,.T.); #96028=EDGE_CURVE('',#74964,#75076,#37530,.T.); #96029=EDGE_CURVE('',#75010,#74963,#37531,.T.); #96030=EDGE_CURVE('',#74623,#75045,#37532,.T.); #96031=EDGE_CURVE('',#75077,#75078,#37533,.T.); #96032=EDGE_CURVE('',#75077,#75079,#37534,.T.); #96033=EDGE_CURVE('',#75080,#75079,#37535,.T.); #96034=EDGE_CURVE('',#75080,#75012,#37536,.T.); #96035=EDGE_CURVE('',#74689,#75011,#37537,.T.); #96036=EDGE_CURVE('',#75078,#74688,#37538,.T.); #96037=EDGE_CURVE('',#75081,#75082,#37539,.T.); #96038=EDGE_CURVE('',#75081,#75083,#37540,.T.); #96039=EDGE_CURVE('',#75084,#75083,#37541,.T.); #96040=EDGE_CURVE('',#75084,#75046,#37542,.T.); #96041=EDGE_CURVE('',#75082,#74622,#37543,.T.); #96042=EDGE_CURVE('',#75085,#74850,#37544,.T.); #96043=EDGE_CURVE('',#75085,#74626,#37545,.T.); #96044=EDGE_CURVE('',#75086,#74627,#37546,.T.); #96045=EDGE_CURVE('',#74751,#75086,#37547,.T.); #96046=EDGE_CURVE('',#75086,#75085,#37548,.T.); #96047=EDGE_CURVE('',#74752,#74849,#61601,.T.); #96048=EDGE_CURVE('',#75087,#74621,#37549,.T.); #96049=EDGE_CURVE('',#75082,#75087,#37550,.T.); #96050=EDGE_CURVE('',#75088,#74620,#37551,.T.); #96051=EDGE_CURVE('',#75087,#75088,#37552,.T.); #96052=EDGE_CURVE('',#75088,#74912,#37553,.T.); #96053=EDGE_CURVE('',#75081,#74911,#61602,.T.); #96054=EDGE_CURVE('',#75089,#74631,#37554,.T.); #96055=EDGE_CURVE('',#74741,#75089,#37555,.T.); #96056=EDGE_CURVE('',#75090,#74630,#37556,.T.); #96057=EDGE_CURVE('',#75089,#75090,#37557,.T.); #96058=EDGE_CURVE('',#75090,#74750,#37558,.T.); #96059=EDGE_CURVE('',#74742,#74749,#61603,.T.); #96060=EDGE_CURVE('',#75091,#74617,#37559,.T.); #96061=EDGE_CURVE('',#74904,#75091,#37560,.T.); #96062=EDGE_CURVE('',#75092,#74616,#37561,.T.); #96063=EDGE_CURVE('',#75091,#75092,#37562,.T.); #96064=EDGE_CURVE('',#75092,#74896,#37563,.T.); #96065=EDGE_CURVE('',#74903,#74895,#61604,.T.); #96066=EDGE_CURVE('',#75093,#74635,#37564,.T.); #96067=EDGE_CURVE('',#74768,#75093,#37565,.T.); #96068=EDGE_CURVE('',#75094,#74634,#37566,.T.); #96069=EDGE_CURVE('',#75093,#75094,#37567,.T.); #96070=EDGE_CURVE('',#75094,#74740,#37568,.T.); #96071=EDGE_CURVE('',#74767,#74739,#61605,.T.); #96072=EDGE_CURVE('',#75095,#74613,#37569,.T.); #96073=EDGE_CURVE('',#74897,#75095,#37570,.T.); #96074=EDGE_CURVE('',#75096,#74612,#37571,.T.); #96075=EDGE_CURVE('',#75095,#75096,#37572,.T.); #96076=EDGE_CURVE('',#75096,#74932,#37573,.T.); #96077=EDGE_CURVE('',#74898,#74931,#61606,.T.); #96078=EDGE_CURVE('',#75097,#74639,#37574,.T.); #96079=EDGE_CURVE('',#74761,#75097,#37575,.T.); #96080=EDGE_CURVE('',#75098,#74638,#37576,.T.); #96081=EDGE_CURVE('',#75097,#75098,#37577,.T.); #96082=EDGE_CURVE('',#75098,#74776,#37578,.T.); #96083=EDGE_CURVE('',#74762,#74775,#61607,.T.); #96084=EDGE_CURVE('',#75099,#74609,#37579,.T.); #96085=EDGE_CURVE('',#74924,#75099,#37580,.T.); #96086=EDGE_CURVE('',#75100,#74608,#37581,.T.); #96087=EDGE_CURVE('',#75099,#75100,#37582,.T.); #96088=EDGE_CURVE('',#75100,#74922,#37583,.T.); #96089=EDGE_CURVE('',#74923,#74921,#61608,.T.); #96090=EDGE_CURVE('',#75101,#74643,#37584,.T.); #96091=EDGE_CURVE('',#74711,#75101,#37585,.T.); #96092=EDGE_CURVE('',#75102,#74642,#37586,.T.); #96093=EDGE_CURVE('',#75101,#75102,#37587,.T.); #96094=EDGE_CURVE('',#75102,#74760,#37588,.T.); #96095=EDGE_CURVE('',#74712,#74759,#61609,.T.); #96096=EDGE_CURVE('',#75103,#74605,#37589,.T.); #96097=EDGE_CURVE('',#74914,#75103,#37590,.T.); #96098=EDGE_CURVE('',#75104,#74604,#37591,.T.); #96099=EDGE_CURVE('',#75103,#75104,#37592,.T.); #96100=EDGE_CURVE('',#75104,#74872,#37593,.T.); #96101=EDGE_CURVE('',#74913,#74871,#61610,.T.); #96102=EDGE_CURVE('',#75105,#74647,#37594,.T.); #96103=EDGE_CURVE('',#74701,#75105,#37595,.T.); #96104=EDGE_CURVE('',#75106,#74646,#37596,.T.); #96105=EDGE_CURVE('',#75105,#75106,#37597,.T.); #96106=EDGE_CURVE('',#75106,#74710,#37598,.T.); #96107=EDGE_CURVE('',#74702,#74709,#61611,.T.); #96108=EDGE_CURVE('',#75107,#74601,#37599,.T.); #96109=EDGE_CURVE('',#74864,#75107,#37600,.T.); #96110=EDGE_CURVE('',#75108,#74600,#37601,.T.); #96111=EDGE_CURVE('',#75107,#75108,#37602,.T.); #96112=EDGE_CURVE('',#75108,#74856,#37603,.T.); #96113=EDGE_CURVE('',#74863,#74855,#61612,.T.); #96114=EDGE_CURVE('',#75109,#74651,#37604,.T.); #96115=EDGE_CURVE('',#74728,#75109,#37605,.T.); #96116=EDGE_CURVE('',#75110,#74650,#37606,.T.); #96117=EDGE_CURVE('',#75109,#75110,#37607,.T.); #96118=EDGE_CURVE('',#75110,#74700,#37608,.T.); #96119=EDGE_CURVE('',#74727,#74699,#61613,.T.); #96120=EDGE_CURVE('',#75111,#74597,#37609,.T.); #96121=EDGE_CURVE('',#74857,#75111,#37610,.T.); #96122=EDGE_CURVE('',#75112,#74596,#37611,.T.); #96123=EDGE_CURVE('',#75111,#75112,#37612,.T.); #96124=EDGE_CURVE('',#75112,#74892,#37613,.T.); #96125=EDGE_CURVE('',#74858,#74891,#61614,.T.); #96126=EDGE_CURVE('',#75113,#74655,#37614,.T.); #96127=EDGE_CURVE('',#74721,#75113,#37615,.T.); #96128=EDGE_CURVE('',#75114,#74654,#37616,.T.); #96129=EDGE_CURVE('',#75113,#75114,#37617,.T.); #96130=EDGE_CURVE('',#75114,#74736,#37618,.T.); #96131=EDGE_CURVE('',#74722,#74735,#61615,.T.); #96132=EDGE_CURVE('',#75115,#74593,#37619,.T.); #96133=EDGE_CURVE('',#74884,#75115,#37620,.T.); #96134=EDGE_CURVE('',#75116,#74592,#37621,.T.); #96135=EDGE_CURVE('',#75115,#75116,#37622,.T.); #96136=EDGE_CURVE('',#75116,#74876,#37623,.T.); #96137=EDGE_CURVE('',#74883,#74875,#61616,.T.); #96138=EDGE_CURVE('',#75117,#74659,#37624,.T.); #96139=EDGE_CURVE('',#74818,#75117,#37625,.T.); #96140=EDGE_CURVE('',#75118,#74658,#37626,.T.); #96141=EDGE_CURVE('',#75117,#75118,#37627,.T.); #96142=EDGE_CURVE('',#75118,#74720,#37628,.T.); #96143=EDGE_CURVE('',#74817,#74719,#61617,.T.); #96144=EDGE_CURVE('',#75119,#74589,#37629,.T.); #96145=EDGE_CURVE('',#74877,#75119,#37630,.T.); #96146=EDGE_CURVE('',#75120,#74588,#37631,.T.); #96147=EDGE_CURVE('',#75119,#75120,#37632,.T.); #96148=EDGE_CURVE('',#75120,#74988,#37633,.T.); #96149=EDGE_CURVE('',#74878,#74987,#61618,.T.); #96150=EDGE_CURVE('',#75121,#74663,#37634,.T.); #96151=EDGE_CURVE('',#74811,#75121,#37635,.T.); #96152=EDGE_CURVE('',#75122,#74662,#37636,.T.); #96153=EDGE_CURVE('',#75121,#75122,#37637,.T.); #96154=EDGE_CURVE('',#75122,#74826,#37638,.T.); #96155=EDGE_CURVE('',#74812,#74825,#61619,.T.); #96156=EDGE_CURVE('',#75123,#74585,#37639,.T.); #96157=EDGE_CURVE('',#74980,#75123,#37640,.T.); #96158=EDGE_CURVE('',#75124,#74584,#37641,.T.); #96159=EDGE_CURVE('',#75123,#75124,#37642,.T.); #96160=EDGE_CURVE('',#75124,#74972,#37643,.T.); #96161=EDGE_CURVE('',#74979,#74971,#61620,.T.); #96162=EDGE_CURVE('',#75125,#74667,#37644,.T.); #96163=EDGE_CURVE('',#74838,#75125,#37645,.T.); #96164=EDGE_CURVE('',#75126,#74666,#37646,.T.); #96165=EDGE_CURVE('',#75125,#75126,#37647,.T.); #96166=EDGE_CURVE('',#75126,#74810,#37648,.T.); #96167=EDGE_CURVE('',#74837,#74809,#61621,.T.); #96168=EDGE_CURVE('',#75127,#74581,#37649,.T.); #96169=EDGE_CURVE('',#74973,#75127,#37650,.T.); #96170=EDGE_CURVE('',#75128,#74580,#37651,.T.); #96171=EDGE_CURVE('',#75127,#75128,#37652,.T.); #96172=EDGE_CURVE('',#75128,#75008,#37653,.T.); #96173=EDGE_CURVE('',#74974,#75007,#61622,.T.); #96174=EDGE_CURVE('',#75129,#74671,#37654,.T.); #96175=EDGE_CURVE('',#74831,#75129,#37655,.T.); #96176=EDGE_CURVE('',#75130,#74670,#37656,.T.); #96177=EDGE_CURVE('',#75129,#75130,#37657,.T.); #96178=EDGE_CURVE('',#75130,#74846,#37658,.T.); #96179=EDGE_CURVE('',#74832,#74845,#61623,.T.); #96180=EDGE_CURVE('',#75131,#74577,#37659,.T.); #96181=EDGE_CURVE('',#75000,#75131,#37660,.T.); #96182=EDGE_CURVE('',#75132,#74576,#37661,.T.); #96183=EDGE_CURVE('',#75131,#75132,#37662,.T.); #96184=EDGE_CURVE('',#75132,#74998,#37663,.T.); #96185=EDGE_CURVE('',#74999,#74997,#61624,.T.); #96186=EDGE_CURVE('',#75133,#74675,#37664,.T.); #96187=EDGE_CURVE('',#74791,#75133,#37665,.T.); #96188=EDGE_CURVE('',#75134,#74674,#37666,.T.); #96189=EDGE_CURVE('',#75133,#75134,#37667,.T.); #96190=EDGE_CURVE('',#75134,#74830,#37668,.T.); #96191=EDGE_CURVE('',#74792,#74829,#61625,.T.); #96192=EDGE_CURVE('',#75135,#74573,#37669,.T.); #96193=EDGE_CURVE('',#74990,#75135,#37670,.T.); #96194=EDGE_CURVE('',#75136,#74572,#37671,.T.); #96195=EDGE_CURVE('',#75135,#75136,#37672,.T.); #96196=EDGE_CURVE('',#75136,#74952,#37673,.T.); #96197=EDGE_CURVE('',#74989,#74951,#61626,.T.); #96198=EDGE_CURVE('',#75137,#74679,#37674,.T.); #96199=EDGE_CURVE('',#74781,#75137,#37675,.T.); #96200=EDGE_CURVE('',#75138,#74678,#37676,.T.); #96201=EDGE_CURVE('',#75137,#75138,#37677,.T.); #96202=EDGE_CURVE('',#75138,#74790,#37678,.T.); #96203=EDGE_CURVE('',#74782,#74789,#61627,.T.); #96204=EDGE_CURVE('',#75139,#74569,#37679,.T.); #96205=EDGE_CURVE('',#74944,#75139,#37680,.T.); #96206=EDGE_CURVE('',#75140,#74568,#37681,.T.); #96207=EDGE_CURVE('',#75139,#75140,#37682,.T.); #96208=EDGE_CURVE('',#75140,#74936,#37683,.T.); #96209=EDGE_CURVE('',#74943,#74935,#61628,.T.); #96210=EDGE_CURVE('',#75141,#74683,#37684,.T.); #96211=EDGE_CURVE('',#74798,#75141,#37685,.T.); #96212=EDGE_CURVE('',#75142,#74682,#37686,.T.); #96213=EDGE_CURVE('',#75141,#75142,#37687,.T.); #96214=EDGE_CURVE('',#75142,#74780,#37688,.T.); #96215=EDGE_CURVE('',#74797,#74779,#61629,.T.); #96216=EDGE_CURVE('',#75143,#74565,#37689,.T.); #96217=EDGE_CURVE('',#74937,#75143,#37690,.T.); #96218=EDGE_CURVE('',#75144,#74564,#37691,.T.); #96219=EDGE_CURVE('',#75143,#75144,#37692,.T.); #96220=EDGE_CURVE('',#75144,#74968,#37693,.T.); #96221=EDGE_CURVE('',#74938,#74967,#61630,.T.); #96222=EDGE_CURVE('',#75145,#74687,#37694,.T.); #96223=EDGE_CURVE('',#75078,#75145,#37695,.T.); #96224=EDGE_CURVE('',#75146,#74686,#37696,.T.); #96225=EDGE_CURVE('',#75145,#75146,#37697,.T.); #96226=EDGE_CURVE('',#75146,#74806,#37698,.T.); #96227=EDGE_CURVE('',#75077,#74805,#61631,.T.); #96228=EDGE_CURVE('',#75147,#74562,#37699,.T.); #96229=EDGE_CURVE('',#74960,#75147,#37700,.T.); #96230=EDGE_CURVE('',#75148,#74692,#37701,.T.); #96231=EDGE_CURVE('',#75147,#75148,#37702,.T.); #96232=EDGE_CURVE('',#75148,#74956,#37703,.T.); #96233=EDGE_CURVE('',#74959,#74955,#61632,.T.); #96234=EDGE_CURVE('',#75149,#75044,#37704,.T.); #96235=EDGE_CURVE('',#74848,#75149,#37705,.T.); #96236=EDGE_CURVE('',#75150,#75043,#37706,.T.); #96237=EDGE_CURVE('',#75149,#75150,#37707,.T.); #96238=EDGE_CURVE('',#75150,#74754,#37708,.T.); #96239=EDGE_CURVE('',#74753,#74847,#61633,.T.); #96240=EDGE_CURVE('',#75151,#75084,#37709,.T.); #96241=EDGE_CURVE('',#75151,#75047,#37710,.T.); #96242=EDGE_CURVE('',#75152,#75048,#37711,.T.); #96243=EDGE_CURVE('',#74909,#75152,#37712,.T.); #96244=EDGE_CURVE('',#75152,#75151,#37713,.T.); #96245=EDGE_CURVE('',#75083,#74910,#61634,.T.); #96246=EDGE_CURVE('',#75153,#75042,#37714,.T.); #96247=EDGE_CURVE('',#74748,#75153,#37715,.T.); #96248=EDGE_CURVE('',#75154,#75041,#37716,.T.); #96249=EDGE_CURVE('',#75153,#75154,#37717,.T.); #96250=EDGE_CURVE('',#75154,#74744,#37718,.T.); #96251=EDGE_CURVE('',#74743,#74747,#61635,.T.); #96252=EDGE_CURVE('',#75155,#75050,#37719,.T.); #96253=EDGE_CURVE('',#74894,#75155,#37720,.T.); #96254=EDGE_CURVE('',#75156,#75049,#37721,.T.); #96255=EDGE_CURVE('',#75155,#75156,#37722,.T.); #96256=EDGE_CURVE('',#75156,#74906,#37723,.T.); #96257=EDGE_CURVE('',#74893,#74905,#61636,.T.); #96258=EDGE_CURVE('',#75157,#75040,#37724,.T.); #96259=EDGE_CURVE('',#74738,#75157,#37725,.T.); #96260=EDGE_CURVE('',#75158,#75039,#37726,.T.); #96261=EDGE_CURVE('',#75157,#75158,#37727,.T.); #96262=EDGE_CURVE('',#75158,#74770,#37728,.T.); #96263=EDGE_CURVE('',#74769,#74737,#61637,.T.); #96264=EDGE_CURVE('',#75159,#75052,#37729,.T.); #96265=EDGE_CURVE('',#74929,#75159,#37730,.T.); #96266=EDGE_CURVE('',#75160,#75051,#37731,.T.); #96267=EDGE_CURVE('',#75159,#75160,#37732,.T.); #96268=EDGE_CURVE('',#75160,#74900,#37733,.T.); #96269=EDGE_CURVE('',#74930,#74899,#61638,.T.); #96270=EDGE_CURVE('',#75161,#75038,#37734,.T.); #96271=EDGE_CURVE('',#74773,#75161,#37735,.T.); #96272=EDGE_CURVE('',#75162,#75037,#37736,.T.); #96273=EDGE_CURVE('',#75161,#75162,#37737,.T.); #96274=EDGE_CURVE('',#75162,#74764,#37738,.T.); #96275=EDGE_CURVE('',#74763,#74774,#61639,.T.); #96276=EDGE_CURVE('',#75163,#75054,#37739,.T.); #96277=EDGE_CURVE('',#74919,#75163,#37740,.T.); #96278=EDGE_CURVE('',#75164,#75053,#37741,.T.); #96279=EDGE_CURVE('',#75163,#75164,#37742,.T.); #96280=EDGE_CURVE('',#75164,#74926,#37743,.T.); #96281=EDGE_CURVE('',#74920,#74925,#61640,.T.); #96282=EDGE_CURVE('',#75165,#75036,#37744,.T.); #96283=EDGE_CURVE('',#74758,#75165,#37745,.T.); #96284=EDGE_CURVE('',#75166,#75035,#37746,.T.); #96285=EDGE_CURVE('',#75165,#75166,#37747,.T.); #96286=EDGE_CURVE('',#75166,#74714,#37748,.T.); #96287=EDGE_CURVE('',#74713,#74757,#61641,.T.); #96288=EDGE_CURVE('',#75167,#75056,#37749,.T.); #96289=EDGE_CURVE('',#74869,#75167,#37750,.T.); #96290=EDGE_CURVE('',#75168,#75055,#37751,.T.); #96291=EDGE_CURVE('',#75167,#75168,#37752,.T.); #96292=EDGE_CURVE('',#75168,#74916,#37753,.T.); #96293=EDGE_CURVE('',#74870,#74915,#61642,.T.); #96294=EDGE_CURVE('',#75169,#75034,#37754,.T.); #96295=EDGE_CURVE('',#74708,#75169,#37755,.T.); #96296=EDGE_CURVE('',#75170,#75033,#37756,.T.); #96297=EDGE_CURVE('',#75169,#75170,#37757,.T.); #96298=EDGE_CURVE('',#75170,#74704,#37758,.T.); #96299=EDGE_CURVE('',#74703,#74707,#61643,.T.); #96300=EDGE_CURVE('',#75171,#75058,#37759,.T.); #96301=EDGE_CURVE('',#74854,#75171,#37760,.T.); #96302=EDGE_CURVE('',#75172,#75057,#37761,.T.); #96303=EDGE_CURVE('',#75171,#75172,#37762,.T.); #96304=EDGE_CURVE('',#75172,#74866,#37763,.T.); #96305=EDGE_CURVE('',#74853,#74865,#61644,.T.); #96306=EDGE_CURVE('',#75173,#75032,#37764,.T.); #96307=EDGE_CURVE('',#74698,#75173,#37765,.T.); #96308=EDGE_CURVE('',#75174,#75031,#37766,.T.); #96309=EDGE_CURVE('',#75173,#75174,#37767,.T.); #96310=EDGE_CURVE('',#75174,#74730,#37768,.T.); #96311=EDGE_CURVE('',#74729,#74697,#61645,.T.); #96312=EDGE_CURVE('',#75175,#75060,#37769,.T.); #96313=EDGE_CURVE('',#74889,#75175,#37770,.T.); #96314=EDGE_CURVE('',#75176,#75059,#37771,.T.); #96315=EDGE_CURVE('',#75175,#75176,#37772,.T.); #96316=EDGE_CURVE('',#75176,#74860,#37773,.T.); #96317=EDGE_CURVE('',#74890,#74859,#61646,.T.); #96318=EDGE_CURVE('',#75177,#75030,#37774,.T.); #96319=EDGE_CURVE('',#74733,#75177,#37775,.T.); #96320=EDGE_CURVE('',#75178,#75029,#37776,.T.); #96321=EDGE_CURVE('',#75177,#75178,#37777,.T.); #96322=EDGE_CURVE('',#75178,#74724,#37778,.T.); #96323=EDGE_CURVE('',#74723,#74734,#61647,.T.); #96324=EDGE_CURVE('',#75179,#75062,#37779,.T.); #96325=EDGE_CURVE('',#74874,#75179,#37780,.T.); #96326=EDGE_CURVE('',#75180,#75061,#37781,.T.); #96327=EDGE_CURVE('',#75179,#75180,#37782,.T.); #96328=EDGE_CURVE('',#75180,#74886,#37783,.T.); #96329=EDGE_CURVE('',#74873,#74885,#61648,.T.); #96330=EDGE_CURVE('',#75181,#75028,#37784,.T.); #96331=EDGE_CURVE('',#74718,#75181,#37785,.T.); #96332=EDGE_CURVE('',#75182,#75027,#37786,.T.); #96333=EDGE_CURVE('',#75181,#75182,#37787,.T.); #96334=EDGE_CURVE('',#75182,#74820,#37788,.T.); #96335=EDGE_CURVE('',#74819,#74717,#61649,.T.); #96336=EDGE_CURVE('',#75183,#75064,#37789,.T.); #96337=EDGE_CURVE('',#74985,#75183,#37790,.T.); #96338=EDGE_CURVE('',#75184,#75063,#37791,.T.); #96339=EDGE_CURVE('',#75183,#75184,#37792,.T.); #96340=EDGE_CURVE('',#75184,#74880,#37793,.T.); #96341=EDGE_CURVE('',#74986,#74879,#61650,.T.); #96342=EDGE_CURVE('',#75185,#75026,#37794,.T.); #96343=EDGE_CURVE('',#74823,#75185,#37795,.T.); #96344=EDGE_CURVE('',#75186,#75025,#37796,.T.); #96345=EDGE_CURVE('',#75185,#75186,#37797,.T.); #96346=EDGE_CURVE('',#75186,#74814,#37798,.T.); #96347=EDGE_CURVE('',#74813,#74824,#61651,.T.); #96348=EDGE_CURVE('',#75187,#75066,#37799,.T.); #96349=EDGE_CURVE('',#74970,#75187,#37800,.T.); #96350=EDGE_CURVE('',#75188,#75065,#37801,.T.); #96351=EDGE_CURVE('',#75187,#75188,#37802,.T.); #96352=EDGE_CURVE('',#75188,#74982,#37803,.T.); #96353=EDGE_CURVE('',#74969,#74981,#61652,.T.); #96354=EDGE_CURVE('',#75189,#75024,#37804,.T.); #96355=EDGE_CURVE('',#74808,#75189,#37805,.T.); #96356=EDGE_CURVE('',#75190,#75023,#37806,.T.); #96357=EDGE_CURVE('',#75189,#75190,#37807,.T.); #96358=EDGE_CURVE('',#75190,#74840,#37808,.T.); #96359=EDGE_CURVE('',#74839,#74807,#61653,.T.); #96360=EDGE_CURVE('',#75191,#75068,#37809,.T.); #96361=EDGE_CURVE('',#75005,#75191,#37810,.T.); #96362=EDGE_CURVE('',#75192,#75067,#37811,.T.); #96363=EDGE_CURVE('',#75191,#75192,#37812,.T.); #96364=EDGE_CURVE('',#75192,#74976,#37813,.T.); #96365=EDGE_CURVE('',#75006,#74975,#61654,.T.); #96366=EDGE_CURVE('',#75193,#75022,#37814,.T.); #96367=EDGE_CURVE('',#74843,#75193,#37815,.T.); #96368=EDGE_CURVE('',#75194,#75021,#37816,.T.); #96369=EDGE_CURVE('',#75193,#75194,#37817,.T.); #96370=EDGE_CURVE('',#75194,#74834,#37818,.T.); #96371=EDGE_CURVE('',#74833,#74844,#61655,.T.); #96372=EDGE_CURVE('',#75195,#75070,#37819,.T.); #96373=EDGE_CURVE('',#74995,#75195,#37820,.T.); #96374=EDGE_CURVE('',#75196,#75069,#37821,.T.); #96375=EDGE_CURVE('',#75195,#75196,#37822,.T.); #96376=EDGE_CURVE('',#75196,#75002,#37823,.T.); #96377=EDGE_CURVE('',#74996,#75001,#61656,.T.); #96378=EDGE_CURVE('',#75197,#75020,#37824,.T.); #96379=EDGE_CURVE('',#74828,#75197,#37825,.T.); #96380=EDGE_CURVE('',#75198,#75019,#37826,.T.); #96381=EDGE_CURVE('',#75197,#75198,#37827,.T.); #96382=EDGE_CURVE('',#75198,#74794,#37828,.T.); #96383=EDGE_CURVE('',#74793,#74827,#61657,.T.); #96384=EDGE_CURVE('',#75199,#75072,#37829,.T.); #96385=EDGE_CURVE('',#74949,#75199,#37830,.T.); #96386=EDGE_CURVE('',#75200,#75071,#37831,.T.); #96387=EDGE_CURVE('',#75199,#75200,#37832,.T.); #96388=EDGE_CURVE('',#75200,#74992,#37833,.T.); #96389=EDGE_CURVE('',#74950,#74991,#61658,.T.); #96390=EDGE_CURVE('',#75201,#75018,#37834,.T.); #96391=EDGE_CURVE('',#74788,#75201,#37835,.T.); #96392=EDGE_CURVE('',#75202,#75017,#37836,.T.); #96393=EDGE_CURVE('',#75201,#75202,#37837,.T.); #96394=EDGE_CURVE('',#75202,#74784,#37838,.T.); #96395=EDGE_CURVE('',#74783,#74787,#61659,.T.); #96396=EDGE_CURVE('',#75203,#75074,#37839,.T.); #96397=EDGE_CURVE('',#74934,#75203,#37840,.T.); #96398=EDGE_CURVE('',#75204,#75073,#37841,.T.); #96399=EDGE_CURVE('',#75203,#75204,#37842,.T.); #96400=EDGE_CURVE('',#75204,#74946,#37843,.T.); #96401=EDGE_CURVE('',#74933,#74945,#61660,.T.); #96402=EDGE_CURVE('',#75205,#75016,#37844,.T.); #96403=EDGE_CURVE('',#74778,#75205,#37845,.T.); #96404=EDGE_CURVE('',#75206,#75015,#37846,.T.); #96405=EDGE_CURVE('',#75205,#75206,#37847,.T.); #96406=EDGE_CURVE('',#75206,#74800,#37848,.T.); #96407=EDGE_CURVE('',#74799,#74777,#61661,.T.); #96408=EDGE_CURVE('',#75207,#75076,#37849,.T.); #96409=EDGE_CURVE('',#74965,#75207,#37850,.T.); #96410=EDGE_CURVE('',#75208,#75075,#37851,.T.); #96411=EDGE_CURVE('',#75207,#75208,#37852,.T.); #96412=EDGE_CURVE('',#75208,#74940,#37853,.T.); #96413=EDGE_CURVE('',#74966,#74939,#61662,.T.); #96414=EDGE_CURVE('',#75209,#75014,#37854,.T.); #96415=EDGE_CURVE('',#74803,#75209,#37855,.T.); #96416=EDGE_CURVE('',#75210,#75013,#37856,.T.); #96417=EDGE_CURVE('',#75209,#75210,#37857,.T.); #96418=EDGE_CURVE('',#75210,#75080,#37858,.T.); #96419=EDGE_CURVE('',#75079,#74804,#61663,.T.); #96420=EDGE_CURVE('',#75211,#75009,#37859,.T.); #96421=EDGE_CURVE('',#74954,#75211,#37860,.T.); #96422=EDGE_CURVE('',#75212,#75010,#37861,.T.); #96423=EDGE_CURVE('',#75211,#75212,#37862,.T.); #96424=EDGE_CURVE('',#75212,#74962,#37863,.T.); #96425=EDGE_CURVE('',#74953,#74961,#61664,.T.); #96426=EDGE_CURVE('',#75213,#75214,#37864,.T.); #96427=EDGE_CURVE('',#75214,#75215,#37865,.T.); #96428=EDGE_CURVE('',#75215,#75216,#37866,.T.); #96429=EDGE_CURVE('',#75216,#75213,#37867,.T.); #96430=EDGE_CURVE('',#75217,#75218,#37868,.T.); #96431=EDGE_CURVE('',#75219,#75217,#37869,.T.); #96432=EDGE_CURVE('',#75220,#75219,#37870,.T.); #96433=EDGE_CURVE('',#75218,#75220,#37871,.T.); #96434=EDGE_CURVE('',#75214,#74694,#37872,.T.); #96435=EDGE_CURVE('',#75213,#74693,#37873,.T.); #96436=EDGE_CURVE('',#75215,#74696,#37874,.T.); #96437=EDGE_CURVE('',#75216,#74695,#37875,.T.); #96438=EDGE_CURVE('',#75221,#75222,#37876,.T.); #96439=EDGE_CURVE('',#75219,#75221,#37877,.T.); #96440=EDGE_CURVE('',#75217,#75222,#37878,.T.); #96441=EDGE_CURVE('',#75222,#75223,#37879,.T.); #96442=EDGE_CURVE('',#75218,#75223,#37880,.T.); #96443=EDGE_CURVE('',#75223,#75224,#37881,.T.); #96444=EDGE_CURVE('',#75220,#75224,#37882,.T.); #96445=EDGE_CURVE('',#75224,#75221,#37883,.T.); #96446=EDGE_CURVE('',#75225,#75226,#37884,.T.); #96447=EDGE_CURVE('',#75225,#75227,#37885,.T.); #96448=EDGE_CURVE('',#75227,#75228,#37886,.T.); #96449=EDGE_CURVE('',#75226,#75228,#37887,.T.); #96450=EDGE_CURVE('',#75229,#75225,#61665,.T.); #96451=EDGE_CURVE('',#75229,#75230,#37888,.T.); #96452=EDGE_CURVE('',#75230,#75227,#61666,.T.); #96453=EDGE_CURVE('',#75231,#75229,#37889,.T.); #96454=EDGE_CURVE('',#75231,#75232,#37890,.T.); #96455=EDGE_CURVE('',#75232,#75230,#37891,.T.); #96456=EDGE_CURVE('',#75233,#75231,#61667,.T.); #96457=EDGE_CURVE('',#75233,#75234,#37892,.T.); #96458=EDGE_CURVE('',#75234,#75232,#61668,.T.); #96459=EDGE_CURVE('',#75235,#75233,#61669,.T.); #96460=EDGE_CURVE('',#75235,#75236,#37893,.T.); #96461=EDGE_CURVE('',#75236,#75234,#61670,.T.); #96462=EDGE_CURVE('',#75237,#75235,#37894,.T.); #96463=EDGE_CURVE('',#75237,#75238,#37895,.T.); #96464=EDGE_CURVE('',#75238,#75236,#37896,.T.); #96465=EDGE_CURVE('',#75239,#75237,#61671,.T.); #96466=EDGE_CURVE('',#75239,#75240,#37897,.T.); #96467=EDGE_CURVE('',#75240,#75238,#61672,.T.); #96468=EDGE_CURVE('',#75241,#75239,#61673,.T.); #96469=EDGE_CURVE('',#75241,#75242,#37898,.T.); #96470=EDGE_CURVE('',#75242,#75240,#61674,.T.); #96471=EDGE_CURVE('',#75243,#75241,#61675,.T.); #96472=EDGE_CURVE('',#75243,#75244,#37899,.T.); #96473=EDGE_CURVE('',#75244,#75242,#61676,.T.); #96474=EDGE_CURVE('',#75245,#75243,#61677,.T.); #96475=EDGE_CURVE('',#75245,#75246,#37900,.T.); #96476=EDGE_CURVE('',#75246,#75244,#61678,.T.); #96477=EDGE_CURVE('',#75226,#75245,#37901,.T.); #96478=EDGE_CURVE('',#75228,#75246,#37902,.T.); #96479=EDGE_CURVE('',#75247,#75248,#61679,.T.); #96480=EDGE_CURVE('',#75247,#75249,#37903,.T.); #96481=EDGE_CURVE('',#75249,#75250,#61680,.T.); #96482=EDGE_CURVE('',#75248,#75250,#37904,.T.); #96483=EDGE_CURVE('',#75251,#75247,#61681,.T.); #96484=EDGE_CURVE('',#75251,#75252,#37905,.T.); #96485=EDGE_CURVE('',#75252,#75249,#61682,.T.); #96486=EDGE_CURVE('',#75253,#75251,#61683,.T.); #96487=EDGE_CURVE('',#75253,#75254,#37906,.T.); #96488=EDGE_CURVE('',#75254,#75252,#61684,.T.); #96489=EDGE_CURVE('',#75255,#75253,#61685,.T.); #96490=EDGE_CURVE('',#75255,#75256,#37907,.T.); #96491=EDGE_CURVE('',#75256,#75254,#61686,.T.); #96492=EDGE_CURVE('',#75257,#75255,#37908,.T.); #96493=EDGE_CURVE('',#75257,#75258,#37909,.T.); #96494=EDGE_CURVE('',#75258,#75256,#37910,.T.); #96495=EDGE_CURVE('',#75259,#75257,#61687,.T.); #96496=EDGE_CURVE('',#75259,#75260,#37911,.T.); #96497=EDGE_CURVE('',#75260,#75258,#61688,.T.); #96498=EDGE_CURVE('',#75261,#75259,#61689,.T.); #96499=EDGE_CURVE('',#75261,#75262,#37912,.T.); #96500=EDGE_CURVE('',#75262,#75260,#61690,.T.); #96501=EDGE_CURVE('',#75263,#75261,#37913,.T.); #96502=EDGE_CURVE('',#75263,#75264,#37914,.T.); #96503=EDGE_CURVE('',#75264,#75262,#37915,.T.); #96504=EDGE_CURVE('',#75265,#75263,#61691,.T.); #96505=EDGE_CURVE('',#75265,#75266,#37916,.T.); #96506=EDGE_CURVE('',#75266,#75264,#61692,.T.); #96507=EDGE_CURVE('',#75267,#75265,#37917,.T.); #96508=EDGE_CURVE('',#75267,#75268,#37918,.T.); #96509=EDGE_CURVE('',#75268,#75266,#37919,.T.); #96510=EDGE_CURVE('',#75248,#75267,#37920,.T.); #96511=EDGE_CURVE('',#75250,#75268,#37921,.T.); #96512=EDGE_CURVE('',#75269,#75270,#37922,.T.); #96513=EDGE_CURVE('',#75270,#75271,#37923,.T.); #96514=EDGE_CURVE('',#75271,#75272,#37924,.T.); #96515=EDGE_CURVE('',#75272,#75273,#37925,.T.); #96516=EDGE_CURVE('',#75273,#75274,#37926,.T.); #96517=EDGE_CURVE('',#75274,#75275,#37927,.T.); #96518=EDGE_CURVE('',#75275,#75276,#37928,.T.); #96519=EDGE_CURVE('',#75276,#75277,#37929,.T.); #96520=EDGE_CURVE('',#75277,#75278,#37930,.T.); #96521=EDGE_CURVE('',#75278,#75279,#37931,.T.); #96522=EDGE_CURVE('',#75279,#75280,#37932,.T.); #96523=EDGE_CURVE('',#75280,#75269,#37933,.T.); #96524=EDGE_CURVE('',#75281,#75281,#61693,.T.); #96525=EDGE_CURVE('',#75282,#75282,#61694,.T.); #96526=EDGE_CURVE('',#75283,#75283,#61695,.T.); #96527=EDGE_CURVE('',#75284,#75284,#61696,.T.); #96528=EDGE_CURVE('',#75285,#75285,#61697,.T.); #96529=EDGE_CURVE('',#75286,#75286,#61698,.T.); #96530=EDGE_CURVE('',#75287,#75287,#61699,.T.); #96531=EDGE_CURVE('',#75288,#75288,#61700,.T.); #96532=EDGE_CURVE('',#75289,#75289,#61701,.T.); #96533=EDGE_CURVE('',#75290,#75290,#61702,.T.); #96534=EDGE_CURVE('',#75291,#75291,#61703,.T.); #96535=EDGE_CURVE('',#75292,#75292,#61704,.T.); #96536=EDGE_CURVE('',#75293,#75293,#61705,.T.); #96537=EDGE_CURVE('',#75294,#75294,#61706,.T.); #96538=EDGE_CURVE('',#75295,#75296,#37934,.T.); #96539=EDGE_CURVE('',#75296,#75297,#61707,.T.); #96540=EDGE_CURVE('',#75297,#75298,#37935,.T.); #96541=EDGE_CURVE('',#75298,#75299,#61708,.T.); #96542=EDGE_CURVE('',#75299,#75300,#37936,.T.); #96543=EDGE_CURVE('',#75300,#75301,#61709,.T.); #96544=EDGE_CURVE('',#75301,#75302,#37937,.T.); #96545=EDGE_CURVE('',#75302,#75295,#61710,.T.); #96546=EDGE_CURVE('',#75303,#75304,#37938,.T.); #96547=EDGE_CURVE('',#75304,#75305,#37939,.T.); #96548=EDGE_CURVE('',#75305,#75306,#37940,.T.); #96549=EDGE_CURVE('',#75306,#75307,#37941,.T.); #96550=EDGE_CURVE('',#75307,#75308,#37942,.T.); #96551=EDGE_CURVE('',#75308,#75309,#37943,.T.); #96552=EDGE_CURVE('',#75309,#75310,#37944,.T.); #96553=EDGE_CURVE('',#75310,#75311,#37945,.T.); #96554=EDGE_CURVE('',#75311,#75312,#37946,.T.); #96555=EDGE_CURVE('',#75312,#75313,#37947,.T.); #96556=EDGE_CURVE('',#75313,#75314,#37948,.T.); #96557=EDGE_CURVE('',#75314,#75315,#37949,.T.); #96558=EDGE_CURVE('',#75315,#75316,#37950,.T.); #96559=EDGE_CURVE('',#75316,#75317,#37951,.T.); #96560=EDGE_CURVE('',#75317,#75318,#37952,.T.); #96561=EDGE_CURVE('',#75318,#75319,#37953,.T.); #96562=EDGE_CURVE('',#75319,#75320,#37954,.T.); #96563=EDGE_CURVE('',#75320,#75321,#37955,.T.); #96564=EDGE_CURVE('',#75321,#75322,#37956,.T.); #96565=EDGE_CURVE('',#75322,#75323,#37957,.T.); #96566=EDGE_CURVE('',#75323,#75324,#37958,.T.); #96567=EDGE_CURVE('',#75324,#75325,#37959,.T.); #96568=EDGE_CURVE('',#75325,#75326,#37960,.T.); #96569=EDGE_CURVE('',#75326,#75327,#37961,.T.); #96570=EDGE_CURVE('',#75327,#75328,#37962,.T.); #96571=EDGE_CURVE('',#75328,#75329,#37963,.T.); #96572=EDGE_CURVE('',#75329,#75330,#37964,.T.); #96573=EDGE_CURVE('',#75330,#75331,#37965,.T.); #96574=EDGE_CURVE('',#75331,#75332,#37966,.T.); #96575=EDGE_CURVE('',#75332,#75333,#37967,.T.); #96576=EDGE_CURVE('',#75333,#75334,#37968,.T.); #96577=EDGE_CURVE('',#75334,#75335,#37969,.T.); #96578=EDGE_CURVE('',#75335,#75336,#61711,.T.); #96579=EDGE_CURVE('',#75336,#75337,#37970,.T.); #96580=EDGE_CURVE('',#75337,#75338,#61712,.T.); #96581=EDGE_CURVE('',#75338,#75339,#37971,.T.); #96582=EDGE_CURVE('',#75339,#75340,#37972,.T.); #96583=EDGE_CURVE('',#75340,#75341,#37973,.T.); #96584=EDGE_CURVE('',#75341,#75342,#37974,.T.); #96585=EDGE_CURVE('',#75342,#75343,#37975,.T.); #96586=EDGE_CURVE('',#75343,#75344,#37976,.T.); #96587=EDGE_CURVE('',#75344,#75345,#37977,.T.); #96588=EDGE_CURVE('',#75345,#75346,#37978,.T.); #96589=EDGE_CURVE('',#75346,#75347,#37979,.T.); #96590=EDGE_CURVE('',#75347,#75348,#37980,.T.); #96591=EDGE_CURVE('',#75348,#75349,#37981,.T.); #96592=EDGE_CURVE('',#75349,#75350,#37982,.T.); #96593=EDGE_CURVE('',#75350,#75351,#37983,.T.); #96594=EDGE_CURVE('',#75351,#75352,#37984,.T.); #96595=EDGE_CURVE('',#75352,#75353,#37985,.T.); #96596=EDGE_CURVE('',#75353,#75354,#37986,.T.); #96597=EDGE_CURVE('',#75354,#75355,#37987,.T.); #96598=EDGE_CURVE('',#75355,#75356,#37988,.T.); #96599=EDGE_CURVE('',#75356,#75357,#37989,.T.); #96600=EDGE_CURVE('',#75357,#75358,#37990,.T.); #96601=EDGE_CURVE('',#75358,#75359,#37991,.T.); #96602=EDGE_CURVE('',#75359,#75360,#61713,.T.); #96603=EDGE_CURVE('',#75360,#75361,#37992,.T.); #96604=EDGE_CURVE('',#75361,#75362,#61714,.T.); #96605=EDGE_CURVE('',#75362,#75363,#37993,.T.); #96606=EDGE_CURVE('',#75363,#75364,#37994,.T.); #96607=EDGE_CURVE('',#75364,#75365,#37995,.T.); #96608=EDGE_CURVE('',#75365,#75366,#37996,.T.); #96609=EDGE_CURVE('',#75366,#75303,#37997,.T.); #96610=EDGE_CURVE('',#75367,#75368,#61715,.T.); #96611=EDGE_CURVE('',#75368,#75369,#61716,.T.); #96612=EDGE_CURVE('',#75369,#75370,#61717,.T.); #96613=EDGE_CURVE('',#75370,#75371,#37998,.T.); #96614=EDGE_CURVE('',#75371,#75372,#61718,.T.); #96615=EDGE_CURVE('',#75372,#75373,#61719,.T.); #96616=EDGE_CURVE('',#75373,#75374,#61720,.T.); #96617=EDGE_CURVE('',#75374,#75375,#61721,.T.); #96618=EDGE_CURVE('',#75375,#75376,#61722,.T.); #96619=EDGE_CURVE('',#75376,#75377,#61723,.T.); #96620=EDGE_CURVE('',#75377,#75378,#37999,.T.); #96621=EDGE_CURVE('',#75378,#75379,#38000,.T.); #96622=EDGE_CURVE('',#75379,#75380,#61724,.T.); #96623=EDGE_CURVE('',#75380,#75381,#38001,.T.); #96624=EDGE_CURVE('',#75381,#75382,#61725,.T.); #96625=EDGE_CURVE('',#75382,#75383,#38002,.T.); #96626=EDGE_CURVE('',#75383,#75384,#38003,.T.); #96627=EDGE_CURVE('',#75384,#75385,#38004,.T.); #96628=EDGE_CURVE('',#75385,#75386,#38005,.T.); #96629=EDGE_CURVE('',#75386,#75387,#38006,.T.); #96630=EDGE_CURVE('',#75387,#75388,#38007,.T.); #96631=EDGE_CURVE('',#75388,#75389,#38008,.T.); #96632=EDGE_CURVE('',#75389,#75390,#61726,.T.); #96633=EDGE_CURVE('',#75390,#75391,#61727,.T.); #96634=EDGE_CURVE('',#75391,#75392,#61728,.T.); #96635=EDGE_CURVE('',#75392,#75393,#61729,.T.); #96636=EDGE_CURVE('',#75393,#75394,#61730,.T.); #96637=EDGE_CURVE('',#75394,#75395,#38009,.T.); #96638=EDGE_CURVE('',#75395,#75396,#61731,.T.); #96639=EDGE_CURVE('',#75396,#75397,#61732,.T.); #96640=EDGE_CURVE('',#75397,#75398,#61733,.T.); #96641=EDGE_CURVE('',#75398,#75399,#61734,.T.); #96642=EDGE_CURVE('',#75399,#75400,#61735,.T.); #96643=EDGE_CURVE('',#75400,#75401,#61736,.T.); #96644=EDGE_CURVE('',#75401,#75402,#38010,.T.); #96645=EDGE_CURVE('',#75402,#75403,#38011,.T.); #96646=EDGE_CURVE('',#75403,#75404,#61737,.T.); #96647=EDGE_CURVE('',#75404,#75405,#38012,.T.); #96648=EDGE_CURVE('',#75405,#75406,#38013,.T.); #96649=EDGE_CURVE('',#75406,#75407,#61738,.T.); #96650=EDGE_CURVE('',#75407,#75408,#38014,.T.); #96651=EDGE_CURVE('',#75408,#75409,#61739,.T.); #96652=EDGE_CURVE('',#75409,#75367,#61740,.T.); #96653=EDGE_CURVE('',#75410,#75411,#61741,.T.); #96654=EDGE_CURVE('',#75411,#75412,#38015,.T.); #96655=EDGE_CURVE('',#75412,#75413,#38016,.T.); #96656=EDGE_CURVE('',#75413,#75414,#38017,.T.); #96657=EDGE_CURVE('',#75414,#75415,#38018,.T.); #96658=EDGE_CURVE('',#75415,#75416,#61742,.T.); #96659=EDGE_CURVE('',#75416,#75417,#38019,.T.); #96660=EDGE_CURVE('',#75417,#75418,#61743,.T.); #96661=EDGE_CURVE('',#75418,#75419,#61744,.T.); #96662=EDGE_CURVE('',#75419,#75420,#61745,.T.); #96663=EDGE_CURVE('',#75420,#75421,#61746,.T.); #96664=EDGE_CURVE('',#75421,#75422,#61747,.T.); #96665=EDGE_CURVE('',#75422,#75423,#61748,.T.); #96666=EDGE_CURVE('',#75423,#75424,#61749,.T.); #96667=EDGE_CURVE('',#75424,#75425,#61750,.T.); #96668=EDGE_CURVE('',#75425,#75426,#61751,.T.); #96669=EDGE_CURVE('',#75426,#75427,#38020,.T.); #96670=EDGE_CURVE('',#75427,#75428,#61752,.T.); #96671=EDGE_CURVE('',#75428,#75429,#61753,.T.); #96672=EDGE_CURVE('',#75429,#75430,#38021,.T.); #96673=EDGE_CURVE('',#75430,#75431,#61754,.T.); #96674=EDGE_CURVE('',#75431,#75432,#38022,.T.); #96675=EDGE_CURVE('',#75432,#75433,#38023,.T.); #96676=EDGE_CURVE('',#75433,#75434,#38024,.T.); #96677=EDGE_CURVE('',#75434,#75435,#38025,.T.); #96678=EDGE_CURVE('',#75435,#75436,#38026,.T.); #96679=EDGE_CURVE('',#75436,#75437,#38027,.T.); #96680=EDGE_CURVE('',#75437,#75438,#38028,.T.); #96681=EDGE_CURVE('',#75438,#75439,#38029,.T.); #96682=EDGE_CURVE('',#75439,#75440,#38030,.T.); #96683=EDGE_CURVE('',#75440,#75441,#38031,.T.); #96684=EDGE_CURVE('',#75441,#75442,#38032,.T.); #96685=EDGE_CURVE('',#75442,#75443,#38033,.T.); #96686=EDGE_CURVE('',#75443,#75444,#38034,.T.); #96687=EDGE_CURVE('',#75444,#75445,#38035,.T.); #96688=EDGE_CURVE('',#75445,#75446,#38036,.T.); #96689=EDGE_CURVE('',#75446,#75410,#38037,.T.); #96690=EDGE_CURVE('',#75447,#75448,#38038,.T.); #96691=EDGE_CURVE('',#75448,#75449,#38039,.T.); #96692=EDGE_CURVE('',#75449,#75450,#38040,.T.); #96693=EDGE_CURVE('',#75450,#75451,#38041,.T.); #96694=EDGE_CURVE('',#75451,#75452,#38042,.T.); #96695=EDGE_CURVE('',#75452,#75453,#38043,.T.); #96696=EDGE_CURVE('',#75453,#75454,#61755,.T.); #96697=EDGE_CURVE('',#75454,#75455,#38044,.T.); #96698=EDGE_CURVE('',#75455,#75456,#61756,.T.); #96699=EDGE_CURVE('',#75456,#75457,#38045,.T.); #96700=EDGE_CURVE('',#75457,#75447,#38046,.T.); #96701=EDGE_CURVE('',#75458,#75459,#61757,.T.); #96702=EDGE_CURVE('',#75459,#75460,#61758,.T.); #96703=EDGE_CURVE('',#75460,#75461,#61759,.T.); #96704=EDGE_CURVE('',#75461,#75462,#61760,.T.); #96705=EDGE_CURVE('',#75462,#75463,#61761,.T.); #96706=EDGE_CURVE('',#75463,#75464,#38047,.T.); #96707=EDGE_CURVE('',#75464,#75465,#38048,.T.); #96708=EDGE_CURVE('',#75465,#75466,#38049,.T.); #96709=EDGE_CURVE('',#75466,#75467,#38050,.T.); #96710=EDGE_CURVE('',#75467,#75468,#38051,.T.); #96711=EDGE_CURVE('',#75468,#75469,#38052,.T.); #96712=EDGE_CURVE('',#75469,#75470,#38053,.T.); #96713=EDGE_CURVE('',#75470,#75471,#38054,.T.); #96714=EDGE_CURVE('',#75471,#75472,#61762,.T.); #96715=EDGE_CURVE('',#75472,#75473,#61763,.T.); #96716=EDGE_CURVE('',#75473,#75474,#61764,.T.); #96717=EDGE_CURVE('',#75474,#75475,#38055,.T.); #96718=EDGE_CURVE('',#75475,#75476,#61765,.T.); #96719=EDGE_CURVE('',#75476,#75477,#61766,.T.); #96720=EDGE_CURVE('',#75477,#75478,#38056,.T.); #96721=EDGE_CURVE('',#75478,#75479,#61767,.T.); #96722=EDGE_CURVE('',#75479,#75480,#38057,.T.); #96723=EDGE_CURVE('',#75480,#75481,#38058,.T.); #96724=EDGE_CURVE('',#75481,#75482,#38059,.T.); #96725=EDGE_CURVE('',#75482,#75483,#38060,.T.); #96726=EDGE_CURVE('',#75483,#75484,#38061,.T.); #96727=EDGE_CURVE('',#75484,#75485,#38062,.T.); #96728=EDGE_CURVE('',#75485,#75486,#38063,.T.); #96729=EDGE_CURVE('',#75486,#75487,#61768,.T.); #96730=EDGE_CURVE('',#75487,#75488,#61769,.T.); #96731=EDGE_CURVE('',#75488,#75458,#38064,.T.); #96732=EDGE_CURVE('',#75489,#75490,#38065,.T.); #96733=EDGE_CURVE('',#75490,#75491,#38066,.T.); #96734=EDGE_CURVE('',#75491,#75492,#38067,.T.); #96735=EDGE_CURVE('',#75492,#75493,#38068,.T.); #96736=EDGE_CURVE('',#75493,#75494,#38069,.T.); #96737=EDGE_CURVE('',#75494,#75495,#38070,.T.); #96738=EDGE_CURVE('',#75495,#75496,#38071,.T.); #96739=EDGE_CURVE('',#75496,#75497,#38072,.T.); #96740=EDGE_CURVE('',#75497,#75498,#38073,.T.); #96741=EDGE_CURVE('',#75498,#75499,#61770,.T.); #96742=EDGE_CURVE('',#75499,#75500,#38074,.T.); #96743=EDGE_CURVE('',#75500,#75501,#38075,.T.); #96744=EDGE_CURVE('',#75501,#75502,#38076,.T.); #96745=EDGE_CURVE('',#75502,#75503,#38077,.T.); #96746=EDGE_CURVE('',#75503,#75504,#61771,.T.); #96747=EDGE_CURVE('',#75504,#75505,#38078,.T.); #96748=EDGE_CURVE('',#75505,#75506,#38079,.T.); #96749=EDGE_CURVE('',#75506,#75507,#38080,.T.); #96750=EDGE_CURVE('',#75507,#75508,#61772,.T.); #96751=EDGE_CURVE('',#75508,#75509,#38081,.T.); #96752=EDGE_CURVE('',#75509,#75510,#38082,.T.); #96753=EDGE_CURVE('',#75510,#75511,#38083,.T.); #96754=EDGE_CURVE('',#75511,#75512,#38084,.T.); #96755=EDGE_CURVE('',#75512,#75489,#61773,.T.); #96756=EDGE_CURVE('',#75513,#75514,#38085,.T.); #96757=EDGE_CURVE('',#75514,#75515,#38086,.T.); #96758=EDGE_CURVE('',#75515,#75516,#38087,.T.); #96759=EDGE_CURVE('',#75516,#75517,#38088,.T.); #96760=EDGE_CURVE('',#75517,#75518,#38089,.T.); #96761=EDGE_CURVE('',#75518,#75519,#38090,.T.); #96762=EDGE_CURVE('',#75519,#75520,#38091,.T.); #96763=EDGE_CURVE('',#75520,#75521,#61774,.T.); #96764=EDGE_CURVE('',#75521,#75522,#38092,.T.); #96765=EDGE_CURVE('',#75522,#75523,#38093,.T.); #96766=EDGE_CURVE('',#75523,#75524,#38094,.T.); #96767=EDGE_CURVE('',#75524,#75525,#38095,.T.); #96768=EDGE_CURVE('',#75525,#75526,#38096,.T.); #96769=EDGE_CURVE('',#75526,#75527,#61775,.T.); #96770=EDGE_CURVE('',#75527,#75528,#38097,.T.); #96771=EDGE_CURVE('',#75528,#75529,#38098,.T.); #96772=EDGE_CURVE('',#75529,#75530,#38099,.T.); #96773=EDGE_CURVE('',#75530,#75531,#61776,.T.); #96774=EDGE_CURVE('',#75531,#75532,#61777,.T.); #96775=EDGE_CURVE('',#75532,#75533,#38100,.T.); #96776=EDGE_CURVE('',#75533,#75534,#38101,.T.); #96777=EDGE_CURVE('',#75534,#75535,#61778,.T.); #96778=EDGE_CURVE('',#75535,#75536,#61779,.T.); #96779=EDGE_CURVE('',#75536,#75537,#38102,.T.); #96780=EDGE_CURVE('',#75537,#75538,#61780,.T.); #96781=EDGE_CURVE('',#75538,#75539,#61781,.T.); #96782=EDGE_CURVE('',#75539,#75540,#38103,.T.); #96783=EDGE_CURVE('',#75540,#75541,#38104,.T.); #96784=EDGE_CURVE('',#75541,#75542,#61782,.T.); #96785=EDGE_CURVE('',#75542,#75543,#61783,.T.); #96786=EDGE_CURVE('',#75543,#75544,#38105,.T.); #96787=EDGE_CURVE('',#75544,#75545,#38106,.T.); #96788=EDGE_CURVE('',#75545,#75546,#38107,.T.); #96789=EDGE_CURVE('',#75546,#75547,#61784,.T.); #96790=EDGE_CURVE('',#75547,#75548,#38108,.T.); #96791=EDGE_CURVE('',#75548,#75549,#38109,.T.); #96792=EDGE_CURVE('',#75549,#75550,#61785,.T.); #96793=EDGE_CURVE('',#75550,#75551,#38110,.T.); #96794=EDGE_CURVE('',#75551,#75552,#38111,.T.); #96795=EDGE_CURVE('',#75552,#75553,#38112,.T.); #96796=EDGE_CURVE('',#75553,#75554,#61786,.T.); #96797=EDGE_CURVE('',#75554,#75555,#38113,.T.); #96798=EDGE_CURVE('',#75555,#75556,#38114,.T.); #96799=EDGE_CURVE('',#75556,#75557,#38115,.T.); #96800=EDGE_CURVE('',#75557,#75558,#38116,.T.); #96801=EDGE_CURVE('',#75558,#75559,#61787,.T.); #96802=EDGE_CURVE('',#75559,#75560,#38117,.T.); #96803=EDGE_CURVE('',#75560,#75561,#38118,.T.); #96804=EDGE_CURVE('',#75561,#75562,#38119,.T.); #96805=EDGE_CURVE('',#75562,#75563,#38120,.T.); #96806=EDGE_CURVE('',#75563,#75564,#61788,.T.); #96807=EDGE_CURVE('',#75564,#75565,#38121,.T.); #96808=EDGE_CURVE('',#75565,#75566,#38122,.T.); #96809=EDGE_CURVE('',#75566,#75567,#38123,.T.); #96810=EDGE_CURVE('',#75567,#75568,#61789,.T.); #96811=EDGE_CURVE('',#75568,#75569,#38124,.T.); #96812=EDGE_CURVE('',#75569,#75570,#38125,.T.); #96813=EDGE_CURVE('',#75570,#75571,#38126,.T.); #96814=EDGE_CURVE('',#75571,#75572,#38127,.T.); #96815=EDGE_CURVE('',#75572,#75573,#38128,.T.); #96816=EDGE_CURVE('',#75573,#75574,#38129,.T.); #96817=EDGE_CURVE('',#75574,#75575,#38130,.T.); #96818=EDGE_CURVE('',#75575,#75576,#61790,.T.); #96819=EDGE_CURVE('',#75576,#75577,#38131,.T.); #96820=EDGE_CURVE('',#75577,#75578,#38132,.T.); #96821=EDGE_CURVE('',#75578,#75579,#61791,.T.); #96822=EDGE_CURVE('',#75579,#75580,#61792,.T.); #96823=EDGE_CURVE('',#75580,#75581,#38133,.T.); #96824=EDGE_CURVE('',#75581,#75582,#38134,.T.); #96825=EDGE_CURVE('',#75582,#75583,#61793,.T.); #96826=EDGE_CURVE('',#75583,#75584,#38135,.T.); #96827=EDGE_CURVE('',#75584,#75585,#38136,.T.); #96828=EDGE_CURVE('',#75585,#75586,#61794,.T.); #96829=EDGE_CURVE('',#75586,#75587,#38137,.T.); #96830=EDGE_CURVE('',#75587,#75588,#38138,.T.); #96831=EDGE_CURVE('',#75588,#75589,#38139,.T.); #96832=EDGE_CURVE('',#75589,#75590,#38140,.T.); #96833=EDGE_CURVE('',#75590,#75591,#61795,.T.); #96834=EDGE_CURVE('',#75591,#75592,#61796,.T.); #96835=EDGE_CURVE('',#75592,#75593,#38141,.T.); #96836=EDGE_CURVE('',#75593,#75594,#38142,.T.); #96837=EDGE_CURVE('',#75594,#75513,#38143,.T.); #96838=EDGE_CURVE('',#75595,#75596,#61797,.T.); #96839=EDGE_CURVE('',#75596,#75597,#38144,.T.); #96840=EDGE_CURVE('',#75597,#75598,#61798,.T.); #96841=EDGE_CURVE('',#75598,#75599,#38145,.T.); #96842=EDGE_CURVE('',#75599,#75600,#61799,.T.); #96843=EDGE_CURVE('',#75600,#75601,#38146,.T.); #96844=EDGE_CURVE('',#75601,#75602,#61800,.T.); #96845=EDGE_CURVE('',#75602,#75603,#38147,.T.); #96846=EDGE_CURVE('',#75603,#75604,#38148,.T.); #96847=EDGE_CURVE('',#75604,#75605,#61801,.T.); #96848=EDGE_CURVE('',#75605,#75606,#38149,.T.); #96849=EDGE_CURVE('',#75606,#75607,#61802,.T.); #96850=EDGE_CURVE('',#75607,#75608,#38150,.T.); #96851=EDGE_CURVE('',#75608,#75609,#61803,.T.); #96852=EDGE_CURVE('',#75609,#75610,#38151,.T.); #96853=EDGE_CURVE('',#75610,#75611,#61804,.T.); #96854=EDGE_CURVE('',#75611,#75612,#38152,.T.); #96855=EDGE_CURVE('',#75612,#75613,#38153,.T.); #96856=EDGE_CURVE('',#75613,#75614,#61805,.T.); #96857=EDGE_CURVE('',#75614,#75615,#38154,.T.); #96858=EDGE_CURVE('',#75615,#75616,#61806,.T.); #96859=EDGE_CURVE('',#75616,#75617,#38155,.T.); #96860=EDGE_CURVE('',#75617,#75595,#38156,.T.); #96861=EDGE_CURVE('',#75618,#75619,#61807,.T.); #96862=EDGE_CURVE('',#75619,#75620,#38157,.T.); #96863=EDGE_CURVE('',#75620,#75621,#61808,.T.); #96864=EDGE_CURVE('',#75621,#75622,#38158,.T.); #96865=EDGE_CURVE('',#75622,#75623,#38159,.T.); #96866=EDGE_CURVE('',#75623,#75624,#61809,.T.); #96867=EDGE_CURVE('',#75624,#75625,#38160,.T.); #96868=EDGE_CURVE('',#75625,#75626,#61810,.T.); #96869=EDGE_CURVE('',#75626,#75627,#38161,.T.); #96870=EDGE_CURVE('',#75627,#75628,#38162,.T.); #96871=EDGE_CURVE('',#75628,#75629,#61811,.T.); #96872=EDGE_CURVE('',#75629,#75630,#38163,.T.); #96873=EDGE_CURVE('',#75630,#75631,#61812,.T.); #96874=EDGE_CURVE('',#75631,#75618,#38164,.T.); #96875=EDGE_CURVE('',#75632,#75633,#38165,.T.); #96876=EDGE_CURVE('',#75633,#75634,#61813,.T.); #96877=EDGE_CURVE('',#75634,#75635,#38166,.T.); #96878=EDGE_CURVE('',#75635,#75636,#61814,.T.); #96879=EDGE_CURVE('',#75636,#75637,#38167,.T.); #96880=EDGE_CURVE('',#75637,#75638,#61815,.T.); #96881=EDGE_CURVE('',#75638,#75639,#38168,.T.); #96882=EDGE_CURVE('',#75639,#75640,#61816,.T.); #96883=EDGE_CURVE('',#75640,#75641,#38169,.T.); #96884=EDGE_CURVE('',#75641,#75642,#38170,.T.); #96885=EDGE_CURVE('',#75642,#75643,#61817,.T.); #96886=EDGE_CURVE('',#75643,#75644,#38171,.T.); #96887=EDGE_CURVE('',#75644,#75645,#61818,.T.); #96888=EDGE_CURVE('',#75645,#75646,#38172,.T.); #96889=EDGE_CURVE('',#75646,#75647,#38173,.T.); #96890=EDGE_CURVE('',#75647,#75648,#38174,.T.); #96891=EDGE_CURVE('',#75648,#75649,#38175,.T.); #96892=EDGE_CURVE('',#75649,#75632,#38176,.T.); #96893=EDGE_CURVE('',#75650,#75651,#38177,.T.); #96894=EDGE_CURVE('',#75651,#75652,#61819,.T.); #96895=EDGE_CURVE('',#75652,#75653,#38178,.T.); #96896=EDGE_CURVE('',#75653,#75654,#38179,.T.); #96897=EDGE_CURVE('',#75654,#75655,#38180,.T.); #96898=EDGE_CURVE('',#75655,#75656,#38181,.T.); #96899=EDGE_CURVE('',#75656,#75657,#61820,.T.); #96900=EDGE_CURVE('',#75657,#75658,#38182,.T.); #96901=EDGE_CURVE('',#75658,#75659,#38183,.T.); #96902=EDGE_CURVE('',#75659,#75660,#38184,.T.); #96903=EDGE_CURVE('',#75660,#75661,#61821,.T.); #96904=EDGE_CURVE('',#75661,#75662,#38185,.T.); #96905=EDGE_CURVE('',#75662,#75663,#61822,.T.); #96906=EDGE_CURVE('',#75663,#75664,#61823,.T.); #96907=EDGE_CURVE('',#75664,#75665,#38186,.T.); #96908=EDGE_CURVE('',#75665,#75666,#61824,.T.); #96909=EDGE_CURVE('',#75666,#75667,#61825,.T.); #96910=EDGE_CURVE('',#75667,#75668,#38187,.T.); #96911=EDGE_CURVE('',#75668,#75669,#61826,.T.); #96912=EDGE_CURVE('',#75669,#75670,#38188,.T.); #96913=EDGE_CURVE('',#75670,#75671,#38189,.T.); #96914=EDGE_CURVE('',#75671,#75672,#38190,.T.); #96915=EDGE_CURVE('',#75672,#75673,#61827,.T.); #96916=EDGE_CURVE('',#75673,#75674,#38191,.T.); #96917=EDGE_CURVE('',#75674,#75675,#38192,.T.); #96918=EDGE_CURVE('',#75675,#75676,#38193,.T.); #96919=EDGE_CURVE('',#75676,#75677,#38194,.T.); #96920=EDGE_CURVE('',#75677,#75678,#38195,.T.); #96921=EDGE_CURVE('',#75678,#75679,#38196,.T.); #96922=EDGE_CURVE('',#75679,#75680,#38197,.T.); #96923=EDGE_CURVE('',#75680,#75681,#61828,.T.); #96924=EDGE_CURVE('',#75681,#75682,#38198,.T.); #96925=EDGE_CURVE('',#75682,#75683,#61829,.T.); #96926=EDGE_CURVE('',#75683,#75684,#61830,.T.); #96927=EDGE_CURVE('',#75684,#75685,#61831,.T.); #96928=EDGE_CURVE('',#75685,#75686,#38199,.T.); #96929=EDGE_CURVE('',#75686,#75687,#61832,.T.); #96930=EDGE_CURVE('',#75687,#75688,#61833,.T.); #96931=EDGE_CURVE('',#75688,#75689,#61834,.T.); #96932=EDGE_CURVE('',#75689,#75690,#38200,.T.); #96933=EDGE_CURVE('',#75690,#75691,#38201,.T.); #96934=EDGE_CURVE('',#75691,#75692,#38202,.T.); #96935=EDGE_CURVE('',#75692,#75693,#38203,.T.); #96936=EDGE_CURVE('',#75693,#75694,#38204,.T.); #96937=EDGE_CURVE('',#75694,#75695,#61835,.T.); #96938=EDGE_CURVE('',#75695,#75650,#61836,.T.); #96939=EDGE_CURVE('',#75696,#75697,#38205,.T.); #96940=EDGE_CURVE('',#75697,#75698,#38206,.T.); #96941=EDGE_CURVE('',#75698,#75699,#61837,.T.); #96942=EDGE_CURVE('',#75699,#75700,#61838,.T.); #96943=EDGE_CURVE('',#75700,#75701,#61839,.T.); #96944=EDGE_CURVE('',#75701,#75702,#61840,.T.); #96945=EDGE_CURVE('',#75702,#75703,#61841,.T.); #96946=EDGE_CURVE('',#75703,#75704,#61842,.T.); #96947=EDGE_CURVE('',#75704,#75705,#61843,.T.); #96948=EDGE_CURVE('',#75705,#75706,#61844,.T.); #96949=EDGE_CURVE('',#75706,#75696,#61845,.T.); #96950=EDGE_CURVE('',#75707,#75708,#38207,.T.); #96951=EDGE_CURVE('',#75708,#75709,#61846,.T.); #96952=EDGE_CURVE('',#75709,#75710,#61847,.T.); #96953=EDGE_CURVE('',#75710,#75711,#38208,.T.); #96954=EDGE_CURVE('',#75711,#75712,#38209,.T.); #96955=EDGE_CURVE('',#75712,#75713,#61848,.T.); #96956=EDGE_CURVE('',#75713,#75714,#61849,.T.); #96957=EDGE_CURVE('',#75714,#75715,#38210,.T.); #96958=EDGE_CURVE('',#75715,#75716,#38211,.T.); #96959=EDGE_CURVE('',#75716,#75717,#61850,.T.); #96960=EDGE_CURVE('',#75717,#75718,#38212,.T.); #96961=EDGE_CURVE('',#75718,#75719,#38213,.T.); #96962=EDGE_CURVE('',#75719,#75720,#61851,.T.); #96963=EDGE_CURVE('',#75720,#75721,#38214,.T.); #96964=EDGE_CURVE('',#75721,#75722,#38215,.T.); #96965=EDGE_CURVE('',#75722,#75723,#38216,.T.); #96966=EDGE_CURVE('',#75723,#75724,#61852,.T.); #96967=EDGE_CURVE('',#75724,#75725,#38217,.T.); #96968=EDGE_CURVE('',#75725,#75726,#38218,.T.); #96969=EDGE_CURVE('',#75726,#75727,#38219,.T.); #96970=EDGE_CURVE('',#75727,#75728,#61853,.T.); #96971=EDGE_CURVE('',#75728,#75729,#38220,.T.); #96972=EDGE_CURVE('',#75729,#75730,#38221,.T.); #96973=EDGE_CURVE('',#75730,#75731,#38222,.T.); #96974=EDGE_CURVE('',#75731,#75732,#61854,.T.); #96975=EDGE_CURVE('',#75732,#75733,#38223,.T.); #96976=EDGE_CURVE('',#75733,#75734,#61855,.T.); #96977=EDGE_CURVE('',#75734,#75735,#61856,.T.); #96978=EDGE_CURVE('',#75735,#75736,#61857,.T.); #96979=EDGE_CURVE('',#75736,#75737,#38224,.T.); #96980=EDGE_CURVE('',#75737,#75738,#38225,.T.); #96981=EDGE_CURVE('',#75738,#75739,#61858,.T.); #96982=EDGE_CURVE('',#75739,#75740,#61859,.T.); #96983=EDGE_CURVE('',#75740,#75741,#38226,.T.); #96984=EDGE_CURVE('',#75741,#75742,#61860,.T.); #96985=EDGE_CURVE('',#75742,#75743,#38227,.T.); #96986=EDGE_CURVE('',#75743,#75744,#38228,.T.); #96987=EDGE_CURVE('',#75744,#75745,#61861,.T.); #96988=EDGE_CURVE('',#75745,#75746,#61862,.T.); #96989=EDGE_CURVE('',#75746,#75747,#38229,.T.); #96990=EDGE_CURVE('',#75747,#75748,#38230,.T.); #96991=EDGE_CURVE('',#75748,#75707,#38231,.T.); #96992=EDGE_CURVE('',#75749,#75750,#38232,.T.); #96993=EDGE_CURVE('',#75750,#75751,#38233,.T.); #96994=EDGE_CURVE('',#75751,#75752,#38234,.T.); #96995=EDGE_CURVE('',#75752,#75753,#38235,.T.); #96996=EDGE_CURVE('',#75753,#75754,#38236,.T.); #96997=EDGE_CURVE('',#75754,#75755,#61863,.T.); #96998=EDGE_CURVE('',#75755,#75756,#38237,.T.); #96999=EDGE_CURVE('',#75756,#75757,#38238,.T.); #97000=EDGE_CURVE('',#75757,#75758,#61864,.T.); #97001=EDGE_CURVE('',#75758,#75759,#38239,.T.); #97002=EDGE_CURVE('',#75759,#75760,#61865,.T.); #97003=EDGE_CURVE('',#75760,#75761,#61866,.T.); #97004=EDGE_CURVE('',#75761,#75762,#61867,.T.); #97005=EDGE_CURVE('',#75762,#75763,#38240,.T.); #97006=EDGE_CURVE('',#75763,#75764,#61868,.T.); #97007=EDGE_CURVE('',#75764,#75765,#38241,.T.); #97008=EDGE_CURVE('',#75765,#75766,#38242,.T.); #97009=EDGE_CURVE('',#75766,#75767,#38243,.T.); #97010=EDGE_CURVE('',#75767,#75768,#38244,.T.); #97011=EDGE_CURVE('',#75768,#75769,#38245,.T.); #97012=EDGE_CURVE('',#75769,#75770,#61869,.T.); #97013=EDGE_CURVE('',#75770,#75771,#61870,.T.); #97014=EDGE_CURVE('',#75771,#75772,#61871,.T.); #97015=EDGE_CURVE('',#75772,#75773,#38246,.T.); #97016=EDGE_CURVE('',#75773,#75774,#61872,.T.); #97017=EDGE_CURVE('',#75774,#75775,#61873,.T.); #97018=EDGE_CURVE('',#75775,#75776,#38247,.T.); #97019=EDGE_CURVE('',#75776,#75777,#38248,.T.); #97020=EDGE_CURVE('',#75777,#75778,#38249,.T.); #97021=EDGE_CURVE('',#75778,#75779,#38250,.T.); #97022=EDGE_CURVE('',#75779,#75780,#38251,.T.); #97023=EDGE_CURVE('',#75780,#75781,#38252,.T.); #97024=EDGE_CURVE('',#75781,#75749,#38253,.T.); #97025=EDGE_CURVE('',#75782,#75783,#38254,.T.); #97026=EDGE_CURVE('',#75783,#75784,#38255,.T.); #97027=EDGE_CURVE('',#75784,#75785,#38256,.T.); #97028=EDGE_CURVE('',#75785,#75786,#38257,.T.); #97029=EDGE_CURVE('',#75786,#75787,#38258,.T.); #97030=EDGE_CURVE('',#75787,#75788,#38259,.T.); #97031=EDGE_CURVE('',#75788,#75789,#38260,.T.); #97032=EDGE_CURVE('',#75789,#75790,#38261,.T.); #97033=EDGE_CURVE('',#75790,#75791,#61874,.T.); #97034=EDGE_CURVE('',#75791,#75792,#38262,.T.); #97035=EDGE_CURVE('',#75792,#75793,#38263,.T.); #97036=EDGE_CURVE('',#75793,#75794,#38264,.T.); #97037=EDGE_CURVE('',#75794,#75795,#38265,.T.); #97038=EDGE_CURVE('',#75795,#75796,#38266,.T.); #97039=EDGE_CURVE('',#75796,#75797,#61875,.T.); #97040=EDGE_CURVE('',#75797,#75798,#38267,.T.); #97041=EDGE_CURVE('',#75798,#75799,#38268,.T.); #97042=EDGE_CURVE('',#75799,#75800,#38269,.T.); #97043=EDGE_CURVE('',#75800,#75801,#38270,.T.); #97044=EDGE_CURVE('',#75801,#75802,#38271,.T.); #97045=EDGE_CURVE('',#75802,#75803,#38272,.T.); #97046=EDGE_CURVE('',#75803,#75804,#38273,.T.); #97047=EDGE_CURVE('',#75804,#75805,#38274,.T.); #97048=EDGE_CURVE('',#75805,#75806,#38275,.T.); #97049=EDGE_CURVE('',#75806,#75807,#61876,.T.); #97050=EDGE_CURVE('',#75807,#75808,#38276,.T.); #97051=EDGE_CURVE('',#75808,#75809,#61877,.T.); #97052=EDGE_CURVE('',#75809,#75810,#61878,.T.); #97053=EDGE_CURVE('',#75810,#75811,#38277,.T.); #97054=EDGE_CURVE('',#75811,#75812,#38278,.T.); #97055=EDGE_CURVE('',#75812,#75782,#61879,.T.); #97056=EDGE_CURVE('',#75813,#75814,#38279,.T.); #97057=EDGE_CURVE('',#75814,#75815,#38280,.T.); #97058=EDGE_CURVE('',#75815,#75816,#38281,.T.); #97059=EDGE_CURVE('',#75816,#75817,#38282,.T.); #97060=EDGE_CURVE('',#75817,#75818,#38283,.T.); #97061=EDGE_CURVE('',#75818,#75819,#38284,.T.); #97062=EDGE_CURVE('',#75819,#75820,#61880,.T.); #97063=EDGE_CURVE('',#75820,#75821,#38285,.T.); #97064=EDGE_CURVE('',#75821,#75822,#61881,.T.); #97065=EDGE_CURVE('',#75822,#75823,#38286,.T.); #97066=EDGE_CURVE('',#75823,#75824,#61882,.T.); #97067=EDGE_CURVE('',#75824,#75825,#61883,.T.); #97068=EDGE_CURVE('',#75825,#75826,#38287,.T.); #97069=EDGE_CURVE('',#75826,#75827,#38288,.T.); #97070=EDGE_CURVE('',#75827,#75828,#38289,.T.); #97071=EDGE_CURVE('',#75828,#75829,#38290,.T.); #97072=EDGE_CURVE('',#75829,#75830,#38291,.T.); #97073=EDGE_CURVE('',#75830,#75831,#38292,.T.); #97074=EDGE_CURVE('',#75831,#75832,#38293,.T.); #97075=EDGE_CURVE('',#75832,#75833,#38294,.T.); #97076=EDGE_CURVE('',#75833,#75834,#38295,.T.); #97077=EDGE_CURVE('',#75834,#75835,#38296,.T.); #97078=EDGE_CURVE('',#75835,#75836,#61884,.T.); #97079=EDGE_CURVE('',#75836,#75837,#38297,.T.); #97080=EDGE_CURVE('',#75837,#75838,#38298,.T.); #97081=EDGE_CURVE('',#75838,#75839,#38299,.T.); #97082=EDGE_CURVE('',#75839,#75840,#38300,.T.); #97083=EDGE_CURVE('',#75840,#75841,#38301,.T.); #97084=EDGE_CURVE('',#75841,#75842,#61885,.T.); #97085=EDGE_CURVE('',#75842,#75843,#38302,.T.); #97086=EDGE_CURVE('',#75843,#75813,#61886,.T.); #97087=EDGE_CURVE('',#75844,#75845,#61887,.T.); #97088=EDGE_CURVE('',#75845,#75846,#38303,.T.); #97089=EDGE_CURVE('',#75846,#75847,#38304,.T.); #97090=EDGE_CURVE('',#75847,#75848,#61888,.T.); #97091=EDGE_CURVE('',#75848,#75849,#38305,.T.); #97092=EDGE_CURVE('',#75849,#75850,#61889,.T.); #97093=EDGE_CURVE('',#75850,#75851,#38306,.T.); #97094=EDGE_CURVE('',#75851,#75852,#38307,.T.); #97095=EDGE_CURVE('',#75852,#75853,#61890,.T.); #97096=EDGE_CURVE('',#75853,#75854,#38308,.T.); #97097=EDGE_CURVE('',#75854,#75855,#61891,.T.); #97098=EDGE_CURVE('',#75855,#75856,#38309,.T.); #97099=EDGE_CURVE('',#75856,#75857,#61892,.T.); #97100=EDGE_CURVE('',#75857,#75844,#38310,.T.); #97101=EDGE_CURVE('',#75844,#75858,#38311,.T.); #97102=EDGE_CURVE('',#75858,#75859,#61893,.T.); #97103=EDGE_CURVE('',#75845,#75859,#38312,.T.); #97104=EDGE_CURVE('',#75857,#75860,#38313,.T.); #97105=EDGE_CURVE('',#75860,#75858,#38314,.T.); #97106=EDGE_CURVE('',#75856,#75861,#38315,.T.); #97107=EDGE_CURVE('',#75861,#75860,#61894,.T.); #97108=EDGE_CURVE('',#75855,#75862,#38316,.T.); #97109=EDGE_CURVE('',#75862,#75861,#38317,.T.); #97110=EDGE_CURVE('',#75854,#75863,#38318,.T.); #97111=EDGE_CURVE('',#75863,#75862,#61895,.T.); #97112=EDGE_CURVE('',#75853,#75864,#38319,.T.); #97113=EDGE_CURVE('',#75864,#75863,#38320,.T.); #97114=EDGE_CURVE('',#75852,#75865,#38321,.T.); #97115=EDGE_CURVE('',#75865,#75864,#61896,.T.); #97116=EDGE_CURVE('',#75851,#75866,#38322,.T.); #97117=EDGE_CURVE('',#75866,#75865,#38323,.T.); #97118=EDGE_CURVE('',#75850,#75867,#38324,.T.); #97119=EDGE_CURVE('',#75867,#75866,#38325,.T.); #97120=EDGE_CURVE('',#75849,#75868,#38326,.T.); #97121=EDGE_CURVE('',#75868,#75867,#61897,.T.); #97122=EDGE_CURVE('',#75848,#75869,#38327,.T.); #97123=EDGE_CURVE('',#75869,#75868,#38328,.T.); #97124=EDGE_CURVE('',#75847,#75870,#38329,.T.); #97125=EDGE_CURVE('',#75870,#75869,#61898,.T.); #97126=EDGE_CURVE('',#75846,#75871,#38330,.T.); #97127=EDGE_CURVE('',#75871,#75870,#38331,.T.); #97128=EDGE_CURVE('',#75859,#75871,#38332,.T.); #97129=EDGE_CURVE('',#75872,#75873,#38333,.T.); #97130=EDGE_CURVE('',#75872,#75874,#38334,.T.); #97131=EDGE_CURVE('',#75874,#75875,#38335,.T.); #97132=EDGE_CURVE('',#75873,#75875,#38336,.T.); #97133=EDGE_CURVE('',#75876,#75872,#38337,.T.); #97134=EDGE_CURVE('',#75876,#75877,#38338,.T.); #97135=EDGE_CURVE('',#75877,#75874,#38339,.T.); #97136=EDGE_CURVE('',#75878,#75876,#38340,.T.); #97137=EDGE_CURVE('',#75878,#75879,#38341,.T.); #97138=EDGE_CURVE('',#75879,#75877,#38342,.T.); #97139=EDGE_CURVE('',#75880,#75878,#38343,.T.); #97140=EDGE_CURVE('',#75880,#75881,#38344,.T.); #97141=EDGE_CURVE('',#75881,#75879,#38345,.T.); #97142=EDGE_CURVE('',#75882,#75880,#38346,.T.); #97143=EDGE_CURVE('',#75882,#75883,#38347,.T.); #97144=EDGE_CURVE('',#75883,#75881,#38348,.T.); #97145=EDGE_CURVE('',#75884,#75882,#38349,.T.); #97146=EDGE_CURVE('',#75884,#75885,#38350,.T.); #97147=EDGE_CURVE('',#75885,#75883,#38351,.T.); #97148=EDGE_CURVE('',#75886,#75884,#38352,.T.); #97149=EDGE_CURVE('',#75886,#75887,#38353,.T.); #97150=EDGE_CURVE('',#75887,#75885,#38354,.T.); #97151=EDGE_CURVE('',#75888,#75886,#38355,.T.); #97152=EDGE_CURVE('',#75888,#75889,#38356,.T.); #97153=EDGE_CURVE('',#75889,#75887,#38357,.T.); #97154=EDGE_CURVE('',#75890,#75888,#38358,.T.); #97155=EDGE_CURVE('',#75890,#75891,#38359,.T.); #97156=EDGE_CURVE('',#75891,#75889,#38360,.T.); #97157=EDGE_CURVE('',#75892,#75890,#38361,.T.); #97158=EDGE_CURVE('',#75892,#75893,#38362,.T.); #97159=EDGE_CURVE('',#75893,#75891,#38363,.T.); #97160=EDGE_CURVE('',#75894,#75892,#38364,.T.); #97161=EDGE_CURVE('',#75894,#75895,#38365,.T.); #97162=EDGE_CURVE('',#75895,#75893,#38366,.T.); #97163=EDGE_CURVE('',#75896,#75894,#38367,.T.); #97164=EDGE_CURVE('',#75896,#75897,#38368,.T.); #97165=EDGE_CURVE('',#75897,#75895,#38369,.T.); #97166=EDGE_CURVE('',#75898,#75896,#38370,.T.); #97167=EDGE_CURVE('',#75898,#75899,#38371,.T.); #97168=EDGE_CURVE('',#75899,#75897,#38372,.T.); #97169=EDGE_CURVE('',#75873,#75898,#61899,.T.); #97170=EDGE_CURVE('',#75875,#75899,#61900,.T.); #97171=EDGE_CURVE('',#75813,#75900,#38373,.T.); #97172=EDGE_CURVE('',#75900,#75901,#38374,.T.); #97173=EDGE_CURVE('',#75814,#75901,#38375,.T.); #97174=EDGE_CURVE('',#75843,#75902,#38376,.T.); #97175=EDGE_CURVE('',#75902,#75900,#61901,.T.); #97176=EDGE_CURVE('',#75842,#75903,#38377,.T.); #97177=EDGE_CURVE('',#75903,#75902,#38378,.T.); #97178=EDGE_CURVE('',#75841,#75904,#38379,.T.); #97179=EDGE_CURVE('',#75904,#75903,#61902,.T.); #97180=EDGE_CURVE('',#75840,#75905,#38380,.T.); #97181=EDGE_CURVE('',#75905,#75904,#38381,.T.); #97182=EDGE_CURVE('',#75839,#75906,#38382,.T.); #97183=EDGE_CURVE('',#75906,#75905,#38383,.T.); #97184=EDGE_CURVE('',#75838,#75907,#38384,.T.); #97185=EDGE_CURVE('',#75907,#75906,#38385,.T.); #97186=EDGE_CURVE('',#75837,#75908,#38386,.T.); #97187=EDGE_CURVE('',#75908,#75907,#38387,.T.); #97188=EDGE_CURVE('',#75836,#75909,#38388,.T.); #97189=EDGE_CURVE('',#75909,#75908,#38389,.T.); #97190=EDGE_CURVE('',#75835,#75910,#38390,.T.); #97191=EDGE_CURVE('',#75910,#75909,#61903,.T.); #97192=EDGE_CURVE('',#75834,#75911,#38391,.T.); #97193=EDGE_CURVE('',#75911,#75910,#38392,.T.); #97194=EDGE_CURVE('',#75833,#75912,#38393,.T.); #97195=EDGE_CURVE('',#75912,#75911,#38394,.T.); #97196=EDGE_CURVE('',#75832,#75913,#38395,.T.); #97197=EDGE_CURVE('',#75913,#75912,#38396,.T.); #97198=EDGE_CURVE('',#75831,#75914,#38397,.T.); #97199=EDGE_CURVE('',#75914,#75913,#38398,.T.); #97200=EDGE_CURVE('',#75830,#75915,#38399,.T.); #97201=EDGE_CURVE('',#75915,#75914,#38400,.T.); #97202=EDGE_CURVE('',#75829,#75916,#38401,.T.); #97203=EDGE_CURVE('',#75916,#75915,#38402,.T.); #97204=EDGE_CURVE('',#75828,#75917,#38403,.T.); #97205=EDGE_CURVE('',#75917,#75916,#38404,.T.); #97206=EDGE_CURVE('',#75827,#75918,#38405,.T.); #97207=EDGE_CURVE('',#75918,#75917,#38406,.T.); #97208=EDGE_CURVE('',#75826,#75919,#38407,.T.); #97209=EDGE_CURVE('',#75919,#75918,#38408,.T.); #97210=EDGE_CURVE('',#75825,#75920,#38409,.T.); #97211=EDGE_CURVE('',#75920,#75919,#38410,.T.); #97212=EDGE_CURVE('',#75824,#75921,#38411,.T.); #97213=EDGE_CURVE('',#75921,#75920,#61904,.T.); #97214=EDGE_CURVE('',#75823,#75922,#38412,.T.); #97215=EDGE_CURVE('',#75922,#75921,#61905,.T.); #97216=EDGE_CURVE('',#75822,#75923,#38413,.T.); #97217=EDGE_CURVE('',#75923,#75922,#38414,.T.); #97218=EDGE_CURVE('',#75821,#75924,#38415,.T.); #97219=EDGE_CURVE('',#75924,#75923,#61906,.T.); #97220=EDGE_CURVE('',#75820,#75925,#38416,.T.); #97221=EDGE_CURVE('',#75925,#75924,#38417,.T.); #97222=EDGE_CURVE('',#75819,#75926,#38418,.T.); #97223=EDGE_CURVE('',#75926,#75925,#61907,.T.); #97224=EDGE_CURVE('',#75818,#75927,#38419,.T.); #97225=EDGE_CURVE('',#75927,#75926,#38420,.T.); #97226=EDGE_CURVE('',#75817,#75928,#38421,.T.); #97227=EDGE_CURVE('',#75928,#75927,#38422,.T.); #97228=EDGE_CURVE('',#75816,#75929,#38423,.T.); #97229=EDGE_CURVE('',#75929,#75928,#38424,.T.); #97230=EDGE_CURVE('',#75815,#75930,#38425,.T.); #97231=EDGE_CURVE('',#75930,#75929,#38426,.T.); #97232=EDGE_CURVE('',#75901,#75930,#38427,.T.); #97233=EDGE_CURVE('',#75782,#75931,#38428,.T.); #97234=EDGE_CURVE('',#75931,#75932,#38429,.T.); #97235=EDGE_CURVE('',#75783,#75932,#38430,.T.); #97236=EDGE_CURVE('',#75812,#75933,#38431,.T.); #97237=EDGE_CURVE('',#75933,#75931,#61908,.T.); #97238=EDGE_CURVE('',#75811,#75934,#38432,.T.); #97239=EDGE_CURVE('',#75934,#75933,#38433,.T.); #97240=EDGE_CURVE('',#75810,#75935,#38434,.T.); #97241=EDGE_CURVE('',#75935,#75934,#38435,.T.); #97242=EDGE_CURVE('',#75809,#75936,#38436,.T.); #97243=EDGE_CURVE('',#75936,#75935,#61909,.T.); #97244=EDGE_CURVE('',#75808,#75937,#38437,.T.); #97245=EDGE_CURVE('',#75937,#75936,#61910,.T.); #97246=EDGE_CURVE('',#75807,#75938,#38438,.T.); #97247=EDGE_CURVE('',#75938,#75937,#38439,.T.); #97248=EDGE_CURVE('',#75806,#75939,#38440,.T.); #97249=EDGE_CURVE('',#75939,#75938,#61911,.T.); #97250=EDGE_CURVE('',#75805,#75940,#38441,.T.); #97251=EDGE_CURVE('',#75940,#75939,#38442,.T.); #97252=EDGE_CURVE('',#75804,#75941,#38443,.T.); #97253=EDGE_CURVE('',#75941,#75940,#38444,.T.); #97254=EDGE_CURVE('',#75803,#75942,#38445,.T.); #97255=EDGE_CURVE('',#75942,#75941,#38446,.T.); #97256=EDGE_CURVE('',#75802,#75943,#38447,.T.); #97257=EDGE_CURVE('',#75943,#75942,#38448,.T.); #97258=EDGE_CURVE('',#75801,#75944,#38449,.T.); #97259=EDGE_CURVE('',#75944,#75943,#38450,.T.); #97260=EDGE_CURVE('',#75800,#75945,#38451,.T.); #97261=EDGE_CURVE('',#75945,#75944,#38452,.T.); #97262=EDGE_CURVE('',#75799,#75946,#38453,.T.); #97263=EDGE_CURVE('',#75946,#75945,#38454,.T.); #97264=EDGE_CURVE('',#75798,#75947,#38455,.T.); #97265=EDGE_CURVE('',#75947,#75946,#38456,.T.); #97266=EDGE_CURVE('',#75797,#75948,#38457,.T.); #97267=EDGE_CURVE('',#75948,#75947,#38458,.T.); #97268=EDGE_CURVE('',#75796,#75949,#38459,.T.); #97269=EDGE_CURVE('',#75949,#75948,#61912,.T.); #97270=EDGE_CURVE('',#75795,#75950,#38460,.T.); #97271=EDGE_CURVE('',#75950,#75949,#38461,.T.); #97272=EDGE_CURVE('',#75794,#75951,#38462,.T.); #97273=EDGE_CURVE('',#75951,#75950,#38463,.T.); #97274=EDGE_CURVE('',#75793,#75952,#38464,.T.); #97275=EDGE_CURVE('',#75952,#75951,#38465,.T.); #97276=EDGE_CURVE('',#75792,#75953,#38466,.T.); #97277=EDGE_CURVE('',#75953,#75952,#38467,.T.); #97278=EDGE_CURVE('',#75791,#75954,#38468,.T.); #97279=EDGE_CURVE('',#75954,#75953,#38469,.T.); #97280=EDGE_CURVE('',#75790,#75955,#38470,.T.); #97281=EDGE_CURVE('',#75955,#75954,#61913,.T.); #97282=EDGE_CURVE('',#75789,#75956,#38471,.T.); #97283=EDGE_CURVE('',#75956,#75955,#38472,.T.); #97284=EDGE_CURVE('',#75788,#75957,#38473,.T.); #97285=EDGE_CURVE('',#75957,#75956,#38474,.T.); #97286=EDGE_CURVE('',#75787,#75958,#38475,.T.); #97287=EDGE_CURVE('',#75958,#75957,#38476,.T.); #97288=EDGE_CURVE('',#75786,#75959,#38477,.T.); #97289=EDGE_CURVE('',#75959,#75958,#38478,.T.); #97290=EDGE_CURVE('',#75785,#75960,#38479,.T.); #97291=EDGE_CURVE('',#75960,#75959,#38480,.T.); #97292=EDGE_CURVE('',#75784,#75961,#38481,.T.); #97293=EDGE_CURVE('',#75961,#75960,#38482,.T.); #97294=EDGE_CURVE('',#75932,#75961,#38483,.T.); #97295=EDGE_CURVE('',#75749,#75962,#38484,.T.); #97296=EDGE_CURVE('',#75962,#75963,#38485,.T.); #97297=EDGE_CURVE('',#75750,#75963,#38486,.T.); #97298=EDGE_CURVE('',#75781,#75964,#38487,.T.); #97299=EDGE_CURVE('',#75964,#75962,#38488,.T.); #97300=EDGE_CURVE('',#75780,#75965,#38489,.T.); #97301=EDGE_CURVE('',#75965,#75964,#38490,.T.); #97302=EDGE_CURVE('',#75779,#75966,#38491,.T.); #97303=EDGE_CURVE('',#75966,#75965,#38492,.T.); #97304=EDGE_CURVE('',#75778,#75967,#38493,.T.); #97305=EDGE_CURVE('',#75967,#75966,#38494,.T.); #97306=EDGE_CURVE('',#75777,#75968,#38495,.T.); #97307=EDGE_CURVE('',#75968,#75967,#38496,.T.); #97308=EDGE_CURVE('',#75776,#75969,#38497,.T.); #97309=EDGE_CURVE('',#75969,#75968,#38498,.T.); #97310=EDGE_CURVE('',#75775,#75970,#38499,.T.); #97311=EDGE_CURVE('',#75970,#75969,#38500,.T.); #97312=EDGE_CURVE('',#75774,#75971,#38501,.T.); #97313=EDGE_CURVE('',#75971,#75970,#61914,.T.); #97314=EDGE_CURVE('',#75773,#75972,#38502,.T.); #97315=EDGE_CURVE('',#75972,#75971,#61915,.T.); #97316=EDGE_CURVE('',#75772,#75973,#38503,.T.); #97317=EDGE_CURVE('',#75973,#75972,#38504,.T.); #97318=EDGE_CURVE('',#75771,#75974,#38505,.T.); #97319=EDGE_CURVE('',#75974,#75973,#61916,.T.); #97320=EDGE_CURVE('',#75770,#75975,#38506,.T.); #97321=EDGE_CURVE('',#75975,#75974,#61917,.T.); #97322=EDGE_CURVE('',#75769,#75976,#38507,.T.); #97323=EDGE_CURVE('',#75976,#75975,#61918,.T.); #97324=EDGE_CURVE('',#75768,#75977,#38508,.T.); #97325=EDGE_CURVE('',#75977,#75976,#38509,.T.); #97326=EDGE_CURVE('',#75767,#75978,#38510,.T.); #97327=EDGE_CURVE('',#75978,#75977,#38511,.T.); #97328=EDGE_CURVE('',#75766,#75979,#38512,.T.); #97329=EDGE_CURVE('',#75979,#75978,#38513,.T.); #97330=EDGE_CURVE('',#75765,#75980,#38514,.T.); #97331=EDGE_CURVE('',#75980,#75979,#38515,.T.); #97332=EDGE_CURVE('',#75764,#75981,#38516,.T.); #97333=EDGE_CURVE('',#75981,#75980,#38517,.T.); #97334=EDGE_CURVE('',#75763,#75982,#38518,.T.); #97335=EDGE_CURVE('',#75982,#75981,#61919,.T.); #97336=EDGE_CURVE('',#75762,#75983,#38519,.T.); #97337=EDGE_CURVE('',#75983,#75982,#38520,.T.); #97338=EDGE_CURVE('',#75761,#75984,#38521,.T.); #97339=EDGE_CURVE('',#75984,#75983,#61920,.T.); #97340=EDGE_CURVE('',#75760,#75985,#38522,.T.); #97341=EDGE_CURVE('',#75985,#75984,#61921,.T.); #97342=EDGE_CURVE('',#75759,#75986,#38523,.T.); #97343=EDGE_CURVE('',#75986,#75985,#61922,.T.); #97344=EDGE_CURVE('',#75758,#75987,#38524,.T.); #97345=EDGE_CURVE('',#75987,#75986,#38525,.T.); #97346=EDGE_CURVE('',#75757,#75988,#38526,.T.); #97347=EDGE_CURVE('',#75988,#75987,#61923,.T.); #97348=EDGE_CURVE('',#75756,#75989,#38527,.T.); #97349=EDGE_CURVE('',#75989,#75988,#38528,.T.); #97350=EDGE_CURVE('',#75755,#75990,#38529,.T.); #97351=EDGE_CURVE('',#75990,#75989,#38530,.T.); #97352=EDGE_CURVE('',#75754,#75991,#38531,.T.); #97353=EDGE_CURVE('',#75991,#75990,#61924,.T.); #97354=EDGE_CURVE('',#75753,#75992,#38532,.T.); #97355=EDGE_CURVE('',#75992,#75991,#38533,.T.); #97356=EDGE_CURVE('',#75752,#75993,#38534,.T.); #97357=EDGE_CURVE('',#75993,#75992,#38535,.T.); #97358=EDGE_CURVE('',#75751,#75994,#38536,.T.); #97359=EDGE_CURVE('',#75994,#75993,#38537,.T.); #97360=EDGE_CURVE('',#75963,#75994,#38538,.T.); #97361=EDGE_CURVE('',#75707,#75995,#38539,.T.); #97362=EDGE_CURVE('',#75995,#75996,#38540,.T.); #97363=EDGE_CURVE('',#75708,#75996,#38541,.T.); #97364=EDGE_CURVE('',#75748,#75997,#38542,.T.); #97365=EDGE_CURVE('',#75997,#75995,#38543,.T.); #97366=EDGE_CURVE('',#75747,#75998,#38544,.T.); #97367=EDGE_CURVE('',#75998,#75997,#38545,.T.); #97368=EDGE_CURVE('',#75746,#75999,#38546,.T.); #97369=EDGE_CURVE('',#75999,#75998,#38547,.T.); #97370=EDGE_CURVE('',#75745,#76000,#38548,.T.); #97371=EDGE_CURVE('',#76000,#75999,#61925,.T.); #97372=EDGE_CURVE('',#75744,#76001,#38549,.T.); #97373=EDGE_CURVE('',#76001,#76000,#61926,.T.); #97374=EDGE_CURVE('',#75743,#76002,#38550,.T.); #97375=EDGE_CURVE('',#76002,#76001,#38551,.T.); #97376=EDGE_CURVE('',#75742,#76003,#38552,.T.); #97377=EDGE_CURVE('',#76003,#76002,#38553,.T.); #97378=EDGE_CURVE('',#75741,#76004,#38554,.T.); #97379=EDGE_CURVE('',#76004,#76003,#61927,.T.); #97380=EDGE_CURVE('',#75740,#76005,#38555,.T.); #97381=EDGE_CURVE('',#76005,#76004,#38556,.T.); #97382=EDGE_CURVE('',#75739,#76006,#38557,.T.); #97383=EDGE_CURVE('',#76006,#76005,#61928,.T.); #97384=EDGE_CURVE('',#75738,#76007,#38558,.T.); #97385=EDGE_CURVE('',#76007,#76006,#61929,.T.); #97386=EDGE_CURVE('',#75737,#76008,#38559,.T.); #97387=EDGE_CURVE('',#76008,#76007,#38560,.T.); #97388=EDGE_CURVE('',#75736,#76009,#38561,.T.); #97389=EDGE_CURVE('',#76009,#76008,#38562,.T.); #97390=EDGE_CURVE('',#75735,#76010,#38563,.T.); #97391=EDGE_CURVE('',#76010,#76009,#61930,.T.); #97392=EDGE_CURVE('',#75734,#76011,#38564,.T.); #97393=EDGE_CURVE('',#76011,#76010,#61931,.T.); #97394=EDGE_CURVE('',#75733,#76012,#38565,.T.); #97395=EDGE_CURVE('',#76012,#76011,#61932,.T.); #97396=EDGE_CURVE('',#75732,#76013,#38566,.T.); #97397=EDGE_CURVE('',#76013,#76012,#38567,.T.); #97398=EDGE_CURVE('',#75731,#76014,#38568,.T.); #97399=EDGE_CURVE('',#76014,#76013,#61933,.T.); #97400=EDGE_CURVE('',#75730,#76015,#38569,.T.); #97401=EDGE_CURVE('',#76015,#76014,#38570,.T.); #97402=EDGE_CURVE('',#75729,#76016,#38571,.T.); #97403=EDGE_CURVE('',#76016,#76015,#38572,.T.); #97404=EDGE_CURVE('',#75728,#76017,#38573,.T.); #97405=EDGE_CURVE('',#76017,#76016,#38574,.T.); #97406=EDGE_CURVE('',#75727,#76018,#38575,.T.); #97407=EDGE_CURVE('',#76018,#76017,#61934,.T.); #97408=EDGE_CURVE('',#75726,#76019,#38576,.T.); #97409=EDGE_CURVE('',#76019,#76018,#38577,.T.); #97410=EDGE_CURVE('',#75725,#76020,#38578,.T.); #97411=EDGE_CURVE('',#76020,#76019,#38579,.T.); #97412=EDGE_CURVE('',#75724,#76021,#38580,.T.); #97413=EDGE_CURVE('',#76021,#76020,#38581,.T.); #97414=EDGE_CURVE('',#75723,#76022,#38582,.T.); #97415=EDGE_CURVE('',#76022,#76021,#61935,.T.); #97416=EDGE_CURVE('',#75722,#76023,#38583,.T.); #97417=EDGE_CURVE('',#76023,#76022,#38584,.T.); #97418=EDGE_CURVE('',#75721,#76024,#38585,.T.); #97419=EDGE_CURVE('',#76024,#76023,#38586,.T.); #97420=EDGE_CURVE('',#75720,#76025,#38587,.T.); #97421=EDGE_CURVE('',#76025,#76024,#38588,.T.); #97422=EDGE_CURVE('',#75719,#76026,#38589,.T.); #97423=EDGE_CURVE('',#76026,#76025,#61936,.T.); #97424=EDGE_CURVE('',#75718,#76027,#38590,.T.); #97425=EDGE_CURVE('',#76027,#76026,#38591,.T.); #97426=EDGE_CURVE('',#75717,#76028,#38592,.T.); #97427=EDGE_CURVE('',#76028,#76027,#38593,.T.); #97428=EDGE_CURVE('',#75716,#76029,#38594,.T.); #97429=EDGE_CURVE('',#76029,#76028,#61937,.T.); #97430=EDGE_CURVE('',#75715,#76030,#38595,.T.); #97431=EDGE_CURVE('',#76030,#76029,#38596,.T.); #97432=EDGE_CURVE('',#75714,#76031,#38597,.T.); #97433=EDGE_CURVE('',#76031,#76030,#38598,.T.); #97434=EDGE_CURVE('',#75713,#76032,#38599,.T.); #97435=EDGE_CURVE('',#76032,#76031,#61938,.T.); #97436=EDGE_CURVE('',#75712,#76033,#38600,.T.); #97437=EDGE_CURVE('',#76033,#76032,#61939,.T.); #97438=EDGE_CURVE('',#75711,#76034,#38601,.T.); #97439=EDGE_CURVE('',#76034,#76033,#38602,.T.); #97440=EDGE_CURVE('',#75710,#76035,#38603,.T.); #97441=EDGE_CURVE('',#76035,#76034,#38604,.T.); #97442=EDGE_CURVE('',#75709,#76036,#38605,.T.); #97443=EDGE_CURVE('',#76036,#76035,#61940,.T.); #97444=EDGE_CURVE('',#75996,#76036,#61941,.T.); #97445=EDGE_CURVE('',#76037,#76038,#61942,.T.); #97446=EDGE_CURVE('',#76037,#76039,#38606,.T.); #97447=EDGE_CURVE('',#76039,#76040,#61943,.T.); #97448=EDGE_CURVE('',#76038,#76040,#38607,.T.); #97449=EDGE_CURVE('',#76041,#76037,#61944,.T.); #97450=EDGE_CURVE('',#76041,#76042,#38608,.T.); #97451=EDGE_CURVE('',#76042,#76039,#61945,.T.); #97452=EDGE_CURVE('',#76043,#76041,#61946,.T.); #97453=EDGE_CURVE('',#76043,#76044,#38609,.T.); #97454=EDGE_CURVE('',#76044,#76042,#61947,.T.); #97455=EDGE_CURVE('',#76045,#76043,#38610,.T.); #97456=EDGE_CURVE('',#76045,#76046,#38611,.T.); #97457=EDGE_CURVE('',#76046,#76044,#38612,.T.); #97458=EDGE_CURVE('',#76047,#76045,#61948,.T.); #97459=EDGE_CURVE('',#76047,#76048,#38613,.T.); #97460=EDGE_CURVE('',#76048,#76046,#61949,.T.); #97461=EDGE_CURVE('',#76049,#76047,#61950,.T.); #97462=EDGE_CURVE('',#76049,#76050,#38614,.T.); #97463=EDGE_CURVE('',#76050,#76048,#61951,.T.); #97464=EDGE_CURVE('',#76051,#76049,#61952,.T.); #97465=EDGE_CURVE('',#76051,#76052,#38615,.T.); #97466=EDGE_CURVE('',#76052,#76050,#61953,.T.); #97467=EDGE_CURVE('',#76053,#76051,#61954,.T.); #97468=EDGE_CURVE('',#76053,#76054,#38616,.T.); #97469=EDGE_CURVE('',#76054,#76052,#61955,.T.); #97470=EDGE_CURVE('',#76038,#76053,#61956,.T.); #97471=EDGE_CURVE('',#76040,#76054,#61957,.T.); #97472=EDGE_CURVE('',#75696,#76055,#38617,.T.); #97473=EDGE_CURVE('',#76055,#76056,#38618,.T.); #97474=EDGE_CURVE('',#75697,#76056,#38619,.T.); #97475=EDGE_CURVE('',#75706,#76057,#38620,.T.); #97476=EDGE_CURVE('',#76057,#76055,#61958,.T.); #97477=EDGE_CURVE('',#75705,#76058,#38621,.T.); #97478=EDGE_CURVE('',#76058,#76057,#61959,.T.); #97479=EDGE_CURVE('',#75704,#76059,#38622,.T.); #97480=EDGE_CURVE('',#76059,#76058,#61960,.T.); #97481=EDGE_CURVE('',#75703,#76060,#38623,.T.); #97482=EDGE_CURVE('',#76060,#76059,#61961,.T.); #97483=EDGE_CURVE('',#75702,#76061,#38624,.T.); #97484=EDGE_CURVE('',#76061,#76060,#61962,.T.); #97485=EDGE_CURVE('',#75701,#76062,#38625,.T.); #97486=EDGE_CURVE('',#76062,#76061,#61963,.T.); #97487=EDGE_CURVE('',#75700,#76063,#38626,.T.); #97488=EDGE_CURVE('',#76063,#76062,#61964,.T.); #97489=EDGE_CURVE('',#75699,#76064,#38627,.T.); #97490=EDGE_CURVE('',#76064,#76063,#61965,.T.); #97491=EDGE_CURVE('',#75698,#76065,#38628,.T.); #97492=EDGE_CURVE('',#76065,#76064,#61966,.T.); #97493=EDGE_CURVE('',#76056,#76065,#38629,.T.); #97494=EDGE_CURVE('',#75650,#76066,#38630,.T.); #97495=EDGE_CURVE('',#76066,#76067,#38631,.T.); #97496=EDGE_CURVE('',#75651,#76067,#38632,.T.); #97497=EDGE_CURVE('',#75695,#76068,#38633,.T.); #97498=EDGE_CURVE('',#76068,#76066,#61967,.T.); #97499=EDGE_CURVE('',#75694,#76069,#38634,.T.); #97500=EDGE_CURVE('',#76069,#76068,#61968,.T.); #97501=EDGE_CURVE('',#75693,#76070,#38635,.T.); #97502=EDGE_CURVE('',#76070,#76069,#38636,.T.); #97503=EDGE_CURVE('',#75692,#76071,#38637,.T.); #97504=EDGE_CURVE('',#76071,#76070,#38638,.T.); #97505=EDGE_CURVE('',#75691,#76072,#38639,.T.); #97506=EDGE_CURVE('',#76072,#76071,#38640,.T.); #97507=EDGE_CURVE('',#75690,#76073,#38641,.T.); #97508=EDGE_CURVE('',#76073,#76072,#38642,.T.); #97509=EDGE_CURVE('',#75689,#76074,#38643,.T.); #97510=EDGE_CURVE('',#76074,#76073,#38644,.T.); #97511=EDGE_CURVE('',#75688,#76075,#38645,.T.); #97512=EDGE_CURVE('',#76075,#76074,#61969,.T.); #97513=EDGE_CURVE('',#75687,#76076,#38646,.T.); #97514=EDGE_CURVE('',#76076,#76075,#61970,.T.); #97515=EDGE_CURVE('',#75686,#76077,#38647,.T.); #97516=EDGE_CURVE('',#76077,#76076,#61971,.T.); #97517=EDGE_CURVE('',#75685,#76078,#38648,.T.); #97518=EDGE_CURVE('',#76078,#76077,#38649,.T.); #97519=EDGE_CURVE('',#75684,#76079,#38650,.T.); #97520=EDGE_CURVE('',#76079,#76078,#61972,.T.); #97521=EDGE_CURVE('',#75683,#76080,#38651,.T.); #97522=EDGE_CURVE('',#76080,#76079,#61973,.T.); #97523=EDGE_CURVE('',#75682,#76081,#38652,.T.); #97524=EDGE_CURVE('',#76081,#76080,#61974,.T.); #97525=EDGE_CURVE('',#75681,#76082,#38653,.T.); #97526=EDGE_CURVE('',#76082,#76081,#38654,.T.); #97527=EDGE_CURVE('',#75680,#76083,#38655,.T.); #97528=EDGE_CURVE('',#76083,#76082,#61975,.T.); #97529=EDGE_CURVE('',#75679,#76084,#38656,.T.); #97530=EDGE_CURVE('',#76084,#76083,#38657,.T.); #97531=EDGE_CURVE('',#75678,#76085,#38658,.T.); #97532=EDGE_CURVE('',#76085,#76084,#38659,.T.); #97533=EDGE_CURVE('',#75677,#76086,#38660,.T.); #97534=EDGE_CURVE('',#76086,#76085,#38661,.T.); #97535=EDGE_CURVE('',#75676,#76087,#38662,.T.); #97536=EDGE_CURVE('',#76087,#76086,#38663,.T.); #97537=EDGE_CURVE('',#75675,#76088,#38664,.T.); #97538=EDGE_CURVE('',#76088,#76087,#38665,.T.); #97539=EDGE_CURVE('',#75674,#76089,#38666,.T.); #97540=EDGE_CURVE('',#76089,#76088,#38667,.T.); #97541=EDGE_CURVE('',#75673,#76090,#38668,.T.); #97542=EDGE_CURVE('',#76090,#76089,#38669,.T.); #97543=EDGE_CURVE('',#75672,#76091,#38670,.T.); #97544=EDGE_CURVE('',#76091,#76090,#61976,.T.); #97545=EDGE_CURVE('',#75671,#76092,#38671,.T.); #97546=EDGE_CURVE('',#76092,#76091,#38672,.T.); #97547=EDGE_CURVE('',#75670,#76093,#38673,.T.); #97548=EDGE_CURVE('',#76093,#76092,#38674,.T.); #97549=EDGE_CURVE('',#75669,#76094,#38675,.T.); #97550=EDGE_CURVE('',#76094,#76093,#38676,.T.); #97551=EDGE_CURVE('',#75668,#76095,#38677,.T.); #97552=EDGE_CURVE('',#76095,#76094,#61977,.T.); #97553=EDGE_CURVE('',#75667,#76096,#38678,.T.); #97554=EDGE_CURVE('',#76096,#76095,#38679,.T.); #97555=EDGE_CURVE('',#75666,#76097,#38680,.T.); #97556=EDGE_CURVE('',#76097,#76096,#61978,.T.); #97557=EDGE_CURVE('',#75665,#76098,#38681,.T.); #97558=EDGE_CURVE('',#76098,#76097,#61979,.T.); #97559=EDGE_CURVE('',#75664,#76099,#38682,.T.); #97560=EDGE_CURVE('',#76099,#76098,#38683,.T.); #97561=EDGE_CURVE('',#75663,#76100,#38684,.T.); #97562=EDGE_CURVE('',#76100,#76099,#61980,.T.); #97563=EDGE_CURVE('',#75662,#76101,#38685,.T.); #97564=EDGE_CURVE('',#76101,#76100,#61981,.T.); #97565=EDGE_CURVE('',#75661,#76102,#38686,.T.); #97566=EDGE_CURVE('',#76102,#76101,#38687,.T.); #97567=EDGE_CURVE('',#75660,#76103,#38688,.T.); #97568=EDGE_CURVE('',#76103,#76102,#61982,.T.); #97569=EDGE_CURVE('',#75659,#76104,#38689,.T.); #97570=EDGE_CURVE('',#76104,#76103,#38690,.T.); #97571=EDGE_CURVE('',#75658,#76105,#38691,.T.); #97572=EDGE_CURVE('',#76105,#76104,#38692,.T.); #97573=EDGE_CURVE('',#75657,#76106,#38693,.T.); #97574=EDGE_CURVE('',#76106,#76105,#38694,.T.); #97575=EDGE_CURVE('',#75656,#76107,#38695,.T.); #97576=EDGE_CURVE('',#76107,#76106,#61983,.T.); #97577=EDGE_CURVE('',#75655,#76108,#38696,.T.); #97578=EDGE_CURVE('',#76108,#76107,#38697,.T.); #97579=EDGE_CURVE('',#75654,#76109,#38698,.T.); #97580=EDGE_CURVE('',#76109,#76108,#38699,.T.); #97581=EDGE_CURVE('',#75653,#76110,#38700,.T.); #97582=EDGE_CURVE('',#76110,#76109,#38701,.T.); #97583=EDGE_CURVE('',#75652,#76111,#38702,.T.); #97584=EDGE_CURVE('',#76111,#76110,#38703,.T.); #97585=EDGE_CURVE('',#76067,#76111,#61984,.T.); #97586=EDGE_CURVE('',#75632,#76112,#38704,.T.); #97587=EDGE_CURVE('',#76112,#76113,#38705,.T.); #97588=EDGE_CURVE('',#75633,#76113,#38706,.T.); #97589=EDGE_CURVE('',#75649,#76114,#38707,.T.); #97590=EDGE_CURVE('',#76114,#76112,#38708,.T.); #97591=EDGE_CURVE('',#75648,#76115,#38709,.T.); #97592=EDGE_CURVE('',#76115,#76114,#38710,.T.); #97593=EDGE_CURVE('',#75647,#76116,#38711,.T.); #97594=EDGE_CURVE('',#76116,#76115,#38712,.T.); #97595=EDGE_CURVE('',#75646,#76117,#38713,.T.); #97596=EDGE_CURVE('',#76117,#76116,#38714,.T.); #97597=EDGE_CURVE('',#75645,#76118,#38715,.T.); #97598=EDGE_CURVE('',#76118,#76117,#38716,.T.); #97599=EDGE_CURVE('',#75644,#76119,#38717,.T.); #97600=EDGE_CURVE('',#76119,#76118,#61985,.T.); #97601=EDGE_CURVE('',#75643,#76120,#38718,.T.); #97602=EDGE_CURVE('',#76120,#76119,#38719,.T.); #97603=EDGE_CURVE('',#75642,#76121,#38720,.T.); #97604=EDGE_CURVE('',#76121,#76120,#61986,.T.); #97605=EDGE_CURVE('',#75641,#76122,#38721,.T.); #97606=EDGE_CURVE('',#76122,#76121,#38722,.T.); #97607=EDGE_CURVE('',#75640,#76123,#38723,.T.); #97608=EDGE_CURVE('',#76123,#76122,#38724,.T.); #97609=EDGE_CURVE('',#75639,#76124,#38725,.T.); #97610=EDGE_CURVE('',#76124,#76123,#61987,.T.); #97611=EDGE_CURVE('',#75638,#76125,#38726,.T.); #97612=EDGE_CURVE('',#76125,#76124,#38727,.T.); #97613=EDGE_CURVE('',#75637,#76126,#38728,.T.); #97614=EDGE_CURVE('',#76126,#76125,#61988,.T.); #97615=EDGE_CURVE('',#75636,#76127,#38729,.T.); #97616=EDGE_CURVE('',#76127,#76126,#38730,.T.); #97617=EDGE_CURVE('',#75635,#76128,#38731,.T.); #97618=EDGE_CURVE('',#76128,#76127,#61989,.T.); #97619=EDGE_CURVE('',#75634,#76129,#38732,.T.); #97620=EDGE_CURVE('',#76129,#76128,#38733,.T.); #97621=EDGE_CURVE('',#76113,#76129,#61990,.T.); #97622=EDGE_CURVE('',#75618,#76130,#38734,.T.); #97623=EDGE_CURVE('',#76130,#76131,#61991,.T.); #97624=EDGE_CURVE('',#75619,#76131,#38735,.T.); #97625=EDGE_CURVE('',#75631,#76132,#38736,.T.); #97626=EDGE_CURVE('',#76132,#76130,#38737,.T.); #97627=EDGE_CURVE('',#75630,#76133,#38738,.T.); #97628=EDGE_CURVE('',#76133,#76132,#61992,.T.); #97629=EDGE_CURVE('',#75629,#76134,#38739,.T.); #97630=EDGE_CURVE('',#76134,#76133,#38740,.T.); #97631=EDGE_CURVE('',#75628,#76135,#38741,.T.); #97632=EDGE_CURVE('',#76135,#76134,#61993,.T.); #97633=EDGE_CURVE('',#75627,#76136,#38742,.T.); #97634=EDGE_CURVE('',#76136,#76135,#38743,.T.); #97635=EDGE_CURVE('',#75626,#76137,#38744,.T.); #97636=EDGE_CURVE('',#76137,#76136,#38745,.T.); #97637=EDGE_CURVE('',#75625,#76138,#38746,.T.); #97638=EDGE_CURVE('',#76138,#76137,#61994,.T.); #97639=EDGE_CURVE('',#75624,#76139,#38747,.T.); #97640=EDGE_CURVE('',#76139,#76138,#38748,.T.); #97641=EDGE_CURVE('',#75623,#76140,#38749,.T.); #97642=EDGE_CURVE('',#76140,#76139,#61995,.T.); #97643=EDGE_CURVE('',#75622,#76141,#38750,.T.); #97644=EDGE_CURVE('',#76141,#76140,#38751,.T.); #97645=EDGE_CURVE('',#75621,#76142,#38752,.T.); #97646=EDGE_CURVE('',#76142,#76141,#38753,.T.); #97647=EDGE_CURVE('',#75620,#76143,#38754,.T.); #97648=EDGE_CURVE('',#76143,#76142,#61996,.T.); #97649=EDGE_CURVE('',#76131,#76143,#38755,.T.); #97650=EDGE_CURVE('',#75595,#76144,#38756,.T.); #97651=EDGE_CURVE('',#76144,#76145,#61997,.T.); #97652=EDGE_CURVE('',#75596,#76145,#38757,.T.); #97653=EDGE_CURVE('',#75617,#76146,#38758,.T.); #97654=EDGE_CURVE('',#76146,#76144,#38759,.T.); #97655=EDGE_CURVE('',#75616,#76147,#38760,.T.); #97656=EDGE_CURVE('',#76147,#76146,#38761,.T.); #97657=EDGE_CURVE('',#75615,#76148,#38762,.T.); #97658=EDGE_CURVE('',#76148,#76147,#61998,.T.); #97659=EDGE_CURVE('',#75614,#76149,#38763,.T.); #97660=EDGE_CURVE('',#76149,#76148,#38764,.T.); #97661=EDGE_CURVE('',#75613,#76150,#38765,.T.); #97662=EDGE_CURVE('',#76150,#76149,#61999,.T.); #97663=EDGE_CURVE('',#75612,#76151,#38766,.T.); #97664=EDGE_CURVE('',#76151,#76150,#38767,.T.); #97665=EDGE_CURVE('',#75611,#76152,#38768,.T.); #97666=EDGE_CURVE('',#76152,#76151,#38769,.T.); #97667=EDGE_CURVE('',#75610,#76153,#38770,.T.); #97668=EDGE_CURVE('',#76153,#76152,#62000,.T.); #97669=EDGE_CURVE('',#75609,#76154,#38771,.T.); #97670=EDGE_CURVE('',#76154,#76153,#38772,.T.); #97671=EDGE_CURVE('',#75608,#76155,#38773,.T.); #97672=EDGE_CURVE('',#76155,#76154,#62001,.T.); #97673=EDGE_CURVE('',#75607,#76156,#38774,.T.); #97674=EDGE_CURVE('',#76156,#76155,#38775,.T.); #97675=EDGE_CURVE('',#75606,#76157,#38776,.T.); #97676=EDGE_CURVE('',#76157,#76156,#62002,.T.); #97677=EDGE_CURVE('',#75605,#76158,#38777,.T.); #97678=EDGE_CURVE('',#76158,#76157,#38778,.T.); #97679=EDGE_CURVE('',#75604,#76159,#38779,.T.); #97680=EDGE_CURVE('',#76159,#76158,#62003,.T.); #97681=EDGE_CURVE('',#75603,#76160,#38780,.T.); #97682=EDGE_CURVE('',#76160,#76159,#38781,.T.); #97683=EDGE_CURVE('',#75602,#76161,#38782,.T.); #97684=EDGE_CURVE('',#76161,#76160,#38783,.T.); #97685=EDGE_CURVE('',#75601,#76162,#38784,.T.); #97686=EDGE_CURVE('',#76162,#76161,#62004,.T.); #97687=EDGE_CURVE('',#75600,#76163,#38785,.T.); #97688=EDGE_CURVE('',#76163,#76162,#38786,.T.); #97689=EDGE_CURVE('',#75599,#76164,#38787,.T.); #97690=EDGE_CURVE('',#76164,#76163,#62005,.T.); #97691=EDGE_CURVE('',#75598,#76165,#38788,.T.); #97692=EDGE_CURVE('',#76165,#76164,#38789,.T.); #97693=EDGE_CURVE('',#75597,#76166,#38790,.T.); #97694=EDGE_CURVE('',#76166,#76165,#62006,.T.); #97695=EDGE_CURVE('',#76145,#76166,#38791,.T.); #97696=EDGE_CURVE('',#75513,#76167,#38792,.T.); #97697=EDGE_CURVE('',#76167,#76168,#38793,.T.); #97698=EDGE_CURVE('',#75514,#76168,#38794,.T.); #97699=EDGE_CURVE('',#75594,#76169,#38795,.T.); #97700=EDGE_CURVE('',#76169,#76167,#38796,.T.); #97701=EDGE_CURVE('',#75593,#76170,#38797,.T.); #97702=EDGE_CURVE('',#76170,#76169,#38798,.T.); #97703=EDGE_CURVE('',#75592,#76171,#38799,.T.); #97704=EDGE_CURVE('',#76171,#76170,#38800,.T.); #97705=EDGE_CURVE('',#75591,#76172,#38801,.T.); #97706=EDGE_CURVE('',#76172,#76171,#62007,.T.); #97707=EDGE_CURVE('',#75590,#76173,#38802,.T.); #97708=EDGE_CURVE('',#76173,#76172,#62008,.T.); #97709=EDGE_CURVE('',#75589,#76174,#38803,.T.); #97710=EDGE_CURVE('',#76174,#76173,#38804,.T.); #97711=EDGE_CURVE('',#75588,#76175,#38805,.T.); #97712=EDGE_CURVE('',#76175,#76174,#38806,.T.); #97713=EDGE_CURVE('',#75587,#76176,#38807,.T.); #97714=EDGE_CURVE('',#76176,#76175,#38808,.T.); #97715=EDGE_CURVE('',#75586,#76177,#38809,.T.); #97716=EDGE_CURVE('',#76177,#76176,#38810,.T.); #97717=EDGE_CURVE('',#75585,#76178,#38811,.T.); #97718=EDGE_CURVE('',#76178,#76177,#62009,.T.); #97719=EDGE_CURVE('',#75584,#76179,#38812,.T.); #97720=EDGE_CURVE('',#76179,#76178,#38813,.T.); #97721=EDGE_CURVE('',#75583,#76180,#38814,.T.); #97722=EDGE_CURVE('',#76180,#76179,#38815,.T.); #97723=EDGE_CURVE('',#75582,#76181,#38816,.T.); #97724=EDGE_CURVE('',#76181,#76180,#62010,.T.); #97725=EDGE_CURVE('',#75581,#76182,#38817,.T.); #97726=EDGE_CURVE('',#76182,#76181,#38818,.T.); #97727=EDGE_CURVE('',#75580,#76183,#38819,.T.); #97728=EDGE_CURVE('',#76183,#76182,#38820,.T.); #97729=EDGE_CURVE('',#75579,#76184,#38821,.T.); #97730=EDGE_CURVE('',#76184,#76183,#62011,.T.); #97731=EDGE_CURVE('',#75578,#76185,#38822,.T.); #97732=EDGE_CURVE('',#76185,#76184,#62012,.T.); #97733=EDGE_CURVE('',#75577,#76186,#38823,.T.); #97734=EDGE_CURVE('',#76186,#76185,#38824,.T.); #97735=EDGE_CURVE('',#75576,#76187,#38825,.T.); #97736=EDGE_CURVE('',#76187,#76186,#38826,.T.); #97737=EDGE_CURVE('',#75575,#76188,#38827,.T.); #97738=EDGE_CURVE('',#76188,#76187,#62013,.T.); #97739=EDGE_CURVE('',#75574,#76189,#38828,.T.); #97740=EDGE_CURVE('',#76189,#76188,#38829,.T.); #97741=EDGE_CURVE('',#75573,#76190,#38830,.T.); #97742=EDGE_CURVE('',#76190,#76189,#38831,.T.); #97743=EDGE_CURVE('',#75572,#76191,#38832,.T.); #97744=EDGE_CURVE('',#76191,#76190,#38833,.T.); #97745=EDGE_CURVE('',#75571,#76192,#38834,.T.); #97746=EDGE_CURVE('',#76192,#76191,#38835,.T.); #97747=EDGE_CURVE('',#75570,#76193,#38836,.T.); #97748=EDGE_CURVE('',#76193,#76192,#38837,.T.); #97749=EDGE_CURVE('',#75569,#76194,#38838,.T.); #97750=EDGE_CURVE('',#76194,#76193,#38839,.T.); #97751=EDGE_CURVE('',#75568,#76195,#38840,.T.); #97752=EDGE_CURVE('',#76195,#76194,#38841,.T.); #97753=EDGE_CURVE('',#75567,#76196,#38842,.T.); #97754=EDGE_CURVE('',#76196,#76195,#62014,.T.); #97755=EDGE_CURVE('',#75566,#76197,#38843,.T.); #97756=EDGE_CURVE('',#76197,#76196,#38844,.T.); #97757=EDGE_CURVE('',#75565,#76198,#38845,.T.); #97758=EDGE_CURVE('',#76198,#76197,#38846,.T.); #97759=EDGE_CURVE('',#75564,#76199,#38847,.T.); #97760=EDGE_CURVE('',#76199,#76198,#38848,.T.); #97761=EDGE_CURVE('',#75563,#76200,#38849,.T.); #97762=EDGE_CURVE('',#76200,#76199,#62015,.T.); #97763=EDGE_CURVE('',#75562,#76201,#38850,.T.); #97764=EDGE_CURVE('',#76201,#76200,#38851,.T.); #97765=EDGE_CURVE('',#75561,#76202,#38852,.T.); #97766=EDGE_CURVE('',#76202,#76201,#38853,.T.); #97767=EDGE_CURVE('',#75560,#76203,#38854,.T.); #97768=EDGE_CURVE('',#76203,#76202,#38855,.T.); #97769=EDGE_CURVE('',#75559,#76204,#38856,.T.); #97770=EDGE_CURVE('',#76204,#76203,#38857,.T.); #97771=EDGE_CURVE('',#75558,#76205,#38858,.T.); #97772=EDGE_CURVE('',#76205,#76204,#62016,.T.); #97773=EDGE_CURVE('',#75557,#76206,#38859,.T.); #97774=EDGE_CURVE('',#76206,#76205,#38860,.T.); #97775=EDGE_CURVE('',#75556,#76207,#38861,.T.); #97776=EDGE_CURVE('',#76207,#76206,#38862,.T.); #97777=EDGE_CURVE('',#75555,#76208,#38863,.T.); #97778=EDGE_CURVE('',#76208,#76207,#38864,.T.); #97779=EDGE_CURVE('',#75554,#76209,#38865,.T.); #97780=EDGE_CURVE('',#76209,#76208,#38866,.T.); #97781=EDGE_CURVE('',#75553,#76210,#38867,.T.); #97782=EDGE_CURVE('',#76210,#76209,#62017,.T.); #97783=EDGE_CURVE('',#75552,#76211,#38868,.T.); #97784=EDGE_CURVE('',#76211,#76210,#38869,.T.); #97785=EDGE_CURVE('',#75551,#76212,#38870,.T.); #97786=EDGE_CURVE('',#76212,#76211,#38871,.T.); #97787=EDGE_CURVE('',#75550,#76213,#38872,.T.); #97788=EDGE_CURVE('',#76213,#76212,#38873,.T.); #97789=EDGE_CURVE('',#75549,#76214,#38874,.T.); #97790=EDGE_CURVE('',#76214,#76213,#62018,.T.); #97791=EDGE_CURVE('',#75548,#76215,#38875,.T.); #97792=EDGE_CURVE('',#76215,#76214,#38876,.T.); #97793=EDGE_CURVE('',#75547,#76216,#38877,.T.); #97794=EDGE_CURVE('',#76216,#76215,#38878,.T.); #97795=EDGE_CURVE('',#75546,#76217,#38879,.T.); #97796=EDGE_CURVE('',#76217,#76216,#62019,.T.); #97797=EDGE_CURVE('',#75545,#76218,#38880,.T.); #97798=EDGE_CURVE('',#76218,#76217,#38881,.T.); #97799=EDGE_CURVE('',#75544,#76219,#38882,.T.); #97800=EDGE_CURVE('',#76219,#76218,#38883,.T.); #97801=EDGE_CURVE('',#75543,#76220,#38884,.T.); #97802=EDGE_CURVE('',#76220,#76219,#38885,.T.); #97803=EDGE_CURVE('',#75542,#76221,#38886,.T.); #97804=EDGE_CURVE('',#76221,#76220,#62020,.T.); #97805=EDGE_CURVE('',#75541,#76222,#38887,.T.); #97806=EDGE_CURVE('',#76222,#76221,#62021,.T.); #97807=EDGE_CURVE('',#75540,#76223,#38888,.T.); #97808=EDGE_CURVE('',#76223,#76222,#38889,.T.); #97809=EDGE_CURVE('',#75539,#76224,#38890,.T.); #97810=EDGE_CURVE('',#76224,#76223,#38891,.T.); #97811=EDGE_CURVE('',#75538,#76225,#38892,.T.); #97812=EDGE_CURVE('',#76225,#76224,#62022,.T.); #97813=EDGE_CURVE('',#75537,#76226,#38893,.T.); #97814=EDGE_CURVE('',#76226,#76225,#62023,.T.); #97815=EDGE_CURVE('',#75536,#76227,#38894,.T.); #97816=EDGE_CURVE('',#76227,#76226,#38895,.T.); #97817=EDGE_CURVE('',#75535,#76228,#38896,.T.); #97818=EDGE_CURVE('',#76228,#76227,#62024,.T.); #97819=EDGE_CURVE('',#75534,#76229,#38897,.T.); #97820=EDGE_CURVE('',#76229,#76228,#62025,.T.); #97821=EDGE_CURVE('',#75533,#76230,#38898,.T.); #97822=EDGE_CURVE('',#76230,#76229,#38899,.T.); #97823=EDGE_CURVE('',#75532,#76231,#38900,.T.); #97824=EDGE_CURVE('',#76231,#76230,#38901,.T.); #97825=EDGE_CURVE('',#75531,#76232,#38902,.T.); #97826=EDGE_CURVE('',#76232,#76231,#62026,.T.); #97827=EDGE_CURVE('',#75530,#76233,#38903,.T.); #97828=EDGE_CURVE('',#76233,#76232,#62027,.T.); #97829=EDGE_CURVE('',#75529,#76234,#38904,.T.); #97830=EDGE_CURVE('',#76234,#76233,#38905,.T.); #97831=EDGE_CURVE('',#75528,#76235,#38906,.T.); #97832=EDGE_CURVE('',#76235,#76234,#38907,.T.); #97833=EDGE_CURVE('',#75527,#76236,#38908,.T.); #97834=EDGE_CURVE('',#76236,#76235,#38909,.T.); #97835=EDGE_CURVE('',#75526,#76237,#38910,.T.); #97836=EDGE_CURVE('',#76237,#76236,#62028,.T.); #97837=EDGE_CURVE('',#75525,#76238,#38911,.T.); #97838=EDGE_CURVE('',#76238,#76237,#38912,.T.); #97839=EDGE_CURVE('',#75524,#76239,#38913,.T.); #97840=EDGE_CURVE('',#76239,#76238,#38914,.T.); #97841=EDGE_CURVE('',#75523,#76240,#38915,.T.); #97842=EDGE_CURVE('',#76240,#76239,#38916,.T.); #97843=EDGE_CURVE('',#75522,#76241,#38917,.T.); #97844=EDGE_CURVE('',#76241,#76240,#38918,.T.); #97845=EDGE_CURVE('',#75521,#76242,#38919,.T.); #97846=EDGE_CURVE('',#76242,#76241,#38920,.T.); #97847=EDGE_CURVE('',#75520,#76243,#38921,.T.); #97848=EDGE_CURVE('',#76243,#76242,#62029,.T.); #97849=EDGE_CURVE('',#75519,#76244,#38922,.T.); #97850=EDGE_CURVE('',#76244,#76243,#38923,.T.); #97851=EDGE_CURVE('',#75518,#76245,#38924,.T.); #97852=EDGE_CURVE('',#76245,#76244,#38925,.T.); #97853=EDGE_CURVE('',#75517,#76246,#38926,.T.); #97854=EDGE_CURVE('',#76246,#76245,#38927,.T.); #97855=EDGE_CURVE('',#75516,#76247,#38928,.T.); #97856=EDGE_CURVE('',#76247,#76246,#38929,.T.); #97857=EDGE_CURVE('',#75515,#76248,#38930,.T.); #97858=EDGE_CURVE('',#76248,#76247,#38931,.T.); #97859=EDGE_CURVE('',#76168,#76248,#38932,.T.); #97860=EDGE_CURVE('',#75489,#76249,#38933,.T.); #97861=EDGE_CURVE('',#76249,#76250,#38934,.T.); #97862=EDGE_CURVE('',#75490,#76250,#38935,.T.); #97863=EDGE_CURVE('',#75512,#76251,#38936,.T.); #97864=EDGE_CURVE('',#76251,#76249,#62030,.T.); #97865=EDGE_CURVE('',#75511,#76252,#38937,.T.); #97866=EDGE_CURVE('',#76252,#76251,#38938,.T.); #97867=EDGE_CURVE('',#75510,#76253,#38939,.T.); #97868=EDGE_CURVE('',#76253,#76252,#38940,.T.); #97869=EDGE_CURVE('',#75509,#76254,#38941,.T.); #97870=EDGE_CURVE('',#76254,#76253,#38942,.T.); #97871=EDGE_CURVE('',#75508,#76255,#38943,.T.); #97872=EDGE_CURVE('',#76255,#76254,#38944,.T.); #97873=EDGE_CURVE('',#75507,#76256,#38945,.T.); #97874=EDGE_CURVE('',#76256,#76255,#62031,.T.); #97875=EDGE_CURVE('',#75506,#76257,#38946,.T.); #97876=EDGE_CURVE('',#76257,#76256,#38947,.T.); #97877=EDGE_CURVE('',#75505,#76258,#38948,.T.); #97878=EDGE_CURVE('',#76258,#76257,#38949,.T.); #97879=EDGE_CURVE('',#75504,#76259,#38950,.T.); #97880=EDGE_CURVE('',#76259,#76258,#38951,.T.); #97881=EDGE_CURVE('',#75503,#76260,#38952,.T.); #97882=EDGE_CURVE('',#76260,#76259,#62032,.T.); #97883=EDGE_CURVE('',#75502,#76261,#38953,.T.); #97884=EDGE_CURVE('',#76261,#76260,#38954,.T.); #97885=EDGE_CURVE('',#75501,#76262,#38955,.T.); #97886=EDGE_CURVE('',#76262,#76261,#38956,.T.); #97887=EDGE_CURVE('',#75500,#76263,#38957,.T.); #97888=EDGE_CURVE('',#76263,#76262,#38958,.T.); #97889=EDGE_CURVE('',#75499,#76264,#38959,.T.); #97890=EDGE_CURVE('',#76264,#76263,#38960,.T.); #97891=EDGE_CURVE('',#75498,#76265,#38961,.T.); #97892=EDGE_CURVE('',#76265,#76264,#62033,.T.); #97893=EDGE_CURVE('',#75497,#76266,#38962,.T.); #97894=EDGE_CURVE('',#76266,#76265,#38963,.T.); #97895=EDGE_CURVE('',#75496,#76267,#38964,.T.); #97896=EDGE_CURVE('',#76267,#76266,#38965,.T.); #97897=EDGE_CURVE('',#75495,#76268,#38966,.T.); #97898=EDGE_CURVE('',#76268,#76267,#38967,.T.); #97899=EDGE_CURVE('',#75494,#76269,#38968,.T.); #97900=EDGE_CURVE('',#76269,#76268,#38969,.T.); #97901=EDGE_CURVE('',#75493,#76270,#38970,.T.); #97902=EDGE_CURVE('',#76270,#76269,#38971,.T.); #97903=EDGE_CURVE('',#75492,#76271,#38972,.T.); #97904=EDGE_CURVE('',#76271,#76270,#38973,.T.); #97905=EDGE_CURVE('',#75491,#76272,#38974,.T.); #97906=EDGE_CURVE('',#76272,#76271,#38975,.T.); #97907=EDGE_CURVE('',#76250,#76272,#38976,.T.); #97908=EDGE_CURVE('',#76273,#76274,#38977,.T.); #97909=EDGE_CURVE('',#76273,#76275,#38978,.T.); #97910=EDGE_CURVE('',#76275,#76276,#38979,.T.); #97911=EDGE_CURVE('',#76274,#76276,#38980,.T.); #97912=EDGE_CURVE('',#76277,#76273,#38981,.T.); #97913=EDGE_CURVE('',#76277,#76278,#38982,.T.); #97914=EDGE_CURVE('',#76278,#76275,#38983,.T.); #97915=EDGE_CURVE('',#76279,#76277,#38984,.T.); #97916=EDGE_CURVE('',#76279,#76280,#38985,.T.); #97917=EDGE_CURVE('',#76280,#76278,#38986,.T.); #97918=EDGE_CURVE('',#76281,#76279,#38987,.T.); #97919=EDGE_CURVE('',#76281,#76282,#38988,.T.); #97920=EDGE_CURVE('',#76282,#76280,#38989,.T.); #97921=EDGE_CURVE('',#76283,#76281,#62034,.T.); #97922=EDGE_CURVE('',#76283,#76284,#38990,.T.); #97923=EDGE_CURVE('',#76284,#76282,#62035,.T.); #97924=EDGE_CURVE('',#76285,#76283,#62036,.T.); #97925=EDGE_CURVE('',#76285,#76286,#38991,.T.); #97926=EDGE_CURVE('',#76286,#76284,#62037,.T.); #97927=EDGE_CURVE('',#76287,#76285,#62038,.T.); #97928=EDGE_CURVE('',#76287,#76288,#38992,.T.); #97929=EDGE_CURVE('',#76288,#76286,#62039,.T.); #97930=EDGE_CURVE('',#76289,#76287,#62040,.T.); #97931=EDGE_CURVE('',#76289,#76290,#38993,.T.); #97932=EDGE_CURVE('',#76290,#76288,#62041,.T.); #97933=EDGE_CURVE('',#76274,#76289,#62042,.T.); #97934=EDGE_CURVE('',#76276,#76290,#62043,.T.); #97935=EDGE_CURVE('',#75458,#76291,#38994,.T.); #97936=EDGE_CURVE('',#76291,#76292,#62044,.T.); #97937=EDGE_CURVE('',#75459,#76292,#38995,.T.); #97938=EDGE_CURVE('',#75488,#76293,#38996,.T.); #97939=EDGE_CURVE('',#76293,#76291,#38997,.T.); #97940=EDGE_CURVE('',#75487,#76294,#38998,.T.); #97941=EDGE_CURVE('',#76294,#76293,#62045,.T.); #97942=EDGE_CURVE('',#75486,#76295,#38999,.T.); #97943=EDGE_CURVE('',#76295,#76294,#62046,.T.); #97944=EDGE_CURVE('',#75485,#76296,#39000,.T.); #97945=EDGE_CURVE('',#76296,#76295,#39001,.T.); #97946=EDGE_CURVE('',#75484,#76297,#39002,.T.); #97947=EDGE_CURVE('',#76297,#76296,#39003,.T.); #97948=EDGE_CURVE('',#75483,#76298,#39004,.T.); #97949=EDGE_CURVE('',#76298,#76297,#39005,.T.); #97950=EDGE_CURVE('',#75482,#76299,#39006,.T.); #97951=EDGE_CURVE('',#76299,#76298,#39007,.T.); #97952=EDGE_CURVE('',#75481,#76300,#39008,.T.); #97953=EDGE_CURVE('',#76300,#76299,#39009,.T.); #97954=EDGE_CURVE('',#75480,#76301,#39010,.T.); #97955=EDGE_CURVE('',#76301,#76300,#39011,.T.); #97956=EDGE_CURVE('',#75479,#76302,#39012,.T.); #97957=EDGE_CURVE('',#76302,#76301,#39013,.T.); #97958=EDGE_CURVE('',#75478,#76303,#39014,.T.); #97959=EDGE_CURVE('',#76303,#76302,#62047,.T.); #97960=EDGE_CURVE('',#75477,#76304,#39015,.T.); #97961=EDGE_CURVE('',#76304,#76303,#39016,.T.); #97962=EDGE_CURVE('',#75476,#76305,#39017,.T.); #97963=EDGE_CURVE('',#76305,#76304,#62048,.T.); #97964=EDGE_CURVE('',#75475,#76306,#39018,.T.); #97965=EDGE_CURVE('',#76306,#76305,#62049,.T.); #97966=EDGE_CURVE('',#75474,#76307,#39019,.T.); #97967=EDGE_CURVE('',#76307,#76306,#39020,.T.); #97968=EDGE_CURVE('',#75473,#76308,#39021,.T.); #97969=EDGE_CURVE('',#76308,#76307,#62050,.T.); #97970=EDGE_CURVE('',#75472,#76309,#39022,.T.); #97971=EDGE_CURVE('',#76309,#76308,#62051,.T.); #97972=EDGE_CURVE('',#75471,#76310,#39023,.T.); #97973=EDGE_CURVE('',#76310,#76309,#62052,.T.); #97974=EDGE_CURVE('',#75470,#76311,#39024,.T.); #97975=EDGE_CURVE('',#76311,#76310,#39025,.T.); #97976=EDGE_CURVE('',#75469,#76312,#39026,.T.); #97977=EDGE_CURVE('',#76312,#76311,#39027,.T.); #97978=EDGE_CURVE('',#75468,#76313,#39028,.T.); #97979=EDGE_CURVE('',#76313,#76312,#39029,.T.); #97980=EDGE_CURVE('',#75467,#76314,#39030,.T.); #97981=EDGE_CURVE('',#76314,#76313,#39031,.T.); #97982=EDGE_CURVE('',#75466,#76315,#39032,.T.); #97983=EDGE_CURVE('',#76315,#76314,#39033,.T.); #97984=EDGE_CURVE('',#75465,#76316,#39034,.T.); #97985=EDGE_CURVE('',#76316,#76315,#39035,.T.); #97986=EDGE_CURVE('',#75464,#76317,#39036,.T.); #97987=EDGE_CURVE('',#76317,#76316,#39037,.T.); #97988=EDGE_CURVE('',#75463,#76318,#39038,.T.); #97989=EDGE_CURVE('',#76318,#76317,#39039,.T.); #97990=EDGE_CURVE('',#75462,#76319,#39040,.T.); #97991=EDGE_CURVE('',#76319,#76318,#62053,.T.); #97992=EDGE_CURVE('',#75461,#76320,#39041,.T.); #97993=EDGE_CURVE('',#76320,#76319,#62054,.T.); #97994=EDGE_CURVE('',#75460,#76321,#39042,.T.); #97995=EDGE_CURVE('',#76321,#76320,#62055,.T.); #97996=EDGE_CURVE('',#76292,#76321,#62056,.T.); #97997=EDGE_CURVE('',#75447,#76322,#39043,.T.); #97998=EDGE_CURVE('',#76322,#76323,#39044,.T.); #97999=EDGE_CURVE('',#75448,#76323,#39045,.T.); #98000=EDGE_CURVE('',#75457,#76324,#39046,.T.); #98001=EDGE_CURVE('',#76324,#76322,#39047,.T.); #98002=EDGE_CURVE('',#75456,#76325,#39048,.T.); #98003=EDGE_CURVE('',#76325,#76324,#39049,.T.); #98004=EDGE_CURVE('',#75455,#76326,#39050,.T.); #98005=EDGE_CURVE('',#76326,#76325,#62057,.T.); #98006=EDGE_CURVE('',#75454,#76327,#39051,.T.); #98007=EDGE_CURVE('',#76327,#76326,#39052,.T.); #98008=EDGE_CURVE('',#75453,#76328,#39053,.T.); #98009=EDGE_CURVE('',#76328,#76327,#62058,.T.); #98010=EDGE_CURVE('',#75452,#76329,#39054,.T.); #98011=EDGE_CURVE('',#76329,#76328,#39055,.T.); #98012=EDGE_CURVE('',#75451,#76330,#39056,.T.); #98013=EDGE_CURVE('',#76330,#76329,#39057,.T.); #98014=EDGE_CURVE('',#75450,#76331,#39058,.T.); #98015=EDGE_CURVE('',#76331,#76330,#39059,.T.); #98016=EDGE_CURVE('',#75449,#76332,#39060,.T.); #98017=EDGE_CURVE('',#76332,#76331,#39061,.T.); #98018=EDGE_CURVE('',#76323,#76332,#39062,.T.); #98019=EDGE_CURVE('',#76333,#76334,#62059,.T.); #98020=EDGE_CURVE('',#76333,#76335,#39063,.T.); #98021=EDGE_CURVE('',#76335,#76336,#62060,.T.); #98022=EDGE_CURVE('',#76334,#76336,#39064,.T.); #98023=EDGE_CURVE('',#76337,#76333,#39065,.T.); #98024=EDGE_CURVE('',#76337,#76338,#39066,.T.); #98025=EDGE_CURVE('',#76338,#76335,#39067,.T.); #98026=EDGE_CURVE('',#76339,#76337,#62061,.T.); #98027=EDGE_CURVE('',#76339,#76340,#39068,.T.); #98028=EDGE_CURVE('',#76340,#76338,#62062,.T.); #98029=EDGE_CURVE('',#76341,#76339,#62063,.T.); #98030=EDGE_CURVE('',#76341,#76342,#39069,.T.); #98031=EDGE_CURVE('',#76342,#76340,#62064,.T.); #98032=EDGE_CURVE('',#76343,#76341,#62065,.T.); #98033=EDGE_CURVE('',#76343,#76344,#39070,.T.); #98034=EDGE_CURVE('',#76344,#76342,#62066,.T.); #98035=EDGE_CURVE('',#76345,#76343,#62067,.T.); #98036=EDGE_CURVE('',#76345,#76346,#39071,.T.); #98037=EDGE_CURVE('',#76346,#76344,#62068,.T.); #98038=EDGE_CURVE('',#76347,#76345,#62069,.T.); #98039=EDGE_CURVE('',#76347,#76348,#39072,.T.); #98040=EDGE_CURVE('',#76348,#76346,#62070,.T.); #98041=EDGE_CURVE('',#76349,#76347,#62071,.T.); #98042=EDGE_CURVE('',#76349,#76350,#39073,.T.); #98043=EDGE_CURVE('',#76350,#76348,#62072,.T.); #98044=EDGE_CURVE('',#76351,#76349,#62073,.T.); #98045=EDGE_CURVE('',#76351,#76352,#39074,.T.); #98046=EDGE_CURVE('',#76352,#76350,#62074,.T.); #98047=EDGE_CURVE('',#76334,#76351,#62075,.T.); #98048=EDGE_CURVE('',#76336,#76352,#62076,.T.); #98049=EDGE_CURVE('',#75410,#76353,#39075,.T.); #98050=EDGE_CURVE('',#76353,#76354,#62077,.T.); #98051=EDGE_CURVE('',#75411,#76354,#39076,.T.); #98052=EDGE_CURVE('',#75446,#76355,#39077,.T.); #98053=EDGE_CURVE('',#76355,#76353,#39078,.T.); #98054=EDGE_CURVE('',#75445,#76356,#39079,.T.); #98055=EDGE_CURVE('',#76356,#76355,#39080,.T.); #98056=EDGE_CURVE('',#75444,#76357,#39081,.T.); #98057=EDGE_CURVE('',#76357,#76356,#39082,.T.); #98058=EDGE_CURVE('',#75443,#76358,#39083,.T.); #98059=EDGE_CURVE('',#76358,#76357,#39084,.T.); #98060=EDGE_CURVE('',#75442,#76359,#39085,.T.); #98061=EDGE_CURVE('',#76359,#76358,#39086,.T.); #98062=EDGE_CURVE('',#75441,#76360,#39087,.T.); #98063=EDGE_CURVE('',#76360,#76359,#39088,.T.); #98064=EDGE_CURVE('',#75440,#76361,#39089,.T.); #98065=EDGE_CURVE('',#76361,#76360,#39090,.T.); #98066=EDGE_CURVE('',#75439,#76362,#39091,.T.); #98067=EDGE_CURVE('',#76362,#76361,#39092,.T.); #98068=EDGE_CURVE('',#75438,#76363,#39093,.T.); #98069=EDGE_CURVE('',#76363,#76362,#39094,.T.); #98070=EDGE_CURVE('',#75437,#76364,#39095,.T.); #98071=EDGE_CURVE('',#76364,#76363,#39096,.T.); #98072=EDGE_CURVE('',#75436,#76365,#39097,.T.); #98073=EDGE_CURVE('',#76365,#76364,#39098,.T.); #98074=EDGE_CURVE('',#75435,#76366,#39099,.T.); #98075=EDGE_CURVE('',#76366,#76365,#39100,.T.); #98076=EDGE_CURVE('',#75434,#76367,#39101,.T.); #98077=EDGE_CURVE('',#76367,#76366,#39102,.T.); #98078=EDGE_CURVE('',#75433,#76368,#39103,.T.); #98079=EDGE_CURVE('',#76368,#76367,#39104,.T.); #98080=EDGE_CURVE('',#75432,#76369,#39105,.T.); #98081=EDGE_CURVE('',#76369,#76368,#39106,.T.); #98082=EDGE_CURVE('',#75431,#76370,#39107,.T.); #98083=EDGE_CURVE('',#76370,#76369,#39108,.T.); #98084=EDGE_CURVE('',#75430,#76371,#39109,.T.); #98085=EDGE_CURVE('',#76371,#76370,#62078,.T.); #98086=EDGE_CURVE('',#75429,#76372,#39110,.T.); #98087=EDGE_CURVE('',#76372,#76371,#39111,.T.); #98088=EDGE_CURVE('',#75428,#76373,#39112,.T.); #98089=EDGE_CURVE('',#76373,#76372,#62079,.T.); #98090=EDGE_CURVE('',#75427,#76374,#39113,.T.); #98091=EDGE_CURVE('',#76374,#76373,#62080,.T.); #98092=EDGE_CURVE('',#75426,#76375,#39114,.T.); #98093=EDGE_CURVE('',#76375,#76374,#39115,.T.); #98094=EDGE_CURVE('',#75425,#76376,#39116,.T.); #98095=EDGE_CURVE('',#76376,#76375,#62081,.T.); #98096=EDGE_CURVE('',#75424,#76377,#39117,.T.); #98097=EDGE_CURVE('',#76377,#76376,#62082,.T.); #98098=EDGE_CURVE('',#75423,#76378,#39118,.T.); #98099=EDGE_CURVE('',#76378,#76377,#62083,.T.); #98100=EDGE_CURVE('',#75422,#76379,#39119,.T.); #98101=EDGE_CURVE('',#76379,#76378,#62084,.T.); #98102=EDGE_CURVE('',#75421,#76380,#39120,.T.); #98103=EDGE_CURVE('',#76380,#76379,#62085,.T.); #98104=EDGE_CURVE('',#75420,#76381,#39121,.T.); #98105=EDGE_CURVE('',#76381,#76380,#62086,.T.); #98106=EDGE_CURVE('',#75419,#76382,#39122,.T.); #98107=EDGE_CURVE('',#76382,#76381,#62087,.T.); #98108=EDGE_CURVE('',#75418,#76383,#39123,.T.); #98109=EDGE_CURVE('',#76383,#76382,#62088,.T.); #98110=EDGE_CURVE('',#75417,#76384,#39124,.T.); #98111=EDGE_CURVE('',#76384,#76383,#62089,.T.); #98112=EDGE_CURVE('',#75416,#76385,#39125,.T.); #98113=EDGE_CURVE('',#76385,#76384,#39126,.T.); #98114=EDGE_CURVE('',#75415,#76386,#39127,.T.); #98115=EDGE_CURVE('',#76386,#76385,#62090,.T.); #98116=EDGE_CURVE('',#75414,#76387,#39128,.T.); #98117=EDGE_CURVE('',#76387,#76386,#39129,.T.); #98118=EDGE_CURVE('',#75413,#76388,#39130,.T.); #98119=EDGE_CURVE('',#76388,#76387,#39131,.T.); #98120=EDGE_CURVE('',#75412,#76389,#39132,.T.); #98121=EDGE_CURVE('',#76389,#76388,#39133,.T.); #98122=EDGE_CURVE('',#76354,#76389,#39134,.T.); #98123=EDGE_CURVE('',#75367,#76390,#39135,.T.); #98124=EDGE_CURVE('',#76390,#76391,#62091,.T.); #98125=EDGE_CURVE('',#75368,#76391,#39136,.T.); #98126=EDGE_CURVE('',#75409,#76392,#39137,.T.); #98127=EDGE_CURVE('',#76392,#76390,#62092,.T.); #98128=EDGE_CURVE('',#75408,#76393,#39138,.T.); #98129=EDGE_CURVE('',#76393,#76392,#62093,.T.); #98130=EDGE_CURVE('',#75407,#76394,#39139,.T.); #98131=EDGE_CURVE('',#76394,#76393,#39140,.T.); #98132=EDGE_CURVE('',#75406,#76395,#39141,.T.); #98133=EDGE_CURVE('',#76395,#76394,#62094,.T.); #98134=EDGE_CURVE('',#75405,#76396,#39142,.T.); #98135=EDGE_CURVE('',#76396,#76395,#39143,.T.); #98136=EDGE_CURVE('',#75404,#76397,#39144,.T.); #98137=EDGE_CURVE('',#76397,#76396,#39145,.T.); #98138=EDGE_CURVE('',#75403,#76398,#39146,.T.); #98139=EDGE_CURVE('',#76398,#76397,#62095,.T.); #98140=EDGE_CURVE('',#75402,#76399,#39147,.T.); #98141=EDGE_CURVE('',#76399,#76398,#39148,.T.); #98142=EDGE_CURVE('',#75401,#76400,#39149,.T.); #98143=EDGE_CURVE('',#76400,#76399,#39150,.T.); #98144=EDGE_CURVE('',#75400,#76401,#39151,.T.); #98145=EDGE_CURVE('',#76401,#76400,#62096,.T.); #98146=EDGE_CURVE('',#75399,#76402,#39152,.T.); #98147=EDGE_CURVE('',#76402,#76401,#62097,.T.); #98148=EDGE_CURVE('',#75398,#76403,#39153,.T.); #98149=EDGE_CURVE('',#76403,#76402,#62098,.T.); #98150=EDGE_CURVE('',#75397,#76404,#39154,.T.); #98151=EDGE_CURVE('',#76404,#76403,#62099,.T.); #98152=EDGE_CURVE('',#75396,#76405,#39155,.T.); #98153=EDGE_CURVE('',#76405,#76404,#62100,.T.); #98154=EDGE_CURVE('',#75395,#76406,#39156,.T.); #98155=EDGE_CURVE('',#76406,#76405,#62101,.T.); #98156=EDGE_CURVE('',#75394,#76407,#39157,.T.); #98157=EDGE_CURVE('',#76407,#76406,#39158,.T.); #98158=EDGE_CURVE('',#75393,#76408,#39159,.T.); #98159=EDGE_CURVE('',#76408,#76407,#62102,.T.); #98160=EDGE_CURVE('',#75392,#76409,#39160,.T.); #98161=EDGE_CURVE('',#76409,#76408,#62103,.T.); #98162=EDGE_CURVE('',#75391,#76410,#39161,.T.); #98163=EDGE_CURVE('',#76410,#76409,#62104,.T.); #98164=EDGE_CURVE('',#75390,#76411,#39162,.T.); #98165=EDGE_CURVE('',#76411,#76410,#62105,.T.); #98166=EDGE_CURVE('',#75389,#76412,#39163,.T.); #98167=EDGE_CURVE('',#76412,#76411,#62106,.T.); #98168=EDGE_CURVE('',#75388,#76413,#39164,.T.); #98169=EDGE_CURVE('',#76413,#76412,#39165,.T.); #98170=EDGE_CURVE('',#75387,#76414,#39166,.T.); #98171=EDGE_CURVE('',#76414,#76413,#39167,.T.); #98172=EDGE_CURVE('',#75386,#76415,#39168,.T.); #98173=EDGE_CURVE('',#76415,#76414,#39169,.T.); #98174=EDGE_CURVE('',#75385,#76416,#39170,.T.); #98175=EDGE_CURVE('',#76416,#76415,#39171,.T.); #98176=EDGE_CURVE('',#75384,#76417,#39172,.T.); #98177=EDGE_CURVE('',#76417,#76416,#39173,.T.); #98178=EDGE_CURVE('',#75383,#76418,#39174,.T.); #98179=EDGE_CURVE('',#76418,#76417,#39175,.T.); #98180=EDGE_CURVE('',#75382,#76419,#39176,.T.); #98181=EDGE_CURVE('',#76419,#76418,#39177,.T.); #98182=EDGE_CURVE('',#75381,#76420,#39178,.T.); #98183=EDGE_CURVE('',#76420,#76419,#62107,.T.); #98184=EDGE_CURVE('',#75380,#76421,#39179,.T.); #98185=EDGE_CURVE('',#76421,#76420,#39180,.T.); #98186=EDGE_CURVE('',#75379,#76422,#39181,.T.); #98187=EDGE_CURVE('',#76422,#76421,#62108,.T.); #98188=EDGE_CURVE('',#75378,#76423,#39182,.T.); #98189=EDGE_CURVE('',#76423,#76422,#39183,.T.); #98190=EDGE_CURVE('',#75377,#76424,#39184,.T.); #98191=EDGE_CURVE('',#76424,#76423,#39185,.T.); #98192=EDGE_CURVE('',#75376,#76425,#39186,.T.); #98193=EDGE_CURVE('',#76425,#76424,#62109,.T.); #98194=EDGE_CURVE('',#75375,#76426,#39187,.T.); #98195=EDGE_CURVE('',#76426,#76425,#62110,.T.); #98196=EDGE_CURVE('',#75374,#76427,#39188,.T.); #98197=EDGE_CURVE('',#76427,#76426,#62111,.T.); #98198=EDGE_CURVE('',#75373,#76428,#39189,.T.); #98199=EDGE_CURVE('',#76428,#76427,#62112,.T.); #98200=EDGE_CURVE('',#75372,#76429,#39190,.T.); #98201=EDGE_CURVE('',#76429,#76428,#62113,.T.); #98202=EDGE_CURVE('',#75371,#76430,#39191,.T.); #98203=EDGE_CURVE('',#76430,#76429,#62114,.T.); #98204=EDGE_CURVE('',#75370,#76431,#39192,.T.); #98205=EDGE_CURVE('',#76431,#76430,#39193,.T.); #98206=EDGE_CURVE('',#75369,#76432,#39194,.T.); #98207=EDGE_CURVE('',#76432,#76431,#62115,.T.); #98208=EDGE_CURVE('',#76391,#76432,#62116,.T.); #98209=EDGE_CURVE('',#75303,#76433,#39195,.T.); #98210=EDGE_CURVE('',#76433,#76434,#39196,.T.); #98211=EDGE_CURVE('',#75304,#76434,#39197,.T.); #98212=EDGE_CURVE('',#75366,#76435,#39198,.T.); #98213=EDGE_CURVE('',#76435,#76433,#39199,.T.); #98214=EDGE_CURVE('',#75365,#76436,#39200,.T.); #98215=EDGE_CURVE('',#76436,#76435,#39201,.T.); #98216=EDGE_CURVE('',#75364,#76437,#39202,.T.); #98217=EDGE_CURVE('',#76437,#76436,#39203,.T.); #98218=EDGE_CURVE('',#75363,#76438,#39204,.T.); #98219=EDGE_CURVE('',#76438,#76437,#39205,.T.); #98220=EDGE_CURVE('',#75362,#76439,#39206,.T.); #98221=EDGE_CURVE('',#76439,#76438,#39207,.T.); #98222=EDGE_CURVE('',#75361,#76440,#39208,.T.); #98223=EDGE_CURVE('',#76440,#76439,#62117,.T.); #98224=EDGE_CURVE('',#75360,#76441,#39209,.T.); #98225=EDGE_CURVE('',#76441,#76440,#39210,.T.); #98226=EDGE_CURVE('',#75359,#76442,#39211,.T.); #98227=EDGE_CURVE('',#76442,#76441,#62118,.T.); #98228=EDGE_CURVE('',#75358,#76443,#39212,.T.); #98229=EDGE_CURVE('',#76443,#76442,#39213,.T.); #98230=EDGE_CURVE('',#75357,#76444,#39214,.T.); #98231=EDGE_CURVE('',#76444,#76443,#39215,.T.); #98232=EDGE_CURVE('',#75356,#76445,#39216,.T.); #98233=EDGE_CURVE('',#76445,#76444,#39217,.T.); #98234=EDGE_CURVE('',#75355,#76446,#39218,.T.); #98235=EDGE_CURVE('',#76446,#76445,#39219,.T.); #98236=EDGE_CURVE('',#75354,#76447,#39220,.T.); #98237=EDGE_CURVE('',#76447,#76446,#39221,.T.); #98238=EDGE_CURVE('',#75353,#76448,#39222,.T.); #98239=EDGE_CURVE('',#76448,#76447,#39223,.T.); #98240=EDGE_CURVE('',#75352,#76449,#39224,.T.); #98241=EDGE_CURVE('',#76449,#76448,#39225,.T.); #98242=EDGE_CURVE('',#75351,#76450,#39226,.T.); #98243=EDGE_CURVE('',#76450,#76449,#39227,.T.); #98244=EDGE_CURVE('',#75350,#76451,#39228,.T.); #98245=EDGE_CURVE('',#76451,#76450,#39229,.T.); #98246=EDGE_CURVE('',#75349,#76452,#39230,.T.); #98247=EDGE_CURVE('',#76452,#76451,#39231,.T.); #98248=EDGE_CURVE('',#75348,#76453,#39232,.T.); #98249=EDGE_CURVE('',#76453,#76452,#39233,.T.); #98250=EDGE_CURVE('',#75347,#76454,#39234,.T.); #98251=EDGE_CURVE('',#76454,#76453,#39235,.T.); #98252=EDGE_CURVE('',#75346,#76455,#39236,.T.); #98253=EDGE_CURVE('',#76455,#76454,#39237,.T.); #98254=EDGE_CURVE('',#75345,#76456,#39238,.T.); #98255=EDGE_CURVE('',#76456,#76455,#39239,.T.); #98256=EDGE_CURVE('',#75344,#76457,#39240,.T.); #98257=EDGE_CURVE('',#76457,#76456,#39241,.T.); #98258=EDGE_CURVE('',#75343,#76458,#39242,.T.); #98259=EDGE_CURVE('',#76458,#76457,#39243,.T.); #98260=EDGE_CURVE('',#75342,#76459,#39244,.T.); #98261=EDGE_CURVE('',#76459,#76458,#39245,.T.); #98262=EDGE_CURVE('',#75341,#76460,#39246,.T.); #98263=EDGE_CURVE('',#76460,#76459,#39247,.T.); #98264=EDGE_CURVE('',#75340,#76461,#39248,.T.); #98265=EDGE_CURVE('',#76461,#76460,#39249,.T.); #98266=EDGE_CURVE('',#75339,#76462,#39250,.T.); #98267=EDGE_CURVE('',#76462,#76461,#39251,.T.); #98268=EDGE_CURVE('',#75338,#76463,#39252,.T.); #98269=EDGE_CURVE('',#76463,#76462,#39253,.T.); #98270=EDGE_CURVE('',#75337,#76464,#39254,.T.); #98271=EDGE_CURVE('',#76464,#76463,#62119,.T.); #98272=EDGE_CURVE('',#75336,#76465,#39255,.T.); #98273=EDGE_CURVE('',#76465,#76464,#39256,.T.); #98274=EDGE_CURVE('',#75335,#76466,#39257,.T.); #98275=EDGE_CURVE('',#76466,#76465,#62120,.T.); #98276=EDGE_CURVE('',#75334,#76467,#39258,.T.); #98277=EDGE_CURVE('',#76467,#76466,#39259,.T.); #98278=EDGE_CURVE('',#75333,#76468,#39260,.T.); #98279=EDGE_CURVE('',#76468,#76467,#39261,.T.); #98280=EDGE_CURVE('',#75332,#76469,#39262,.T.); #98281=EDGE_CURVE('',#76469,#76468,#39263,.T.); #98282=EDGE_CURVE('',#75331,#76470,#39264,.T.); #98283=EDGE_CURVE('',#76470,#76469,#39265,.T.); #98284=EDGE_CURVE('',#75330,#76471,#39266,.T.); #98285=EDGE_CURVE('',#76471,#76470,#39267,.T.); #98286=EDGE_CURVE('',#75329,#76472,#39268,.T.); #98287=EDGE_CURVE('',#76472,#76471,#39269,.T.); #98288=EDGE_CURVE('',#75328,#76473,#39270,.T.); #98289=EDGE_CURVE('',#76473,#76472,#39271,.T.); #98290=EDGE_CURVE('',#75327,#76474,#39272,.T.); #98291=EDGE_CURVE('',#76474,#76473,#39273,.T.); #98292=EDGE_CURVE('',#75326,#76475,#39274,.T.); #98293=EDGE_CURVE('',#76475,#76474,#39275,.T.); #98294=EDGE_CURVE('',#75325,#76476,#39276,.T.); #98295=EDGE_CURVE('',#76476,#76475,#39277,.T.); #98296=EDGE_CURVE('',#75324,#76477,#39278,.T.); #98297=EDGE_CURVE('',#76477,#76476,#39279,.T.); #98298=EDGE_CURVE('',#75323,#76478,#39280,.T.); #98299=EDGE_CURVE('',#76478,#76477,#39281,.T.); #98300=EDGE_CURVE('',#75322,#76479,#39282,.T.); #98301=EDGE_CURVE('',#76479,#76478,#39283,.T.); #98302=EDGE_CURVE('',#75321,#76480,#39284,.T.); #98303=EDGE_CURVE('',#76480,#76479,#39285,.T.); #98304=EDGE_CURVE('',#75320,#76481,#39286,.T.); #98305=EDGE_CURVE('',#76481,#76480,#39287,.T.); #98306=EDGE_CURVE('',#75319,#76482,#39288,.T.); #98307=EDGE_CURVE('',#76482,#76481,#39289,.T.); #98308=EDGE_CURVE('',#75318,#76483,#39290,.T.); #98309=EDGE_CURVE('',#76483,#76482,#39291,.T.); #98310=EDGE_CURVE('',#75317,#76484,#39292,.T.); #98311=EDGE_CURVE('',#76484,#76483,#39293,.T.); #98312=EDGE_CURVE('',#75316,#76485,#39294,.T.); #98313=EDGE_CURVE('',#76485,#76484,#39295,.T.); #98314=EDGE_CURVE('',#75315,#76486,#39296,.T.); #98315=EDGE_CURVE('',#76486,#76485,#39297,.T.); #98316=EDGE_CURVE('',#75314,#76487,#39298,.T.); #98317=EDGE_CURVE('',#76487,#76486,#39299,.T.); #98318=EDGE_CURVE('',#75313,#76488,#39300,.T.); #98319=EDGE_CURVE('',#76488,#76487,#39301,.T.); #98320=EDGE_CURVE('',#75312,#76489,#39302,.T.); #98321=EDGE_CURVE('',#76489,#76488,#39303,.T.); #98322=EDGE_CURVE('',#75311,#76490,#39304,.T.); #98323=EDGE_CURVE('',#76490,#76489,#39305,.T.); #98324=EDGE_CURVE('',#75310,#76491,#39306,.T.); #98325=EDGE_CURVE('',#76491,#76490,#39307,.T.); #98326=EDGE_CURVE('',#75309,#76492,#39308,.T.); #98327=EDGE_CURVE('',#76492,#76491,#39309,.T.); #98328=EDGE_CURVE('',#75308,#76493,#39310,.T.); #98329=EDGE_CURVE('',#76493,#76492,#39311,.T.); #98330=EDGE_CURVE('',#75307,#76494,#39312,.T.); #98331=EDGE_CURVE('',#76494,#76493,#39313,.T.); #98332=EDGE_CURVE('',#75306,#76495,#39314,.T.); #98333=EDGE_CURVE('',#76495,#76494,#39315,.T.); #98334=EDGE_CURVE('',#75305,#76496,#39316,.T.); #98335=EDGE_CURVE('',#76496,#76495,#39317,.T.); #98336=EDGE_CURVE('',#76434,#76496,#39318,.T.); #98337=EDGE_CURVE('',#75295,#76497,#39319,.T.); #98338=EDGE_CURVE('',#76497,#76498,#39320,.T.); #98339=EDGE_CURVE('',#75296,#76498,#39321,.T.); #98340=EDGE_CURVE('',#75302,#76499,#39322,.T.); #98341=EDGE_CURVE('',#76499,#76497,#62121,.T.); #98342=EDGE_CURVE('',#75301,#76500,#39323,.T.); #98343=EDGE_CURVE('',#76500,#76499,#39324,.T.); #98344=EDGE_CURVE('',#75300,#76501,#39325,.T.); #98345=EDGE_CURVE('',#76501,#76500,#62122,.T.); #98346=EDGE_CURVE('',#75299,#76502,#39326,.T.); #98347=EDGE_CURVE('',#76502,#76501,#39327,.T.); #98348=EDGE_CURVE('',#75298,#76503,#39328,.T.); #98349=EDGE_CURVE('',#76503,#76502,#62123,.T.); #98350=EDGE_CURVE('',#75297,#76504,#39329,.T.); #98351=EDGE_CURVE('',#76504,#76503,#39330,.T.); #98352=EDGE_CURVE('',#76498,#76504,#62124,.T.); #98353=EDGE_CURVE('',#76505,#76505,#62125,.T.); #98354=EDGE_CURVE('',#76505,#75294,#39331,.T.); #98355=EDGE_CURVE('',#76506,#76506,#62126,.T.); #98356=EDGE_CURVE('',#76506,#75293,#39332,.T.); #98357=EDGE_CURVE('',#76507,#76507,#62127,.T.); #98358=EDGE_CURVE('',#76507,#75292,#39333,.T.); #98359=EDGE_CURVE('',#76508,#76508,#62128,.T.); #98360=EDGE_CURVE('',#76508,#75291,#39334,.T.); #98361=EDGE_CURVE('',#76509,#76509,#62129,.T.); #98362=EDGE_CURVE('',#76509,#75290,#39335,.T.); #98363=EDGE_CURVE('',#76510,#76510,#62130,.T.); #98364=EDGE_CURVE('',#76510,#75289,#39336,.T.); #98365=EDGE_CURVE('',#76511,#76511,#62131,.T.); #98366=EDGE_CURVE('',#76511,#75288,#39337,.T.); #98367=EDGE_CURVE('',#76512,#76512,#62132,.T.); #98368=EDGE_CURVE('',#76512,#75287,#39338,.T.); #98369=EDGE_CURVE('',#76513,#76513,#62133,.T.); #98370=EDGE_CURVE('',#76513,#75286,#39339,.T.); #98371=EDGE_CURVE('',#76514,#76514,#62134,.T.); #98372=EDGE_CURVE('',#76514,#75285,#39340,.T.); #98373=EDGE_CURVE('',#76515,#76515,#62135,.T.); #98374=EDGE_CURVE('',#76515,#75284,#39341,.T.); #98375=EDGE_CURVE('',#76516,#76516,#62136,.T.); #98376=EDGE_CURVE('',#76516,#75283,#39342,.T.); #98377=EDGE_CURVE('',#76517,#76517,#62137,.T.); #98378=EDGE_CURVE('',#76517,#75282,#39343,.T.); #98379=EDGE_CURVE('',#76518,#76518,#62138,.T.); #98380=EDGE_CURVE('',#76518,#75281,#39344,.T.); #98381=EDGE_CURVE('',#76519,#76520,#39345,.T.); #98382=EDGE_CURVE('',#76521,#76520,#39346,.T.); #98383=EDGE_CURVE('',#76522,#76521,#39347,.T.); #98384=EDGE_CURVE('',#76522,#76519,#39348,.T.); #98385=EDGE_CURVE('',#76523,#76524,#39349,.T.); #98386=EDGE_CURVE('',#76523,#76525,#39350,.T.); #98387=EDGE_CURVE('',#76525,#76526,#39351,.T.); #98388=EDGE_CURVE('',#76524,#76526,#39352,.T.); #98389=EDGE_CURVE('',#76527,#76528,#39353,.T.); #98390=EDGE_CURVE('',#76527,#76529,#39354,.T.); #98391=EDGE_CURVE('',#76529,#76530,#39355,.T.); #98392=EDGE_CURVE('',#76528,#76530,#39356,.T.); #98393=EDGE_CURVE('',#76531,#76532,#39357,.T.); #98394=EDGE_CURVE('',#76533,#76532,#39358,.T.); #98395=EDGE_CURVE('',#76534,#76533,#39359,.T.); #98396=EDGE_CURVE('',#76531,#76534,#39360,.T.); #98397=EDGE_CURVE('',#76533,#76535,#39361,.T.); #98398=EDGE_CURVE('',#76536,#76535,#39362,.T.); #98399=EDGE_CURVE('',#76534,#76536,#39363,.T.); #98400=EDGE_CURVE('',#76535,#76537,#39364,.T.); #98401=EDGE_CURVE('',#76538,#76537,#39365,.T.); #98402=EDGE_CURVE('',#76536,#76538,#39366,.T.); #98403=EDGE_CURVE('',#76539,#76537,#39367,.T.); #98404=EDGE_CURVE('',#76540,#76539,#39368,.T.); #98405=EDGE_CURVE('',#76540,#76538,#39369,.T.); #98406=EDGE_CURVE('',#76532,#76541,#39370,.T.); #98407=EDGE_CURVE('',#76531,#76542,#39371,.T.); #98408=EDGE_CURVE('',#76542,#76541,#39372,.T.); #98409=EDGE_CURVE('',#76543,#76544,#39373,.T.); #98410=EDGE_CURVE('',#76544,#76541,#39374,.T.); #98411=EDGE_CURVE('',#76543,#76542,#39375,.T.); #98412=EDGE_CURVE('',#76544,#76545,#39376,.T.); #98413=EDGE_CURVE('',#76539,#76545,#39377,.T.); #98414=EDGE_CURVE('',#76546,#76545,#39378,.T.); #98415=EDGE_CURVE('',#76540,#76546,#39379,.T.); #98416=EDGE_CURVE('',#76543,#76546,#39380,.T.); #98417=EDGE_CURVE('',#76547,#76548,#39381,.T.); #98418=EDGE_CURVE('',#76549,#76548,#39382,.T.); #98419=EDGE_CURVE('',#76550,#76549,#39383,.T.); #98420=EDGE_CURVE('',#76547,#76550,#39384,.T.); #98421=EDGE_CURVE('',#76549,#76551,#39385,.T.); #98422=EDGE_CURVE('',#76552,#76551,#39386,.T.); #98423=EDGE_CURVE('',#76550,#76552,#39387,.T.); #98424=EDGE_CURVE('',#76551,#76553,#39388,.T.); #98425=EDGE_CURVE('',#76554,#76553,#39389,.T.); #98426=EDGE_CURVE('',#76552,#76554,#39390,.T.); #98427=EDGE_CURVE('',#76555,#76553,#39391,.T.); #98428=EDGE_CURVE('',#76556,#76555,#39392,.T.); #98429=EDGE_CURVE('',#76556,#76554,#39393,.T.); #98430=EDGE_CURVE('',#76548,#76557,#39394,.T.); #98431=EDGE_CURVE('',#76547,#76558,#39395,.T.); #98432=EDGE_CURVE('',#76558,#76557,#39396,.T.); #98433=EDGE_CURVE('',#76559,#76560,#39397,.T.); #98434=EDGE_CURVE('',#76560,#76557,#39398,.T.); #98435=EDGE_CURVE('',#76559,#76558,#39399,.T.); #98436=EDGE_CURVE('',#76560,#76561,#39400,.T.); #98437=EDGE_CURVE('',#76555,#76561,#39401,.T.); #98438=EDGE_CURVE('',#76562,#76561,#39402,.T.); #98439=EDGE_CURVE('',#76556,#76562,#39403,.T.); #98440=EDGE_CURVE('',#76559,#76562,#39404,.T.); #98441=EDGE_CURVE('',#76563,#76564,#39405,.T.); #98442=EDGE_CURVE('',#76519,#76564,#39406,.T.); #98443=EDGE_CURVE('',#76563,#76522,#39407,.T.); #98444=EDGE_CURVE('',#76565,#76566,#39408,.T.); #98445=EDGE_CURVE('',#76565,#76567,#39409,.T.); #98446=EDGE_CURVE('',#76567,#76568,#39410,.T.); #98447=EDGE_CURVE('',#76566,#76568,#39411,.T.); #98448=EDGE_CURVE('',#76568,#76569,#39412,.T.); #98449=EDGE_CURVE('',#76570,#76569,#39413,.T.); #98450=EDGE_CURVE('',#76566,#76570,#39414,.T.); #98451=EDGE_CURVE('',#76571,#76569,#39415,.T.); #98452=EDGE_CURVE('',#76572,#76571,#39416,.T.); #98453=EDGE_CURVE('',#76572,#76570,#39417,.T.); #98454=EDGE_CURVE('',#76564,#76573,#39418,.T.); #98455=EDGE_CURVE('',#76563,#76574,#39419,.T.); #98456=EDGE_CURVE('',#76574,#76573,#39420,.T.); #98457=EDGE_CURVE('',#76575,#76576,#39421,.T.); #98458=EDGE_CURVE('',#76576,#76573,#39422,.T.); #98459=EDGE_CURVE('',#76575,#76574,#39423,.T.); #98460=EDGE_CURVE('',#76577,#76578,#62139,.T.); #98461=EDGE_CURVE('',#76520,#76577,#39424,.T.); #98462=EDGE_CURVE('',#76576,#76579,#39425,.T.); #98463=EDGE_CURVE('',#76571,#76579,#39426,.T.); #98464=EDGE_CURVE('',#76580,#76567,#39427,.T.); #98465=EDGE_CURVE('',#76581,#76580,#62140,.T.); #98466=EDGE_CURVE('',#76582,#76581,#39428,.T.); #98467=EDGE_CURVE('',#76583,#76582,#62141,.T.); #98468=EDGE_CURVE('',#76530,#76583,#39429,.T.); #98469=EDGE_CURVE('',#76584,#76529,#39430,.T.); #98470=EDGE_CURVE('',#76585,#76584,#62142,.T.); #98471=EDGE_CURVE('',#76586,#76585,#39431,.T.); #98472=EDGE_CURVE('',#76587,#76586,#62143,.T.); #98473=EDGE_CURVE('',#76526,#76587,#39432,.T.); #98474=EDGE_CURVE('',#76588,#76525,#39433,.T.); #98475=EDGE_CURVE('',#76589,#76588,#62144,.T.); #98476=EDGE_CURVE('',#76578,#76589,#39434,.T.); #98477=EDGE_CURVE('',#76590,#76579,#39435,.T.); #98478=EDGE_CURVE('',#76572,#76590,#39436,.T.); #98479=EDGE_CURVE('',#76521,#76591,#39437,.T.); #98480=EDGE_CURVE('',#76591,#76592,#62145,.T.); #98481=EDGE_CURVE('',#76592,#76593,#39438,.T.); #98482=EDGE_CURVE('',#76593,#76594,#62146,.T.); #98483=EDGE_CURVE('',#76594,#76523,#39439,.T.); #98484=EDGE_CURVE('',#76524,#76595,#39440,.T.); #98485=EDGE_CURVE('',#76595,#76596,#62147,.T.); #98486=EDGE_CURVE('',#76596,#76597,#39441,.T.); #98487=EDGE_CURVE('',#76597,#76598,#62148,.T.); #98488=EDGE_CURVE('',#76598,#76527,#39442,.T.); #98489=EDGE_CURVE('',#76528,#76599,#39443,.T.); #98490=EDGE_CURVE('',#76599,#76600,#62149,.T.); #98491=EDGE_CURVE('',#76600,#76601,#39444,.T.); #98492=EDGE_CURVE('',#76601,#76602,#62150,.T.); #98493=EDGE_CURVE('',#76602,#76565,#39445,.T.); #98494=EDGE_CURVE('',#76575,#76590,#39446,.T.); #98495=EDGE_CURVE('',#76603,#76604,#39447,.T.); #98496=EDGE_CURVE('',#76605,#76604,#39448,.T.); #98497=EDGE_CURVE('',#76606,#76605,#39449,.T.); #98498=EDGE_CURVE('',#76603,#76606,#39450,.T.); #98499=EDGE_CURVE('',#76605,#76607,#39451,.T.); #98500=EDGE_CURVE('',#76608,#76607,#39452,.T.); #98501=EDGE_CURVE('',#76606,#76608,#39453,.T.); #98502=EDGE_CURVE('',#76607,#76609,#39454,.T.); #98503=EDGE_CURVE('',#76610,#76609,#39455,.T.); #98504=EDGE_CURVE('',#76608,#76610,#39456,.T.); #98505=EDGE_CURVE('',#76611,#76609,#39457,.T.); #98506=EDGE_CURVE('',#76612,#76611,#39458,.T.); #98507=EDGE_CURVE('',#76612,#76610,#39459,.T.); #98508=EDGE_CURVE('',#76604,#76613,#39460,.T.); #98509=EDGE_CURVE('',#76603,#76614,#39461,.T.); #98510=EDGE_CURVE('',#76614,#76613,#39462,.T.); #98511=EDGE_CURVE('',#76615,#76616,#39463,.T.); #98512=EDGE_CURVE('',#76616,#76613,#39464,.T.); #98513=EDGE_CURVE('',#76615,#76614,#39465,.T.); #98514=EDGE_CURVE('',#76616,#76617,#39466,.T.); #98515=EDGE_CURVE('',#76611,#76617,#39467,.T.); #98516=EDGE_CURVE('',#76618,#76617,#39468,.T.); #98517=EDGE_CURVE('',#76612,#76618,#39469,.T.); #98518=EDGE_CURVE('',#76615,#76618,#39470,.T.); #98519=EDGE_CURVE('',#76619,#75272,#39471,.T.); #98520=EDGE_CURVE('',#76620,#75271,#39472,.T.); #98521=EDGE_CURVE('',#76620,#76619,#39473,.T.); #98522=EDGE_CURVE('',#76621,#75270,#39474,.T.); #98523=EDGE_CURVE('',#76622,#75269,#39475,.T.); #98524=EDGE_CURVE('',#76622,#76621,#39476,.T.); #98525=EDGE_CURVE('',#76623,#75280,#39477,.T.); #98526=EDGE_CURVE('',#76623,#76622,#39478,.T.); #98527=EDGE_CURVE('',#76624,#75279,#39479,.T.); #98528=EDGE_CURVE('',#76625,#75278,#39480,.T.); #98529=EDGE_CURVE('',#76625,#76624,#39481,.T.); #98530=EDGE_CURVE('',#76626,#75277,#39482,.T.); #98531=EDGE_CURVE('',#76626,#76625,#39483,.T.); #98532=EDGE_CURVE('',#76627,#75276,#39484,.T.); #98533=EDGE_CURVE('',#76628,#75275,#39485,.T.); #98534=EDGE_CURVE('',#76628,#76627,#39486,.T.); #98535=EDGE_CURVE('',#76629,#75274,#39487,.T.); #98536=EDGE_CURVE('',#76629,#76628,#39488,.T.); #98537=EDGE_CURVE('',#76630,#75273,#39489,.T.); #98538=EDGE_CURVE('',#76619,#76630,#39490,.T.); #98539=EDGE_CURVE('',#76621,#76620,#39491,.T.); #98540=EDGE_CURVE('',#76624,#76623,#39492,.T.); #98541=EDGE_CURVE('',#76627,#76626,#39493,.T.); #98542=EDGE_CURVE('',#76630,#76629,#39494,.T.); #98543=EDGE_CURVE('',#76615,#76629,#62151,.T.); #98544=EDGE_CURVE('',#76616,#75274,#62152,.T.); #98545=EDGE_CURVE('',#76630,#76618,#62153,.T.); #98546=EDGE_CURVE('',#75273,#76617,#62154,.T.); #98547=EDGE_CURVE('',#76575,#76626,#62155,.T.); #98548=EDGE_CURVE('',#76576,#75277,#62156,.T.); #98549=EDGE_CURVE('',#76627,#76590,#62157,.T.); #98550=EDGE_CURVE('',#75276,#76579,#62158,.T.); #98551=EDGE_CURVE('',#76559,#76623,#62159,.T.); #98552=EDGE_CURVE('',#76560,#75280,#62160,.T.); #98553=EDGE_CURVE('',#76624,#76562,#62161,.T.); #98554=EDGE_CURVE('',#75279,#76561,#62162,.T.); #98555=EDGE_CURVE('',#76543,#76620,#62163,.T.); #98556=EDGE_CURVE('',#76544,#75271,#62164,.T.); #98557=EDGE_CURVE('',#76621,#76546,#62165,.T.); #98558=EDGE_CURVE('',#75270,#76545,#62166,.T.); #98559=EDGE_CURVE('',#76599,#76583,#39495,.T.); #98560=EDGE_CURVE('',#76600,#76582,#39496,.T.); #98561=EDGE_CURVE('',#76601,#76581,#39497,.T.); #98562=EDGE_CURVE('',#76602,#76580,#39498,.T.); #98563=EDGE_CURVE('',#76595,#76587,#39499,.T.); #98564=EDGE_CURVE('',#76596,#76586,#39500,.T.); #98565=EDGE_CURVE('',#76597,#76585,#39501,.T.); #98566=EDGE_CURVE('',#76598,#76584,#39502,.T.); #98567=EDGE_CURVE('',#76591,#76577,#39503,.T.); #98568=EDGE_CURVE('',#76592,#76578,#39504,.T.); #98569=EDGE_CURVE('',#76593,#76589,#39505,.T.); #98570=EDGE_CURVE('',#76594,#76588,#39506,.T.); #98571=EDGE_CURVE('',#76631,#76631,#62167,.T.); #98572=EDGE_CURVE('',#76631,#76632,#62168,.T.); #98573=EDGE_CURVE('',#76632,#76633,#62169,.T.); #98574=EDGE_CURVE('',#76633,#76632,#62170,.T.); #98575=EDGE_CURVE('',#76633,#76634,#39507,.T.); #98576=EDGE_CURVE('',#76634,#76634,#62171,.T.); #98577=EDGE_CURVE('',#76635,#76636,#39508,.T.); #98578=EDGE_CURVE('',#76637,#76635,#39509,.T.); #98579=EDGE_CURVE('',#76638,#76637,#39510,.T.); #98580=EDGE_CURVE('',#76636,#76638,#39511,.T.); #98581=EDGE_CURVE('',#76639,#76640,#62172,.T.); #98582=EDGE_CURVE('',#76640,#76641,#39512,.T.); #98583=EDGE_CURVE('',#76641,#76642,#62173,.T.); #98584=EDGE_CURVE('',#76642,#76639,#39513,.T.); #98585=EDGE_CURVE('',#76643,#76644,#62174,.T.); #98586=EDGE_CURVE('',#76644,#76645,#39514,.T.); #98587=EDGE_CURVE('',#76645,#76646,#62175,.T.); #98588=EDGE_CURVE('',#76646,#76643,#39515,.T.); #98589=EDGE_CURVE('',#76647,#76648,#62176,.T.); #98590=EDGE_CURVE('',#76648,#76646,#62177,.T.); #98591=EDGE_CURVE('',#76645,#76647,#62178,.T.); #98592=EDGE_CURVE('',#76649,#76650,#62179,.T.); #98593=EDGE_CURVE('',#76650,#76648,#39516,.T.); #98594=EDGE_CURVE('',#76647,#76649,#39517,.T.); #98595=EDGE_CURVE('',#76643,#76642,#466,.T.); #98596=EDGE_CURVE('',#76641,#76644,#467,.T.); #98597=EDGE_CURVE('',#76651,#76652,#62180,.T.); #98598=EDGE_CURVE('',#76652,#76653,#39518,.T.); #98599=EDGE_CURVE('',#76653,#76654,#62181,.T.); #98600=EDGE_CURVE('',#76654,#76651,#39519,.T.); #98601=EDGE_CURVE('',#76651,#76650,#468,.T.); #98602=EDGE_CURVE('',#76649,#76652,#469,.T.); #98603=EDGE_CURVE('',#76655,#76656,#62182,.T.); #98604=EDGE_CURVE('',#76656,#76657,#39520,.T.); #98605=EDGE_CURVE('',#76657,#76658,#62183,.T.); #98606=EDGE_CURVE('',#76658,#76655,#39521,.T.); #98607=EDGE_CURVE('',#76659,#76660,#62184,.T.); #98608=EDGE_CURVE('',#76660,#76658,#62185,.T.); #98609=EDGE_CURVE('',#76657,#76659,#62186,.T.); #98610=EDGE_CURVE('',#76661,#76662,#62187,.T.); #98611=EDGE_CURVE('',#76662,#76660,#39522,.T.); #98612=EDGE_CURVE('',#76659,#76661,#39523,.T.); #98613=EDGE_CURVE('',#76655,#76654,#470,.T.); #98614=EDGE_CURVE('',#76653,#76656,#471,.T.); #98615=EDGE_CURVE('',#76663,#76664,#62188,.T.); #98616=EDGE_CURVE('',#76664,#76665,#39524,.T.); #98617=EDGE_CURVE('',#76665,#76666,#62189,.T.); #98618=EDGE_CURVE('',#76666,#76663,#39525,.T.); #98619=EDGE_CURVE('',#76663,#76662,#472,.T.); #98620=EDGE_CURVE('',#76661,#76664,#473,.T.); #98621=EDGE_CURVE('',#76667,#76668,#62190,.T.); #98622=EDGE_CURVE('',#76668,#76669,#39526,.T.); #98623=EDGE_CURVE('',#76669,#76670,#62191,.T.); #98624=EDGE_CURVE('',#76670,#76667,#39527,.T.); #98625=EDGE_CURVE('',#76671,#76672,#62192,.T.); #98626=EDGE_CURVE('',#76672,#76670,#62193,.T.); #98627=EDGE_CURVE('',#76669,#76671,#62194,.T.); #98628=EDGE_CURVE('',#76673,#76674,#62195,.T.); #98629=EDGE_CURVE('',#76674,#76672,#39528,.T.); #98630=EDGE_CURVE('',#76671,#76673,#39529,.T.); #98631=EDGE_CURVE('',#76667,#76666,#474,.T.); #98632=EDGE_CURVE('',#76665,#76668,#475,.T.); #98633=EDGE_CURVE('',#76675,#76676,#62196,.T.); #98634=EDGE_CURVE('',#76676,#76677,#39530,.T.); #98635=EDGE_CURVE('',#76677,#76678,#62197,.T.); #98636=EDGE_CURVE('',#76678,#76675,#39531,.T.); #98637=EDGE_CURVE('',#76675,#76674,#476,.T.); #98638=EDGE_CURVE('',#76673,#76676,#477,.T.); #98639=EDGE_CURVE('',#76679,#76680,#62198,.T.); #98640=EDGE_CURVE('',#76680,#76681,#39532,.T.); #98641=EDGE_CURVE('',#76681,#76682,#62199,.T.); #98642=EDGE_CURVE('',#76682,#76679,#39533,.T.); #98643=EDGE_CURVE('',#76683,#76684,#62200,.T.); #98644=EDGE_CURVE('',#76684,#76682,#62201,.T.); #98645=EDGE_CURVE('',#76681,#76683,#62202,.T.); #98646=EDGE_CURVE('',#76685,#76686,#62203,.T.); #98647=EDGE_CURVE('',#76686,#76684,#39534,.T.); #98648=EDGE_CURVE('',#76683,#76685,#39535,.T.); #98649=EDGE_CURVE('',#76679,#76678,#478,.T.); #98650=EDGE_CURVE('',#76677,#76680,#479,.T.); #98651=EDGE_CURVE('',#76639,#76686,#480,.T.); #98652=EDGE_CURVE('',#76685,#76640,#481,.T.); #98653=EDGE_CURVE('',#76655,#76662,#62204,.T.); #98654=EDGE_CURVE('',#76687,#76666,#62205,.T.); #98655=EDGE_CURVE('',#76687,#76688,#39536,.T.); #98656=EDGE_CURVE('',#76688,#76663,#62206,.T.); #98657=EDGE_CURVE('',#76667,#76674,#62207,.T.); #98658=EDGE_CURVE('',#76689,#76675,#62208,.T.); #98659=EDGE_CURVE('',#76689,#76687,#62209,.T.); #98660=EDGE_CURVE('',#76690,#76678,#62210,.T.); #98661=EDGE_CURVE('',#76690,#76689,#39537,.T.); #98662=EDGE_CURVE('',#76691,#76647,#39538,.T.); #98663=EDGE_CURVE('',#76692,#76691,#39539,.T.); #98664=EDGE_CURVE('',#76657,#76692,#39540,.T.); #98665=EDGE_CURVE('',#76645,#76693,#39541,.T.); #98666=EDGE_CURVE('',#76691,#76693,#62211,.T.); #98667=EDGE_CURVE('',#76694,#76683,#39542,.T.); #98668=EDGE_CURVE('',#76693,#76694,#39543,.T.); #98669=EDGE_CURVE('',#76681,#76695,#39544,.T.); #98670=EDGE_CURVE('',#76694,#76695,#62212,.T.); #98671=EDGE_CURVE('',#76696,#76697,#62213,.T.); #98672=EDGE_CURVE('',#76697,#76698,#39545,.T.); #98673=EDGE_CURVE('',#76698,#76699,#62214,.T.); #98674=EDGE_CURVE('',#76699,#76696,#39546,.T.); #98675=EDGE_CURVE('',#76692,#76700,#62215,.T.); #98676=EDGE_CURVE('',#76700,#76699,#62216,.T.); #98677=EDGE_CURVE('',#76698,#76692,#62217,.T.); #98678=EDGE_CURVE('',#76691,#76701,#62218,.T.); #98679=EDGE_CURVE('',#76701,#76700,#39547,.T.); #98680=EDGE_CURVE('',#76693,#76702,#62219,.T.); #98681=EDGE_CURVE('',#76702,#76701,#62220,.T.); #98682=EDGE_CURVE('',#76694,#76703,#62221,.T.); #98683=EDGE_CURVE('',#76703,#76702,#39548,.T.); #98684=EDGE_CURVE('',#76695,#76704,#62222,.T.); #98685=EDGE_CURVE('',#76704,#76703,#62223,.T.); #98686=EDGE_CURVE('',#76705,#76706,#62224,.T.); #98687=EDGE_CURVE('',#76706,#76704,#39549,.T.); #98688=EDGE_CURVE('',#76695,#76705,#39550,.T.); #98689=EDGE_CURVE('',#76705,#76697,#62225,.T.); #98690=EDGE_CURVE('',#76696,#76706,#62226,.T.); #98691=EDGE_CURVE('',#76698,#76659,#39551,.T.); #98692=EDGE_CURVE('',#76669,#76697,#39552,.T.); #98693=EDGE_CURVE('',#76654,#76707,#62227,.T.); #98694=EDGE_CURVE('',#76707,#76708,#39553,.T.); #98695=EDGE_CURVE('',#76708,#76651,#62228,.T.); #98696=EDGE_CURVE('',#76708,#76709,#62229,.T.); #98697=EDGE_CURVE('',#76709,#76642,#62230,.T.); #98698=EDGE_CURVE('',#76643,#76650,#62231,.T.); #98699=EDGE_CURVE('',#76709,#76710,#39554,.T.); #98700=EDGE_CURVE('',#76710,#76639,#62232,.T.); #98701=EDGE_CURVE('',#76710,#76690,#62233,.T.); #98702=EDGE_CURVE('',#76679,#76686,#62234,.T.); #98703=EDGE_CURVE('',#76688,#76707,#62235,.T.); #98704=EDGE_CURVE('',#76710,#76711,#39555,.T.); #98705=EDGE_CURVE('',#76712,#76711,#62236,.T.); #98706=EDGE_CURVE('',#76712,#76690,#39556,.T.); #98707=EDGE_CURVE('',#76713,#76709,#39557,.T.); #98708=EDGE_CURVE('',#76711,#76713,#39558,.T.); #98709=EDGE_CURVE('',#76708,#76714,#39559,.T.); #98710=EDGE_CURVE('',#76713,#76714,#62237,.T.); #98711=EDGE_CURVE('',#76715,#76707,#39560,.T.); #98712=EDGE_CURVE('',#76714,#76715,#39561,.T.); #98713=EDGE_CURVE('',#76636,#76716,#62238,.T.); #98714=EDGE_CURVE('',#76716,#76717,#39562,.T.); #98715=EDGE_CURVE('',#76717,#76635,#62239,.T.); #98716=EDGE_CURVE('',#76638,#76714,#62240,.T.); #98717=EDGE_CURVE('',#76715,#76636,#62241,.T.); #98718=EDGE_CURVE('',#76715,#76716,#62242,.T.); #98719=EDGE_CURVE('',#76637,#76711,#62243,.T.); #98720=EDGE_CURVE('',#76713,#76638,#62244,.T.); #98721=EDGE_CURVE('',#76635,#76718,#62245,.T.); #98722=EDGE_CURVE('',#76718,#76712,#39563,.T.); #98723=EDGE_CURVE('',#76712,#76637,#62246,.T.); #98724=EDGE_CURVE('',#76717,#76718,#62247,.T.); #98725=EDGE_CURVE('',#76689,#76718,#39564,.T.); #98726=EDGE_CURVE('',#76688,#76716,#39565,.T.); #98727=EDGE_CURVE('',#76717,#76687,#39566,.T.); #98728=EDGE_CURVE('',#76705,#76671,#39567,.T.); #98729=EDGE_CURVE('',#76719,#76720,#39568,.T.); #98730=EDGE_CURVE('',#76721,#76719,#39569,.T.); #98731=EDGE_CURVE('',#76722,#76721,#39570,.T.); #98732=EDGE_CURVE('',#76720,#76722,#39571,.T.); #98733=EDGE_CURVE('',#76723,#76724,#39572,.T.); #98734=EDGE_CURVE('',#76725,#76723,#39573,.T.); #98735=EDGE_CURVE('',#76726,#76725,#39574,.T.); #98736=EDGE_CURVE('',#76724,#76726,#39575,.T.); #98737=EDGE_CURVE('',#76727,#76728,#39576,.T.); #98738=EDGE_CURVE('',#76729,#76727,#39577,.T.); #98739=EDGE_CURVE('',#76730,#76729,#39578,.T.); #98740=EDGE_CURVE('',#76731,#76730,#39579,.T.); #98741=EDGE_CURVE('',#76732,#76731,#39580,.T.); #98742=EDGE_CURVE('',#76728,#76732,#39581,.T.); #98743=EDGE_CURVE('',#76733,#76734,#39582,.T.); #98744=EDGE_CURVE('',#76735,#76733,#39583,.T.); #98745=EDGE_CURVE('',#76736,#76735,#39584,.T.); #98746=EDGE_CURVE('',#76737,#76736,#39585,.T.); #98747=EDGE_CURVE('',#76738,#76737,#39586,.T.); #98748=EDGE_CURVE('',#76734,#76738,#39587,.T.); #98749=EDGE_CURVE('',#76739,#76740,#39588,.T.); #98750=EDGE_CURVE('',#76741,#76739,#39589,.T.); #98751=EDGE_CURVE('',#76742,#76741,#39590,.T.); #98752=EDGE_CURVE('',#76740,#76742,#39591,.T.); #98753=EDGE_CURVE('',#76743,#76744,#39592,.T.); #98754=EDGE_CURVE('',#76745,#76743,#39593,.T.); #98755=EDGE_CURVE('',#76746,#76745,#39594,.T.); #98756=EDGE_CURVE('',#76747,#76746,#39595,.T.); #98757=EDGE_CURVE('',#76748,#76747,#39596,.T.); #98758=EDGE_CURVE('',#76744,#76748,#39597,.T.); #98759=EDGE_CURVE('',#76749,#76750,#39598,.T.); #98760=EDGE_CURVE('',#76751,#76749,#39599,.T.); #98761=EDGE_CURVE('',#76752,#76751,#39600,.T.); #98762=EDGE_CURVE('',#76750,#76752,#39601,.T.); #98763=EDGE_CURVE('',#76753,#76754,#39602,.T.); #98764=EDGE_CURVE('',#76755,#76753,#39603,.T.); #98765=EDGE_CURVE('',#76756,#76755,#39604,.T.); #98766=EDGE_CURVE('',#76754,#76756,#39605,.T.); #98767=EDGE_CURVE('',#76757,#76758,#39606,.T.); #98768=EDGE_CURVE('',#76759,#76757,#39607,.T.); #98769=EDGE_CURVE('',#76760,#76759,#39608,.T.); #98770=EDGE_CURVE('',#76761,#76760,#39609,.T.); #98771=EDGE_CURVE('',#76762,#76761,#39610,.T.); #98772=EDGE_CURVE('',#76758,#76762,#39611,.T.); #98773=EDGE_CURVE('',#76763,#76764,#39612,.T.); #98774=EDGE_CURVE('',#76765,#76763,#39613,.T.); #98775=EDGE_CURVE('',#76766,#76765,#39614,.T.); #98776=EDGE_CURVE('',#76764,#76766,#39615,.T.); #98777=EDGE_CURVE('',#76767,#76768,#39616,.T.); #98778=EDGE_CURVE('',#76769,#76767,#39617,.T.); #98779=EDGE_CURVE('',#76770,#76769,#39618,.T.); #98780=EDGE_CURVE('',#76771,#76770,#39619,.T.); #98781=EDGE_CURVE('',#76772,#76771,#39620,.T.); #98782=EDGE_CURVE('',#76768,#76772,#39621,.T.); #98783=EDGE_CURVE('',#76773,#76774,#39622,.T.); #98784=EDGE_CURVE('',#76775,#76773,#39623,.T.); #98785=EDGE_CURVE('',#76776,#76775,#39624,.T.); #98786=EDGE_CURVE('',#76774,#76776,#39625,.T.); #98787=EDGE_CURVE('',#76777,#76778,#39626,.T.); #98788=EDGE_CURVE('',#76779,#76777,#39627,.T.); #98789=EDGE_CURVE('',#76780,#76779,#39628,.T.); #98790=EDGE_CURVE('',#76778,#76780,#39629,.T.); #98791=EDGE_CURVE('',#76781,#76782,#39630,.T.); #98792=EDGE_CURVE('',#76783,#76781,#39631,.T.); #98793=EDGE_CURVE('',#76784,#76783,#39632,.T.); #98794=EDGE_CURVE('',#76782,#76784,#39633,.T.); #98795=EDGE_CURVE('',#76785,#76786,#39634,.T.); #98796=EDGE_CURVE('',#76787,#76785,#39635,.T.); #98797=EDGE_CURVE('',#76788,#76787,#39636,.T.); #98798=EDGE_CURVE('',#76786,#76788,#39637,.T.); #98799=EDGE_CURVE('',#76789,#76790,#39638,.T.); #98800=EDGE_CURVE('',#76791,#76789,#39639,.T.); #98801=EDGE_CURVE('',#76792,#76791,#39640,.T.); #98802=EDGE_CURVE('',#76790,#76792,#39641,.T.); #98803=EDGE_CURVE('',#76793,#76794,#39642,.T.); #98804=EDGE_CURVE('',#76795,#76793,#39643,.T.); #98805=EDGE_CURVE('',#76796,#76795,#39644,.T.); #98806=EDGE_CURVE('',#76794,#76796,#39645,.T.); #98807=EDGE_CURVE('',#76797,#76798,#39646,.T.); #98808=EDGE_CURVE('',#76799,#76797,#39647,.T.); #98809=EDGE_CURVE('',#76800,#76799,#39648,.T.); #98810=EDGE_CURVE('',#76801,#76800,#39649,.T.); #98811=EDGE_CURVE('',#76802,#76801,#39650,.T.); #98812=EDGE_CURVE('',#76803,#76802,#39651,.T.); #98813=EDGE_CURVE('',#76804,#76803,#39652,.T.); #98814=EDGE_CURVE('',#76805,#76804,#39653,.T.); #98815=EDGE_CURVE('',#76806,#76805,#39654,.T.); #98816=EDGE_CURVE('',#76807,#76806,#39655,.T.); #98817=EDGE_CURVE('',#76808,#76807,#39656,.T.); #98818=EDGE_CURVE('',#76809,#76808,#39657,.T.); #98819=EDGE_CURVE('',#76810,#76809,#39658,.T.); #98820=EDGE_CURVE('',#76811,#76810,#39659,.T.); #98821=EDGE_CURVE('',#76812,#76811,#39660,.T.); #98822=EDGE_CURVE('',#76813,#76812,#39661,.T.); #98823=EDGE_CURVE('',#76814,#76813,#39662,.T.); #98824=EDGE_CURVE('',#76815,#76814,#39663,.T.); #98825=EDGE_CURVE('',#76816,#76815,#39664,.T.); #98826=EDGE_CURVE('',#76817,#76816,#39665,.T.); #98827=EDGE_CURVE('',#76818,#76817,#39666,.T.); #98828=EDGE_CURVE('',#76819,#76818,#39667,.T.); #98829=EDGE_CURVE('',#76820,#76819,#39668,.T.); #98830=EDGE_CURVE('',#76821,#76820,#39669,.T.); #98831=EDGE_CURVE('',#76822,#76821,#39670,.T.); #98832=EDGE_CURVE('',#76823,#76822,#39671,.T.); #98833=EDGE_CURVE('',#76824,#76823,#39672,.T.); #98834=EDGE_CURVE('',#76825,#76824,#39673,.T.); #98835=EDGE_CURVE('',#76826,#76825,#39674,.T.); #98836=EDGE_CURVE('',#76827,#76826,#39675,.T.); #98837=EDGE_CURVE('',#76828,#76827,#39676,.T.); #98838=EDGE_CURVE('',#76829,#76828,#39677,.T.); #98839=EDGE_CURVE('',#76830,#76829,#39678,.T.); #98840=EDGE_CURVE('',#76831,#76830,#39679,.T.); #98841=EDGE_CURVE('',#76832,#76831,#39680,.T.); #98842=EDGE_CURVE('',#76833,#76832,#39681,.T.); #98843=EDGE_CURVE('',#76834,#76833,#39682,.T.); #98844=EDGE_CURVE('',#76835,#76834,#39683,.T.); #98845=EDGE_CURVE('',#76836,#76835,#39684,.T.); #98846=EDGE_CURVE('',#76837,#76836,#39685,.T.); #98847=EDGE_CURVE('',#76838,#76837,#39686,.T.); #98848=EDGE_CURVE('',#76839,#76838,#39687,.T.); #98849=EDGE_CURVE('',#76840,#76839,#39688,.T.); #98850=EDGE_CURVE('',#76798,#76840,#39689,.T.); #98851=EDGE_CURVE('',#76841,#76842,#39690,.T.); #98852=EDGE_CURVE('',#76843,#76841,#39691,.T.); #98853=EDGE_CURVE('',#76844,#76843,#39692,.T.); #98854=EDGE_CURVE('',#76845,#76844,#39693,.T.); #98855=EDGE_CURVE('',#76846,#76845,#39694,.T.); #98856=EDGE_CURVE('',#76842,#76846,#39695,.T.); #98857=EDGE_CURVE('',#76847,#76848,#39696,.T.); #98858=EDGE_CURVE('',#76849,#76847,#39697,.T.); #98859=EDGE_CURVE('',#76850,#76849,#39698,.T.); #98860=EDGE_CURVE('',#76848,#76850,#39699,.T.); #98861=EDGE_CURVE('',#76851,#76852,#39700,.T.); #98862=EDGE_CURVE('',#76853,#76851,#39701,.T.); #98863=EDGE_CURVE('',#76854,#76853,#39702,.T.); #98864=EDGE_CURVE('',#76855,#76854,#62248,.T.); #98865=EDGE_CURVE('',#76856,#76855,#39703,.T.); #98866=EDGE_CURVE('',#76857,#76856,#62249,.T.); #98867=EDGE_CURVE('',#76858,#76857,#39704,.T.); #98868=EDGE_CURVE('',#76859,#76858,#39705,.T.); #98869=EDGE_CURVE('',#76860,#76859,#39706,.T.); #98870=EDGE_CURVE('',#76861,#76860,#62250,.T.); #98871=EDGE_CURVE('',#76862,#76861,#39707,.T.); #98872=EDGE_CURVE('',#76863,#76862,#62251,.T.); #98873=EDGE_CURVE('',#76864,#76863,#39708,.T.); #98874=EDGE_CURVE('',#76865,#76864,#39709,.T.); #98875=EDGE_CURVE('',#76866,#76865,#39710,.T.); #98876=EDGE_CURVE('',#76867,#76866,#62252,.T.); #98877=EDGE_CURVE('',#76868,#76867,#39711,.T.); #98878=EDGE_CURVE('',#76869,#76868,#62253,.T.); #98879=EDGE_CURVE('',#76870,#76869,#39712,.T.); #98880=EDGE_CURVE('',#76871,#76870,#39713,.T.); #98881=EDGE_CURVE('',#76872,#76871,#39714,.T.); #98882=EDGE_CURVE('',#76852,#76872,#39715,.T.); #98883=EDGE_CURVE('',#76873,#76874,#39716,.T.); #98884=EDGE_CURVE('',#76875,#76873,#39717,.T.); #98885=EDGE_CURVE('',#76876,#76875,#39718,.T.); #98886=EDGE_CURVE('',#76877,#76876,#39719,.T.); #98887=EDGE_CURVE('',#76878,#76877,#39720,.T.); #98888=EDGE_CURVE('',#76874,#76878,#39721,.T.); #98889=EDGE_CURVE('',#76879,#76880,#39722,.T.); #98890=EDGE_CURVE('',#76881,#76879,#39723,.T.); #98891=EDGE_CURVE('',#76882,#76881,#39724,.T.); #98892=EDGE_CURVE('',#76883,#76882,#39725,.T.); #98893=EDGE_CURVE('',#76884,#76883,#39726,.T.); #98894=EDGE_CURVE('',#76880,#76884,#39727,.T.); #98895=EDGE_CURVE('',#76885,#76886,#39728,.T.); #98896=EDGE_CURVE('',#76887,#76885,#39729,.T.); #98897=EDGE_CURVE('',#76888,#76887,#39730,.T.); #98898=EDGE_CURVE('',#76889,#76888,#39731,.T.); #98899=EDGE_CURVE('',#76890,#76889,#39732,.T.); #98900=EDGE_CURVE('',#76886,#76890,#39733,.T.); #98901=EDGE_CURVE('',#76891,#76892,#39734,.T.); #98902=EDGE_CURVE('',#76893,#76891,#39735,.T.); #98903=EDGE_CURVE('',#76894,#76893,#39736,.T.); #98904=EDGE_CURVE('',#76895,#76894,#39737,.T.); #98905=EDGE_CURVE('',#76896,#76895,#39738,.T.); #98906=EDGE_CURVE('',#76892,#76896,#39739,.T.); #98907=EDGE_CURVE('',#76897,#76898,#39740,.T.); #98908=EDGE_CURVE('',#76899,#76897,#39741,.T.); #98909=EDGE_CURVE('',#76900,#76899,#39742,.T.); #98910=EDGE_CURVE('',#76898,#76900,#39743,.T.); #98911=EDGE_CURVE('',#76816,#76891,#11,.T.); #98912=EDGE_CURVE('',#76893,#76815,#62254,.T.); #98913=EDGE_CURVE('',#76817,#76897,#12,.T.); #98914=EDGE_CURVE('',#76899,#76816,#13,.T.); #98915=EDGE_CURVE('',#76901,#76817,#14,.T.); #98916=EDGE_CURVE('',#76901,#76902,#39744,.T.); #98917=EDGE_CURVE('',#76818,#76902,#62255,.T.); #98918=EDGE_CURVE('',#76871,#76801,#62256,.T.); #98919=EDGE_CURVE('',#76802,#76870,#62257,.T.); #98920=EDGE_CURVE('',#76820,#76733,#15,.T.); #98921=EDGE_CURVE('',#76735,#76819,#62258,.T.); #98922=EDGE_CURVE('',#76799,#76847,#16,.T.); #98923=EDGE_CURVE('',#76849,#76797,#17,.T.); #98924=EDGE_CURVE('',#76825,#76767,#18,.T.); #98925=EDGE_CURVE('',#76769,#76824,#62259,.T.); #98926=EDGE_CURVE('',#76826,#76793,#19,.T.); #98927=EDGE_CURVE('',#76795,#76825,#20,.T.); #98928=EDGE_CURVE('',#76903,#76826,#21,.T.); #98929=EDGE_CURVE('',#76903,#76904,#39745,.T.); #98930=EDGE_CURVE('',#76827,#76904,#62260,.T.); #98931=EDGE_CURVE('',#76829,#76743,#22,.T.); #98932=EDGE_CURVE('',#76745,#76828,#62261,.T.); #98933=EDGE_CURVE('',#76833,#76757,#23,.T.); #98934=EDGE_CURVE('',#76759,#76832,#62262,.T.); #98935=EDGE_CURVE('',#76838,#76727,#24,.T.); #98936=EDGE_CURVE('',#76729,#76837,#62263,.T.); #98937=EDGE_CURVE('',#76797,#76841,#25,.T.); #98938=EDGE_CURVE('',#76843,#76798,#62264,.T.); #98939=EDGE_CURVE('',#76905,#76799,#26,.T.); #98940=EDGE_CURVE('',#76905,#76906,#39746,.T.); #98941=EDGE_CURVE('',#76800,#76906,#62265,.T.); #98942=EDGE_CURVE('',#76803,#76873,#27,.T.); #98943=EDGE_CURVE('',#76875,#76802,#62266,.T.); #98944=EDGE_CURVE('',#76807,#76879,#28,.T.); #98945=EDGE_CURVE('',#76881,#76806,#62267,.T.); #98946=EDGE_CURVE('',#76811,#76885,#29,.T.); #98947=EDGE_CURVE('',#76887,#76810,#62268,.T.); #98948=EDGE_CURVE('',#76814,#76851,#62269,.T.); #98949=EDGE_CURVE('',#76853,#76813,#62270,.T.); #98950=EDGE_CURVE('',#76907,#76812,#30,.T.); #98951=EDGE_CURVE('',#76907,#76908,#39747,.T.); #98952=EDGE_CURVE('',#76813,#76908,#62271,.T.); #98953=EDGE_CURVE('',#76909,#76907,#39748,.T.); #98954=EDGE_CURVE('',#76910,#76909,#39749,.T.); #98955=EDGE_CURVE('',#76911,#76910,#39750,.T.); #98956=EDGE_CURVE('',#76912,#76911,#39751,.T.); #98957=EDGE_CURVE('',#76908,#76912,#39752,.T.); #98958=EDGE_CURVE('',#76913,#76811,#31,.T.); #98959=EDGE_CURVE('',#76913,#76914,#39753,.T.); #98960=EDGE_CURVE('',#76812,#76914,#32,.T.); #98961=EDGE_CURVE('',#76915,#76913,#39754,.T.); #98962=EDGE_CURVE('',#76916,#76915,#39755,.T.); #98963=EDGE_CURVE('',#76914,#76916,#39756,.T.); #98964=EDGE_CURVE('',#76917,#76890,#33,.T.); #98965=EDGE_CURVE('',#76917,#76918,#39757,.T.); #98966=EDGE_CURVE('',#76918,#76886,#62272,.F.); #98967=EDGE_CURVE('',#76919,#76856,#62273,.T.); #98968=EDGE_CURVE('',#76855,#76920,#62274,.T.); #98969=EDGE_CURVE('',#76920,#76919,#39758,.T.); #98970=EDGE_CURVE('',#76921,#76911,#34,.T.); #98971=EDGE_CURVE('',#76921,#76920,#39759,.T.); #98972=EDGE_CURVE('',#76920,#76912,#62275,.F.); #98973=EDGE_CURVE('',#76908,#76853,#62276,.T.); #98974=EDGE_CURVE('',#76912,#76854,#62277,.T.); #98975=EDGE_CURVE('',#76887,#76858,#62278,.T.); #98976=EDGE_CURVE('',#76810,#76858,#62279,.T.); #98977=EDGE_CURVE('',#76857,#76888,#62280,.T.); #98978=EDGE_CURVE('',#76888,#76919,#62281,.F.); #98979=EDGE_CURVE('',#76922,#76916,#62282,.F.); #98980=EDGE_CURVE('',#76915,#76918,#62283,.F.); #98981=EDGE_CURVE('',#76918,#76922,#39760,.T.); #98982=EDGE_CURVE('',#76914,#76907,#62284,.T.); #98983=EDGE_CURVE('',#76916,#76909,#62285,.F.); #98984=EDGE_CURVE('',#76909,#76922,#62286,.F.); #98985=EDGE_CURVE('',#76885,#76913,#62287,.T.); #98986=EDGE_CURVE('',#76886,#76915,#62288,.F.); #98987=EDGE_CURVE('',#76859,#76809,#62289,.T.); #98988=EDGE_CURVE('',#76923,#76808,#35,.T.); #98989=EDGE_CURVE('',#76923,#76924,#39761,.T.); #98990=EDGE_CURVE('',#76809,#76924,#62290,.T.); #98991=EDGE_CURVE('',#76925,#76923,#39762,.T.); #98992=EDGE_CURVE('',#76926,#76925,#39763,.T.); #98993=EDGE_CURVE('',#76927,#76926,#39764,.T.); #98994=EDGE_CURVE('',#76928,#76927,#39765,.T.); #98995=EDGE_CURVE('',#76924,#76928,#39766,.T.); #98996=EDGE_CURVE('',#76929,#76807,#36,.T.); #98997=EDGE_CURVE('',#76929,#76930,#39767,.T.); #98998=EDGE_CURVE('',#76808,#76930,#37,.T.); #98999=EDGE_CURVE('',#76931,#76929,#39768,.T.); #99000=EDGE_CURVE('',#76932,#76931,#39769,.T.); #99001=EDGE_CURVE('',#76930,#76932,#39770,.T.); #99002=EDGE_CURVE('',#76933,#76884,#38,.T.); #99003=EDGE_CURVE('',#76933,#76934,#39771,.T.); #99004=EDGE_CURVE('',#76934,#76880,#62291,.F.); #99005=EDGE_CURVE('',#76935,#76862,#62292,.T.); #99006=EDGE_CURVE('',#76861,#76936,#62293,.T.); #99007=EDGE_CURVE('',#76936,#76935,#39772,.T.); #99008=EDGE_CURVE('',#76937,#76927,#39,.T.); #99009=EDGE_CURVE('',#76937,#76936,#39773,.T.); #99010=EDGE_CURVE('',#76936,#76928,#62294,.F.); #99011=EDGE_CURVE('',#76924,#76859,#62295,.T.); #99012=EDGE_CURVE('',#76928,#76860,#62296,.T.); #99013=EDGE_CURVE('',#76881,#76864,#62297,.T.); #99014=EDGE_CURVE('',#76806,#76864,#62298,.T.); #99015=EDGE_CURVE('',#76863,#76882,#62299,.T.); #99016=EDGE_CURVE('',#76882,#76935,#62300,.F.); #99017=EDGE_CURVE('',#76938,#76932,#62301,.F.); #99018=EDGE_CURVE('',#76931,#76934,#62302,.F.); #99019=EDGE_CURVE('',#76934,#76938,#39774,.T.); #99020=EDGE_CURVE('',#76930,#76923,#62303,.T.); #99021=EDGE_CURVE('',#76932,#76925,#62304,.F.); #99022=EDGE_CURVE('',#76925,#76938,#62305,.F.); #99023=EDGE_CURVE('',#76879,#76929,#62306,.T.); #99024=EDGE_CURVE('',#76880,#76931,#62307,.F.); #99025=EDGE_CURVE('',#76865,#76805,#62308,.T.); #99026=EDGE_CURVE('',#76939,#76804,#40,.T.); #99027=EDGE_CURVE('',#76939,#76940,#39775,.T.); #99028=EDGE_CURVE('',#76805,#76940,#62309,.T.); #99029=EDGE_CURVE('',#76941,#76939,#39776,.T.); #99030=EDGE_CURVE('',#76942,#76941,#39777,.T.); #99031=EDGE_CURVE('',#76943,#76942,#39778,.T.); #99032=EDGE_CURVE('',#76944,#76943,#39779,.T.); #99033=EDGE_CURVE('',#76940,#76944,#39780,.T.); #99034=EDGE_CURVE('',#76945,#76803,#41,.T.); #99035=EDGE_CURVE('',#76945,#76946,#39781,.T.); #99036=EDGE_CURVE('',#76804,#76946,#42,.T.); #99037=EDGE_CURVE('',#76947,#76945,#39782,.T.); #99038=EDGE_CURVE('',#76948,#76947,#39783,.T.); #99039=EDGE_CURVE('',#76946,#76948,#39784,.T.); #99040=EDGE_CURVE('',#76949,#76878,#43,.T.); #99041=EDGE_CURVE('',#76949,#76950,#39785,.T.); #99042=EDGE_CURVE('',#76950,#76874,#62310,.F.); #99043=EDGE_CURVE('',#76951,#76868,#62311,.T.); #99044=EDGE_CURVE('',#76867,#76952,#62312,.T.); #99045=EDGE_CURVE('',#76952,#76951,#39786,.T.); #99046=EDGE_CURVE('',#76953,#76943,#44,.T.); #99047=EDGE_CURVE('',#76953,#76952,#39787,.T.); #99048=EDGE_CURVE('',#76952,#76944,#62313,.F.); #99049=EDGE_CURVE('',#76940,#76865,#62314,.T.); #99050=EDGE_CURVE('',#76944,#76866,#62315,.T.); #99051=EDGE_CURVE('',#76875,#76870,#62316,.T.); #99052=EDGE_CURVE('',#76869,#76876,#62317,.T.); #99053=EDGE_CURVE('',#76876,#76951,#62318,.F.); #99054=EDGE_CURVE('',#76954,#76948,#62319,.F.); #99055=EDGE_CURVE('',#76947,#76950,#62320,.F.); #99056=EDGE_CURVE('',#76950,#76954,#39788,.T.); #99057=EDGE_CURVE('',#76946,#76939,#62321,.T.); #99058=EDGE_CURVE('',#76948,#76941,#62322,.F.); #99059=EDGE_CURVE('',#76941,#76954,#62323,.F.); #99060=EDGE_CURVE('',#76945,#76873,#62324,.T.); #99061=EDGE_CURVE('',#76874,#76947,#62325,.F.); #99062=EDGE_CURVE('',#76837,#76955,#62326,.T.); #99063=EDGE_CURVE('',#76956,#76836,#62327,.T.); #99064=EDGE_CURVE('',#76956,#76955,#39789,.T.); #99065=EDGE_CURVE('',#76957,#76721,#62328,.F.); #99066=EDGE_CURVE('',#76719,#76958,#62329,.F.); #99067=EDGE_CURVE('',#76958,#76957,#39790,.T.); #99068=EDGE_CURVE('',#76872,#76722,#62330,.F.); #99069=EDGE_CURVE('',#76721,#76852,#62331,.F.); #99070=EDGE_CURVE('',#76959,#76720,#62332,.F.); #99071=EDGE_CURVE('',#76722,#76960,#62333,.F.); #99072=EDGE_CURVE('',#76960,#76959,#39791,.T.); #99073=EDGE_CURVE('',#76961,#76871,#62334,.T.); #99074=EDGE_CURVE('',#76961,#76960,#39792,.T.); #99075=EDGE_CURVE('',#76960,#76872,#62335,.F.); #99076=EDGE_CURVE('',#76801,#76961,#62336,.T.); #99077=EDGE_CURVE('',#76851,#76962,#62337,.T.); #99078=EDGE_CURVE('',#76852,#76957,#62338,.F.); #99079=EDGE_CURVE('',#76957,#76962,#39793,.T.); #99080=EDGE_CURVE('',#76962,#76814,#62339,.T.); #99081=EDGE_CURVE('',#76963,#76719,#62340,.F.); #99082=EDGE_CURVE('',#76720,#76964,#62341,.F.); #99083=EDGE_CURVE('',#76964,#76963,#39794,.T.); #99084=EDGE_CURVE('',#76965,#76956,#62342,.T.); #99085=EDGE_CURVE('',#76965,#76964,#39795,.T.); #99086=EDGE_CURVE('',#76964,#76959,#62343,.F.); #99087=EDGE_CURVE('',#76959,#76956,#39796,.T.); #99088=EDGE_CURVE('',#76836,#76965,#62344,.T.); #99089=EDGE_CURVE('',#76966,#76967,#62345,.T.); #99090=EDGE_CURVE('',#76966,#76958,#39797,.T.); #99091=EDGE_CURVE('',#76958,#76963,#62346,.F.); #99092=EDGE_CURVE('',#76963,#76967,#39798,.T.); #99093=EDGE_CURVE('',#76967,#76823,#62347,.T.); #99094=EDGE_CURVE('',#76823,#76966,#62348,.T.); #99095=EDGE_CURVE('',#76955,#76968,#39799,.T.); #99096=EDGE_CURVE('',#76969,#76961,#39800,.T.); #99097=EDGE_CURVE('',#76970,#76969,#39801,.T.); #99098=EDGE_CURVE('',#76971,#76970,#62349,.T.); #99099=EDGE_CURVE('',#76972,#76971,#39802,.T.); #99100=EDGE_CURVE('',#76973,#76972,#62350,.T.); #99101=EDGE_CURVE('',#76974,#76973,#39803,.T.); #99102=EDGE_CURVE('',#76975,#76974,#39804,.T.); #99103=EDGE_CURVE('',#76976,#76975,#39805,.T.); #99104=EDGE_CURVE('',#76977,#76976,#62351,.T.); #99105=EDGE_CURVE('',#76978,#76977,#39806,.T.); #99106=EDGE_CURVE('',#76968,#76978,#62352,.T.); #99107=EDGE_CURVE('',#76969,#76800,#62353,.T.); #99108=EDGE_CURVE('',#76979,#76905,#39807,.T.); #99109=EDGE_CURVE('',#76980,#76979,#39808,.T.); #99110=EDGE_CURVE('',#76981,#76980,#39809,.T.); #99111=EDGE_CURVE('',#76982,#76981,#39810,.T.); #99112=EDGE_CURVE('',#76906,#76982,#39811,.T.); #99113=EDGE_CURVE('',#76983,#76848,#62354,.F.); #99114=EDGE_CURVE('',#76850,#76984,#62355,.F.); #99115=EDGE_CURVE('',#76984,#76983,#39812,.T.); #99116=EDGE_CURVE('',#76985,#76846,#45,.T.); #99117=EDGE_CURVE('',#76985,#76984,#39813,.T.); #99118=EDGE_CURVE('',#76984,#76842,#62356,.F.); #99119=EDGE_CURVE('',#76986,#76972,#62357,.T.); #99120=EDGE_CURVE('',#76971,#76987,#62358,.T.); #99121=EDGE_CURVE('',#76987,#76986,#39814,.T.); #99122=EDGE_CURVE('',#76974,#76843,#62359,.T.); #99123=EDGE_CURVE('',#76973,#76844,#62360,.T.); #99124=EDGE_CURVE('',#76798,#76974,#62361,.T.); #99125=EDGE_CURVE('',#76844,#76986,#62362,.F.); #99126=EDGE_CURVE('',#76988,#76981,#46,.T.); #99127=EDGE_CURVE('',#76988,#76987,#39815,.T.); #99128=EDGE_CURVE('',#76987,#76982,#62363,.F.); #99129=EDGE_CURVE('',#76906,#76969,#62364,.T.); #99130=EDGE_CURVE('',#76982,#76970,#62365,.T.); #99131=EDGE_CURVE('',#76849,#76841,#62366,.T.); #99132=EDGE_CURVE('',#76842,#76850,#62367,.F.); #99133=EDGE_CURVE('',#76847,#76905,#62368,.T.); #99134=EDGE_CURVE('',#76848,#76979,#62369,.F.); #99135=EDGE_CURVE('',#76979,#76983,#62370,.F.); #99136=EDGE_CURVE('',#76975,#76840,#62371,.T.); #99137=EDGE_CURVE('',#76989,#76839,#47,.T.); #99138=EDGE_CURVE('',#76989,#76990,#39816,.T.); #99139=EDGE_CURVE('',#76840,#76990,#62372,.T.); #99140=EDGE_CURVE('',#76991,#76989,#39817,.T.); #99141=EDGE_CURVE('',#76992,#76991,#39818,.T.); #99142=EDGE_CURVE('',#76993,#76992,#39819,.T.); #99143=EDGE_CURVE('',#76994,#76993,#39820,.T.); #99144=EDGE_CURVE('',#76990,#76994,#39821,.T.); #99145=EDGE_CURVE('',#76995,#76838,#48,.T.); #99146=EDGE_CURVE('',#76995,#76996,#39822,.T.); #99147=EDGE_CURVE('',#76839,#76996,#49,.T.); #99148=EDGE_CURVE('',#76997,#76995,#39823,.T.); #99149=EDGE_CURVE('',#76998,#76997,#39824,.T.); #99150=EDGE_CURVE('',#76996,#76998,#39825,.T.); #99151=EDGE_CURVE('',#76999,#76732,#50,.T.); #99152=EDGE_CURVE('',#76999,#77000,#39826,.T.); #99153=EDGE_CURVE('',#77000,#76728,#62373,.F.); #99154=EDGE_CURVE('',#77001,#76978,#62374,.T.); #99155=EDGE_CURVE('',#76977,#77002,#62375,.T.); #99156=EDGE_CURVE('',#77002,#77001,#39827,.T.); #99157=EDGE_CURVE('',#77003,#76993,#51,.T.); #99158=EDGE_CURVE('',#77003,#77002,#39828,.T.); #99159=EDGE_CURVE('',#77002,#76994,#62376,.F.); #99160=EDGE_CURVE('',#76990,#76975,#62377,.T.); #99161=EDGE_CURVE('',#76994,#76976,#62378,.T.); #99162=EDGE_CURVE('',#76729,#76955,#62379,.T.); #99163=EDGE_CURVE('',#76968,#76730,#62380,.T.); #99164=EDGE_CURVE('',#76730,#77001,#62381,.F.); #99165=EDGE_CURVE('',#77004,#76998,#62382,.F.); #99166=EDGE_CURVE('',#76997,#77000,#62383,.F.); #99167=EDGE_CURVE('',#77000,#77004,#39829,.T.); #99168=EDGE_CURVE('',#76996,#76989,#62384,.T.); #99169=EDGE_CURVE('',#76998,#76991,#62385,.F.); #99170=EDGE_CURVE('',#76991,#77004,#62386,.F.); #99171=EDGE_CURVE('',#76727,#76995,#62387,.T.); #99172=EDGE_CURVE('',#76728,#76997,#62388,.F.); #99173=EDGE_CURVE('',#76815,#77005,#62389,.T.); #99174=EDGE_CURVE('',#76962,#77005,#39830,.T.); #99175=EDGE_CURVE('',#77006,#77007,#39831,.T.); #99176=EDGE_CURVE('',#77008,#77006,#39832,.T.); #99177=EDGE_CURVE('',#77009,#77008,#39833,.T.); #99178=EDGE_CURVE('',#77010,#77009,#62390,.T.); #99179=EDGE_CURVE('',#77011,#77010,#39834,.T.); #99180=EDGE_CURVE('',#77012,#77011,#62391,.T.); #99181=EDGE_CURVE('',#77005,#77012,#39835,.T.); #99182=EDGE_CURVE('',#77013,#76966,#39836,.T.); #99183=EDGE_CURVE('',#77014,#77013,#39837,.T.); #99184=EDGE_CURVE('',#77015,#77014,#62392,.T.); #99185=EDGE_CURVE('',#77016,#77015,#39838,.T.); #99186=EDGE_CURVE('',#77007,#77016,#62393,.T.); #99187=EDGE_CURVE('',#76819,#77006,#62394,.T.); #99188=EDGE_CURVE('',#77008,#76818,#62395,.T.); #99189=EDGE_CURVE('',#77017,#76901,#39839,.T.); #99190=EDGE_CURVE('',#77018,#77017,#39840,.T.); #99191=EDGE_CURVE('',#77019,#77018,#39841,.T.); #99192=EDGE_CURVE('',#77020,#77019,#39842,.T.); #99193=EDGE_CURVE('',#76902,#77020,#39843,.T.); #99194=EDGE_CURVE('',#77021,#76898,#62396,.F.); #99195=EDGE_CURVE('',#76900,#77022,#62397,.F.); #99196=EDGE_CURVE('',#77022,#77021,#39844,.T.); #99197=EDGE_CURVE('',#77023,#76896,#52,.T.); #99198=EDGE_CURVE('',#77023,#77022,#39845,.T.); #99199=EDGE_CURVE('',#77022,#76892,#62398,.F.); #99200=EDGE_CURVE('',#77024,#77011,#62399,.T.); #99201=EDGE_CURVE('',#77010,#77025,#62400,.T.); #99202=EDGE_CURVE('',#77025,#77024,#39846,.T.); #99203=EDGE_CURVE('',#77005,#76893,#62401,.T.); #99204=EDGE_CURVE('',#77012,#76894,#62402,.T.); #99205=EDGE_CURVE('',#76894,#77024,#62403,.F.); #99206=EDGE_CURVE('',#77026,#77019,#53,.T.); #99207=EDGE_CURVE('',#77026,#77025,#39847,.T.); #99208=EDGE_CURVE('',#77025,#77020,#62404,.F.); #99209=EDGE_CURVE('',#76902,#77008,#62405,.T.); #99210=EDGE_CURVE('',#77020,#77009,#62406,.T.); #99211=EDGE_CURVE('',#76891,#76899,#62407,.T.); #99212=EDGE_CURVE('',#76892,#76900,#62408,.F.); #99213=EDGE_CURVE('',#76897,#76901,#62409,.T.); #99214=EDGE_CURVE('',#76898,#77017,#62410,.F.); #99215=EDGE_CURVE('',#77017,#77021,#62411,.F.); #99216=EDGE_CURVE('',#77013,#76822,#62412,.T.); #99217=EDGE_CURVE('',#77027,#76821,#54,.T.); #99218=EDGE_CURVE('',#77027,#77028,#39848,.T.); #99219=EDGE_CURVE('',#76822,#77028,#62413,.T.); #99220=EDGE_CURVE('',#77029,#77027,#39849,.T.); #99221=EDGE_CURVE('',#77030,#77029,#39850,.T.); #99222=EDGE_CURVE('',#77031,#77030,#39851,.T.); #99223=EDGE_CURVE('',#77032,#77031,#39852,.T.); #99224=EDGE_CURVE('',#77028,#77032,#39853,.T.); #99225=EDGE_CURVE('',#77033,#76820,#55,.T.); #99226=EDGE_CURVE('',#77033,#77034,#39854,.T.); #99227=EDGE_CURVE('',#76821,#77034,#56,.T.); #99228=EDGE_CURVE('',#77035,#77033,#39855,.T.); #99229=EDGE_CURVE('',#77036,#77035,#39856,.T.); #99230=EDGE_CURVE('',#77034,#77036,#39857,.T.); #99231=EDGE_CURVE('',#77037,#76738,#57,.T.); #99232=EDGE_CURVE('',#77037,#77038,#39858,.T.); #99233=EDGE_CURVE('',#77038,#76734,#62414,.F.); #99234=EDGE_CURVE('',#77039,#77016,#62415,.T.); #99235=EDGE_CURVE('',#77015,#77040,#62416,.T.); #99236=EDGE_CURVE('',#77040,#77039,#39859,.T.); #99237=EDGE_CURVE('',#77041,#77031,#58,.T.); #99238=EDGE_CURVE('',#77041,#77040,#39860,.T.); #99239=EDGE_CURVE('',#77040,#77032,#62417,.F.); #99240=EDGE_CURVE('',#77028,#77013,#62418,.T.); #99241=EDGE_CURVE('',#77032,#77014,#62419,.T.); #99242=EDGE_CURVE('',#76735,#77006,#62420,.T.); #99243=EDGE_CURVE('',#77007,#76736,#62421,.T.); #99244=EDGE_CURVE('',#76736,#77039,#62422,.F.); #99245=EDGE_CURVE('',#77042,#77036,#62423,.F.); #99246=EDGE_CURVE('',#77035,#77038,#62424,.F.); #99247=EDGE_CURVE('',#77038,#77042,#39861,.T.); #99248=EDGE_CURVE('',#77034,#77027,#62425,.T.); #99249=EDGE_CURVE('',#77036,#77029,#62426,.F.); #99250=EDGE_CURVE('',#77029,#77042,#62427,.F.); #99251=EDGE_CURVE('',#76733,#77033,#62428,.T.); #99252=EDGE_CURVE('',#76734,#77035,#62429,.F.); #99253=EDGE_CURVE('',#76824,#77043,#62430,.T.); #99254=EDGE_CURVE('',#76967,#77043,#39862,.T.); #99255=EDGE_CURVE('',#77044,#77045,#39863,.T.); #99256=EDGE_CURVE('',#77046,#77044,#39864,.T.); #99257=EDGE_CURVE('',#77047,#77046,#39865,.T.); #99258=EDGE_CURVE('',#77048,#77047,#62431,.T.); #99259=EDGE_CURVE('',#77049,#77048,#39866,.T.); #99260=EDGE_CURVE('',#77050,#77049,#62432,.T.); #99261=EDGE_CURVE('',#77043,#77050,#39867,.T.); #99262=EDGE_CURVE('',#77051,#76965,#39868,.T.); #99263=EDGE_CURVE('',#77052,#77051,#39869,.T.); #99264=EDGE_CURVE('',#77053,#77052,#62433,.T.); #99265=EDGE_CURVE('',#77054,#77053,#39870,.T.); #99266=EDGE_CURVE('',#77055,#77054,#62434,.T.); #99267=EDGE_CURVE('',#77056,#77055,#39871,.T.); #99268=EDGE_CURVE('',#77057,#77056,#39872,.T.); #99269=EDGE_CURVE('',#77058,#77057,#39873,.T.); #99270=EDGE_CURVE('',#77059,#77058,#62435,.T.); #99271=EDGE_CURVE('',#77060,#77059,#39874,.T.); #99272=EDGE_CURVE('',#77045,#77060,#62436,.T.); #99273=EDGE_CURVE('',#76828,#77044,#62437,.T.); #99274=EDGE_CURVE('',#77046,#76827,#62438,.T.); #99275=EDGE_CURVE('',#77061,#76903,#39875,.T.); #99276=EDGE_CURVE('',#77062,#77061,#39876,.T.); #99277=EDGE_CURVE('',#77063,#77062,#39877,.T.); #99278=EDGE_CURVE('',#77064,#77063,#39878,.T.); #99279=EDGE_CURVE('',#76904,#77064,#39879,.T.); #99280=EDGE_CURVE('',#77065,#76794,#62439,.F.); #99281=EDGE_CURVE('',#76796,#77066,#62440,.F.); #99282=EDGE_CURVE('',#77066,#77065,#39880,.T.); #99283=EDGE_CURVE('',#77067,#76772,#59,.T.); #99284=EDGE_CURVE('',#77067,#77066,#39881,.T.); #99285=EDGE_CURVE('',#77066,#76768,#62441,.F.); #99286=EDGE_CURVE('',#77068,#77049,#62442,.T.); #99287=EDGE_CURVE('',#77048,#77069,#62443,.T.); #99288=EDGE_CURVE('',#77069,#77068,#39882,.T.); #99289=EDGE_CURVE('',#77043,#76769,#62444,.T.); #99290=EDGE_CURVE('',#77050,#76770,#62445,.T.); #99291=EDGE_CURVE('',#76770,#77068,#62446,.F.); #99292=EDGE_CURVE('',#77070,#77063,#60,.T.); #99293=EDGE_CURVE('',#77070,#77069,#39883,.T.); #99294=EDGE_CURVE('',#77069,#77064,#62447,.F.); #99295=EDGE_CURVE('',#76904,#77046,#62448,.T.); #99296=EDGE_CURVE('',#77064,#77047,#62449,.T.); #99297=EDGE_CURVE('',#76767,#76795,#62450,.T.); #99298=EDGE_CURVE('',#76768,#76796,#62451,.F.); #99299=EDGE_CURVE('',#76793,#76903,#62452,.T.); #99300=EDGE_CURVE('',#76794,#77061,#62453,.F.); #99301=EDGE_CURVE('',#77061,#77065,#62454,.F.); #99302=EDGE_CURVE('',#77051,#76835,#62455,.T.); #99303=EDGE_CURVE('',#77071,#76834,#61,.T.); #99304=EDGE_CURVE('',#77071,#77072,#39884,.T.); #99305=EDGE_CURVE('',#76835,#77072,#62456,.T.); #99306=EDGE_CURVE('',#77073,#77071,#39885,.T.); #99307=EDGE_CURVE('',#77074,#77073,#39886,.T.); #99308=EDGE_CURVE('',#77075,#77074,#39887,.T.); #99309=EDGE_CURVE('',#77076,#77075,#39888,.T.); #99310=EDGE_CURVE('',#77072,#77076,#39889,.T.); #99311=EDGE_CURVE('',#77077,#76833,#62,.T.); #99312=EDGE_CURVE('',#77077,#77078,#39890,.T.); #99313=EDGE_CURVE('',#76834,#77078,#63,.T.); #99314=EDGE_CURVE('',#77079,#77077,#39891,.T.); #99315=EDGE_CURVE('',#77080,#77079,#39892,.T.); #99316=EDGE_CURVE('',#77078,#77080,#39893,.T.); #99317=EDGE_CURVE('',#77081,#76762,#64,.T.); #99318=EDGE_CURVE('',#77081,#77082,#39894,.T.); #99319=EDGE_CURVE('',#77082,#76758,#62457,.F.); #99320=EDGE_CURVE('',#77083,#77054,#62458,.T.); #99321=EDGE_CURVE('',#77053,#77084,#62459,.T.); #99322=EDGE_CURVE('',#77084,#77083,#39895,.T.); #99323=EDGE_CURVE('',#77085,#77075,#65,.T.); #99324=EDGE_CURVE('',#77085,#77084,#39896,.T.); #99325=EDGE_CURVE('',#77084,#77076,#62460,.F.); #99326=EDGE_CURVE('',#77072,#77051,#62461,.T.); #99327=EDGE_CURVE('',#77076,#77052,#62462,.T.); #99328=EDGE_CURVE('',#76759,#77056,#62463,.T.); #99329=EDGE_CURVE('',#76832,#77056,#62464,.T.); #99330=EDGE_CURVE('',#77055,#76760,#62465,.T.); #99331=EDGE_CURVE('',#76760,#77083,#62466,.F.); #99332=EDGE_CURVE('',#77086,#77080,#62467,.F.); #99333=EDGE_CURVE('',#77079,#77082,#62468,.F.); #99334=EDGE_CURVE('',#77082,#77086,#39897,.T.); #99335=EDGE_CURVE('',#77078,#77071,#62469,.T.); #99336=EDGE_CURVE('',#77080,#77073,#62470,.F.); #99337=EDGE_CURVE('',#77073,#77086,#62471,.F.); #99338=EDGE_CURVE('',#76757,#77077,#62472,.T.); #99339=EDGE_CURVE('',#76758,#77079,#62473,.F.); #99340=EDGE_CURVE('',#77057,#76831,#62474,.T.); #99341=EDGE_CURVE('',#77087,#76830,#66,.T.); #99342=EDGE_CURVE('',#77087,#77088,#39898,.T.); #99343=EDGE_CURVE('',#76831,#77088,#62475,.T.); #99344=EDGE_CURVE('',#77089,#77087,#39899,.T.); #99345=EDGE_CURVE('',#77090,#77089,#39900,.T.); #99346=EDGE_CURVE('',#77091,#77090,#39901,.T.); #99347=EDGE_CURVE('',#77092,#77091,#39902,.T.); #99348=EDGE_CURVE('',#77088,#77092,#39903,.T.); #99349=EDGE_CURVE('',#77093,#76829,#67,.T.); #99350=EDGE_CURVE('',#77093,#77094,#39904,.T.); #99351=EDGE_CURVE('',#76830,#77094,#68,.T.); #99352=EDGE_CURVE('',#77095,#77093,#39905,.T.); #99353=EDGE_CURVE('',#77096,#77095,#39906,.T.); #99354=EDGE_CURVE('',#77094,#77096,#39907,.T.); #99355=EDGE_CURVE('',#77097,#76748,#69,.T.); #99356=EDGE_CURVE('',#77097,#77098,#39908,.T.); #99357=EDGE_CURVE('',#77098,#76744,#62476,.F.); #99358=EDGE_CURVE('',#77099,#77060,#62477,.T.); #99359=EDGE_CURVE('',#77059,#77100,#62478,.T.); #99360=EDGE_CURVE('',#77100,#77099,#39909,.T.); #99361=EDGE_CURVE('',#77101,#77091,#70,.T.); #99362=EDGE_CURVE('',#77101,#77100,#39910,.T.); #99363=EDGE_CURVE('',#77100,#77092,#62479,.F.); #99364=EDGE_CURVE('',#77088,#77057,#62480,.T.); #99365=EDGE_CURVE('',#77092,#77058,#62481,.T.); #99366=EDGE_CURVE('',#76745,#77044,#62482,.T.); #99367=EDGE_CURVE('',#77045,#76746,#62483,.T.); #99368=EDGE_CURVE('',#76746,#77099,#62484,.F.); #99369=EDGE_CURVE('',#77102,#77096,#62485,.F.); #99370=EDGE_CURVE('',#77095,#77098,#62486,.F.); #99371=EDGE_CURVE('',#77098,#77102,#39911,.T.); #99372=EDGE_CURVE('',#77094,#77087,#62487,.T.); #99373=EDGE_CURVE('',#77096,#77089,#62488,.F.); #99374=EDGE_CURVE('',#77089,#77102,#62489,.F.); #99375=EDGE_CURVE('',#76743,#77093,#62490,.T.); #99376=EDGE_CURVE('',#76744,#77095,#62491,.F.); #99377=EDGE_CURVE('',#76748,#76739,#71,.T.); #99378=EDGE_CURVE('',#76741,#76747,#72,.T.); #99379=EDGE_CURVE('',#76747,#77103,#73,.T.); #99380=EDGE_CURVE('',#77099,#77103,#39912,.T.); #99381=EDGE_CURVE('',#77103,#77101,#39913,.T.); #99382=EDGE_CURVE('',#77101,#76742,#62492,.T.); #99383=EDGE_CURVE('',#77091,#76742,#74,.T.); #99384=EDGE_CURVE('',#77103,#76741,#62493,.T.); #99385=EDGE_CURVE('',#76762,#76753,#75,.T.); #99386=EDGE_CURVE('',#76755,#76761,#76,.T.); #99387=EDGE_CURVE('',#76761,#77104,#77,.T.); #99388=EDGE_CURVE('',#77083,#77104,#39914,.T.); #99389=EDGE_CURVE('',#77104,#77085,#39915,.T.); #99390=EDGE_CURVE('',#77085,#76756,#62494,.T.); #99391=EDGE_CURVE('',#77075,#76756,#78,.T.); #99392=EDGE_CURVE('',#77104,#76755,#62495,.T.); #99393=EDGE_CURVE('',#76754,#77074,#79,.T.); #99394=EDGE_CURVE('',#77074,#77105,#80,.T.); #99395=EDGE_CURVE('',#77086,#77105,#39916,.T.); #99396=EDGE_CURVE('',#77105,#77081,#39917,.T.); #99397=EDGE_CURVE('',#77081,#76753,#62496,.T.); #99398=EDGE_CURVE('',#77105,#76754,#62497,.T.); #99399=EDGE_CURVE('',#76740,#77090,#81,.T.); #99400=EDGE_CURVE('',#77090,#77106,#82,.T.); #99401=EDGE_CURVE('',#77102,#77106,#39918,.T.); #99402=EDGE_CURVE('',#77106,#77097,#39919,.T.); #99403=EDGE_CURVE('',#77097,#76739,#62498,.T.); #99404=EDGE_CURVE('',#77106,#76740,#62499,.T.); #99405=EDGE_CURVE('',#76772,#76763,#83,.T.); #99406=EDGE_CURVE('',#76765,#76771,#84,.T.); #99407=EDGE_CURVE('',#76771,#77107,#85,.T.); #99408=EDGE_CURVE('',#77068,#77107,#39920,.T.); #99409=EDGE_CURVE('',#77107,#77070,#39921,.T.); #99410=EDGE_CURVE('',#77070,#76766,#62500,.T.); #99411=EDGE_CURVE('',#77063,#76766,#86,.T.); #99412=EDGE_CURVE('',#77107,#76765,#62501,.T.); #99413=EDGE_CURVE('',#76738,#76785,#87,.T.); #99414=EDGE_CURVE('',#76787,#76737,#88,.T.); #99415=EDGE_CURVE('',#76737,#77108,#89,.T.); #99416=EDGE_CURVE('',#77039,#77108,#39922,.T.); #99417=EDGE_CURVE('',#77108,#77041,#39923,.T.); #99418=EDGE_CURVE('',#77041,#76788,#62502,.T.); #99419=EDGE_CURVE('',#77031,#76788,#90,.T.); #99420=EDGE_CURVE('',#77108,#76787,#62503,.T.); #99421=EDGE_CURVE('',#76786,#77030,#91,.T.); #99422=EDGE_CURVE('',#77030,#77109,#92,.T.); #99423=EDGE_CURVE('',#77042,#77109,#39924,.T.); #99424=EDGE_CURVE('',#77109,#77037,#39925,.T.); #99425=EDGE_CURVE('',#77037,#76785,#62504,.T.); #99426=EDGE_CURVE('',#77109,#76786,#62505,.T.); #99427=EDGE_CURVE('',#76896,#76789,#93,.T.); #99428=EDGE_CURVE('',#76791,#76895,#94,.T.); #99429=EDGE_CURVE('',#76895,#77110,#95,.T.); #99430=EDGE_CURVE('',#77024,#77110,#39926,.T.); #99431=EDGE_CURVE('',#77110,#77026,#39927,.T.); #99432=EDGE_CURVE('',#77026,#76792,#62506,.T.); #99433=EDGE_CURVE('',#77019,#76792,#96,.T.); #99434=EDGE_CURVE('',#77110,#76791,#62507,.T.); #99435=EDGE_CURVE('',#76890,#76777,#97,.T.); #99436=EDGE_CURVE('',#76779,#76889,#98,.T.); #99437=EDGE_CURVE('',#76889,#77111,#99,.T.); #99438=EDGE_CURVE('',#76919,#77111,#39928,.T.); #99439=EDGE_CURVE('',#77111,#76921,#39929,.T.); #99440=EDGE_CURVE('',#76921,#76780,#62508,.T.); #99441=EDGE_CURVE('',#76911,#76780,#100,.T.); #99442=EDGE_CURVE('',#77111,#76779,#62509,.T.); #99443=EDGE_CURVE('',#76884,#76773,#101,.T.); #99444=EDGE_CURVE('',#76775,#76883,#102,.T.); #99445=EDGE_CURVE('',#76883,#77112,#103,.T.); #99446=EDGE_CURVE('',#76935,#77112,#39930,.T.); #99447=EDGE_CURVE('',#77112,#76937,#39931,.T.); #99448=EDGE_CURVE('',#76937,#76776,#62510,.T.); #99449=EDGE_CURVE('',#76927,#76776,#104,.T.); #99450=EDGE_CURVE('',#77112,#76775,#62511,.T.); #99451=EDGE_CURVE('',#76878,#76723,#105,.T.); #99452=EDGE_CURVE('',#76725,#76877,#106,.T.); #99453=EDGE_CURVE('',#76877,#77113,#107,.T.); #99454=EDGE_CURVE('',#76951,#77113,#39932,.T.); #99455=EDGE_CURVE('',#77113,#76953,#39933,.T.); #99456=EDGE_CURVE('',#76953,#76726,#62512,.T.); #99457=EDGE_CURVE('',#76943,#76726,#108,.T.); #99458=EDGE_CURVE('',#77113,#76725,#62513,.T.); #99459=EDGE_CURVE('',#76981,#76749,#109,.T.); #99460=EDGE_CURVE('',#76751,#76980,#110,.T.); #99461=EDGE_CURVE('',#76980,#77114,#111,.T.); #99462=EDGE_CURVE('',#76983,#77114,#39934,.T.); #99463=EDGE_CURVE('',#77114,#76985,#39935,.T.); #99464=EDGE_CURVE('',#76985,#76752,#62514,.T.); #99465=EDGE_CURVE('',#76846,#76752,#112,.T.); #99466=EDGE_CURVE('',#77114,#76751,#62515,.T.); #99467=EDGE_CURVE('',#76750,#76845,#113,.T.); #99468=EDGE_CURVE('',#76845,#77115,#114,.T.); #99469=EDGE_CURVE('',#76986,#77115,#39936,.T.); #99470=EDGE_CURVE('',#77115,#76988,#39937,.T.); #99471=EDGE_CURVE('',#76988,#76749,#62516,.T.); #99472=EDGE_CURVE('',#77115,#76750,#62517,.T.); #99473=EDGE_CURVE('',#76724,#76942,#115,.T.); #99474=EDGE_CURVE('',#76942,#77116,#116,.T.); #99475=EDGE_CURVE('',#76954,#77116,#39938,.T.); #99476=EDGE_CURVE('',#77116,#76949,#39939,.T.); #99477=EDGE_CURVE('',#76949,#76723,#62518,.T.); #99478=EDGE_CURVE('',#77116,#76724,#62519,.T.); #99479=EDGE_CURVE('',#76774,#76926,#117,.T.); #99480=EDGE_CURVE('',#76926,#77117,#118,.T.); #99481=EDGE_CURVE('',#76938,#77117,#39940,.T.); #99482=EDGE_CURVE('',#77117,#76933,#39941,.T.); #99483=EDGE_CURVE('',#76933,#76773,#62520,.T.); #99484=EDGE_CURVE('',#77117,#76774,#62521,.T.); #99485=EDGE_CURVE('',#76778,#76910,#119,.T.); #99486=EDGE_CURVE('',#76910,#77118,#120,.T.); #99487=EDGE_CURVE('',#76922,#77118,#39942,.T.); #99488=EDGE_CURVE('',#77118,#76917,#39943,.T.); #99489=EDGE_CURVE('',#76917,#76777,#62522,.T.); #99490=EDGE_CURVE('',#77118,#76778,#62523,.T.); #99491=EDGE_CURVE('',#76790,#77018,#121,.T.); #99492=EDGE_CURVE('',#77018,#77119,#122,.T.); #99493=EDGE_CURVE('',#77021,#77119,#39944,.T.); #99494=EDGE_CURVE('',#77119,#77023,#39945,.T.); #99495=EDGE_CURVE('',#77023,#76789,#62524,.T.); #99496=EDGE_CURVE('',#77119,#76790,#62525,.T.); #99497=EDGE_CURVE('',#76764,#77062,#123,.T.); #99498=EDGE_CURVE('',#77062,#77120,#124,.T.); #99499=EDGE_CURVE('',#77065,#77120,#39946,.T.); #99500=EDGE_CURVE('',#77120,#77067,#39947,.T.); #99501=EDGE_CURVE('',#77067,#76763,#62526,.T.); #99502=EDGE_CURVE('',#77120,#76764,#62527,.T.); #99503=EDGE_CURVE('',#76732,#76781,#125,.T.); #99504=EDGE_CURVE('',#76783,#76731,#126,.T.); #99505=EDGE_CURVE('',#76731,#77121,#127,.T.); #99506=EDGE_CURVE('',#77001,#77121,#39948,.T.); #99507=EDGE_CURVE('',#77121,#77003,#39949,.T.); #99508=EDGE_CURVE('',#77003,#76784,#62528,.T.); #99509=EDGE_CURVE('',#76993,#76784,#128,.T.); #99510=EDGE_CURVE('',#77121,#76783,#62529,.T.); #99511=EDGE_CURVE('',#76782,#76992,#129,.T.); #99512=EDGE_CURVE('',#76992,#77122,#130,.T.); #99513=EDGE_CURVE('',#77004,#77122,#39950,.T.); #99514=EDGE_CURVE('',#77122,#76999,#39951,.T.); #99515=EDGE_CURVE('',#76999,#76781,#62530,.T.); #99516=EDGE_CURVE('',#77122,#76782,#62531,.T.); #99517=EDGE_CURVE('',#77123,#77124,#39952,.T.); #99518=EDGE_CURVE('',#77123,#77125,#482,.T.); #99519=EDGE_CURVE('',#77125,#77126,#62532,.T.); #99520=EDGE_CURVE('',#77126,#77124,#483,.T.); #99521=EDGE_CURVE('',#77127,#77128,#39953,.T.); #99522=EDGE_CURVE('',#77127,#77123,#484,.T.); #99523=EDGE_CURVE('',#77124,#77128,#485,.T.); #99524=EDGE_CURVE('',#77129,#77130,#39954,.T.); #99525=EDGE_CURVE('',#77129,#77127,#486,.T.); #99526=EDGE_CURVE('',#77128,#77130,#487,.T.); #99527=EDGE_CURVE('',#77131,#77132,#39955,.T.); #99528=EDGE_CURVE('',#77131,#77129,#488,.T.); #99529=EDGE_CURVE('',#77130,#77132,#489,.T.); #99530=EDGE_CURVE('',#77133,#77134,#39956,.T.); #99531=EDGE_CURVE('',#77133,#77131,#490,.T.); #99532=EDGE_CURVE('',#77132,#77134,#491,.T.); #99533=EDGE_CURVE('',#77135,#77136,#39957,.T.); #99534=EDGE_CURVE('',#77135,#77133,#492,.T.); #99535=EDGE_CURVE('',#77134,#77136,#493,.T.); #99536=EDGE_CURVE('',#77137,#77138,#39958,.T.); #99537=EDGE_CURVE('',#77137,#77135,#494,.T.); #99538=EDGE_CURVE('',#77136,#77138,#495,.T.); #99539=EDGE_CURVE('',#77139,#77140,#39959,.T.); #99540=EDGE_CURVE('',#77139,#77137,#496,.T.); #99541=EDGE_CURVE('',#77138,#77140,#497,.T.); #99542=EDGE_CURVE('',#77141,#77142,#39960,.T.); #99543=EDGE_CURVE('',#77141,#77139,#498,.T.); #99544=EDGE_CURVE('',#77140,#77142,#499,.T.); #99545=EDGE_CURVE('',#77143,#77144,#39961,.T.); #99546=EDGE_CURVE('',#77143,#77141,#500,.T.); #99547=EDGE_CURVE('',#77142,#77144,#501,.T.); #99548=EDGE_CURVE('',#77145,#77146,#39962,.T.); #99549=EDGE_CURVE('',#77145,#77143,#502,.T.); #99550=EDGE_CURVE('',#77144,#77146,#503,.T.); #99551=EDGE_CURVE('',#77147,#77148,#39963,.T.); #99552=EDGE_CURVE('',#77147,#77145,#504,.T.); #99553=EDGE_CURVE('',#77146,#77148,#505,.T.); #99554=EDGE_CURVE('',#77149,#77150,#39964,.T.); #99555=EDGE_CURVE('',#77149,#77147,#506,.T.); #99556=EDGE_CURVE('',#77148,#77150,#507,.T.); #99557=EDGE_CURVE('',#77151,#77152,#39965,.T.); #99558=EDGE_CURVE('',#77151,#77149,#508,.T.); #99559=EDGE_CURVE('',#77150,#77152,#509,.T.); #99560=EDGE_CURVE('',#77153,#77154,#39966,.T.); #99561=EDGE_CURVE('',#77153,#77151,#510,.T.); #99562=EDGE_CURVE('',#77152,#77154,#511,.T.); #99563=EDGE_CURVE('',#77155,#77156,#39967,.T.); #99564=EDGE_CURVE('',#77155,#77153,#512,.T.); #99565=EDGE_CURVE('',#77154,#77156,#513,.T.); #99566=EDGE_CURVE('',#77157,#77158,#39968,.T.); #99567=EDGE_CURVE('',#77157,#77155,#514,.T.); #99568=EDGE_CURVE('',#77156,#77158,#515,.T.); #99569=EDGE_CURVE('',#77159,#77160,#39969,.T.); #99570=EDGE_CURVE('',#77159,#77157,#516,.T.); #99571=EDGE_CURVE('',#77158,#77160,#517,.T.); #99572=EDGE_CURVE('',#77161,#77162,#39970,.T.); #99573=EDGE_CURVE('',#77161,#77163,#518,.T.); #99574=EDGE_CURVE('',#77164,#77163,#62533,.T.); #99575=EDGE_CURVE('',#77162,#77164,#519,.T.); #99576=EDGE_CURVE('',#77165,#77166,#39971,.T.); #99577=EDGE_CURVE('',#77165,#77161,#520,.T.); #99578=EDGE_CURVE('',#77166,#77162,#521,.T.); #99579=EDGE_CURVE('',#77167,#77168,#39972,.T.); #99580=EDGE_CURVE('',#77167,#77165,#522,.T.); #99581=EDGE_CURVE('',#77168,#77166,#523,.T.); #99582=EDGE_CURVE('',#77169,#77170,#39973,.T.); #99583=EDGE_CURVE('',#77169,#77167,#524,.T.); #99584=EDGE_CURVE('',#77170,#77168,#525,.T.); #99585=EDGE_CURVE('',#77171,#77172,#39974,.T.); #99586=EDGE_CURVE('',#77171,#77169,#526,.T.); #99587=EDGE_CURVE('',#77172,#77170,#527,.T.); #99588=EDGE_CURVE('',#77173,#77174,#39975,.T.); #99589=EDGE_CURVE('',#77173,#77171,#528,.T.); #99590=EDGE_CURVE('',#77174,#77172,#529,.T.); #99591=EDGE_CURVE('',#77175,#77176,#39976,.T.); #99592=EDGE_CURVE('',#77175,#77173,#530,.T.); #99593=EDGE_CURVE('',#77176,#77174,#531,.T.); #99594=EDGE_CURVE('',#77177,#77178,#39977,.T.); #99595=EDGE_CURVE('',#77177,#77175,#532,.T.); #99596=EDGE_CURVE('',#77178,#77176,#533,.T.); #99597=EDGE_CURVE('',#77179,#77180,#39978,.T.); #99598=EDGE_CURVE('',#77179,#77177,#534,.T.); #99599=EDGE_CURVE('',#77180,#77178,#535,.T.); #99600=EDGE_CURVE('',#77181,#77182,#39979,.T.); #99601=EDGE_CURVE('',#77181,#77179,#536,.T.); #99602=EDGE_CURVE('',#77182,#77180,#537,.T.); #99603=EDGE_CURVE('',#77183,#77184,#39980,.T.); #99604=EDGE_CURVE('',#77183,#77181,#538,.T.); #99605=EDGE_CURVE('',#77184,#77182,#539,.T.); #99606=EDGE_CURVE('',#77185,#77186,#39981,.T.); #99607=EDGE_CURVE('',#77185,#77183,#540,.T.); #99608=EDGE_CURVE('',#77186,#77184,#541,.T.); #99609=EDGE_CURVE('',#77187,#77188,#39982,.T.); #99610=EDGE_CURVE('',#77187,#77185,#542,.T.); #99611=EDGE_CURVE('',#77188,#77186,#543,.T.); #99612=EDGE_CURVE('',#77189,#77190,#39983,.T.); #99613=EDGE_CURVE('',#77189,#77187,#544,.T.); #99614=EDGE_CURVE('',#77190,#77188,#545,.T.); #99615=EDGE_CURVE('',#77191,#77192,#39984,.T.); #99616=EDGE_CURVE('',#77191,#77189,#546,.T.); #99617=EDGE_CURVE('',#77192,#77190,#547,.T.); #99618=EDGE_CURVE('',#77193,#77194,#39985,.T.); #99619=EDGE_CURVE('',#77193,#77191,#548,.T.); #99620=EDGE_CURVE('',#77194,#77192,#549,.T.); #99621=EDGE_CURVE('',#77195,#77196,#39986,.T.); #99622=EDGE_CURVE('',#77195,#77193,#550,.T.); #99623=EDGE_CURVE('',#77196,#77194,#551,.T.); #99624=EDGE_CURVE('',#77197,#77197,#62534,.T.); #99625=EDGE_CURVE('',#77198,#77199,#62535,.T.); #99626=EDGE_CURVE('',#77200,#77198,#39987,.T.); #99627=EDGE_CURVE('',#77200,#77199,#39988,.T.); #99628=EDGE_CURVE('',#77201,#77202,#39989,.T.); #99629=EDGE_CURVE('',#77200,#77201,#39990,.T.); #99630=EDGE_CURVE('',#77202,#77198,#39991,.T.); #99631=EDGE_CURVE('',#77203,#77201,#39992,.T.); #99632=EDGE_CURVE('',#77199,#77203,#39993,.T.); #99633=EDGE_CURVE('',#77202,#77203,#62536,.T.); #99634=EDGE_CURVE('',#77204,#77164,#552,.T.); #99635=EDGE_CURVE('',#77160,#77204,#553,.T.); #99636=EDGE_CURVE('',#77205,#77126,#554,.T.); #99637=EDGE_CURVE('',#77205,#77196,#555,.T.); #99638=EDGE_CURVE('',#77126,#77206,#62537,.T.); #99639=EDGE_CURVE('',#77206,#77125,#62538,.T.); #99640=EDGE_CURVE('',#77125,#77207,#556,.T.); #99641=EDGE_CURVE('',#77207,#77205,#62539,.T.); #99642=EDGE_CURVE('',#77207,#77195,#557,.T.); #99643=EDGE_CURVE('',#77163,#77208,#558,.T.); #99644=EDGE_CURVE('',#77208,#77159,#559,.T.); #99645=EDGE_CURVE('',#77209,#77210,#39994,.T.); #99646=EDGE_CURVE('',#77210,#77211,#39995,.T.); #99647=EDGE_CURVE('',#77211,#77212,#39996,.T.); #99648=EDGE_CURVE('',#77212,#77209,#39997,.T.); #99649=EDGE_CURVE('',#77213,#77214,#39998,.T.); #99650=EDGE_CURVE('',#77214,#77215,#39999,.T.); #99651=EDGE_CURVE('',#77215,#77216,#40000,.T.); #99652=EDGE_CURVE('',#77216,#77213,#40001,.T.); #99653=EDGE_CURVE('',#77217,#77218,#40002,.T.); #99654=EDGE_CURVE('',#77218,#77219,#40003,.T.); #99655=EDGE_CURVE('',#77219,#77220,#40004,.T.); #99656=EDGE_CURVE('',#77220,#77217,#40005,.T.); #99657=EDGE_CURVE('',#77221,#77222,#40006,.T.); #99658=EDGE_CURVE('',#77222,#77223,#40007,.T.); #99659=EDGE_CURVE('',#77223,#77224,#40008,.T.); #99660=EDGE_CURVE('',#77224,#77221,#40009,.T.); #99661=EDGE_CURVE('',#77225,#77226,#40010,.T.); #99662=EDGE_CURVE('',#77226,#77227,#40011,.T.); #99663=EDGE_CURVE('',#77227,#77228,#40012,.T.); #99664=EDGE_CURVE('',#77228,#77225,#40013,.T.); #99665=EDGE_CURVE('',#77229,#77230,#40014,.T.); #99666=EDGE_CURVE('',#77230,#77231,#40015,.T.); #99667=EDGE_CURVE('',#77231,#77232,#40016,.T.); #99668=EDGE_CURVE('',#77232,#77229,#40017,.T.); #99669=EDGE_CURVE('',#77233,#77234,#40018,.T.); #99670=EDGE_CURVE('',#77234,#77235,#40019,.T.); #99671=EDGE_CURVE('',#77235,#77236,#40020,.T.); #99672=EDGE_CURVE('',#77236,#77237,#40021,.T.); #99673=EDGE_CURVE('',#77237,#77238,#40022,.T.); #99674=EDGE_CURVE('',#77238,#77233,#40023,.T.); #99675=EDGE_CURVE('',#77204,#77208,#62540,.F.); #99676=EDGE_CURVE('',#77239,#77240,#40024,.T.); #99677=EDGE_CURVE('',#77240,#77241,#40025,.T.); #99678=EDGE_CURVE('',#77241,#77242,#40026,.T.); #99679=EDGE_CURVE('',#77242,#77243,#40027,.T.); #99680=EDGE_CURVE('',#77243,#77244,#40028,.T.); #99681=EDGE_CURVE('',#77244,#77239,#40029,.T.); #99682=EDGE_CURVE('',#77245,#77245,#62541,.T.); #99683=EDGE_CURVE('',#77246,#77246,#62542,.T.); #99684=EDGE_CURVE('',#77246,#77245,#40030,.T.); #99685=EDGE_CURVE('',#77206,#77247,#40031,.T.); #99686=EDGE_CURVE('',#77247,#77247,#62543,.T.); #99687=EDGE_CURVE('',#77247,#77197,#40032,.T.); #99688=EDGE_CURVE('',#77224,#77226,#40033,.F.); #99689=EDGE_CURVE('',#77227,#77223,#40034,.F.); #99690=EDGE_CURVE('',#77224,#77238,#40035,.F.); #99691=EDGE_CURVE('',#77238,#77226,#40036,.F.); #99692=EDGE_CURVE('',#77227,#77243,#40037,.T.); #99693=EDGE_CURVE('',#77243,#77223,#40038,.T.); #99694=EDGE_CURVE('',#77233,#77225,#40039,.T.); #99695=EDGE_CURVE('',#77221,#77237,#40040,.T.); #99696=EDGE_CURVE('',#77222,#77219,#40041,.F.); #99697=EDGE_CURVE('',#77220,#77221,#40042,.F.); #99698=EDGE_CURVE('',#77244,#77222,#40043,.F.); #99699=EDGE_CURVE('',#77228,#77242,#40044,.F.); #99700=EDGE_CURVE('',#77225,#77232,#40045,.F.); #99701=EDGE_CURVE('',#77229,#77228,#40046,.F.); #99702=EDGE_CURVE('',#77233,#77232,#40047,.F.); #99703=EDGE_CURVE('',#77220,#77237,#40048,.F.); #99704=EDGE_CURVE('',#77244,#77219,#40049,.T.); #99705=EDGE_CURVE('',#77229,#77242,#40050,.T.); #99706=EDGE_CURVE('',#77234,#77231,#40051,.T.); #99707=EDGE_CURVE('',#77217,#77236,#40052,.T.); #99708=EDGE_CURVE('',#77218,#77215,#40053,.F.); #99709=EDGE_CURVE('',#77216,#77217,#40054,.F.); #99710=EDGE_CURVE('',#77239,#77218,#40055,.F.); #99711=EDGE_CURVE('',#77230,#77241,#40056,.F.); #99712=EDGE_CURVE('',#77231,#77209,#40057,.F.); #99713=EDGE_CURVE('',#77210,#77230,#40058,.F.); #99714=EDGE_CURVE('',#77234,#77209,#40059,.F.); #99715=EDGE_CURVE('',#77216,#77236,#40060,.F.); #99716=EDGE_CURVE('',#77239,#77215,#40061,.T.); #99717=EDGE_CURVE('',#77210,#77241,#40062,.T.); #99718=EDGE_CURVE('',#77235,#77212,#40063,.T.); #99719=EDGE_CURVE('',#77213,#77235,#40064,.T.); #99720=EDGE_CURVE('',#77240,#77214,#40065,.F.); #99721=EDGE_CURVE('',#77211,#77240,#40066,.F.); #99722=EDGE_CURVE('',#77213,#77212,#40067,.T.); #99723=EDGE_CURVE('',#77211,#77214,#40068,.T.); #99724=EDGE_CURVE('',#77248,#77248,#62544,.T.); #99725=EDGE_CURVE('',#77249,#77248,#62545,.T.); #99726=EDGE_CURVE('',#77248,#77250,#40069,.T.); #99727=EDGE_CURVE('',#77250,#77250,#62546,.T.); #99728=EDGE_CURVE('',#77250,#77251,#62547,.T.); #99729=EDGE_CURVE('',#77252,#77253,#62548,.T.); #99730=EDGE_CURVE('',#77254,#77253,#62549,.T.); #99731=EDGE_CURVE('',#77253,#77252,#62550,.T.); #99732=EDGE_CURVE('',#77252,#77255,#40070,.T.); #99733=EDGE_CURVE('',#77255,#77256,#62551,.T.); #99734=EDGE_CURVE('',#77256,#77255,#62552,.T.); #99735=EDGE_CURVE('',#77256,#77257,#62553,.T.); #99736=EDGE_CURVE('',#77258,#77259,#62554,.T.); #99737=EDGE_CURVE('',#77260,#77259,#62555,.T.); #99738=EDGE_CURVE('',#77259,#77258,#62556,.T.); #99739=EDGE_CURVE('',#77258,#77261,#40071,.T.); #99740=EDGE_CURVE('',#77261,#77262,#62557,.T.); #99741=EDGE_CURVE('',#77262,#77261,#62558,.T.); #99742=EDGE_CURVE('',#77262,#77263,#62559,.T.); #99743=EDGE_CURVE('',#77264,#77265,#62560,.T.); #99744=EDGE_CURVE('',#77266,#77265,#62561,.T.); #99745=EDGE_CURVE('',#77265,#77264,#62562,.T.); #99746=EDGE_CURVE('',#77264,#77267,#40072,.T.); #99747=EDGE_CURVE('',#77267,#77268,#62563,.T.); #99748=EDGE_CURVE('',#77268,#77267,#62564,.T.); #99749=EDGE_CURVE('',#77268,#77269,#62565,.T.); #99750=EDGE_CURVE('',#77270,#77270,#62566,.T.); #99751=EDGE_CURVE('',#77270,#77271,#62567,.T.); #99752=EDGE_CURVE('',#77271,#77271,#62568,.T.); #99753=EDGE_CURVE('',#77271,#77270,#40073,.T.); #99754=EDGE_CURVE('',#77272,#77273,#40074,.T.); #99755=EDGE_CURVE('',#77273,#77274,#40075,.T.); #99756=EDGE_CURVE('',#77274,#77275,#40076,.T.); #99757=EDGE_CURVE('',#77275,#77272,#40077,.T.); #99758=EDGE_CURVE('',#77276,#77277,#40078,.T.); #99759=EDGE_CURVE('',#77277,#77278,#40079,.T.); #99760=EDGE_CURVE('',#77278,#77279,#40080,.T.); #99761=EDGE_CURVE('',#77279,#77276,#40081,.T.); #99762=EDGE_CURVE('',#77280,#77281,#40082,.T.); #99763=EDGE_CURVE('',#77281,#77282,#40083,.T.); #99764=EDGE_CURVE('',#77282,#77283,#40084,.T.); #99765=EDGE_CURVE('',#77283,#77280,#40085,.T.); #99766=EDGE_CURVE('',#77284,#77285,#40086,.T.); #99767=EDGE_CURVE('',#77285,#77286,#40087,.T.); #99768=EDGE_CURVE('',#77286,#77287,#40088,.T.); #99769=EDGE_CURVE('',#77287,#77284,#40089,.T.); #99770=EDGE_CURVE('',#77288,#77289,#40090,.T.); #99771=EDGE_CURVE('',#77289,#77290,#40091,.T.); #99772=EDGE_CURVE('',#77290,#77291,#40092,.T.); #99773=EDGE_CURVE('',#77291,#77288,#40093,.T.); #99774=EDGE_CURVE('',#77292,#77293,#40094,.T.); #99775=EDGE_CURVE('',#77293,#77294,#40095,.T.); #99776=EDGE_CURVE('',#77294,#77295,#40096,.T.); #99777=EDGE_CURVE('',#77295,#77292,#40097,.T.); #99778=EDGE_CURVE('',#77296,#77297,#40098,.T.); #99779=EDGE_CURVE('',#77297,#77298,#40099,.T.); #99780=EDGE_CURVE('',#77298,#77299,#40100,.T.); #99781=EDGE_CURVE('',#77299,#77300,#40101,.T.); #99782=EDGE_CURVE('',#77300,#77301,#40102,.T.); #99783=EDGE_CURVE('',#77301,#77296,#40103,.T.); #99784=EDGE_CURVE('',#77302,#77302,#62569,.T.); #99785=EDGE_CURVE('',#77303,#77304,#40104,.T.); #99786=EDGE_CURVE('',#77304,#77305,#40105,.T.); #99787=EDGE_CURVE('',#77305,#77306,#40106,.T.); #99788=EDGE_CURVE('',#77306,#77307,#40107,.T.); #99789=EDGE_CURVE('',#77307,#77308,#40108,.T.); #99790=EDGE_CURVE('',#77308,#77303,#40109,.T.); #99791=EDGE_CURVE('',#77309,#77309,#62570,.T.); #99792=EDGE_CURVE('',#77297,#77291,#40110,.T.); #99793=EDGE_CURVE('',#77288,#77296,#40111,.T.); #99794=EDGE_CURVE('',#77297,#77292,#40112,.F.); #99795=EDGE_CURVE('',#77292,#77291,#40113,.T.); #99796=EDGE_CURVE('',#77288,#77287,#40114,.T.); #99797=EDGE_CURVE('',#77287,#77296,#40115,.F.); #99798=EDGE_CURVE('',#77293,#77290,#40116,.F.); #99799=EDGE_CURVE('',#77298,#77295,#40117,.T.); #99800=EDGE_CURVE('',#77284,#77301,#40118,.T.); #99801=EDGE_CURVE('',#77289,#77286,#40119,.F.); #99802=EDGE_CURVE('',#77290,#77307,#40120,.F.); #99803=EDGE_CURVE('',#77308,#77289,#40121,.F.); #99804=EDGE_CURVE('',#77293,#77307,#40122,.T.); #99805=EDGE_CURVE('',#77298,#77272,#40123,.F.); #99806=EDGE_CURVE('',#77272,#77295,#40124,.T.); #99807=EDGE_CURVE('',#77284,#77283,#40125,.T.); #99808=EDGE_CURVE('',#77283,#77301,#40126,.F.); #99809=EDGE_CURVE('',#77308,#77286,#40127,.T.); #99810=EDGE_CURVE('',#77294,#77306,#40128,.F.); #99811=EDGE_CURVE('',#77273,#77294,#40129,.F.); #99812=EDGE_CURVE('',#77299,#77275,#40130,.T.); #99813=EDGE_CURVE('',#77300,#77279,#40131,.T.); #99814=EDGE_CURVE('',#77276,#77299,#40132,.T.); #99815=EDGE_CURVE('',#77280,#77300,#40133,.T.); #99816=EDGE_CURVE('',#77285,#77282,#40134,.F.); #99817=EDGE_CURVE('',#77303,#77285,#40135,.F.); #99818=EDGE_CURVE('',#77273,#77306,#40136,.T.); #99819=EDGE_CURVE('',#77276,#77275,#40137,.T.); #99820=EDGE_CURVE('',#77280,#77279,#40138,.T.); #99821=EDGE_CURVE('',#77303,#77282,#40139,.T.); #99822=EDGE_CURVE('',#77274,#77305,#40140,.F.); #99823=EDGE_CURVE('',#77277,#77274,#40141,.F.); #99824=EDGE_CURVE('',#77281,#77278,#40142,.F.); #99825=EDGE_CURVE('',#77304,#77281,#40143,.F.); #99826=EDGE_CURVE('',#77277,#77305,#40144,.T.); #99827=EDGE_CURVE('',#77304,#77278,#40145,.T.); #99828=EDGE_CURVE('',#77302,#77309,#40146,.T.); #99829=EDGE_CURVE('',#77310,#77311,#62571,.T.); #99830=EDGE_CURVE('',#77312,#77310,#40147,.T.); #99831=EDGE_CURVE('',#77313,#77312,#40148,.T.); #99832=EDGE_CURVE('',#77313,#77314,#40149,.T.); #99833=EDGE_CURVE('',#77314,#77315,#40150,.T.); #99834=EDGE_CURVE('',#77315,#77316,#40151,.T.); #99835=EDGE_CURVE('',#77316,#77317,#62572,.T.); #99836=EDGE_CURVE('',#77317,#77318,#40152,.T.); #99837=EDGE_CURVE('',#77318,#77319,#62573,.T.); #99838=EDGE_CURVE('',#77319,#77320,#40153,.T.); #99839=EDGE_CURVE('',#77320,#77321,#40154,.T.); #99840=EDGE_CURVE('',#77321,#77322,#40155,.T.); #99841=EDGE_CURVE('',#77322,#77323,#62574,.T.); #99842=EDGE_CURVE('',#77323,#77324,#40156,.T.); #99843=EDGE_CURVE('',#77325,#77324,#40157,.T.); #99844=EDGE_CURVE('',#77326,#77325,#62575,.T.); #99845=EDGE_CURVE('',#77327,#77326,#40158,.T.); #99846=EDGE_CURVE('',#77328,#77327,#40159,.T.); #99847=EDGE_CURVE('',#77311,#77328,#40160,.T.); #99848=EDGE_CURVE('',#77329,#77329,#62576,.T.); #99849=EDGE_CURVE('',#77330,#77330,#62577,.T.); #99850=EDGE_CURVE('',#77331,#77331,#62578,.T.); #99851=EDGE_CURVE('',#77332,#77333,#62579,.T.); #99852=EDGE_CURVE('',#77334,#77332,#40161,.T.); #99853=EDGE_CURVE('',#77335,#77334,#40162,.T.); #99854=EDGE_CURVE('',#77336,#77335,#40163,.T.); #99855=EDGE_CURVE('',#77337,#77336,#62580,.T.); #99856=EDGE_CURVE('',#77338,#77337,#40164,.T.); #99857=EDGE_CURVE('',#77339,#77338,#40165,.T.); #99858=EDGE_CURVE('',#77340,#77339,#40166,.T.); #99859=EDGE_CURVE('',#77341,#77340,#62581,.T.); #99860=EDGE_CURVE('',#77342,#77341,#40167,.T.); #99861=EDGE_CURVE('',#77343,#77342,#62582,.T.); #99862=EDGE_CURVE('',#77344,#77343,#40168,.T.); #99863=EDGE_CURVE('',#77345,#77344,#62583,.T.); #99864=EDGE_CURVE('',#77346,#77345,#40169,.T.); #99865=EDGE_CURVE('',#77347,#77346,#62584,.T.); #99866=EDGE_CURVE('',#77348,#77347,#40170,.T.); #99867=EDGE_CURVE('',#77349,#77348,#62585,.T.); #99868=EDGE_CURVE('',#77350,#77349,#40171,.T.); #99869=EDGE_CURVE('',#77351,#77350,#40172,.T.); #99870=EDGE_CURVE('',#77352,#77351,#40173,.T.); #99871=EDGE_CURVE('',#77333,#77352,#40174,.T.); #99872=EDGE_CURVE('',#77333,#77310,#40175,.T.); #99873=EDGE_CURVE('',#77332,#77311,#40176,.T.); #99874=EDGE_CURVE('',#77353,#77354,#40177,.T.); #99875=EDGE_CURVE('',#77353,#77355,#62586,.T.); #99876=EDGE_CURVE('',#77355,#77356,#40178,.T.); #99877=EDGE_CURVE('',#77357,#77356,#40179,.T.); #99878=EDGE_CURVE('',#77358,#77357,#62587,.T.); #99879=EDGE_CURVE('',#77359,#77358,#40180,.T.); #99880=EDGE_CURVE('',#77360,#77359,#40181,.T.); #99881=EDGE_CURVE('',#77361,#77360,#40182,.T.); #99882=EDGE_CURVE('',#77362,#77361,#62588,.T.); #99883=EDGE_CURVE('',#77363,#77362,#40183,.T.); #99884=EDGE_CURVE('',#77364,#77363,#62589,.T.); #99885=EDGE_CURVE('',#77365,#77364,#40184,.T.); #99886=EDGE_CURVE('',#77366,#77365,#40185,.T.); #99887=EDGE_CURVE('',#77367,#77366,#40186,.T.); #99888=EDGE_CURVE('',#77367,#77368,#40187,.T.); #99889=EDGE_CURVE('',#77368,#77369,#40188,.T.); #99890=EDGE_CURVE('',#77369,#77354,#62590,.T.); #99891=EDGE_CURVE('',#77370,#77371,#40189,.T.); #99892=EDGE_CURVE('',#77370,#77372,#62591,.T.); #99893=EDGE_CURVE('',#77372,#77373,#40190,.T.); #99894=EDGE_CURVE('',#77373,#77374,#40191,.T.); #99895=EDGE_CURVE('',#77374,#77375,#40192,.T.); #99896=EDGE_CURVE('',#77375,#77371,#62592,.T.); #99897=EDGE_CURVE('',#77376,#77377,#62593,.T.); #99898=EDGE_CURVE('',#77376,#77354,#40193,.F.); #99899=EDGE_CURVE('',#77369,#77377,#40194,.T.); #99900=EDGE_CURVE('',#77370,#77378,#40195,.T.); #99901=EDGE_CURVE('',#77379,#77378,#62594,.T.); #99902=EDGE_CURVE('',#77372,#77379,#40196,.T.); #99903=EDGE_CURVE('',#77353,#77380,#40197,.T.); #99904=EDGE_CURVE('',#77381,#77380,#62595,.T.); #99905=EDGE_CURVE('',#77355,#77381,#40198,.T.); #99906=EDGE_CURVE('',#77382,#77383,#62596,.T.); #99907=EDGE_CURVE('',#77382,#77371,#40199,.F.); #99908=EDGE_CURVE('',#77375,#77383,#40200,.T.); #99909=EDGE_CURVE('',#77384,#77342,#40201,.T.); #99910=EDGE_CURVE('',#77341,#77385,#40202,.T.); #99911=EDGE_CURVE('',#77384,#77385,#40203,.T.); #99912=EDGE_CURVE('',#77386,#77348,#40204,.T.); #99913=EDGE_CURVE('',#77347,#77387,#40205,.T.); #99914=EDGE_CURVE('',#77386,#77387,#40206,.T.); #99915=EDGE_CURVE('',#77339,#77388,#40207,.T.); #99916=EDGE_CURVE('',#77389,#77388,#40208,.T.); #99917=EDGE_CURVE('',#77389,#77340,#40209,.F.); #99918=EDGE_CURVE('',#77390,#77349,#40210,.T.); #99919=EDGE_CURVE('',#77391,#77390,#40211,.T.); #99920=EDGE_CURVE('',#77350,#77391,#40212,.T.); #99921=EDGE_CURVE('',#77390,#77386,#62597,.T.); #99922=EDGE_CURVE('',#77392,#77345,#40213,.T.); #99923=EDGE_CURVE('',#77393,#77392,#40214,.T.); #99924=EDGE_CURVE('',#77393,#77346,#40215,.F.); #99925=EDGE_CURVE('',#77394,#77344,#40216,.T.); #99926=EDGE_CURVE('',#77392,#77394,#62598,.T.); #99927=EDGE_CURVE('',#77395,#77343,#40217,.T.); #99928=EDGE_CURVE('',#77394,#77395,#40218,.T.); #99929=EDGE_CURVE('',#77395,#77384,#62599,.T.); #99930=EDGE_CURVE('',#77356,#77396,#40219,.T.); #99931=EDGE_CURVE('',#77388,#77396,#40220,.T.); #99932=EDGE_CURVE('',#77338,#77324,#40221,.T.); #99933=EDGE_CURVE('',#77323,#77357,#40222,.T.); #99934=EDGE_CURVE('',#77322,#77358,#40223,.T.); #99935=EDGE_CURVE('',#77321,#77359,#40224,.T.); #99936=EDGE_CURVE('',#77320,#77360,#40225,.T.); #99937=EDGE_CURVE('',#77319,#77361,#40226,.T.); #99938=EDGE_CURVE('',#77318,#77362,#40227,.T.); #99939=EDGE_CURVE('',#77317,#77363,#40228,.T.); #99940=EDGE_CURVE('',#77316,#77364,#40229,.T.); #99941=EDGE_CURVE('',#77315,#77365,#40230,.T.); #99942=EDGE_CURVE('',#77314,#77366,#40231,.T.); #99943=EDGE_CURVE('',#77351,#77313,#40232,.T.); #99944=EDGE_CURVE('',#77397,#77391,#40233,.T.); #99945=EDGE_CURVE('',#77367,#77397,#40234,.T.); #99946=EDGE_CURVE('',#77387,#77393,#62600,.T.); #99947=EDGE_CURVE('',#77385,#77389,#62601,.T.); #99948=EDGE_CURVE('',#77337,#77325,#40235,.T.); #99949=EDGE_CURVE('',#77336,#77326,#40236,.T.); #99950=EDGE_CURVE('',#77335,#77327,#40237,.T.); #99951=EDGE_CURVE('',#77334,#77328,#40238,.T.); #99952=EDGE_CURVE('',#77352,#77312,#40239,.T.); #99953=EDGE_CURVE('',#77368,#77398,#40240,.T.); #99954=EDGE_CURVE('',#77377,#77398,#40241,.T.); #99955=EDGE_CURVE('',#77399,#77379,#40242,.T.); #99956=EDGE_CURVE('',#77373,#77399,#40243,.T.); #99957=EDGE_CURVE('',#77400,#77399,#40244,.T.); #99958=EDGE_CURVE('',#77374,#77400,#40245,.T.); #99959=EDGE_CURVE('',#77383,#77400,#40246,.T.); #99960=EDGE_CURVE('',#77396,#77381,#40247,.T.); #99961=EDGE_CURVE('',#77398,#77397,#40248,.T.); #99962=EDGE_CURVE('',#77380,#77376,#40249,.T.); #99963=EDGE_CURVE('',#77378,#77382,#40250,.T.); #99964=EDGE_CURVE('',#77331,#77401,#40251,.T.); #99965=EDGE_CURVE('',#77401,#77401,#62602,.T.); #99966=EDGE_CURVE('',#77330,#77402,#40252,.T.); #99967=EDGE_CURVE('',#77402,#77402,#62603,.T.); #99968=EDGE_CURVE('',#77329,#77403,#40253,.T.); #99969=EDGE_CURVE('',#77403,#77403,#62604,.T.); #99970=EDGE_CURVE('',#77404,#77404,#62605,.T.); #99971=EDGE_CURVE('',#77404,#77405,#40254,.T.); #99972=EDGE_CURVE('',#77405,#77405,#62606,.T.); #99973=EDGE_CURVE('',#77406,#77406,#62607,.T.); #99974=EDGE_CURVE('',#77406,#77407,#40255,.T.); #99975=EDGE_CURVE('',#77407,#77407,#62608,.T.); #99976=EDGE_CURVE('',#77408,#77408,#62609,.T.); #99977=EDGE_CURVE('',#77408,#77409,#62610,.T.); #99978=EDGE_CURVE('',#77409,#77409,#62611,.T.); #99979=EDGE_CURVE('',#77409,#77410,#40256,.T.); #99980=EDGE_CURVE('',#77410,#77410,#62612,.T.); #99981=EDGE_CURVE('',#77410,#77411,#62613,.T.); #99982=EDGE_CURVE('',#77411,#77411,#62614,.T.); #99983=EDGE_CURVE('',#77412,#77412,#62615,.T.); #99984=EDGE_CURVE('',#77412,#77413,#40257,.T.); #99985=EDGE_CURVE('',#77413,#77413,#62616,.T.); #99986=EDGE_CURVE('',#77413,#77414,#40258,.T.); #99987=EDGE_CURVE('',#77414,#77414,#62617,.T.); #99988=EDGE_CURVE('',#77415,#77416,#62618,.T.); #99989=EDGE_CURVE('',#77416,#77417,#62619,.T.); #99990=EDGE_CURVE('',#77417,#77418,#40259,.T.); #99991=EDGE_CURVE('',#77418,#77418,#62620,.T.); #99992=EDGE_CURVE('',#77417,#77419,#62621,.T.); #99993=EDGE_CURVE('',#77419,#77415,#62622,.T.); #99994=EDGE_CURVE('',#77420,#77421,#40260,.T.); #99995=EDGE_CURVE('',#77422,#77421,#40261,.T.); #99996=EDGE_CURVE('',#77423,#77422,#40262,.T.); #99997=EDGE_CURVE('',#77423,#77424,#40263,.T.); #99998=EDGE_CURVE('',#77424,#77425,#40264,.T.); #99999=EDGE_CURVE('',#77425,#77426,#40265,.T.); #100000=EDGE_CURVE('',#77427,#77426,#40266,.T.); #100001=EDGE_CURVE('',#77428,#77427,#40267,.T.); #100002=EDGE_CURVE('',#77428,#77429,#40268,.T.); #100003=EDGE_CURVE('',#77429,#77430,#40269,.T.); #100004=EDGE_CURVE('',#77431,#77430,#40270,.T.); #100005=EDGE_CURVE('',#77420,#77431,#40271,.T.); #100006=EDGE_CURVE('',#77417,#77432,#40272,.T.); #100007=EDGE_CURVE('',#77432,#77419,#40273,.T.); #100008=EDGE_CURVE('',#77419,#77433,#40274,.T.); #100009=EDGE_CURVE('',#77433,#77415,#40275,.T.); #100010=EDGE_CURVE('',#77415,#77434,#40276,.T.); #100011=EDGE_CURVE('',#77434,#77416,#40277,.T.); #100012=EDGE_CURVE('',#77435,#77436,#40278,.T.); #100013=EDGE_CURVE('',#77435,#77437,#40279,.T.); #100014=EDGE_CURVE('',#77437,#77438,#40280,.T.); #100015=EDGE_CURVE('',#77436,#77438,#40281,.T.); #100016=EDGE_CURVE('',#77437,#77427,#40282,.T.); #100017=EDGE_CURVE('',#77426,#77438,#40283,.T.); #100018=EDGE_CURVE('',#77439,#77440,#40284,.T.); #100019=EDGE_CURVE('',#77441,#77439,#40285,.T.); #100020=EDGE_CURVE('',#77442,#77441,#40286,.T.); #100021=EDGE_CURVE('',#77440,#77442,#40287,.T.); #100022=EDGE_CURVE('',#77443,#77444,#40288,.T.); #100023=EDGE_CURVE('',#77445,#77444,#40289,.T.); #100024=EDGE_CURVE('',#77446,#77445,#40290,.T.); #100025=EDGE_CURVE('',#77443,#77446,#40291,.T.); #100026=EDGE_CURVE('',#77439,#77423,#40292,.T.); #100027=EDGE_CURVE('',#77422,#77443,#40293,.T.); #100028=EDGE_CURVE('',#77432,#77446,#40294,.T.); #100029=EDGE_CURVE('',#77447,#77417,#40295,.T.); #100030=EDGE_CURVE('',#77447,#77441,#40296,.T.); #100031=EDGE_CURVE('',#77440,#77424,#40297,.T.); #100032=EDGE_CURVE('',#77442,#77425,#40298,.T.); #100033=EDGE_CURVE('',#77421,#77444,#40299,.T.); #100034=EDGE_CURVE('',#77445,#77420,#40300,.T.); #100035=EDGE_CURVE('',#77416,#77447,#40301,.T.); #100036=EDGE_CURVE('',#77434,#77436,#40302,.T.); #100037=EDGE_CURVE('',#77431,#77448,#40303,.T.); #100038=EDGE_CURVE('',#77448,#77449,#40304,.T.); #100039=EDGE_CURVE('',#77433,#77449,#40305,.T.); #100040=EDGE_CURVE('',#77448,#77450,#40306,.T.); #100041=EDGE_CURVE('',#77451,#77450,#40307,.T.); #100042=EDGE_CURVE('',#77449,#77451,#40308,.T.); #100043=EDGE_CURVE('',#77435,#77428,#40309,.T.); #100044=EDGE_CURVE('',#77429,#77451,#40310,.T.); #100045=EDGE_CURVE('',#77430,#77450,#40311,.T.); #100046=EDGE_CURVE('',#77452,#77452,#62623,.T.); #100047=EDGE_CURVE('',#77452,#77453,#40312,.T.); #100048=EDGE_CURVE('',#77453,#77453,#62624,.T.); #100049=EDGE_CURVE('',#77454,#77454,#62625,.T.); #100050=EDGE_CURVE('',#77454,#77455,#40313,.T.); #100051=EDGE_CURVE('',#77455,#77455,#62626,.T.); #100052=EDGE_CURVE('',#77456,#77456,#62627,.T.); #100053=EDGE_CURVE('',#77456,#77457,#40314,.T.); #100054=EDGE_CURVE('',#77457,#77457,#62628,.T.); #100055=EDGE_CURVE('',#77458,#77458,#62629,.T.); #100056=EDGE_CURVE('',#77458,#77459,#40315,.T.); #100057=EDGE_CURVE('',#77459,#77460,#62630,.T.); #100058=EDGE_CURVE('',#77460,#77461,#40316,.T.); #100059=EDGE_CURVE('',#77461,#77462,#62631,.T.); #100060=EDGE_CURVE('',#77462,#77463,#40317,.T.); #100061=EDGE_CURVE('',#77463,#77464,#62632,.T.); #100062=EDGE_CURVE('',#77465,#77464,#40318,.T.); #100063=EDGE_CURVE('',#77466,#77465,#62633,.T.); #100064=EDGE_CURVE('',#77467,#77466,#40319,.T.); #100065=EDGE_CURVE('',#77467,#77459,#62634,.T.); #100066=EDGE_CURVE('',#77468,#77469,#62635,.T.); #100067=EDGE_CURVE('',#77468,#77470,#40320,.T.); #100068=EDGE_CURVE('',#77470,#77471,#62636,.T.); #100069=EDGE_CURVE('',#77471,#77472,#40321,.T.); #100070=EDGE_CURVE('',#77473,#77472,#62637,.T.); #100071=EDGE_CURVE('',#77473,#77456,#40322,.T.); #100072=EDGE_CURVE('',#77474,#77473,#62638,.T.); #100073=EDGE_CURVE('',#77475,#77474,#40323,.T.); #100074=EDGE_CURVE('',#77476,#77475,#62639,.T.); #100075=EDGE_CURVE('',#77469,#77476,#40324,.T.); #100076=EDGE_CURVE('',#77463,#77468,#40325,.T.); #100077=EDGE_CURVE('',#77464,#77469,#40326,.T.); #100078=EDGE_CURVE('',#77472,#77460,#40327,.T.); #100079=EDGE_CURVE('',#77474,#77467,#40328,.T.); #100080=EDGE_CURVE('',#77476,#77465,#40329,.T.); #100081=EDGE_CURVE('',#77475,#77466,#40330,.T.); #100082=EDGE_CURVE('',#77470,#77462,#40331,.T.); #100083=EDGE_CURVE('',#77471,#77461,#40332,.T.); #100084=ORIENTED_EDGE('',*,*,#77477,.F.); #100085=ORIENTED_EDGE('',*,*,#77478,.F.); #100086=ORIENTED_EDGE('',*,*,#77479,.F.); #100087=ORIENTED_EDGE('',*,*,#77480,.F.); #100088=ORIENTED_EDGE('',*,*,#77477,.T.); #100089=ORIENTED_EDGE('',*,*,#77481,.F.); #100090=ORIENTED_EDGE('',*,*,#77482,.T.); #100091=ORIENTED_EDGE('',*,*,#77483,.T.); #100092=ORIENTED_EDGE('',*,*,#77484,.F.); #100093=ORIENTED_EDGE('',*,*,#77485,.T.); #100094=ORIENTED_EDGE('',*,*,#77486,.F.); #100095=ORIENTED_EDGE('',*,*,#77487,.F.); #100096=ORIENTED_EDGE('',*,*,#77479,.T.); #100097=ORIENTED_EDGE('',*,*,#77488,.T.); #100098=ORIENTED_EDGE('',*,*,#77489,.F.); #100099=ORIENTED_EDGE('',*,*,#77490,.F.); #100100=ORIENTED_EDGE('',*,*,#77491,.T.); #100101=ORIENTED_EDGE('',*,*,#77492,.T.); #100102=ORIENTED_EDGE('',*,*,#77493,.T.); #100103=ORIENTED_EDGE('',*,*,#77494,.F.); #100104=ORIENTED_EDGE('',*,*,#77495,.T.); #100105=ORIENTED_EDGE('',*,*,#77496,.T.); #100106=ORIENTED_EDGE('',*,*,#77497,.T.); #100107=ORIENTED_EDGE('',*,*,#77498,.F.); #100108=ORIENTED_EDGE('',*,*,#77499,.F.); #100109=ORIENTED_EDGE('',*,*,#77500,.T.); #100110=ORIENTED_EDGE('',*,*,#77501,.F.); #100111=ORIENTED_EDGE('',*,*,#77484,.T.); #100112=ORIENTED_EDGE('',*,*,#77502,.T.); #100113=ORIENTED_EDGE('',*,*,#77503,.F.); #100114=ORIENTED_EDGE('',*,*,#77504,.T.); #100115=ORIENTED_EDGE('',*,*,#77505,.T.); #100116=ORIENTED_EDGE('',*,*,#77506,.F.); #100117=ORIENTED_EDGE('',*,*,#77507,.T.); #100118=ORIENTED_EDGE('',*,*,#77508,.T.); #100119=ORIENTED_EDGE('',*,*,#77509,.T.); #100120=ORIENTED_EDGE('',*,*,#77501,.T.); #100121=ORIENTED_EDGE('',*,*,#77510,.F.); #100122=ORIENTED_EDGE('',*,*,#77506,.T.); #100123=ORIENTED_EDGE('',*,*,#77511,.F.); #100124=ORIENTED_EDGE('',*,*,#77512,.T.); #100125=ORIENTED_EDGE('',*,*,#77513,.F.); #100126=ORIENTED_EDGE('',*,*,#77514,.T.); #100127=ORIENTED_EDGE('',*,*,#77485,.F.); #100128=ORIENTED_EDGE('',*,*,#77509,.F.); #100129=ORIENTED_EDGE('',*,*,#77515,.F.); #100130=ORIENTED_EDGE('',*,*,#77516,.F.); #100131=ORIENTED_EDGE('',*,*,#77517,.F.); #100132=ORIENTED_EDGE('',*,*,#77518,.F.); #100133=ORIENTED_EDGE('',*,*,#77511,.T.); #100134=ORIENTED_EDGE('',*,*,#77512,.F.); #100135=ORIENTED_EDGE('',*,*,#77518,.T.); #100136=ORIENTED_EDGE('',*,*,#77519,.F.); #100137=ORIENTED_EDGE('',*,*,#77520,.T.); #100138=ORIENTED_EDGE('',*,*,#77521,.F.); #100139=ORIENTED_EDGE('',*,*,#77522,.T.); #100140=ORIENTED_EDGE('',*,*,#77514,.F.); #100141=ORIENTED_EDGE('',*,*,#77523,.T.); #100142=ORIENTED_EDGE('',*,*,#77524,.T.); #100143=ORIENTED_EDGE('',*,*,#77486,.T.); #100144=ORIENTED_EDGE('',*,*,#77522,.F.); #100145=ORIENTED_EDGE('',*,*,#77525,.F.); #100146=ORIENTED_EDGE('',*,*,#77523,.F.); #100147=ORIENTED_EDGE('',*,*,#77513,.T.); #100148=ORIENTED_EDGE('',*,*,#77505,.F.); #100149=ORIENTED_EDGE('',*,*,#77526,.F.); #100150=ORIENTED_EDGE('',*,*,#77507,.F.); #100151=ORIENTED_EDGE('',*,*,#77510,.T.); #100152=ORIENTED_EDGE('',*,*,#77527,.T.); #100153=ORIENTED_EDGE('',*,*,#77528,.F.); #100154=ORIENTED_EDGE('',*,*,#77529,.F.); #100155=ORIENTED_EDGE('',*,*,#77520,.F.); #100156=ORIENTED_EDGE('',*,*,#77516,.T.); #100157=ORIENTED_EDGE('',*,*,#77530,.T.); #100158=ORIENTED_EDGE('',*,*,#77531,.F.); #100159=ORIENTED_EDGE('',*,*,#77532,.F.); #100160=ORIENTED_EDGE('',*,*,#77515,.T.); #100161=ORIENTED_EDGE('',*,*,#77508,.F.); #100162=ORIENTED_EDGE('',*,*,#77526,.T.); #100163=ORIENTED_EDGE('',*,*,#77504,.F.); #100164=ORIENTED_EDGE('',*,*,#77533,.F.); #100165=ORIENTED_EDGE('',*,*,#77534,.F.); #100166=ORIENTED_EDGE('',*,*,#77535,.F.); #100167=ORIENTED_EDGE('',*,*,#77536,.F.); #100168=ORIENTED_EDGE('',*,*,#77537,.F.); #100169=ORIENTED_EDGE('',*,*,#77538,.F.); #100170=ORIENTED_EDGE('',*,*,#77539,.F.); #100171=ORIENTED_EDGE('',*,*,#77540,.F.); #100172=ORIENTED_EDGE('',*,*,#77541,.F.); #100173=ORIENTED_EDGE('',*,*,#77542,.F.); #100174=ORIENTED_EDGE('',*,*,#77543,.F.); #100175=ORIENTED_EDGE('',*,*,#77544,.F.); #100176=ORIENTED_EDGE('',*,*,#77545,.F.); #100177=ORIENTED_EDGE('',*,*,#77530,.F.); #100178=ORIENTED_EDGE('',*,*,#77546,.T.); #100179=ORIENTED_EDGE('',*,*,#77547,.F.); #100180=ORIENTED_EDGE('',*,*,#77548,.T.); #100181=ORIENTED_EDGE('',*,*,#77549,.F.); #100182=ORIENTED_EDGE('',*,*,#77550,.T.); #100183=ORIENTED_EDGE('',*,*,#77551,.F.); #100184=ORIENTED_EDGE('',*,*,#77552,.T.); #100185=ORIENTED_EDGE('',*,*,#77553,.F.); #100186=ORIENTED_EDGE('',*,*,#77517,.T.); #100187=ORIENTED_EDGE('',*,*,#77532,.T.); #100188=ORIENTED_EDGE('',*,*,#77554,.T.); #100189=ORIENTED_EDGE('',*,*,#77555,.T.); #100190=ORIENTED_EDGE('',*,*,#77556,.T.); #100191=ORIENTED_EDGE('',*,*,#77557,.T.); #100192=ORIENTED_EDGE('',*,*,#77558,.T.); #100193=ORIENTED_EDGE('',*,*,#77559,.T.); #100194=ORIENTED_EDGE('',*,*,#77560,.T.); #100195=ORIENTED_EDGE('',*,*,#77561,.T.); #100196=ORIENTED_EDGE('',*,*,#77562,.T.); #100197=ORIENTED_EDGE('',*,*,#77563,.T.); #100198=ORIENTED_EDGE('',*,*,#77564,.T.); #100199=ORIENTED_EDGE('',*,*,#77565,.T.); #100200=ORIENTED_EDGE('',*,*,#77566,.T.); #100201=ORIENTED_EDGE('',*,*,#77502,.F.); #100202=ORIENTED_EDGE('',*,*,#77483,.F.); #100203=ORIENTED_EDGE('',*,*,#77567,.F.); #100204=ORIENTED_EDGE('',*,*,#77568,.F.); #100205=ORIENTED_EDGE('',*,*,#77569,.F.); #100206=ORIENTED_EDGE('',*,*,#77570,.F.); #100207=ORIENTED_EDGE('',*,*,#77571,.T.); #100208=ORIENTED_EDGE('',*,*,#77572,.F.); #100209=ORIENTED_EDGE('',*,*,#77573,.T.); #100210=ORIENTED_EDGE('',*,*,#77574,.F.); #100211=ORIENTED_EDGE('',*,*,#77575,.F.); #100212=ORIENTED_EDGE('',*,*,#77576,.F.); #100213=ORIENTED_EDGE('',*,*,#77577,.F.); #100214=ORIENTED_EDGE('',*,*,#77578,.F.); #100215=ORIENTED_EDGE('',*,*,#77579,.T.); #100216=ORIENTED_EDGE('',*,*,#77527,.F.); #100217=ORIENTED_EDGE('',*,*,#77519,.T.); #100218=ORIENTED_EDGE('',*,*,#77580,.F.); #100219=ORIENTED_EDGE('',*,*,#77581,.T.); #100220=ORIENTED_EDGE('',*,*,#77549,.T.); #100221=ORIENTED_EDGE('',*,*,#77582,.T.); #100222=ORIENTED_EDGE('',*,*,#77581,.F.); #100223=ORIENTED_EDGE('',*,*,#77583,.T.); #100224=ORIENTED_EDGE('',*,*,#77584,.F.); #100225=ORIENTED_EDGE('',*,*,#77550,.F.); #100226=ORIENTED_EDGE('',*,*,#77585,.F.); #100227=ORIENTED_EDGE('',*,*,#77586,.T.); #100228=ORIENTED_EDGE('',*,*,#77547,.T.); #100229=ORIENTED_EDGE('',*,*,#77587,.T.); #100230=ORIENTED_EDGE('',*,*,#77580,.T.); #100231=ORIENTED_EDGE('',*,*,#77588,.F.); #100232=ORIENTED_EDGE('',*,*,#77585,.T.); #100233=ORIENTED_EDGE('',*,*,#77589,.F.); #100234=ORIENTED_EDGE('',*,*,#77590,.T.); #100235=ORIENTED_EDGE('',*,*,#77591,.F.); #100236=ORIENTED_EDGE('',*,*,#77592,.T.); #100237=ORIENTED_EDGE('',*,*,#77583,.F.); #100238=ORIENTED_EDGE('',*,*,#77587,.F.); #100239=ORIENTED_EDGE('',*,*,#77546,.F.); #100240=ORIENTED_EDGE('',*,*,#77593,.F.); #100241=ORIENTED_EDGE('',*,*,#77589,.T.); #100242=ORIENTED_EDGE('',*,*,#77590,.F.); #100243=ORIENTED_EDGE('',*,*,#77593,.T.); #100244=ORIENTED_EDGE('',*,*,#77553,.T.); #100245=ORIENTED_EDGE('',*,*,#77594,.T.); #100246=ORIENTED_EDGE('',*,*,#77594,.F.); #100247=ORIENTED_EDGE('',*,*,#77552,.F.); #100248=ORIENTED_EDGE('',*,*,#77595,.F.); #100249=ORIENTED_EDGE('',*,*,#77591,.T.); #100250=ORIENTED_EDGE('',*,*,#77592,.F.); #100251=ORIENTED_EDGE('',*,*,#77595,.T.); #100252=ORIENTED_EDGE('',*,*,#77551,.T.); #100253=ORIENTED_EDGE('',*,*,#77584,.T.); #100254=ORIENTED_EDGE('',*,*,#77582,.F.); #100255=ORIENTED_EDGE('',*,*,#77548,.F.); #100256=ORIENTED_EDGE('',*,*,#77586,.F.); #100257=ORIENTED_EDGE('',*,*,#77588,.T.); #100258=ORIENTED_EDGE('',*,*,#77555,.F.); #100259=ORIENTED_EDGE('',*,*,#77596,.T.); #100260=ORIENTED_EDGE('',*,*,#77544,.T.); #100261=ORIENTED_EDGE('',*,*,#77597,.F.); #100262=ORIENTED_EDGE('',*,*,#77554,.F.); #100263=ORIENTED_EDGE('',*,*,#77531,.T.); #100264=ORIENTED_EDGE('',*,*,#77545,.T.); #100265=ORIENTED_EDGE('',*,*,#77596,.F.); #100266=ORIENTED_EDGE('',*,*,#77566,.F.); #100267=ORIENTED_EDGE('',*,*,#77598,.T.); #100268=ORIENTED_EDGE('',*,*,#77533,.T.); #100269=ORIENTED_EDGE('',*,*,#77503,.T.); #100270=ORIENTED_EDGE('',*,*,#77565,.F.); #100271=ORIENTED_EDGE('',*,*,#77599,.T.); #100272=ORIENTED_EDGE('',*,*,#77534,.T.); #100273=ORIENTED_EDGE('',*,*,#77598,.F.); #100274=ORIENTED_EDGE('',*,*,#77564,.F.); #100275=ORIENTED_EDGE('',*,*,#77600,.T.); #100276=ORIENTED_EDGE('',*,*,#77535,.T.); #100277=ORIENTED_EDGE('',*,*,#77599,.F.); #100278=ORIENTED_EDGE('',*,*,#77563,.F.); #100279=ORIENTED_EDGE('',*,*,#77601,.T.); #100280=ORIENTED_EDGE('',*,*,#77536,.T.); #100281=ORIENTED_EDGE('',*,*,#77600,.F.); #100282=ORIENTED_EDGE('',*,*,#77562,.F.); #100283=ORIENTED_EDGE('',*,*,#77602,.T.); #100284=ORIENTED_EDGE('',*,*,#77537,.T.); #100285=ORIENTED_EDGE('',*,*,#77601,.F.); #100286=ORIENTED_EDGE('',*,*,#77561,.F.); #100287=ORIENTED_EDGE('',*,*,#77603,.T.); #100288=ORIENTED_EDGE('',*,*,#77538,.T.); #100289=ORIENTED_EDGE('',*,*,#77602,.F.); #100290=ORIENTED_EDGE('',*,*,#77560,.F.); #100291=ORIENTED_EDGE('',*,*,#77604,.T.); #100292=ORIENTED_EDGE('',*,*,#77539,.T.); #100293=ORIENTED_EDGE('',*,*,#77603,.F.); #100294=ORIENTED_EDGE('',*,*,#77559,.F.); #100295=ORIENTED_EDGE('',*,*,#77605,.T.); #100296=ORIENTED_EDGE('',*,*,#77540,.T.); #100297=ORIENTED_EDGE('',*,*,#77604,.F.); #100298=ORIENTED_EDGE('',*,*,#77558,.F.); #100299=ORIENTED_EDGE('',*,*,#77606,.T.); #100300=ORIENTED_EDGE('',*,*,#77541,.T.); #100301=ORIENTED_EDGE('',*,*,#77605,.F.); #100302=ORIENTED_EDGE('',*,*,#77557,.F.); #100303=ORIENTED_EDGE('',*,*,#77607,.T.); #100304=ORIENTED_EDGE('',*,*,#77542,.T.); #100305=ORIENTED_EDGE('',*,*,#77606,.F.); #100306=ORIENTED_EDGE('',*,*,#77556,.F.); #100307=ORIENTED_EDGE('',*,*,#77597,.T.); #100308=ORIENTED_EDGE('',*,*,#77543,.T.); #100309=ORIENTED_EDGE('',*,*,#77607,.F.); #100310=ORIENTED_EDGE('',*,*,#77573,.F.); #100311=ORIENTED_EDGE('',*,*,#77608,.T.); #100312=ORIENTED_EDGE('',*,*,#77609,.T.); #100313=ORIENTED_EDGE('',*,*,#77610,.T.); #100314=ORIENTED_EDGE('',*,*,#77610,.F.); #100315=ORIENTED_EDGE('',*,*,#77611,.F.); #100316=ORIENTED_EDGE('',*,*,#77612,.T.); #100317=ORIENTED_EDGE('',*,*,#77574,.T.); #100318=ORIENTED_EDGE('',*,*,#77579,.F.); #100319=ORIENTED_EDGE('',*,*,#77613,.T.); #100320=ORIENTED_EDGE('',*,*,#77614,.T.); #100321=ORIENTED_EDGE('',*,*,#77528,.T.); #100322=ORIENTED_EDGE('',*,*,#77571,.F.); #100323=ORIENTED_EDGE('',*,*,#77615,.T.); #100324=ORIENTED_EDGE('',*,*,#77616,.T.); #100325=ORIENTED_EDGE('',*,*,#77617,.T.); #100326=ORIENTED_EDGE('',*,*,#77608,.F.); #100327=ORIENTED_EDGE('',*,*,#77572,.T.); #100328=ORIENTED_EDGE('',*,*,#77617,.F.); #100329=ORIENTED_EDGE('',*,*,#77618,.F.); #100330=ORIENTED_EDGE('',*,*,#77613,.F.); #100331=ORIENTED_EDGE('',*,*,#77578,.T.); #100332=ORIENTED_EDGE('',*,*,#77619,.F.); #100333=ORIENTED_EDGE('',*,*,#77620,.F.); #100334=ORIENTED_EDGE('',*,*,#77621,.F.); #100335=ORIENTED_EDGE('',*,*,#77622,.T.); #100336=ORIENTED_EDGE('',*,*,#77567,.T.); #100337=ORIENTED_EDGE('',*,*,#77482,.F.); #100338=ORIENTED_EDGE('',*,*,#77623,.F.); #100339=ORIENTED_EDGE('',*,*,#77624,.T.); #100340=ORIENTED_EDGE('',*,*,#77568,.T.); #100341=ORIENTED_EDGE('',*,*,#77622,.F.); #100342=ORIENTED_EDGE('',*,*,#77625,.F.); #100343=ORIENTED_EDGE('',*,*,#77626,.T.); #100344=ORIENTED_EDGE('',*,*,#77569,.T.); #100345=ORIENTED_EDGE('',*,*,#77624,.F.); #100346=ORIENTED_EDGE('',*,*,#77615,.F.); #100347=ORIENTED_EDGE('',*,*,#77570,.T.); #100348=ORIENTED_EDGE('',*,*,#77626,.F.); #100349=ORIENTED_EDGE('',*,*,#77627,.F.); #100350=ORIENTED_EDGE('',*,*,#77628,.F.); #100351=ORIENTED_EDGE('',*,*,#77629,.T.); #100352=ORIENTED_EDGE('',*,*,#77575,.T.); #100353=ORIENTED_EDGE('',*,*,#77612,.F.); #100354=ORIENTED_EDGE('',*,*,#77630,.F.); #100355=ORIENTED_EDGE('',*,*,#77631,.T.); #100356=ORIENTED_EDGE('',*,*,#77576,.T.); #100357=ORIENTED_EDGE('',*,*,#77629,.F.); #100358=ORIENTED_EDGE('',*,*,#77632,.F.); #100359=ORIENTED_EDGE('',*,*,#77619,.T.); #100360=ORIENTED_EDGE('',*,*,#77577,.T.); #100361=ORIENTED_EDGE('',*,*,#77631,.F.); #100362=ORIENTED_EDGE('',*,*,#77633,.F.); #100363=ORIENTED_EDGE('',*,*,#77634,.F.); #100364=ORIENTED_EDGE('',*,*,#77635,.F.); #100365=ORIENTED_EDGE('',*,*,#77636,.T.); #100366=ORIENTED_EDGE('',*,*,#77637,.F.); #100367=ORIENTED_EDGE('',*,*,#77638,.T.); #100368=ORIENTED_EDGE('',*,*,#77639,.T.); #100369=ORIENTED_EDGE('',*,*,#77633,.T.); #100370=ORIENTED_EDGE('',*,*,#77640,.F.); #100371=ORIENTED_EDGE('',*,*,#77641,.T.); #100372=ORIENTED_EDGE('',*,*,#77642,.T.); #100373=ORIENTED_EDGE('',*,*,#77643,.T.); #100374=ORIENTED_EDGE('',*,*,#77643,.F.); #100375=ORIENTED_EDGE('',*,*,#77644,.F.); #100376=ORIENTED_EDGE('',*,*,#77645,.F.); #100377=ORIENTED_EDGE('',*,*,#77646,.T.); #100378=ORIENTED_EDGE('',*,*,#77647,.F.); #100379=ORIENTED_EDGE('',*,*,#77648,.T.); #100380=ORIENTED_EDGE('',*,*,#77649,.T.); #100381=ORIENTED_EDGE('',*,*,#77650,.T.); #100382=ORIENTED_EDGE('',*,*,#77648,.F.); #100383=ORIENTED_EDGE('',*,*,#77651,.T.); #100384=ORIENTED_EDGE('',*,*,#77652,.F.); #100385=ORIENTED_EDGE('',*,*,#77653,.F.); #100386=ORIENTED_EDGE('',*,*,#77654,.F.); #100387=ORIENTED_EDGE('',*,*,#77655,.T.); #100388=ORIENTED_EDGE('',*,*,#77656,.T.); #100389=ORIENTED_EDGE('',*,*,#77657,.T.); #100390=ORIENTED_EDGE('',*,*,#77647,.T.); #100391=ORIENTED_EDGE('',*,*,#77658,.F.); #100392=ORIENTED_EDGE('',*,*,#77654,.T.); #100393=ORIENTED_EDGE('',*,*,#77659,.F.); #100394=ORIENTED_EDGE('',*,*,#77660,.T.); #100395=ORIENTED_EDGE('',*,*,#77661,.F.); #100396=ORIENTED_EDGE('',*,*,#77662,.T.); #100397=ORIENTED_EDGE('',*,*,#77651,.F.); #100398=ORIENTED_EDGE('',*,*,#77657,.F.); #100399=ORIENTED_EDGE('',*,*,#77663,.F.); #100400=ORIENTED_EDGE('',*,*,#77664,.F.); #100401=ORIENTED_EDGE('',*,*,#77659,.T.); #100402=ORIENTED_EDGE('',*,*,#77660,.F.); #100403=ORIENTED_EDGE('',*,*,#77664,.T.); #100404=ORIENTED_EDGE('',*,*,#77665,.T.); #100405=ORIENTED_EDGE('',*,*,#77666,.T.); #100406=ORIENTED_EDGE('',*,*,#77666,.F.); #100407=ORIENTED_EDGE('',*,*,#77667,.F.); #100408=ORIENTED_EDGE('',*,*,#77668,.F.); #100409=ORIENTED_EDGE('',*,*,#77661,.T.); #100410=ORIENTED_EDGE('',*,*,#77662,.F.); #100411=ORIENTED_EDGE('',*,*,#77668,.T.); #100412=ORIENTED_EDGE('',*,*,#77669,.T.); #100413=ORIENTED_EDGE('',*,*,#77652,.T.); #100414=ORIENTED_EDGE('',*,*,#77641,.F.); #100415=ORIENTED_EDGE('',*,*,#77670,.T.); #100416=ORIENTED_EDGE('',*,*,#77671,.F.); #100417=ORIENTED_EDGE('',*,*,#77672,.F.); #100418=ORIENTED_EDGE('',*,*,#77640,.T.); #100419=ORIENTED_EDGE('',*,*,#77646,.F.); #100420=ORIENTED_EDGE('',*,*,#77673,.F.); #100421=ORIENTED_EDGE('',*,*,#77670,.F.); #100422=ORIENTED_EDGE('',*,*,#77674,.F.); #100423=ORIENTED_EDGE('',*,*,#77497,.F.); #100424=ORIENTED_EDGE('',*,*,#77675,.T.); #100425=ORIENTED_EDGE('',*,*,#77676,.F.); #100426=ORIENTED_EDGE('',*,*,#77677,.F.); #100427=ORIENTED_EDGE('',*,*,#77678,.F.); #100428=ORIENTED_EDGE('',*,*,#77679,.T.); #100429=ORIENTED_EDGE('',*,*,#77495,.F.); #100430=ORIENTED_EDGE('',*,*,#77679,.F.); #100431=ORIENTED_EDGE('',*,*,#77680,.F.); #100432=ORIENTED_EDGE('',*,*,#77675,.F.); #100433=ORIENTED_EDGE('',*,*,#77496,.F.); #100434=ORIENTED_EDGE('',*,*,#77638,.F.); #100435=ORIENTED_EDGE('',*,*,#77681,.T.); #100436=ORIENTED_EDGE('',*,*,#77682,.F.); #100437=ORIENTED_EDGE('',*,*,#77683,.F.); #100438=ORIENTED_EDGE('',*,*,#77637,.T.); #100439=ORIENTED_EDGE('',*,*,#77636,.F.); #100440=ORIENTED_EDGE('',*,*,#77684,.F.); #100441=ORIENTED_EDGE('',*,*,#77681,.F.); #100442=ORIENTED_EDGE('',*,*,#77685,.F.); #100443=ORIENTED_EDGE('',*,*,#77493,.F.); #100444=ORIENTED_EDGE('',*,*,#77686,.T.); #100445=ORIENTED_EDGE('',*,*,#77687,.F.); #100446=ORIENTED_EDGE('',*,*,#77688,.F.); #100447=ORIENTED_EDGE('',*,*,#77689,.F.); #100448=ORIENTED_EDGE('',*,*,#77690,.T.); #100449=ORIENTED_EDGE('',*,*,#77491,.F.); #100450=ORIENTED_EDGE('',*,*,#77690,.F.); #100451=ORIENTED_EDGE('',*,*,#77691,.F.); #100452=ORIENTED_EDGE('',*,*,#77686,.F.); #100453=ORIENTED_EDGE('',*,*,#77492,.F.); #100454=ORIENTED_EDGE('',*,*,#77674,.T.); #100455=ORIENTED_EDGE('',*,*,#77692,.T.); #100456=ORIENTED_EDGE('',*,*,#77693,.F.); #100457=ORIENTED_EDGE('',*,*,#77694,.T.); #100458=ORIENTED_EDGE('',*,*,#77695,.T.); #100459=ORIENTED_EDGE('',*,*,#77696,.T.); #100460=ORIENTED_EDGE('',*,*,#77697,.T.); #100461=ORIENTED_EDGE('',*,*,#77698,.T.); #100462=ORIENTED_EDGE('',*,*,#77699,.T.); #100463=ORIENTED_EDGE('',*,*,#77700,.T.); #100464=ORIENTED_EDGE('',*,*,#77701,.T.); #100465=ORIENTED_EDGE('',*,*,#77702,.T.); #100466=ORIENTED_EDGE('',*,*,#77703,.T.); #100467=ORIENTED_EDGE('',*,*,#77704,.T.); #100468=ORIENTED_EDGE('',*,*,#77705,.T.); #100469=ORIENTED_EDGE('',*,*,#77706,.T.); #100470=ORIENTED_EDGE('',*,*,#77707,.T.); #100471=ORIENTED_EDGE('',*,*,#77708,.T.); #100472=ORIENTED_EDGE('',*,*,#77709,.T.); #100473=ORIENTED_EDGE('',*,*,#77710,.T.); #100474=ORIENTED_EDGE('',*,*,#77711,.T.); #100475=ORIENTED_EDGE('',*,*,#77712,.T.); #100476=ORIENTED_EDGE('',*,*,#77713,.T.); #100477=ORIENTED_EDGE('',*,*,#77714,.T.); #100478=ORIENTED_EDGE('',*,*,#77715,.T.); #100479=ORIENTED_EDGE('',*,*,#77716,.F.); #100480=ORIENTED_EDGE('',*,*,#77498,.T.); #100481=ORIENTED_EDGE('',*,*,#77677,.T.); #100482=ORIENTED_EDGE('',*,*,#77494,.T.); #100483=ORIENTED_EDGE('',*,*,#77685,.T.); #100484=ORIENTED_EDGE('',*,*,#77717,.T.); #100485=ORIENTED_EDGE('',*,*,#77644,.T.); #100486=ORIENTED_EDGE('',*,*,#77642,.F.); #100487=ORIENTED_EDGE('',*,*,#77672,.T.); #100488=ORIENTED_EDGE('',*,*,#77718,.T.); #100489=ORIENTED_EDGE('',*,*,#77634,.T.); #100490=ORIENTED_EDGE('',*,*,#77639,.F.); #100491=ORIENTED_EDGE('',*,*,#77683,.T.); #100492=ORIENTED_EDGE('',*,*,#77719,.T.); #100493=ORIENTED_EDGE('',*,*,#77688,.T.); #100494=ORIENTED_EDGE('',*,*,#77490,.T.); #100495=ORIENTED_EDGE('',*,*,#77720,.F.); #100496=ORIENTED_EDGE('',*,*,#77721,.T.); #100497=ORIENTED_EDGE('',*,*,#77722,.T.); #100498=ORIENTED_EDGE('',*,*,#77723,.T.); #100499=ORIENTED_EDGE('',*,*,#77724,.T.); #100500=ORIENTED_EDGE('',*,*,#77725,.T.); #100501=ORIENTED_EDGE('',*,*,#77726,.T.); #100502=ORIENTED_EDGE('',*,*,#77727,.T.); #100503=ORIENTED_EDGE('',*,*,#77728,.T.); #100504=ORIENTED_EDGE('',*,*,#77729,.T.); #100505=ORIENTED_EDGE('',*,*,#77730,.T.); #100506=ORIENTED_EDGE('',*,*,#77731,.T.); #100507=ORIENTED_EDGE('',*,*,#77732,.T.); #100508=ORIENTED_EDGE('',*,*,#77733,.T.); #100509=ORIENTED_EDGE('',*,*,#77734,.T.); #100510=ORIENTED_EDGE('',*,*,#77735,.T.); #100511=ORIENTED_EDGE('',*,*,#77736,.T.); #100512=ORIENTED_EDGE('',*,*,#77737,.T.); #100513=ORIENTED_EDGE('',*,*,#77738,.T.); #100514=ORIENTED_EDGE('',*,*,#77739,.T.); #100515=ORIENTED_EDGE('',*,*,#77740,.T.); #100516=ORIENTED_EDGE('',*,*,#77741,.T.); #100517=ORIENTED_EDGE('',*,*,#77742,.T.); #100518=ORIENTED_EDGE('',*,*,#77743,.F.); #100519=ORIENTED_EDGE('',*,*,#77744,.T.); #100520=ORIENTED_EDGE('',*,*,#77745,.T.); #100521=ORIENTED_EDGE('',*,*,#77746,.T.); #100522=ORIENTED_EDGE('',*,*,#77663,.T.); #100523=ORIENTED_EDGE('',*,*,#77656,.F.); #100524=ORIENTED_EDGE('',*,*,#77747,.T.); #100525=ORIENTED_EDGE('',*,*,#77649,.F.); #100526=ORIENTED_EDGE('',*,*,#77653,.T.); #100527=ORIENTED_EDGE('',*,*,#77669,.F.); #100528=ORIENTED_EDGE('',*,*,#77667,.T.); #100529=ORIENTED_EDGE('',*,*,#77665,.F.); #100530=ORIENTED_EDGE('',*,*,#77650,.F.); #100531=ORIENTED_EDGE('',*,*,#77747,.F.); #100532=ORIENTED_EDGE('',*,*,#77655,.F.); #100533=ORIENTED_EDGE('',*,*,#77658,.T.); #100534=ORIENTED_EDGE('',*,*,#77746,.F.); #100535=ORIENTED_EDGE('',*,*,#77748,.T.); #100536=ORIENTED_EDGE('',*,*,#77749,.T.); #100537=ORIENTED_EDGE('',*,*,#77748,.F.); #100538=ORIENTED_EDGE('',*,*,#77749,.F.); #100539=ORIENTED_EDGE('',*,*,#77750,.F.); #100540=ORIENTED_EDGE('',*,*,#77745,.F.); #100541=ORIENTED_EDGE('',*,*,#77751,.T.); #100542=ORIENTED_EDGE('',*,*,#77752,.T.); #100543=ORIENTED_EDGE('',*,*,#77751,.F.); #100544=ORIENTED_EDGE('',*,*,#77752,.F.); #100545=ORIENTED_EDGE('',*,*,#77753,.F.); #100546=ORIENTED_EDGE('',*,*,#77754,.F.); #100547=ORIENTED_EDGE('',*,*,#77755,.T.); #100548=ORIENTED_EDGE('',*,*,#77753,.T.); #100549=ORIENTED_EDGE('',*,*,#77755,.F.); #100550=ORIENTED_EDGE('',*,*,#77756,.F.); #100551=ORIENTED_EDGE('',*,*,#77757,.T.); #100552=ORIENTED_EDGE('',*,*,#77750,.T.); #100553=ORIENTED_EDGE('',*,*,#77757,.F.); #100554=ORIENTED_EDGE('',*,*,#77758,.T.); #100555=ORIENTED_EDGE('',*,*,#77759,.T.); #100556=ORIENTED_EDGE('',*,*,#77743,.T.); #100557=ORIENTED_EDGE('',*,*,#77760,.T.); #100558=ORIENTED_EDGE('',*,*,#77645,.T.); #100559=ORIENTED_EDGE('',*,*,#77744,.F.); #100560=ORIENTED_EDGE('',*,*,#77759,.F.); #100561=ORIENTED_EDGE('',*,*,#77761,.T.); #100562=ORIENTED_EDGE('',*,*,#77762,.F.); #100563=ORIENTED_EDGE('',*,*,#77692,.F.); #100564=ORIENTED_EDGE('',*,*,#77676,.T.); #100565=ORIENTED_EDGE('',*,*,#77680,.T.); #100566=ORIENTED_EDGE('',*,*,#77678,.T.); #100567=ORIENTED_EDGE('',*,*,#77717,.F.); #100568=ORIENTED_EDGE('',*,*,#77687,.T.); #100569=ORIENTED_EDGE('',*,*,#77691,.T.); #100570=ORIENTED_EDGE('',*,*,#77689,.T.); #100571=ORIENTED_EDGE('',*,*,#77719,.F.); #100572=ORIENTED_EDGE('',*,*,#77682,.T.); #100573=ORIENTED_EDGE('',*,*,#77684,.T.); #100574=ORIENTED_EDGE('',*,*,#77635,.T.); #100575=ORIENTED_EDGE('',*,*,#77718,.F.); #100576=ORIENTED_EDGE('',*,*,#77671,.T.); #100577=ORIENTED_EDGE('',*,*,#77673,.T.); #100578=ORIENTED_EDGE('',*,*,#77693,.T.); #100579=ORIENTED_EDGE('',*,*,#77762,.T.); #100580=ORIENTED_EDGE('',*,*,#77763,.T.); #100581=ORIENTED_EDGE('',*,*,#77764,.T.); #100582=ORIENTED_EDGE('',*,*,#77716,.T.); #100583=ORIENTED_EDGE('',*,*,#77765,.T.); #100584=ORIENTED_EDGE('',*,*,#77766,.T.); #100585=ORIENTED_EDGE('',*,*,#77499,.T.); #100586=ORIENTED_EDGE('',*,*,#77720,.T.); #100587=ORIENTED_EDGE('',*,*,#77489,.T.); #100588=ORIENTED_EDGE('',*,*,#77767,.T.); #100589=ORIENTED_EDGE('',*,*,#77768,.T.); #100590=ORIENTED_EDGE('',*,*,#77704,.F.); #100591=ORIENTED_EDGE('',*,*,#77769,.F.); #100592=ORIENTED_EDGE('',*,*,#77770,.F.); #100593=ORIENTED_EDGE('',*,*,#77771,.T.); #100594=ORIENTED_EDGE('',*,*,#77705,.F.); #100595=ORIENTED_EDGE('',*,*,#77771,.F.); #100596=ORIENTED_EDGE('',*,*,#77772,.F.); #100597=ORIENTED_EDGE('',*,*,#77773,.T.); #100598=ORIENTED_EDGE('',*,*,#77706,.F.); #100599=ORIENTED_EDGE('',*,*,#77773,.F.); #100600=ORIENTED_EDGE('',*,*,#77774,.F.); #100601=ORIENTED_EDGE('',*,*,#77775,.T.); #100602=ORIENTED_EDGE('',*,*,#77707,.F.); #100603=ORIENTED_EDGE('',*,*,#77775,.F.); #100604=ORIENTED_EDGE('',*,*,#77776,.F.); #100605=ORIENTED_EDGE('',*,*,#77777,.T.); #100606=ORIENTED_EDGE('',*,*,#77708,.F.); #100607=ORIENTED_EDGE('',*,*,#77777,.F.); #100608=ORIENTED_EDGE('',*,*,#77778,.F.); #100609=ORIENTED_EDGE('',*,*,#77779,.F.); #100610=ORIENTED_EDGE('',*,*,#77764,.F.); #100611=ORIENTED_EDGE('',*,*,#77780,.F.); #100612=ORIENTED_EDGE('',*,*,#77781,.T.); #100613=ORIENTED_EDGE('',*,*,#77694,.F.); #100614=ORIENTED_EDGE('',*,*,#77695,.F.); #100615=ORIENTED_EDGE('',*,*,#77781,.F.); #100616=ORIENTED_EDGE('',*,*,#77782,.F.); #100617=ORIENTED_EDGE('',*,*,#77783,.T.); #100618=ORIENTED_EDGE('',*,*,#77696,.F.); #100619=ORIENTED_EDGE('',*,*,#77783,.F.); #100620=ORIENTED_EDGE('',*,*,#77784,.F.); #100621=ORIENTED_EDGE('',*,*,#77785,.F.); #100622=ORIENTED_EDGE('',*,*,#77701,.F.); #100623=ORIENTED_EDGE('',*,*,#77786,.F.); #100624=ORIENTED_EDGE('',*,*,#77787,.F.); #100625=ORIENTED_EDGE('',*,*,#77788,.T.); #100626=ORIENTED_EDGE('',*,*,#77702,.F.); #100627=ORIENTED_EDGE('',*,*,#77788,.F.); #100628=ORIENTED_EDGE('',*,*,#77789,.F.); #100629=ORIENTED_EDGE('',*,*,#77790,.F.); #100630=ORIENTED_EDGE('',*,*,#77698,.F.); #100631=ORIENTED_EDGE('',*,*,#77791,.F.); #100632=ORIENTED_EDGE('',*,*,#77792,.F.); #100633=ORIENTED_EDGE('',*,*,#77793,.T.); #100634=ORIENTED_EDGE('',*,*,#77699,.F.); #100635=ORIENTED_EDGE('',*,*,#77793,.F.); #100636=ORIENTED_EDGE('',*,*,#77794,.F.); #100637=ORIENTED_EDGE('',*,*,#77795,.T.); #100638=ORIENTED_EDGE('',*,*,#77700,.F.); #100639=ORIENTED_EDGE('',*,*,#77795,.F.); #100640=ORIENTED_EDGE('',*,*,#77796,.F.); #100641=ORIENTED_EDGE('',*,*,#77786,.T.); #100642=ORIENTED_EDGE('',*,*,#77738,.F.); #100643=ORIENTED_EDGE('',*,*,#77797,.F.); #100644=ORIENTED_EDGE('',*,*,#77798,.F.); #100645=ORIENTED_EDGE('',*,*,#77799,.F.); #100646=ORIENTED_EDGE('',*,*,#77734,.F.); #100647=ORIENTED_EDGE('',*,*,#77800,.F.); #100648=ORIENTED_EDGE('',*,*,#77801,.F.); #100649=ORIENTED_EDGE('',*,*,#77802,.T.); #100650=ORIENTED_EDGE('',*,*,#77735,.F.); #100651=ORIENTED_EDGE('',*,*,#77802,.F.); #100652=ORIENTED_EDGE('',*,*,#77803,.F.); #100653=ORIENTED_EDGE('',*,*,#77804,.T.); #100654=ORIENTED_EDGE('',*,*,#77736,.F.); #100655=ORIENTED_EDGE('',*,*,#77804,.F.); #100656=ORIENTED_EDGE('',*,*,#77805,.F.); #100657=ORIENTED_EDGE('',*,*,#77806,.T.); #100658=ORIENTED_EDGE('',*,*,#77737,.F.); #100659=ORIENTED_EDGE('',*,*,#77806,.F.); #100660=ORIENTED_EDGE('',*,*,#77807,.F.); #100661=ORIENTED_EDGE('',*,*,#77797,.T.); #100662=ORIENTED_EDGE('',*,*,#77713,.F.); #100663=ORIENTED_EDGE('',*,*,#77808,.F.); #100664=ORIENTED_EDGE('',*,*,#77809,.F.); #100665=ORIENTED_EDGE('',*,*,#77810,.T.); #100666=ORIENTED_EDGE('',*,*,#77714,.F.); #100667=ORIENTED_EDGE('',*,*,#77810,.F.); #100668=ORIENTED_EDGE('',*,*,#77811,.F.); #100669=ORIENTED_EDGE('',*,*,#77812,.F.); #100670=ORIENTED_EDGE('',*,*,#77710,.F.); #100671=ORIENTED_EDGE('',*,*,#77813,.F.); #100672=ORIENTED_EDGE('',*,*,#77814,.F.); #100673=ORIENTED_EDGE('',*,*,#77815,.T.); #100674=ORIENTED_EDGE('',*,*,#77711,.F.); #100675=ORIENTED_EDGE('',*,*,#77815,.F.); #100676=ORIENTED_EDGE('',*,*,#77816,.F.); #100677=ORIENTED_EDGE('',*,*,#77817,.T.); #100678=ORIENTED_EDGE('',*,*,#77712,.F.); #100679=ORIENTED_EDGE('',*,*,#77817,.F.); #100680=ORIENTED_EDGE('',*,*,#77818,.F.); #100681=ORIENTED_EDGE('',*,*,#77808,.T.); #100682=ORIENTED_EDGE('',*,*,#77732,.F.); #100683=ORIENTED_EDGE('',*,*,#77819,.F.); #100684=ORIENTED_EDGE('',*,*,#77820,.F.); #100685=ORIENTED_EDGE('',*,*,#77821,.F.); #100686=ORIENTED_EDGE('',*,*,#77728,.F.); #100687=ORIENTED_EDGE('',*,*,#77822,.F.); #100688=ORIENTED_EDGE('',*,*,#77823,.F.); #100689=ORIENTED_EDGE('',*,*,#77824,.T.); #100690=ORIENTED_EDGE('',*,*,#77729,.F.); #100691=ORIENTED_EDGE('',*,*,#77824,.F.); #100692=ORIENTED_EDGE('',*,*,#77825,.F.); #100693=ORIENTED_EDGE('',*,*,#77826,.T.); #100694=ORIENTED_EDGE('',*,*,#77730,.F.); #100695=ORIENTED_EDGE('',*,*,#77826,.F.); #100696=ORIENTED_EDGE('',*,*,#77827,.F.); #100697=ORIENTED_EDGE('',*,*,#77828,.T.); #100698=ORIENTED_EDGE('',*,*,#77731,.F.); #100699=ORIENTED_EDGE('',*,*,#77828,.F.); #100700=ORIENTED_EDGE('',*,*,#77829,.F.); #100701=ORIENTED_EDGE('',*,*,#77819,.T.); #100702=ORIENTED_EDGE('',*,*,#77740,.F.); #100703=ORIENTED_EDGE('',*,*,#77830,.F.); #100704=ORIENTED_EDGE('',*,*,#77831,.F.); #100705=ORIENTED_EDGE('',*,*,#77832,.T.); #100706=ORIENTED_EDGE('',*,*,#77741,.F.); #100707=ORIENTED_EDGE('',*,*,#77832,.F.); #100708=ORIENTED_EDGE('',*,*,#77833,.F.); #100709=ORIENTED_EDGE('',*,*,#77834,.T.); #100710=ORIENTED_EDGE('',*,*,#77760,.F.); #100711=ORIENTED_EDGE('',*,*,#77742,.F.); #100712=ORIENTED_EDGE('',*,*,#77834,.F.); #100713=ORIENTED_EDGE('',*,*,#77835,.F.); #100714=ORIENTED_EDGE('',*,*,#77726,.F.); #100715=ORIENTED_EDGE('',*,*,#77836,.F.); #100716=ORIENTED_EDGE('',*,*,#77837,.F.); #100717=ORIENTED_EDGE('',*,*,#77838,.F.); #100718=ORIENTED_EDGE('',*,*,#77722,.F.); #100719=ORIENTED_EDGE('',*,*,#77839,.F.); #100720=ORIENTED_EDGE('',*,*,#77840,.F.); #100721=ORIENTED_EDGE('',*,*,#77841,.T.); #100722=ORIENTED_EDGE('',*,*,#77723,.F.); #100723=ORIENTED_EDGE('',*,*,#77841,.F.); #100724=ORIENTED_EDGE('',*,*,#77842,.F.); #100725=ORIENTED_EDGE('',*,*,#77843,.T.); #100726=ORIENTED_EDGE('',*,*,#77724,.F.); #100727=ORIENTED_EDGE('',*,*,#77843,.F.); #100728=ORIENTED_EDGE('',*,*,#77844,.F.); #100729=ORIENTED_EDGE('',*,*,#77845,.T.); #100730=ORIENTED_EDGE('',*,*,#77725,.F.); #100731=ORIENTED_EDGE('',*,*,#77845,.F.); #100732=ORIENTED_EDGE('',*,*,#77846,.F.); #100733=ORIENTED_EDGE('',*,*,#77836,.T.); #100734=ORIENTED_EDGE('',*,*,#77765,.F.); #100735=ORIENTED_EDGE('',*,*,#77715,.F.); #100736=ORIENTED_EDGE('',*,*,#77812,.T.); #100737=ORIENTED_EDGE('',*,*,#77847,.T.); #100738=ORIENTED_EDGE('',*,*,#77785,.T.); #100739=ORIENTED_EDGE('',*,*,#77848,.T.); #100740=ORIENTED_EDGE('',*,*,#77791,.T.); #100741=ORIENTED_EDGE('',*,*,#77697,.F.); #100742=ORIENTED_EDGE('',*,*,#77779,.T.); #100743=ORIENTED_EDGE('',*,*,#77849,.T.); #100744=ORIENTED_EDGE('',*,*,#77813,.T.); #100745=ORIENTED_EDGE('',*,*,#77709,.F.); #100746=ORIENTED_EDGE('',*,*,#77769,.T.); #100747=ORIENTED_EDGE('',*,*,#77703,.F.); #100748=ORIENTED_EDGE('',*,*,#77790,.T.); #100749=ORIENTED_EDGE('',*,*,#77850,.T.); #100750=ORIENTED_EDGE('',*,*,#77768,.F.); #100751=ORIENTED_EDGE('',*,*,#77851,.T.); #100752=ORIENTED_EDGE('',*,*,#77839,.T.); #100753=ORIENTED_EDGE('',*,*,#77721,.F.); #100754=ORIENTED_EDGE('',*,*,#77822,.T.); #100755=ORIENTED_EDGE('',*,*,#77727,.F.); #100756=ORIENTED_EDGE('',*,*,#77838,.T.); #100757=ORIENTED_EDGE('',*,*,#77852,.T.); #100758=ORIENTED_EDGE('',*,*,#77799,.T.); #100759=ORIENTED_EDGE('',*,*,#77853,.T.); #100760=ORIENTED_EDGE('',*,*,#77830,.T.); #100761=ORIENTED_EDGE('',*,*,#77739,.F.); #100762=ORIENTED_EDGE('',*,*,#77800,.T.); #100763=ORIENTED_EDGE('',*,*,#77733,.F.); #100764=ORIENTED_EDGE('',*,*,#77821,.T.); #100765=ORIENTED_EDGE('',*,*,#77854,.T.); #100766=ORIENTED_EDGE('',*,*,#77478,.T.); #100767=ORIENTED_EDGE('',*,*,#77487,.T.); #100768=ORIENTED_EDGE('',*,*,#77524,.F.); #100769=ORIENTED_EDGE('',*,*,#77525,.T.); #100770=ORIENTED_EDGE('',*,*,#77521,.T.); #100771=ORIENTED_EDGE('',*,*,#77529,.T.); #100772=ORIENTED_EDGE('',*,*,#77614,.F.); #100773=ORIENTED_EDGE('',*,*,#77620,.T.); #100774=ORIENTED_EDGE('',*,*,#77632,.T.); #100775=ORIENTED_EDGE('',*,*,#77630,.T.); #100776=ORIENTED_EDGE('',*,*,#77628,.T.); #100777=ORIENTED_EDGE('',*,*,#77611,.T.); #100778=ORIENTED_EDGE('',*,*,#77609,.F.); #100779=ORIENTED_EDGE('',*,*,#77618,.T.); #100780=ORIENTED_EDGE('',*,*,#77616,.F.); #100781=ORIENTED_EDGE('',*,*,#77627,.T.); #100782=ORIENTED_EDGE('',*,*,#77625,.T.); #100783=ORIENTED_EDGE('',*,*,#77623,.T.); #100784=ORIENTED_EDGE('',*,*,#77621,.T.); #100785=ORIENTED_EDGE('',*,*,#77481,.T.); #100786=ORIENTED_EDGE('',*,*,#77480,.T.); #100787=ORIENTED_EDGE('',*,*,#77500,.F.); #100788=ORIENTED_EDGE('',*,*,#77766,.F.); #100789=ORIENTED_EDGE('',*,*,#77847,.F.); #100790=ORIENTED_EDGE('',*,*,#77811,.T.); #100791=ORIENTED_EDGE('',*,*,#77809,.T.); #100792=ORIENTED_EDGE('',*,*,#77818,.T.); #100793=ORIENTED_EDGE('',*,*,#77816,.T.); #100794=ORIENTED_EDGE('',*,*,#77814,.T.); #100795=ORIENTED_EDGE('',*,*,#77849,.F.); #100796=ORIENTED_EDGE('',*,*,#77778,.T.); #100797=ORIENTED_EDGE('',*,*,#77776,.T.); #100798=ORIENTED_EDGE('',*,*,#77774,.T.); #100799=ORIENTED_EDGE('',*,*,#77772,.T.); #100800=ORIENTED_EDGE('',*,*,#77770,.T.); #100801=ORIENTED_EDGE('',*,*,#77850,.F.); #100802=ORIENTED_EDGE('',*,*,#77789,.T.); #100803=ORIENTED_EDGE('',*,*,#77787,.T.); #100804=ORIENTED_EDGE('',*,*,#77796,.T.); #100805=ORIENTED_EDGE('',*,*,#77794,.T.); #100806=ORIENTED_EDGE('',*,*,#77792,.T.); #100807=ORIENTED_EDGE('',*,*,#77848,.F.); #100808=ORIENTED_EDGE('',*,*,#77784,.T.); #100809=ORIENTED_EDGE('',*,*,#77782,.T.); #100810=ORIENTED_EDGE('',*,*,#77780,.T.); #100811=ORIENTED_EDGE('',*,*,#77763,.F.); #100812=ORIENTED_EDGE('',*,*,#77761,.F.); #100813=ORIENTED_EDGE('',*,*,#77758,.F.); #100814=ORIENTED_EDGE('',*,*,#77835,.T.); #100815=ORIENTED_EDGE('',*,*,#77833,.T.); #100816=ORIENTED_EDGE('',*,*,#77831,.T.); #100817=ORIENTED_EDGE('',*,*,#77853,.F.); #100818=ORIENTED_EDGE('',*,*,#77798,.T.); #100819=ORIENTED_EDGE('',*,*,#77807,.T.); #100820=ORIENTED_EDGE('',*,*,#77805,.T.); #100821=ORIENTED_EDGE('',*,*,#77803,.T.); #100822=ORIENTED_EDGE('',*,*,#77801,.T.); #100823=ORIENTED_EDGE('',*,*,#77854,.F.); #100824=ORIENTED_EDGE('',*,*,#77820,.T.); #100825=ORIENTED_EDGE('',*,*,#77829,.T.); #100826=ORIENTED_EDGE('',*,*,#77827,.T.); #100827=ORIENTED_EDGE('',*,*,#77825,.T.); #100828=ORIENTED_EDGE('',*,*,#77823,.T.); #100829=ORIENTED_EDGE('',*,*,#77852,.F.); #100830=ORIENTED_EDGE('',*,*,#77837,.T.); #100831=ORIENTED_EDGE('',*,*,#77846,.T.); #100832=ORIENTED_EDGE('',*,*,#77844,.T.); #100833=ORIENTED_EDGE('',*,*,#77842,.T.); #100834=ORIENTED_EDGE('',*,*,#77840,.T.); #100835=ORIENTED_EDGE('',*,*,#77851,.F.); #100836=ORIENTED_EDGE('',*,*,#77767,.F.); #100837=ORIENTED_EDGE('',*,*,#77488,.F.); #100838=ORIENTED_EDGE('',*,*,#77754,.T.); #100839=ORIENTED_EDGE('',*,*,#77756,.T.); #100840=ORIENTED_EDGE('',*,*,#77855,.F.); #100841=ORIENTED_EDGE('',*,*,#77856,.T.); #100842=ORIENTED_EDGE('',*,*,#77857,.T.); #100843=ORIENTED_EDGE('',*,*,#77858,.F.); #100844=ORIENTED_EDGE('',*,*,#77859,.F.); #100845=ORIENTED_EDGE('',*,*,#77860,.T.); #100846=ORIENTED_EDGE('',*,*,#77861,.T.); #100847=ORIENTED_EDGE('',*,*,#77856,.F.); #100848=ORIENTED_EDGE('',*,*,#77862,.F.); #100849=ORIENTED_EDGE('',*,*,#77863,.T.); #100850=ORIENTED_EDGE('',*,*,#77864,.T.); #100851=ORIENTED_EDGE('',*,*,#77860,.F.); #100852=ORIENTED_EDGE('',*,*,#77865,.F.); #100853=ORIENTED_EDGE('',*,*,#77866,.T.); #100854=ORIENTED_EDGE('',*,*,#77867,.T.); #100855=ORIENTED_EDGE('',*,*,#77863,.F.); #100856=ORIENTED_EDGE('',*,*,#77868,.F.); #100857=ORIENTED_EDGE('',*,*,#77869,.T.); #100858=ORIENTED_EDGE('',*,*,#77870,.T.); #100859=ORIENTED_EDGE('',*,*,#77866,.F.); #100860=ORIENTED_EDGE('',*,*,#77871,.F.); #100861=ORIENTED_EDGE('',*,*,#77872,.T.); #100862=ORIENTED_EDGE('',*,*,#77873,.T.); #100863=ORIENTED_EDGE('',*,*,#77869,.F.); #100864=ORIENTED_EDGE('',*,*,#77874,.F.); #100865=ORIENTED_EDGE('',*,*,#77858,.T.); #100866=ORIENTED_EDGE('',*,*,#77875,.T.); #100867=ORIENTED_EDGE('',*,*,#77872,.F.); #100868=ORIENTED_EDGE('',*,*,#77876,.F.); #100869=ORIENTED_EDGE('',*,*,#77877,.T.); #100870=ORIENTED_EDGE('',*,*,#77878,.T.); #100871=ORIENTED_EDGE('',*,*,#77879,.F.); #100872=ORIENTED_EDGE('',*,*,#77880,.F.); #100873=ORIENTED_EDGE('',*,*,#77881,.T.); #100874=ORIENTED_EDGE('',*,*,#77882,.T.); #100875=ORIENTED_EDGE('',*,*,#77877,.F.); #100876=ORIENTED_EDGE('',*,*,#77883,.F.); #100877=ORIENTED_EDGE('',*,*,#77884,.T.); #100878=ORIENTED_EDGE('',*,*,#77885,.T.); #100879=ORIENTED_EDGE('',*,*,#77881,.F.); #100880=ORIENTED_EDGE('',*,*,#77886,.F.); #100881=ORIENTED_EDGE('',*,*,#77887,.T.); #100882=ORIENTED_EDGE('',*,*,#77888,.T.); #100883=ORIENTED_EDGE('',*,*,#77884,.F.); #100884=ORIENTED_EDGE('',*,*,#77889,.F.); #100885=ORIENTED_EDGE('',*,*,#77890,.T.); #100886=ORIENTED_EDGE('',*,*,#77891,.T.); #100887=ORIENTED_EDGE('',*,*,#77887,.F.); #100888=ORIENTED_EDGE('',*,*,#77892,.F.); #100889=ORIENTED_EDGE('',*,*,#77893,.T.); #100890=ORIENTED_EDGE('',*,*,#77894,.T.); #100891=ORIENTED_EDGE('',*,*,#77890,.F.); #100892=ORIENTED_EDGE('',*,*,#77895,.F.); #100893=ORIENTED_EDGE('',*,*,#77896,.T.); #100894=ORIENTED_EDGE('',*,*,#77897,.T.); #100895=ORIENTED_EDGE('',*,*,#77893,.F.); #100896=ORIENTED_EDGE('',*,*,#77898,.F.); #100897=ORIENTED_EDGE('',*,*,#77899,.T.); #100898=ORIENTED_EDGE('',*,*,#77900,.T.); #100899=ORIENTED_EDGE('',*,*,#77896,.F.); #100900=ORIENTED_EDGE('',*,*,#77901,.F.); #100901=ORIENTED_EDGE('',*,*,#77902,.T.); #100902=ORIENTED_EDGE('',*,*,#77903,.T.); #100903=ORIENTED_EDGE('',*,*,#77899,.F.); #100904=ORIENTED_EDGE('',*,*,#77904,.F.); #100905=ORIENTED_EDGE('',*,*,#77905,.T.); #100906=ORIENTED_EDGE('',*,*,#77906,.T.); #100907=ORIENTED_EDGE('',*,*,#77902,.F.); #100908=ORIENTED_EDGE('',*,*,#77907,.F.); #100909=ORIENTED_EDGE('',*,*,#77908,.T.); #100910=ORIENTED_EDGE('',*,*,#77909,.T.); #100911=ORIENTED_EDGE('',*,*,#77905,.F.); #100912=ORIENTED_EDGE('',*,*,#77910,.F.); #100913=ORIENTED_EDGE('',*,*,#77911,.T.); #100914=ORIENTED_EDGE('',*,*,#77912,.T.); #100915=ORIENTED_EDGE('',*,*,#77908,.F.); #100916=ORIENTED_EDGE('',*,*,#77913,.F.); #100917=ORIENTED_EDGE('',*,*,#77914,.T.); #100918=ORIENTED_EDGE('',*,*,#77915,.T.); #100919=ORIENTED_EDGE('',*,*,#77911,.F.); #100920=ORIENTED_EDGE('',*,*,#77916,.F.); #100921=ORIENTED_EDGE('',*,*,#77917,.T.); #100922=ORIENTED_EDGE('',*,*,#77918,.T.); #100923=ORIENTED_EDGE('',*,*,#77914,.F.); #100924=ORIENTED_EDGE('',*,*,#77919,.F.); #100925=ORIENTED_EDGE('',*,*,#77920,.T.); #100926=ORIENTED_EDGE('',*,*,#77921,.T.); #100927=ORIENTED_EDGE('',*,*,#77917,.F.); #100928=ORIENTED_EDGE('',*,*,#77922,.F.); #100929=ORIENTED_EDGE('',*,*,#77923,.T.); #100930=ORIENTED_EDGE('',*,*,#77924,.T.); #100931=ORIENTED_EDGE('',*,*,#77920,.F.); #100932=ORIENTED_EDGE('',*,*,#77925,.F.); #100933=ORIENTED_EDGE('',*,*,#77926,.T.); #100934=ORIENTED_EDGE('',*,*,#77927,.T.); #100935=ORIENTED_EDGE('',*,*,#77923,.F.); #100936=ORIENTED_EDGE('',*,*,#77928,.F.); #100937=ORIENTED_EDGE('',*,*,#77929,.T.); #100938=ORIENTED_EDGE('',*,*,#77930,.T.); #100939=ORIENTED_EDGE('',*,*,#77926,.F.); #100940=ORIENTED_EDGE('',*,*,#77931,.F.); #100941=ORIENTED_EDGE('',*,*,#77932,.T.); #100942=ORIENTED_EDGE('',*,*,#77933,.T.); #100943=ORIENTED_EDGE('',*,*,#77929,.F.); #100944=ORIENTED_EDGE('',*,*,#77934,.F.); #100945=ORIENTED_EDGE('',*,*,#77935,.T.); #100946=ORIENTED_EDGE('',*,*,#77936,.T.); #100947=ORIENTED_EDGE('',*,*,#77932,.F.); #100948=ORIENTED_EDGE('',*,*,#77937,.F.); #100949=ORIENTED_EDGE('',*,*,#77938,.T.); #100950=ORIENTED_EDGE('',*,*,#77939,.T.); #100951=ORIENTED_EDGE('',*,*,#77935,.F.); #100952=ORIENTED_EDGE('',*,*,#77940,.F.); #100953=ORIENTED_EDGE('',*,*,#77941,.T.); #100954=ORIENTED_EDGE('',*,*,#77942,.T.); #100955=ORIENTED_EDGE('',*,*,#77938,.F.); #100956=ORIENTED_EDGE('',*,*,#77943,.F.); #100957=ORIENTED_EDGE('',*,*,#77944,.T.); #100958=ORIENTED_EDGE('',*,*,#77945,.T.); #100959=ORIENTED_EDGE('',*,*,#77941,.F.); #100960=ORIENTED_EDGE('',*,*,#77946,.F.); #100961=ORIENTED_EDGE('',*,*,#77947,.T.); #100962=ORIENTED_EDGE('',*,*,#77948,.T.); #100963=ORIENTED_EDGE('',*,*,#77944,.F.); #100964=ORIENTED_EDGE('',*,*,#77949,.F.); #100965=ORIENTED_EDGE('',*,*,#77950,.T.); #100966=ORIENTED_EDGE('',*,*,#77951,.T.); #100967=ORIENTED_EDGE('',*,*,#77947,.F.); #100968=ORIENTED_EDGE('',*,*,#77952,.F.); #100969=ORIENTED_EDGE('',*,*,#77953,.T.); #100970=ORIENTED_EDGE('',*,*,#77954,.T.); #100971=ORIENTED_EDGE('',*,*,#77950,.F.); #100972=ORIENTED_EDGE('',*,*,#77955,.F.); #100973=ORIENTED_EDGE('',*,*,#77956,.T.); #100974=ORIENTED_EDGE('',*,*,#77957,.T.); #100975=ORIENTED_EDGE('',*,*,#77953,.F.); #100976=ORIENTED_EDGE('',*,*,#77958,.F.); #100977=ORIENTED_EDGE('',*,*,#77959,.T.); #100978=ORIENTED_EDGE('',*,*,#77960,.T.); #100979=ORIENTED_EDGE('',*,*,#77956,.F.); #100980=ORIENTED_EDGE('',*,*,#77961,.F.); #100981=ORIENTED_EDGE('',*,*,#77962,.T.); #100982=ORIENTED_EDGE('',*,*,#77963,.T.); #100983=ORIENTED_EDGE('',*,*,#77959,.F.); #100984=ORIENTED_EDGE('',*,*,#77964,.F.); #100985=ORIENTED_EDGE('',*,*,#77965,.T.); #100986=ORIENTED_EDGE('',*,*,#77966,.T.); #100987=ORIENTED_EDGE('',*,*,#77962,.F.); #100988=ORIENTED_EDGE('',*,*,#77967,.F.); #100989=ORIENTED_EDGE('',*,*,#77968,.T.); #100990=ORIENTED_EDGE('',*,*,#77969,.T.); #100991=ORIENTED_EDGE('',*,*,#77965,.F.); #100992=ORIENTED_EDGE('',*,*,#77970,.F.); #100993=ORIENTED_EDGE('',*,*,#77971,.T.); #100994=ORIENTED_EDGE('',*,*,#77972,.T.); #100995=ORIENTED_EDGE('',*,*,#77968,.F.); #100996=ORIENTED_EDGE('',*,*,#77973,.F.); #100997=ORIENTED_EDGE('',*,*,#77974,.T.); #100998=ORIENTED_EDGE('',*,*,#77975,.T.); #100999=ORIENTED_EDGE('',*,*,#77971,.F.); #101000=ORIENTED_EDGE('',*,*,#77976,.F.); #101001=ORIENTED_EDGE('',*,*,#77977,.T.); #101002=ORIENTED_EDGE('',*,*,#77978,.T.); #101003=ORIENTED_EDGE('',*,*,#77974,.F.); #101004=ORIENTED_EDGE('',*,*,#77979,.F.); #101005=ORIENTED_EDGE('',*,*,#77980,.T.); #101006=ORIENTED_EDGE('',*,*,#77981,.T.); #101007=ORIENTED_EDGE('',*,*,#77977,.F.); #101008=ORIENTED_EDGE('',*,*,#77982,.F.); #101009=ORIENTED_EDGE('',*,*,#77983,.T.); #101010=ORIENTED_EDGE('',*,*,#77984,.T.); #101011=ORIENTED_EDGE('',*,*,#77980,.F.); #101012=ORIENTED_EDGE('',*,*,#77985,.F.); #101013=ORIENTED_EDGE('',*,*,#77986,.T.); #101014=ORIENTED_EDGE('',*,*,#77987,.T.); #101015=ORIENTED_EDGE('',*,*,#77983,.F.); #101016=ORIENTED_EDGE('',*,*,#77988,.F.); #101017=ORIENTED_EDGE('',*,*,#77989,.T.); #101018=ORIENTED_EDGE('',*,*,#77990,.T.); #101019=ORIENTED_EDGE('',*,*,#77986,.F.); #101020=ORIENTED_EDGE('',*,*,#77991,.F.); #101021=ORIENTED_EDGE('',*,*,#77992,.T.); #101022=ORIENTED_EDGE('',*,*,#77993,.T.); #101023=ORIENTED_EDGE('',*,*,#77989,.F.); #101024=ORIENTED_EDGE('',*,*,#77994,.F.); #101025=ORIENTED_EDGE('',*,*,#77995,.T.); #101026=ORIENTED_EDGE('',*,*,#77996,.T.); #101027=ORIENTED_EDGE('',*,*,#77992,.F.); #101028=ORIENTED_EDGE('',*,*,#77997,.F.); #101029=ORIENTED_EDGE('',*,*,#77998,.T.); #101030=ORIENTED_EDGE('',*,*,#77999,.T.); #101031=ORIENTED_EDGE('',*,*,#77995,.F.); #101032=ORIENTED_EDGE('',*,*,#78000,.F.); #101033=ORIENTED_EDGE('',*,*,#78001,.T.); #101034=ORIENTED_EDGE('',*,*,#78002,.T.); #101035=ORIENTED_EDGE('',*,*,#77998,.F.); #101036=ORIENTED_EDGE('',*,*,#78003,.F.); #101037=ORIENTED_EDGE('',*,*,#78004,.T.); #101038=ORIENTED_EDGE('',*,*,#78005,.T.); #101039=ORIENTED_EDGE('',*,*,#78001,.F.); #101040=ORIENTED_EDGE('',*,*,#78006,.F.); #101041=ORIENTED_EDGE('',*,*,#78007,.T.); #101042=ORIENTED_EDGE('',*,*,#78008,.T.); #101043=ORIENTED_EDGE('',*,*,#78004,.F.); #101044=ORIENTED_EDGE('',*,*,#78009,.F.); #101045=ORIENTED_EDGE('',*,*,#78010,.T.); #101046=ORIENTED_EDGE('',*,*,#78011,.T.); #101047=ORIENTED_EDGE('',*,*,#78007,.F.); #101048=ORIENTED_EDGE('',*,*,#78012,.F.); #101049=ORIENTED_EDGE('',*,*,#78013,.T.); #101050=ORIENTED_EDGE('',*,*,#78014,.T.); #101051=ORIENTED_EDGE('',*,*,#78010,.F.); #101052=ORIENTED_EDGE('',*,*,#78015,.F.); #101053=ORIENTED_EDGE('',*,*,#78016,.T.); #101054=ORIENTED_EDGE('',*,*,#78017,.T.); #101055=ORIENTED_EDGE('',*,*,#78013,.F.); #101056=ORIENTED_EDGE('',*,*,#78018,.F.); #101057=ORIENTED_EDGE('',*,*,#78019,.T.); #101058=ORIENTED_EDGE('',*,*,#78020,.T.); #101059=ORIENTED_EDGE('',*,*,#78016,.F.); #101060=ORIENTED_EDGE('',*,*,#78021,.F.); #101061=ORIENTED_EDGE('',*,*,#78022,.T.); #101062=ORIENTED_EDGE('',*,*,#78023,.T.); #101063=ORIENTED_EDGE('',*,*,#78019,.F.); #101064=ORIENTED_EDGE('',*,*,#78024,.F.); #101065=ORIENTED_EDGE('',*,*,#78025,.T.); #101066=ORIENTED_EDGE('',*,*,#78026,.T.); #101067=ORIENTED_EDGE('',*,*,#78022,.F.); #101068=ORIENTED_EDGE('',*,*,#78027,.F.); #101069=ORIENTED_EDGE('',*,*,#78028,.T.); #101070=ORIENTED_EDGE('',*,*,#78029,.T.); #101071=ORIENTED_EDGE('',*,*,#78025,.F.); #101072=ORIENTED_EDGE('',*,*,#78030,.F.); #101073=ORIENTED_EDGE('',*,*,#78031,.T.); #101074=ORIENTED_EDGE('',*,*,#78032,.T.); #101075=ORIENTED_EDGE('',*,*,#78028,.F.); #101076=ORIENTED_EDGE('',*,*,#78033,.F.); #101077=ORIENTED_EDGE('',*,*,#78034,.T.); #101078=ORIENTED_EDGE('',*,*,#78035,.T.); #101079=ORIENTED_EDGE('',*,*,#78031,.F.); #101080=ORIENTED_EDGE('',*,*,#78036,.F.); #101081=ORIENTED_EDGE('',*,*,#78037,.T.); #101082=ORIENTED_EDGE('',*,*,#78038,.T.); #101083=ORIENTED_EDGE('',*,*,#78034,.F.); #101084=ORIENTED_EDGE('',*,*,#78039,.F.); #101085=ORIENTED_EDGE('',*,*,#78040,.T.); #101086=ORIENTED_EDGE('',*,*,#78041,.T.); #101087=ORIENTED_EDGE('',*,*,#78037,.F.); #101088=ORIENTED_EDGE('',*,*,#78042,.F.); #101089=ORIENTED_EDGE('',*,*,#78043,.T.); #101090=ORIENTED_EDGE('',*,*,#78044,.T.); #101091=ORIENTED_EDGE('',*,*,#78040,.F.); #101092=ORIENTED_EDGE('',*,*,#78045,.F.); #101093=ORIENTED_EDGE('',*,*,#78046,.T.); #101094=ORIENTED_EDGE('',*,*,#78047,.T.); #101095=ORIENTED_EDGE('',*,*,#78043,.F.); #101096=ORIENTED_EDGE('',*,*,#78048,.F.); #101097=ORIENTED_EDGE('',*,*,#78049,.T.); #101098=ORIENTED_EDGE('',*,*,#78050,.T.); #101099=ORIENTED_EDGE('',*,*,#78046,.F.); #101100=ORIENTED_EDGE('',*,*,#78051,.F.); #101101=ORIENTED_EDGE('',*,*,#78052,.T.); #101102=ORIENTED_EDGE('',*,*,#78053,.T.); #101103=ORIENTED_EDGE('',*,*,#78049,.F.); #101104=ORIENTED_EDGE('',*,*,#78054,.F.); #101105=ORIENTED_EDGE('',*,*,#78055,.T.); #101106=ORIENTED_EDGE('',*,*,#78056,.T.); #101107=ORIENTED_EDGE('',*,*,#78052,.F.); #101108=ORIENTED_EDGE('',*,*,#78057,.F.); #101109=ORIENTED_EDGE('',*,*,#78058,.T.); #101110=ORIENTED_EDGE('',*,*,#78059,.T.); #101111=ORIENTED_EDGE('',*,*,#78055,.F.); #101112=ORIENTED_EDGE('',*,*,#78060,.F.); #101113=ORIENTED_EDGE('',*,*,#78061,.T.); #101114=ORIENTED_EDGE('',*,*,#78062,.T.); #101115=ORIENTED_EDGE('',*,*,#78058,.F.); #101116=ORIENTED_EDGE('',*,*,#78063,.F.); #101117=ORIENTED_EDGE('',*,*,#78064,.T.); #101118=ORIENTED_EDGE('',*,*,#78065,.T.); #101119=ORIENTED_EDGE('',*,*,#78061,.F.); #101120=ORIENTED_EDGE('',*,*,#78066,.F.); #101121=ORIENTED_EDGE('',*,*,#78067,.T.); #101122=ORIENTED_EDGE('',*,*,#78068,.T.); #101123=ORIENTED_EDGE('',*,*,#78064,.F.); #101124=ORIENTED_EDGE('',*,*,#78069,.F.); #101125=ORIENTED_EDGE('',*,*,#78070,.T.); #101126=ORIENTED_EDGE('',*,*,#78071,.T.); #101127=ORIENTED_EDGE('',*,*,#78067,.F.); #101128=ORIENTED_EDGE('',*,*,#78072,.F.); #101129=ORIENTED_EDGE('',*,*,#78073,.T.); #101130=ORIENTED_EDGE('',*,*,#78074,.T.); #101131=ORIENTED_EDGE('',*,*,#78070,.F.); #101132=ORIENTED_EDGE('',*,*,#78075,.F.); #101133=ORIENTED_EDGE('',*,*,#78076,.T.); #101134=ORIENTED_EDGE('',*,*,#78077,.T.); #101135=ORIENTED_EDGE('',*,*,#78073,.F.); #101136=ORIENTED_EDGE('',*,*,#78078,.F.); #101137=ORIENTED_EDGE('',*,*,#78079,.T.); #101138=ORIENTED_EDGE('',*,*,#78080,.T.); #101139=ORIENTED_EDGE('',*,*,#78076,.F.); #101140=ORIENTED_EDGE('',*,*,#78081,.F.); #101141=ORIENTED_EDGE('',*,*,#78082,.T.); #101142=ORIENTED_EDGE('',*,*,#78083,.T.); #101143=ORIENTED_EDGE('',*,*,#78079,.F.); #101144=ORIENTED_EDGE('',*,*,#78084,.F.); #101145=ORIENTED_EDGE('',*,*,#78085,.T.); #101146=ORIENTED_EDGE('',*,*,#78086,.T.); #101147=ORIENTED_EDGE('',*,*,#78082,.F.); #101148=ORIENTED_EDGE('',*,*,#78087,.F.); #101149=ORIENTED_EDGE('',*,*,#78088,.T.); #101150=ORIENTED_EDGE('',*,*,#78089,.T.); #101151=ORIENTED_EDGE('',*,*,#78085,.F.); #101152=ORIENTED_EDGE('',*,*,#78090,.F.); #101153=ORIENTED_EDGE('',*,*,#78091,.T.); #101154=ORIENTED_EDGE('',*,*,#78092,.T.); #101155=ORIENTED_EDGE('',*,*,#78088,.F.); #101156=ORIENTED_EDGE('',*,*,#78093,.F.); #101157=ORIENTED_EDGE('',*,*,#78094,.T.); #101158=ORIENTED_EDGE('',*,*,#78095,.T.); #101159=ORIENTED_EDGE('',*,*,#78091,.F.); #101160=ORIENTED_EDGE('',*,*,#78096,.F.); #101161=ORIENTED_EDGE('',*,*,#78097,.T.); #101162=ORIENTED_EDGE('',*,*,#78098,.T.); #101163=ORIENTED_EDGE('',*,*,#78094,.F.); #101164=ORIENTED_EDGE('',*,*,#78099,.F.); #101165=ORIENTED_EDGE('',*,*,#78100,.T.); #101166=ORIENTED_EDGE('',*,*,#78101,.T.); #101167=ORIENTED_EDGE('',*,*,#78097,.F.); #101168=ORIENTED_EDGE('',*,*,#78102,.F.); #101169=ORIENTED_EDGE('',*,*,#78103,.T.); #101170=ORIENTED_EDGE('',*,*,#78104,.T.); #101171=ORIENTED_EDGE('',*,*,#78100,.F.); #101172=ORIENTED_EDGE('',*,*,#78105,.F.); #101173=ORIENTED_EDGE('',*,*,#78106,.T.); #101174=ORIENTED_EDGE('',*,*,#78107,.T.); #101175=ORIENTED_EDGE('',*,*,#78103,.F.); #101176=ORIENTED_EDGE('',*,*,#78108,.F.); #101177=ORIENTED_EDGE('',*,*,#78109,.T.); #101178=ORIENTED_EDGE('',*,*,#78110,.T.); #101179=ORIENTED_EDGE('',*,*,#78106,.F.); #101180=ORIENTED_EDGE('',*,*,#78111,.F.); #101181=ORIENTED_EDGE('',*,*,#78112,.T.); #101182=ORIENTED_EDGE('',*,*,#78113,.T.); #101183=ORIENTED_EDGE('',*,*,#78109,.F.); #101184=ORIENTED_EDGE('',*,*,#78114,.F.); #101185=ORIENTED_EDGE('',*,*,#78115,.T.); #101186=ORIENTED_EDGE('',*,*,#78116,.T.); #101187=ORIENTED_EDGE('',*,*,#78112,.F.); #101188=ORIENTED_EDGE('',*,*,#78117,.F.); #101189=ORIENTED_EDGE('',*,*,#78118,.T.); #101190=ORIENTED_EDGE('',*,*,#78119,.T.); #101191=ORIENTED_EDGE('',*,*,#78115,.F.); #101192=ORIENTED_EDGE('',*,*,#78120,.F.); #101193=ORIENTED_EDGE('',*,*,#78121,.T.); #101194=ORIENTED_EDGE('',*,*,#78122,.T.); #101195=ORIENTED_EDGE('',*,*,#78118,.F.); #101196=ORIENTED_EDGE('',*,*,#78123,.F.); #101197=ORIENTED_EDGE('',*,*,#78124,.T.); #101198=ORIENTED_EDGE('',*,*,#78125,.T.); #101199=ORIENTED_EDGE('',*,*,#78121,.F.); #101200=ORIENTED_EDGE('',*,*,#78126,.F.); #101201=ORIENTED_EDGE('',*,*,#78127,.T.); #101202=ORIENTED_EDGE('',*,*,#78128,.T.); #101203=ORIENTED_EDGE('',*,*,#78124,.F.); #101204=ORIENTED_EDGE('',*,*,#78129,.F.); #101205=ORIENTED_EDGE('',*,*,#78130,.T.); #101206=ORIENTED_EDGE('',*,*,#78131,.T.); #101207=ORIENTED_EDGE('',*,*,#78127,.F.); #101208=ORIENTED_EDGE('',*,*,#78132,.F.); #101209=ORIENTED_EDGE('',*,*,#78133,.T.); #101210=ORIENTED_EDGE('',*,*,#78134,.T.); #101211=ORIENTED_EDGE('',*,*,#78130,.F.); #101212=ORIENTED_EDGE('',*,*,#78135,.F.); #101213=ORIENTED_EDGE('',*,*,#78136,.T.); #101214=ORIENTED_EDGE('',*,*,#78137,.T.); #101215=ORIENTED_EDGE('',*,*,#78133,.F.); #101216=ORIENTED_EDGE('',*,*,#78138,.F.); #101217=ORIENTED_EDGE('',*,*,#78139,.T.); #101218=ORIENTED_EDGE('',*,*,#78140,.T.); #101219=ORIENTED_EDGE('',*,*,#78136,.F.); #101220=ORIENTED_EDGE('',*,*,#78141,.F.); #101221=ORIENTED_EDGE('',*,*,#78142,.T.); #101222=ORIENTED_EDGE('',*,*,#78143,.T.); #101223=ORIENTED_EDGE('',*,*,#78139,.F.); #101224=ORIENTED_EDGE('',*,*,#78144,.F.); #101225=ORIENTED_EDGE('',*,*,#78145,.T.); #101226=ORIENTED_EDGE('',*,*,#78146,.T.); #101227=ORIENTED_EDGE('',*,*,#78142,.F.); #101228=ORIENTED_EDGE('',*,*,#78147,.F.); #101229=ORIENTED_EDGE('',*,*,#78148,.T.); #101230=ORIENTED_EDGE('',*,*,#78149,.T.); #101231=ORIENTED_EDGE('',*,*,#78145,.F.); #101232=ORIENTED_EDGE('',*,*,#78150,.F.); #101233=ORIENTED_EDGE('',*,*,#78151,.T.); #101234=ORIENTED_EDGE('',*,*,#78152,.T.); #101235=ORIENTED_EDGE('',*,*,#78148,.F.); #101236=ORIENTED_EDGE('',*,*,#78153,.F.); #101237=ORIENTED_EDGE('',*,*,#78154,.T.); #101238=ORIENTED_EDGE('',*,*,#78155,.T.); #101239=ORIENTED_EDGE('',*,*,#78151,.F.); #101240=ORIENTED_EDGE('',*,*,#78156,.F.); #101241=ORIENTED_EDGE('',*,*,#78157,.T.); #101242=ORIENTED_EDGE('',*,*,#78158,.T.); #101243=ORIENTED_EDGE('',*,*,#78154,.F.); #101244=ORIENTED_EDGE('',*,*,#78159,.F.); #101245=ORIENTED_EDGE('',*,*,#78160,.T.); #101246=ORIENTED_EDGE('',*,*,#78161,.T.); #101247=ORIENTED_EDGE('',*,*,#78157,.F.); #101248=ORIENTED_EDGE('',*,*,#78162,.F.); #101249=ORIENTED_EDGE('',*,*,#78163,.T.); #101250=ORIENTED_EDGE('',*,*,#78164,.T.); #101251=ORIENTED_EDGE('',*,*,#78160,.F.); #101252=ORIENTED_EDGE('',*,*,#78165,.F.); #101253=ORIENTED_EDGE('',*,*,#78166,.T.); #101254=ORIENTED_EDGE('',*,*,#78167,.T.); #101255=ORIENTED_EDGE('',*,*,#78163,.F.); #101256=ORIENTED_EDGE('',*,*,#78168,.F.); #101257=ORIENTED_EDGE('',*,*,#78169,.T.); #101258=ORIENTED_EDGE('',*,*,#78170,.T.); #101259=ORIENTED_EDGE('',*,*,#78166,.F.); #101260=ORIENTED_EDGE('',*,*,#78171,.F.); #101261=ORIENTED_EDGE('',*,*,#78172,.T.); #101262=ORIENTED_EDGE('',*,*,#78173,.T.); #101263=ORIENTED_EDGE('',*,*,#78169,.F.); #101264=ORIENTED_EDGE('',*,*,#78174,.F.); #101265=ORIENTED_EDGE('',*,*,#78175,.T.); #101266=ORIENTED_EDGE('',*,*,#78176,.T.); #101267=ORIENTED_EDGE('',*,*,#78172,.F.); #101268=ORIENTED_EDGE('',*,*,#78177,.F.); #101269=ORIENTED_EDGE('',*,*,#78178,.T.); #101270=ORIENTED_EDGE('',*,*,#78179,.T.); #101271=ORIENTED_EDGE('',*,*,#78175,.F.); #101272=ORIENTED_EDGE('',*,*,#78180,.F.); #101273=ORIENTED_EDGE('',*,*,#78181,.T.); #101274=ORIENTED_EDGE('',*,*,#78182,.T.); #101275=ORIENTED_EDGE('',*,*,#78178,.F.); #101276=ORIENTED_EDGE('',*,*,#78183,.F.); #101277=ORIENTED_EDGE('',*,*,#78184,.T.); #101278=ORIENTED_EDGE('',*,*,#78185,.T.); #101279=ORIENTED_EDGE('',*,*,#78181,.F.); #101280=ORIENTED_EDGE('',*,*,#78186,.F.); #101281=ORIENTED_EDGE('',*,*,#78187,.T.); #101282=ORIENTED_EDGE('',*,*,#78188,.T.); #101283=ORIENTED_EDGE('',*,*,#78184,.F.); #101284=ORIENTED_EDGE('',*,*,#78189,.F.); #101285=ORIENTED_EDGE('',*,*,#78190,.T.); #101286=ORIENTED_EDGE('',*,*,#78191,.T.); #101287=ORIENTED_EDGE('',*,*,#78187,.F.); #101288=ORIENTED_EDGE('',*,*,#78192,.F.); #101289=ORIENTED_EDGE('',*,*,#78193,.T.); #101290=ORIENTED_EDGE('',*,*,#78194,.T.); #101291=ORIENTED_EDGE('',*,*,#78190,.F.); #101292=ORIENTED_EDGE('',*,*,#78195,.F.); #101293=ORIENTED_EDGE('',*,*,#78196,.T.); #101294=ORIENTED_EDGE('',*,*,#78197,.T.); #101295=ORIENTED_EDGE('',*,*,#78193,.F.); #101296=ORIENTED_EDGE('',*,*,#78198,.F.); #101297=ORIENTED_EDGE('',*,*,#78199,.T.); #101298=ORIENTED_EDGE('',*,*,#78200,.T.); #101299=ORIENTED_EDGE('',*,*,#78196,.F.); #101300=ORIENTED_EDGE('',*,*,#78201,.F.); #101301=ORIENTED_EDGE('',*,*,#78202,.T.); #101302=ORIENTED_EDGE('',*,*,#78203,.T.); #101303=ORIENTED_EDGE('',*,*,#78199,.F.); #101304=ORIENTED_EDGE('',*,*,#78204,.F.); #101305=ORIENTED_EDGE('',*,*,#78205,.T.); #101306=ORIENTED_EDGE('',*,*,#78206,.T.); #101307=ORIENTED_EDGE('',*,*,#78202,.F.); #101308=ORIENTED_EDGE('',*,*,#78207,.F.); #101309=ORIENTED_EDGE('',*,*,#78208,.T.); #101310=ORIENTED_EDGE('',*,*,#78209,.T.); #101311=ORIENTED_EDGE('',*,*,#78205,.F.); #101312=ORIENTED_EDGE('',*,*,#78210,.F.); #101313=ORIENTED_EDGE('',*,*,#77879,.T.); #101314=ORIENTED_EDGE('',*,*,#78211,.T.); #101315=ORIENTED_EDGE('',*,*,#78208,.F.); #101316=ORIENTED_EDGE('',*,*,#78211,.F.); #101317=ORIENTED_EDGE('',*,*,#77878,.F.); #101318=ORIENTED_EDGE('',*,*,#77882,.F.); #101319=ORIENTED_EDGE('',*,*,#77885,.F.); #101320=ORIENTED_EDGE('',*,*,#77888,.F.); #101321=ORIENTED_EDGE('',*,*,#77891,.F.); #101322=ORIENTED_EDGE('',*,*,#77894,.F.); #101323=ORIENTED_EDGE('',*,*,#77897,.F.); #101324=ORIENTED_EDGE('',*,*,#77900,.F.); #101325=ORIENTED_EDGE('',*,*,#77903,.F.); #101326=ORIENTED_EDGE('',*,*,#77906,.F.); #101327=ORIENTED_EDGE('',*,*,#77909,.F.); #101328=ORIENTED_EDGE('',*,*,#77912,.F.); #101329=ORIENTED_EDGE('',*,*,#77915,.F.); #101330=ORIENTED_EDGE('',*,*,#77918,.F.); #101331=ORIENTED_EDGE('',*,*,#77921,.F.); #101332=ORIENTED_EDGE('',*,*,#77924,.F.); #101333=ORIENTED_EDGE('',*,*,#77927,.F.); #101334=ORIENTED_EDGE('',*,*,#77930,.F.); #101335=ORIENTED_EDGE('',*,*,#77933,.F.); #101336=ORIENTED_EDGE('',*,*,#77936,.F.); #101337=ORIENTED_EDGE('',*,*,#77939,.F.); #101338=ORIENTED_EDGE('',*,*,#77942,.F.); #101339=ORIENTED_EDGE('',*,*,#77945,.F.); #101340=ORIENTED_EDGE('',*,*,#77948,.F.); #101341=ORIENTED_EDGE('',*,*,#77951,.F.); #101342=ORIENTED_EDGE('',*,*,#77954,.F.); #101343=ORIENTED_EDGE('',*,*,#77957,.F.); #101344=ORIENTED_EDGE('',*,*,#77960,.F.); #101345=ORIENTED_EDGE('',*,*,#77963,.F.); #101346=ORIENTED_EDGE('',*,*,#77966,.F.); #101347=ORIENTED_EDGE('',*,*,#77969,.F.); #101348=ORIENTED_EDGE('',*,*,#77972,.F.); #101349=ORIENTED_EDGE('',*,*,#77975,.F.); #101350=ORIENTED_EDGE('',*,*,#77978,.F.); #101351=ORIENTED_EDGE('',*,*,#77981,.F.); #101352=ORIENTED_EDGE('',*,*,#77984,.F.); #101353=ORIENTED_EDGE('',*,*,#77987,.F.); #101354=ORIENTED_EDGE('',*,*,#77990,.F.); #101355=ORIENTED_EDGE('',*,*,#77993,.F.); #101356=ORIENTED_EDGE('',*,*,#77996,.F.); #101357=ORIENTED_EDGE('',*,*,#77999,.F.); #101358=ORIENTED_EDGE('',*,*,#78002,.F.); #101359=ORIENTED_EDGE('',*,*,#78005,.F.); #101360=ORIENTED_EDGE('',*,*,#78008,.F.); #101361=ORIENTED_EDGE('',*,*,#78011,.F.); #101362=ORIENTED_EDGE('',*,*,#78014,.F.); #101363=ORIENTED_EDGE('',*,*,#78017,.F.); #101364=ORIENTED_EDGE('',*,*,#78020,.F.); #101365=ORIENTED_EDGE('',*,*,#78023,.F.); #101366=ORIENTED_EDGE('',*,*,#78026,.F.); #101367=ORIENTED_EDGE('',*,*,#78029,.F.); #101368=ORIENTED_EDGE('',*,*,#78032,.F.); #101369=ORIENTED_EDGE('',*,*,#78035,.F.); #101370=ORIENTED_EDGE('',*,*,#78038,.F.); #101371=ORIENTED_EDGE('',*,*,#78041,.F.); #101372=ORIENTED_EDGE('',*,*,#78044,.F.); #101373=ORIENTED_EDGE('',*,*,#78047,.F.); #101374=ORIENTED_EDGE('',*,*,#78050,.F.); #101375=ORIENTED_EDGE('',*,*,#78053,.F.); #101376=ORIENTED_EDGE('',*,*,#78056,.F.); #101377=ORIENTED_EDGE('',*,*,#78059,.F.); #101378=ORIENTED_EDGE('',*,*,#78062,.F.); #101379=ORIENTED_EDGE('',*,*,#78065,.F.); #101380=ORIENTED_EDGE('',*,*,#78068,.F.); #101381=ORIENTED_EDGE('',*,*,#78071,.F.); #101382=ORIENTED_EDGE('',*,*,#78074,.F.); #101383=ORIENTED_EDGE('',*,*,#78077,.F.); #101384=ORIENTED_EDGE('',*,*,#78080,.F.); #101385=ORIENTED_EDGE('',*,*,#78083,.F.); #101386=ORIENTED_EDGE('',*,*,#78086,.F.); #101387=ORIENTED_EDGE('',*,*,#78089,.F.); #101388=ORIENTED_EDGE('',*,*,#78092,.F.); #101389=ORIENTED_EDGE('',*,*,#78095,.F.); #101390=ORIENTED_EDGE('',*,*,#78098,.F.); #101391=ORIENTED_EDGE('',*,*,#78101,.F.); #101392=ORIENTED_EDGE('',*,*,#78104,.F.); #101393=ORIENTED_EDGE('',*,*,#78107,.F.); #101394=ORIENTED_EDGE('',*,*,#78110,.F.); #101395=ORIENTED_EDGE('',*,*,#78113,.F.); #101396=ORIENTED_EDGE('',*,*,#78116,.F.); #101397=ORIENTED_EDGE('',*,*,#78119,.F.); #101398=ORIENTED_EDGE('',*,*,#78122,.F.); #101399=ORIENTED_EDGE('',*,*,#78125,.F.); #101400=ORIENTED_EDGE('',*,*,#78128,.F.); #101401=ORIENTED_EDGE('',*,*,#78131,.F.); #101402=ORIENTED_EDGE('',*,*,#78134,.F.); #101403=ORIENTED_EDGE('',*,*,#78137,.F.); #101404=ORIENTED_EDGE('',*,*,#78140,.F.); #101405=ORIENTED_EDGE('',*,*,#78143,.F.); #101406=ORIENTED_EDGE('',*,*,#78146,.F.); #101407=ORIENTED_EDGE('',*,*,#78149,.F.); #101408=ORIENTED_EDGE('',*,*,#78152,.F.); #101409=ORIENTED_EDGE('',*,*,#78155,.F.); #101410=ORIENTED_EDGE('',*,*,#78158,.F.); #101411=ORIENTED_EDGE('',*,*,#78161,.F.); #101412=ORIENTED_EDGE('',*,*,#78164,.F.); #101413=ORIENTED_EDGE('',*,*,#78167,.F.); #101414=ORIENTED_EDGE('',*,*,#78170,.F.); #101415=ORIENTED_EDGE('',*,*,#78173,.F.); #101416=ORIENTED_EDGE('',*,*,#78176,.F.); #101417=ORIENTED_EDGE('',*,*,#78179,.F.); #101418=ORIENTED_EDGE('',*,*,#78182,.F.); #101419=ORIENTED_EDGE('',*,*,#78185,.F.); #101420=ORIENTED_EDGE('',*,*,#78188,.F.); #101421=ORIENTED_EDGE('',*,*,#78191,.F.); #101422=ORIENTED_EDGE('',*,*,#78194,.F.); #101423=ORIENTED_EDGE('',*,*,#78197,.F.); #101424=ORIENTED_EDGE('',*,*,#78200,.F.); #101425=ORIENTED_EDGE('',*,*,#78203,.F.); #101426=ORIENTED_EDGE('',*,*,#78206,.F.); #101427=ORIENTED_EDGE('',*,*,#78209,.F.); #101428=ORIENTED_EDGE('',*,*,#77875,.F.); #101429=ORIENTED_EDGE('',*,*,#77857,.F.); #101430=ORIENTED_EDGE('',*,*,#77861,.F.); #101431=ORIENTED_EDGE('',*,*,#77864,.F.); #101432=ORIENTED_EDGE('',*,*,#77867,.F.); #101433=ORIENTED_EDGE('',*,*,#77870,.F.); #101434=ORIENTED_EDGE('',*,*,#77873,.F.); #101435=ORIENTED_EDGE('',*,*,#78212,.F.); #101436=ORIENTED_EDGE('',*,*,#78213,.T.); #101437=ORIENTED_EDGE('',*,*,#78214,.T.); #101438=ORIENTED_EDGE('',*,*,#78215,.F.); #101439=ORIENTED_EDGE('',*,*,#78216,.F.); #101440=ORIENTED_EDGE('',*,*,#78217,.T.); #101441=ORIENTED_EDGE('',*,*,#78218,.T.); #101442=ORIENTED_EDGE('',*,*,#78213,.F.); #101443=ORIENTED_EDGE('',*,*,#78219,.F.); #101444=ORIENTED_EDGE('',*,*,#78220,.T.); #101445=ORIENTED_EDGE('',*,*,#78221,.T.); #101446=ORIENTED_EDGE('',*,*,#78217,.F.); #101447=ORIENTED_EDGE('',*,*,#78222,.F.); #101448=ORIENTED_EDGE('',*,*,#78223,.T.); #101449=ORIENTED_EDGE('',*,*,#78224,.T.); #101450=ORIENTED_EDGE('',*,*,#78220,.F.); #101451=ORIENTED_EDGE('',*,*,#78225,.F.); #101452=ORIENTED_EDGE('',*,*,#78226,.T.); #101453=ORIENTED_EDGE('',*,*,#78227,.T.); #101454=ORIENTED_EDGE('',*,*,#78223,.F.); #101455=ORIENTED_EDGE('',*,*,#78228,.F.); #101456=ORIENTED_EDGE('',*,*,#78229,.T.); #101457=ORIENTED_EDGE('',*,*,#78230,.T.); #101458=ORIENTED_EDGE('',*,*,#78226,.F.); #101459=ORIENTED_EDGE('',*,*,#78231,.F.); #101460=ORIENTED_EDGE('',*,*,#78232,.T.); #101461=ORIENTED_EDGE('',*,*,#78233,.T.); #101462=ORIENTED_EDGE('',*,*,#78229,.F.); #101463=ORIENTED_EDGE('',*,*,#78234,.F.); #101464=ORIENTED_EDGE('',*,*,#78235,.T.); #101465=ORIENTED_EDGE('',*,*,#78236,.T.); #101466=ORIENTED_EDGE('',*,*,#78232,.F.); #101467=ORIENTED_EDGE('',*,*,#78237,.F.); #101468=ORIENTED_EDGE('',*,*,#78238,.T.); #101469=ORIENTED_EDGE('',*,*,#78239,.T.); #101470=ORIENTED_EDGE('',*,*,#78235,.F.); #101471=ORIENTED_EDGE('',*,*,#78240,.F.); #101472=ORIENTED_EDGE('',*,*,#78241,.T.); #101473=ORIENTED_EDGE('',*,*,#78242,.T.); #101474=ORIENTED_EDGE('',*,*,#78238,.F.); #101475=ORIENTED_EDGE('',*,*,#78243,.F.); #101476=ORIENTED_EDGE('',*,*,#78215,.T.); #101477=ORIENTED_EDGE('',*,*,#78244,.T.); #101478=ORIENTED_EDGE('',*,*,#78241,.F.); #101479=ORIENTED_EDGE('',*,*,#78245,.F.); #101480=ORIENTED_EDGE('',*,*,#78246,.T.); #101481=ORIENTED_EDGE('',*,*,#78247,.T.); #101482=ORIENTED_EDGE('',*,*,#78248,.F.); #101483=ORIENTED_EDGE('',*,*,#78249,.F.); #101484=ORIENTED_EDGE('',*,*,#78250,.T.); #101485=ORIENTED_EDGE('',*,*,#78251,.T.); #101486=ORIENTED_EDGE('',*,*,#78246,.F.); #101487=ORIENTED_EDGE('',*,*,#78252,.F.); #101488=ORIENTED_EDGE('',*,*,#78253,.T.); #101489=ORIENTED_EDGE('',*,*,#78254,.T.); #101490=ORIENTED_EDGE('',*,*,#78250,.F.); #101491=ORIENTED_EDGE('',*,*,#78255,.F.); #101492=ORIENTED_EDGE('',*,*,#78256,.T.); #101493=ORIENTED_EDGE('',*,*,#78257,.T.); #101494=ORIENTED_EDGE('',*,*,#78253,.F.); #101495=ORIENTED_EDGE('',*,*,#78258,.F.); #101496=ORIENTED_EDGE('',*,*,#78259,.T.); #101497=ORIENTED_EDGE('',*,*,#78260,.T.); #101498=ORIENTED_EDGE('',*,*,#78256,.F.); #101499=ORIENTED_EDGE('',*,*,#78261,.F.); #101500=ORIENTED_EDGE('',*,*,#78262,.T.); #101501=ORIENTED_EDGE('',*,*,#78263,.T.); #101502=ORIENTED_EDGE('',*,*,#78259,.F.); #101503=ORIENTED_EDGE('',*,*,#78264,.F.); #101504=ORIENTED_EDGE('',*,*,#78265,.T.); #101505=ORIENTED_EDGE('',*,*,#78266,.T.); #101506=ORIENTED_EDGE('',*,*,#78262,.F.); #101507=ORIENTED_EDGE('',*,*,#78267,.F.); #101508=ORIENTED_EDGE('',*,*,#78268,.T.); #101509=ORIENTED_EDGE('',*,*,#78269,.T.); #101510=ORIENTED_EDGE('',*,*,#78265,.F.); #101511=ORIENTED_EDGE('',*,*,#78270,.F.); #101512=ORIENTED_EDGE('',*,*,#78271,.T.); #101513=ORIENTED_EDGE('',*,*,#78272,.T.); #101514=ORIENTED_EDGE('',*,*,#78268,.F.); #101515=ORIENTED_EDGE('',*,*,#78273,.F.); #101516=ORIENTED_EDGE('',*,*,#78274,.T.); #101517=ORIENTED_EDGE('',*,*,#78275,.T.); #101518=ORIENTED_EDGE('',*,*,#78271,.F.); #101519=ORIENTED_EDGE('',*,*,#78276,.F.); #101520=ORIENTED_EDGE('',*,*,#78277,.T.); #101521=ORIENTED_EDGE('',*,*,#78278,.T.); #101522=ORIENTED_EDGE('',*,*,#78274,.F.); #101523=ORIENTED_EDGE('',*,*,#78279,.F.); #101524=ORIENTED_EDGE('',*,*,#78280,.T.); #101525=ORIENTED_EDGE('',*,*,#78281,.T.); #101526=ORIENTED_EDGE('',*,*,#78277,.F.); #101527=ORIENTED_EDGE('',*,*,#78282,.F.); #101528=ORIENTED_EDGE('',*,*,#78283,.T.); #101529=ORIENTED_EDGE('',*,*,#78284,.T.); #101530=ORIENTED_EDGE('',*,*,#78280,.F.); #101531=ORIENTED_EDGE('',*,*,#78285,.F.); #101532=ORIENTED_EDGE('',*,*,#78286,.T.); #101533=ORIENTED_EDGE('',*,*,#78287,.T.); #101534=ORIENTED_EDGE('',*,*,#78283,.F.); #101535=ORIENTED_EDGE('',*,*,#78288,.F.); #101536=ORIENTED_EDGE('',*,*,#78289,.T.); #101537=ORIENTED_EDGE('',*,*,#78290,.T.); #101538=ORIENTED_EDGE('',*,*,#78286,.F.); #101539=ORIENTED_EDGE('',*,*,#78291,.F.); #101540=ORIENTED_EDGE('',*,*,#78292,.T.); #101541=ORIENTED_EDGE('',*,*,#78293,.T.); #101542=ORIENTED_EDGE('',*,*,#78289,.F.); #101543=ORIENTED_EDGE('',*,*,#78294,.F.); #101544=ORIENTED_EDGE('',*,*,#78295,.T.); #101545=ORIENTED_EDGE('',*,*,#78296,.T.); #101546=ORIENTED_EDGE('',*,*,#78292,.F.); #101547=ORIENTED_EDGE('',*,*,#78297,.F.); #101548=ORIENTED_EDGE('',*,*,#78298,.T.); #101549=ORIENTED_EDGE('',*,*,#78299,.T.); #101550=ORIENTED_EDGE('',*,*,#78295,.F.); #101551=ORIENTED_EDGE('',*,*,#78300,.F.); #101552=ORIENTED_EDGE('',*,*,#78301,.T.); #101553=ORIENTED_EDGE('',*,*,#78302,.T.); #101554=ORIENTED_EDGE('',*,*,#78298,.F.); #101555=ORIENTED_EDGE('',*,*,#78303,.F.); #101556=ORIENTED_EDGE('',*,*,#78304,.T.); #101557=ORIENTED_EDGE('',*,*,#78305,.T.); #101558=ORIENTED_EDGE('',*,*,#78301,.F.); #101559=ORIENTED_EDGE('',*,*,#78306,.F.); #101560=ORIENTED_EDGE('',*,*,#78307,.T.); #101561=ORIENTED_EDGE('',*,*,#78308,.T.); #101562=ORIENTED_EDGE('',*,*,#78304,.F.); #101563=ORIENTED_EDGE('',*,*,#78309,.F.); #101564=ORIENTED_EDGE('',*,*,#78310,.T.); #101565=ORIENTED_EDGE('',*,*,#78311,.T.); #101566=ORIENTED_EDGE('',*,*,#78307,.F.); #101567=ORIENTED_EDGE('',*,*,#78312,.F.); #101568=ORIENTED_EDGE('',*,*,#78313,.T.); #101569=ORIENTED_EDGE('',*,*,#78314,.T.); #101570=ORIENTED_EDGE('',*,*,#78310,.F.); #101571=ORIENTED_EDGE('',*,*,#78315,.F.); #101572=ORIENTED_EDGE('',*,*,#78316,.T.); #101573=ORIENTED_EDGE('',*,*,#78317,.T.); #101574=ORIENTED_EDGE('',*,*,#78313,.F.); #101575=ORIENTED_EDGE('',*,*,#78318,.F.); #101576=ORIENTED_EDGE('',*,*,#78319,.T.); #101577=ORIENTED_EDGE('',*,*,#78320,.T.); #101578=ORIENTED_EDGE('',*,*,#78316,.F.); #101579=ORIENTED_EDGE('',*,*,#78321,.F.); #101580=ORIENTED_EDGE('',*,*,#78322,.T.); #101581=ORIENTED_EDGE('',*,*,#78323,.T.); #101582=ORIENTED_EDGE('',*,*,#78319,.F.); #101583=ORIENTED_EDGE('',*,*,#78324,.F.); #101584=ORIENTED_EDGE('',*,*,#78325,.T.); #101585=ORIENTED_EDGE('',*,*,#78326,.T.); #101586=ORIENTED_EDGE('',*,*,#78322,.F.); #101587=ORIENTED_EDGE('',*,*,#78327,.F.); #101588=ORIENTED_EDGE('',*,*,#78328,.T.); #101589=ORIENTED_EDGE('',*,*,#78329,.T.); #101590=ORIENTED_EDGE('',*,*,#78325,.F.); #101591=ORIENTED_EDGE('',*,*,#78330,.F.); #101592=ORIENTED_EDGE('',*,*,#78331,.T.); #101593=ORIENTED_EDGE('',*,*,#78332,.T.); #101594=ORIENTED_EDGE('',*,*,#78328,.F.); #101595=ORIENTED_EDGE('',*,*,#78333,.F.); #101596=ORIENTED_EDGE('',*,*,#78334,.T.); #101597=ORIENTED_EDGE('',*,*,#78335,.T.); #101598=ORIENTED_EDGE('',*,*,#78331,.F.); #101599=ORIENTED_EDGE('',*,*,#78336,.F.); #101600=ORIENTED_EDGE('',*,*,#78337,.T.); #101601=ORIENTED_EDGE('',*,*,#78338,.T.); #101602=ORIENTED_EDGE('',*,*,#78334,.F.); #101603=ORIENTED_EDGE('',*,*,#78339,.F.); #101604=ORIENTED_EDGE('',*,*,#78340,.T.); #101605=ORIENTED_EDGE('',*,*,#78341,.T.); #101606=ORIENTED_EDGE('',*,*,#78337,.F.); #101607=ORIENTED_EDGE('',*,*,#78342,.F.); #101608=ORIENTED_EDGE('',*,*,#78343,.T.); #101609=ORIENTED_EDGE('',*,*,#78344,.T.); #101610=ORIENTED_EDGE('',*,*,#78340,.F.); #101611=ORIENTED_EDGE('',*,*,#78345,.F.); #101612=ORIENTED_EDGE('',*,*,#78346,.T.); #101613=ORIENTED_EDGE('',*,*,#78347,.T.); #101614=ORIENTED_EDGE('',*,*,#78343,.F.); #101615=ORIENTED_EDGE('',*,*,#78348,.F.); #101616=ORIENTED_EDGE('',*,*,#78349,.T.); #101617=ORIENTED_EDGE('',*,*,#78350,.T.); #101618=ORIENTED_EDGE('',*,*,#78346,.F.); #101619=ORIENTED_EDGE('',*,*,#78351,.F.); #101620=ORIENTED_EDGE('',*,*,#78352,.T.); #101621=ORIENTED_EDGE('',*,*,#78353,.T.); #101622=ORIENTED_EDGE('',*,*,#78349,.F.); #101623=ORIENTED_EDGE('',*,*,#78354,.F.); #101624=ORIENTED_EDGE('',*,*,#78355,.T.); #101625=ORIENTED_EDGE('',*,*,#78356,.T.); #101626=ORIENTED_EDGE('',*,*,#78352,.F.); #101627=ORIENTED_EDGE('',*,*,#78357,.F.); #101628=ORIENTED_EDGE('',*,*,#78358,.T.); #101629=ORIENTED_EDGE('',*,*,#78359,.T.); #101630=ORIENTED_EDGE('',*,*,#78355,.F.); #101631=ORIENTED_EDGE('',*,*,#78360,.F.); #101632=ORIENTED_EDGE('',*,*,#78361,.T.); #101633=ORIENTED_EDGE('',*,*,#78362,.T.); #101634=ORIENTED_EDGE('',*,*,#78358,.F.); #101635=ORIENTED_EDGE('',*,*,#78363,.F.); #101636=ORIENTED_EDGE('',*,*,#78364,.T.); #101637=ORIENTED_EDGE('',*,*,#78365,.T.); #101638=ORIENTED_EDGE('',*,*,#78361,.F.); #101639=ORIENTED_EDGE('',*,*,#78366,.F.); #101640=ORIENTED_EDGE('',*,*,#78367,.T.); #101641=ORIENTED_EDGE('',*,*,#78368,.T.); #101642=ORIENTED_EDGE('',*,*,#78364,.F.); #101643=ORIENTED_EDGE('',*,*,#78369,.F.); #101644=ORIENTED_EDGE('',*,*,#78370,.T.); #101645=ORIENTED_EDGE('',*,*,#78371,.T.); #101646=ORIENTED_EDGE('',*,*,#78367,.F.); #101647=ORIENTED_EDGE('',*,*,#78372,.F.); #101648=ORIENTED_EDGE('',*,*,#78373,.T.); #101649=ORIENTED_EDGE('',*,*,#78374,.T.); #101650=ORIENTED_EDGE('',*,*,#78370,.F.); #101651=ORIENTED_EDGE('',*,*,#78375,.F.); #101652=ORIENTED_EDGE('',*,*,#78376,.T.); #101653=ORIENTED_EDGE('',*,*,#78377,.T.); #101654=ORIENTED_EDGE('',*,*,#78373,.F.); #101655=ORIENTED_EDGE('',*,*,#78378,.F.); #101656=ORIENTED_EDGE('',*,*,#78379,.T.); #101657=ORIENTED_EDGE('',*,*,#78380,.T.); #101658=ORIENTED_EDGE('',*,*,#78376,.F.); #101659=ORIENTED_EDGE('',*,*,#78381,.F.); #101660=ORIENTED_EDGE('',*,*,#78382,.T.); #101661=ORIENTED_EDGE('',*,*,#78383,.T.); #101662=ORIENTED_EDGE('',*,*,#78379,.F.); #101663=ORIENTED_EDGE('',*,*,#78384,.F.); #101664=ORIENTED_EDGE('',*,*,#78385,.T.); #101665=ORIENTED_EDGE('',*,*,#78386,.T.); #101666=ORIENTED_EDGE('',*,*,#78382,.F.); #101667=ORIENTED_EDGE('',*,*,#78387,.F.); #101668=ORIENTED_EDGE('',*,*,#78388,.T.); #101669=ORIENTED_EDGE('',*,*,#78389,.T.); #101670=ORIENTED_EDGE('',*,*,#78385,.F.); #101671=ORIENTED_EDGE('',*,*,#78390,.F.); #101672=ORIENTED_EDGE('',*,*,#78391,.T.); #101673=ORIENTED_EDGE('',*,*,#78392,.T.); #101674=ORIENTED_EDGE('',*,*,#78388,.F.); #101675=ORIENTED_EDGE('',*,*,#78393,.F.); #101676=ORIENTED_EDGE('',*,*,#78394,.T.); #101677=ORIENTED_EDGE('',*,*,#78395,.T.); #101678=ORIENTED_EDGE('',*,*,#78391,.F.); #101679=ORIENTED_EDGE('',*,*,#78396,.F.); #101680=ORIENTED_EDGE('',*,*,#78397,.T.); #101681=ORIENTED_EDGE('',*,*,#78398,.T.); #101682=ORIENTED_EDGE('',*,*,#78394,.F.); #101683=ORIENTED_EDGE('',*,*,#78399,.F.); #101684=ORIENTED_EDGE('',*,*,#78400,.T.); #101685=ORIENTED_EDGE('',*,*,#78401,.T.); #101686=ORIENTED_EDGE('',*,*,#78397,.F.); #101687=ORIENTED_EDGE('',*,*,#78402,.F.); #101688=ORIENTED_EDGE('',*,*,#78403,.T.); #101689=ORIENTED_EDGE('',*,*,#78404,.T.); #101690=ORIENTED_EDGE('',*,*,#78400,.F.); #101691=ORIENTED_EDGE('',*,*,#78405,.F.); #101692=ORIENTED_EDGE('',*,*,#78406,.T.); #101693=ORIENTED_EDGE('',*,*,#78407,.T.); #101694=ORIENTED_EDGE('',*,*,#78403,.F.); #101695=ORIENTED_EDGE('',*,*,#78408,.F.); #101696=ORIENTED_EDGE('',*,*,#78409,.T.); #101697=ORIENTED_EDGE('',*,*,#78410,.T.); #101698=ORIENTED_EDGE('',*,*,#78406,.F.); #101699=ORIENTED_EDGE('',*,*,#78411,.F.); #101700=ORIENTED_EDGE('',*,*,#78412,.T.); #101701=ORIENTED_EDGE('',*,*,#78413,.T.); #101702=ORIENTED_EDGE('',*,*,#78409,.F.); #101703=ORIENTED_EDGE('',*,*,#78414,.F.); #101704=ORIENTED_EDGE('',*,*,#78415,.T.); #101705=ORIENTED_EDGE('',*,*,#78416,.T.); #101706=ORIENTED_EDGE('',*,*,#78412,.F.); #101707=ORIENTED_EDGE('',*,*,#78417,.F.); #101708=ORIENTED_EDGE('',*,*,#78418,.T.); #101709=ORIENTED_EDGE('',*,*,#78419,.T.); #101710=ORIENTED_EDGE('',*,*,#78415,.F.); #101711=ORIENTED_EDGE('',*,*,#78420,.F.); #101712=ORIENTED_EDGE('',*,*,#78421,.T.); #101713=ORIENTED_EDGE('',*,*,#78422,.T.); #101714=ORIENTED_EDGE('',*,*,#78418,.F.); #101715=ORIENTED_EDGE('',*,*,#78423,.F.); #101716=ORIENTED_EDGE('',*,*,#78424,.T.); #101717=ORIENTED_EDGE('',*,*,#78425,.T.); #101718=ORIENTED_EDGE('',*,*,#78421,.F.); #101719=ORIENTED_EDGE('',*,*,#78426,.F.); #101720=ORIENTED_EDGE('',*,*,#78427,.T.); #101721=ORIENTED_EDGE('',*,*,#78428,.T.); #101722=ORIENTED_EDGE('',*,*,#78424,.F.); #101723=ORIENTED_EDGE('',*,*,#78429,.F.); #101724=ORIENTED_EDGE('',*,*,#78430,.T.); #101725=ORIENTED_EDGE('',*,*,#78431,.T.); #101726=ORIENTED_EDGE('',*,*,#78427,.F.); #101727=ORIENTED_EDGE('',*,*,#78432,.F.); #101728=ORIENTED_EDGE('',*,*,#78433,.T.); #101729=ORIENTED_EDGE('',*,*,#78434,.T.); #101730=ORIENTED_EDGE('',*,*,#78430,.F.); #101731=ORIENTED_EDGE('',*,*,#78435,.F.); #101732=ORIENTED_EDGE('',*,*,#78436,.T.); #101733=ORIENTED_EDGE('',*,*,#78437,.T.); #101734=ORIENTED_EDGE('',*,*,#78433,.F.); #101735=ORIENTED_EDGE('',*,*,#78438,.F.); #101736=ORIENTED_EDGE('',*,*,#78439,.T.); #101737=ORIENTED_EDGE('',*,*,#78440,.T.); #101738=ORIENTED_EDGE('',*,*,#78436,.F.); #101739=ORIENTED_EDGE('',*,*,#78441,.F.); #101740=ORIENTED_EDGE('',*,*,#78442,.T.); #101741=ORIENTED_EDGE('',*,*,#78443,.T.); #101742=ORIENTED_EDGE('',*,*,#78439,.F.); #101743=ORIENTED_EDGE('',*,*,#78444,.F.); #101744=ORIENTED_EDGE('',*,*,#78445,.T.); #101745=ORIENTED_EDGE('',*,*,#78446,.T.); #101746=ORIENTED_EDGE('',*,*,#78442,.F.); #101747=ORIENTED_EDGE('',*,*,#78447,.F.); #101748=ORIENTED_EDGE('',*,*,#78448,.T.); #101749=ORIENTED_EDGE('',*,*,#78449,.T.); #101750=ORIENTED_EDGE('',*,*,#78445,.F.); #101751=ORIENTED_EDGE('',*,*,#78450,.F.); #101752=ORIENTED_EDGE('',*,*,#78451,.T.); #101753=ORIENTED_EDGE('',*,*,#78452,.T.); #101754=ORIENTED_EDGE('',*,*,#78448,.F.); #101755=ORIENTED_EDGE('',*,*,#78453,.F.); #101756=ORIENTED_EDGE('',*,*,#78454,.T.); #101757=ORIENTED_EDGE('',*,*,#78455,.T.); #101758=ORIENTED_EDGE('',*,*,#78451,.F.); #101759=ORIENTED_EDGE('',*,*,#78456,.F.); #101760=ORIENTED_EDGE('',*,*,#78457,.T.); #101761=ORIENTED_EDGE('',*,*,#78458,.T.); #101762=ORIENTED_EDGE('',*,*,#78454,.F.); #101763=ORIENTED_EDGE('',*,*,#78459,.F.); #101764=ORIENTED_EDGE('',*,*,#78460,.T.); #101765=ORIENTED_EDGE('',*,*,#78461,.T.); #101766=ORIENTED_EDGE('',*,*,#78457,.F.); #101767=ORIENTED_EDGE('',*,*,#78462,.F.); #101768=ORIENTED_EDGE('',*,*,#78463,.T.); #101769=ORIENTED_EDGE('',*,*,#78464,.T.); #101770=ORIENTED_EDGE('',*,*,#78460,.F.); #101771=ORIENTED_EDGE('',*,*,#78465,.F.); #101772=ORIENTED_EDGE('',*,*,#78466,.T.); #101773=ORIENTED_EDGE('',*,*,#78467,.T.); #101774=ORIENTED_EDGE('',*,*,#78463,.F.); #101775=ORIENTED_EDGE('',*,*,#78468,.F.); #101776=ORIENTED_EDGE('',*,*,#78469,.T.); #101777=ORIENTED_EDGE('',*,*,#78470,.T.); #101778=ORIENTED_EDGE('',*,*,#78466,.F.); #101779=ORIENTED_EDGE('',*,*,#78471,.F.); #101780=ORIENTED_EDGE('',*,*,#78472,.T.); #101781=ORIENTED_EDGE('',*,*,#78473,.T.); #101782=ORIENTED_EDGE('',*,*,#78469,.F.); #101783=ORIENTED_EDGE('',*,*,#78474,.F.); #101784=ORIENTED_EDGE('',*,*,#78475,.T.); #101785=ORIENTED_EDGE('',*,*,#78476,.T.); #101786=ORIENTED_EDGE('',*,*,#78472,.F.); #101787=ORIENTED_EDGE('',*,*,#78477,.F.); #101788=ORIENTED_EDGE('',*,*,#78478,.T.); #101789=ORIENTED_EDGE('',*,*,#78479,.T.); #101790=ORIENTED_EDGE('',*,*,#78475,.F.); #101791=ORIENTED_EDGE('',*,*,#78480,.F.); #101792=ORIENTED_EDGE('',*,*,#78481,.T.); #101793=ORIENTED_EDGE('',*,*,#78482,.T.); #101794=ORIENTED_EDGE('',*,*,#78478,.F.); #101795=ORIENTED_EDGE('',*,*,#78483,.F.); #101796=ORIENTED_EDGE('',*,*,#78484,.T.); #101797=ORIENTED_EDGE('',*,*,#78485,.T.); #101798=ORIENTED_EDGE('',*,*,#78481,.F.); #101799=ORIENTED_EDGE('',*,*,#78486,.F.); #101800=ORIENTED_EDGE('',*,*,#78487,.T.); #101801=ORIENTED_EDGE('',*,*,#78488,.T.); #101802=ORIENTED_EDGE('',*,*,#78484,.F.); #101803=ORIENTED_EDGE('',*,*,#78489,.F.); #101804=ORIENTED_EDGE('',*,*,#78490,.T.); #101805=ORIENTED_EDGE('',*,*,#78491,.T.); #101806=ORIENTED_EDGE('',*,*,#78487,.F.); #101807=ORIENTED_EDGE('',*,*,#78492,.F.); #101808=ORIENTED_EDGE('',*,*,#78493,.T.); #101809=ORIENTED_EDGE('',*,*,#78494,.T.); #101810=ORIENTED_EDGE('',*,*,#78490,.F.); #101811=ORIENTED_EDGE('',*,*,#78495,.F.); #101812=ORIENTED_EDGE('',*,*,#78496,.T.); #101813=ORIENTED_EDGE('',*,*,#78497,.T.); #101814=ORIENTED_EDGE('',*,*,#78493,.F.); #101815=ORIENTED_EDGE('',*,*,#78498,.F.); #101816=ORIENTED_EDGE('',*,*,#78499,.T.); #101817=ORIENTED_EDGE('',*,*,#78500,.T.); #101818=ORIENTED_EDGE('',*,*,#78496,.F.); #101819=ORIENTED_EDGE('',*,*,#78501,.F.); #101820=ORIENTED_EDGE('',*,*,#78502,.T.); #101821=ORIENTED_EDGE('',*,*,#78503,.T.); #101822=ORIENTED_EDGE('',*,*,#78499,.F.); #101823=ORIENTED_EDGE('',*,*,#78504,.F.); #101824=ORIENTED_EDGE('',*,*,#78505,.T.); #101825=ORIENTED_EDGE('',*,*,#78506,.T.); #101826=ORIENTED_EDGE('',*,*,#78502,.F.); #101827=ORIENTED_EDGE('',*,*,#78507,.F.); #101828=ORIENTED_EDGE('',*,*,#78508,.T.); #101829=ORIENTED_EDGE('',*,*,#78509,.T.); #101830=ORIENTED_EDGE('',*,*,#78505,.F.); #101831=ORIENTED_EDGE('',*,*,#78510,.F.); #101832=ORIENTED_EDGE('',*,*,#78511,.T.); #101833=ORIENTED_EDGE('',*,*,#78512,.T.); #101834=ORIENTED_EDGE('',*,*,#78508,.F.); #101835=ORIENTED_EDGE('',*,*,#78513,.F.); #101836=ORIENTED_EDGE('',*,*,#78514,.T.); #101837=ORIENTED_EDGE('',*,*,#78515,.T.); #101838=ORIENTED_EDGE('',*,*,#78511,.F.); #101839=ORIENTED_EDGE('',*,*,#78516,.F.); #101840=ORIENTED_EDGE('',*,*,#78248,.T.); #101841=ORIENTED_EDGE('',*,*,#78517,.T.); #101842=ORIENTED_EDGE('',*,*,#78514,.F.); #101843=ORIENTED_EDGE('',*,*,#78517,.F.); #101844=ORIENTED_EDGE('',*,*,#78247,.F.); #101845=ORIENTED_EDGE('',*,*,#78251,.F.); #101846=ORIENTED_EDGE('',*,*,#78254,.F.); #101847=ORIENTED_EDGE('',*,*,#78257,.F.); #101848=ORIENTED_EDGE('',*,*,#78260,.F.); #101849=ORIENTED_EDGE('',*,*,#78263,.F.); #101850=ORIENTED_EDGE('',*,*,#78266,.F.); #101851=ORIENTED_EDGE('',*,*,#78269,.F.); #101852=ORIENTED_EDGE('',*,*,#78272,.F.); #101853=ORIENTED_EDGE('',*,*,#78275,.F.); #101854=ORIENTED_EDGE('',*,*,#78278,.F.); #101855=ORIENTED_EDGE('',*,*,#78281,.F.); #101856=ORIENTED_EDGE('',*,*,#78284,.F.); #101857=ORIENTED_EDGE('',*,*,#78287,.F.); #101858=ORIENTED_EDGE('',*,*,#78290,.F.); #101859=ORIENTED_EDGE('',*,*,#78293,.F.); #101860=ORIENTED_EDGE('',*,*,#78296,.F.); #101861=ORIENTED_EDGE('',*,*,#78299,.F.); #101862=ORIENTED_EDGE('',*,*,#78302,.F.); #101863=ORIENTED_EDGE('',*,*,#78305,.F.); #101864=ORIENTED_EDGE('',*,*,#78308,.F.); #101865=ORIENTED_EDGE('',*,*,#78311,.F.); #101866=ORIENTED_EDGE('',*,*,#78314,.F.); #101867=ORIENTED_EDGE('',*,*,#78317,.F.); #101868=ORIENTED_EDGE('',*,*,#78320,.F.); #101869=ORIENTED_EDGE('',*,*,#78323,.F.); #101870=ORIENTED_EDGE('',*,*,#78326,.F.); #101871=ORIENTED_EDGE('',*,*,#78329,.F.); #101872=ORIENTED_EDGE('',*,*,#78332,.F.); #101873=ORIENTED_EDGE('',*,*,#78335,.F.); #101874=ORIENTED_EDGE('',*,*,#78338,.F.); #101875=ORIENTED_EDGE('',*,*,#78341,.F.); #101876=ORIENTED_EDGE('',*,*,#78344,.F.); #101877=ORIENTED_EDGE('',*,*,#78347,.F.); #101878=ORIENTED_EDGE('',*,*,#78350,.F.); #101879=ORIENTED_EDGE('',*,*,#78353,.F.); #101880=ORIENTED_EDGE('',*,*,#78356,.F.); #101881=ORIENTED_EDGE('',*,*,#78359,.F.); #101882=ORIENTED_EDGE('',*,*,#78362,.F.); #101883=ORIENTED_EDGE('',*,*,#78365,.F.); #101884=ORIENTED_EDGE('',*,*,#78368,.F.); #101885=ORIENTED_EDGE('',*,*,#78371,.F.); #101886=ORIENTED_EDGE('',*,*,#78374,.F.); #101887=ORIENTED_EDGE('',*,*,#78377,.F.); #101888=ORIENTED_EDGE('',*,*,#78380,.F.); #101889=ORIENTED_EDGE('',*,*,#78383,.F.); #101890=ORIENTED_EDGE('',*,*,#78386,.F.); #101891=ORIENTED_EDGE('',*,*,#78389,.F.); #101892=ORIENTED_EDGE('',*,*,#78392,.F.); #101893=ORIENTED_EDGE('',*,*,#78395,.F.); #101894=ORIENTED_EDGE('',*,*,#78398,.F.); #101895=ORIENTED_EDGE('',*,*,#78401,.F.); #101896=ORIENTED_EDGE('',*,*,#78404,.F.); #101897=ORIENTED_EDGE('',*,*,#78407,.F.); #101898=ORIENTED_EDGE('',*,*,#78410,.F.); #101899=ORIENTED_EDGE('',*,*,#78413,.F.); #101900=ORIENTED_EDGE('',*,*,#78416,.F.); #101901=ORIENTED_EDGE('',*,*,#78419,.F.); #101902=ORIENTED_EDGE('',*,*,#78422,.F.); #101903=ORIENTED_EDGE('',*,*,#78425,.F.); #101904=ORIENTED_EDGE('',*,*,#78428,.F.); #101905=ORIENTED_EDGE('',*,*,#78431,.F.); #101906=ORIENTED_EDGE('',*,*,#78434,.F.); #101907=ORIENTED_EDGE('',*,*,#78437,.F.); #101908=ORIENTED_EDGE('',*,*,#78440,.F.); #101909=ORIENTED_EDGE('',*,*,#78443,.F.); #101910=ORIENTED_EDGE('',*,*,#78446,.F.); #101911=ORIENTED_EDGE('',*,*,#78449,.F.); #101912=ORIENTED_EDGE('',*,*,#78452,.F.); #101913=ORIENTED_EDGE('',*,*,#78455,.F.); #101914=ORIENTED_EDGE('',*,*,#78458,.F.); #101915=ORIENTED_EDGE('',*,*,#78461,.F.); #101916=ORIENTED_EDGE('',*,*,#78464,.F.); #101917=ORIENTED_EDGE('',*,*,#78467,.F.); #101918=ORIENTED_EDGE('',*,*,#78470,.F.); #101919=ORIENTED_EDGE('',*,*,#78473,.F.); #101920=ORIENTED_EDGE('',*,*,#78476,.F.); #101921=ORIENTED_EDGE('',*,*,#78479,.F.); #101922=ORIENTED_EDGE('',*,*,#78482,.F.); #101923=ORIENTED_EDGE('',*,*,#78485,.F.); #101924=ORIENTED_EDGE('',*,*,#78488,.F.); #101925=ORIENTED_EDGE('',*,*,#78491,.F.); #101926=ORIENTED_EDGE('',*,*,#78494,.F.); #101927=ORIENTED_EDGE('',*,*,#78497,.F.); #101928=ORIENTED_EDGE('',*,*,#78500,.F.); #101929=ORIENTED_EDGE('',*,*,#78503,.F.); #101930=ORIENTED_EDGE('',*,*,#78506,.F.); #101931=ORIENTED_EDGE('',*,*,#78509,.F.); #101932=ORIENTED_EDGE('',*,*,#78512,.F.); #101933=ORIENTED_EDGE('',*,*,#78515,.F.); #101934=ORIENTED_EDGE('',*,*,#78244,.F.); #101935=ORIENTED_EDGE('',*,*,#78214,.F.); #101936=ORIENTED_EDGE('',*,*,#78218,.F.); #101937=ORIENTED_EDGE('',*,*,#78221,.F.); #101938=ORIENTED_EDGE('',*,*,#78224,.F.); #101939=ORIENTED_EDGE('',*,*,#78227,.F.); #101940=ORIENTED_EDGE('',*,*,#78230,.F.); #101941=ORIENTED_EDGE('',*,*,#78233,.F.); #101942=ORIENTED_EDGE('',*,*,#78236,.F.); #101943=ORIENTED_EDGE('',*,*,#78239,.F.); #101944=ORIENTED_EDGE('',*,*,#78242,.F.); #101945=ORIENTED_EDGE('',*,*,#78518,.F.); #101946=ORIENTED_EDGE('',*,*,#78519,.T.); #101947=ORIENTED_EDGE('',*,*,#78520,.T.); #101948=ORIENTED_EDGE('',*,*,#78521,.F.); #101949=ORIENTED_EDGE('',*,*,#78522,.F.); #101950=ORIENTED_EDGE('',*,*,#78523,.T.); #101951=ORIENTED_EDGE('',*,*,#78524,.T.); #101952=ORIENTED_EDGE('',*,*,#78519,.F.); #101953=ORIENTED_EDGE('',*,*,#78525,.F.); #101954=ORIENTED_EDGE('',*,*,#78526,.T.); #101955=ORIENTED_EDGE('',*,*,#78527,.T.); #101956=ORIENTED_EDGE('',*,*,#78523,.F.); #101957=ORIENTED_EDGE('',*,*,#78528,.F.); #101958=ORIENTED_EDGE('',*,*,#78529,.T.); #101959=ORIENTED_EDGE('',*,*,#78530,.T.); #101960=ORIENTED_EDGE('',*,*,#78526,.F.); #101961=ORIENTED_EDGE('',*,*,#78531,.F.); #101962=ORIENTED_EDGE('',*,*,#78521,.T.); #101963=ORIENTED_EDGE('',*,*,#78532,.T.); #101964=ORIENTED_EDGE('',*,*,#78529,.F.); #101965=ORIENTED_EDGE('',*,*,#78532,.F.); #101966=ORIENTED_EDGE('',*,*,#78520,.F.); #101967=ORIENTED_EDGE('',*,*,#78524,.F.); #101968=ORIENTED_EDGE('',*,*,#78527,.F.); #101969=ORIENTED_EDGE('',*,*,#78530,.F.); #101970=ORIENTED_EDGE('',*,*,#78533,.F.); #101971=ORIENTED_EDGE('',*,*,#78534,.T.); #101972=ORIENTED_EDGE('',*,*,#78535,.T.); #101973=ORIENTED_EDGE('',*,*,#78536,.F.); #101974=ORIENTED_EDGE('',*,*,#78537,.F.); #101975=ORIENTED_EDGE('',*,*,#78538,.T.); #101976=ORIENTED_EDGE('',*,*,#78539,.T.); #101977=ORIENTED_EDGE('',*,*,#78534,.F.); #101978=ORIENTED_EDGE('',*,*,#78540,.F.); #101979=ORIENTED_EDGE('',*,*,#78541,.T.); #101980=ORIENTED_EDGE('',*,*,#78542,.T.); #101981=ORIENTED_EDGE('',*,*,#78538,.F.); #101982=ORIENTED_EDGE('',*,*,#78543,.F.); #101983=ORIENTED_EDGE('',*,*,#78544,.T.); #101984=ORIENTED_EDGE('',*,*,#78545,.T.); #101985=ORIENTED_EDGE('',*,*,#78541,.F.); #101986=ORIENTED_EDGE('',*,*,#78546,.F.); #101987=ORIENTED_EDGE('',*,*,#78547,.T.); #101988=ORIENTED_EDGE('',*,*,#78548,.T.); #101989=ORIENTED_EDGE('',*,*,#78544,.F.); #101990=ORIENTED_EDGE('',*,*,#78549,.F.); #101991=ORIENTED_EDGE('',*,*,#78550,.T.); #101992=ORIENTED_EDGE('',*,*,#78551,.T.); #101993=ORIENTED_EDGE('',*,*,#78547,.F.); #101994=ORIENTED_EDGE('',*,*,#78552,.F.); #101995=ORIENTED_EDGE('',*,*,#78553,.T.); #101996=ORIENTED_EDGE('',*,*,#78554,.T.); #101997=ORIENTED_EDGE('',*,*,#78550,.F.); #101998=ORIENTED_EDGE('',*,*,#78555,.F.); #101999=ORIENTED_EDGE('',*,*,#78556,.T.); #102000=ORIENTED_EDGE('',*,*,#78557,.T.); #102001=ORIENTED_EDGE('',*,*,#78553,.F.); #102002=ORIENTED_EDGE('',*,*,#78558,.F.); #102003=ORIENTED_EDGE('',*,*,#78559,.T.); #102004=ORIENTED_EDGE('',*,*,#78560,.T.); #102005=ORIENTED_EDGE('',*,*,#78556,.F.); #102006=ORIENTED_EDGE('',*,*,#78561,.F.); #102007=ORIENTED_EDGE('',*,*,#78562,.T.); #102008=ORIENTED_EDGE('',*,*,#78563,.T.); #102009=ORIENTED_EDGE('',*,*,#78559,.F.); #102010=ORIENTED_EDGE('',*,*,#78564,.F.); #102011=ORIENTED_EDGE('',*,*,#78565,.T.); #102012=ORIENTED_EDGE('',*,*,#78566,.T.); #102013=ORIENTED_EDGE('',*,*,#78562,.F.); #102014=ORIENTED_EDGE('',*,*,#78567,.F.); #102015=ORIENTED_EDGE('',*,*,#78568,.T.); #102016=ORIENTED_EDGE('',*,*,#78569,.T.); #102017=ORIENTED_EDGE('',*,*,#78565,.F.); #102018=ORIENTED_EDGE('',*,*,#78570,.F.); #102019=ORIENTED_EDGE('',*,*,#78571,.T.); #102020=ORIENTED_EDGE('',*,*,#78572,.T.); #102021=ORIENTED_EDGE('',*,*,#78568,.F.); #102022=ORIENTED_EDGE('',*,*,#78573,.F.); #102023=ORIENTED_EDGE('',*,*,#78574,.T.); #102024=ORIENTED_EDGE('',*,*,#78575,.T.); #102025=ORIENTED_EDGE('',*,*,#78571,.F.); #102026=ORIENTED_EDGE('',*,*,#78576,.F.); #102027=ORIENTED_EDGE('',*,*,#78577,.T.); #102028=ORIENTED_EDGE('',*,*,#78578,.T.); #102029=ORIENTED_EDGE('',*,*,#78574,.F.); #102030=ORIENTED_EDGE('',*,*,#78579,.F.); #102031=ORIENTED_EDGE('',*,*,#78580,.T.); #102032=ORIENTED_EDGE('',*,*,#78581,.T.); #102033=ORIENTED_EDGE('',*,*,#78577,.F.); #102034=ORIENTED_EDGE('',*,*,#78582,.F.); #102035=ORIENTED_EDGE('',*,*,#78583,.T.); #102036=ORIENTED_EDGE('',*,*,#78584,.T.); #102037=ORIENTED_EDGE('',*,*,#78580,.F.); #102038=ORIENTED_EDGE('',*,*,#78585,.F.); #102039=ORIENTED_EDGE('',*,*,#78586,.T.); #102040=ORIENTED_EDGE('',*,*,#78587,.T.); #102041=ORIENTED_EDGE('',*,*,#78583,.F.); #102042=ORIENTED_EDGE('',*,*,#78588,.F.); #102043=ORIENTED_EDGE('',*,*,#78589,.T.); #102044=ORIENTED_EDGE('',*,*,#78590,.T.); #102045=ORIENTED_EDGE('',*,*,#78586,.F.); #102046=ORIENTED_EDGE('',*,*,#78591,.F.); #102047=ORIENTED_EDGE('',*,*,#78592,.T.); #102048=ORIENTED_EDGE('',*,*,#78593,.T.); #102049=ORIENTED_EDGE('',*,*,#78589,.F.); #102050=ORIENTED_EDGE('',*,*,#78594,.F.); #102051=ORIENTED_EDGE('',*,*,#78595,.T.); #102052=ORIENTED_EDGE('',*,*,#78596,.T.); #102053=ORIENTED_EDGE('',*,*,#78592,.F.); #102054=ORIENTED_EDGE('',*,*,#78597,.F.); #102055=ORIENTED_EDGE('',*,*,#78598,.T.); #102056=ORIENTED_EDGE('',*,*,#78599,.T.); #102057=ORIENTED_EDGE('',*,*,#78595,.F.); #102058=ORIENTED_EDGE('',*,*,#78600,.F.); #102059=ORIENTED_EDGE('',*,*,#78601,.T.); #102060=ORIENTED_EDGE('',*,*,#78602,.T.); #102061=ORIENTED_EDGE('',*,*,#78598,.F.); #102062=ORIENTED_EDGE('',*,*,#78603,.F.); #102063=ORIENTED_EDGE('',*,*,#78604,.T.); #102064=ORIENTED_EDGE('',*,*,#78605,.T.); #102065=ORIENTED_EDGE('',*,*,#78601,.F.); #102066=ORIENTED_EDGE('',*,*,#78606,.F.); #102067=ORIENTED_EDGE('',*,*,#78607,.T.); #102068=ORIENTED_EDGE('',*,*,#78608,.T.); #102069=ORIENTED_EDGE('',*,*,#78604,.F.); #102070=ORIENTED_EDGE('',*,*,#78609,.F.); #102071=ORIENTED_EDGE('',*,*,#78610,.T.); #102072=ORIENTED_EDGE('',*,*,#78611,.T.); #102073=ORIENTED_EDGE('',*,*,#78607,.F.); #102074=ORIENTED_EDGE('',*,*,#78612,.F.); #102075=ORIENTED_EDGE('',*,*,#78613,.T.); #102076=ORIENTED_EDGE('',*,*,#78614,.T.); #102077=ORIENTED_EDGE('',*,*,#78610,.F.); #102078=ORIENTED_EDGE('',*,*,#78615,.F.); #102079=ORIENTED_EDGE('',*,*,#78616,.T.); #102080=ORIENTED_EDGE('',*,*,#78617,.T.); #102081=ORIENTED_EDGE('',*,*,#78613,.F.); #102082=ORIENTED_EDGE('',*,*,#78618,.F.); #102083=ORIENTED_EDGE('',*,*,#78619,.T.); #102084=ORIENTED_EDGE('',*,*,#78620,.T.); #102085=ORIENTED_EDGE('',*,*,#78616,.F.); #102086=ORIENTED_EDGE('',*,*,#78621,.F.); #102087=ORIENTED_EDGE('',*,*,#78622,.T.); #102088=ORIENTED_EDGE('',*,*,#78623,.T.); #102089=ORIENTED_EDGE('',*,*,#78619,.F.); #102090=ORIENTED_EDGE('',*,*,#78624,.F.); #102091=ORIENTED_EDGE('',*,*,#78625,.T.); #102092=ORIENTED_EDGE('',*,*,#78626,.T.); #102093=ORIENTED_EDGE('',*,*,#78622,.F.); #102094=ORIENTED_EDGE('',*,*,#78627,.F.); #102095=ORIENTED_EDGE('',*,*,#78628,.T.); #102096=ORIENTED_EDGE('',*,*,#78629,.T.); #102097=ORIENTED_EDGE('',*,*,#78625,.F.); #102098=ORIENTED_EDGE('',*,*,#78630,.F.); #102099=ORIENTED_EDGE('',*,*,#78631,.T.); #102100=ORIENTED_EDGE('',*,*,#78632,.T.); #102101=ORIENTED_EDGE('',*,*,#78628,.F.); #102102=ORIENTED_EDGE('',*,*,#78633,.F.); #102103=ORIENTED_EDGE('',*,*,#78634,.T.); #102104=ORIENTED_EDGE('',*,*,#78635,.T.); #102105=ORIENTED_EDGE('',*,*,#78631,.F.); #102106=ORIENTED_EDGE('',*,*,#78636,.F.); #102107=ORIENTED_EDGE('',*,*,#78637,.T.); #102108=ORIENTED_EDGE('',*,*,#78638,.T.); #102109=ORIENTED_EDGE('',*,*,#78634,.F.); #102110=ORIENTED_EDGE('',*,*,#78639,.F.); #102111=ORIENTED_EDGE('',*,*,#78640,.T.); #102112=ORIENTED_EDGE('',*,*,#78641,.T.); #102113=ORIENTED_EDGE('',*,*,#78637,.F.); #102114=ORIENTED_EDGE('',*,*,#78642,.F.); #102115=ORIENTED_EDGE('',*,*,#78643,.T.); #102116=ORIENTED_EDGE('',*,*,#78644,.T.); #102117=ORIENTED_EDGE('',*,*,#78640,.F.); #102118=ORIENTED_EDGE('',*,*,#78645,.F.); #102119=ORIENTED_EDGE('',*,*,#78646,.T.); #102120=ORIENTED_EDGE('',*,*,#78647,.T.); #102121=ORIENTED_EDGE('',*,*,#78643,.F.); #102122=ORIENTED_EDGE('',*,*,#78648,.F.); #102123=ORIENTED_EDGE('',*,*,#78649,.T.); #102124=ORIENTED_EDGE('',*,*,#78650,.T.); #102125=ORIENTED_EDGE('',*,*,#78646,.F.); #102126=ORIENTED_EDGE('',*,*,#78651,.F.); #102127=ORIENTED_EDGE('',*,*,#78652,.T.); #102128=ORIENTED_EDGE('',*,*,#78653,.T.); #102129=ORIENTED_EDGE('',*,*,#78649,.F.); #102130=ORIENTED_EDGE('',*,*,#78654,.F.); #102131=ORIENTED_EDGE('',*,*,#78655,.T.); #102132=ORIENTED_EDGE('',*,*,#78656,.T.); #102133=ORIENTED_EDGE('',*,*,#78652,.F.); #102134=ORIENTED_EDGE('',*,*,#78657,.F.); #102135=ORIENTED_EDGE('',*,*,#78658,.T.); #102136=ORIENTED_EDGE('',*,*,#78659,.T.); #102137=ORIENTED_EDGE('',*,*,#78655,.F.); #102138=ORIENTED_EDGE('',*,*,#78660,.F.); #102139=ORIENTED_EDGE('',*,*,#78661,.T.); #102140=ORIENTED_EDGE('',*,*,#78662,.T.); #102141=ORIENTED_EDGE('',*,*,#78658,.F.); #102142=ORIENTED_EDGE('',*,*,#78663,.F.); #102143=ORIENTED_EDGE('',*,*,#78664,.T.); #102144=ORIENTED_EDGE('',*,*,#78665,.T.); #102145=ORIENTED_EDGE('',*,*,#78661,.F.); #102146=ORIENTED_EDGE('',*,*,#78666,.F.); #102147=ORIENTED_EDGE('',*,*,#78667,.T.); #102148=ORIENTED_EDGE('',*,*,#78668,.T.); #102149=ORIENTED_EDGE('',*,*,#78664,.F.); #102150=ORIENTED_EDGE('',*,*,#78669,.F.); #102151=ORIENTED_EDGE('',*,*,#78670,.T.); #102152=ORIENTED_EDGE('',*,*,#78671,.T.); #102153=ORIENTED_EDGE('',*,*,#78667,.F.); #102154=ORIENTED_EDGE('',*,*,#78672,.F.); #102155=ORIENTED_EDGE('',*,*,#78673,.T.); #102156=ORIENTED_EDGE('',*,*,#78674,.T.); #102157=ORIENTED_EDGE('',*,*,#78670,.F.); #102158=ORIENTED_EDGE('',*,*,#78675,.F.); #102159=ORIENTED_EDGE('',*,*,#78676,.T.); #102160=ORIENTED_EDGE('',*,*,#78677,.T.); #102161=ORIENTED_EDGE('',*,*,#78673,.F.); #102162=ORIENTED_EDGE('',*,*,#78678,.F.); #102163=ORIENTED_EDGE('',*,*,#78679,.T.); #102164=ORIENTED_EDGE('',*,*,#78680,.T.); #102165=ORIENTED_EDGE('',*,*,#78676,.F.); #102166=ORIENTED_EDGE('',*,*,#78681,.F.); #102167=ORIENTED_EDGE('',*,*,#78682,.T.); #102168=ORIENTED_EDGE('',*,*,#78683,.T.); #102169=ORIENTED_EDGE('',*,*,#78679,.F.); #102170=ORIENTED_EDGE('',*,*,#78684,.F.); #102171=ORIENTED_EDGE('',*,*,#78685,.T.); #102172=ORIENTED_EDGE('',*,*,#78686,.T.); #102173=ORIENTED_EDGE('',*,*,#78682,.F.); #102174=ORIENTED_EDGE('',*,*,#78687,.F.); #102175=ORIENTED_EDGE('',*,*,#78688,.T.); #102176=ORIENTED_EDGE('',*,*,#78689,.T.); #102177=ORIENTED_EDGE('',*,*,#78685,.F.); #102178=ORIENTED_EDGE('',*,*,#78690,.F.); #102179=ORIENTED_EDGE('',*,*,#78691,.T.); #102180=ORIENTED_EDGE('',*,*,#78692,.T.); #102181=ORIENTED_EDGE('',*,*,#78688,.F.); #102182=ORIENTED_EDGE('',*,*,#78693,.F.); #102183=ORIENTED_EDGE('',*,*,#78694,.T.); #102184=ORIENTED_EDGE('',*,*,#78695,.T.); #102185=ORIENTED_EDGE('',*,*,#78691,.F.); #102186=ORIENTED_EDGE('',*,*,#78696,.F.); #102187=ORIENTED_EDGE('',*,*,#78697,.T.); #102188=ORIENTED_EDGE('',*,*,#78698,.T.); #102189=ORIENTED_EDGE('',*,*,#78694,.F.); #102190=ORIENTED_EDGE('',*,*,#78699,.F.); #102191=ORIENTED_EDGE('',*,*,#78700,.T.); #102192=ORIENTED_EDGE('',*,*,#78701,.T.); #102193=ORIENTED_EDGE('',*,*,#78697,.F.); #102194=ORIENTED_EDGE('',*,*,#78702,.F.); #102195=ORIENTED_EDGE('',*,*,#78703,.T.); #102196=ORIENTED_EDGE('',*,*,#78704,.T.); #102197=ORIENTED_EDGE('',*,*,#78700,.F.); #102198=ORIENTED_EDGE('',*,*,#78705,.F.); #102199=ORIENTED_EDGE('',*,*,#78706,.T.); #102200=ORIENTED_EDGE('',*,*,#78707,.T.); #102201=ORIENTED_EDGE('',*,*,#78703,.F.); #102202=ORIENTED_EDGE('',*,*,#78708,.F.); #102203=ORIENTED_EDGE('',*,*,#78709,.T.); #102204=ORIENTED_EDGE('',*,*,#78710,.T.); #102205=ORIENTED_EDGE('',*,*,#78706,.F.); #102206=ORIENTED_EDGE('',*,*,#78711,.F.); #102207=ORIENTED_EDGE('',*,*,#78712,.T.); #102208=ORIENTED_EDGE('',*,*,#78713,.T.); #102209=ORIENTED_EDGE('',*,*,#78709,.F.); #102210=ORIENTED_EDGE('',*,*,#78714,.F.); #102211=ORIENTED_EDGE('',*,*,#78715,.T.); #102212=ORIENTED_EDGE('',*,*,#78716,.T.); #102213=ORIENTED_EDGE('',*,*,#78712,.F.); #102214=ORIENTED_EDGE('',*,*,#78717,.F.); #102215=ORIENTED_EDGE('',*,*,#78718,.T.); #102216=ORIENTED_EDGE('',*,*,#78719,.T.); #102217=ORIENTED_EDGE('',*,*,#78715,.F.); #102218=ORIENTED_EDGE('',*,*,#78720,.F.); #102219=ORIENTED_EDGE('',*,*,#78721,.T.); #102220=ORIENTED_EDGE('',*,*,#78722,.T.); #102221=ORIENTED_EDGE('',*,*,#78718,.F.); #102222=ORIENTED_EDGE('',*,*,#78723,.F.); #102223=ORIENTED_EDGE('',*,*,#78724,.T.); #102224=ORIENTED_EDGE('',*,*,#78725,.T.); #102225=ORIENTED_EDGE('',*,*,#78721,.F.); #102226=ORIENTED_EDGE('',*,*,#78726,.F.); #102227=ORIENTED_EDGE('',*,*,#78727,.T.); #102228=ORIENTED_EDGE('',*,*,#78728,.T.); #102229=ORIENTED_EDGE('',*,*,#78724,.F.); #102230=ORIENTED_EDGE('',*,*,#78729,.F.); #102231=ORIENTED_EDGE('',*,*,#78730,.T.); #102232=ORIENTED_EDGE('',*,*,#78731,.T.); #102233=ORIENTED_EDGE('',*,*,#78727,.F.); #102234=ORIENTED_EDGE('',*,*,#78732,.F.); #102235=ORIENTED_EDGE('',*,*,#78733,.T.); #102236=ORIENTED_EDGE('',*,*,#78734,.T.); #102237=ORIENTED_EDGE('',*,*,#78730,.F.); #102238=ORIENTED_EDGE('',*,*,#78735,.F.); #102239=ORIENTED_EDGE('',*,*,#78736,.T.); #102240=ORIENTED_EDGE('',*,*,#78737,.T.); #102241=ORIENTED_EDGE('',*,*,#78733,.F.); #102242=ORIENTED_EDGE('',*,*,#78738,.F.); #102243=ORIENTED_EDGE('',*,*,#78739,.T.); #102244=ORIENTED_EDGE('',*,*,#78740,.T.); #102245=ORIENTED_EDGE('',*,*,#78736,.F.); #102246=ORIENTED_EDGE('',*,*,#78741,.F.); #102247=ORIENTED_EDGE('',*,*,#78742,.T.); #102248=ORIENTED_EDGE('',*,*,#78743,.T.); #102249=ORIENTED_EDGE('',*,*,#78739,.F.); #102250=ORIENTED_EDGE('',*,*,#78744,.F.); #102251=ORIENTED_EDGE('',*,*,#78745,.T.); #102252=ORIENTED_EDGE('',*,*,#78746,.T.); #102253=ORIENTED_EDGE('',*,*,#78742,.F.); #102254=ORIENTED_EDGE('',*,*,#78747,.F.); #102255=ORIENTED_EDGE('',*,*,#78748,.T.); #102256=ORIENTED_EDGE('',*,*,#78749,.T.); #102257=ORIENTED_EDGE('',*,*,#78745,.F.); #102258=ORIENTED_EDGE('',*,*,#78750,.F.); #102259=ORIENTED_EDGE('',*,*,#78751,.T.); #102260=ORIENTED_EDGE('',*,*,#78752,.T.); #102261=ORIENTED_EDGE('',*,*,#78748,.F.); #102262=ORIENTED_EDGE('',*,*,#78753,.F.); #102263=ORIENTED_EDGE('',*,*,#78754,.T.); #102264=ORIENTED_EDGE('',*,*,#78755,.T.); #102265=ORIENTED_EDGE('',*,*,#78751,.F.); #102266=ORIENTED_EDGE('',*,*,#78756,.F.); #102267=ORIENTED_EDGE('',*,*,#78757,.T.); #102268=ORIENTED_EDGE('',*,*,#78758,.T.); #102269=ORIENTED_EDGE('',*,*,#78754,.F.); #102270=ORIENTED_EDGE('',*,*,#78759,.F.); #102271=ORIENTED_EDGE('',*,*,#78760,.T.); #102272=ORIENTED_EDGE('',*,*,#78761,.T.); #102273=ORIENTED_EDGE('',*,*,#78757,.F.); #102274=ORIENTED_EDGE('',*,*,#78762,.F.); #102275=ORIENTED_EDGE('',*,*,#78763,.T.); #102276=ORIENTED_EDGE('',*,*,#78764,.T.); #102277=ORIENTED_EDGE('',*,*,#78760,.F.); #102278=ORIENTED_EDGE('',*,*,#78765,.F.); #102279=ORIENTED_EDGE('',*,*,#78766,.T.); #102280=ORIENTED_EDGE('',*,*,#78767,.T.); #102281=ORIENTED_EDGE('',*,*,#78763,.F.); #102282=ORIENTED_EDGE('',*,*,#78768,.F.); #102283=ORIENTED_EDGE('',*,*,#78769,.T.); #102284=ORIENTED_EDGE('',*,*,#78770,.T.); #102285=ORIENTED_EDGE('',*,*,#78766,.F.); #102286=ORIENTED_EDGE('',*,*,#78771,.F.); #102287=ORIENTED_EDGE('',*,*,#78772,.T.); #102288=ORIENTED_EDGE('',*,*,#78773,.T.); #102289=ORIENTED_EDGE('',*,*,#78769,.F.); #102290=ORIENTED_EDGE('',*,*,#78774,.F.); #102291=ORIENTED_EDGE('',*,*,#78775,.T.); #102292=ORIENTED_EDGE('',*,*,#78776,.T.); #102293=ORIENTED_EDGE('',*,*,#78772,.F.); #102294=ORIENTED_EDGE('',*,*,#78777,.F.); #102295=ORIENTED_EDGE('',*,*,#78778,.T.); #102296=ORIENTED_EDGE('',*,*,#78779,.T.); #102297=ORIENTED_EDGE('',*,*,#78775,.F.); #102298=ORIENTED_EDGE('',*,*,#78780,.F.); #102299=ORIENTED_EDGE('',*,*,#78781,.T.); #102300=ORIENTED_EDGE('',*,*,#78782,.T.); #102301=ORIENTED_EDGE('',*,*,#78778,.F.); #102302=ORIENTED_EDGE('',*,*,#78783,.F.); #102303=ORIENTED_EDGE('',*,*,#78784,.T.); #102304=ORIENTED_EDGE('',*,*,#78785,.T.); #102305=ORIENTED_EDGE('',*,*,#78781,.F.); #102306=ORIENTED_EDGE('',*,*,#78786,.F.); #102307=ORIENTED_EDGE('',*,*,#78787,.T.); #102308=ORIENTED_EDGE('',*,*,#78788,.T.); #102309=ORIENTED_EDGE('',*,*,#78784,.F.); #102310=ORIENTED_EDGE('',*,*,#78789,.F.); #102311=ORIENTED_EDGE('',*,*,#78790,.T.); #102312=ORIENTED_EDGE('',*,*,#78791,.T.); #102313=ORIENTED_EDGE('',*,*,#78787,.F.); #102314=ORIENTED_EDGE('',*,*,#78792,.F.); #102315=ORIENTED_EDGE('',*,*,#78793,.T.); #102316=ORIENTED_EDGE('',*,*,#78794,.T.); #102317=ORIENTED_EDGE('',*,*,#78790,.F.); #102318=ORIENTED_EDGE('',*,*,#78795,.F.); #102319=ORIENTED_EDGE('',*,*,#78796,.T.); #102320=ORIENTED_EDGE('',*,*,#78797,.T.); #102321=ORIENTED_EDGE('',*,*,#78793,.F.); #102322=ORIENTED_EDGE('',*,*,#78798,.F.); #102323=ORIENTED_EDGE('',*,*,#78799,.T.); #102324=ORIENTED_EDGE('',*,*,#78800,.T.); #102325=ORIENTED_EDGE('',*,*,#78796,.F.); #102326=ORIENTED_EDGE('',*,*,#78801,.F.); #102327=ORIENTED_EDGE('',*,*,#78802,.T.); #102328=ORIENTED_EDGE('',*,*,#78803,.T.); #102329=ORIENTED_EDGE('',*,*,#78799,.F.); #102330=ORIENTED_EDGE('',*,*,#78804,.F.); #102331=ORIENTED_EDGE('',*,*,#78805,.T.); #102332=ORIENTED_EDGE('',*,*,#78806,.T.); #102333=ORIENTED_EDGE('',*,*,#78802,.F.); #102334=ORIENTED_EDGE('',*,*,#78807,.F.); #102335=ORIENTED_EDGE('',*,*,#78808,.T.); #102336=ORIENTED_EDGE('',*,*,#78809,.T.); #102337=ORIENTED_EDGE('',*,*,#78805,.F.); #102338=ORIENTED_EDGE('',*,*,#78810,.F.); #102339=ORIENTED_EDGE('',*,*,#78811,.T.); #102340=ORIENTED_EDGE('',*,*,#78812,.T.); #102341=ORIENTED_EDGE('',*,*,#78808,.F.); #102342=ORIENTED_EDGE('',*,*,#78813,.F.); #102343=ORIENTED_EDGE('',*,*,#78536,.T.); #102344=ORIENTED_EDGE('',*,*,#78814,.T.); #102345=ORIENTED_EDGE('',*,*,#78811,.F.); #102346=ORIENTED_EDGE('',*,*,#78814,.F.); #102347=ORIENTED_EDGE('',*,*,#78535,.F.); #102348=ORIENTED_EDGE('',*,*,#78539,.F.); #102349=ORIENTED_EDGE('',*,*,#78542,.F.); #102350=ORIENTED_EDGE('',*,*,#78545,.F.); #102351=ORIENTED_EDGE('',*,*,#78548,.F.); #102352=ORIENTED_EDGE('',*,*,#78551,.F.); #102353=ORIENTED_EDGE('',*,*,#78554,.F.); #102354=ORIENTED_EDGE('',*,*,#78557,.F.); #102355=ORIENTED_EDGE('',*,*,#78560,.F.); #102356=ORIENTED_EDGE('',*,*,#78563,.F.); #102357=ORIENTED_EDGE('',*,*,#78566,.F.); #102358=ORIENTED_EDGE('',*,*,#78569,.F.); #102359=ORIENTED_EDGE('',*,*,#78572,.F.); #102360=ORIENTED_EDGE('',*,*,#78575,.F.); #102361=ORIENTED_EDGE('',*,*,#78578,.F.); #102362=ORIENTED_EDGE('',*,*,#78581,.F.); #102363=ORIENTED_EDGE('',*,*,#78584,.F.); #102364=ORIENTED_EDGE('',*,*,#78587,.F.); #102365=ORIENTED_EDGE('',*,*,#78590,.F.); #102366=ORIENTED_EDGE('',*,*,#78593,.F.); #102367=ORIENTED_EDGE('',*,*,#78596,.F.); #102368=ORIENTED_EDGE('',*,*,#78599,.F.); #102369=ORIENTED_EDGE('',*,*,#78602,.F.); #102370=ORIENTED_EDGE('',*,*,#78605,.F.); #102371=ORIENTED_EDGE('',*,*,#78608,.F.); #102372=ORIENTED_EDGE('',*,*,#78611,.F.); #102373=ORIENTED_EDGE('',*,*,#78614,.F.); #102374=ORIENTED_EDGE('',*,*,#78617,.F.); #102375=ORIENTED_EDGE('',*,*,#78620,.F.); #102376=ORIENTED_EDGE('',*,*,#78623,.F.); #102377=ORIENTED_EDGE('',*,*,#78626,.F.); #102378=ORIENTED_EDGE('',*,*,#78629,.F.); #102379=ORIENTED_EDGE('',*,*,#78632,.F.); #102380=ORIENTED_EDGE('',*,*,#78635,.F.); #102381=ORIENTED_EDGE('',*,*,#78638,.F.); #102382=ORIENTED_EDGE('',*,*,#78641,.F.); #102383=ORIENTED_EDGE('',*,*,#78644,.F.); #102384=ORIENTED_EDGE('',*,*,#78647,.F.); #102385=ORIENTED_EDGE('',*,*,#78650,.F.); #102386=ORIENTED_EDGE('',*,*,#78653,.F.); #102387=ORIENTED_EDGE('',*,*,#78656,.F.); #102388=ORIENTED_EDGE('',*,*,#78659,.F.); #102389=ORIENTED_EDGE('',*,*,#78662,.F.); #102390=ORIENTED_EDGE('',*,*,#78665,.F.); #102391=ORIENTED_EDGE('',*,*,#78668,.F.); #102392=ORIENTED_EDGE('',*,*,#78671,.F.); #102393=ORIENTED_EDGE('',*,*,#78674,.F.); #102394=ORIENTED_EDGE('',*,*,#78677,.F.); #102395=ORIENTED_EDGE('',*,*,#78680,.F.); #102396=ORIENTED_EDGE('',*,*,#78683,.F.); #102397=ORIENTED_EDGE('',*,*,#78686,.F.); #102398=ORIENTED_EDGE('',*,*,#78689,.F.); #102399=ORIENTED_EDGE('',*,*,#78692,.F.); #102400=ORIENTED_EDGE('',*,*,#78695,.F.); #102401=ORIENTED_EDGE('',*,*,#78698,.F.); #102402=ORIENTED_EDGE('',*,*,#78701,.F.); #102403=ORIENTED_EDGE('',*,*,#78704,.F.); #102404=ORIENTED_EDGE('',*,*,#78707,.F.); #102405=ORIENTED_EDGE('',*,*,#78710,.F.); #102406=ORIENTED_EDGE('',*,*,#78713,.F.); #102407=ORIENTED_EDGE('',*,*,#78716,.F.); #102408=ORIENTED_EDGE('',*,*,#78719,.F.); #102409=ORIENTED_EDGE('',*,*,#78722,.F.); #102410=ORIENTED_EDGE('',*,*,#78725,.F.); #102411=ORIENTED_EDGE('',*,*,#78728,.F.); #102412=ORIENTED_EDGE('',*,*,#78731,.F.); #102413=ORIENTED_EDGE('',*,*,#78734,.F.); #102414=ORIENTED_EDGE('',*,*,#78737,.F.); #102415=ORIENTED_EDGE('',*,*,#78740,.F.); #102416=ORIENTED_EDGE('',*,*,#78743,.F.); #102417=ORIENTED_EDGE('',*,*,#78746,.F.); #102418=ORIENTED_EDGE('',*,*,#78749,.F.); #102419=ORIENTED_EDGE('',*,*,#78752,.F.); #102420=ORIENTED_EDGE('',*,*,#78755,.F.); #102421=ORIENTED_EDGE('',*,*,#78758,.F.); #102422=ORIENTED_EDGE('',*,*,#78761,.F.); #102423=ORIENTED_EDGE('',*,*,#78764,.F.); #102424=ORIENTED_EDGE('',*,*,#78767,.F.); #102425=ORIENTED_EDGE('',*,*,#78770,.F.); #102426=ORIENTED_EDGE('',*,*,#78773,.F.); #102427=ORIENTED_EDGE('',*,*,#78776,.F.); #102428=ORIENTED_EDGE('',*,*,#78779,.F.); #102429=ORIENTED_EDGE('',*,*,#78782,.F.); #102430=ORIENTED_EDGE('',*,*,#78785,.F.); #102431=ORIENTED_EDGE('',*,*,#78788,.F.); #102432=ORIENTED_EDGE('',*,*,#78791,.F.); #102433=ORIENTED_EDGE('',*,*,#78794,.F.); #102434=ORIENTED_EDGE('',*,*,#78797,.F.); #102435=ORIENTED_EDGE('',*,*,#78800,.F.); #102436=ORIENTED_EDGE('',*,*,#78803,.F.); #102437=ORIENTED_EDGE('',*,*,#78806,.F.); #102438=ORIENTED_EDGE('',*,*,#78809,.F.); #102439=ORIENTED_EDGE('',*,*,#78812,.F.); #102440=ORIENTED_EDGE('',*,*,#78815,.F.); #102441=ORIENTED_EDGE('',*,*,#78816,.T.); #102442=ORIENTED_EDGE('',*,*,#78817,.T.); #102443=ORIENTED_EDGE('',*,*,#78818,.F.); #102444=ORIENTED_EDGE('',*,*,#78819,.F.); #102445=ORIENTED_EDGE('',*,*,#78820,.T.); #102446=ORIENTED_EDGE('',*,*,#78821,.T.); #102447=ORIENTED_EDGE('',*,*,#78816,.F.); #102448=ORIENTED_EDGE('',*,*,#78822,.F.); #102449=ORIENTED_EDGE('',*,*,#78823,.T.); #102450=ORIENTED_EDGE('',*,*,#78824,.T.); #102451=ORIENTED_EDGE('',*,*,#78820,.F.); #102452=ORIENTED_EDGE('',*,*,#78825,.F.); #102453=ORIENTED_EDGE('',*,*,#78826,.T.); #102454=ORIENTED_EDGE('',*,*,#78827,.T.); #102455=ORIENTED_EDGE('',*,*,#78823,.F.); #102456=ORIENTED_EDGE('',*,*,#78828,.F.); #102457=ORIENTED_EDGE('',*,*,#78829,.T.); #102458=ORIENTED_EDGE('',*,*,#78830,.T.); #102459=ORIENTED_EDGE('',*,*,#78826,.F.); #102460=ORIENTED_EDGE('',*,*,#78831,.F.); #102461=ORIENTED_EDGE('',*,*,#78832,.T.); #102462=ORIENTED_EDGE('',*,*,#78833,.T.); #102463=ORIENTED_EDGE('',*,*,#78829,.F.); #102464=ORIENTED_EDGE('',*,*,#78834,.F.); #102465=ORIENTED_EDGE('',*,*,#78835,.T.); #102466=ORIENTED_EDGE('',*,*,#78836,.T.); #102467=ORIENTED_EDGE('',*,*,#78832,.F.); #102468=ORIENTED_EDGE('',*,*,#78837,.F.); #102469=ORIENTED_EDGE('',*,*,#78838,.T.); #102470=ORIENTED_EDGE('',*,*,#78839,.T.); #102471=ORIENTED_EDGE('',*,*,#78835,.F.); #102472=ORIENTED_EDGE('',*,*,#78840,.F.); #102473=ORIENTED_EDGE('',*,*,#78841,.T.); #102474=ORIENTED_EDGE('',*,*,#78842,.T.); #102475=ORIENTED_EDGE('',*,*,#78838,.F.); #102476=ORIENTED_EDGE('',*,*,#78843,.F.); #102477=ORIENTED_EDGE('',*,*,#78844,.T.); #102478=ORIENTED_EDGE('',*,*,#78845,.T.); #102479=ORIENTED_EDGE('',*,*,#78841,.F.); #102480=ORIENTED_EDGE('',*,*,#78846,.F.); #102481=ORIENTED_EDGE('',*,*,#78847,.T.); #102482=ORIENTED_EDGE('',*,*,#78848,.T.); #102483=ORIENTED_EDGE('',*,*,#78844,.F.); #102484=ORIENTED_EDGE('',*,*,#78849,.F.); #102485=ORIENTED_EDGE('',*,*,#78850,.T.); #102486=ORIENTED_EDGE('',*,*,#78851,.T.); #102487=ORIENTED_EDGE('',*,*,#78847,.F.); #102488=ORIENTED_EDGE('',*,*,#78852,.F.); #102489=ORIENTED_EDGE('',*,*,#78853,.T.); #102490=ORIENTED_EDGE('',*,*,#78854,.T.); #102491=ORIENTED_EDGE('',*,*,#78850,.F.); #102492=ORIENTED_EDGE('',*,*,#78855,.F.); #102493=ORIENTED_EDGE('',*,*,#78856,.T.); #102494=ORIENTED_EDGE('',*,*,#78857,.T.); #102495=ORIENTED_EDGE('',*,*,#78853,.F.); #102496=ORIENTED_EDGE('',*,*,#78858,.F.); #102497=ORIENTED_EDGE('',*,*,#78859,.T.); #102498=ORIENTED_EDGE('',*,*,#78860,.T.); #102499=ORIENTED_EDGE('',*,*,#78856,.F.); #102500=ORIENTED_EDGE('',*,*,#78861,.F.); #102501=ORIENTED_EDGE('',*,*,#78862,.T.); #102502=ORIENTED_EDGE('',*,*,#78863,.T.); #102503=ORIENTED_EDGE('',*,*,#78859,.F.); #102504=ORIENTED_EDGE('',*,*,#78864,.F.); #102505=ORIENTED_EDGE('',*,*,#78865,.T.); #102506=ORIENTED_EDGE('',*,*,#78866,.T.); #102507=ORIENTED_EDGE('',*,*,#78862,.F.); #102508=ORIENTED_EDGE('',*,*,#78867,.F.); #102509=ORIENTED_EDGE('',*,*,#78868,.T.); #102510=ORIENTED_EDGE('',*,*,#78869,.T.); #102511=ORIENTED_EDGE('',*,*,#78865,.F.); #102512=ORIENTED_EDGE('',*,*,#78870,.F.); #102513=ORIENTED_EDGE('',*,*,#78871,.T.); #102514=ORIENTED_EDGE('',*,*,#78872,.T.); #102515=ORIENTED_EDGE('',*,*,#78868,.F.); #102516=ORIENTED_EDGE('',*,*,#78873,.F.); #102517=ORIENTED_EDGE('',*,*,#78874,.T.); #102518=ORIENTED_EDGE('',*,*,#78875,.T.); #102519=ORIENTED_EDGE('',*,*,#78871,.F.); #102520=ORIENTED_EDGE('',*,*,#78876,.F.); #102521=ORIENTED_EDGE('',*,*,#78877,.T.); #102522=ORIENTED_EDGE('',*,*,#78878,.T.); #102523=ORIENTED_EDGE('',*,*,#78874,.F.); #102524=ORIENTED_EDGE('',*,*,#78879,.F.); #102525=ORIENTED_EDGE('',*,*,#78880,.T.); #102526=ORIENTED_EDGE('',*,*,#78881,.T.); #102527=ORIENTED_EDGE('',*,*,#78877,.F.); #102528=ORIENTED_EDGE('',*,*,#78882,.F.); #102529=ORIENTED_EDGE('',*,*,#78883,.T.); #102530=ORIENTED_EDGE('',*,*,#78884,.T.); #102531=ORIENTED_EDGE('',*,*,#78880,.F.); #102532=ORIENTED_EDGE('',*,*,#78885,.F.); #102533=ORIENTED_EDGE('',*,*,#78886,.T.); #102534=ORIENTED_EDGE('',*,*,#78887,.T.); #102535=ORIENTED_EDGE('',*,*,#78883,.F.); #102536=ORIENTED_EDGE('',*,*,#78888,.F.); #102537=ORIENTED_EDGE('',*,*,#78889,.T.); #102538=ORIENTED_EDGE('',*,*,#78890,.T.); #102539=ORIENTED_EDGE('',*,*,#78886,.F.); #102540=ORIENTED_EDGE('',*,*,#78891,.F.); #102541=ORIENTED_EDGE('',*,*,#78892,.T.); #102542=ORIENTED_EDGE('',*,*,#78893,.T.); #102543=ORIENTED_EDGE('',*,*,#78889,.F.); #102544=ORIENTED_EDGE('',*,*,#78894,.F.); #102545=ORIENTED_EDGE('',*,*,#78895,.T.); #102546=ORIENTED_EDGE('',*,*,#78896,.T.); #102547=ORIENTED_EDGE('',*,*,#78892,.F.); #102548=ORIENTED_EDGE('',*,*,#78897,.F.); #102549=ORIENTED_EDGE('',*,*,#78898,.T.); #102550=ORIENTED_EDGE('',*,*,#78899,.T.); #102551=ORIENTED_EDGE('',*,*,#78895,.F.); #102552=ORIENTED_EDGE('',*,*,#78900,.F.); #102553=ORIENTED_EDGE('',*,*,#78901,.T.); #102554=ORIENTED_EDGE('',*,*,#78902,.T.); #102555=ORIENTED_EDGE('',*,*,#78898,.F.); #102556=ORIENTED_EDGE('',*,*,#78903,.F.); #102557=ORIENTED_EDGE('',*,*,#78904,.T.); #102558=ORIENTED_EDGE('',*,*,#78905,.T.); #102559=ORIENTED_EDGE('',*,*,#78901,.F.); #102560=ORIENTED_EDGE('',*,*,#78906,.F.); #102561=ORIENTED_EDGE('',*,*,#78907,.T.); #102562=ORIENTED_EDGE('',*,*,#78908,.T.); #102563=ORIENTED_EDGE('',*,*,#78904,.F.); #102564=ORIENTED_EDGE('',*,*,#78909,.F.); #102565=ORIENTED_EDGE('',*,*,#78910,.T.); #102566=ORIENTED_EDGE('',*,*,#78911,.T.); #102567=ORIENTED_EDGE('',*,*,#78907,.F.); #102568=ORIENTED_EDGE('',*,*,#78912,.F.); #102569=ORIENTED_EDGE('',*,*,#78913,.T.); #102570=ORIENTED_EDGE('',*,*,#78914,.T.); #102571=ORIENTED_EDGE('',*,*,#78910,.F.); #102572=ORIENTED_EDGE('',*,*,#78915,.F.); #102573=ORIENTED_EDGE('',*,*,#78916,.T.); #102574=ORIENTED_EDGE('',*,*,#78917,.T.); #102575=ORIENTED_EDGE('',*,*,#78913,.F.); #102576=ORIENTED_EDGE('',*,*,#78918,.F.); #102577=ORIENTED_EDGE('',*,*,#78919,.T.); #102578=ORIENTED_EDGE('',*,*,#78920,.T.); #102579=ORIENTED_EDGE('',*,*,#78916,.F.); #102580=ORIENTED_EDGE('',*,*,#78921,.F.); #102581=ORIENTED_EDGE('',*,*,#78922,.T.); #102582=ORIENTED_EDGE('',*,*,#78923,.T.); #102583=ORIENTED_EDGE('',*,*,#78919,.F.); #102584=ORIENTED_EDGE('',*,*,#78924,.F.); #102585=ORIENTED_EDGE('',*,*,#78925,.T.); #102586=ORIENTED_EDGE('',*,*,#78926,.T.); #102587=ORIENTED_EDGE('',*,*,#78922,.F.); #102588=ORIENTED_EDGE('',*,*,#78927,.F.); #102589=ORIENTED_EDGE('',*,*,#78928,.T.); #102590=ORIENTED_EDGE('',*,*,#78929,.T.); #102591=ORIENTED_EDGE('',*,*,#78925,.F.); #102592=ORIENTED_EDGE('',*,*,#78930,.F.); #102593=ORIENTED_EDGE('',*,*,#78931,.T.); #102594=ORIENTED_EDGE('',*,*,#78932,.T.); #102595=ORIENTED_EDGE('',*,*,#78928,.F.); #102596=ORIENTED_EDGE('',*,*,#78933,.F.); #102597=ORIENTED_EDGE('',*,*,#78934,.T.); #102598=ORIENTED_EDGE('',*,*,#78935,.T.); #102599=ORIENTED_EDGE('',*,*,#78931,.F.); #102600=ORIENTED_EDGE('',*,*,#78936,.F.); #102601=ORIENTED_EDGE('',*,*,#78937,.T.); #102602=ORIENTED_EDGE('',*,*,#78938,.T.); #102603=ORIENTED_EDGE('',*,*,#78934,.F.); #102604=ORIENTED_EDGE('',*,*,#78939,.F.); #102605=ORIENTED_EDGE('',*,*,#78940,.T.); #102606=ORIENTED_EDGE('',*,*,#78941,.T.); #102607=ORIENTED_EDGE('',*,*,#78937,.F.); #102608=ORIENTED_EDGE('',*,*,#78942,.F.); #102609=ORIENTED_EDGE('',*,*,#78943,.T.); #102610=ORIENTED_EDGE('',*,*,#78944,.T.); #102611=ORIENTED_EDGE('',*,*,#78940,.F.); #102612=ORIENTED_EDGE('',*,*,#78945,.F.); #102613=ORIENTED_EDGE('',*,*,#78946,.T.); #102614=ORIENTED_EDGE('',*,*,#78947,.T.); #102615=ORIENTED_EDGE('',*,*,#78943,.F.); #102616=ORIENTED_EDGE('',*,*,#78948,.F.); #102617=ORIENTED_EDGE('',*,*,#78949,.T.); #102618=ORIENTED_EDGE('',*,*,#78950,.T.); #102619=ORIENTED_EDGE('',*,*,#78946,.F.); #102620=ORIENTED_EDGE('',*,*,#78951,.F.); #102621=ORIENTED_EDGE('',*,*,#78952,.T.); #102622=ORIENTED_EDGE('',*,*,#78953,.T.); #102623=ORIENTED_EDGE('',*,*,#78949,.F.); #102624=ORIENTED_EDGE('',*,*,#78954,.F.); #102625=ORIENTED_EDGE('',*,*,#78955,.T.); #102626=ORIENTED_EDGE('',*,*,#78956,.T.); #102627=ORIENTED_EDGE('',*,*,#78952,.F.); #102628=ORIENTED_EDGE('',*,*,#78957,.F.); #102629=ORIENTED_EDGE('',*,*,#78958,.T.); #102630=ORIENTED_EDGE('',*,*,#78959,.T.); #102631=ORIENTED_EDGE('',*,*,#78955,.F.); #102632=ORIENTED_EDGE('',*,*,#78960,.F.); #102633=ORIENTED_EDGE('',*,*,#78961,.T.); #102634=ORIENTED_EDGE('',*,*,#78962,.T.); #102635=ORIENTED_EDGE('',*,*,#78958,.F.); #102636=ORIENTED_EDGE('',*,*,#78963,.F.); #102637=ORIENTED_EDGE('',*,*,#78964,.T.); #102638=ORIENTED_EDGE('',*,*,#78965,.T.); #102639=ORIENTED_EDGE('',*,*,#78961,.F.); #102640=ORIENTED_EDGE('',*,*,#78966,.F.); #102641=ORIENTED_EDGE('',*,*,#78967,.T.); #102642=ORIENTED_EDGE('',*,*,#78968,.T.); #102643=ORIENTED_EDGE('',*,*,#78964,.F.); #102644=ORIENTED_EDGE('',*,*,#78969,.F.); #102645=ORIENTED_EDGE('',*,*,#78970,.T.); #102646=ORIENTED_EDGE('',*,*,#78971,.T.); #102647=ORIENTED_EDGE('',*,*,#78967,.F.); #102648=ORIENTED_EDGE('',*,*,#78972,.F.); #102649=ORIENTED_EDGE('',*,*,#78973,.T.); #102650=ORIENTED_EDGE('',*,*,#78974,.T.); #102651=ORIENTED_EDGE('',*,*,#78970,.F.); #102652=ORIENTED_EDGE('',*,*,#78975,.F.); #102653=ORIENTED_EDGE('',*,*,#78976,.T.); #102654=ORIENTED_EDGE('',*,*,#78977,.T.); #102655=ORIENTED_EDGE('',*,*,#78973,.F.); #102656=ORIENTED_EDGE('',*,*,#78978,.F.); #102657=ORIENTED_EDGE('',*,*,#78979,.T.); #102658=ORIENTED_EDGE('',*,*,#78980,.T.); #102659=ORIENTED_EDGE('',*,*,#78976,.F.); #102660=ORIENTED_EDGE('',*,*,#78981,.F.); #102661=ORIENTED_EDGE('',*,*,#78982,.T.); #102662=ORIENTED_EDGE('',*,*,#78983,.T.); #102663=ORIENTED_EDGE('',*,*,#78979,.F.); #102664=ORIENTED_EDGE('',*,*,#78984,.F.); #102665=ORIENTED_EDGE('',*,*,#78985,.T.); #102666=ORIENTED_EDGE('',*,*,#78986,.T.); #102667=ORIENTED_EDGE('',*,*,#78982,.F.); #102668=ORIENTED_EDGE('',*,*,#78987,.F.); #102669=ORIENTED_EDGE('',*,*,#78988,.T.); #102670=ORIENTED_EDGE('',*,*,#78989,.T.); #102671=ORIENTED_EDGE('',*,*,#78985,.F.); #102672=ORIENTED_EDGE('',*,*,#78990,.F.); #102673=ORIENTED_EDGE('',*,*,#78991,.T.); #102674=ORIENTED_EDGE('',*,*,#78992,.T.); #102675=ORIENTED_EDGE('',*,*,#78988,.F.); #102676=ORIENTED_EDGE('',*,*,#78993,.F.); #102677=ORIENTED_EDGE('',*,*,#78994,.T.); #102678=ORIENTED_EDGE('',*,*,#78995,.T.); #102679=ORIENTED_EDGE('',*,*,#78991,.F.); #102680=ORIENTED_EDGE('',*,*,#78996,.F.); #102681=ORIENTED_EDGE('',*,*,#78997,.T.); #102682=ORIENTED_EDGE('',*,*,#78998,.T.); #102683=ORIENTED_EDGE('',*,*,#78994,.F.); #102684=ORIENTED_EDGE('',*,*,#78999,.F.); #102685=ORIENTED_EDGE('',*,*,#79000,.T.); #102686=ORIENTED_EDGE('',*,*,#79001,.T.); #102687=ORIENTED_EDGE('',*,*,#78997,.F.); #102688=ORIENTED_EDGE('',*,*,#79002,.F.); #102689=ORIENTED_EDGE('',*,*,#79003,.T.); #102690=ORIENTED_EDGE('',*,*,#79004,.T.); #102691=ORIENTED_EDGE('',*,*,#79000,.F.); #102692=ORIENTED_EDGE('',*,*,#79005,.F.); #102693=ORIENTED_EDGE('',*,*,#79006,.T.); #102694=ORIENTED_EDGE('',*,*,#79007,.T.); #102695=ORIENTED_EDGE('',*,*,#79003,.F.); #102696=ORIENTED_EDGE('',*,*,#79008,.F.); #102697=ORIENTED_EDGE('',*,*,#79009,.T.); #102698=ORIENTED_EDGE('',*,*,#79010,.T.); #102699=ORIENTED_EDGE('',*,*,#79006,.F.); #102700=ORIENTED_EDGE('',*,*,#79011,.F.); #102701=ORIENTED_EDGE('',*,*,#79012,.T.); #102702=ORIENTED_EDGE('',*,*,#79013,.T.); #102703=ORIENTED_EDGE('',*,*,#79009,.F.); #102704=ORIENTED_EDGE('',*,*,#79014,.F.); #102705=ORIENTED_EDGE('',*,*,#79015,.T.); #102706=ORIENTED_EDGE('',*,*,#79016,.T.); #102707=ORIENTED_EDGE('',*,*,#79012,.F.); #102708=ORIENTED_EDGE('',*,*,#79017,.F.); #102709=ORIENTED_EDGE('',*,*,#79018,.T.); #102710=ORIENTED_EDGE('',*,*,#79019,.T.); #102711=ORIENTED_EDGE('',*,*,#79015,.F.); #102712=ORIENTED_EDGE('',*,*,#79020,.F.); #102713=ORIENTED_EDGE('',*,*,#79021,.T.); #102714=ORIENTED_EDGE('',*,*,#79022,.T.); #102715=ORIENTED_EDGE('',*,*,#79018,.F.); #102716=ORIENTED_EDGE('',*,*,#79023,.F.); #102717=ORIENTED_EDGE('',*,*,#79024,.T.); #102718=ORIENTED_EDGE('',*,*,#79025,.T.); #102719=ORIENTED_EDGE('',*,*,#79021,.F.); #102720=ORIENTED_EDGE('',*,*,#79026,.F.); #102721=ORIENTED_EDGE('',*,*,#79027,.T.); #102722=ORIENTED_EDGE('',*,*,#79028,.T.); #102723=ORIENTED_EDGE('',*,*,#79024,.F.); #102724=ORIENTED_EDGE('',*,*,#79029,.F.); #102725=ORIENTED_EDGE('',*,*,#79030,.T.); #102726=ORIENTED_EDGE('',*,*,#79031,.T.); #102727=ORIENTED_EDGE('',*,*,#79027,.F.); #102728=ORIENTED_EDGE('',*,*,#79032,.F.); #102729=ORIENTED_EDGE('',*,*,#79033,.T.); #102730=ORIENTED_EDGE('',*,*,#79034,.T.); #102731=ORIENTED_EDGE('',*,*,#79030,.F.); #102732=ORIENTED_EDGE('',*,*,#79035,.F.); #102733=ORIENTED_EDGE('',*,*,#79036,.T.); #102734=ORIENTED_EDGE('',*,*,#79037,.T.); #102735=ORIENTED_EDGE('',*,*,#79033,.F.); #102736=ORIENTED_EDGE('',*,*,#79038,.F.); #102737=ORIENTED_EDGE('',*,*,#79039,.T.); #102738=ORIENTED_EDGE('',*,*,#79040,.T.); #102739=ORIENTED_EDGE('',*,*,#79036,.F.); #102740=ORIENTED_EDGE('',*,*,#79041,.F.); #102741=ORIENTED_EDGE('',*,*,#79042,.T.); #102742=ORIENTED_EDGE('',*,*,#79043,.T.); #102743=ORIENTED_EDGE('',*,*,#79039,.F.); #102744=ORIENTED_EDGE('',*,*,#79044,.F.); #102745=ORIENTED_EDGE('',*,*,#79045,.T.); #102746=ORIENTED_EDGE('',*,*,#79046,.T.); #102747=ORIENTED_EDGE('',*,*,#79042,.F.); #102748=ORIENTED_EDGE('',*,*,#79047,.F.); #102749=ORIENTED_EDGE('',*,*,#79048,.T.); #102750=ORIENTED_EDGE('',*,*,#79049,.T.); #102751=ORIENTED_EDGE('',*,*,#79045,.F.); #102752=ORIENTED_EDGE('',*,*,#79050,.F.); #102753=ORIENTED_EDGE('',*,*,#79051,.T.); #102754=ORIENTED_EDGE('',*,*,#79052,.T.); #102755=ORIENTED_EDGE('',*,*,#79048,.F.); #102756=ORIENTED_EDGE('',*,*,#79053,.F.); #102757=ORIENTED_EDGE('',*,*,#79054,.T.); #102758=ORIENTED_EDGE('',*,*,#79055,.T.); #102759=ORIENTED_EDGE('',*,*,#79051,.F.); #102760=ORIENTED_EDGE('',*,*,#79056,.F.); #102761=ORIENTED_EDGE('',*,*,#79057,.T.); #102762=ORIENTED_EDGE('',*,*,#79058,.T.); #102763=ORIENTED_EDGE('',*,*,#79054,.F.); #102764=ORIENTED_EDGE('',*,*,#79059,.F.); #102765=ORIENTED_EDGE('',*,*,#79060,.T.); #102766=ORIENTED_EDGE('',*,*,#79061,.T.); #102767=ORIENTED_EDGE('',*,*,#79057,.F.); #102768=ORIENTED_EDGE('',*,*,#79062,.F.); #102769=ORIENTED_EDGE('',*,*,#79063,.T.); #102770=ORIENTED_EDGE('',*,*,#79064,.T.); #102771=ORIENTED_EDGE('',*,*,#79060,.F.); #102772=ORIENTED_EDGE('',*,*,#79065,.F.); #102773=ORIENTED_EDGE('',*,*,#79066,.T.); #102774=ORIENTED_EDGE('',*,*,#79067,.T.); #102775=ORIENTED_EDGE('',*,*,#79063,.F.); #102776=ORIENTED_EDGE('',*,*,#79068,.F.); #102777=ORIENTED_EDGE('',*,*,#79069,.T.); #102778=ORIENTED_EDGE('',*,*,#79070,.T.); #102779=ORIENTED_EDGE('',*,*,#79066,.F.); #102780=ORIENTED_EDGE('',*,*,#79071,.F.); #102781=ORIENTED_EDGE('',*,*,#79072,.T.); #102782=ORIENTED_EDGE('',*,*,#79073,.T.); #102783=ORIENTED_EDGE('',*,*,#79069,.F.); #102784=ORIENTED_EDGE('',*,*,#79074,.F.); #102785=ORIENTED_EDGE('',*,*,#79075,.T.); #102786=ORIENTED_EDGE('',*,*,#79076,.T.); #102787=ORIENTED_EDGE('',*,*,#79072,.F.); #102788=ORIENTED_EDGE('',*,*,#79077,.F.); #102789=ORIENTED_EDGE('',*,*,#79078,.T.); #102790=ORIENTED_EDGE('',*,*,#79079,.T.); #102791=ORIENTED_EDGE('',*,*,#79075,.F.); #102792=ORIENTED_EDGE('',*,*,#79080,.F.); #102793=ORIENTED_EDGE('',*,*,#79081,.T.); #102794=ORIENTED_EDGE('',*,*,#79082,.T.); #102795=ORIENTED_EDGE('',*,*,#79078,.F.); #102796=ORIENTED_EDGE('',*,*,#79083,.F.); #102797=ORIENTED_EDGE('',*,*,#79084,.T.); #102798=ORIENTED_EDGE('',*,*,#79085,.T.); #102799=ORIENTED_EDGE('',*,*,#79081,.F.); #102800=ORIENTED_EDGE('',*,*,#79086,.F.); #102801=ORIENTED_EDGE('',*,*,#79087,.T.); #102802=ORIENTED_EDGE('',*,*,#79088,.T.); #102803=ORIENTED_EDGE('',*,*,#79084,.F.); #102804=ORIENTED_EDGE('',*,*,#79089,.F.); #102805=ORIENTED_EDGE('',*,*,#79090,.T.); #102806=ORIENTED_EDGE('',*,*,#79091,.T.); #102807=ORIENTED_EDGE('',*,*,#79087,.F.); #102808=ORIENTED_EDGE('',*,*,#79092,.F.); #102809=ORIENTED_EDGE('',*,*,#79093,.T.); #102810=ORIENTED_EDGE('',*,*,#79094,.T.); #102811=ORIENTED_EDGE('',*,*,#79090,.F.); #102812=ORIENTED_EDGE('',*,*,#79095,.F.); #102813=ORIENTED_EDGE('',*,*,#79096,.T.); #102814=ORIENTED_EDGE('',*,*,#79097,.T.); #102815=ORIENTED_EDGE('',*,*,#79093,.F.); #102816=ORIENTED_EDGE('',*,*,#79098,.F.); #102817=ORIENTED_EDGE('',*,*,#79099,.T.); #102818=ORIENTED_EDGE('',*,*,#79100,.T.); #102819=ORIENTED_EDGE('',*,*,#79096,.F.); #102820=ORIENTED_EDGE('',*,*,#79101,.F.); #102821=ORIENTED_EDGE('',*,*,#79102,.T.); #102822=ORIENTED_EDGE('',*,*,#79103,.T.); #102823=ORIENTED_EDGE('',*,*,#79099,.F.); #102824=ORIENTED_EDGE('',*,*,#79104,.F.); #102825=ORIENTED_EDGE('',*,*,#79105,.T.); #102826=ORIENTED_EDGE('',*,*,#79106,.T.); #102827=ORIENTED_EDGE('',*,*,#79102,.F.); #102828=ORIENTED_EDGE('',*,*,#79107,.F.); #102829=ORIENTED_EDGE('',*,*,#79108,.T.); #102830=ORIENTED_EDGE('',*,*,#79109,.T.); #102831=ORIENTED_EDGE('',*,*,#79105,.F.); #102832=ORIENTED_EDGE('',*,*,#79110,.F.); #102833=ORIENTED_EDGE('',*,*,#79111,.T.); #102834=ORIENTED_EDGE('',*,*,#79112,.T.); #102835=ORIENTED_EDGE('',*,*,#79108,.F.); #102836=ORIENTED_EDGE('',*,*,#79113,.F.); #102837=ORIENTED_EDGE('',*,*,#79114,.T.); #102838=ORIENTED_EDGE('',*,*,#79115,.T.); #102839=ORIENTED_EDGE('',*,*,#79111,.F.); #102840=ORIENTED_EDGE('',*,*,#79116,.F.); #102841=ORIENTED_EDGE('',*,*,#79117,.T.); #102842=ORIENTED_EDGE('',*,*,#79118,.T.); #102843=ORIENTED_EDGE('',*,*,#79114,.F.); #102844=ORIENTED_EDGE('',*,*,#79119,.F.); #102845=ORIENTED_EDGE('',*,*,#79120,.T.); #102846=ORIENTED_EDGE('',*,*,#79121,.T.); #102847=ORIENTED_EDGE('',*,*,#79117,.F.); #102848=ORIENTED_EDGE('',*,*,#79122,.F.); #102849=ORIENTED_EDGE('',*,*,#79123,.T.); #102850=ORIENTED_EDGE('',*,*,#79124,.T.); #102851=ORIENTED_EDGE('',*,*,#79120,.F.); #102852=ORIENTED_EDGE('',*,*,#79125,.F.); #102853=ORIENTED_EDGE('',*,*,#79126,.T.); #102854=ORIENTED_EDGE('',*,*,#79127,.T.); #102855=ORIENTED_EDGE('',*,*,#79123,.F.); #102856=ORIENTED_EDGE('',*,*,#79128,.F.); #102857=ORIENTED_EDGE('',*,*,#79129,.T.); #102858=ORIENTED_EDGE('',*,*,#79130,.T.); #102859=ORIENTED_EDGE('',*,*,#79126,.F.); #102860=ORIENTED_EDGE('',*,*,#79131,.F.); #102861=ORIENTED_EDGE('',*,*,#79132,.T.); #102862=ORIENTED_EDGE('',*,*,#79133,.T.); #102863=ORIENTED_EDGE('',*,*,#79129,.F.); #102864=ORIENTED_EDGE('',*,*,#79134,.F.); #102865=ORIENTED_EDGE('',*,*,#79135,.T.); #102866=ORIENTED_EDGE('',*,*,#79136,.T.); #102867=ORIENTED_EDGE('',*,*,#79132,.F.); #102868=ORIENTED_EDGE('',*,*,#79137,.F.); #102869=ORIENTED_EDGE('',*,*,#79138,.T.); #102870=ORIENTED_EDGE('',*,*,#79139,.T.); #102871=ORIENTED_EDGE('',*,*,#79135,.F.); #102872=ORIENTED_EDGE('',*,*,#79140,.F.); #102873=ORIENTED_EDGE('',*,*,#78818,.T.); #102874=ORIENTED_EDGE('',*,*,#79141,.T.); #102875=ORIENTED_EDGE('',*,*,#79138,.F.); #102876=ORIENTED_EDGE('',*,*,#79141,.F.); #102877=ORIENTED_EDGE('',*,*,#78817,.F.); #102878=ORIENTED_EDGE('',*,*,#78821,.F.); #102879=ORIENTED_EDGE('',*,*,#78824,.F.); #102880=ORIENTED_EDGE('',*,*,#78827,.F.); #102881=ORIENTED_EDGE('',*,*,#78830,.F.); #102882=ORIENTED_EDGE('',*,*,#78833,.F.); #102883=ORIENTED_EDGE('',*,*,#78836,.F.); #102884=ORIENTED_EDGE('',*,*,#78839,.F.); #102885=ORIENTED_EDGE('',*,*,#78842,.F.); #102886=ORIENTED_EDGE('',*,*,#78845,.F.); #102887=ORIENTED_EDGE('',*,*,#78848,.F.); #102888=ORIENTED_EDGE('',*,*,#78851,.F.); #102889=ORIENTED_EDGE('',*,*,#78854,.F.); #102890=ORIENTED_EDGE('',*,*,#78857,.F.); #102891=ORIENTED_EDGE('',*,*,#78860,.F.); #102892=ORIENTED_EDGE('',*,*,#78863,.F.); #102893=ORIENTED_EDGE('',*,*,#78866,.F.); #102894=ORIENTED_EDGE('',*,*,#78869,.F.); #102895=ORIENTED_EDGE('',*,*,#78872,.F.); #102896=ORIENTED_EDGE('',*,*,#78875,.F.); #102897=ORIENTED_EDGE('',*,*,#78878,.F.); #102898=ORIENTED_EDGE('',*,*,#78881,.F.); #102899=ORIENTED_EDGE('',*,*,#78884,.F.); #102900=ORIENTED_EDGE('',*,*,#78887,.F.); #102901=ORIENTED_EDGE('',*,*,#78890,.F.); #102902=ORIENTED_EDGE('',*,*,#78893,.F.); #102903=ORIENTED_EDGE('',*,*,#78896,.F.); #102904=ORIENTED_EDGE('',*,*,#78899,.F.); #102905=ORIENTED_EDGE('',*,*,#78902,.F.); #102906=ORIENTED_EDGE('',*,*,#78905,.F.); #102907=ORIENTED_EDGE('',*,*,#78908,.F.); #102908=ORIENTED_EDGE('',*,*,#78911,.F.); #102909=ORIENTED_EDGE('',*,*,#78914,.F.); #102910=ORIENTED_EDGE('',*,*,#78917,.F.); #102911=ORIENTED_EDGE('',*,*,#78920,.F.); #102912=ORIENTED_EDGE('',*,*,#78923,.F.); #102913=ORIENTED_EDGE('',*,*,#78926,.F.); #102914=ORIENTED_EDGE('',*,*,#78929,.F.); #102915=ORIENTED_EDGE('',*,*,#78932,.F.); #102916=ORIENTED_EDGE('',*,*,#78935,.F.); #102917=ORIENTED_EDGE('',*,*,#78938,.F.); #102918=ORIENTED_EDGE('',*,*,#78941,.F.); #102919=ORIENTED_EDGE('',*,*,#78944,.F.); #102920=ORIENTED_EDGE('',*,*,#78947,.F.); #102921=ORIENTED_EDGE('',*,*,#78950,.F.); #102922=ORIENTED_EDGE('',*,*,#78953,.F.); #102923=ORIENTED_EDGE('',*,*,#78956,.F.); #102924=ORIENTED_EDGE('',*,*,#78959,.F.); #102925=ORIENTED_EDGE('',*,*,#78962,.F.); #102926=ORIENTED_EDGE('',*,*,#78965,.F.); #102927=ORIENTED_EDGE('',*,*,#78968,.F.); #102928=ORIENTED_EDGE('',*,*,#78971,.F.); #102929=ORIENTED_EDGE('',*,*,#78974,.F.); #102930=ORIENTED_EDGE('',*,*,#78977,.F.); #102931=ORIENTED_EDGE('',*,*,#78980,.F.); #102932=ORIENTED_EDGE('',*,*,#78983,.F.); #102933=ORIENTED_EDGE('',*,*,#78986,.F.); #102934=ORIENTED_EDGE('',*,*,#78989,.F.); #102935=ORIENTED_EDGE('',*,*,#78992,.F.); #102936=ORIENTED_EDGE('',*,*,#78995,.F.); #102937=ORIENTED_EDGE('',*,*,#78998,.F.); #102938=ORIENTED_EDGE('',*,*,#79001,.F.); #102939=ORIENTED_EDGE('',*,*,#79004,.F.); #102940=ORIENTED_EDGE('',*,*,#79007,.F.); #102941=ORIENTED_EDGE('',*,*,#79010,.F.); #102942=ORIENTED_EDGE('',*,*,#79013,.F.); #102943=ORIENTED_EDGE('',*,*,#79016,.F.); #102944=ORIENTED_EDGE('',*,*,#79019,.F.); #102945=ORIENTED_EDGE('',*,*,#79022,.F.); #102946=ORIENTED_EDGE('',*,*,#79025,.F.); #102947=ORIENTED_EDGE('',*,*,#79028,.F.); #102948=ORIENTED_EDGE('',*,*,#79031,.F.); #102949=ORIENTED_EDGE('',*,*,#79034,.F.); #102950=ORIENTED_EDGE('',*,*,#79037,.F.); #102951=ORIENTED_EDGE('',*,*,#79040,.F.); #102952=ORIENTED_EDGE('',*,*,#79043,.F.); #102953=ORIENTED_EDGE('',*,*,#79046,.F.); #102954=ORIENTED_EDGE('',*,*,#79049,.F.); #102955=ORIENTED_EDGE('',*,*,#79052,.F.); #102956=ORIENTED_EDGE('',*,*,#79055,.F.); #102957=ORIENTED_EDGE('',*,*,#79058,.F.); #102958=ORIENTED_EDGE('',*,*,#79061,.F.); #102959=ORIENTED_EDGE('',*,*,#79064,.F.); #102960=ORIENTED_EDGE('',*,*,#79067,.F.); #102961=ORIENTED_EDGE('',*,*,#79070,.F.); #102962=ORIENTED_EDGE('',*,*,#79073,.F.); #102963=ORIENTED_EDGE('',*,*,#79076,.F.); #102964=ORIENTED_EDGE('',*,*,#79079,.F.); #102965=ORIENTED_EDGE('',*,*,#79082,.F.); #102966=ORIENTED_EDGE('',*,*,#79085,.F.); #102967=ORIENTED_EDGE('',*,*,#79088,.F.); #102968=ORIENTED_EDGE('',*,*,#79091,.F.); #102969=ORIENTED_EDGE('',*,*,#79094,.F.); #102970=ORIENTED_EDGE('',*,*,#79097,.F.); #102971=ORIENTED_EDGE('',*,*,#79100,.F.); #102972=ORIENTED_EDGE('',*,*,#79103,.F.); #102973=ORIENTED_EDGE('',*,*,#79106,.F.); #102974=ORIENTED_EDGE('',*,*,#79109,.F.); #102975=ORIENTED_EDGE('',*,*,#79112,.F.); #102976=ORIENTED_EDGE('',*,*,#79115,.F.); #102977=ORIENTED_EDGE('',*,*,#79118,.F.); #102978=ORIENTED_EDGE('',*,*,#79121,.F.); #102979=ORIENTED_EDGE('',*,*,#79124,.F.); #102980=ORIENTED_EDGE('',*,*,#79127,.F.); #102981=ORIENTED_EDGE('',*,*,#79130,.F.); #102982=ORIENTED_EDGE('',*,*,#79133,.F.); #102983=ORIENTED_EDGE('',*,*,#79136,.F.); #102984=ORIENTED_EDGE('',*,*,#79139,.F.); #102985=ORIENTED_EDGE('',*,*,#79142,.F.); #102986=ORIENTED_EDGE('',*,*,#79143,.T.); #102987=ORIENTED_EDGE('',*,*,#79144,.T.); #102988=ORIENTED_EDGE('',*,*,#79145,.F.); #102989=ORIENTED_EDGE('',*,*,#79146,.F.); #102990=ORIENTED_EDGE('',*,*,#79147,.T.); #102991=ORIENTED_EDGE('',*,*,#79148,.T.); #102992=ORIENTED_EDGE('',*,*,#79143,.F.); #102993=ORIENTED_EDGE('',*,*,#79149,.F.); #102994=ORIENTED_EDGE('',*,*,#79150,.T.); #102995=ORIENTED_EDGE('',*,*,#79151,.T.); #102996=ORIENTED_EDGE('',*,*,#79147,.F.); #102997=ORIENTED_EDGE('',*,*,#79152,.F.); #102998=ORIENTED_EDGE('',*,*,#79153,.T.); #102999=ORIENTED_EDGE('',*,*,#79154,.T.); #103000=ORIENTED_EDGE('',*,*,#79150,.F.); #103001=ORIENTED_EDGE('',*,*,#79155,.F.); #103002=ORIENTED_EDGE('',*,*,#79156,.T.); #103003=ORIENTED_EDGE('',*,*,#79157,.T.); #103004=ORIENTED_EDGE('',*,*,#79153,.F.); #103005=ORIENTED_EDGE('',*,*,#79158,.F.); #103006=ORIENTED_EDGE('',*,*,#79159,.T.); #103007=ORIENTED_EDGE('',*,*,#79160,.T.); #103008=ORIENTED_EDGE('',*,*,#79156,.F.); #103009=ORIENTED_EDGE('',*,*,#79161,.F.); #103010=ORIENTED_EDGE('',*,*,#79162,.T.); #103011=ORIENTED_EDGE('',*,*,#79163,.T.); #103012=ORIENTED_EDGE('',*,*,#79159,.F.); #103013=ORIENTED_EDGE('',*,*,#79164,.F.); #103014=ORIENTED_EDGE('',*,*,#79165,.T.); #103015=ORIENTED_EDGE('',*,*,#79166,.T.); #103016=ORIENTED_EDGE('',*,*,#79162,.F.); #103017=ORIENTED_EDGE('',*,*,#79167,.F.); #103018=ORIENTED_EDGE('',*,*,#79168,.T.); #103019=ORIENTED_EDGE('',*,*,#79169,.T.); #103020=ORIENTED_EDGE('',*,*,#79165,.F.); #103021=ORIENTED_EDGE('',*,*,#79170,.F.); #103022=ORIENTED_EDGE('',*,*,#79171,.T.); #103023=ORIENTED_EDGE('',*,*,#79172,.T.); #103024=ORIENTED_EDGE('',*,*,#79168,.F.); #103025=ORIENTED_EDGE('',*,*,#79173,.F.); #103026=ORIENTED_EDGE('',*,*,#79174,.T.); #103027=ORIENTED_EDGE('',*,*,#79175,.T.); #103028=ORIENTED_EDGE('',*,*,#79171,.F.); #103029=ORIENTED_EDGE('',*,*,#79176,.F.); #103030=ORIENTED_EDGE('',*,*,#79177,.T.); #103031=ORIENTED_EDGE('',*,*,#79178,.T.); #103032=ORIENTED_EDGE('',*,*,#79174,.F.); #103033=ORIENTED_EDGE('',*,*,#79179,.F.); #103034=ORIENTED_EDGE('',*,*,#79180,.T.); #103035=ORIENTED_EDGE('',*,*,#79181,.T.); #103036=ORIENTED_EDGE('',*,*,#79177,.F.); #103037=ORIENTED_EDGE('',*,*,#79182,.F.); #103038=ORIENTED_EDGE('',*,*,#79183,.T.); #103039=ORIENTED_EDGE('',*,*,#79184,.T.); #103040=ORIENTED_EDGE('',*,*,#79180,.F.); #103041=ORIENTED_EDGE('',*,*,#79185,.F.); #103042=ORIENTED_EDGE('',*,*,#79186,.T.); #103043=ORIENTED_EDGE('',*,*,#79187,.T.); #103044=ORIENTED_EDGE('',*,*,#79183,.F.); #103045=ORIENTED_EDGE('',*,*,#79188,.F.); #103046=ORIENTED_EDGE('',*,*,#79189,.T.); #103047=ORIENTED_EDGE('',*,*,#79190,.T.); #103048=ORIENTED_EDGE('',*,*,#79186,.F.); #103049=ORIENTED_EDGE('',*,*,#79191,.F.); #103050=ORIENTED_EDGE('',*,*,#79192,.T.); #103051=ORIENTED_EDGE('',*,*,#79193,.T.); #103052=ORIENTED_EDGE('',*,*,#79189,.F.); #103053=ORIENTED_EDGE('',*,*,#79194,.F.); #103054=ORIENTED_EDGE('',*,*,#79195,.T.); #103055=ORIENTED_EDGE('',*,*,#79196,.T.); #103056=ORIENTED_EDGE('',*,*,#79192,.F.); #103057=ORIENTED_EDGE('',*,*,#79197,.F.); #103058=ORIENTED_EDGE('',*,*,#79198,.T.); #103059=ORIENTED_EDGE('',*,*,#79199,.T.); #103060=ORIENTED_EDGE('',*,*,#79195,.F.); #103061=ORIENTED_EDGE('',*,*,#79200,.F.); #103062=ORIENTED_EDGE('',*,*,#79201,.T.); #103063=ORIENTED_EDGE('',*,*,#79202,.T.); #103064=ORIENTED_EDGE('',*,*,#79198,.F.); #103065=ORIENTED_EDGE('',*,*,#79203,.F.); #103066=ORIENTED_EDGE('',*,*,#79204,.T.); #103067=ORIENTED_EDGE('',*,*,#79205,.T.); #103068=ORIENTED_EDGE('',*,*,#79201,.F.); #103069=ORIENTED_EDGE('',*,*,#79206,.F.); #103070=ORIENTED_EDGE('',*,*,#79207,.T.); #103071=ORIENTED_EDGE('',*,*,#79208,.T.); #103072=ORIENTED_EDGE('',*,*,#79204,.F.); #103073=ORIENTED_EDGE('',*,*,#79209,.F.); #103074=ORIENTED_EDGE('',*,*,#79210,.T.); #103075=ORIENTED_EDGE('',*,*,#79211,.T.); #103076=ORIENTED_EDGE('',*,*,#79207,.F.); #103077=ORIENTED_EDGE('',*,*,#79212,.F.); #103078=ORIENTED_EDGE('',*,*,#79213,.T.); #103079=ORIENTED_EDGE('',*,*,#79214,.T.); #103080=ORIENTED_EDGE('',*,*,#79210,.F.); #103081=ORIENTED_EDGE('',*,*,#79215,.F.); #103082=ORIENTED_EDGE('',*,*,#79216,.T.); #103083=ORIENTED_EDGE('',*,*,#79217,.T.); #103084=ORIENTED_EDGE('',*,*,#79213,.F.); #103085=ORIENTED_EDGE('',*,*,#79218,.F.); #103086=ORIENTED_EDGE('',*,*,#79219,.T.); #103087=ORIENTED_EDGE('',*,*,#79220,.T.); #103088=ORIENTED_EDGE('',*,*,#79216,.F.); #103089=ORIENTED_EDGE('',*,*,#79221,.F.); #103090=ORIENTED_EDGE('',*,*,#79222,.T.); #103091=ORIENTED_EDGE('',*,*,#79223,.T.); #103092=ORIENTED_EDGE('',*,*,#79219,.F.); #103093=ORIENTED_EDGE('',*,*,#79224,.F.); #103094=ORIENTED_EDGE('',*,*,#79225,.T.); #103095=ORIENTED_EDGE('',*,*,#79226,.T.); #103096=ORIENTED_EDGE('',*,*,#79222,.F.); #103097=ORIENTED_EDGE('',*,*,#79227,.F.); #103098=ORIENTED_EDGE('',*,*,#79228,.T.); #103099=ORIENTED_EDGE('',*,*,#79229,.T.); #103100=ORIENTED_EDGE('',*,*,#79225,.F.); #103101=ORIENTED_EDGE('',*,*,#79230,.F.); #103102=ORIENTED_EDGE('',*,*,#79231,.T.); #103103=ORIENTED_EDGE('',*,*,#79232,.T.); #103104=ORIENTED_EDGE('',*,*,#79228,.F.); #103105=ORIENTED_EDGE('',*,*,#79233,.F.); #103106=ORIENTED_EDGE('',*,*,#79234,.T.); #103107=ORIENTED_EDGE('',*,*,#79235,.T.); #103108=ORIENTED_EDGE('',*,*,#79231,.F.); #103109=ORIENTED_EDGE('',*,*,#79236,.F.); #103110=ORIENTED_EDGE('',*,*,#79237,.T.); #103111=ORIENTED_EDGE('',*,*,#79238,.T.); #103112=ORIENTED_EDGE('',*,*,#79234,.F.); #103113=ORIENTED_EDGE('',*,*,#79239,.F.); #103114=ORIENTED_EDGE('',*,*,#79240,.T.); #103115=ORIENTED_EDGE('',*,*,#79241,.T.); #103116=ORIENTED_EDGE('',*,*,#79237,.F.); #103117=ORIENTED_EDGE('',*,*,#79242,.F.); #103118=ORIENTED_EDGE('',*,*,#79243,.T.); #103119=ORIENTED_EDGE('',*,*,#79244,.T.); #103120=ORIENTED_EDGE('',*,*,#79240,.F.); #103121=ORIENTED_EDGE('',*,*,#79245,.F.); #103122=ORIENTED_EDGE('',*,*,#79246,.T.); #103123=ORIENTED_EDGE('',*,*,#79247,.T.); #103124=ORIENTED_EDGE('',*,*,#79243,.F.); #103125=ORIENTED_EDGE('',*,*,#79248,.F.); #103126=ORIENTED_EDGE('',*,*,#79249,.T.); #103127=ORIENTED_EDGE('',*,*,#79250,.T.); #103128=ORIENTED_EDGE('',*,*,#79246,.F.); #103129=ORIENTED_EDGE('',*,*,#79251,.F.); #103130=ORIENTED_EDGE('',*,*,#79252,.T.); #103131=ORIENTED_EDGE('',*,*,#79253,.T.); #103132=ORIENTED_EDGE('',*,*,#79249,.F.); #103133=ORIENTED_EDGE('',*,*,#79254,.F.); #103134=ORIENTED_EDGE('',*,*,#79255,.T.); #103135=ORIENTED_EDGE('',*,*,#79256,.T.); #103136=ORIENTED_EDGE('',*,*,#79252,.F.); #103137=ORIENTED_EDGE('',*,*,#79257,.F.); #103138=ORIENTED_EDGE('',*,*,#79258,.T.); #103139=ORIENTED_EDGE('',*,*,#79259,.T.); #103140=ORIENTED_EDGE('',*,*,#79255,.F.); #103141=ORIENTED_EDGE('',*,*,#79260,.F.); #103142=ORIENTED_EDGE('',*,*,#79261,.T.); #103143=ORIENTED_EDGE('',*,*,#79262,.T.); #103144=ORIENTED_EDGE('',*,*,#79258,.F.); #103145=ORIENTED_EDGE('',*,*,#79263,.F.); #103146=ORIENTED_EDGE('',*,*,#79264,.T.); #103147=ORIENTED_EDGE('',*,*,#79265,.T.); #103148=ORIENTED_EDGE('',*,*,#79261,.F.); #103149=ORIENTED_EDGE('',*,*,#79266,.F.); #103150=ORIENTED_EDGE('',*,*,#79267,.T.); #103151=ORIENTED_EDGE('',*,*,#79268,.T.); #103152=ORIENTED_EDGE('',*,*,#79264,.F.); #103153=ORIENTED_EDGE('',*,*,#79269,.F.); #103154=ORIENTED_EDGE('',*,*,#79270,.T.); #103155=ORIENTED_EDGE('',*,*,#79271,.T.); #103156=ORIENTED_EDGE('',*,*,#79267,.F.); #103157=ORIENTED_EDGE('',*,*,#79272,.F.); #103158=ORIENTED_EDGE('',*,*,#79273,.T.); #103159=ORIENTED_EDGE('',*,*,#79274,.T.); #103160=ORIENTED_EDGE('',*,*,#79270,.F.); #103161=ORIENTED_EDGE('',*,*,#79275,.F.); #103162=ORIENTED_EDGE('',*,*,#79276,.T.); #103163=ORIENTED_EDGE('',*,*,#79277,.T.); #103164=ORIENTED_EDGE('',*,*,#79273,.F.); #103165=ORIENTED_EDGE('',*,*,#79278,.F.); #103166=ORIENTED_EDGE('',*,*,#79279,.T.); #103167=ORIENTED_EDGE('',*,*,#79280,.T.); #103168=ORIENTED_EDGE('',*,*,#79276,.F.); #103169=ORIENTED_EDGE('',*,*,#79281,.F.); #103170=ORIENTED_EDGE('',*,*,#79282,.T.); #103171=ORIENTED_EDGE('',*,*,#79283,.T.); #103172=ORIENTED_EDGE('',*,*,#79279,.F.); #103173=ORIENTED_EDGE('',*,*,#79284,.F.); #103174=ORIENTED_EDGE('',*,*,#79285,.T.); #103175=ORIENTED_EDGE('',*,*,#79286,.T.); #103176=ORIENTED_EDGE('',*,*,#79282,.F.); #103177=ORIENTED_EDGE('',*,*,#79287,.F.); #103178=ORIENTED_EDGE('',*,*,#79288,.T.); #103179=ORIENTED_EDGE('',*,*,#79289,.T.); #103180=ORIENTED_EDGE('',*,*,#79285,.F.); #103181=ORIENTED_EDGE('',*,*,#79290,.F.); #103182=ORIENTED_EDGE('',*,*,#79291,.T.); #103183=ORIENTED_EDGE('',*,*,#79292,.T.); #103184=ORIENTED_EDGE('',*,*,#79288,.F.); #103185=ORIENTED_EDGE('',*,*,#79293,.F.); #103186=ORIENTED_EDGE('',*,*,#79294,.T.); #103187=ORIENTED_EDGE('',*,*,#79295,.T.); #103188=ORIENTED_EDGE('',*,*,#79291,.F.); #103189=ORIENTED_EDGE('',*,*,#79296,.F.); #103190=ORIENTED_EDGE('',*,*,#79297,.T.); #103191=ORIENTED_EDGE('',*,*,#79298,.T.); #103192=ORIENTED_EDGE('',*,*,#79294,.F.); #103193=ORIENTED_EDGE('',*,*,#79299,.F.); #103194=ORIENTED_EDGE('',*,*,#79300,.T.); #103195=ORIENTED_EDGE('',*,*,#79301,.T.); #103196=ORIENTED_EDGE('',*,*,#79297,.F.); #103197=ORIENTED_EDGE('',*,*,#79302,.F.); #103198=ORIENTED_EDGE('',*,*,#79303,.T.); #103199=ORIENTED_EDGE('',*,*,#79304,.T.); #103200=ORIENTED_EDGE('',*,*,#79300,.F.); #103201=ORIENTED_EDGE('',*,*,#79305,.F.); #103202=ORIENTED_EDGE('',*,*,#79306,.T.); #103203=ORIENTED_EDGE('',*,*,#79307,.T.); #103204=ORIENTED_EDGE('',*,*,#79303,.F.); #103205=ORIENTED_EDGE('',*,*,#79308,.F.); #103206=ORIENTED_EDGE('',*,*,#79309,.T.); #103207=ORIENTED_EDGE('',*,*,#79310,.T.); #103208=ORIENTED_EDGE('',*,*,#79306,.F.); #103209=ORIENTED_EDGE('',*,*,#79311,.F.); #103210=ORIENTED_EDGE('',*,*,#79312,.T.); #103211=ORIENTED_EDGE('',*,*,#79313,.T.); #103212=ORIENTED_EDGE('',*,*,#79309,.F.); #103213=ORIENTED_EDGE('',*,*,#79314,.F.); #103214=ORIENTED_EDGE('',*,*,#79315,.T.); #103215=ORIENTED_EDGE('',*,*,#79316,.T.); #103216=ORIENTED_EDGE('',*,*,#79312,.F.); #103217=ORIENTED_EDGE('',*,*,#79317,.F.); #103218=ORIENTED_EDGE('',*,*,#79318,.T.); #103219=ORIENTED_EDGE('',*,*,#79319,.T.); #103220=ORIENTED_EDGE('',*,*,#79315,.F.); #103221=ORIENTED_EDGE('',*,*,#79320,.F.); #103222=ORIENTED_EDGE('',*,*,#79321,.T.); #103223=ORIENTED_EDGE('',*,*,#79322,.T.); #103224=ORIENTED_EDGE('',*,*,#79318,.F.); #103225=ORIENTED_EDGE('',*,*,#79323,.F.); #103226=ORIENTED_EDGE('',*,*,#79324,.T.); #103227=ORIENTED_EDGE('',*,*,#79325,.T.); #103228=ORIENTED_EDGE('',*,*,#79321,.F.); #103229=ORIENTED_EDGE('',*,*,#79326,.F.); #103230=ORIENTED_EDGE('',*,*,#79327,.T.); #103231=ORIENTED_EDGE('',*,*,#79328,.T.); #103232=ORIENTED_EDGE('',*,*,#79324,.F.); #103233=ORIENTED_EDGE('',*,*,#79329,.F.); #103234=ORIENTED_EDGE('',*,*,#79330,.T.); #103235=ORIENTED_EDGE('',*,*,#79331,.T.); #103236=ORIENTED_EDGE('',*,*,#79327,.F.); #103237=ORIENTED_EDGE('',*,*,#79332,.F.); #103238=ORIENTED_EDGE('',*,*,#79333,.T.); #103239=ORIENTED_EDGE('',*,*,#79334,.T.); #103240=ORIENTED_EDGE('',*,*,#79330,.F.); #103241=ORIENTED_EDGE('',*,*,#79335,.F.); #103242=ORIENTED_EDGE('',*,*,#79336,.T.); #103243=ORIENTED_EDGE('',*,*,#79337,.T.); #103244=ORIENTED_EDGE('',*,*,#79333,.F.); #103245=ORIENTED_EDGE('',*,*,#79338,.F.); #103246=ORIENTED_EDGE('',*,*,#79339,.T.); #103247=ORIENTED_EDGE('',*,*,#79340,.T.); #103248=ORIENTED_EDGE('',*,*,#79336,.F.); #103249=ORIENTED_EDGE('',*,*,#79341,.F.); #103250=ORIENTED_EDGE('',*,*,#79342,.T.); #103251=ORIENTED_EDGE('',*,*,#79343,.T.); #103252=ORIENTED_EDGE('',*,*,#79339,.F.); #103253=ORIENTED_EDGE('',*,*,#79344,.F.); #103254=ORIENTED_EDGE('',*,*,#79345,.T.); #103255=ORIENTED_EDGE('',*,*,#79346,.T.); #103256=ORIENTED_EDGE('',*,*,#79342,.F.); #103257=ORIENTED_EDGE('',*,*,#79347,.F.); #103258=ORIENTED_EDGE('',*,*,#79348,.T.); #103259=ORIENTED_EDGE('',*,*,#79349,.T.); #103260=ORIENTED_EDGE('',*,*,#79345,.F.); #103261=ORIENTED_EDGE('',*,*,#79350,.F.); #103262=ORIENTED_EDGE('',*,*,#79351,.T.); #103263=ORIENTED_EDGE('',*,*,#79352,.T.); #103264=ORIENTED_EDGE('',*,*,#79348,.F.); #103265=ORIENTED_EDGE('',*,*,#79353,.F.); #103266=ORIENTED_EDGE('',*,*,#79354,.T.); #103267=ORIENTED_EDGE('',*,*,#79355,.T.); #103268=ORIENTED_EDGE('',*,*,#79351,.F.); #103269=ORIENTED_EDGE('',*,*,#79356,.F.); #103270=ORIENTED_EDGE('',*,*,#79357,.T.); #103271=ORIENTED_EDGE('',*,*,#79358,.T.); #103272=ORIENTED_EDGE('',*,*,#79354,.F.); #103273=ORIENTED_EDGE('',*,*,#79359,.F.); #103274=ORIENTED_EDGE('',*,*,#79360,.T.); #103275=ORIENTED_EDGE('',*,*,#79361,.T.); #103276=ORIENTED_EDGE('',*,*,#79357,.F.); #103277=ORIENTED_EDGE('',*,*,#79362,.F.); #103278=ORIENTED_EDGE('',*,*,#79363,.T.); #103279=ORIENTED_EDGE('',*,*,#79364,.T.); #103280=ORIENTED_EDGE('',*,*,#79360,.F.); #103281=ORIENTED_EDGE('',*,*,#79365,.F.); #103282=ORIENTED_EDGE('',*,*,#79366,.T.); #103283=ORIENTED_EDGE('',*,*,#79367,.T.); #103284=ORIENTED_EDGE('',*,*,#79363,.F.); #103285=ORIENTED_EDGE('',*,*,#79368,.F.); #103286=ORIENTED_EDGE('',*,*,#79369,.T.); #103287=ORIENTED_EDGE('',*,*,#79370,.T.); #103288=ORIENTED_EDGE('',*,*,#79366,.F.); #103289=ORIENTED_EDGE('',*,*,#79371,.F.); #103290=ORIENTED_EDGE('',*,*,#79372,.T.); #103291=ORIENTED_EDGE('',*,*,#79373,.T.); #103292=ORIENTED_EDGE('',*,*,#79369,.F.); #103293=ORIENTED_EDGE('',*,*,#79374,.F.); #103294=ORIENTED_EDGE('',*,*,#79375,.T.); #103295=ORIENTED_EDGE('',*,*,#79376,.T.); #103296=ORIENTED_EDGE('',*,*,#79372,.F.); #103297=ORIENTED_EDGE('',*,*,#79377,.F.); #103298=ORIENTED_EDGE('',*,*,#79378,.T.); #103299=ORIENTED_EDGE('',*,*,#79379,.T.); #103300=ORIENTED_EDGE('',*,*,#79375,.F.); #103301=ORIENTED_EDGE('',*,*,#79380,.F.); #103302=ORIENTED_EDGE('',*,*,#79381,.T.); #103303=ORIENTED_EDGE('',*,*,#79382,.T.); #103304=ORIENTED_EDGE('',*,*,#79378,.F.); #103305=ORIENTED_EDGE('',*,*,#79383,.F.); #103306=ORIENTED_EDGE('',*,*,#79384,.T.); #103307=ORIENTED_EDGE('',*,*,#79385,.T.); #103308=ORIENTED_EDGE('',*,*,#79381,.F.); #103309=ORIENTED_EDGE('',*,*,#79386,.F.); #103310=ORIENTED_EDGE('',*,*,#79387,.T.); #103311=ORIENTED_EDGE('',*,*,#79388,.T.); #103312=ORIENTED_EDGE('',*,*,#79384,.F.); #103313=ORIENTED_EDGE('',*,*,#79389,.F.); #103314=ORIENTED_EDGE('',*,*,#79390,.T.); #103315=ORIENTED_EDGE('',*,*,#79391,.T.); #103316=ORIENTED_EDGE('',*,*,#79387,.F.); #103317=ORIENTED_EDGE('',*,*,#79392,.F.); #103318=ORIENTED_EDGE('',*,*,#79393,.T.); #103319=ORIENTED_EDGE('',*,*,#79394,.T.); #103320=ORIENTED_EDGE('',*,*,#79390,.F.); #103321=ORIENTED_EDGE('',*,*,#79395,.F.); #103322=ORIENTED_EDGE('',*,*,#79396,.T.); #103323=ORIENTED_EDGE('',*,*,#79397,.T.); #103324=ORIENTED_EDGE('',*,*,#79393,.F.); #103325=ORIENTED_EDGE('',*,*,#79398,.F.); #103326=ORIENTED_EDGE('',*,*,#79399,.T.); #103327=ORIENTED_EDGE('',*,*,#79400,.T.); #103328=ORIENTED_EDGE('',*,*,#79396,.F.); #103329=ORIENTED_EDGE('',*,*,#79401,.F.); #103330=ORIENTED_EDGE('',*,*,#79402,.T.); #103331=ORIENTED_EDGE('',*,*,#79403,.T.); #103332=ORIENTED_EDGE('',*,*,#79399,.F.); #103333=ORIENTED_EDGE('',*,*,#79404,.F.); #103334=ORIENTED_EDGE('',*,*,#79405,.T.); #103335=ORIENTED_EDGE('',*,*,#79406,.T.); #103336=ORIENTED_EDGE('',*,*,#79402,.F.); #103337=ORIENTED_EDGE('',*,*,#79407,.F.); #103338=ORIENTED_EDGE('',*,*,#79408,.T.); #103339=ORIENTED_EDGE('',*,*,#79409,.T.); #103340=ORIENTED_EDGE('',*,*,#79405,.F.); #103341=ORIENTED_EDGE('',*,*,#79410,.F.); #103342=ORIENTED_EDGE('',*,*,#79411,.T.); #103343=ORIENTED_EDGE('',*,*,#79412,.T.); #103344=ORIENTED_EDGE('',*,*,#79408,.F.); #103345=ORIENTED_EDGE('',*,*,#79413,.F.); #103346=ORIENTED_EDGE('',*,*,#79414,.T.); #103347=ORIENTED_EDGE('',*,*,#79415,.T.); #103348=ORIENTED_EDGE('',*,*,#79411,.F.); #103349=ORIENTED_EDGE('',*,*,#79416,.F.); #103350=ORIENTED_EDGE('',*,*,#79417,.T.); #103351=ORIENTED_EDGE('',*,*,#79418,.T.); #103352=ORIENTED_EDGE('',*,*,#79414,.F.); #103353=ORIENTED_EDGE('',*,*,#79419,.F.); #103354=ORIENTED_EDGE('',*,*,#79420,.T.); #103355=ORIENTED_EDGE('',*,*,#79421,.T.); #103356=ORIENTED_EDGE('',*,*,#79417,.F.); #103357=ORIENTED_EDGE('',*,*,#79422,.F.); #103358=ORIENTED_EDGE('',*,*,#79423,.T.); #103359=ORIENTED_EDGE('',*,*,#79424,.T.); #103360=ORIENTED_EDGE('',*,*,#79420,.F.); #103361=ORIENTED_EDGE('',*,*,#79425,.F.); #103362=ORIENTED_EDGE('',*,*,#79426,.T.); #103363=ORIENTED_EDGE('',*,*,#79427,.T.); #103364=ORIENTED_EDGE('',*,*,#79423,.F.); #103365=ORIENTED_EDGE('',*,*,#79428,.F.); #103366=ORIENTED_EDGE('',*,*,#79429,.T.); #103367=ORIENTED_EDGE('',*,*,#79430,.T.); #103368=ORIENTED_EDGE('',*,*,#79426,.F.); #103369=ORIENTED_EDGE('',*,*,#79431,.F.); #103370=ORIENTED_EDGE('',*,*,#79432,.T.); #103371=ORIENTED_EDGE('',*,*,#79433,.T.); #103372=ORIENTED_EDGE('',*,*,#79429,.F.); #103373=ORIENTED_EDGE('',*,*,#79434,.F.); #103374=ORIENTED_EDGE('',*,*,#79435,.T.); #103375=ORIENTED_EDGE('',*,*,#79436,.T.); #103376=ORIENTED_EDGE('',*,*,#79432,.F.); #103377=ORIENTED_EDGE('',*,*,#79437,.F.); #103378=ORIENTED_EDGE('',*,*,#79438,.T.); #103379=ORIENTED_EDGE('',*,*,#79439,.T.); #103380=ORIENTED_EDGE('',*,*,#79435,.F.); #103381=ORIENTED_EDGE('',*,*,#79440,.F.); #103382=ORIENTED_EDGE('',*,*,#79441,.T.); #103383=ORIENTED_EDGE('',*,*,#79442,.T.); #103384=ORIENTED_EDGE('',*,*,#79438,.F.); #103385=ORIENTED_EDGE('',*,*,#79443,.F.); #103386=ORIENTED_EDGE('',*,*,#79444,.T.); #103387=ORIENTED_EDGE('',*,*,#79445,.T.); #103388=ORIENTED_EDGE('',*,*,#79441,.F.); #103389=ORIENTED_EDGE('',*,*,#79446,.F.); #103390=ORIENTED_EDGE('',*,*,#79447,.T.); #103391=ORIENTED_EDGE('',*,*,#79448,.T.); #103392=ORIENTED_EDGE('',*,*,#79444,.F.); #103393=ORIENTED_EDGE('',*,*,#79449,.F.); #103394=ORIENTED_EDGE('',*,*,#79450,.T.); #103395=ORIENTED_EDGE('',*,*,#79451,.T.); #103396=ORIENTED_EDGE('',*,*,#79447,.F.); #103397=ORIENTED_EDGE('',*,*,#79452,.F.); #103398=ORIENTED_EDGE('',*,*,#79453,.T.); #103399=ORIENTED_EDGE('',*,*,#79454,.T.); #103400=ORIENTED_EDGE('',*,*,#79450,.F.); #103401=ORIENTED_EDGE('',*,*,#79455,.F.); #103402=ORIENTED_EDGE('',*,*,#79456,.T.); #103403=ORIENTED_EDGE('',*,*,#79457,.T.); #103404=ORIENTED_EDGE('',*,*,#79453,.F.); #103405=ORIENTED_EDGE('',*,*,#79458,.F.); #103406=ORIENTED_EDGE('',*,*,#79459,.T.); #103407=ORIENTED_EDGE('',*,*,#79460,.T.); #103408=ORIENTED_EDGE('',*,*,#79456,.F.); #103409=ORIENTED_EDGE('',*,*,#79461,.F.); #103410=ORIENTED_EDGE('',*,*,#79462,.T.); #103411=ORIENTED_EDGE('',*,*,#79463,.T.); #103412=ORIENTED_EDGE('',*,*,#79459,.F.); #103413=ORIENTED_EDGE('',*,*,#79464,.F.); #103414=ORIENTED_EDGE('',*,*,#79465,.T.); #103415=ORIENTED_EDGE('',*,*,#79466,.T.); #103416=ORIENTED_EDGE('',*,*,#79462,.F.); #103417=ORIENTED_EDGE('',*,*,#79467,.F.); #103418=ORIENTED_EDGE('',*,*,#79468,.T.); #103419=ORIENTED_EDGE('',*,*,#79469,.T.); #103420=ORIENTED_EDGE('',*,*,#79465,.F.); #103421=ORIENTED_EDGE('',*,*,#79470,.F.); #103422=ORIENTED_EDGE('',*,*,#79471,.T.); #103423=ORIENTED_EDGE('',*,*,#79472,.T.); #103424=ORIENTED_EDGE('',*,*,#79468,.F.); #103425=ORIENTED_EDGE('',*,*,#79473,.F.); #103426=ORIENTED_EDGE('',*,*,#79474,.T.); #103427=ORIENTED_EDGE('',*,*,#79475,.T.); #103428=ORIENTED_EDGE('',*,*,#79471,.F.); #103429=ORIENTED_EDGE('',*,*,#79476,.F.); #103430=ORIENTED_EDGE('',*,*,#79477,.T.); #103431=ORIENTED_EDGE('',*,*,#79478,.T.); #103432=ORIENTED_EDGE('',*,*,#79474,.F.); #103433=ORIENTED_EDGE('',*,*,#79479,.F.); #103434=ORIENTED_EDGE('',*,*,#79480,.T.); #103435=ORIENTED_EDGE('',*,*,#79481,.T.); #103436=ORIENTED_EDGE('',*,*,#79477,.F.); #103437=ORIENTED_EDGE('',*,*,#79482,.F.); #103438=ORIENTED_EDGE('',*,*,#79483,.T.); #103439=ORIENTED_EDGE('',*,*,#79484,.T.); #103440=ORIENTED_EDGE('',*,*,#79480,.F.); #103441=ORIENTED_EDGE('',*,*,#79485,.F.); #103442=ORIENTED_EDGE('',*,*,#79486,.T.); #103443=ORIENTED_EDGE('',*,*,#79487,.T.); #103444=ORIENTED_EDGE('',*,*,#79483,.F.); #103445=ORIENTED_EDGE('',*,*,#79488,.F.); #103446=ORIENTED_EDGE('',*,*,#79489,.T.); #103447=ORIENTED_EDGE('',*,*,#79490,.T.); #103448=ORIENTED_EDGE('',*,*,#79486,.F.); #103449=ORIENTED_EDGE('',*,*,#79491,.F.); #103450=ORIENTED_EDGE('',*,*,#79492,.T.); #103451=ORIENTED_EDGE('',*,*,#79493,.T.); #103452=ORIENTED_EDGE('',*,*,#79489,.F.); #103453=ORIENTED_EDGE('',*,*,#79494,.F.); #103454=ORIENTED_EDGE('',*,*,#79495,.T.); #103455=ORIENTED_EDGE('',*,*,#79496,.T.); #103456=ORIENTED_EDGE('',*,*,#79492,.F.); #103457=ORIENTED_EDGE('',*,*,#79497,.F.); #103458=ORIENTED_EDGE('',*,*,#79498,.T.); #103459=ORIENTED_EDGE('',*,*,#79499,.T.); #103460=ORIENTED_EDGE('',*,*,#79495,.F.); #103461=ORIENTED_EDGE('',*,*,#79500,.F.); #103462=ORIENTED_EDGE('',*,*,#79501,.T.); #103463=ORIENTED_EDGE('',*,*,#79502,.T.); #103464=ORIENTED_EDGE('',*,*,#79498,.F.); #103465=ORIENTED_EDGE('',*,*,#79503,.F.); #103466=ORIENTED_EDGE('',*,*,#79504,.T.); #103467=ORIENTED_EDGE('',*,*,#79505,.T.); #103468=ORIENTED_EDGE('',*,*,#79501,.F.); #103469=ORIENTED_EDGE('',*,*,#79506,.F.); #103470=ORIENTED_EDGE('',*,*,#79507,.T.); #103471=ORIENTED_EDGE('',*,*,#79508,.T.); #103472=ORIENTED_EDGE('',*,*,#79504,.F.); #103473=ORIENTED_EDGE('',*,*,#79509,.F.); #103474=ORIENTED_EDGE('',*,*,#79510,.T.); #103475=ORIENTED_EDGE('',*,*,#79511,.T.); #103476=ORIENTED_EDGE('',*,*,#79507,.F.); #103477=ORIENTED_EDGE('',*,*,#79512,.F.); #103478=ORIENTED_EDGE('',*,*,#79145,.T.); #103479=ORIENTED_EDGE('',*,*,#79513,.T.); #103480=ORIENTED_EDGE('',*,*,#79510,.F.); #103481=ORIENTED_EDGE('',*,*,#79513,.F.); #103482=ORIENTED_EDGE('',*,*,#79144,.F.); #103483=ORIENTED_EDGE('',*,*,#79148,.F.); #103484=ORIENTED_EDGE('',*,*,#79151,.F.); #103485=ORIENTED_EDGE('',*,*,#79154,.F.); #103486=ORIENTED_EDGE('',*,*,#79157,.F.); #103487=ORIENTED_EDGE('',*,*,#79160,.F.); #103488=ORIENTED_EDGE('',*,*,#79163,.F.); #103489=ORIENTED_EDGE('',*,*,#79166,.F.); #103490=ORIENTED_EDGE('',*,*,#79169,.F.); #103491=ORIENTED_EDGE('',*,*,#79172,.F.); #103492=ORIENTED_EDGE('',*,*,#79175,.F.); #103493=ORIENTED_EDGE('',*,*,#79178,.F.); #103494=ORIENTED_EDGE('',*,*,#79181,.F.); #103495=ORIENTED_EDGE('',*,*,#79184,.F.); #103496=ORIENTED_EDGE('',*,*,#79187,.F.); #103497=ORIENTED_EDGE('',*,*,#79190,.F.); #103498=ORIENTED_EDGE('',*,*,#79193,.F.); #103499=ORIENTED_EDGE('',*,*,#79196,.F.); #103500=ORIENTED_EDGE('',*,*,#79199,.F.); #103501=ORIENTED_EDGE('',*,*,#79202,.F.); #103502=ORIENTED_EDGE('',*,*,#79205,.F.); #103503=ORIENTED_EDGE('',*,*,#79208,.F.); #103504=ORIENTED_EDGE('',*,*,#79211,.F.); #103505=ORIENTED_EDGE('',*,*,#79214,.F.); #103506=ORIENTED_EDGE('',*,*,#79217,.F.); #103507=ORIENTED_EDGE('',*,*,#79220,.F.); #103508=ORIENTED_EDGE('',*,*,#79223,.F.); #103509=ORIENTED_EDGE('',*,*,#79226,.F.); #103510=ORIENTED_EDGE('',*,*,#79229,.F.); #103511=ORIENTED_EDGE('',*,*,#79232,.F.); #103512=ORIENTED_EDGE('',*,*,#79235,.F.); #103513=ORIENTED_EDGE('',*,*,#79238,.F.); #103514=ORIENTED_EDGE('',*,*,#79241,.F.); #103515=ORIENTED_EDGE('',*,*,#79244,.F.); #103516=ORIENTED_EDGE('',*,*,#79247,.F.); #103517=ORIENTED_EDGE('',*,*,#79250,.F.); #103518=ORIENTED_EDGE('',*,*,#79253,.F.); #103519=ORIENTED_EDGE('',*,*,#79256,.F.); #103520=ORIENTED_EDGE('',*,*,#79259,.F.); #103521=ORIENTED_EDGE('',*,*,#79262,.F.); #103522=ORIENTED_EDGE('',*,*,#79265,.F.); #103523=ORIENTED_EDGE('',*,*,#79268,.F.); #103524=ORIENTED_EDGE('',*,*,#79271,.F.); #103525=ORIENTED_EDGE('',*,*,#79274,.F.); #103526=ORIENTED_EDGE('',*,*,#79277,.F.); #103527=ORIENTED_EDGE('',*,*,#79280,.F.); #103528=ORIENTED_EDGE('',*,*,#79283,.F.); #103529=ORIENTED_EDGE('',*,*,#79286,.F.); #103530=ORIENTED_EDGE('',*,*,#79289,.F.); #103531=ORIENTED_EDGE('',*,*,#79292,.F.); #103532=ORIENTED_EDGE('',*,*,#79295,.F.); #103533=ORIENTED_EDGE('',*,*,#79298,.F.); #103534=ORIENTED_EDGE('',*,*,#79301,.F.); #103535=ORIENTED_EDGE('',*,*,#79304,.F.); #103536=ORIENTED_EDGE('',*,*,#79307,.F.); #103537=ORIENTED_EDGE('',*,*,#79310,.F.); #103538=ORIENTED_EDGE('',*,*,#79313,.F.); #103539=ORIENTED_EDGE('',*,*,#79316,.F.); #103540=ORIENTED_EDGE('',*,*,#79319,.F.); #103541=ORIENTED_EDGE('',*,*,#79322,.F.); #103542=ORIENTED_EDGE('',*,*,#79325,.F.); #103543=ORIENTED_EDGE('',*,*,#79328,.F.); #103544=ORIENTED_EDGE('',*,*,#79331,.F.); #103545=ORIENTED_EDGE('',*,*,#79334,.F.); #103546=ORIENTED_EDGE('',*,*,#79337,.F.); #103547=ORIENTED_EDGE('',*,*,#79340,.F.); #103548=ORIENTED_EDGE('',*,*,#79343,.F.); #103549=ORIENTED_EDGE('',*,*,#79346,.F.); #103550=ORIENTED_EDGE('',*,*,#79349,.F.); #103551=ORIENTED_EDGE('',*,*,#79352,.F.); #103552=ORIENTED_EDGE('',*,*,#79355,.F.); #103553=ORIENTED_EDGE('',*,*,#79358,.F.); #103554=ORIENTED_EDGE('',*,*,#79361,.F.); #103555=ORIENTED_EDGE('',*,*,#79364,.F.); #103556=ORIENTED_EDGE('',*,*,#79367,.F.); #103557=ORIENTED_EDGE('',*,*,#79370,.F.); #103558=ORIENTED_EDGE('',*,*,#79373,.F.); #103559=ORIENTED_EDGE('',*,*,#79376,.F.); #103560=ORIENTED_EDGE('',*,*,#79379,.F.); #103561=ORIENTED_EDGE('',*,*,#79382,.F.); #103562=ORIENTED_EDGE('',*,*,#79385,.F.); #103563=ORIENTED_EDGE('',*,*,#79388,.F.); #103564=ORIENTED_EDGE('',*,*,#79391,.F.); #103565=ORIENTED_EDGE('',*,*,#79394,.F.); #103566=ORIENTED_EDGE('',*,*,#79397,.F.); #103567=ORIENTED_EDGE('',*,*,#79400,.F.); #103568=ORIENTED_EDGE('',*,*,#79403,.F.); #103569=ORIENTED_EDGE('',*,*,#79406,.F.); #103570=ORIENTED_EDGE('',*,*,#79409,.F.); #103571=ORIENTED_EDGE('',*,*,#79412,.F.); #103572=ORIENTED_EDGE('',*,*,#79415,.F.); #103573=ORIENTED_EDGE('',*,*,#79418,.F.); #103574=ORIENTED_EDGE('',*,*,#79421,.F.); #103575=ORIENTED_EDGE('',*,*,#79424,.F.); #103576=ORIENTED_EDGE('',*,*,#79427,.F.); #103577=ORIENTED_EDGE('',*,*,#79430,.F.); #103578=ORIENTED_EDGE('',*,*,#79433,.F.); #103579=ORIENTED_EDGE('',*,*,#79436,.F.); #103580=ORIENTED_EDGE('',*,*,#79439,.F.); #103581=ORIENTED_EDGE('',*,*,#79442,.F.); #103582=ORIENTED_EDGE('',*,*,#79445,.F.); #103583=ORIENTED_EDGE('',*,*,#79448,.F.); #103584=ORIENTED_EDGE('',*,*,#79451,.F.); #103585=ORIENTED_EDGE('',*,*,#79454,.F.); #103586=ORIENTED_EDGE('',*,*,#79457,.F.); #103587=ORIENTED_EDGE('',*,*,#79460,.F.); #103588=ORIENTED_EDGE('',*,*,#79463,.F.); #103589=ORIENTED_EDGE('',*,*,#79466,.F.); #103590=ORIENTED_EDGE('',*,*,#79469,.F.); #103591=ORIENTED_EDGE('',*,*,#79472,.F.); #103592=ORIENTED_EDGE('',*,*,#79475,.F.); #103593=ORIENTED_EDGE('',*,*,#79478,.F.); #103594=ORIENTED_EDGE('',*,*,#79481,.F.); #103595=ORIENTED_EDGE('',*,*,#79484,.F.); #103596=ORIENTED_EDGE('',*,*,#79487,.F.); #103597=ORIENTED_EDGE('',*,*,#79490,.F.); #103598=ORIENTED_EDGE('',*,*,#79493,.F.); #103599=ORIENTED_EDGE('',*,*,#79496,.F.); #103600=ORIENTED_EDGE('',*,*,#79499,.F.); #103601=ORIENTED_EDGE('',*,*,#79502,.F.); #103602=ORIENTED_EDGE('',*,*,#79505,.F.); #103603=ORIENTED_EDGE('',*,*,#79508,.F.); #103604=ORIENTED_EDGE('',*,*,#79511,.F.); #103605=ORIENTED_EDGE('',*,*,#79514,.F.); #103606=ORIENTED_EDGE('',*,*,#79515,.T.); #103607=ORIENTED_EDGE('',*,*,#79516,.T.); #103608=ORIENTED_EDGE('',*,*,#79517,.F.); #103609=ORIENTED_EDGE('',*,*,#79518,.F.); #103610=ORIENTED_EDGE('',*,*,#79519,.T.); #103611=ORIENTED_EDGE('',*,*,#79520,.T.); #103612=ORIENTED_EDGE('',*,*,#79515,.F.); #103613=ORIENTED_EDGE('',*,*,#79521,.F.); #103614=ORIENTED_EDGE('',*,*,#79522,.T.); #103615=ORIENTED_EDGE('',*,*,#79523,.T.); #103616=ORIENTED_EDGE('',*,*,#79519,.F.); #103617=ORIENTED_EDGE('',*,*,#79524,.F.); #103618=ORIENTED_EDGE('',*,*,#79525,.T.); #103619=ORIENTED_EDGE('',*,*,#79526,.T.); #103620=ORIENTED_EDGE('',*,*,#79522,.F.); #103621=ORIENTED_EDGE('',*,*,#79527,.F.); #103622=ORIENTED_EDGE('',*,*,#79528,.T.); #103623=ORIENTED_EDGE('',*,*,#79529,.T.); #103624=ORIENTED_EDGE('',*,*,#79525,.F.); #103625=ORIENTED_EDGE('',*,*,#79530,.F.); #103626=ORIENTED_EDGE('',*,*,#79531,.T.); #103627=ORIENTED_EDGE('',*,*,#79532,.T.); #103628=ORIENTED_EDGE('',*,*,#79528,.F.); #103629=ORIENTED_EDGE('',*,*,#79533,.F.); #103630=ORIENTED_EDGE('',*,*,#79534,.T.); #103631=ORIENTED_EDGE('',*,*,#79535,.T.); #103632=ORIENTED_EDGE('',*,*,#79531,.F.); #103633=ORIENTED_EDGE('',*,*,#79536,.F.); #103634=ORIENTED_EDGE('',*,*,#79537,.T.); #103635=ORIENTED_EDGE('',*,*,#79538,.T.); #103636=ORIENTED_EDGE('',*,*,#79534,.F.); #103637=ORIENTED_EDGE('',*,*,#79539,.F.); #103638=ORIENTED_EDGE('',*,*,#79540,.T.); #103639=ORIENTED_EDGE('',*,*,#79541,.T.); #103640=ORIENTED_EDGE('',*,*,#79537,.F.); #103641=ORIENTED_EDGE('',*,*,#79542,.F.); #103642=ORIENTED_EDGE('',*,*,#79543,.T.); #103643=ORIENTED_EDGE('',*,*,#79544,.T.); #103644=ORIENTED_EDGE('',*,*,#79540,.F.); #103645=ORIENTED_EDGE('',*,*,#79545,.F.); #103646=ORIENTED_EDGE('',*,*,#79546,.T.); #103647=ORIENTED_EDGE('',*,*,#79547,.T.); #103648=ORIENTED_EDGE('',*,*,#79543,.F.); #103649=ORIENTED_EDGE('',*,*,#79548,.F.); #103650=ORIENTED_EDGE('',*,*,#79549,.T.); #103651=ORIENTED_EDGE('',*,*,#79550,.T.); #103652=ORIENTED_EDGE('',*,*,#79546,.F.); #103653=ORIENTED_EDGE('',*,*,#79551,.F.); #103654=ORIENTED_EDGE('',*,*,#79552,.T.); #103655=ORIENTED_EDGE('',*,*,#79553,.T.); #103656=ORIENTED_EDGE('',*,*,#79549,.F.); #103657=ORIENTED_EDGE('',*,*,#79554,.F.); #103658=ORIENTED_EDGE('',*,*,#79555,.T.); #103659=ORIENTED_EDGE('',*,*,#79556,.T.); #103660=ORIENTED_EDGE('',*,*,#79552,.F.); #103661=ORIENTED_EDGE('',*,*,#79557,.F.); #103662=ORIENTED_EDGE('',*,*,#79558,.T.); #103663=ORIENTED_EDGE('',*,*,#79559,.T.); #103664=ORIENTED_EDGE('',*,*,#79555,.F.); #103665=ORIENTED_EDGE('',*,*,#79560,.F.); #103666=ORIENTED_EDGE('',*,*,#79561,.T.); #103667=ORIENTED_EDGE('',*,*,#79562,.T.); #103668=ORIENTED_EDGE('',*,*,#79558,.F.); #103669=ORIENTED_EDGE('',*,*,#79563,.F.); #103670=ORIENTED_EDGE('',*,*,#79564,.T.); #103671=ORIENTED_EDGE('',*,*,#79565,.T.); #103672=ORIENTED_EDGE('',*,*,#79561,.F.); #103673=ORIENTED_EDGE('',*,*,#79566,.F.); #103674=ORIENTED_EDGE('',*,*,#79567,.T.); #103675=ORIENTED_EDGE('',*,*,#79568,.T.); #103676=ORIENTED_EDGE('',*,*,#79564,.F.); #103677=ORIENTED_EDGE('',*,*,#79569,.F.); #103678=ORIENTED_EDGE('',*,*,#79570,.T.); #103679=ORIENTED_EDGE('',*,*,#79571,.T.); #103680=ORIENTED_EDGE('',*,*,#79567,.F.); #103681=ORIENTED_EDGE('',*,*,#79572,.F.); #103682=ORIENTED_EDGE('',*,*,#79573,.T.); #103683=ORIENTED_EDGE('',*,*,#79574,.T.); #103684=ORIENTED_EDGE('',*,*,#79570,.F.); #103685=ORIENTED_EDGE('',*,*,#79575,.F.); #103686=ORIENTED_EDGE('',*,*,#79576,.T.); #103687=ORIENTED_EDGE('',*,*,#79577,.T.); #103688=ORIENTED_EDGE('',*,*,#79573,.F.); #103689=ORIENTED_EDGE('',*,*,#79578,.F.); #103690=ORIENTED_EDGE('',*,*,#79579,.T.); #103691=ORIENTED_EDGE('',*,*,#79580,.T.); #103692=ORIENTED_EDGE('',*,*,#79576,.F.); #103693=ORIENTED_EDGE('',*,*,#79581,.F.); #103694=ORIENTED_EDGE('',*,*,#79582,.T.); #103695=ORIENTED_EDGE('',*,*,#79583,.T.); #103696=ORIENTED_EDGE('',*,*,#79579,.F.); #103697=ORIENTED_EDGE('',*,*,#79584,.F.); #103698=ORIENTED_EDGE('',*,*,#79585,.T.); #103699=ORIENTED_EDGE('',*,*,#79586,.T.); #103700=ORIENTED_EDGE('',*,*,#79582,.F.); #103701=ORIENTED_EDGE('',*,*,#79587,.F.); #103702=ORIENTED_EDGE('',*,*,#79588,.T.); #103703=ORIENTED_EDGE('',*,*,#79589,.T.); #103704=ORIENTED_EDGE('',*,*,#79585,.F.); #103705=ORIENTED_EDGE('',*,*,#79590,.F.); #103706=ORIENTED_EDGE('',*,*,#79591,.T.); #103707=ORIENTED_EDGE('',*,*,#79592,.T.); #103708=ORIENTED_EDGE('',*,*,#79588,.F.); #103709=ORIENTED_EDGE('',*,*,#79593,.F.); #103710=ORIENTED_EDGE('',*,*,#79594,.T.); #103711=ORIENTED_EDGE('',*,*,#79595,.T.); #103712=ORIENTED_EDGE('',*,*,#79591,.F.); #103713=ORIENTED_EDGE('',*,*,#79596,.F.); #103714=ORIENTED_EDGE('',*,*,#79597,.T.); #103715=ORIENTED_EDGE('',*,*,#79598,.T.); #103716=ORIENTED_EDGE('',*,*,#79594,.F.); #103717=ORIENTED_EDGE('',*,*,#79599,.F.); #103718=ORIENTED_EDGE('',*,*,#79600,.T.); #103719=ORIENTED_EDGE('',*,*,#79601,.T.); #103720=ORIENTED_EDGE('',*,*,#79597,.F.); #103721=ORIENTED_EDGE('',*,*,#79602,.F.); #103722=ORIENTED_EDGE('',*,*,#79603,.T.); #103723=ORIENTED_EDGE('',*,*,#79604,.T.); #103724=ORIENTED_EDGE('',*,*,#79600,.F.); #103725=ORIENTED_EDGE('',*,*,#79605,.F.); #103726=ORIENTED_EDGE('',*,*,#79606,.T.); #103727=ORIENTED_EDGE('',*,*,#79607,.T.); #103728=ORIENTED_EDGE('',*,*,#79603,.F.); #103729=ORIENTED_EDGE('',*,*,#79608,.F.); #103730=ORIENTED_EDGE('',*,*,#79609,.T.); #103731=ORIENTED_EDGE('',*,*,#79610,.T.); #103732=ORIENTED_EDGE('',*,*,#79606,.F.); #103733=ORIENTED_EDGE('',*,*,#79611,.F.); #103734=ORIENTED_EDGE('',*,*,#79612,.T.); #103735=ORIENTED_EDGE('',*,*,#79613,.T.); #103736=ORIENTED_EDGE('',*,*,#79609,.F.); #103737=ORIENTED_EDGE('',*,*,#79614,.F.); #103738=ORIENTED_EDGE('',*,*,#79615,.T.); #103739=ORIENTED_EDGE('',*,*,#79616,.T.); #103740=ORIENTED_EDGE('',*,*,#79612,.F.); #103741=ORIENTED_EDGE('',*,*,#79617,.F.); #103742=ORIENTED_EDGE('',*,*,#79618,.T.); #103743=ORIENTED_EDGE('',*,*,#79619,.T.); #103744=ORIENTED_EDGE('',*,*,#79615,.F.); #103745=ORIENTED_EDGE('',*,*,#79620,.F.); #103746=ORIENTED_EDGE('',*,*,#79621,.T.); #103747=ORIENTED_EDGE('',*,*,#79622,.T.); #103748=ORIENTED_EDGE('',*,*,#79618,.F.); #103749=ORIENTED_EDGE('',*,*,#79623,.F.); #103750=ORIENTED_EDGE('',*,*,#79624,.T.); #103751=ORIENTED_EDGE('',*,*,#79625,.T.); #103752=ORIENTED_EDGE('',*,*,#79621,.F.); #103753=ORIENTED_EDGE('',*,*,#79626,.F.); #103754=ORIENTED_EDGE('',*,*,#79627,.T.); #103755=ORIENTED_EDGE('',*,*,#79628,.T.); #103756=ORIENTED_EDGE('',*,*,#79624,.F.); #103757=ORIENTED_EDGE('',*,*,#79629,.F.); #103758=ORIENTED_EDGE('',*,*,#79630,.T.); #103759=ORIENTED_EDGE('',*,*,#79631,.T.); #103760=ORIENTED_EDGE('',*,*,#79627,.F.); #103761=ORIENTED_EDGE('',*,*,#79632,.F.); #103762=ORIENTED_EDGE('',*,*,#79633,.T.); #103763=ORIENTED_EDGE('',*,*,#79634,.T.); #103764=ORIENTED_EDGE('',*,*,#79630,.F.); #103765=ORIENTED_EDGE('',*,*,#79635,.F.); #103766=ORIENTED_EDGE('',*,*,#79636,.T.); #103767=ORIENTED_EDGE('',*,*,#79637,.T.); #103768=ORIENTED_EDGE('',*,*,#79633,.F.); #103769=ORIENTED_EDGE('',*,*,#79638,.F.); #103770=ORIENTED_EDGE('',*,*,#79639,.T.); #103771=ORIENTED_EDGE('',*,*,#79640,.T.); #103772=ORIENTED_EDGE('',*,*,#79636,.F.); #103773=ORIENTED_EDGE('',*,*,#79641,.F.); #103774=ORIENTED_EDGE('',*,*,#79642,.T.); #103775=ORIENTED_EDGE('',*,*,#79643,.T.); #103776=ORIENTED_EDGE('',*,*,#79639,.F.); #103777=ORIENTED_EDGE('',*,*,#79644,.F.); #103778=ORIENTED_EDGE('',*,*,#79645,.T.); #103779=ORIENTED_EDGE('',*,*,#79646,.T.); #103780=ORIENTED_EDGE('',*,*,#79642,.F.); #103781=ORIENTED_EDGE('',*,*,#79647,.F.); #103782=ORIENTED_EDGE('',*,*,#79648,.T.); #103783=ORIENTED_EDGE('',*,*,#79649,.T.); #103784=ORIENTED_EDGE('',*,*,#79645,.F.); #103785=ORIENTED_EDGE('',*,*,#79650,.F.); #103786=ORIENTED_EDGE('',*,*,#79651,.T.); #103787=ORIENTED_EDGE('',*,*,#79652,.T.); #103788=ORIENTED_EDGE('',*,*,#79648,.F.); #103789=ORIENTED_EDGE('',*,*,#79653,.F.); #103790=ORIENTED_EDGE('',*,*,#79654,.T.); #103791=ORIENTED_EDGE('',*,*,#79655,.T.); #103792=ORIENTED_EDGE('',*,*,#79651,.F.); #103793=ORIENTED_EDGE('',*,*,#79656,.F.); #103794=ORIENTED_EDGE('',*,*,#79657,.T.); #103795=ORIENTED_EDGE('',*,*,#79658,.T.); #103796=ORIENTED_EDGE('',*,*,#79654,.F.); #103797=ORIENTED_EDGE('',*,*,#79659,.F.); #103798=ORIENTED_EDGE('',*,*,#79660,.T.); #103799=ORIENTED_EDGE('',*,*,#79661,.T.); #103800=ORIENTED_EDGE('',*,*,#79657,.F.); #103801=ORIENTED_EDGE('',*,*,#79662,.F.); #103802=ORIENTED_EDGE('',*,*,#79663,.T.); #103803=ORIENTED_EDGE('',*,*,#79664,.T.); #103804=ORIENTED_EDGE('',*,*,#79660,.F.); #103805=ORIENTED_EDGE('',*,*,#79665,.F.); #103806=ORIENTED_EDGE('',*,*,#79666,.T.); #103807=ORIENTED_EDGE('',*,*,#79667,.T.); #103808=ORIENTED_EDGE('',*,*,#79663,.F.); #103809=ORIENTED_EDGE('',*,*,#79668,.F.); #103810=ORIENTED_EDGE('',*,*,#79669,.T.); #103811=ORIENTED_EDGE('',*,*,#79670,.T.); #103812=ORIENTED_EDGE('',*,*,#79666,.F.); #103813=ORIENTED_EDGE('',*,*,#79671,.F.); #103814=ORIENTED_EDGE('',*,*,#79672,.T.); #103815=ORIENTED_EDGE('',*,*,#79673,.T.); #103816=ORIENTED_EDGE('',*,*,#79669,.F.); #103817=ORIENTED_EDGE('',*,*,#79674,.F.); #103818=ORIENTED_EDGE('',*,*,#79675,.T.); #103819=ORIENTED_EDGE('',*,*,#79676,.T.); #103820=ORIENTED_EDGE('',*,*,#79672,.F.); #103821=ORIENTED_EDGE('',*,*,#79677,.F.); #103822=ORIENTED_EDGE('',*,*,#79678,.T.); #103823=ORIENTED_EDGE('',*,*,#79679,.T.); #103824=ORIENTED_EDGE('',*,*,#79675,.F.); #103825=ORIENTED_EDGE('',*,*,#79680,.F.); #103826=ORIENTED_EDGE('',*,*,#79681,.T.); #103827=ORIENTED_EDGE('',*,*,#79682,.T.); #103828=ORIENTED_EDGE('',*,*,#79678,.F.); #103829=ORIENTED_EDGE('',*,*,#79683,.F.); #103830=ORIENTED_EDGE('',*,*,#79684,.T.); #103831=ORIENTED_EDGE('',*,*,#79685,.T.); #103832=ORIENTED_EDGE('',*,*,#79681,.F.); #103833=ORIENTED_EDGE('',*,*,#79686,.F.); #103834=ORIENTED_EDGE('',*,*,#79687,.T.); #103835=ORIENTED_EDGE('',*,*,#79688,.T.); #103836=ORIENTED_EDGE('',*,*,#79684,.F.); #103837=ORIENTED_EDGE('',*,*,#79689,.F.); #103838=ORIENTED_EDGE('',*,*,#79690,.T.); #103839=ORIENTED_EDGE('',*,*,#79691,.T.); #103840=ORIENTED_EDGE('',*,*,#79687,.F.); #103841=ORIENTED_EDGE('',*,*,#79692,.F.); #103842=ORIENTED_EDGE('',*,*,#79693,.T.); #103843=ORIENTED_EDGE('',*,*,#79694,.T.); #103844=ORIENTED_EDGE('',*,*,#79690,.F.); #103845=ORIENTED_EDGE('',*,*,#79695,.F.); #103846=ORIENTED_EDGE('',*,*,#79696,.T.); #103847=ORIENTED_EDGE('',*,*,#79697,.T.); #103848=ORIENTED_EDGE('',*,*,#79693,.F.); #103849=ORIENTED_EDGE('',*,*,#79698,.F.); #103850=ORIENTED_EDGE('',*,*,#79699,.T.); #103851=ORIENTED_EDGE('',*,*,#79700,.T.); #103852=ORIENTED_EDGE('',*,*,#79696,.F.); #103853=ORIENTED_EDGE('',*,*,#79701,.F.); #103854=ORIENTED_EDGE('',*,*,#79517,.T.); #103855=ORIENTED_EDGE('',*,*,#79702,.T.); #103856=ORIENTED_EDGE('',*,*,#79699,.F.); #103857=ORIENTED_EDGE('',*,*,#79702,.F.); #103858=ORIENTED_EDGE('',*,*,#79516,.F.); #103859=ORIENTED_EDGE('',*,*,#79520,.F.); #103860=ORIENTED_EDGE('',*,*,#79523,.F.); #103861=ORIENTED_EDGE('',*,*,#79526,.F.); #103862=ORIENTED_EDGE('',*,*,#79529,.F.); #103863=ORIENTED_EDGE('',*,*,#79532,.F.); #103864=ORIENTED_EDGE('',*,*,#79535,.F.); #103865=ORIENTED_EDGE('',*,*,#79538,.F.); #103866=ORIENTED_EDGE('',*,*,#79541,.F.); #103867=ORIENTED_EDGE('',*,*,#79544,.F.); #103868=ORIENTED_EDGE('',*,*,#79547,.F.); #103869=ORIENTED_EDGE('',*,*,#79550,.F.); #103870=ORIENTED_EDGE('',*,*,#79553,.F.); #103871=ORIENTED_EDGE('',*,*,#79556,.F.); #103872=ORIENTED_EDGE('',*,*,#79559,.F.); #103873=ORIENTED_EDGE('',*,*,#79562,.F.); #103874=ORIENTED_EDGE('',*,*,#79565,.F.); #103875=ORIENTED_EDGE('',*,*,#79568,.F.); #103876=ORIENTED_EDGE('',*,*,#79571,.F.); #103877=ORIENTED_EDGE('',*,*,#79574,.F.); #103878=ORIENTED_EDGE('',*,*,#79577,.F.); #103879=ORIENTED_EDGE('',*,*,#79580,.F.); #103880=ORIENTED_EDGE('',*,*,#79583,.F.); #103881=ORIENTED_EDGE('',*,*,#79586,.F.); #103882=ORIENTED_EDGE('',*,*,#79589,.F.); #103883=ORIENTED_EDGE('',*,*,#79592,.F.); #103884=ORIENTED_EDGE('',*,*,#79595,.F.); #103885=ORIENTED_EDGE('',*,*,#79598,.F.); #103886=ORIENTED_EDGE('',*,*,#79601,.F.); #103887=ORIENTED_EDGE('',*,*,#79604,.F.); #103888=ORIENTED_EDGE('',*,*,#79607,.F.); #103889=ORIENTED_EDGE('',*,*,#79610,.F.); #103890=ORIENTED_EDGE('',*,*,#79613,.F.); #103891=ORIENTED_EDGE('',*,*,#79616,.F.); #103892=ORIENTED_EDGE('',*,*,#79619,.F.); #103893=ORIENTED_EDGE('',*,*,#79622,.F.); #103894=ORIENTED_EDGE('',*,*,#79625,.F.); #103895=ORIENTED_EDGE('',*,*,#79628,.F.); #103896=ORIENTED_EDGE('',*,*,#79631,.F.); #103897=ORIENTED_EDGE('',*,*,#79634,.F.); #103898=ORIENTED_EDGE('',*,*,#79637,.F.); #103899=ORIENTED_EDGE('',*,*,#79640,.F.); #103900=ORIENTED_EDGE('',*,*,#79643,.F.); #103901=ORIENTED_EDGE('',*,*,#79646,.F.); #103902=ORIENTED_EDGE('',*,*,#79649,.F.); #103903=ORIENTED_EDGE('',*,*,#79652,.F.); #103904=ORIENTED_EDGE('',*,*,#79655,.F.); #103905=ORIENTED_EDGE('',*,*,#79658,.F.); #103906=ORIENTED_EDGE('',*,*,#79661,.F.); #103907=ORIENTED_EDGE('',*,*,#79664,.F.); #103908=ORIENTED_EDGE('',*,*,#79667,.F.); #103909=ORIENTED_EDGE('',*,*,#79670,.F.); #103910=ORIENTED_EDGE('',*,*,#79673,.F.); #103911=ORIENTED_EDGE('',*,*,#79676,.F.); #103912=ORIENTED_EDGE('',*,*,#79679,.F.); #103913=ORIENTED_EDGE('',*,*,#79682,.F.); #103914=ORIENTED_EDGE('',*,*,#79685,.F.); #103915=ORIENTED_EDGE('',*,*,#79688,.F.); #103916=ORIENTED_EDGE('',*,*,#79691,.F.); #103917=ORIENTED_EDGE('',*,*,#79694,.F.); #103918=ORIENTED_EDGE('',*,*,#79697,.F.); #103919=ORIENTED_EDGE('',*,*,#79700,.F.); #103920=ORIENTED_EDGE('',*,*,#79703,.F.); #103921=ORIENTED_EDGE('',*,*,#79704,.T.); #103922=ORIENTED_EDGE('',*,*,#79705,.T.); #103923=ORIENTED_EDGE('',*,*,#79706,.F.); #103924=ORIENTED_EDGE('',*,*,#79707,.F.); #103925=ORIENTED_EDGE('',*,*,#79708,.T.); #103926=ORIENTED_EDGE('',*,*,#79709,.T.); #103927=ORIENTED_EDGE('',*,*,#79704,.F.); #103928=ORIENTED_EDGE('',*,*,#79710,.F.); #103929=ORIENTED_EDGE('',*,*,#79711,.T.); #103930=ORIENTED_EDGE('',*,*,#79712,.T.); #103931=ORIENTED_EDGE('',*,*,#79708,.F.); #103932=ORIENTED_EDGE('',*,*,#79713,.F.); #103933=ORIENTED_EDGE('',*,*,#79714,.T.); #103934=ORIENTED_EDGE('',*,*,#79715,.T.); #103935=ORIENTED_EDGE('',*,*,#79711,.F.); #103936=ORIENTED_EDGE('',*,*,#79716,.F.); #103937=ORIENTED_EDGE('',*,*,#79717,.T.); #103938=ORIENTED_EDGE('',*,*,#79718,.T.); #103939=ORIENTED_EDGE('',*,*,#79714,.F.); #103940=ORIENTED_EDGE('',*,*,#79719,.F.); #103941=ORIENTED_EDGE('',*,*,#79720,.T.); #103942=ORIENTED_EDGE('',*,*,#79721,.T.); #103943=ORIENTED_EDGE('',*,*,#79717,.F.); #103944=ORIENTED_EDGE('',*,*,#79722,.F.); #103945=ORIENTED_EDGE('',*,*,#79723,.T.); #103946=ORIENTED_EDGE('',*,*,#79724,.T.); #103947=ORIENTED_EDGE('',*,*,#79720,.F.); #103948=ORIENTED_EDGE('',*,*,#79725,.F.); #103949=ORIENTED_EDGE('',*,*,#79726,.T.); #103950=ORIENTED_EDGE('',*,*,#79727,.T.); #103951=ORIENTED_EDGE('',*,*,#79723,.F.); #103952=ORIENTED_EDGE('',*,*,#79728,.F.); #103953=ORIENTED_EDGE('',*,*,#79729,.T.); #103954=ORIENTED_EDGE('',*,*,#79730,.T.); #103955=ORIENTED_EDGE('',*,*,#79726,.F.); #103956=ORIENTED_EDGE('',*,*,#79731,.F.); #103957=ORIENTED_EDGE('',*,*,#79732,.T.); #103958=ORIENTED_EDGE('',*,*,#79733,.T.); #103959=ORIENTED_EDGE('',*,*,#79729,.F.); #103960=ORIENTED_EDGE('',*,*,#79734,.F.); #103961=ORIENTED_EDGE('',*,*,#79735,.T.); #103962=ORIENTED_EDGE('',*,*,#79736,.T.); #103963=ORIENTED_EDGE('',*,*,#79732,.F.); #103964=ORIENTED_EDGE('',*,*,#79737,.F.); #103965=ORIENTED_EDGE('',*,*,#79738,.T.); #103966=ORIENTED_EDGE('',*,*,#79739,.T.); #103967=ORIENTED_EDGE('',*,*,#79735,.F.); #103968=ORIENTED_EDGE('',*,*,#79740,.F.); #103969=ORIENTED_EDGE('',*,*,#79741,.T.); #103970=ORIENTED_EDGE('',*,*,#79742,.T.); #103971=ORIENTED_EDGE('',*,*,#79738,.F.); #103972=ORIENTED_EDGE('',*,*,#79743,.F.); #103973=ORIENTED_EDGE('',*,*,#79744,.T.); #103974=ORIENTED_EDGE('',*,*,#79745,.T.); #103975=ORIENTED_EDGE('',*,*,#79741,.F.); #103976=ORIENTED_EDGE('',*,*,#79746,.F.); #103977=ORIENTED_EDGE('',*,*,#79747,.T.); #103978=ORIENTED_EDGE('',*,*,#79748,.T.); #103979=ORIENTED_EDGE('',*,*,#79744,.F.); #103980=ORIENTED_EDGE('',*,*,#79749,.F.); #103981=ORIENTED_EDGE('',*,*,#79750,.T.); #103982=ORIENTED_EDGE('',*,*,#79751,.T.); #103983=ORIENTED_EDGE('',*,*,#79747,.F.); #103984=ORIENTED_EDGE('',*,*,#79752,.F.); #103985=ORIENTED_EDGE('',*,*,#79753,.T.); #103986=ORIENTED_EDGE('',*,*,#79754,.T.); #103987=ORIENTED_EDGE('',*,*,#79750,.F.); #103988=ORIENTED_EDGE('',*,*,#79755,.F.); #103989=ORIENTED_EDGE('',*,*,#79756,.T.); #103990=ORIENTED_EDGE('',*,*,#79757,.T.); #103991=ORIENTED_EDGE('',*,*,#79753,.F.); #103992=ORIENTED_EDGE('',*,*,#79758,.F.); #103993=ORIENTED_EDGE('',*,*,#79759,.T.); #103994=ORIENTED_EDGE('',*,*,#79760,.T.); #103995=ORIENTED_EDGE('',*,*,#79756,.F.); #103996=ORIENTED_EDGE('',*,*,#79761,.F.); #103997=ORIENTED_EDGE('',*,*,#79762,.T.); #103998=ORIENTED_EDGE('',*,*,#79763,.T.); #103999=ORIENTED_EDGE('',*,*,#79759,.F.); #104000=ORIENTED_EDGE('',*,*,#79764,.F.); #104001=ORIENTED_EDGE('',*,*,#79765,.T.); #104002=ORIENTED_EDGE('',*,*,#79766,.T.); #104003=ORIENTED_EDGE('',*,*,#79762,.F.); #104004=ORIENTED_EDGE('',*,*,#79767,.F.); #104005=ORIENTED_EDGE('',*,*,#79768,.T.); #104006=ORIENTED_EDGE('',*,*,#79769,.T.); #104007=ORIENTED_EDGE('',*,*,#79765,.F.); #104008=ORIENTED_EDGE('',*,*,#79770,.F.); #104009=ORIENTED_EDGE('',*,*,#79771,.T.); #104010=ORIENTED_EDGE('',*,*,#79772,.T.); #104011=ORIENTED_EDGE('',*,*,#79768,.F.); #104012=ORIENTED_EDGE('',*,*,#79773,.F.); #104013=ORIENTED_EDGE('',*,*,#79774,.T.); #104014=ORIENTED_EDGE('',*,*,#79775,.T.); #104015=ORIENTED_EDGE('',*,*,#79771,.F.); #104016=ORIENTED_EDGE('',*,*,#79776,.F.); #104017=ORIENTED_EDGE('',*,*,#79777,.T.); #104018=ORIENTED_EDGE('',*,*,#79778,.T.); #104019=ORIENTED_EDGE('',*,*,#79774,.F.); #104020=ORIENTED_EDGE('',*,*,#79779,.F.); #104021=ORIENTED_EDGE('',*,*,#79780,.T.); #104022=ORIENTED_EDGE('',*,*,#79781,.T.); #104023=ORIENTED_EDGE('',*,*,#79777,.F.); #104024=ORIENTED_EDGE('',*,*,#79782,.F.); #104025=ORIENTED_EDGE('',*,*,#79783,.T.); #104026=ORIENTED_EDGE('',*,*,#79784,.T.); #104027=ORIENTED_EDGE('',*,*,#79780,.F.); #104028=ORIENTED_EDGE('',*,*,#79785,.F.); #104029=ORIENTED_EDGE('',*,*,#79786,.T.); #104030=ORIENTED_EDGE('',*,*,#79787,.T.); #104031=ORIENTED_EDGE('',*,*,#79783,.F.); #104032=ORIENTED_EDGE('',*,*,#79788,.F.); #104033=ORIENTED_EDGE('',*,*,#79789,.T.); #104034=ORIENTED_EDGE('',*,*,#79790,.T.); #104035=ORIENTED_EDGE('',*,*,#79786,.F.); #104036=ORIENTED_EDGE('',*,*,#79791,.F.); #104037=ORIENTED_EDGE('',*,*,#79792,.T.); #104038=ORIENTED_EDGE('',*,*,#79793,.T.); #104039=ORIENTED_EDGE('',*,*,#79789,.F.); #104040=ORIENTED_EDGE('',*,*,#79794,.F.); #104041=ORIENTED_EDGE('',*,*,#79795,.T.); #104042=ORIENTED_EDGE('',*,*,#79796,.T.); #104043=ORIENTED_EDGE('',*,*,#79792,.F.); #104044=ORIENTED_EDGE('',*,*,#79797,.F.); #104045=ORIENTED_EDGE('',*,*,#79798,.T.); #104046=ORIENTED_EDGE('',*,*,#79799,.T.); #104047=ORIENTED_EDGE('',*,*,#79795,.F.); #104048=ORIENTED_EDGE('',*,*,#79800,.F.); #104049=ORIENTED_EDGE('',*,*,#79801,.T.); #104050=ORIENTED_EDGE('',*,*,#79802,.T.); #104051=ORIENTED_EDGE('',*,*,#79798,.F.); #104052=ORIENTED_EDGE('',*,*,#79803,.F.); #104053=ORIENTED_EDGE('',*,*,#79804,.T.); #104054=ORIENTED_EDGE('',*,*,#79805,.T.); #104055=ORIENTED_EDGE('',*,*,#79801,.F.); #104056=ORIENTED_EDGE('',*,*,#79806,.F.); #104057=ORIENTED_EDGE('',*,*,#79807,.T.); #104058=ORIENTED_EDGE('',*,*,#79808,.T.); #104059=ORIENTED_EDGE('',*,*,#79804,.F.); #104060=ORIENTED_EDGE('',*,*,#79809,.F.); #104061=ORIENTED_EDGE('',*,*,#79810,.T.); #104062=ORIENTED_EDGE('',*,*,#79811,.T.); #104063=ORIENTED_EDGE('',*,*,#79807,.F.); #104064=ORIENTED_EDGE('',*,*,#79812,.F.); #104065=ORIENTED_EDGE('',*,*,#79813,.T.); #104066=ORIENTED_EDGE('',*,*,#79814,.T.); #104067=ORIENTED_EDGE('',*,*,#79810,.F.); #104068=ORIENTED_EDGE('',*,*,#79815,.F.); #104069=ORIENTED_EDGE('',*,*,#79816,.T.); #104070=ORIENTED_EDGE('',*,*,#79817,.T.); #104071=ORIENTED_EDGE('',*,*,#79813,.F.); #104072=ORIENTED_EDGE('',*,*,#79818,.F.); #104073=ORIENTED_EDGE('',*,*,#79819,.T.); #104074=ORIENTED_EDGE('',*,*,#79820,.T.); #104075=ORIENTED_EDGE('',*,*,#79816,.F.); #104076=ORIENTED_EDGE('',*,*,#79821,.F.); #104077=ORIENTED_EDGE('',*,*,#79822,.T.); #104078=ORIENTED_EDGE('',*,*,#79823,.T.); #104079=ORIENTED_EDGE('',*,*,#79819,.F.); #104080=ORIENTED_EDGE('',*,*,#79824,.F.); #104081=ORIENTED_EDGE('',*,*,#79825,.T.); #104082=ORIENTED_EDGE('',*,*,#79826,.T.); #104083=ORIENTED_EDGE('',*,*,#79822,.F.); #104084=ORIENTED_EDGE('',*,*,#79827,.F.); #104085=ORIENTED_EDGE('',*,*,#79828,.T.); #104086=ORIENTED_EDGE('',*,*,#79829,.T.); #104087=ORIENTED_EDGE('',*,*,#79825,.F.); #104088=ORIENTED_EDGE('',*,*,#79830,.F.); #104089=ORIENTED_EDGE('',*,*,#79831,.T.); #104090=ORIENTED_EDGE('',*,*,#79832,.T.); #104091=ORIENTED_EDGE('',*,*,#79828,.F.); #104092=ORIENTED_EDGE('',*,*,#79833,.F.); #104093=ORIENTED_EDGE('',*,*,#79834,.T.); #104094=ORIENTED_EDGE('',*,*,#79835,.T.); #104095=ORIENTED_EDGE('',*,*,#79831,.F.); #104096=ORIENTED_EDGE('',*,*,#79836,.F.); #104097=ORIENTED_EDGE('',*,*,#79837,.T.); #104098=ORIENTED_EDGE('',*,*,#79838,.T.); #104099=ORIENTED_EDGE('',*,*,#79834,.F.); #104100=ORIENTED_EDGE('',*,*,#79839,.F.); #104101=ORIENTED_EDGE('',*,*,#79840,.T.); #104102=ORIENTED_EDGE('',*,*,#79841,.T.); #104103=ORIENTED_EDGE('',*,*,#79837,.F.); #104104=ORIENTED_EDGE('',*,*,#79842,.F.); #104105=ORIENTED_EDGE('',*,*,#79843,.T.); #104106=ORIENTED_EDGE('',*,*,#79844,.T.); #104107=ORIENTED_EDGE('',*,*,#79840,.F.); #104108=ORIENTED_EDGE('',*,*,#79845,.F.); #104109=ORIENTED_EDGE('',*,*,#79846,.T.); #104110=ORIENTED_EDGE('',*,*,#79847,.T.); #104111=ORIENTED_EDGE('',*,*,#79843,.F.); #104112=ORIENTED_EDGE('',*,*,#79848,.F.); #104113=ORIENTED_EDGE('',*,*,#79849,.T.); #104114=ORIENTED_EDGE('',*,*,#79850,.T.); #104115=ORIENTED_EDGE('',*,*,#79846,.F.); #104116=ORIENTED_EDGE('',*,*,#79851,.F.); #104117=ORIENTED_EDGE('',*,*,#79852,.T.); #104118=ORIENTED_EDGE('',*,*,#79853,.T.); #104119=ORIENTED_EDGE('',*,*,#79849,.F.); #104120=ORIENTED_EDGE('',*,*,#79854,.F.); #104121=ORIENTED_EDGE('',*,*,#79855,.T.); #104122=ORIENTED_EDGE('',*,*,#79856,.T.); #104123=ORIENTED_EDGE('',*,*,#79852,.F.); #104124=ORIENTED_EDGE('',*,*,#79857,.F.); #104125=ORIENTED_EDGE('',*,*,#79858,.T.); #104126=ORIENTED_EDGE('',*,*,#79859,.T.); #104127=ORIENTED_EDGE('',*,*,#79855,.F.); #104128=ORIENTED_EDGE('',*,*,#79860,.F.); #104129=ORIENTED_EDGE('',*,*,#79861,.T.); #104130=ORIENTED_EDGE('',*,*,#79862,.T.); #104131=ORIENTED_EDGE('',*,*,#79858,.F.); #104132=ORIENTED_EDGE('',*,*,#79863,.F.); #104133=ORIENTED_EDGE('',*,*,#79864,.T.); #104134=ORIENTED_EDGE('',*,*,#79865,.T.); #104135=ORIENTED_EDGE('',*,*,#79861,.F.); #104136=ORIENTED_EDGE('',*,*,#79866,.F.); #104137=ORIENTED_EDGE('',*,*,#79867,.T.); #104138=ORIENTED_EDGE('',*,*,#79868,.T.); #104139=ORIENTED_EDGE('',*,*,#79864,.F.); #104140=ORIENTED_EDGE('',*,*,#79869,.F.); #104141=ORIENTED_EDGE('',*,*,#79870,.T.); #104142=ORIENTED_EDGE('',*,*,#79871,.T.); #104143=ORIENTED_EDGE('',*,*,#79867,.F.); #104144=ORIENTED_EDGE('',*,*,#79872,.F.); #104145=ORIENTED_EDGE('',*,*,#79873,.T.); #104146=ORIENTED_EDGE('',*,*,#79874,.T.); #104147=ORIENTED_EDGE('',*,*,#79870,.F.); #104148=ORIENTED_EDGE('',*,*,#79875,.F.); #104149=ORIENTED_EDGE('',*,*,#79876,.T.); #104150=ORIENTED_EDGE('',*,*,#79877,.T.); #104151=ORIENTED_EDGE('',*,*,#79873,.F.); #104152=ORIENTED_EDGE('',*,*,#79878,.F.); #104153=ORIENTED_EDGE('',*,*,#79879,.T.); #104154=ORIENTED_EDGE('',*,*,#79880,.T.); #104155=ORIENTED_EDGE('',*,*,#79876,.F.); #104156=ORIENTED_EDGE('',*,*,#79881,.F.); #104157=ORIENTED_EDGE('',*,*,#79882,.T.); #104158=ORIENTED_EDGE('',*,*,#79883,.T.); #104159=ORIENTED_EDGE('',*,*,#79879,.F.); #104160=ORIENTED_EDGE('',*,*,#79884,.F.); #104161=ORIENTED_EDGE('',*,*,#79885,.T.); #104162=ORIENTED_EDGE('',*,*,#79886,.T.); #104163=ORIENTED_EDGE('',*,*,#79882,.F.); #104164=ORIENTED_EDGE('',*,*,#79887,.F.); #104165=ORIENTED_EDGE('',*,*,#79888,.T.); #104166=ORIENTED_EDGE('',*,*,#79889,.T.); #104167=ORIENTED_EDGE('',*,*,#79885,.F.); #104168=ORIENTED_EDGE('',*,*,#79890,.F.); #104169=ORIENTED_EDGE('',*,*,#79891,.T.); #104170=ORIENTED_EDGE('',*,*,#79892,.T.); #104171=ORIENTED_EDGE('',*,*,#79888,.F.); #104172=ORIENTED_EDGE('',*,*,#79893,.F.); #104173=ORIENTED_EDGE('',*,*,#79894,.T.); #104174=ORIENTED_EDGE('',*,*,#79895,.T.); #104175=ORIENTED_EDGE('',*,*,#79891,.F.); #104176=ORIENTED_EDGE('',*,*,#79896,.F.); #104177=ORIENTED_EDGE('',*,*,#79897,.T.); #104178=ORIENTED_EDGE('',*,*,#79898,.T.); #104179=ORIENTED_EDGE('',*,*,#79894,.F.); #104180=ORIENTED_EDGE('',*,*,#79899,.F.); #104181=ORIENTED_EDGE('',*,*,#79900,.T.); #104182=ORIENTED_EDGE('',*,*,#79901,.T.); #104183=ORIENTED_EDGE('',*,*,#79897,.F.); #104184=ORIENTED_EDGE('',*,*,#79902,.F.); #104185=ORIENTED_EDGE('',*,*,#79903,.T.); #104186=ORIENTED_EDGE('',*,*,#79904,.T.); #104187=ORIENTED_EDGE('',*,*,#79900,.F.); #104188=ORIENTED_EDGE('',*,*,#79905,.F.); #104189=ORIENTED_EDGE('',*,*,#79906,.T.); #104190=ORIENTED_EDGE('',*,*,#79907,.T.); #104191=ORIENTED_EDGE('',*,*,#79903,.F.); #104192=ORIENTED_EDGE('',*,*,#79908,.F.); #104193=ORIENTED_EDGE('',*,*,#79909,.T.); #104194=ORIENTED_EDGE('',*,*,#79910,.T.); #104195=ORIENTED_EDGE('',*,*,#79906,.F.); #104196=ORIENTED_EDGE('',*,*,#79911,.F.); #104197=ORIENTED_EDGE('',*,*,#79912,.T.); #104198=ORIENTED_EDGE('',*,*,#79913,.T.); #104199=ORIENTED_EDGE('',*,*,#79909,.F.); #104200=ORIENTED_EDGE('',*,*,#79914,.F.); #104201=ORIENTED_EDGE('',*,*,#79915,.T.); #104202=ORIENTED_EDGE('',*,*,#79916,.T.); #104203=ORIENTED_EDGE('',*,*,#79912,.F.); #104204=ORIENTED_EDGE('',*,*,#79917,.F.); #104205=ORIENTED_EDGE('',*,*,#79918,.T.); #104206=ORIENTED_EDGE('',*,*,#79919,.T.); #104207=ORIENTED_EDGE('',*,*,#79915,.F.); #104208=ORIENTED_EDGE('',*,*,#79920,.F.); #104209=ORIENTED_EDGE('',*,*,#79921,.T.); #104210=ORIENTED_EDGE('',*,*,#79922,.T.); #104211=ORIENTED_EDGE('',*,*,#79918,.F.); #104212=ORIENTED_EDGE('',*,*,#79923,.F.); #104213=ORIENTED_EDGE('',*,*,#79924,.T.); #104214=ORIENTED_EDGE('',*,*,#79925,.T.); #104215=ORIENTED_EDGE('',*,*,#79921,.F.); #104216=ORIENTED_EDGE('',*,*,#79926,.F.); #104217=ORIENTED_EDGE('',*,*,#79927,.T.); #104218=ORIENTED_EDGE('',*,*,#79928,.T.); #104219=ORIENTED_EDGE('',*,*,#79924,.F.); #104220=ORIENTED_EDGE('',*,*,#79929,.F.); #104221=ORIENTED_EDGE('',*,*,#79930,.T.); #104222=ORIENTED_EDGE('',*,*,#79931,.T.); #104223=ORIENTED_EDGE('',*,*,#79927,.F.); #104224=ORIENTED_EDGE('',*,*,#79932,.F.); #104225=ORIENTED_EDGE('',*,*,#79933,.T.); #104226=ORIENTED_EDGE('',*,*,#79934,.T.); #104227=ORIENTED_EDGE('',*,*,#79930,.F.); #104228=ORIENTED_EDGE('',*,*,#79935,.F.); #104229=ORIENTED_EDGE('',*,*,#79936,.T.); #104230=ORIENTED_EDGE('',*,*,#79937,.T.); #104231=ORIENTED_EDGE('',*,*,#79933,.F.); #104232=ORIENTED_EDGE('',*,*,#79938,.F.); #104233=ORIENTED_EDGE('',*,*,#79939,.T.); #104234=ORIENTED_EDGE('',*,*,#79940,.T.); #104235=ORIENTED_EDGE('',*,*,#79936,.F.); #104236=ORIENTED_EDGE('',*,*,#79941,.F.); #104237=ORIENTED_EDGE('',*,*,#79942,.T.); #104238=ORIENTED_EDGE('',*,*,#79943,.T.); #104239=ORIENTED_EDGE('',*,*,#79939,.F.); #104240=ORIENTED_EDGE('',*,*,#79944,.F.); #104241=ORIENTED_EDGE('',*,*,#79945,.T.); #104242=ORIENTED_EDGE('',*,*,#79946,.T.); #104243=ORIENTED_EDGE('',*,*,#79942,.F.); #104244=ORIENTED_EDGE('',*,*,#79947,.F.); #104245=ORIENTED_EDGE('',*,*,#79948,.T.); #104246=ORIENTED_EDGE('',*,*,#79949,.T.); #104247=ORIENTED_EDGE('',*,*,#79945,.F.); #104248=ORIENTED_EDGE('',*,*,#79950,.F.); #104249=ORIENTED_EDGE('',*,*,#79951,.T.); #104250=ORIENTED_EDGE('',*,*,#79952,.T.); #104251=ORIENTED_EDGE('',*,*,#79948,.F.); #104252=ORIENTED_EDGE('',*,*,#79953,.F.); #104253=ORIENTED_EDGE('',*,*,#79954,.T.); #104254=ORIENTED_EDGE('',*,*,#79955,.T.); #104255=ORIENTED_EDGE('',*,*,#79951,.F.); #104256=ORIENTED_EDGE('',*,*,#79956,.F.); #104257=ORIENTED_EDGE('',*,*,#79957,.T.); #104258=ORIENTED_EDGE('',*,*,#79958,.T.); #104259=ORIENTED_EDGE('',*,*,#79954,.F.); #104260=ORIENTED_EDGE('',*,*,#79959,.F.); #104261=ORIENTED_EDGE('',*,*,#79960,.T.); #104262=ORIENTED_EDGE('',*,*,#79961,.T.); #104263=ORIENTED_EDGE('',*,*,#79957,.F.); #104264=ORIENTED_EDGE('',*,*,#79962,.F.); #104265=ORIENTED_EDGE('',*,*,#79963,.T.); #104266=ORIENTED_EDGE('',*,*,#79964,.T.); #104267=ORIENTED_EDGE('',*,*,#79960,.F.); #104268=ORIENTED_EDGE('',*,*,#79965,.F.); #104269=ORIENTED_EDGE('',*,*,#79966,.T.); #104270=ORIENTED_EDGE('',*,*,#79967,.T.); #104271=ORIENTED_EDGE('',*,*,#79963,.F.); #104272=ORIENTED_EDGE('',*,*,#79968,.F.); #104273=ORIENTED_EDGE('',*,*,#79969,.T.); #104274=ORIENTED_EDGE('',*,*,#79970,.T.); #104275=ORIENTED_EDGE('',*,*,#79966,.F.); #104276=ORIENTED_EDGE('',*,*,#79971,.F.); #104277=ORIENTED_EDGE('',*,*,#79972,.T.); #104278=ORIENTED_EDGE('',*,*,#79973,.T.); #104279=ORIENTED_EDGE('',*,*,#79969,.F.); #104280=ORIENTED_EDGE('',*,*,#79974,.F.); #104281=ORIENTED_EDGE('',*,*,#79975,.T.); #104282=ORIENTED_EDGE('',*,*,#79976,.T.); #104283=ORIENTED_EDGE('',*,*,#79972,.F.); #104284=ORIENTED_EDGE('',*,*,#79977,.F.); #104285=ORIENTED_EDGE('',*,*,#79978,.T.); #104286=ORIENTED_EDGE('',*,*,#79979,.T.); #104287=ORIENTED_EDGE('',*,*,#79975,.F.); #104288=ORIENTED_EDGE('',*,*,#79980,.F.); #104289=ORIENTED_EDGE('',*,*,#79981,.T.); #104290=ORIENTED_EDGE('',*,*,#79982,.T.); #104291=ORIENTED_EDGE('',*,*,#79978,.F.); #104292=ORIENTED_EDGE('',*,*,#79983,.F.); #104293=ORIENTED_EDGE('',*,*,#79984,.T.); #104294=ORIENTED_EDGE('',*,*,#79985,.T.); #104295=ORIENTED_EDGE('',*,*,#79981,.F.); #104296=ORIENTED_EDGE('',*,*,#79986,.F.); #104297=ORIENTED_EDGE('',*,*,#79987,.T.); #104298=ORIENTED_EDGE('',*,*,#79988,.T.); #104299=ORIENTED_EDGE('',*,*,#79984,.F.); #104300=ORIENTED_EDGE('',*,*,#79989,.F.); #104301=ORIENTED_EDGE('',*,*,#79990,.T.); #104302=ORIENTED_EDGE('',*,*,#79991,.T.); #104303=ORIENTED_EDGE('',*,*,#79987,.F.); #104304=ORIENTED_EDGE('',*,*,#79992,.F.); #104305=ORIENTED_EDGE('',*,*,#79993,.T.); #104306=ORIENTED_EDGE('',*,*,#79994,.T.); #104307=ORIENTED_EDGE('',*,*,#79990,.F.); #104308=ORIENTED_EDGE('',*,*,#79995,.F.); #104309=ORIENTED_EDGE('',*,*,#79996,.T.); #104310=ORIENTED_EDGE('',*,*,#79997,.T.); #104311=ORIENTED_EDGE('',*,*,#79993,.F.); #104312=ORIENTED_EDGE('',*,*,#79998,.F.); #104313=ORIENTED_EDGE('',*,*,#79999,.T.); #104314=ORIENTED_EDGE('',*,*,#80000,.T.); #104315=ORIENTED_EDGE('',*,*,#79996,.F.); #104316=ORIENTED_EDGE('',*,*,#80001,.F.); #104317=ORIENTED_EDGE('',*,*,#80002,.T.); #104318=ORIENTED_EDGE('',*,*,#80003,.T.); #104319=ORIENTED_EDGE('',*,*,#79999,.F.); #104320=ORIENTED_EDGE('',*,*,#80004,.F.); #104321=ORIENTED_EDGE('',*,*,#80005,.T.); #104322=ORIENTED_EDGE('',*,*,#80006,.T.); #104323=ORIENTED_EDGE('',*,*,#80002,.F.); #104324=ORIENTED_EDGE('',*,*,#80007,.F.); #104325=ORIENTED_EDGE('',*,*,#80008,.T.); #104326=ORIENTED_EDGE('',*,*,#80009,.T.); #104327=ORIENTED_EDGE('',*,*,#80005,.F.); #104328=ORIENTED_EDGE('',*,*,#80010,.F.); #104329=ORIENTED_EDGE('',*,*,#80011,.T.); #104330=ORIENTED_EDGE('',*,*,#80012,.T.); #104331=ORIENTED_EDGE('',*,*,#80008,.F.); #104332=ORIENTED_EDGE('',*,*,#80013,.F.); #104333=ORIENTED_EDGE('',*,*,#80014,.T.); #104334=ORIENTED_EDGE('',*,*,#80015,.T.); #104335=ORIENTED_EDGE('',*,*,#80011,.F.); #104336=ORIENTED_EDGE('',*,*,#80016,.F.); #104337=ORIENTED_EDGE('',*,*,#80017,.T.); #104338=ORIENTED_EDGE('',*,*,#80018,.T.); #104339=ORIENTED_EDGE('',*,*,#80014,.F.); #104340=ORIENTED_EDGE('',*,*,#80019,.F.); #104341=ORIENTED_EDGE('',*,*,#80020,.T.); #104342=ORIENTED_EDGE('',*,*,#80021,.T.); #104343=ORIENTED_EDGE('',*,*,#80017,.F.); #104344=ORIENTED_EDGE('',*,*,#80022,.F.); #104345=ORIENTED_EDGE('',*,*,#80023,.T.); #104346=ORIENTED_EDGE('',*,*,#80024,.T.); #104347=ORIENTED_EDGE('',*,*,#80020,.F.); #104348=ORIENTED_EDGE('',*,*,#80025,.F.); #104349=ORIENTED_EDGE('',*,*,#80026,.T.); #104350=ORIENTED_EDGE('',*,*,#80027,.T.); #104351=ORIENTED_EDGE('',*,*,#80023,.F.); #104352=ORIENTED_EDGE('',*,*,#80028,.F.); #104353=ORIENTED_EDGE('',*,*,#80029,.T.); #104354=ORIENTED_EDGE('',*,*,#80030,.T.); #104355=ORIENTED_EDGE('',*,*,#80026,.F.); #104356=ORIENTED_EDGE('',*,*,#80031,.F.); #104357=ORIENTED_EDGE('',*,*,#80032,.T.); #104358=ORIENTED_EDGE('',*,*,#80033,.T.); #104359=ORIENTED_EDGE('',*,*,#80029,.F.); #104360=ORIENTED_EDGE('',*,*,#80034,.F.); #104361=ORIENTED_EDGE('',*,*,#80035,.T.); #104362=ORIENTED_EDGE('',*,*,#80036,.T.); #104363=ORIENTED_EDGE('',*,*,#80032,.F.); #104364=ORIENTED_EDGE('',*,*,#80037,.F.); #104365=ORIENTED_EDGE('',*,*,#80038,.T.); #104366=ORIENTED_EDGE('',*,*,#80039,.T.); #104367=ORIENTED_EDGE('',*,*,#80035,.F.); #104368=ORIENTED_EDGE('',*,*,#80040,.F.); #104369=ORIENTED_EDGE('',*,*,#80041,.T.); #104370=ORIENTED_EDGE('',*,*,#80042,.T.); #104371=ORIENTED_EDGE('',*,*,#80038,.F.); #104372=ORIENTED_EDGE('',*,*,#80043,.F.); #104373=ORIENTED_EDGE('',*,*,#80044,.T.); #104374=ORIENTED_EDGE('',*,*,#80045,.T.); #104375=ORIENTED_EDGE('',*,*,#80041,.F.); #104376=ORIENTED_EDGE('',*,*,#80046,.F.); #104377=ORIENTED_EDGE('',*,*,#80047,.T.); #104378=ORIENTED_EDGE('',*,*,#80048,.T.); #104379=ORIENTED_EDGE('',*,*,#80044,.F.); #104380=ORIENTED_EDGE('',*,*,#80049,.F.); #104381=ORIENTED_EDGE('',*,*,#80050,.T.); #104382=ORIENTED_EDGE('',*,*,#80051,.T.); #104383=ORIENTED_EDGE('',*,*,#80047,.F.); #104384=ORIENTED_EDGE('',*,*,#80052,.F.); #104385=ORIENTED_EDGE('',*,*,#80053,.T.); #104386=ORIENTED_EDGE('',*,*,#80054,.T.); #104387=ORIENTED_EDGE('',*,*,#80050,.F.); #104388=ORIENTED_EDGE('',*,*,#80055,.F.); #104389=ORIENTED_EDGE('',*,*,#80056,.T.); #104390=ORIENTED_EDGE('',*,*,#80057,.T.); #104391=ORIENTED_EDGE('',*,*,#80053,.F.); #104392=ORIENTED_EDGE('',*,*,#80058,.F.); #104393=ORIENTED_EDGE('',*,*,#80059,.T.); #104394=ORIENTED_EDGE('',*,*,#80060,.T.); #104395=ORIENTED_EDGE('',*,*,#80056,.F.); #104396=ORIENTED_EDGE('',*,*,#80061,.F.); #104397=ORIENTED_EDGE('',*,*,#80062,.T.); #104398=ORIENTED_EDGE('',*,*,#80063,.T.); #104399=ORIENTED_EDGE('',*,*,#80059,.F.); #104400=ORIENTED_EDGE('',*,*,#80064,.F.); #104401=ORIENTED_EDGE('',*,*,#80065,.T.); #104402=ORIENTED_EDGE('',*,*,#80066,.T.); #104403=ORIENTED_EDGE('',*,*,#80062,.F.); #104404=ORIENTED_EDGE('',*,*,#80067,.F.); #104405=ORIENTED_EDGE('',*,*,#80068,.T.); #104406=ORIENTED_EDGE('',*,*,#80069,.T.); #104407=ORIENTED_EDGE('',*,*,#80065,.F.); #104408=ORIENTED_EDGE('',*,*,#80070,.F.); #104409=ORIENTED_EDGE('',*,*,#80071,.T.); #104410=ORIENTED_EDGE('',*,*,#80072,.T.); #104411=ORIENTED_EDGE('',*,*,#80068,.F.); #104412=ORIENTED_EDGE('',*,*,#80073,.F.); #104413=ORIENTED_EDGE('',*,*,#80074,.T.); #104414=ORIENTED_EDGE('',*,*,#80075,.T.); #104415=ORIENTED_EDGE('',*,*,#80071,.F.); #104416=ORIENTED_EDGE('',*,*,#80076,.F.); #104417=ORIENTED_EDGE('',*,*,#80077,.T.); #104418=ORIENTED_EDGE('',*,*,#80078,.T.); #104419=ORIENTED_EDGE('',*,*,#80074,.F.); #104420=ORIENTED_EDGE('',*,*,#80079,.F.); #104421=ORIENTED_EDGE('',*,*,#80080,.T.); #104422=ORIENTED_EDGE('',*,*,#80081,.T.); #104423=ORIENTED_EDGE('',*,*,#80077,.F.); #104424=ORIENTED_EDGE('',*,*,#80082,.F.); #104425=ORIENTED_EDGE('',*,*,#80083,.T.); #104426=ORIENTED_EDGE('',*,*,#80084,.T.); #104427=ORIENTED_EDGE('',*,*,#80080,.F.); #104428=ORIENTED_EDGE('',*,*,#80085,.F.); #104429=ORIENTED_EDGE('',*,*,#80086,.T.); #104430=ORIENTED_EDGE('',*,*,#80087,.T.); #104431=ORIENTED_EDGE('',*,*,#80083,.F.); #104432=ORIENTED_EDGE('',*,*,#80088,.F.); #104433=ORIENTED_EDGE('',*,*,#80089,.T.); #104434=ORIENTED_EDGE('',*,*,#80090,.T.); #104435=ORIENTED_EDGE('',*,*,#80086,.F.); #104436=ORIENTED_EDGE('',*,*,#80091,.F.); #104437=ORIENTED_EDGE('',*,*,#80092,.T.); #104438=ORIENTED_EDGE('',*,*,#80093,.T.); #104439=ORIENTED_EDGE('',*,*,#80089,.F.); #104440=ORIENTED_EDGE('',*,*,#80094,.F.); #104441=ORIENTED_EDGE('',*,*,#80095,.T.); #104442=ORIENTED_EDGE('',*,*,#80096,.T.); #104443=ORIENTED_EDGE('',*,*,#80092,.F.); #104444=ORIENTED_EDGE('',*,*,#80097,.F.); #104445=ORIENTED_EDGE('',*,*,#80098,.T.); #104446=ORIENTED_EDGE('',*,*,#80099,.T.); #104447=ORIENTED_EDGE('',*,*,#80095,.F.); #104448=ORIENTED_EDGE('',*,*,#80100,.F.); #104449=ORIENTED_EDGE('',*,*,#80101,.T.); #104450=ORIENTED_EDGE('',*,*,#80102,.T.); #104451=ORIENTED_EDGE('',*,*,#80098,.F.); #104452=ORIENTED_EDGE('',*,*,#80103,.F.); #104453=ORIENTED_EDGE('',*,*,#80104,.T.); #104454=ORIENTED_EDGE('',*,*,#80105,.T.); #104455=ORIENTED_EDGE('',*,*,#80101,.F.); #104456=ORIENTED_EDGE('',*,*,#80106,.F.); #104457=ORIENTED_EDGE('',*,*,#80107,.T.); #104458=ORIENTED_EDGE('',*,*,#80108,.T.); #104459=ORIENTED_EDGE('',*,*,#80104,.F.); #104460=ORIENTED_EDGE('',*,*,#80109,.F.); #104461=ORIENTED_EDGE('',*,*,#80110,.T.); #104462=ORIENTED_EDGE('',*,*,#80111,.T.); #104463=ORIENTED_EDGE('',*,*,#80107,.F.); #104464=ORIENTED_EDGE('',*,*,#80112,.F.); #104465=ORIENTED_EDGE('',*,*,#80113,.T.); #104466=ORIENTED_EDGE('',*,*,#80114,.T.); #104467=ORIENTED_EDGE('',*,*,#80110,.F.); #104468=ORIENTED_EDGE('',*,*,#80115,.F.); #104469=ORIENTED_EDGE('',*,*,#80116,.T.); #104470=ORIENTED_EDGE('',*,*,#80117,.T.); #104471=ORIENTED_EDGE('',*,*,#80113,.F.); #104472=ORIENTED_EDGE('',*,*,#80118,.F.); #104473=ORIENTED_EDGE('',*,*,#80119,.T.); #104474=ORIENTED_EDGE('',*,*,#80120,.T.); #104475=ORIENTED_EDGE('',*,*,#80116,.F.); #104476=ORIENTED_EDGE('',*,*,#80121,.F.); #104477=ORIENTED_EDGE('',*,*,#80122,.T.); #104478=ORIENTED_EDGE('',*,*,#80123,.T.); #104479=ORIENTED_EDGE('',*,*,#80119,.F.); #104480=ORIENTED_EDGE('',*,*,#80124,.F.); #104481=ORIENTED_EDGE('',*,*,#80125,.T.); #104482=ORIENTED_EDGE('',*,*,#80126,.T.); #104483=ORIENTED_EDGE('',*,*,#80122,.F.); #104484=ORIENTED_EDGE('',*,*,#80127,.F.); #104485=ORIENTED_EDGE('',*,*,#80128,.T.); #104486=ORIENTED_EDGE('',*,*,#80129,.T.); #104487=ORIENTED_EDGE('',*,*,#80125,.F.); #104488=ORIENTED_EDGE('',*,*,#80130,.F.); #104489=ORIENTED_EDGE('',*,*,#80131,.T.); #104490=ORIENTED_EDGE('',*,*,#80132,.T.); #104491=ORIENTED_EDGE('',*,*,#80128,.F.); #104492=ORIENTED_EDGE('',*,*,#80133,.F.); #104493=ORIENTED_EDGE('',*,*,#80134,.T.); #104494=ORIENTED_EDGE('',*,*,#80135,.T.); #104495=ORIENTED_EDGE('',*,*,#80131,.F.); #104496=ORIENTED_EDGE('',*,*,#80136,.F.); #104497=ORIENTED_EDGE('',*,*,#80137,.T.); #104498=ORIENTED_EDGE('',*,*,#80138,.T.); #104499=ORIENTED_EDGE('',*,*,#80134,.F.); #104500=ORIENTED_EDGE('',*,*,#80139,.F.); #104501=ORIENTED_EDGE('',*,*,#80140,.T.); #104502=ORIENTED_EDGE('',*,*,#80141,.T.); #104503=ORIENTED_EDGE('',*,*,#80137,.F.); #104504=ORIENTED_EDGE('',*,*,#80142,.F.); #104505=ORIENTED_EDGE('',*,*,#80143,.T.); #104506=ORIENTED_EDGE('',*,*,#80144,.T.); #104507=ORIENTED_EDGE('',*,*,#80140,.F.); #104508=ORIENTED_EDGE('',*,*,#80145,.F.); #104509=ORIENTED_EDGE('',*,*,#80146,.T.); #104510=ORIENTED_EDGE('',*,*,#80147,.T.); #104511=ORIENTED_EDGE('',*,*,#80143,.F.); #104512=ORIENTED_EDGE('',*,*,#80148,.F.); #104513=ORIENTED_EDGE('',*,*,#80149,.T.); #104514=ORIENTED_EDGE('',*,*,#80150,.T.); #104515=ORIENTED_EDGE('',*,*,#80146,.F.); #104516=ORIENTED_EDGE('',*,*,#80151,.F.); #104517=ORIENTED_EDGE('',*,*,#80152,.T.); #104518=ORIENTED_EDGE('',*,*,#80153,.T.); #104519=ORIENTED_EDGE('',*,*,#80149,.F.); #104520=ORIENTED_EDGE('',*,*,#80154,.F.); #104521=ORIENTED_EDGE('',*,*,#80155,.T.); #104522=ORIENTED_EDGE('',*,*,#80156,.T.); #104523=ORIENTED_EDGE('',*,*,#80152,.F.); #104524=ORIENTED_EDGE('',*,*,#80157,.F.); #104525=ORIENTED_EDGE('',*,*,#79706,.T.); #104526=ORIENTED_EDGE('',*,*,#80158,.T.); #104527=ORIENTED_EDGE('',*,*,#80155,.F.); #104528=ORIENTED_EDGE('',*,*,#80158,.F.); #104529=ORIENTED_EDGE('',*,*,#79705,.F.); #104530=ORIENTED_EDGE('',*,*,#79709,.F.); #104531=ORIENTED_EDGE('',*,*,#79712,.F.); #104532=ORIENTED_EDGE('',*,*,#79715,.F.); #104533=ORIENTED_EDGE('',*,*,#79718,.F.); #104534=ORIENTED_EDGE('',*,*,#79721,.F.); #104535=ORIENTED_EDGE('',*,*,#79724,.F.); #104536=ORIENTED_EDGE('',*,*,#79727,.F.); #104537=ORIENTED_EDGE('',*,*,#79730,.F.); #104538=ORIENTED_EDGE('',*,*,#79733,.F.); #104539=ORIENTED_EDGE('',*,*,#79736,.F.); #104540=ORIENTED_EDGE('',*,*,#79739,.F.); #104541=ORIENTED_EDGE('',*,*,#79742,.F.); #104542=ORIENTED_EDGE('',*,*,#79745,.F.); #104543=ORIENTED_EDGE('',*,*,#79748,.F.); #104544=ORIENTED_EDGE('',*,*,#79751,.F.); #104545=ORIENTED_EDGE('',*,*,#79754,.F.); #104546=ORIENTED_EDGE('',*,*,#79757,.F.); #104547=ORIENTED_EDGE('',*,*,#79760,.F.); #104548=ORIENTED_EDGE('',*,*,#79763,.F.); #104549=ORIENTED_EDGE('',*,*,#79766,.F.); #104550=ORIENTED_EDGE('',*,*,#79769,.F.); #104551=ORIENTED_EDGE('',*,*,#79772,.F.); #104552=ORIENTED_EDGE('',*,*,#79775,.F.); #104553=ORIENTED_EDGE('',*,*,#79778,.F.); #104554=ORIENTED_EDGE('',*,*,#79781,.F.); #104555=ORIENTED_EDGE('',*,*,#79784,.F.); #104556=ORIENTED_EDGE('',*,*,#79787,.F.); #104557=ORIENTED_EDGE('',*,*,#79790,.F.); #104558=ORIENTED_EDGE('',*,*,#79793,.F.); #104559=ORIENTED_EDGE('',*,*,#79796,.F.); #104560=ORIENTED_EDGE('',*,*,#79799,.F.); #104561=ORIENTED_EDGE('',*,*,#79802,.F.); #104562=ORIENTED_EDGE('',*,*,#79805,.F.); #104563=ORIENTED_EDGE('',*,*,#79808,.F.); #104564=ORIENTED_EDGE('',*,*,#79811,.F.); #104565=ORIENTED_EDGE('',*,*,#79814,.F.); #104566=ORIENTED_EDGE('',*,*,#79817,.F.); #104567=ORIENTED_EDGE('',*,*,#79820,.F.); #104568=ORIENTED_EDGE('',*,*,#79823,.F.); #104569=ORIENTED_EDGE('',*,*,#79826,.F.); #104570=ORIENTED_EDGE('',*,*,#79829,.F.); #104571=ORIENTED_EDGE('',*,*,#79832,.F.); #104572=ORIENTED_EDGE('',*,*,#79835,.F.); #104573=ORIENTED_EDGE('',*,*,#79838,.F.); #104574=ORIENTED_EDGE('',*,*,#79841,.F.); #104575=ORIENTED_EDGE('',*,*,#79844,.F.); #104576=ORIENTED_EDGE('',*,*,#79847,.F.); #104577=ORIENTED_EDGE('',*,*,#79850,.F.); #104578=ORIENTED_EDGE('',*,*,#79853,.F.); #104579=ORIENTED_EDGE('',*,*,#79856,.F.); #104580=ORIENTED_EDGE('',*,*,#79859,.F.); #104581=ORIENTED_EDGE('',*,*,#79862,.F.); #104582=ORIENTED_EDGE('',*,*,#79865,.F.); #104583=ORIENTED_EDGE('',*,*,#79868,.F.); #104584=ORIENTED_EDGE('',*,*,#79871,.F.); #104585=ORIENTED_EDGE('',*,*,#79874,.F.); #104586=ORIENTED_EDGE('',*,*,#79877,.F.); #104587=ORIENTED_EDGE('',*,*,#79880,.F.); #104588=ORIENTED_EDGE('',*,*,#79883,.F.); #104589=ORIENTED_EDGE('',*,*,#79886,.F.); #104590=ORIENTED_EDGE('',*,*,#79889,.F.); #104591=ORIENTED_EDGE('',*,*,#79892,.F.); #104592=ORIENTED_EDGE('',*,*,#79895,.F.); #104593=ORIENTED_EDGE('',*,*,#79898,.F.); #104594=ORIENTED_EDGE('',*,*,#79901,.F.); #104595=ORIENTED_EDGE('',*,*,#79904,.F.); #104596=ORIENTED_EDGE('',*,*,#79907,.F.); #104597=ORIENTED_EDGE('',*,*,#79910,.F.); #104598=ORIENTED_EDGE('',*,*,#79913,.F.); #104599=ORIENTED_EDGE('',*,*,#79916,.F.); #104600=ORIENTED_EDGE('',*,*,#79919,.F.); #104601=ORIENTED_EDGE('',*,*,#79922,.F.); #104602=ORIENTED_EDGE('',*,*,#79925,.F.); #104603=ORIENTED_EDGE('',*,*,#79928,.F.); #104604=ORIENTED_EDGE('',*,*,#79931,.F.); #104605=ORIENTED_EDGE('',*,*,#79934,.F.); #104606=ORIENTED_EDGE('',*,*,#79937,.F.); #104607=ORIENTED_EDGE('',*,*,#79940,.F.); #104608=ORIENTED_EDGE('',*,*,#79943,.F.); #104609=ORIENTED_EDGE('',*,*,#79946,.F.); #104610=ORIENTED_EDGE('',*,*,#79949,.F.); #104611=ORIENTED_EDGE('',*,*,#79952,.F.); #104612=ORIENTED_EDGE('',*,*,#79955,.F.); #104613=ORIENTED_EDGE('',*,*,#79958,.F.); #104614=ORIENTED_EDGE('',*,*,#79961,.F.); #104615=ORIENTED_EDGE('',*,*,#79964,.F.); #104616=ORIENTED_EDGE('',*,*,#79967,.F.); #104617=ORIENTED_EDGE('',*,*,#79970,.F.); #104618=ORIENTED_EDGE('',*,*,#79973,.F.); #104619=ORIENTED_EDGE('',*,*,#79976,.F.); #104620=ORIENTED_EDGE('',*,*,#79979,.F.); #104621=ORIENTED_EDGE('',*,*,#79982,.F.); #104622=ORIENTED_EDGE('',*,*,#79985,.F.); #104623=ORIENTED_EDGE('',*,*,#79988,.F.); #104624=ORIENTED_EDGE('',*,*,#79991,.F.); #104625=ORIENTED_EDGE('',*,*,#79994,.F.); #104626=ORIENTED_EDGE('',*,*,#79997,.F.); #104627=ORIENTED_EDGE('',*,*,#80000,.F.); #104628=ORIENTED_EDGE('',*,*,#80003,.F.); #104629=ORIENTED_EDGE('',*,*,#80006,.F.); #104630=ORIENTED_EDGE('',*,*,#80009,.F.); #104631=ORIENTED_EDGE('',*,*,#80012,.F.); #104632=ORIENTED_EDGE('',*,*,#80015,.F.); #104633=ORIENTED_EDGE('',*,*,#80018,.F.); #104634=ORIENTED_EDGE('',*,*,#80021,.F.); #104635=ORIENTED_EDGE('',*,*,#80024,.F.); #104636=ORIENTED_EDGE('',*,*,#80027,.F.); #104637=ORIENTED_EDGE('',*,*,#80030,.F.); #104638=ORIENTED_EDGE('',*,*,#80033,.F.); #104639=ORIENTED_EDGE('',*,*,#80036,.F.); #104640=ORIENTED_EDGE('',*,*,#80039,.F.); #104641=ORIENTED_EDGE('',*,*,#80042,.F.); #104642=ORIENTED_EDGE('',*,*,#80045,.F.); #104643=ORIENTED_EDGE('',*,*,#80048,.F.); #104644=ORIENTED_EDGE('',*,*,#80051,.F.); #104645=ORIENTED_EDGE('',*,*,#80054,.F.); #104646=ORIENTED_EDGE('',*,*,#80057,.F.); #104647=ORIENTED_EDGE('',*,*,#80060,.F.); #104648=ORIENTED_EDGE('',*,*,#80063,.F.); #104649=ORIENTED_EDGE('',*,*,#80066,.F.); #104650=ORIENTED_EDGE('',*,*,#80069,.F.); #104651=ORIENTED_EDGE('',*,*,#80072,.F.); #104652=ORIENTED_EDGE('',*,*,#80075,.F.); #104653=ORIENTED_EDGE('',*,*,#80078,.F.); #104654=ORIENTED_EDGE('',*,*,#80081,.F.); #104655=ORIENTED_EDGE('',*,*,#80084,.F.); #104656=ORIENTED_EDGE('',*,*,#80087,.F.); #104657=ORIENTED_EDGE('',*,*,#80090,.F.); #104658=ORIENTED_EDGE('',*,*,#80093,.F.); #104659=ORIENTED_EDGE('',*,*,#80096,.F.); #104660=ORIENTED_EDGE('',*,*,#80099,.F.); #104661=ORIENTED_EDGE('',*,*,#80102,.F.); #104662=ORIENTED_EDGE('',*,*,#80105,.F.); #104663=ORIENTED_EDGE('',*,*,#80108,.F.); #104664=ORIENTED_EDGE('',*,*,#80111,.F.); #104665=ORIENTED_EDGE('',*,*,#80114,.F.); #104666=ORIENTED_EDGE('',*,*,#80117,.F.); #104667=ORIENTED_EDGE('',*,*,#80120,.F.); #104668=ORIENTED_EDGE('',*,*,#80123,.F.); #104669=ORIENTED_EDGE('',*,*,#80126,.F.); #104670=ORIENTED_EDGE('',*,*,#80129,.F.); #104671=ORIENTED_EDGE('',*,*,#80132,.F.); #104672=ORIENTED_EDGE('',*,*,#80135,.F.); #104673=ORIENTED_EDGE('',*,*,#80138,.F.); #104674=ORIENTED_EDGE('',*,*,#80141,.F.); #104675=ORIENTED_EDGE('',*,*,#80144,.F.); #104676=ORIENTED_EDGE('',*,*,#80147,.F.); #104677=ORIENTED_EDGE('',*,*,#80150,.F.); #104678=ORIENTED_EDGE('',*,*,#80153,.F.); #104679=ORIENTED_EDGE('',*,*,#80156,.F.); #104680=ORIENTED_EDGE('',*,*,#80159,.F.); #104681=ORIENTED_EDGE('',*,*,#80160,.T.); #104682=ORIENTED_EDGE('',*,*,#80161,.T.); #104683=ORIENTED_EDGE('',*,*,#80162,.F.); #104684=ORIENTED_EDGE('',*,*,#80163,.F.); #104685=ORIENTED_EDGE('',*,*,#80164,.T.); #104686=ORIENTED_EDGE('',*,*,#80165,.T.); #104687=ORIENTED_EDGE('',*,*,#80160,.F.); #104688=ORIENTED_EDGE('',*,*,#80166,.F.); #104689=ORIENTED_EDGE('',*,*,#80167,.T.); #104690=ORIENTED_EDGE('',*,*,#80168,.T.); #104691=ORIENTED_EDGE('',*,*,#80164,.F.); #104692=ORIENTED_EDGE('',*,*,#80169,.F.); #104693=ORIENTED_EDGE('',*,*,#80170,.T.); #104694=ORIENTED_EDGE('',*,*,#80171,.T.); #104695=ORIENTED_EDGE('',*,*,#80167,.F.); #104696=ORIENTED_EDGE('',*,*,#80172,.F.); #104697=ORIENTED_EDGE('',*,*,#80173,.T.); #104698=ORIENTED_EDGE('',*,*,#80174,.T.); #104699=ORIENTED_EDGE('',*,*,#80170,.F.); #104700=ORIENTED_EDGE('',*,*,#80175,.F.); #104701=ORIENTED_EDGE('',*,*,#80176,.T.); #104702=ORIENTED_EDGE('',*,*,#80177,.T.); #104703=ORIENTED_EDGE('',*,*,#80173,.F.); #104704=ORIENTED_EDGE('',*,*,#80178,.F.); #104705=ORIENTED_EDGE('',*,*,#80162,.T.); #104706=ORIENTED_EDGE('',*,*,#80179,.T.); #104707=ORIENTED_EDGE('',*,*,#80176,.F.); #104708=ORIENTED_EDGE('',*,*,#80180,.F.); #104709=ORIENTED_EDGE('',*,*,#80181,.T.); #104710=ORIENTED_EDGE('',*,*,#80182,.T.); #104711=ORIENTED_EDGE('',*,*,#80183,.F.); #104712=ORIENTED_EDGE('',*,*,#80184,.F.); #104713=ORIENTED_EDGE('',*,*,#80185,.T.); #104714=ORIENTED_EDGE('',*,*,#80186,.T.); #104715=ORIENTED_EDGE('',*,*,#80181,.F.); #104716=ORIENTED_EDGE('',*,*,#80187,.F.); #104717=ORIENTED_EDGE('',*,*,#80188,.T.); #104718=ORIENTED_EDGE('',*,*,#80189,.T.); #104719=ORIENTED_EDGE('',*,*,#80185,.F.); #104720=ORIENTED_EDGE('',*,*,#80190,.F.); #104721=ORIENTED_EDGE('',*,*,#80191,.T.); #104722=ORIENTED_EDGE('',*,*,#80192,.T.); #104723=ORIENTED_EDGE('',*,*,#80188,.F.); #104724=ORIENTED_EDGE('',*,*,#80193,.F.); #104725=ORIENTED_EDGE('',*,*,#80194,.T.); #104726=ORIENTED_EDGE('',*,*,#80195,.T.); #104727=ORIENTED_EDGE('',*,*,#80191,.F.); #104728=ORIENTED_EDGE('',*,*,#80196,.F.); #104729=ORIENTED_EDGE('',*,*,#80197,.T.); #104730=ORIENTED_EDGE('',*,*,#80198,.T.); #104731=ORIENTED_EDGE('',*,*,#80194,.F.); #104732=ORIENTED_EDGE('',*,*,#80199,.F.); #104733=ORIENTED_EDGE('',*,*,#80200,.T.); #104734=ORIENTED_EDGE('',*,*,#80201,.T.); #104735=ORIENTED_EDGE('',*,*,#80197,.F.); #104736=ORIENTED_EDGE('',*,*,#80202,.F.); #104737=ORIENTED_EDGE('',*,*,#80203,.T.); #104738=ORIENTED_EDGE('',*,*,#80204,.T.); #104739=ORIENTED_EDGE('',*,*,#80200,.F.); #104740=ORIENTED_EDGE('',*,*,#80205,.F.); #104741=ORIENTED_EDGE('',*,*,#80183,.T.); #104742=ORIENTED_EDGE('',*,*,#80206,.T.); #104743=ORIENTED_EDGE('',*,*,#80203,.F.); #104744=ORIENTED_EDGE('',*,*,#80207,.F.); #104745=ORIENTED_EDGE('',*,*,#80208,.T.); #104746=ORIENTED_EDGE('',*,*,#80209,.T.); #104747=ORIENTED_EDGE('',*,*,#80210,.F.); #104748=ORIENTED_EDGE('',*,*,#80211,.F.); #104749=ORIENTED_EDGE('',*,*,#80212,.T.); #104750=ORIENTED_EDGE('',*,*,#80213,.T.); #104751=ORIENTED_EDGE('',*,*,#80208,.F.); #104752=ORIENTED_EDGE('',*,*,#80214,.F.); #104753=ORIENTED_EDGE('',*,*,#80215,.T.); #104754=ORIENTED_EDGE('',*,*,#80216,.T.); #104755=ORIENTED_EDGE('',*,*,#80212,.F.); #104756=ORIENTED_EDGE('',*,*,#80217,.F.); #104757=ORIENTED_EDGE('',*,*,#80218,.T.); #104758=ORIENTED_EDGE('',*,*,#80219,.T.); #104759=ORIENTED_EDGE('',*,*,#80215,.F.); #104760=ORIENTED_EDGE('',*,*,#80220,.F.); #104761=ORIENTED_EDGE('',*,*,#80221,.T.); #104762=ORIENTED_EDGE('',*,*,#80222,.T.); #104763=ORIENTED_EDGE('',*,*,#80218,.F.); #104764=ORIENTED_EDGE('',*,*,#80223,.F.); #104765=ORIENTED_EDGE('',*,*,#80224,.T.); #104766=ORIENTED_EDGE('',*,*,#80225,.T.); #104767=ORIENTED_EDGE('',*,*,#80221,.F.); #104768=ORIENTED_EDGE('',*,*,#80226,.F.); #104769=ORIENTED_EDGE('',*,*,#80227,.T.); #104770=ORIENTED_EDGE('',*,*,#80228,.T.); #104771=ORIENTED_EDGE('',*,*,#80224,.F.); #104772=ORIENTED_EDGE('',*,*,#80229,.F.); #104773=ORIENTED_EDGE('',*,*,#80230,.T.); #104774=ORIENTED_EDGE('',*,*,#80231,.T.); #104775=ORIENTED_EDGE('',*,*,#80227,.F.); #104776=ORIENTED_EDGE('',*,*,#80232,.F.); #104777=ORIENTED_EDGE('',*,*,#80233,.T.); #104778=ORIENTED_EDGE('',*,*,#80234,.T.); #104779=ORIENTED_EDGE('',*,*,#80230,.F.); #104780=ORIENTED_EDGE('',*,*,#80235,.F.); #104781=ORIENTED_EDGE('',*,*,#80236,.T.); #104782=ORIENTED_EDGE('',*,*,#80237,.T.); #104783=ORIENTED_EDGE('',*,*,#80233,.F.); #104784=ORIENTED_EDGE('',*,*,#80238,.F.); #104785=ORIENTED_EDGE('',*,*,#80239,.T.); #104786=ORIENTED_EDGE('',*,*,#80240,.T.); #104787=ORIENTED_EDGE('',*,*,#80236,.F.); #104788=ORIENTED_EDGE('',*,*,#80241,.F.); #104789=ORIENTED_EDGE('',*,*,#80242,.T.); #104790=ORIENTED_EDGE('',*,*,#80243,.T.); #104791=ORIENTED_EDGE('',*,*,#80239,.F.); #104792=ORIENTED_EDGE('',*,*,#80244,.F.); #104793=ORIENTED_EDGE('',*,*,#80245,.T.); #104794=ORIENTED_EDGE('',*,*,#80246,.T.); #104795=ORIENTED_EDGE('',*,*,#80242,.F.); #104796=ORIENTED_EDGE('',*,*,#80247,.F.); #104797=ORIENTED_EDGE('',*,*,#80248,.T.); #104798=ORIENTED_EDGE('',*,*,#80249,.T.); #104799=ORIENTED_EDGE('',*,*,#80245,.F.); #104800=ORIENTED_EDGE('',*,*,#80250,.F.); #104801=ORIENTED_EDGE('',*,*,#80251,.T.); #104802=ORIENTED_EDGE('',*,*,#80252,.T.); #104803=ORIENTED_EDGE('',*,*,#80248,.F.); #104804=ORIENTED_EDGE('',*,*,#80253,.F.); #104805=ORIENTED_EDGE('',*,*,#80254,.T.); #104806=ORIENTED_EDGE('',*,*,#80255,.T.); #104807=ORIENTED_EDGE('',*,*,#80251,.F.); #104808=ORIENTED_EDGE('',*,*,#80256,.F.); #104809=ORIENTED_EDGE('',*,*,#80257,.T.); #104810=ORIENTED_EDGE('',*,*,#80258,.T.); #104811=ORIENTED_EDGE('',*,*,#80254,.F.); #104812=ORIENTED_EDGE('',*,*,#80259,.F.); #104813=ORIENTED_EDGE('',*,*,#80260,.T.); #104814=ORIENTED_EDGE('',*,*,#80261,.T.); #104815=ORIENTED_EDGE('',*,*,#80257,.F.); #104816=ORIENTED_EDGE('',*,*,#80262,.F.); #104817=ORIENTED_EDGE('',*,*,#80263,.T.); #104818=ORIENTED_EDGE('',*,*,#80264,.T.); #104819=ORIENTED_EDGE('',*,*,#80260,.F.); #104820=ORIENTED_EDGE('',*,*,#80265,.F.); #104821=ORIENTED_EDGE('',*,*,#80266,.T.); #104822=ORIENTED_EDGE('',*,*,#80267,.T.); #104823=ORIENTED_EDGE('',*,*,#80263,.F.); #104824=ORIENTED_EDGE('',*,*,#80268,.F.); #104825=ORIENTED_EDGE('',*,*,#80269,.T.); #104826=ORIENTED_EDGE('',*,*,#80270,.T.); #104827=ORIENTED_EDGE('',*,*,#80266,.F.); #104828=ORIENTED_EDGE('',*,*,#80271,.F.); #104829=ORIENTED_EDGE('',*,*,#80272,.T.); #104830=ORIENTED_EDGE('',*,*,#80273,.T.); #104831=ORIENTED_EDGE('',*,*,#80269,.F.); #104832=ORIENTED_EDGE('',*,*,#80274,.F.); #104833=ORIENTED_EDGE('',*,*,#80275,.T.); #104834=ORIENTED_EDGE('',*,*,#80276,.T.); #104835=ORIENTED_EDGE('',*,*,#80272,.F.); #104836=ORIENTED_EDGE('',*,*,#80277,.F.); #104837=ORIENTED_EDGE('',*,*,#80278,.T.); #104838=ORIENTED_EDGE('',*,*,#80279,.T.); #104839=ORIENTED_EDGE('',*,*,#80275,.F.); #104840=ORIENTED_EDGE('',*,*,#80280,.F.); #104841=ORIENTED_EDGE('',*,*,#80281,.T.); #104842=ORIENTED_EDGE('',*,*,#80282,.T.); #104843=ORIENTED_EDGE('',*,*,#80278,.F.); #104844=ORIENTED_EDGE('',*,*,#80283,.F.); #104845=ORIENTED_EDGE('',*,*,#80284,.T.); #104846=ORIENTED_EDGE('',*,*,#80285,.T.); #104847=ORIENTED_EDGE('',*,*,#80281,.F.); #104848=ORIENTED_EDGE('',*,*,#80286,.F.); #104849=ORIENTED_EDGE('',*,*,#80287,.T.); #104850=ORIENTED_EDGE('',*,*,#80288,.T.); #104851=ORIENTED_EDGE('',*,*,#80284,.F.); #104852=ORIENTED_EDGE('',*,*,#80289,.F.); #104853=ORIENTED_EDGE('',*,*,#80290,.T.); #104854=ORIENTED_EDGE('',*,*,#80291,.T.); #104855=ORIENTED_EDGE('',*,*,#80287,.F.); #104856=ORIENTED_EDGE('',*,*,#80292,.F.); #104857=ORIENTED_EDGE('',*,*,#80293,.T.); #104858=ORIENTED_EDGE('',*,*,#80294,.T.); #104859=ORIENTED_EDGE('',*,*,#80290,.F.); #104860=ORIENTED_EDGE('',*,*,#80295,.F.); #104861=ORIENTED_EDGE('',*,*,#80296,.T.); #104862=ORIENTED_EDGE('',*,*,#80297,.T.); #104863=ORIENTED_EDGE('',*,*,#80293,.F.); #104864=ORIENTED_EDGE('',*,*,#80298,.F.); #104865=ORIENTED_EDGE('',*,*,#80299,.T.); #104866=ORIENTED_EDGE('',*,*,#80300,.T.); #104867=ORIENTED_EDGE('',*,*,#80296,.F.); #104868=ORIENTED_EDGE('',*,*,#80301,.F.); #104869=ORIENTED_EDGE('',*,*,#80302,.T.); #104870=ORIENTED_EDGE('',*,*,#80303,.T.); #104871=ORIENTED_EDGE('',*,*,#80299,.F.); #104872=ORIENTED_EDGE('',*,*,#80304,.F.); #104873=ORIENTED_EDGE('',*,*,#80305,.T.); #104874=ORIENTED_EDGE('',*,*,#80306,.T.); #104875=ORIENTED_EDGE('',*,*,#80302,.F.); #104876=ORIENTED_EDGE('',*,*,#80307,.F.); #104877=ORIENTED_EDGE('',*,*,#80308,.T.); #104878=ORIENTED_EDGE('',*,*,#80309,.T.); #104879=ORIENTED_EDGE('',*,*,#80305,.F.); #104880=ORIENTED_EDGE('',*,*,#80310,.F.); #104881=ORIENTED_EDGE('',*,*,#80311,.T.); #104882=ORIENTED_EDGE('',*,*,#80312,.T.); #104883=ORIENTED_EDGE('',*,*,#80308,.F.); #104884=ORIENTED_EDGE('',*,*,#80313,.F.); #104885=ORIENTED_EDGE('',*,*,#80314,.T.); #104886=ORIENTED_EDGE('',*,*,#80315,.T.); #104887=ORIENTED_EDGE('',*,*,#80311,.F.); #104888=ORIENTED_EDGE('',*,*,#80316,.F.); #104889=ORIENTED_EDGE('',*,*,#80317,.T.); #104890=ORIENTED_EDGE('',*,*,#80318,.T.); #104891=ORIENTED_EDGE('',*,*,#80314,.F.); #104892=ORIENTED_EDGE('',*,*,#80319,.F.); #104893=ORIENTED_EDGE('',*,*,#80320,.T.); #104894=ORIENTED_EDGE('',*,*,#80321,.T.); #104895=ORIENTED_EDGE('',*,*,#80317,.F.); #104896=ORIENTED_EDGE('',*,*,#80322,.F.); #104897=ORIENTED_EDGE('',*,*,#80323,.T.); #104898=ORIENTED_EDGE('',*,*,#80324,.T.); #104899=ORIENTED_EDGE('',*,*,#80320,.F.); #104900=ORIENTED_EDGE('',*,*,#80325,.F.); #104901=ORIENTED_EDGE('',*,*,#80326,.T.); #104902=ORIENTED_EDGE('',*,*,#80327,.T.); #104903=ORIENTED_EDGE('',*,*,#80323,.F.); #104904=ORIENTED_EDGE('',*,*,#80328,.F.); #104905=ORIENTED_EDGE('',*,*,#80329,.T.); #104906=ORIENTED_EDGE('',*,*,#80330,.T.); #104907=ORIENTED_EDGE('',*,*,#80326,.F.); #104908=ORIENTED_EDGE('',*,*,#80331,.F.); #104909=ORIENTED_EDGE('',*,*,#80332,.T.); #104910=ORIENTED_EDGE('',*,*,#80333,.T.); #104911=ORIENTED_EDGE('',*,*,#80329,.F.); #104912=ORIENTED_EDGE('',*,*,#80334,.F.); #104913=ORIENTED_EDGE('',*,*,#80335,.T.); #104914=ORIENTED_EDGE('',*,*,#80336,.T.); #104915=ORIENTED_EDGE('',*,*,#80332,.F.); #104916=ORIENTED_EDGE('',*,*,#80337,.F.); #104917=ORIENTED_EDGE('',*,*,#80338,.T.); #104918=ORIENTED_EDGE('',*,*,#80339,.T.); #104919=ORIENTED_EDGE('',*,*,#80335,.F.); #104920=ORIENTED_EDGE('',*,*,#80340,.F.); #104921=ORIENTED_EDGE('',*,*,#80341,.T.); #104922=ORIENTED_EDGE('',*,*,#80342,.T.); #104923=ORIENTED_EDGE('',*,*,#80338,.F.); #104924=ORIENTED_EDGE('',*,*,#80343,.F.); #104925=ORIENTED_EDGE('',*,*,#80344,.T.); #104926=ORIENTED_EDGE('',*,*,#80345,.T.); #104927=ORIENTED_EDGE('',*,*,#80341,.F.); #104928=ORIENTED_EDGE('',*,*,#80346,.F.); #104929=ORIENTED_EDGE('',*,*,#80347,.T.); #104930=ORIENTED_EDGE('',*,*,#80348,.T.); #104931=ORIENTED_EDGE('',*,*,#80344,.F.); #104932=ORIENTED_EDGE('',*,*,#80349,.F.); #104933=ORIENTED_EDGE('',*,*,#80350,.T.); #104934=ORIENTED_EDGE('',*,*,#80351,.T.); #104935=ORIENTED_EDGE('',*,*,#80347,.F.); #104936=ORIENTED_EDGE('',*,*,#80352,.F.); #104937=ORIENTED_EDGE('',*,*,#80353,.T.); #104938=ORIENTED_EDGE('',*,*,#80354,.T.); #104939=ORIENTED_EDGE('',*,*,#80350,.F.); #104940=ORIENTED_EDGE('',*,*,#80355,.F.); #104941=ORIENTED_EDGE('',*,*,#80356,.T.); #104942=ORIENTED_EDGE('',*,*,#80357,.T.); #104943=ORIENTED_EDGE('',*,*,#80353,.F.); #104944=ORIENTED_EDGE('',*,*,#80358,.F.); #104945=ORIENTED_EDGE('',*,*,#80359,.T.); #104946=ORIENTED_EDGE('',*,*,#80360,.T.); #104947=ORIENTED_EDGE('',*,*,#80356,.F.); #104948=ORIENTED_EDGE('',*,*,#80361,.F.); #104949=ORIENTED_EDGE('',*,*,#80362,.T.); #104950=ORIENTED_EDGE('',*,*,#80363,.T.); #104951=ORIENTED_EDGE('',*,*,#80359,.F.); #104952=ORIENTED_EDGE('',*,*,#80364,.F.); #104953=ORIENTED_EDGE('',*,*,#80365,.T.); #104954=ORIENTED_EDGE('',*,*,#80366,.T.); #104955=ORIENTED_EDGE('',*,*,#80362,.F.); #104956=ORIENTED_EDGE('',*,*,#80367,.F.); #104957=ORIENTED_EDGE('',*,*,#80368,.T.); #104958=ORIENTED_EDGE('',*,*,#80369,.T.); #104959=ORIENTED_EDGE('',*,*,#80365,.F.); #104960=ORIENTED_EDGE('',*,*,#80370,.F.); #104961=ORIENTED_EDGE('',*,*,#80371,.T.); #104962=ORIENTED_EDGE('',*,*,#80372,.T.); #104963=ORIENTED_EDGE('',*,*,#80368,.F.); #104964=ORIENTED_EDGE('',*,*,#80373,.F.); #104965=ORIENTED_EDGE('',*,*,#80374,.T.); #104966=ORIENTED_EDGE('',*,*,#80375,.T.); #104967=ORIENTED_EDGE('',*,*,#80371,.F.); #104968=ORIENTED_EDGE('',*,*,#80376,.F.); #104969=ORIENTED_EDGE('',*,*,#80377,.T.); #104970=ORIENTED_EDGE('',*,*,#80378,.T.); #104971=ORIENTED_EDGE('',*,*,#80374,.F.); #104972=ORIENTED_EDGE('',*,*,#80379,.F.); #104973=ORIENTED_EDGE('',*,*,#80380,.T.); #104974=ORIENTED_EDGE('',*,*,#80381,.T.); #104975=ORIENTED_EDGE('',*,*,#80377,.F.); #104976=ORIENTED_EDGE('',*,*,#80382,.F.); #104977=ORIENTED_EDGE('',*,*,#80383,.T.); #104978=ORIENTED_EDGE('',*,*,#80384,.T.); #104979=ORIENTED_EDGE('',*,*,#80380,.F.); #104980=ORIENTED_EDGE('',*,*,#80385,.F.); #104981=ORIENTED_EDGE('',*,*,#80386,.T.); #104982=ORIENTED_EDGE('',*,*,#80387,.T.); #104983=ORIENTED_EDGE('',*,*,#80383,.F.); #104984=ORIENTED_EDGE('',*,*,#80388,.F.); #104985=ORIENTED_EDGE('',*,*,#80389,.T.); #104986=ORIENTED_EDGE('',*,*,#80390,.T.); #104987=ORIENTED_EDGE('',*,*,#80386,.F.); #104988=ORIENTED_EDGE('',*,*,#80391,.F.); #104989=ORIENTED_EDGE('',*,*,#80210,.T.); #104990=ORIENTED_EDGE('',*,*,#80392,.T.); #104991=ORIENTED_EDGE('',*,*,#80389,.F.); #104992=ORIENTED_EDGE('',*,*,#80392,.F.); #104993=ORIENTED_EDGE('',*,*,#80209,.F.); #104994=ORIENTED_EDGE('',*,*,#80213,.F.); #104995=ORIENTED_EDGE('',*,*,#80216,.F.); #104996=ORIENTED_EDGE('',*,*,#80219,.F.); #104997=ORIENTED_EDGE('',*,*,#80222,.F.); #104998=ORIENTED_EDGE('',*,*,#80225,.F.); #104999=ORIENTED_EDGE('',*,*,#80228,.F.); #105000=ORIENTED_EDGE('',*,*,#80231,.F.); #105001=ORIENTED_EDGE('',*,*,#80234,.F.); #105002=ORIENTED_EDGE('',*,*,#80237,.F.); #105003=ORIENTED_EDGE('',*,*,#80240,.F.); #105004=ORIENTED_EDGE('',*,*,#80243,.F.); #105005=ORIENTED_EDGE('',*,*,#80246,.F.); #105006=ORIENTED_EDGE('',*,*,#80249,.F.); #105007=ORIENTED_EDGE('',*,*,#80252,.F.); #105008=ORIENTED_EDGE('',*,*,#80255,.F.); #105009=ORIENTED_EDGE('',*,*,#80258,.F.); #105010=ORIENTED_EDGE('',*,*,#80261,.F.); #105011=ORIENTED_EDGE('',*,*,#80264,.F.); #105012=ORIENTED_EDGE('',*,*,#80267,.F.); #105013=ORIENTED_EDGE('',*,*,#80270,.F.); #105014=ORIENTED_EDGE('',*,*,#80273,.F.); #105015=ORIENTED_EDGE('',*,*,#80276,.F.); #105016=ORIENTED_EDGE('',*,*,#80279,.F.); #105017=ORIENTED_EDGE('',*,*,#80282,.F.); #105018=ORIENTED_EDGE('',*,*,#80285,.F.); #105019=ORIENTED_EDGE('',*,*,#80288,.F.); #105020=ORIENTED_EDGE('',*,*,#80291,.F.); #105021=ORIENTED_EDGE('',*,*,#80294,.F.); #105022=ORIENTED_EDGE('',*,*,#80297,.F.); #105023=ORIENTED_EDGE('',*,*,#80300,.F.); #105024=ORIENTED_EDGE('',*,*,#80303,.F.); #105025=ORIENTED_EDGE('',*,*,#80306,.F.); #105026=ORIENTED_EDGE('',*,*,#80309,.F.); #105027=ORIENTED_EDGE('',*,*,#80312,.F.); #105028=ORIENTED_EDGE('',*,*,#80315,.F.); #105029=ORIENTED_EDGE('',*,*,#80318,.F.); #105030=ORIENTED_EDGE('',*,*,#80321,.F.); #105031=ORIENTED_EDGE('',*,*,#80324,.F.); #105032=ORIENTED_EDGE('',*,*,#80327,.F.); #105033=ORIENTED_EDGE('',*,*,#80330,.F.); #105034=ORIENTED_EDGE('',*,*,#80333,.F.); #105035=ORIENTED_EDGE('',*,*,#80336,.F.); #105036=ORIENTED_EDGE('',*,*,#80339,.F.); #105037=ORIENTED_EDGE('',*,*,#80342,.F.); #105038=ORIENTED_EDGE('',*,*,#80345,.F.); #105039=ORIENTED_EDGE('',*,*,#80348,.F.); #105040=ORIENTED_EDGE('',*,*,#80351,.F.); #105041=ORIENTED_EDGE('',*,*,#80354,.F.); #105042=ORIENTED_EDGE('',*,*,#80357,.F.); #105043=ORIENTED_EDGE('',*,*,#80360,.F.); #105044=ORIENTED_EDGE('',*,*,#80363,.F.); #105045=ORIENTED_EDGE('',*,*,#80366,.F.); #105046=ORIENTED_EDGE('',*,*,#80369,.F.); #105047=ORIENTED_EDGE('',*,*,#80372,.F.); #105048=ORIENTED_EDGE('',*,*,#80375,.F.); #105049=ORIENTED_EDGE('',*,*,#80378,.F.); #105050=ORIENTED_EDGE('',*,*,#80381,.F.); #105051=ORIENTED_EDGE('',*,*,#80384,.F.); #105052=ORIENTED_EDGE('',*,*,#80387,.F.); #105053=ORIENTED_EDGE('',*,*,#80390,.F.); #105054=ORIENTED_EDGE('',*,*,#80206,.F.); #105055=ORIENTED_EDGE('',*,*,#80182,.F.); #105056=ORIENTED_EDGE('',*,*,#80186,.F.); #105057=ORIENTED_EDGE('',*,*,#80189,.F.); #105058=ORIENTED_EDGE('',*,*,#80192,.F.); #105059=ORIENTED_EDGE('',*,*,#80195,.F.); #105060=ORIENTED_EDGE('',*,*,#80198,.F.); #105061=ORIENTED_EDGE('',*,*,#80201,.F.); #105062=ORIENTED_EDGE('',*,*,#80204,.F.); #105063=ORIENTED_EDGE('',*,*,#80179,.F.); #105064=ORIENTED_EDGE('',*,*,#80161,.F.); #105065=ORIENTED_EDGE('',*,*,#80165,.F.); #105066=ORIENTED_EDGE('',*,*,#80168,.F.); #105067=ORIENTED_EDGE('',*,*,#80171,.F.); #105068=ORIENTED_EDGE('',*,*,#80174,.F.); #105069=ORIENTED_EDGE('',*,*,#80177,.F.); #105070=ORIENTED_EDGE('',*,*,#80393,.F.); #105071=ORIENTED_EDGE('',*,*,#80394,.T.); #105072=ORIENTED_EDGE('',*,*,#80395,.T.); #105073=ORIENTED_EDGE('',*,*,#80396,.F.); #105074=ORIENTED_EDGE('',*,*,#80397,.F.); #105075=ORIENTED_EDGE('',*,*,#80398,.T.); #105076=ORIENTED_EDGE('',*,*,#80399,.T.); #105077=ORIENTED_EDGE('',*,*,#80394,.F.); #105078=ORIENTED_EDGE('',*,*,#80400,.F.); #105079=ORIENTED_EDGE('',*,*,#80401,.T.); #105080=ORIENTED_EDGE('',*,*,#80402,.T.); #105081=ORIENTED_EDGE('',*,*,#80398,.F.); #105082=ORIENTED_EDGE('',*,*,#80403,.F.); #105083=ORIENTED_EDGE('',*,*,#80404,.T.); #105084=ORIENTED_EDGE('',*,*,#80405,.T.); #105085=ORIENTED_EDGE('',*,*,#80401,.F.); #105086=ORIENTED_EDGE('',*,*,#80406,.F.); #105087=ORIENTED_EDGE('',*,*,#80407,.T.); #105088=ORIENTED_EDGE('',*,*,#80408,.T.); #105089=ORIENTED_EDGE('',*,*,#80404,.F.); #105090=ORIENTED_EDGE('',*,*,#80409,.F.); #105091=ORIENTED_EDGE('',*,*,#80410,.T.); #105092=ORIENTED_EDGE('',*,*,#80411,.T.); #105093=ORIENTED_EDGE('',*,*,#80407,.F.); #105094=ORIENTED_EDGE('',*,*,#80412,.F.); #105095=ORIENTED_EDGE('',*,*,#80413,.T.); #105096=ORIENTED_EDGE('',*,*,#80414,.T.); #105097=ORIENTED_EDGE('',*,*,#80410,.F.); #105098=ORIENTED_EDGE('',*,*,#80415,.F.); #105099=ORIENTED_EDGE('',*,*,#80416,.T.); #105100=ORIENTED_EDGE('',*,*,#80417,.T.); #105101=ORIENTED_EDGE('',*,*,#80413,.F.); #105102=ORIENTED_EDGE('',*,*,#80418,.F.); #105103=ORIENTED_EDGE('',*,*,#80419,.T.); #105104=ORIENTED_EDGE('',*,*,#80420,.T.); #105105=ORIENTED_EDGE('',*,*,#80416,.F.); #105106=ORIENTED_EDGE('',*,*,#80421,.F.); #105107=ORIENTED_EDGE('',*,*,#80422,.T.); #105108=ORIENTED_EDGE('',*,*,#80423,.T.); #105109=ORIENTED_EDGE('',*,*,#80419,.F.); #105110=ORIENTED_EDGE('',*,*,#80424,.F.); #105111=ORIENTED_EDGE('',*,*,#80425,.T.); #105112=ORIENTED_EDGE('',*,*,#80426,.T.); #105113=ORIENTED_EDGE('',*,*,#80422,.F.); #105114=ORIENTED_EDGE('',*,*,#80427,.F.); #105115=ORIENTED_EDGE('',*,*,#80396,.T.); #105116=ORIENTED_EDGE('',*,*,#80428,.T.); #105117=ORIENTED_EDGE('',*,*,#80425,.F.); #105118=ORIENTED_EDGE('',*,*,#80428,.F.); #105119=ORIENTED_EDGE('',*,*,#80395,.F.); #105120=ORIENTED_EDGE('',*,*,#80399,.F.); #105121=ORIENTED_EDGE('',*,*,#80402,.F.); #105122=ORIENTED_EDGE('',*,*,#80405,.F.); #105123=ORIENTED_EDGE('',*,*,#80408,.F.); #105124=ORIENTED_EDGE('',*,*,#80411,.F.); #105125=ORIENTED_EDGE('',*,*,#80414,.F.); #105126=ORIENTED_EDGE('',*,*,#80417,.F.); #105127=ORIENTED_EDGE('',*,*,#80420,.F.); #105128=ORIENTED_EDGE('',*,*,#80423,.F.); #105129=ORIENTED_EDGE('',*,*,#80426,.F.); #105130=ORIENTED_EDGE('',*,*,#80429,.F.); #105131=ORIENTED_EDGE('',*,*,#80430,.T.); #105132=ORIENTED_EDGE('',*,*,#80431,.T.); #105133=ORIENTED_EDGE('',*,*,#80432,.F.); #105134=ORIENTED_EDGE('',*,*,#80433,.F.); #105135=ORIENTED_EDGE('',*,*,#80434,.T.); #105136=ORIENTED_EDGE('',*,*,#80435,.T.); #105137=ORIENTED_EDGE('',*,*,#80430,.F.); #105138=ORIENTED_EDGE('',*,*,#80436,.F.); #105139=ORIENTED_EDGE('',*,*,#80437,.T.); #105140=ORIENTED_EDGE('',*,*,#80438,.T.); #105141=ORIENTED_EDGE('',*,*,#80434,.F.); #105142=ORIENTED_EDGE('',*,*,#80439,.F.); #105143=ORIENTED_EDGE('',*,*,#80432,.T.); #105144=ORIENTED_EDGE('',*,*,#80440,.T.); #105145=ORIENTED_EDGE('',*,*,#80437,.F.); #105146=ORIENTED_EDGE('',*,*,#80440,.F.); #105147=ORIENTED_EDGE('',*,*,#80431,.F.); #105148=ORIENTED_EDGE('',*,*,#80435,.F.); #105149=ORIENTED_EDGE('',*,*,#80438,.F.); #105150=ORIENTED_EDGE('',*,*,#80441,.F.); #105151=ORIENTED_EDGE('',*,*,#80442,.T.); #105152=ORIENTED_EDGE('',*,*,#80443,.T.); #105153=ORIENTED_EDGE('',*,*,#80444,.F.); #105154=ORIENTED_EDGE('',*,*,#80445,.F.); #105155=ORIENTED_EDGE('',*,*,#80446,.T.); #105156=ORIENTED_EDGE('',*,*,#80447,.T.); #105157=ORIENTED_EDGE('',*,*,#80442,.F.); #105158=ORIENTED_EDGE('',*,*,#80448,.F.); #105159=ORIENTED_EDGE('',*,*,#80449,.T.); #105160=ORIENTED_EDGE('',*,*,#80450,.T.); #105161=ORIENTED_EDGE('',*,*,#80446,.F.); #105162=ORIENTED_EDGE('',*,*,#80451,.F.); #105163=ORIENTED_EDGE('',*,*,#80452,.T.); #105164=ORIENTED_EDGE('',*,*,#80453,.T.); #105165=ORIENTED_EDGE('',*,*,#80449,.F.); #105166=ORIENTED_EDGE('',*,*,#80454,.F.); #105167=ORIENTED_EDGE('',*,*,#80455,.T.); #105168=ORIENTED_EDGE('',*,*,#80456,.T.); #105169=ORIENTED_EDGE('',*,*,#80452,.F.); #105170=ORIENTED_EDGE('',*,*,#80457,.F.); #105171=ORIENTED_EDGE('',*,*,#80458,.T.); #105172=ORIENTED_EDGE('',*,*,#80459,.T.); #105173=ORIENTED_EDGE('',*,*,#80455,.F.); #105174=ORIENTED_EDGE('',*,*,#80460,.F.); #105175=ORIENTED_EDGE('',*,*,#80461,.T.); #105176=ORIENTED_EDGE('',*,*,#80462,.T.); #105177=ORIENTED_EDGE('',*,*,#80458,.F.); #105178=ORIENTED_EDGE('',*,*,#80463,.F.); #105179=ORIENTED_EDGE('',*,*,#80464,.T.); #105180=ORIENTED_EDGE('',*,*,#80465,.T.); #105181=ORIENTED_EDGE('',*,*,#80461,.F.); #105182=ORIENTED_EDGE('',*,*,#80466,.F.); #105183=ORIENTED_EDGE('',*,*,#80467,.T.); #105184=ORIENTED_EDGE('',*,*,#80468,.T.); #105185=ORIENTED_EDGE('',*,*,#80464,.F.); #105186=ORIENTED_EDGE('',*,*,#80469,.F.); #105187=ORIENTED_EDGE('',*,*,#80470,.T.); #105188=ORIENTED_EDGE('',*,*,#80471,.T.); #105189=ORIENTED_EDGE('',*,*,#80467,.F.); #105190=ORIENTED_EDGE('',*,*,#80472,.F.); #105191=ORIENTED_EDGE('',*,*,#80444,.T.); #105192=ORIENTED_EDGE('',*,*,#80473,.T.); #105193=ORIENTED_EDGE('',*,*,#80470,.F.); #105194=ORIENTED_EDGE('',*,*,#80474,.F.); #105195=ORIENTED_EDGE('',*,*,#80475,.T.); #105196=ORIENTED_EDGE('',*,*,#80476,.T.); #105197=ORIENTED_EDGE('',*,*,#80477,.F.); #105198=ORIENTED_EDGE('',*,*,#80478,.F.); #105199=ORIENTED_EDGE('',*,*,#80479,.T.); #105200=ORIENTED_EDGE('',*,*,#80480,.T.); #105201=ORIENTED_EDGE('',*,*,#80475,.F.); #105202=ORIENTED_EDGE('',*,*,#80481,.F.); #105203=ORIENTED_EDGE('',*,*,#80482,.T.); #105204=ORIENTED_EDGE('',*,*,#80483,.T.); #105205=ORIENTED_EDGE('',*,*,#80479,.F.); #105206=ORIENTED_EDGE('',*,*,#80484,.F.); #105207=ORIENTED_EDGE('',*,*,#80485,.T.); #105208=ORIENTED_EDGE('',*,*,#80486,.T.); #105209=ORIENTED_EDGE('',*,*,#80482,.F.); #105210=ORIENTED_EDGE('',*,*,#80487,.F.); #105211=ORIENTED_EDGE('',*,*,#80488,.T.); #105212=ORIENTED_EDGE('',*,*,#80489,.T.); #105213=ORIENTED_EDGE('',*,*,#80485,.F.); #105214=ORIENTED_EDGE('',*,*,#80490,.F.); #105215=ORIENTED_EDGE('',*,*,#80491,.T.); #105216=ORIENTED_EDGE('',*,*,#80492,.T.); #105217=ORIENTED_EDGE('',*,*,#80488,.F.); #105218=ORIENTED_EDGE('',*,*,#80493,.F.); #105219=ORIENTED_EDGE('',*,*,#80494,.T.); #105220=ORIENTED_EDGE('',*,*,#80495,.T.); #105221=ORIENTED_EDGE('',*,*,#80491,.F.); #105222=ORIENTED_EDGE('',*,*,#80496,.F.); #105223=ORIENTED_EDGE('',*,*,#80497,.T.); #105224=ORIENTED_EDGE('',*,*,#80498,.T.); #105225=ORIENTED_EDGE('',*,*,#80494,.F.); #105226=ORIENTED_EDGE('',*,*,#80499,.F.); #105227=ORIENTED_EDGE('',*,*,#80500,.T.); #105228=ORIENTED_EDGE('',*,*,#80501,.T.); #105229=ORIENTED_EDGE('',*,*,#80497,.F.); #105230=ORIENTED_EDGE('',*,*,#80502,.F.); #105231=ORIENTED_EDGE('',*,*,#80503,.T.); #105232=ORIENTED_EDGE('',*,*,#80504,.T.); #105233=ORIENTED_EDGE('',*,*,#80500,.F.); #105234=ORIENTED_EDGE('',*,*,#80505,.F.); #105235=ORIENTED_EDGE('',*,*,#80506,.T.); #105236=ORIENTED_EDGE('',*,*,#80507,.T.); #105237=ORIENTED_EDGE('',*,*,#80503,.F.); #105238=ORIENTED_EDGE('',*,*,#80508,.F.); #105239=ORIENTED_EDGE('',*,*,#80509,.T.); #105240=ORIENTED_EDGE('',*,*,#80510,.T.); #105241=ORIENTED_EDGE('',*,*,#80506,.F.); #105242=ORIENTED_EDGE('',*,*,#80511,.F.); #105243=ORIENTED_EDGE('',*,*,#80512,.T.); #105244=ORIENTED_EDGE('',*,*,#80513,.T.); #105245=ORIENTED_EDGE('',*,*,#80509,.F.); #105246=ORIENTED_EDGE('',*,*,#80514,.F.); #105247=ORIENTED_EDGE('',*,*,#80515,.T.); #105248=ORIENTED_EDGE('',*,*,#80516,.T.); #105249=ORIENTED_EDGE('',*,*,#80512,.F.); #105250=ORIENTED_EDGE('',*,*,#80517,.F.); #105251=ORIENTED_EDGE('',*,*,#80518,.T.); #105252=ORIENTED_EDGE('',*,*,#80519,.T.); #105253=ORIENTED_EDGE('',*,*,#80515,.F.); #105254=ORIENTED_EDGE('',*,*,#80520,.F.); #105255=ORIENTED_EDGE('',*,*,#80521,.T.); #105256=ORIENTED_EDGE('',*,*,#80522,.T.); #105257=ORIENTED_EDGE('',*,*,#80518,.F.); #105258=ORIENTED_EDGE('',*,*,#80523,.F.); #105259=ORIENTED_EDGE('',*,*,#80524,.T.); #105260=ORIENTED_EDGE('',*,*,#80525,.T.); #105261=ORIENTED_EDGE('',*,*,#80521,.F.); #105262=ORIENTED_EDGE('',*,*,#80526,.F.); #105263=ORIENTED_EDGE('',*,*,#80527,.T.); #105264=ORIENTED_EDGE('',*,*,#80528,.T.); #105265=ORIENTED_EDGE('',*,*,#80524,.F.); #105266=ORIENTED_EDGE('',*,*,#80529,.F.); #105267=ORIENTED_EDGE('',*,*,#80530,.T.); #105268=ORIENTED_EDGE('',*,*,#80531,.T.); #105269=ORIENTED_EDGE('',*,*,#80527,.F.); #105270=ORIENTED_EDGE('',*,*,#80532,.F.); #105271=ORIENTED_EDGE('',*,*,#80533,.T.); #105272=ORIENTED_EDGE('',*,*,#80534,.T.); #105273=ORIENTED_EDGE('',*,*,#80530,.F.); #105274=ORIENTED_EDGE('',*,*,#80535,.F.); #105275=ORIENTED_EDGE('',*,*,#80536,.T.); #105276=ORIENTED_EDGE('',*,*,#80537,.T.); #105277=ORIENTED_EDGE('',*,*,#80533,.F.); #105278=ORIENTED_EDGE('',*,*,#80538,.F.); #105279=ORIENTED_EDGE('',*,*,#80539,.T.); #105280=ORIENTED_EDGE('',*,*,#80540,.T.); #105281=ORIENTED_EDGE('',*,*,#80536,.F.); #105282=ORIENTED_EDGE('',*,*,#80541,.F.); #105283=ORIENTED_EDGE('',*,*,#80542,.T.); #105284=ORIENTED_EDGE('',*,*,#80543,.T.); #105285=ORIENTED_EDGE('',*,*,#80539,.F.); #105286=ORIENTED_EDGE('',*,*,#80544,.F.); #105287=ORIENTED_EDGE('',*,*,#80545,.T.); #105288=ORIENTED_EDGE('',*,*,#80546,.T.); #105289=ORIENTED_EDGE('',*,*,#80542,.F.); #105290=ORIENTED_EDGE('',*,*,#80547,.F.); #105291=ORIENTED_EDGE('',*,*,#80548,.T.); #105292=ORIENTED_EDGE('',*,*,#80549,.T.); #105293=ORIENTED_EDGE('',*,*,#80545,.F.); #105294=ORIENTED_EDGE('',*,*,#80550,.F.); #105295=ORIENTED_EDGE('',*,*,#80551,.T.); #105296=ORIENTED_EDGE('',*,*,#80552,.T.); #105297=ORIENTED_EDGE('',*,*,#80548,.F.); #105298=ORIENTED_EDGE('',*,*,#80553,.F.); #105299=ORIENTED_EDGE('',*,*,#80554,.T.); #105300=ORIENTED_EDGE('',*,*,#80555,.T.); #105301=ORIENTED_EDGE('',*,*,#80551,.F.); #105302=ORIENTED_EDGE('',*,*,#80556,.F.); #105303=ORIENTED_EDGE('',*,*,#80557,.T.); #105304=ORIENTED_EDGE('',*,*,#80558,.T.); #105305=ORIENTED_EDGE('',*,*,#80554,.F.); #105306=ORIENTED_EDGE('',*,*,#80559,.F.); #105307=ORIENTED_EDGE('',*,*,#80560,.T.); #105308=ORIENTED_EDGE('',*,*,#80561,.T.); #105309=ORIENTED_EDGE('',*,*,#80557,.F.); #105310=ORIENTED_EDGE('',*,*,#80562,.F.); #105311=ORIENTED_EDGE('',*,*,#80563,.T.); #105312=ORIENTED_EDGE('',*,*,#80564,.T.); #105313=ORIENTED_EDGE('',*,*,#80560,.F.); #105314=ORIENTED_EDGE('',*,*,#80565,.F.); #105315=ORIENTED_EDGE('',*,*,#80566,.T.); #105316=ORIENTED_EDGE('',*,*,#80567,.T.); #105317=ORIENTED_EDGE('',*,*,#80563,.F.); #105318=ORIENTED_EDGE('',*,*,#80568,.F.); #105319=ORIENTED_EDGE('',*,*,#80569,.T.); #105320=ORIENTED_EDGE('',*,*,#80570,.T.); #105321=ORIENTED_EDGE('',*,*,#80566,.F.); #105322=ORIENTED_EDGE('',*,*,#80571,.F.); #105323=ORIENTED_EDGE('',*,*,#80572,.T.); #105324=ORIENTED_EDGE('',*,*,#80573,.T.); #105325=ORIENTED_EDGE('',*,*,#80569,.F.); #105326=ORIENTED_EDGE('',*,*,#80574,.F.); #105327=ORIENTED_EDGE('',*,*,#80575,.T.); #105328=ORIENTED_EDGE('',*,*,#80576,.T.); #105329=ORIENTED_EDGE('',*,*,#80572,.F.); #105330=ORIENTED_EDGE('',*,*,#80577,.F.); #105331=ORIENTED_EDGE('',*,*,#80477,.T.); #105332=ORIENTED_EDGE('',*,*,#80578,.T.); #105333=ORIENTED_EDGE('',*,*,#80575,.F.); #105334=ORIENTED_EDGE('',*,*,#80578,.F.); #105335=ORIENTED_EDGE('',*,*,#80476,.F.); #105336=ORIENTED_EDGE('',*,*,#80480,.F.); #105337=ORIENTED_EDGE('',*,*,#80483,.F.); #105338=ORIENTED_EDGE('',*,*,#80486,.F.); #105339=ORIENTED_EDGE('',*,*,#80489,.F.); #105340=ORIENTED_EDGE('',*,*,#80492,.F.); #105341=ORIENTED_EDGE('',*,*,#80495,.F.); #105342=ORIENTED_EDGE('',*,*,#80498,.F.); #105343=ORIENTED_EDGE('',*,*,#80501,.F.); #105344=ORIENTED_EDGE('',*,*,#80504,.F.); #105345=ORIENTED_EDGE('',*,*,#80507,.F.); #105346=ORIENTED_EDGE('',*,*,#80510,.F.); #105347=ORIENTED_EDGE('',*,*,#80513,.F.); #105348=ORIENTED_EDGE('',*,*,#80516,.F.); #105349=ORIENTED_EDGE('',*,*,#80519,.F.); #105350=ORIENTED_EDGE('',*,*,#80522,.F.); #105351=ORIENTED_EDGE('',*,*,#80525,.F.); #105352=ORIENTED_EDGE('',*,*,#80528,.F.); #105353=ORIENTED_EDGE('',*,*,#80531,.F.); #105354=ORIENTED_EDGE('',*,*,#80534,.F.); #105355=ORIENTED_EDGE('',*,*,#80537,.F.); #105356=ORIENTED_EDGE('',*,*,#80540,.F.); #105357=ORIENTED_EDGE('',*,*,#80543,.F.); #105358=ORIENTED_EDGE('',*,*,#80546,.F.); #105359=ORIENTED_EDGE('',*,*,#80549,.F.); #105360=ORIENTED_EDGE('',*,*,#80552,.F.); #105361=ORIENTED_EDGE('',*,*,#80555,.F.); #105362=ORIENTED_EDGE('',*,*,#80558,.F.); #105363=ORIENTED_EDGE('',*,*,#80561,.F.); #105364=ORIENTED_EDGE('',*,*,#80564,.F.); #105365=ORIENTED_EDGE('',*,*,#80567,.F.); #105366=ORIENTED_EDGE('',*,*,#80570,.F.); #105367=ORIENTED_EDGE('',*,*,#80573,.F.); #105368=ORIENTED_EDGE('',*,*,#80576,.F.); #105369=ORIENTED_EDGE('',*,*,#80473,.F.); #105370=ORIENTED_EDGE('',*,*,#80443,.F.); #105371=ORIENTED_EDGE('',*,*,#80447,.F.); #105372=ORIENTED_EDGE('',*,*,#80450,.F.); #105373=ORIENTED_EDGE('',*,*,#80453,.F.); #105374=ORIENTED_EDGE('',*,*,#80456,.F.); #105375=ORIENTED_EDGE('',*,*,#80459,.F.); #105376=ORIENTED_EDGE('',*,*,#80462,.F.); #105377=ORIENTED_EDGE('',*,*,#80465,.F.); #105378=ORIENTED_EDGE('',*,*,#80468,.F.); #105379=ORIENTED_EDGE('',*,*,#80471,.F.); #105380=ORIENTED_EDGE('',*,*,#80579,.F.); #105381=ORIENTED_EDGE('',*,*,#80580,.T.); #105382=ORIENTED_EDGE('',*,*,#80581,.T.); #105383=ORIENTED_EDGE('',*,*,#80582,.F.); #105384=ORIENTED_EDGE('',*,*,#80583,.F.); #105385=ORIENTED_EDGE('',*,*,#80584,.T.); #105386=ORIENTED_EDGE('',*,*,#80585,.T.); #105387=ORIENTED_EDGE('',*,*,#80580,.F.); #105388=ORIENTED_EDGE('',*,*,#80586,.F.); #105389=ORIENTED_EDGE('',*,*,#80587,.T.); #105390=ORIENTED_EDGE('',*,*,#80588,.T.); #105391=ORIENTED_EDGE('',*,*,#80584,.F.); #105392=ORIENTED_EDGE('',*,*,#80589,.F.); #105393=ORIENTED_EDGE('',*,*,#80590,.T.); #105394=ORIENTED_EDGE('',*,*,#80591,.T.); #105395=ORIENTED_EDGE('',*,*,#80587,.F.); #105396=ORIENTED_EDGE('',*,*,#80592,.F.); #105397=ORIENTED_EDGE('',*,*,#80593,.T.); #105398=ORIENTED_EDGE('',*,*,#80594,.T.); #105399=ORIENTED_EDGE('',*,*,#80590,.F.); #105400=ORIENTED_EDGE('',*,*,#80595,.F.); #105401=ORIENTED_EDGE('',*,*,#80596,.T.); #105402=ORIENTED_EDGE('',*,*,#80597,.T.); #105403=ORIENTED_EDGE('',*,*,#80593,.F.); #105404=ORIENTED_EDGE('',*,*,#80598,.F.); #105405=ORIENTED_EDGE('',*,*,#80599,.T.); #105406=ORIENTED_EDGE('',*,*,#80600,.T.); #105407=ORIENTED_EDGE('',*,*,#80596,.F.); #105408=ORIENTED_EDGE('',*,*,#80601,.F.); #105409=ORIENTED_EDGE('',*,*,#80582,.T.); #105410=ORIENTED_EDGE('',*,*,#80602,.T.); #105411=ORIENTED_EDGE('',*,*,#80599,.F.); #105412=ORIENTED_EDGE('',*,*,#80602,.F.); #105413=ORIENTED_EDGE('',*,*,#80581,.F.); #105414=ORIENTED_EDGE('',*,*,#80585,.F.); #105415=ORIENTED_EDGE('',*,*,#80588,.F.); #105416=ORIENTED_EDGE('',*,*,#80591,.F.); #105417=ORIENTED_EDGE('',*,*,#80594,.F.); #105418=ORIENTED_EDGE('',*,*,#80597,.F.); #105419=ORIENTED_EDGE('',*,*,#80600,.F.); #105420=ORIENTED_EDGE('',*,*,#80603,.F.); #105421=ORIENTED_EDGE('',*,*,#80604,.T.); #105422=ORIENTED_EDGE('',*,*,#80605,.T.); #105423=ORIENTED_EDGE('',*,*,#80606,.F.); #105424=ORIENTED_EDGE('',*,*,#80607,.F.); #105425=ORIENTED_EDGE('',*,*,#80608,.T.); #105426=ORIENTED_EDGE('',*,*,#80609,.T.); #105427=ORIENTED_EDGE('',*,*,#80604,.F.); #105428=ORIENTED_EDGE('',*,*,#80610,.F.); #105429=ORIENTED_EDGE('',*,*,#80611,.T.); #105430=ORIENTED_EDGE('',*,*,#80612,.T.); #105431=ORIENTED_EDGE('',*,*,#80608,.F.); #105432=ORIENTED_EDGE('',*,*,#80613,.F.); #105433=ORIENTED_EDGE('',*,*,#80614,.T.); #105434=ORIENTED_EDGE('',*,*,#80615,.T.); #105435=ORIENTED_EDGE('',*,*,#80611,.F.); #105436=ORIENTED_EDGE('',*,*,#80616,.F.); #105437=ORIENTED_EDGE('',*,*,#80617,.T.); #105438=ORIENTED_EDGE('',*,*,#80618,.T.); #105439=ORIENTED_EDGE('',*,*,#80614,.F.); #105440=ORIENTED_EDGE('',*,*,#80619,.F.); #105441=ORIENTED_EDGE('',*,*,#80620,.T.); #105442=ORIENTED_EDGE('',*,*,#80621,.T.); #105443=ORIENTED_EDGE('',*,*,#80617,.F.); #105444=ORIENTED_EDGE('',*,*,#80622,.F.); #105445=ORIENTED_EDGE('',*,*,#80623,.T.); #105446=ORIENTED_EDGE('',*,*,#80624,.T.); #105447=ORIENTED_EDGE('',*,*,#80620,.F.); #105448=ORIENTED_EDGE('',*,*,#80625,.F.); #105449=ORIENTED_EDGE('',*,*,#80626,.T.); #105450=ORIENTED_EDGE('',*,*,#80627,.T.); #105451=ORIENTED_EDGE('',*,*,#80623,.F.); #105452=ORIENTED_EDGE('',*,*,#80628,.F.); #105453=ORIENTED_EDGE('',*,*,#80629,.T.); #105454=ORIENTED_EDGE('',*,*,#80630,.T.); #105455=ORIENTED_EDGE('',*,*,#80626,.F.); #105456=ORIENTED_EDGE('',*,*,#80631,.F.); #105457=ORIENTED_EDGE('',*,*,#80632,.T.); #105458=ORIENTED_EDGE('',*,*,#80633,.T.); #105459=ORIENTED_EDGE('',*,*,#80629,.F.); #105460=ORIENTED_EDGE('',*,*,#80634,.F.); #105461=ORIENTED_EDGE('',*,*,#80635,.T.); #105462=ORIENTED_EDGE('',*,*,#80636,.T.); #105463=ORIENTED_EDGE('',*,*,#80632,.F.); #105464=ORIENTED_EDGE('',*,*,#80637,.F.); #105465=ORIENTED_EDGE('',*,*,#80638,.T.); #105466=ORIENTED_EDGE('',*,*,#80639,.T.); #105467=ORIENTED_EDGE('',*,*,#80635,.F.); #105468=ORIENTED_EDGE('',*,*,#80640,.F.); #105469=ORIENTED_EDGE('',*,*,#80641,.T.); #105470=ORIENTED_EDGE('',*,*,#80642,.T.); #105471=ORIENTED_EDGE('',*,*,#80638,.F.); #105472=ORIENTED_EDGE('',*,*,#80643,.F.); #105473=ORIENTED_EDGE('',*,*,#80644,.T.); #105474=ORIENTED_EDGE('',*,*,#80645,.T.); #105475=ORIENTED_EDGE('',*,*,#80641,.F.); #105476=ORIENTED_EDGE('',*,*,#80646,.F.); #105477=ORIENTED_EDGE('',*,*,#80606,.T.); #105478=ORIENTED_EDGE('',*,*,#80647,.T.); #105479=ORIENTED_EDGE('',*,*,#80644,.F.); #105480=ORIENTED_EDGE('',*,*,#80647,.F.); #105481=ORIENTED_EDGE('',*,*,#80605,.F.); #105482=ORIENTED_EDGE('',*,*,#80609,.F.); #105483=ORIENTED_EDGE('',*,*,#80612,.F.); #105484=ORIENTED_EDGE('',*,*,#80615,.F.); #105485=ORIENTED_EDGE('',*,*,#80618,.F.); #105486=ORIENTED_EDGE('',*,*,#80621,.F.); #105487=ORIENTED_EDGE('',*,*,#80624,.F.); #105488=ORIENTED_EDGE('',*,*,#80627,.F.); #105489=ORIENTED_EDGE('',*,*,#80630,.F.); #105490=ORIENTED_EDGE('',*,*,#80633,.F.); #105491=ORIENTED_EDGE('',*,*,#80636,.F.); #105492=ORIENTED_EDGE('',*,*,#80639,.F.); #105493=ORIENTED_EDGE('',*,*,#80642,.F.); #105494=ORIENTED_EDGE('',*,*,#80645,.F.); #105495=ORIENTED_EDGE('',*,*,#80648,.F.); #105496=ORIENTED_EDGE('',*,*,#80649,.T.); #105497=ORIENTED_EDGE('',*,*,#80650,.T.); #105498=ORIENTED_EDGE('',*,*,#80651,.F.); #105499=ORIENTED_EDGE('',*,*,#80652,.F.); #105500=ORIENTED_EDGE('',*,*,#80653,.T.); #105501=ORIENTED_EDGE('',*,*,#80654,.T.); #105502=ORIENTED_EDGE('',*,*,#80649,.F.); #105503=ORIENTED_EDGE('',*,*,#80655,.F.); #105504=ORIENTED_EDGE('',*,*,#80656,.T.); #105505=ORIENTED_EDGE('',*,*,#80657,.T.); #105506=ORIENTED_EDGE('',*,*,#80653,.F.); #105507=ORIENTED_EDGE('',*,*,#80658,.F.); #105508=ORIENTED_EDGE('',*,*,#80659,.T.); #105509=ORIENTED_EDGE('',*,*,#80660,.T.); #105510=ORIENTED_EDGE('',*,*,#80656,.F.); #105511=ORIENTED_EDGE('',*,*,#80661,.F.); #105512=ORIENTED_EDGE('',*,*,#80662,.T.); #105513=ORIENTED_EDGE('',*,*,#80663,.T.); #105514=ORIENTED_EDGE('',*,*,#80659,.F.); #105515=ORIENTED_EDGE('',*,*,#80664,.F.); #105516=ORIENTED_EDGE('',*,*,#80665,.T.); #105517=ORIENTED_EDGE('',*,*,#80666,.T.); #105518=ORIENTED_EDGE('',*,*,#80662,.F.); #105519=ORIENTED_EDGE('',*,*,#80667,.F.); #105520=ORIENTED_EDGE('',*,*,#80668,.T.); #105521=ORIENTED_EDGE('',*,*,#80669,.T.); #105522=ORIENTED_EDGE('',*,*,#80665,.F.); #105523=ORIENTED_EDGE('',*,*,#80670,.F.); #105524=ORIENTED_EDGE('',*,*,#80671,.T.); #105525=ORIENTED_EDGE('',*,*,#80672,.T.); #105526=ORIENTED_EDGE('',*,*,#80668,.F.); #105527=ORIENTED_EDGE('',*,*,#80673,.F.); #105528=ORIENTED_EDGE('',*,*,#80674,.T.); #105529=ORIENTED_EDGE('',*,*,#80675,.T.); #105530=ORIENTED_EDGE('',*,*,#80671,.F.); #105531=ORIENTED_EDGE('',*,*,#80676,.F.); #105532=ORIENTED_EDGE('',*,*,#80677,.T.); #105533=ORIENTED_EDGE('',*,*,#80678,.T.); #105534=ORIENTED_EDGE('',*,*,#80674,.F.); #105535=ORIENTED_EDGE('',*,*,#80679,.F.); #105536=ORIENTED_EDGE('',*,*,#80680,.T.); #105537=ORIENTED_EDGE('',*,*,#80681,.T.); #105538=ORIENTED_EDGE('',*,*,#80677,.F.); #105539=ORIENTED_EDGE('',*,*,#80682,.F.); #105540=ORIENTED_EDGE('',*,*,#80683,.T.); #105541=ORIENTED_EDGE('',*,*,#80684,.T.); #105542=ORIENTED_EDGE('',*,*,#80680,.F.); #105543=ORIENTED_EDGE('',*,*,#80685,.F.); #105544=ORIENTED_EDGE('',*,*,#80686,.T.); #105545=ORIENTED_EDGE('',*,*,#80687,.T.); #105546=ORIENTED_EDGE('',*,*,#80683,.F.); #105547=ORIENTED_EDGE('',*,*,#80688,.F.); #105548=ORIENTED_EDGE('',*,*,#80689,.T.); #105549=ORIENTED_EDGE('',*,*,#80690,.T.); #105550=ORIENTED_EDGE('',*,*,#80686,.F.); #105551=ORIENTED_EDGE('',*,*,#80691,.F.); #105552=ORIENTED_EDGE('',*,*,#80692,.T.); #105553=ORIENTED_EDGE('',*,*,#80693,.T.); #105554=ORIENTED_EDGE('',*,*,#80689,.F.); #105555=ORIENTED_EDGE('',*,*,#80694,.F.); #105556=ORIENTED_EDGE('',*,*,#80695,.T.); #105557=ORIENTED_EDGE('',*,*,#80696,.T.); #105558=ORIENTED_EDGE('',*,*,#80692,.F.); #105559=ORIENTED_EDGE('',*,*,#80697,.F.); #105560=ORIENTED_EDGE('',*,*,#80698,.T.); #105561=ORIENTED_EDGE('',*,*,#80699,.T.); #105562=ORIENTED_EDGE('',*,*,#80695,.F.); #105563=ORIENTED_EDGE('',*,*,#80700,.F.); #105564=ORIENTED_EDGE('',*,*,#80701,.T.); #105565=ORIENTED_EDGE('',*,*,#80702,.T.); #105566=ORIENTED_EDGE('',*,*,#80698,.F.); #105567=ORIENTED_EDGE('',*,*,#80703,.F.); #105568=ORIENTED_EDGE('',*,*,#80704,.T.); #105569=ORIENTED_EDGE('',*,*,#80705,.T.); #105570=ORIENTED_EDGE('',*,*,#80701,.F.); #105571=ORIENTED_EDGE('',*,*,#80706,.F.); #105572=ORIENTED_EDGE('',*,*,#80707,.T.); #105573=ORIENTED_EDGE('',*,*,#80708,.T.); #105574=ORIENTED_EDGE('',*,*,#80704,.F.); #105575=ORIENTED_EDGE('',*,*,#80709,.F.); #105576=ORIENTED_EDGE('',*,*,#80710,.T.); #105577=ORIENTED_EDGE('',*,*,#80711,.T.); #105578=ORIENTED_EDGE('',*,*,#80707,.F.); #105579=ORIENTED_EDGE('',*,*,#80712,.F.); #105580=ORIENTED_EDGE('',*,*,#80713,.T.); #105581=ORIENTED_EDGE('',*,*,#80714,.T.); #105582=ORIENTED_EDGE('',*,*,#80710,.F.); #105583=ORIENTED_EDGE('',*,*,#80715,.F.); #105584=ORIENTED_EDGE('',*,*,#80716,.T.); #105585=ORIENTED_EDGE('',*,*,#80717,.T.); #105586=ORIENTED_EDGE('',*,*,#80713,.F.); #105587=ORIENTED_EDGE('',*,*,#80718,.F.); #105588=ORIENTED_EDGE('',*,*,#80719,.T.); #105589=ORIENTED_EDGE('',*,*,#80720,.T.); #105590=ORIENTED_EDGE('',*,*,#80716,.F.); #105591=ORIENTED_EDGE('',*,*,#80721,.F.); #105592=ORIENTED_EDGE('',*,*,#80722,.T.); #105593=ORIENTED_EDGE('',*,*,#80723,.T.); #105594=ORIENTED_EDGE('',*,*,#80719,.F.); #105595=ORIENTED_EDGE('',*,*,#80724,.F.); #105596=ORIENTED_EDGE('',*,*,#80725,.T.); #105597=ORIENTED_EDGE('',*,*,#80726,.T.); #105598=ORIENTED_EDGE('',*,*,#80722,.F.); #105599=ORIENTED_EDGE('',*,*,#80727,.F.); #105600=ORIENTED_EDGE('',*,*,#80728,.T.); #105601=ORIENTED_EDGE('',*,*,#80729,.T.); #105602=ORIENTED_EDGE('',*,*,#80725,.F.); #105603=ORIENTED_EDGE('',*,*,#80730,.F.); #105604=ORIENTED_EDGE('',*,*,#80731,.T.); #105605=ORIENTED_EDGE('',*,*,#80732,.T.); #105606=ORIENTED_EDGE('',*,*,#80728,.F.); #105607=ORIENTED_EDGE('',*,*,#80733,.F.); #105608=ORIENTED_EDGE('',*,*,#80734,.T.); #105609=ORIENTED_EDGE('',*,*,#80735,.T.); #105610=ORIENTED_EDGE('',*,*,#80731,.F.); #105611=ORIENTED_EDGE('',*,*,#80736,.F.); #105612=ORIENTED_EDGE('',*,*,#80737,.T.); #105613=ORIENTED_EDGE('',*,*,#80738,.T.); #105614=ORIENTED_EDGE('',*,*,#80734,.F.); #105615=ORIENTED_EDGE('',*,*,#80739,.F.); #105616=ORIENTED_EDGE('',*,*,#80740,.T.); #105617=ORIENTED_EDGE('',*,*,#80741,.T.); #105618=ORIENTED_EDGE('',*,*,#80737,.F.); #105619=ORIENTED_EDGE('',*,*,#80742,.F.); #105620=ORIENTED_EDGE('',*,*,#80743,.T.); #105621=ORIENTED_EDGE('',*,*,#80744,.T.); #105622=ORIENTED_EDGE('',*,*,#80740,.F.); #105623=ORIENTED_EDGE('',*,*,#80745,.F.); #105624=ORIENTED_EDGE('',*,*,#80746,.T.); #105625=ORIENTED_EDGE('',*,*,#80747,.T.); #105626=ORIENTED_EDGE('',*,*,#80743,.F.); #105627=ORIENTED_EDGE('',*,*,#80748,.F.); #105628=ORIENTED_EDGE('',*,*,#80749,.T.); #105629=ORIENTED_EDGE('',*,*,#80750,.T.); #105630=ORIENTED_EDGE('',*,*,#80746,.F.); #105631=ORIENTED_EDGE('',*,*,#80751,.F.); #105632=ORIENTED_EDGE('',*,*,#80752,.T.); #105633=ORIENTED_EDGE('',*,*,#80753,.T.); #105634=ORIENTED_EDGE('',*,*,#80749,.F.); #105635=ORIENTED_EDGE('',*,*,#80754,.F.); #105636=ORIENTED_EDGE('',*,*,#80755,.T.); #105637=ORIENTED_EDGE('',*,*,#80756,.T.); #105638=ORIENTED_EDGE('',*,*,#80752,.F.); #105639=ORIENTED_EDGE('',*,*,#80757,.F.); #105640=ORIENTED_EDGE('',*,*,#80758,.T.); #105641=ORIENTED_EDGE('',*,*,#80759,.T.); #105642=ORIENTED_EDGE('',*,*,#80755,.F.); #105643=ORIENTED_EDGE('',*,*,#80760,.F.); #105644=ORIENTED_EDGE('',*,*,#80761,.T.); #105645=ORIENTED_EDGE('',*,*,#80762,.T.); #105646=ORIENTED_EDGE('',*,*,#80758,.F.); #105647=ORIENTED_EDGE('',*,*,#80763,.F.); #105648=ORIENTED_EDGE('',*,*,#80764,.T.); #105649=ORIENTED_EDGE('',*,*,#80765,.T.); #105650=ORIENTED_EDGE('',*,*,#80761,.F.); #105651=ORIENTED_EDGE('',*,*,#80766,.F.); #105652=ORIENTED_EDGE('',*,*,#80767,.T.); #105653=ORIENTED_EDGE('',*,*,#80768,.T.); #105654=ORIENTED_EDGE('',*,*,#80764,.F.); #105655=ORIENTED_EDGE('',*,*,#80769,.F.); #105656=ORIENTED_EDGE('',*,*,#80770,.T.); #105657=ORIENTED_EDGE('',*,*,#80771,.T.); #105658=ORIENTED_EDGE('',*,*,#80767,.F.); #105659=ORIENTED_EDGE('',*,*,#80772,.F.); #105660=ORIENTED_EDGE('',*,*,#80773,.T.); #105661=ORIENTED_EDGE('',*,*,#80774,.T.); #105662=ORIENTED_EDGE('',*,*,#80770,.F.); #105663=ORIENTED_EDGE('',*,*,#80775,.F.); #105664=ORIENTED_EDGE('',*,*,#80776,.T.); #105665=ORIENTED_EDGE('',*,*,#80777,.T.); #105666=ORIENTED_EDGE('',*,*,#80773,.F.); #105667=ORIENTED_EDGE('',*,*,#80778,.F.); #105668=ORIENTED_EDGE('',*,*,#80779,.T.); #105669=ORIENTED_EDGE('',*,*,#80780,.T.); #105670=ORIENTED_EDGE('',*,*,#80776,.F.); #105671=ORIENTED_EDGE('',*,*,#80781,.F.); #105672=ORIENTED_EDGE('',*,*,#80782,.T.); #105673=ORIENTED_EDGE('',*,*,#80783,.T.); #105674=ORIENTED_EDGE('',*,*,#80779,.F.); #105675=ORIENTED_EDGE('',*,*,#80784,.F.); #105676=ORIENTED_EDGE('',*,*,#80785,.T.); #105677=ORIENTED_EDGE('',*,*,#80786,.T.); #105678=ORIENTED_EDGE('',*,*,#80782,.F.); #105679=ORIENTED_EDGE('',*,*,#80787,.F.); #105680=ORIENTED_EDGE('',*,*,#80788,.T.); #105681=ORIENTED_EDGE('',*,*,#80789,.T.); #105682=ORIENTED_EDGE('',*,*,#80785,.F.); #105683=ORIENTED_EDGE('',*,*,#80790,.F.); #105684=ORIENTED_EDGE('',*,*,#80791,.T.); #105685=ORIENTED_EDGE('',*,*,#80792,.T.); #105686=ORIENTED_EDGE('',*,*,#80788,.F.); #105687=ORIENTED_EDGE('',*,*,#80793,.F.); #105688=ORIENTED_EDGE('',*,*,#80794,.T.); #105689=ORIENTED_EDGE('',*,*,#80795,.T.); #105690=ORIENTED_EDGE('',*,*,#80791,.F.); #105691=ORIENTED_EDGE('',*,*,#80796,.F.); #105692=ORIENTED_EDGE('',*,*,#80797,.T.); #105693=ORIENTED_EDGE('',*,*,#80798,.T.); #105694=ORIENTED_EDGE('',*,*,#80794,.F.); #105695=ORIENTED_EDGE('',*,*,#80799,.F.); #105696=ORIENTED_EDGE('',*,*,#80800,.T.); #105697=ORIENTED_EDGE('',*,*,#80801,.T.); #105698=ORIENTED_EDGE('',*,*,#80797,.F.); #105699=ORIENTED_EDGE('',*,*,#80802,.F.); #105700=ORIENTED_EDGE('',*,*,#80803,.T.); #105701=ORIENTED_EDGE('',*,*,#80804,.T.); #105702=ORIENTED_EDGE('',*,*,#80800,.F.); #105703=ORIENTED_EDGE('',*,*,#80805,.F.); #105704=ORIENTED_EDGE('',*,*,#80806,.T.); #105705=ORIENTED_EDGE('',*,*,#80807,.T.); #105706=ORIENTED_EDGE('',*,*,#80803,.F.); #105707=ORIENTED_EDGE('',*,*,#80808,.F.); #105708=ORIENTED_EDGE('',*,*,#80809,.T.); #105709=ORIENTED_EDGE('',*,*,#80810,.T.); #105710=ORIENTED_EDGE('',*,*,#80806,.F.); #105711=ORIENTED_EDGE('',*,*,#80811,.F.); #105712=ORIENTED_EDGE('',*,*,#80812,.T.); #105713=ORIENTED_EDGE('',*,*,#80813,.T.); #105714=ORIENTED_EDGE('',*,*,#80809,.F.); #105715=ORIENTED_EDGE('',*,*,#80814,.F.); #105716=ORIENTED_EDGE('',*,*,#80815,.T.); #105717=ORIENTED_EDGE('',*,*,#80816,.T.); #105718=ORIENTED_EDGE('',*,*,#80812,.F.); #105719=ORIENTED_EDGE('',*,*,#80817,.F.); #105720=ORIENTED_EDGE('',*,*,#80818,.T.); #105721=ORIENTED_EDGE('',*,*,#80819,.T.); #105722=ORIENTED_EDGE('',*,*,#80815,.F.); #105723=ORIENTED_EDGE('',*,*,#80820,.F.); #105724=ORIENTED_EDGE('',*,*,#80821,.T.); #105725=ORIENTED_EDGE('',*,*,#80822,.T.); #105726=ORIENTED_EDGE('',*,*,#80818,.F.); #105727=ORIENTED_EDGE('',*,*,#80823,.F.); #105728=ORIENTED_EDGE('',*,*,#80824,.T.); #105729=ORIENTED_EDGE('',*,*,#80825,.T.); #105730=ORIENTED_EDGE('',*,*,#80821,.F.); #105731=ORIENTED_EDGE('',*,*,#80826,.F.); #105732=ORIENTED_EDGE('',*,*,#80827,.T.); #105733=ORIENTED_EDGE('',*,*,#80828,.T.); #105734=ORIENTED_EDGE('',*,*,#80824,.F.); #105735=ORIENTED_EDGE('',*,*,#80829,.F.); #105736=ORIENTED_EDGE('',*,*,#80830,.T.); #105737=ORIENTED_EDGE('',*,*,#80831,.T.); #105738=ORIENTED_EDGE('',*,*,#80827,.F.); #105739=ORIENTED_EDGE('',*,*,#80832,.F.); #105740=ORIENTED_EDGE('',*,*,#80833,.T.); #105741=ORIENTED_EDGE('',*,*,#80834,.T.); #105742=ORIENTED_EDGE('',*,*,#80830,.F.); #105743=ORIENTED_EDGE('',*,*,#80835,.F.); #105744=ORIENTED_EDGE('',*,*,#80836,.T.); #105745=ORIENTED_EDGE('',*,*,#80837,.T.); #105746=ORIENTED_EDGE('',*,*,#80833,.F.); #105747=ORIENTED_EDGE('',*,*,#80838,.F.); #105748=ORIENTED_EDGE('',*,*,#80839,.T.); #105749=ORIENTED_EDGE('',*,*,#80840,.T.); #105750=ORIENTED_EDGE('',*,*,#80836,.F.); #105751=ORIENTED_EDGE('',*,*,#80841,.F.); #105752=ORIENTED_EDGE('',*,*,#80842,.T.); #105753=ORIENTED_EDGE('',*,*,#80843,.T.); #105754=ORIENTED_EDGE('',*,*,#80839,.F.); #105755=ORIENTED_EDGE('',*,*,#80844,.F.); #105756=ORIENTED_EDGE('',*,*,#80845,.T.); #105757=ORIENTED_EDGE('',*,*,#80846,.T.); #105758=ORIENTED_EDGE('',*,*,#80842,.F.); #105759=ORIENTED_EDGE('',*,*,#80847,.F.); #105760=ORIENTED_EDGE('',*,*,#80848,.T.); #105761=ORIENTED_EDGE('',*,*,#80849,.T.); #105762=ORIENTED_EDGE('',*,*,#80845,.F.); #105763=ORIENTED_EDGE('',*,*,#80850,.F.); #105764=ORIENTED_EDGE('',*,*,#80851,.T.); #105765=ORIENTED_EDGE('',*,*,#80852,.T.); #105766=ORIENTED_EDGE('',*,*,#80848,.F.); #105767=ORIENTED_EDGE('',*,*,#80853,.F.); #105768=ORIENTED_EDGE('',*,*,#80854,.T.); #105769=ORIENTED_EDGE('',*,*,#80855,.T.); #105770=ORIENTED_EDGE('',*,*,#80851,.F.); #105771=ORIENTED_EDGE('',*,*,#80856,.F.); #105772=ORIENTED_EDGE('',*,*,#80857,.T.); #105773=ORIENTED_EDGE('',*,*,#80858,.T.); #105774=ORIENTED_EDGE('',*,*,#80854,.F.); #105775=ORIENTED_EDGE('',*,*,#80859,.F.); #105776=ORIENTED_EDGE('',*,*,#80860,.T.); #105777=ORIENTED_EDGE('',*,*,#80861,.T.); #105778=ORIENTED_EDGE('',*,*,#80857,.F.); #105779=ORIENTED_EDGE('',*,*,#80862,.F.); #105780=ORIENTED_EDGE('',*,*,#80863,.T.); #105781=ORIENTED_EDGE('',*,*,#80864,.T.); #105782=ORIENTED_EDGE('',*,*,#80860,.F.); #105783=ORIENTED_EDGE('',*,*,#80865,.F.); #105784=ORIENTED_EDGE('',*,*,#80866,.T.); #105785=ORIENTED_EDGE('',*,*,#80867,.T.); #105786=ORIENTED_EDGE('',*,*,#80863,.F.); #105787=ORIENTED_EDGE('',*,*,#80868,.F.); #105788=ORIENTED_EDGE('',*,*,#80869,.T.); #105789=ORIENTED_EDGE('',*,*,#80870,.T.); #105790=ORIENTED_EDGE('',*,*,#80866,.F.); #105791=ORIENTED_EDGE('',*,*,#80871,.F.); #105792=ORIENTED_EDGE('',*,*,#80872,.T.); #105793=ORIENTED_EDGE('',*,*,#80873,.T.); #105794=ORIENTED_EDGE('',*,*,#80869,.F.); #105795=ORIENTED_EDGE('',*,*,#80874,.F.); #105796=ORIENTED_EDGE('',*,*,#80875,.T.); #105797=ORIENTED_EDGE('',*,*,#80876,.T.); #105798=ORIENTED_EDGE('',*,*,#80872,.F.); #105799=ORIENTED_EDGE('',*,*,#80877,.F.); #105800=ORIENTED_EDGE('',*,*,#80878,.T.); #105801=ORIENTED_EDGE('',*,*,#80879,.T.); #105802=ORIENTED_EDGE('',*,*,#80875,.F.); #105803=ORIENTED_EDGE('',*,*,#80880,.F.); #105804=ORIENTED_EDGE('',*,*,#80881,.T.); #105805=ORIENTED_EDGE('',*,*,#80882,.T.); #105806=ORIENTED_EDGE('',*,*,#80878,.F.); #105807=ORIENTED_EDGE('',*,*,#80883,.F.); #105808=ORIENTED_EDGE('',*,*,#80884,.T.); #105809=ORIENTED_EDGE('',*,*,#80885,.T.); #105810=ORIENTED_EDGE('',*,*,#80881,.F.); #105811=ORIENTED_EDGE('',*,*,#80886,.F.); #105812=ORIENTED_EDGE('',*,*,#80887,.T.); #105813=ORIENTED_EDGE('',*,*,#80888,.T.); #105814=ORIENTED_EDGE('',*,*,#80884,.F.); #105815=ORIENTED_EDGE('',*,*,#80889,.F.); #105816=ORIENTED_EDGE('',*,*,#80890,.T.); #105817=ORIENTED_EDGE('',*,*,#80891,.T.); #105818=ORIENTED_EDGE('',*,*,#80887,.F.); #105819=ORIENTED_EDGE('',*,*,#80892,.F.); #105820=ORIENTED_EDGE('',*,*,#80893,.T.); #105821=ORIENTED_EDGE('',*,*,#80894,.T.); #105822=ORIENTED_EDGE('',*,*,#80890,.F.); #105823=ORIENTED_EDGE('',*,*,#80895,.F.); #105824=ORIENTED_EDGE('',*,*,#80896,.T.); #105825=ORIENTED_EDGE('',*,*,#80897,.T.); #105826=ORIENTED_EDGE('',*,*,#80893,.F.); #105827=ORIENTED_EDGE('',*,*,#80898,.F.); #105828=ORIENTED_EDGE('',*,*,#80899,.T.); #105829=ORIENTED_EDGE('',*,*,#80900,.T.); #105830=ORIENTED_EDGE('',*,*,#80896,.F.); #105831=ORIENTED_EDGE('',*,*,#80901,.F.); #105832=ORIENTED_EDGE('',*,*,#80902,.T.); #105833=ORIENTED_EDGE('',*,*,#80903,.T.); #105834=ORIENTED_EDGE('',*,*,#80899,.F.); #105835=ORIENTED_EDGE('',*,*,#80904,.F.); #105836=ORIENTED_EDGE('',*,*,#80905,.T.); #105837=ORIENTED_EDGE('',*,*,#80906,.T.); #105838=ORIENTED_EDGE('',*,*,#80902,.F.); #105839=ORIENTED_EDGE('',*,*,#80907,.F.); #105840=ORIENTED_EDGE('',*,*,#80908,.T.); #105841=ORIENTED_EDGE('',*,*,#80909,.T.); #105842=ORIENTED_EDGE('',*,*,#80905,.F.); #105843=ORIENTED_EDGE('',*,*,#80910,.F.); #105844=ORIENTED_EDGE('',*,*,#80911,.T.); #105845=ORIENTED_EDGE('',*,*,#80912,.T.); #105846=ORIENTED_EDGE('',*,*,#80908,.F.); #105847=ORIENTED_EDGE('',*,*,#80913,.F.); #105848=ORIENTED_EDGE('',*,*,#80914,.T.); #105849=ORIENTED_EDGE('',*,*,#80915,.T.); #105850=ORIENTED_EDGE('',*,*,#80911,.F.); #105851=ORIENTED_EDGE('',*,*,#80916,.F.); #105852=ORIENTED_EDGE('',*,*,#80917,.T.); #105853=ORIENTED_EDGE('',*,*,#80918,.T.); #105854=ORIENTED_EDGE('',*,*,#80914,.F.); #105855=ORIENTED_EDGE('',*,*,#80919,.F.); #105856=ORIENTED_EDGE('',*,*,#80920,.T.); #105857=ORIENTED_EDGE('',*,*,#80921,.T.); #105858=ORIENTED_EDGE('',*,*,#80917,.F.); #105859=ORIENTED_EDGE('',*,*,#80922,.F.); #105860=ORIENTED_EDGE('',*,*,#80923,.T.); #105861=ORIENTED_EDGE('',*,*,#80924,.T.); #105862=ORIENTED_EDGE('',*,*,#80920,.F.); #105863=ORIENTED_EDGE('',*,*,#80925,.F.); #105864=ORIENTED_EDGE('',*,*,#80926,.T.); #105865=ORIENTED_EDGE('',*,*,#80927,.T.); #105866=ORIENTED_EDGE('',*,*,#80923,.F.); #105867=ORIENTED_EDGE('',*,*,#80928,.F.); #105868=ORIENTED_EDGE('',*,*,#80929,.T.); #105869=ORIENTED_EDGE('',*,*,#80930,.T.); #105870=ORIENTED_EDGE('',*,*,#80926,.F.); #105871=ORIENTED_EDGE('',*,*,#80931,.F.); #105872=ORIENTED_EDGE('',*,*,#80932,.T.); #105873=ORIENTED_EDGE('',*,*,#80933,.T.); #105874=ORIENTED_EDGE('',*,*,#80929,.F.); #105875=ORIENTED_EDGE('',*,*,#80934,.F.); #105876=ORIENTED_EDGE('',*,*,#80935,.T.); #105877=ORIENTED_EDGE('',*,*,#80936,.T.); #105878=ORIENTED_EDGE('',*,*,#80932,.F.); #105879=ORIENTED_EDGE('',*,*,#80937,.F.); #105880=ORIENTED_EDGE('',*,*,#80938,.T.); #105881=ORIENTED_EDGE('',*,*,#80939,.T.); #105882=ORIENTED_EDGE('',*,*,#80935,.F.); #105883=ORIENTED_EDGE('',*,*,#80940,.F.); #105884=ORIENTED_EDGE('',*,*,#80941,.T.); #105885=ORIENTED_EDGE('',*,*,#80942,.T.); #105886=ORIENTED_EDGE('',*,*,#80938,.F.); #105887=ORIENTED_EDGE('',*,*,#80943,.F.); #105888=ORIENTED_EDGE('',*,*,#80944,.T.); #105889=ORIENTED_EDGE('',*,*,#80945,.T.); #105890=ORIENTED_EDGE('',*,*,#80941,.F.); #105891=ORIENTED_EDGE('',*,*,#80946,.F.); #105892=ORIENTED_EDGE('',*,*,#80947,.T.); #105893=ORIENTED_EDGE('',*,*,#80948,.T.); #105894=ORIENTED_EDGE('',*,*,#80944,.F.); #105895=ORIENTED_EDGE('',*,*,#80949,.F.); #105896=ORIENTED_EDGE('',*,*,#80950,.T.); #105897=ORIENTED_EDGE('',*,*,#80951,.T.); #105898=ORIENTED_EDGE('',*,*,#80947,.F.); #105899=ORIENTED_EDGE('',*,*,#80952,.F.); #105900=ORIENTED_EDGE('',*,*,#80953,.T.); #105901=ORIENTED_EDGE('',*,*,#80954,.T.); #105902=ORIENTED_EDGE('',*,*,#80950,.F.); #105903=ORIENTED_EDGE('',*,*,#80955,.F.); #105904=ORIENTED_EDGE('',*,*,#80956,.T.); #105905=ORIENTED_EDGE('',*,*,#80957,.T.); #105906=ORIENTED_EDGE('',*,*,#80953,.F.); #105907=ORIENTED_EDGE('',*,*,#80958,.F.); #105908=ORIENTED_EDGE('',*,*,#80959,.T.); #105909=ORIENTED_EDGE('',*,*,#80960,.T.); #105910=ORIENTED_EDGE('',*,*,#80956,.F.); #105911=ORIENTED_EDGE('',*,*,#80961,.F.); #105912=ORIENTED_EDGE('',*,*,#80962,.T.); #105913=ORIENTED_EDGE('',*,*,#80963,.T.); #105914=ORIENTED_EDGE('',*,*,#80959,.F.); #105915=ORIENTED_EDGE('',*,*,#80964,.F.); #105916=ORIENTED_EDGE('',*,*,#80965,.T.); #105917=ORIENTED_EDGE('',*,*,#80966,.T.); #105918=ORIENTED_EDGE('',*,*,#80962,.F.); #105919=ORIENTED_EDGE('',*,*,#80967,.F.); #105920=ORIENTED_EDGE('',*,*,#80968,.T.); #105921=ORIENTED_EDGE('',*,*,#80969,.T.); #105922=ORIENTED_EDGE('',*,*,#80965,.F.); #105923=ORIENTED_EDGE('',*,*,#80970,.F.); #105924=ORIENTED_EDGE('',*,*,#80971,.T.); #105925=ORIENTED_EDGE('',*,*,#80972,.T.); #105926=ORIENTED_EDGE('',*,*,#80968,.F.); #105927=ORIENTED_EDGE('',*,*,#80973,.F.); #105928=ORIENTED_EDGE('',*,*,#80974,.T.); #105929=ORIENTED_EDGE('',*,*,#80975,.T.); #105930=ORIENTED_EDGE('',*,*,#80971,.F.); #105931=ORIENTED_EDGE('',*,*,#80976,.F.); #105932=ORIENTED_EDGE('',*,*,#80977,.T.); #105933=ORIENTED_EDGE('',*,*,#80978,.T.); #105934=ORIENTED_EDGE('',*,*,#80974,.F.); #105935=ORIENTED_EDGE('',*,*,#80979,.F.); #105936=ORIENTED_EDGE('',*,*,#80980,.T.); #105937=ORIENTED_EDGE('',*,*,#80981,.T.); #105938=ORIENTED_EDGE('',*,*,#80977,.F.); #105939=ORIENTED_EDGE('',*,*,#80982,.F.); #105940=ORIENTED_EDGE('',*,*,#80983,.T.); #105941=ORIENTED_EDGE('',*,*,#80984,.T.); #105942=ORIENTED_EDGE('',*,*,#80980,.F.); #105943=ORIENTED_EDGE('',*,*,#80985,.F.); #105944=ORIENTED_EDGE('',*,*,#80986,.T.); #105945=ORIENTED_EDGE('',*,*,#80987,.T.); #105946=ORIENTED_EDGE('',*,*,#80983,.F.); #105947=ORIENTED_EDGE('',*,*,#80988,.F.); #105948=ORIENTED_EDGE('',*,*,#80989,.T.); #105949=ORIENTED_EDGE('',*,*,#80990,.T.); #105950=ORIENTED_EDGE('',*,*,#80986,.F.); #105951=ORIENTED_EDGE('',*,*,#80991,.F.); #105952=ORIENTED_EDGE('',*,*,#80992,.T.); #105953=ORIENTED_EDGE('',*,*,#80993,.T.); #105954=ORIENTED_EDGE('',*,*,#80989,.F.); #105955=ORIENTED_EDGE('',*,*,#80994,.F.); #105956=ORIENTED_EDGE('',*,*,#80995,.T.); #105957=ORIENTED_EDGE('',*,*,#80996,.T.); #105958=ORIENTED_EDGE('',*,*,#80992,.F.); #105959=ORIENTED_EDGE('',*,*,#80997,.F.); #105960=ORIENTED_EDGE('',*,*,#80998,.T.); #105961=ORIENTED_EDGE('',*,*,#80999,.T.); #105962=ORIENTED_EDGE('',*,*,#80995,.F.); #105963=ORIENTED_EDGE('',*,*,#81000,.F.); #105964=ORIENTED_EDGE('',*,*,#81001,.T.); #105965=ORIENTED_EDGE('',*,*,#81002,.T.); #105966=ORIENTED_EDGE('',*,*,#80998,.F.); #105967=ORIENTED_EDGE('',*,*,#81003,.F.); #105968=ORIENTED_EDGE('',*,*,#81004,.T.); #105969=ORIENTED_EDGE('',*,*,#81005,.T.); #105970=ORIENTED_EDGE('',*,*,#81001,.F.); #105971=ORIENTED_EDGE('',*,*,#81006,.F.); #105972=ORIENTED_EDGE('',*,*,#81007,.T.); #105973=ORIENTED_EDGE('',*,*,#81008,.T.); #105974=ORIENTED_EDGE('',*,*,#81004,.F.); #105975=ORIENTED_EDGE('',*,*,#81009,.F.); #105976=ORIENTED_EDGE('',*,*,#81010,.T.); #105977=ORIENTED_EDGE('',*,*,#81011,.T.); #105978=ORIENTED_EDGE('',*,*,#81007,.F.); #105979=ORIENTED_EDGE('',*,*,#81012,.F.); #105980=ORIENTED_EDGE('',*,*,#81013,.T.); #105981=ORIENTED_EDGE('',*,*,#81014,.T.); #105982=ORIENTED_EDGE('',*,*,#81010,.F.); #105983=ORIENTED_EDGE('',*,*,#81015,.F.); #105984=ORIENTED_EDGE('',*,*,#81016,.T.); #105985=ORIENTED_EDGE('',*,*,#81017,.T.); #105986=ORIENTED_EDGE('',*,*,#81013,.F.); #105987=ORIENTED_EDGE('',*,*,#81018,.F.); #105988=ORIENTED_EDGE('',*,*,#81019,.T.); #105989=ORIENTED_EDGE('',*,*,#81020,.T.); #105990=ORIENTED_EDGE('',*,*,#81016,.F.); #105991=ORIENTED_EDGE('',*,*,#81021,.F.); #105992=ORIENTED_EDGE('',*,*,#81022,.T.); #105993=ORIENTED_EDGE('',*,*,#81023,.T.); #105994=ORIENTED_EDGE('',*,*,#81019,.F.); #105995=ORIENTED_EDGE('',*,*,#81024,.F.); #105996=ORIENTED_EDGE('',*,*,#81025,.T.); #105997=ORIENTED_EDGE('',*,*,#81026,.T.); #105998=ORIENTED_EDGE('',*,*,#81022,.F.); #105999=ORIENTED_EDGE('',*,*,#81027,.F.); #106000=ORIENTED_EDGE('',*,*,#81028,.T.); #106001=ORIENTED_EDGE('',*,*,#81029,.T.); #106002=ORIENTED_EDGE('',*,*,#81025,.F.); #106003=ORIENTED_EDGE('',*,*,#81030,.F.); #106004=ORIENTED_EDGE('',*,*,#81031,.T.); #106005=ORIENTED_EDGE('',*,*,#81032,.T.); #106006=ORIENTED_EDGE('',*,*,#81028,.F.); #106007=ORIENTED_EDGE('',*,*,#81033,.F.); #106008=ORIENTED_EDGE('',*,*,#81034,.T.); #106009=ORIENTED_EDGE('',*,*,#81035,.T.); #106010=ORIENTED_EDGE('',*,*,#81031,.F.); #106011=ORIENTED_EDGE('',*,*,#81036,.F.); #106012=ORIENTED_EDGE('',*,*,#81037,.T.); #106013=ORIENTED_EDGE('',*,*,#81038,.T.); #106014=ORIENTED_EDGE('',*,*,#81034,.F.); #106015=ORIENTED_EDGE('',*,*,#81039,.F.); #106016=ORIENTED_EDGE('',*,*,#81040,.T.); #106017=ORIENTED_EDGE('',*,*,#81041,.T.); #106018=ORIENTED_EDGE('',*,*,#81037,.F.); #106019=ORIENTED_EDGE('',*,*,#81042,.F.); #106020=ORIENTED_EDGE('',*,*,#81043,.T.); #106021=ORIENTED_EDGE('',*,*,#81044,.T.); #106022=ORIENTED_EDGE('',*,*,#81040,.F.); #106023=ORIENTED_EDGE('',*,*,#81045,.F.); #106024=ORIENTED_EDGE('',*,*,#81046,.T.); #106025=ORIENTED_EDGE('',*,*,#81047,.T.); #106026=ORIENTED_EDGE('',*,*,#81043,.F.); #106027=ORIENTED_EDGE('',*,*,#81048,.F.); #106028=ORIENTED_EDGE('',*,*,#81049,.T.); #106029=ORIENTED_EDGE('',*,*,#81050,.T.); #106030=ORIENTED_EDGE('',*,*,#81046,.F.); #106031=ORIENTED_EDGE('',*,*,#81051,.F.); #106032=ORIENTED_EDGE('',*,*,#81052,.T.); #106033=ORIENTED_EDGE('',*,*,#81053,.T.); #106034=ORIENTED_EDGE('',*,*,#81049,.F.); #106035=ORIENTED_EDGE('',*,*,#81054,.F.); #106036=ORIENTED_EDGE('',*,*,#81055,.T.); #106037=ORIENTED_EDGE('',*,*,#81056,.T.); #106038=ORIENTED_EDGE('',*,*,#81052,.F.); #106039=ORIENTED_EDGE('',*,*,#81057,.F.); #106040=ORIENTED_EDGE('',*,*,#81058,.T.); #106041=ORIENTED_EDGE('',*,*,#81059,.T.); #106042=ORIENTED_EDGE('',*,*,#81055,.F.); #106043=ORIENTED_EDGE('',*,*,#81060,.F.); #106044=ORIENTED_EDGE('',*,*,#81061,.T.); #106045=ORIENTED_EDGE('',*,*,#81062,.T.); #106046=ORIENTED_EDGE('',*,*,#81058,.F.); #106047=ORIENTED_EDGE('',*,*,#81063,.F.); #106048=ORIENTED_EDGE('',*,*,#81064,.T.); #106049=ORIENTED_EDGE('',*,*,#81065,.T.); #106050=ORIENTED_EDGE('',*,*,#81061,.F.); #106051=ORIENTED_EDGE('',*,*,#81066,.F.); #106052=ORIENTED_EDGE('',*,*,#81067,.T.); #106053=ORIENTED_EDGE('',*,*,#81068,.T.); #106054=ORIENTED_EDGE('',*,*,#81064,.F.); #106055=ORIENTED_EDGE('',*,*,#81069,.F.); #106056=ORIENTED_EDGE('',*,*,#81070,.T.); #106057=ORIENTED_EDGE('',*,*,#81071,.T.); #106058=ORIENTED_EDGE('',*,*,#81067,.F.); #106059=ORIENTED_EDGE('',*,*,#81072,.F.); #106060=ORIENTED_EDGE('',*,*,#81073,.T.); #106061=ORIENTED_EDGE('',*,*,#81074,.T.); #106062=ORIENTED_EDGE('',*,*,#81070,.F.); #106063=ORIENTED_EDGE('',*,*,#81075,.F.); #106064=ORIENTED_EDGE('',*,*,#81076,.T.); #106065=ORIENTED_EDGE('',*,*,#81077,.T.); #106066=ORIENTED_EDGE('',*,*,#81073,.F.); #106067=ORIENTED_EDGE('',*,*,#81078,.F.); #106068=ORIENTED_EDGE('',*,*,#81079,.T.); #106069=ORIENTED_EDGE('',*,*,#81080,.T.); #106070=ORIENTED_EDGE('',*,*,#81076,.F.); #106071=ORIENTED_EDGE('',*,*,#81081,.F.); #106072=ORIENTED_EDGE('',*,*,#81082,.T.); #106073=ORIENTED_EDGE('',*,*,#81083,.T.); #106074=ORIENTED_EDGE('',*,*,#81079,.F.); #106075=ORIENTED_EDGE('',*,*,#81084,.F.); #106076=ORIENTED_EDGE('',*,*,#81085,.T.); #106077=ORIENTED_EDGE('',*,*,#81086,.T.); #106078=ORIENTED_EDGE('',*,*,#81082,.F.); #106079=ORIENTED_EDGE('',*,*,#81087,.F.); #106080=ORIENTED_EDGE('',*,*,#81088,.T.); #106081=ORIENTED_EDGE('',*,*,#81089,.T.); #106082=ORIENTED_EDGE('',*,*,#81085,.F.); #106083=ORIENTED_EDGE('',*,*,#81090,.F.); #106084=ORIENTED_EDGE('',*,*,#81091,.T.); #106085=ORIENTED_EDGE('',*,*,#81092,.T.); #106086=ORIENTED_EDGE('',*,*,#81088,.F.); #106087=ORIENTED_EDGE('',*,*,#81093,.F.); #106088=ORIENTED_EDGE('',*,*,#81094,.T.); #106089=ORIENTED_EDGE('',*,*,#81095,.T.); #106090=ORIENTED_EDGE('',*,*,#81091,.F.); #106091=ORIENTED_EDGE('',*,*,#81096,.F.); #106092=ORIENTED_EDGE('',*,*,#81097,.T.); #106093=ORIENTED_EDGE('',*,*,#81098,.T.); #106094=ORIENTED_EDGE('',*,*,#81094,.F.); #106095=ORIENTED_EDGE('',*,*,#81099,.F.); #106096=ORIENTED_EDGE('',*,*,#81100,.T.); #106097=ORIENTED_EDGE('',*,*,#81101,.T.); #106098=ORIENTED_EDGE('',*,*,#81097,.F.); #106099=ORIENTED_EDGE('',*,*,#81102,.F.); #106100=ORIENTED_EDGE('',*,*,#81103,.T.); #106101=ORIENTED_EDGE('',*,*,#81104,.T.); #106102=ORIENTED_EDGE('',*,*,#81100,.F.); #106103=ORIENTED_EDGE('',*,*,#81105,.F.); #106104=ORIENTED_EDGE('',*,*,#81106,.T.); #106105=ORIENTED_EDGE('',*,*,#81107,.T.); #106106=ORIENTED_EDGE('',*,*,#81103,.F.); #106107=ORIENTED_EDGE('',*,*,#81108,.F.); #106108=ORIENTED_EDGE('',*,*,#81109,.T.); #106109=ORIENTED_EDGE('',*,*,#81110,.T.); #106110=ORIENTED_EDGE('',*,*,#81106,.F.); #106111=ORIENTED_EDGE('',*,*,#81111,.F.); #106112=ORIENTED_EDGE('',*,*,#81112,.T.); #106113=ORIENTED_EDGE('',*,*,#81113,.T.); #106114=ORIENTED_EDGE('',*,*,#81109,.F.); #106115=ORIENTED_EDGE('',*,*,#81114,.F.); #106116=ORIENTED_EDGE('',*,*,#81115,.T.); #106117=ORIENTED_EDGE('',*,*,#81116,.T.); #106118=ORIENTED_EDGE('',*,*,#81112,.F.); #106119=ORIENTED_EDGE('',*,*,#81117,.F.); #106120=ORIENTED_EDGE('',*,*,#81118,.T.); #106121=ORIENTED_EDGE('',*,*,#81119,.T.); #106122=ORIENTED_EDGE('',*,*,#81115,.F.); #106123=ORIENTED_EDGE('',*,*,#81120,.F.); #106124=ORIENTED_EDGE('',*,*,#81121,.T.); #106125=ORIENTED_EDGE('',*,*,#81122,.T.); #106126=ORIENTED_EDGE('',*,*,#81118,.F.); #106127=ORIENTED_EDGE('',*,*,#81123,.F.); #106128=ORIENTED_EDGE('',*,*,#81124,.T.); #106129=ORIENTED_EDGE('',*,*,#81125,.T.); #106130=ORIENTED_EDGE('',*,*,#81121,.F.); #106131=ORIENTED_EDGE('',*,*,#81126,.F.); #106132=ORIENTED_EDGE('',*,*,#81127,.T.); #106133=ORIENTED_EDGE('',*,*,#81128,.T.); #106134=ORIENTED_EDGE('',*,*,#81124,.F.); #106135=ORIENTED_EDGE('',*,*,#81129,.F.); #106136=ORIENTED_EDGE('',*,*,#81130,.T.); #106137=ORIENTED_EDGE('',*,*,#81131,.T.); #106138=ORIENTED_EDGE('',*,*,#81127,.F.); #106139=ORIENTED_EDGE('',*,*,#81132,.F.); #106140=ORIENTED_EDGE('',*,*,#81133,.T.); #106141=ORIENTED_EDGE('',*,*,#81134,.T.); #106142=ORIENTED_EDGE('',*,*,#81130,.F.); #106143=ORIENTED_EDGE('',*,*,#81135,.F.); #106144=ORIENTED_EDGE('',*,*,#81136,.T.); #106145=ORIENTED_EDGE('',*,*,#81137,.T.); #106146=ORIENTED_EDGE('',*,*,#81133,.F.); #106147=ORIENTED_EDGE('',*,*,#81138,.F.); #106148=ORIENTED_EDGE('',*,*,#81139,.T.); #106149=ORIENTED_EDGE('',*,*,#81140,.T.); #106150=ORIENTED_EDGE('',*,*,#81136,.F.); #106151=ORIENTED_EDGE('',*,*,#81141,.F.); #106152=ORIENTED_EDGE('',*,*,#81142,.T.); #106153=ORIENTED_EDGE('',*,*,#81143,.T.); #106154=ORIENTED_EDGE('',*,*,#81139,.F.); #106155=ORIENTED_EDGE('',*,*,#81144,.F.); #106156=ORIENTED_EDGE('',*,*,#81145,.T.); #106157=ORIENTED_EDGE('',*,*,#81146,.T.); #106158=ORIENTED_EDGE('',*,*,#81142,.F.); #106159=ORIENTED_EDGE('',*,*,#81147,.F.); #106160=ORIENTED_EDGE('',*,*,#81148,.T.); #106161=ORIENTED_EDGE('',*,*,#81149,.T.); #106162=ORIENTED_EDGE('',*,*,#81145,.F.); #106163=ORIENTED_EDGE('',*,*,#81150,.F.); #106164=ORIENTED_EDGE('',*,*,#81151,.T.); #106165=ORIENTED_EDGE('',*,*,#81152,.T.); #106166=ORIENTED_EDGE('',*,*,#81148,.F.); #106167=ORIENTED_EDGE('',*,*,#81153,.F.); #106168=ORIENTED_EDGE('',*,*,#81154,.T.); #106169=ORIENTED_EDGE('',*,*,#81155,.T.); #106170=ORIENTED_EDGE('',*,*,#81151,.F.); #106171=ORIENTED_EDGE('',*,*,#81156,.F.); #106172=ORIENTED_EDGE('',*,*,#80651,.T.); #106173=ORIENTED_EDGE('',*,*,#81157,.T.); #106174=ORIENTED_EDGE('',*,*,#81154,.F.); #106175=ORIENTED_EDGE('',*,*,#81157,.F.); #106176=ORIENTED_EDGE('',*,*,#80650,.F.); #106177=ORIENTED_EDGE('',*,*,#80654,.F.); #106178=ORIENTED_EDGE('',*,*,#80657,.F.); #106179=ORIENTED_EDGE('',*,*,#80660,.F.); #106180=ORIENTED_EDGE('',*,*,#80663,.F.); #106181=ORIENTED_EDGE('',*,*,#80666,.F.); #106182=ORIENTED_EDGE('',*,*,#80669,.F.); #106183=ORIENTED_EDGE('',*,*,#80672,.F.); #106184=ORIENTED_EDGE('',*,*,#80675,.F.); #106185=ORIENTED_EDGE('',*,*,#80678,.F.); #106186=ORIENTED_EDGE('',*,*,#80681,.F.); #106187=ORIENTED_EDGE('',*,*,#80684,.F.); #106188=ORIENTED_EDGE('',*,*,#80687,.F.); #106189=ORIENTED_EDGE('',*,*,#80690,.F.); #106190=ORIENTED_EDGE('',*,*,#80693,.F.); #106191=ORIENTED_EDGE('',*,*,#80696,.F.); #106192=ORIENTED_EDGE('',*,*,#80699,.F.); #106193=ORIENTED_EDGE('',*,*,#80702,.F.); #106194=ORIENTED_EDGE('',*,*,#80705,.F.); #106195=ORIENTED_EDGE('',*,*,#80708,.F.); #106196=ORIENTED_EDGE('',*,*,#80711,.F.); #106197=ORIENTED_EDGE('',*,*,#80714,.F.); #106198=ORIENTED_EDGE('',*,*,#80717,.F.); #106199=ORIENTED_EDGE('',*,*,#80720,.F.); #106200=ORIENTED_EDGE('',*,*,#80723,.F.); #106201=ORIENTED_EDGE('',*,*,#80726,.F.); #106202=ORIENTED_EDGE('',*,*,#80729,.F.); #106203=ORIENTED_EDGE('',*,*,#80732,.F.); #106204=ORIENTED_EDGE('',*,*,#80735,.F.); #106205=ORIENTED_EDGE('',*,*,#80738,.F.); #106206=ORIENTED_EDGE('',*,*,#80741,.F.); #106207=ORIENTED_EDGE('',*,*,#80744,.F.); #106208=ORIENTED_EDGE('',*,*,#80747,.F.); #106209=ORIENTED_EDGE('',*,*,#80750,.F.); #106210=ORIENTED_EDGE('',*,*,#80753,.F.); #106211=ORIENTED_EDGE('',*,*,#80756,.F.); #106212=ORIENTED_EDGE('',*,*,#80759,.F.); #106213=ORIENTED_EDGE('',*,*,#80762,.F.); #106214=ORIENTED_EDGE('',*,*,#80765,.F.); #106215=ORIENTED_EDGE('',*,*,#80768,.F.); #106216=ORIENTED_EDGE('',*,*,#80771,.F.); #106217=ORIENTED_EDGE('',*,*,#80774,.F.); #106218=ORIENTED_EDGE('',*,*,#80777,.F.); #106219=ORIENTED_EDGE('',*,*,#80780,.F.); #106220=ORIENTED_EDGE('',*,*,#80783,.F.); #106221=ORIENTED_EDGE('',*,*,#80786,.F.); #106222=ORIENTED_EDGE('',*,*,#80789,.F.); #106223=ORIENTED_EDGE('',*,*,#80792,.F.); #106224=ORIENTED_EDGE('',*,*,#80795,.F.); #106225=ORIENTED_EDGE('',*,*,#80798,.F.); #106226=ORIENTED_EDGE('',*,*,#80801,.F.); #106227=ORIENTED_EDGE('',*,*,#80804,.F.); #106228=ORIENTED_EDGE('',*,*,#80807,.F.); #106229=ORIENTED_EDGE('',*,*,#80810,.F.); #106230=ORIENTED_EDGE('',*,*,#80813,.F.); #106231=ORIENTED_EDGE('',*,*,#80816,.F.); #106232=ORIENTED_EDGE('',*,*,#80819,.F.); #106233=ORIENTED_EDGE('',*,*,#80822,.F.); #106234=ORIENTED_EDGE('',*,*,#80825,.F.); #106235=ORIENTED_EDGE('',*,*,#80828,.F.); #106236=ORIENTED_EDGE('',*,*,#80831,.F.); #106237=ORIENTED_EDGE('',*,*,#80834,.F.); #106238=ORIENTED_EDGE('',*,*,#80837,.F.); #106239=ORIENTED_EDGE('',*,*,#80840,.F.); #106240=ORIENTED_EDGE('',*,*,#80843,.F.); #106241=ORIENTED_EDGE('',*,*,#80846,.F.); #106242=ORIENTED_EDGE('',*,*,#80849,.F.); #106243=ORIENTED_EDGE('',*,*,#80852,.F.); #106244=ORIENTED_EDGE('',*,*,#80855,.F.); #106245=ORIENTED_EDGE('',*,*,#80858,.F.); #106246=ORIENTED_EDGE('',*,*,#80861,.F.); #106247=ORIENTED_EDGE('',*,*,#80864,.F.); #106248=ORIENTED_EDGE('',*,*,#80867,.F.); #106249=ORIENTED_EDGE('',*,*,#80870,.F.); #106250=ORIENTED_EDGE('',*,*,#80873,.F.); #106251=ORIENTED_EDGE('',*,*,#80876,.F.); #106252=ORIENTED_EDGE('',*,*,#80879,.F.); #106253=ORIENTED_EDGE('',*,*,#80882,.F.); #106254=ORIENTED_EDGE('',*,*,#80885,.F.); #106255=ORIENTED_EDGE('',*,*,#80888,.F.); #106256=ORIENTED_EDGE('',*,*,#80891,.F.); #106257=ORIENTED_EDGE('',*,*,#80894,.F.); #106258=ORIENTED_EDGE('',*,*,#80897,.F.); #106259=ORIENTED_EDGE('',*,*,#80900,.F.); #106260=ORIENTED_EDGE('',*,*,#80903,.F.); #106261=ORIENTED_EDGE('',*,*,#80906,.F.); #106262=ORIENTED_EDGE('',*,*,#80909,.F.); #106263=ORIENTED_EDGE('',*,*,#80912,.F.); #106264=ORIENTED_EDGE('',*,*,#80915,.F.); #106265=ORIENTED_EDGE('',*,*,#80918,.F.); #106266=ORIENTED_EDGE('',*,*,#80921,.F.); #106267=ORIENTED_EDGE('',*,*,#80924,.F.); #106268=ORIENTED_EDGE('',*,*,#80927,.F.); #106269=ORIENTED_EDGE('',*,*,#80930,.F.); #106270=ORIENTED_EDGE('',*,*,#80933,.F.); #106271=ORIENTED_EDGE('',*,*,#80936,.F.); #106272=ORIENTED_EDGE('',*,*,#80939,.F.); #106273=ORIENTED_EDGE('',*,*,#80942,.F.); #106274=ORIENTED_EDGE('',*,*,#80945,.F.); #106275=ORIENTED_EDGE('',*,*,#80948,.F.); #106276=ORIENTED_EDGE('',*,*,#80951,.F.); #106277=ORIENTED_EDGE('',*,*,#80954,.F.); #106278=ORIENTED_EDGE('',*,*,#80957,.F.); #106279=ORIENTED_EDGE('',*,*,#80960,.F.); #106280=ORIENTED_EDGE('',*,*,#80963,.F.); #106281=ORIENTED_EDGE('',*,*,#80966,.F.); #106282=ORIENTED_EDGE('',*,*,#80969,.F.); #106283=ORIENTED_EDGE('',*,*,#80972,.F.); #106284=ORIENTED_EDGE('',*,*,#80975,.F.); #106285=ORIENTED_EDGE('',*,*,#80978,.F.); #106286=ORIENTED_EDGE('',*,*,#80981,.F.); #106287=ORIENTED_EDGE('',*,*,#80984,.F.); #106288=ORIENTED_EDGE('',*,*,#80987,.F.); #106289=ORIENTED_EDGE('',*,*,#80990,.F.); #106290=ORIENTED_EDGE('',*,*,#80993,.F.); #106291=ORIENTED_EDGE('',*,*,#80996,.F.); #106292=ORIENTED_EDGE('',*,*,#80999,.F.); #106293=ORIENTED_EDGE('',*,*,#81002,.F.); #106294=ORIENTED_EDGE('',*,*,#81005,.F.); #106295=ORIENTED_EDGE('',*,*,#81008,.F.); #106296=ORIENTED_EDGE('',*,*,#81011,.F.); #106297=ORIENTED_EDGE('',*,*,#81014,.F.); #106298=ORIENTED_EDGE('',*,*,#81017,.F.); #106299=ORIENTED_EDGE('',*,*,#81020,.F.); #106300=ORIENTED_EDGE('',*,*,#81023,.F.); #106301=ORIENTED_EDGE('',*,*,#81026,.F.); #106302=ORIENTED_EDGE('',*,*,#81029,.F.); #106303=ORIENTED_EDGE('',*,*,#81032,.F.); #106304=ORIENTED_EDGE('',*,*,#81035,.F.); #106305=ORIENTED_EDGE('',*,*,#81038,.F.); #106306=ORIENTED_EDGE('',*,*,#81041,.F.); #106307=ORIENTED_EDGE('',*,*,#81044,.F.); #106308=ORIENTED_EDGE('',*,*,#81047,.F.); #106309=ORIENTED_EDGE('',*,*,#81050,.F.); #106310=ORIENTED_EDGE('',*,*,#81053,.F.); #106311=ORIENTED_EDGE('',*,*,#81056,.F.); #106312=ORIENTED_EDGE('',*,*,#81059,.F.); #106313=ORIENTED_EDGE('',*,*,#81062,.F.); #106314=ORIENTED_EDGE('',*,*,#81065,.F.); #106315=ORIENTED_EDGE('',*,*,#81068,.F.); #106316=ORIENTED_EDGE('',*,*,#81071,.F.); #106317=ORIENTED_EDGE('',*,*,#81074,.F.); #106318=ORIENTED_EDGE('',*,*,#81077,.F.); #106319=ORIENTED_EDGE('',*,*,#81080,.F.); #106320=ORIENTED_EDGE('',*,*,#81083,.F.); #106321=ORIENTED_EDGE('',*,*,#81086,.F.); #106322=ORIENTED_EDGE('',*,*,#81089,.F.); #106323=ORIENTED_EDGE('',*,*,#81092,.F.); #106324=ORIENTED_EDGE('',*,*,#81095,.F.); #106325=ORIENTED_EDGE('',*,*,#81098,.F.); #106326=ORIENTED_EDGE('',*,*,#81101,.F.); #106327=ORIENTED_EDGE('',*,*,#81104,.F.); #106328=ORIENTED_EDGE('',*,*,#81107,.F.); #106329=ORIENTED_EDGE('',*,*,#81110,.F.); #106330=ORIENTED_EDGE('',*,*,#81113,.F.); #106331=ORIENTED_EDGE('',*,*,#81116,.F.); #106332=ORIENTED_EDGE('',*,*,#81119,.F.); #106333=ORIENTED_EDGE('',*,*,#81122,.F.); #106334=ORIENTED_EDGE('',*,*,#81125,.F.); #106335=ORIENTED_EDGE('',*,*,#81128,.F.); #106336=ORIENTED_EDGE('',*,*,#81131,.F.); #106337=ORIENTED_EDGE('',*,*,#81134,.F.); #106338=ORIENTED_EDGE('',*,*,#81137,.F.); #106339=ORIENTED_EDGE('',*,*,#81140,.F.); #106340=ORIENTED_EDGE('',*,*,#81143,.F.); #106341=ORIENTED_EDGE('',*,*,#81146,.F.); #106342=ORIENTED_EDGE('',*,*,#81149,.F.); #106343=ORIENTED_EDGE('',*,*,#81152,.F.); #106344=ORIENTED_EDGE('',*,*,#81155,.F.); #106345=ORIENTED_EDGE('',*,*,#81158,.F.); #106346=ORIENTED_EDGE('',*,*,#81159,.T.); #106347=ORIENTED_EDGE('',*,*,#81160,.T.); #106348=ORIENTED_EDGE('',*,*,#81161,.F.); #106349=ORIENTED_EDGE('',*,*,#81162,.F.); #106350=ORIENTED_EDGE('',*,*,#81163,.T.); #106351=ORIENTED_EDGE('',*,*,#81164,.T.); #106352=ORIENTED_EDGE('',*,*,#81159,.F.); #106353=ORIENTED_EDGE('',*,*,#81165,.F.); #106354=ORIENTED_EDGE('',*,*,#81166,.T.); #106355=ORIENTED_EDGE('',*,*,#81167,.T.); #106356=ORIENTED_EDGE('',*,*,#81163,.F.); #106357=ORIENTED_EDGE('',*,*,#81168,.F.); #106358=ORIENTED_EDGE('',*,*,#81169,.T.); #106359=ORIENTED_EDGE('',*,*,#81170,.T.); #106360=ORIENTED_EDGE('',*,*,#81166,.F.); #106361=ORIENTED_EDGE('',*,*,#81171,.F.); #106362=ORIENTED_EDGE('',*,*,#81172,.T.); #106363=ORIENTED_EDGE('',*,*,#81173,.T.); #106364=ORIENTED_EDGE('',*,*,#81169,.F.); #106365=ORIENTED_EDGE('',*,*,#81174,.F.); #106366=ORIENTED_EDGE('',*,*,#81175,.T.); #106367=ORIENTED_EDGE('',*,*,#81176,.T.); #106368=ORIENTED_EDGE('',*,*,#81172,.F.); #106369=ORIENTED_EDGE('',*,*,#81177,.F.); #106370=ORIENTED_EDGE('',*,*,#81178,.T.); #106371=ORIENTED_EDGE('',*,*,#81179,.T.); #106372=ORIENTED_EDGE('',*,*,#81175,.F.); #106373=ORIENTED_EDGE('',*,*,#81180,.F.); #106374=ORIENTED_EDGE('',*,*,#81181,.T.); #106375=ORIENTED_EDGE('',*,*,#81182,.T.); #106376=ORIENTED_EDGE('',*,*,#81178,.F.); #106377=ORIENTED_EDGE('',*,*,#81183,.F.); #106378=ORIENTED_EDGE('',*,*,#81184,.T.); #106379=ORIENTED_EDGE('',*,*,#81185,.T.); #106380=ORIENTED_EDGE('',*,*,#81181,.F.); #106381=ORIENTED_EDGE('',*,*,#81186,.F.); #106382=ORIENTED_EDGE('',*,*,#81187,.T.); #106383=ORIENTED_EDGE('',*,*,#81188,.T.); #106384=ORIENTED_EDGE('',*,*,#81184,.F.); #106385=ORIENTED_EDGE('',*,*,#81189,.F.); #106386=ORIENTED_EDGE('',*,*,#81190,.T.); #106387=ORIENTED_EDGE('',*,*,#81191,.T.); #106388=ORIENTED_EDGE('',*,*,#81187,.F.); #106389=ORIENTED_EDGE('',*,*,#81192,.F.); #106390=ORIENTED_EDGE('',*,*,#81193,.T.); #106391=ORIENTED_EDGE('',*,*,#81194,.T.); #106392=ORIENTED_EDGE('',*,*,#81190,.F.); #106393=ORIENTED_EDGE('',*,*,#81195,.F.); #106394=ORIENTED_EDGE('',*,*,#81196,.T.); #106395=ORIENTED_EDGE('',*,*,#81197,.T.); #106396=ORIENTED_EDGE('',*,*,#81193,.F.); #106397=ORIENTED_EDGE('',*,*,#81198,.F.); #106398=ORIENTED_EDGE('',*,*,#81199,.T.); #106399=ORIENTED_EDGE('',*,*,#81200,.T.); #106400=ORIENTED_EDGE('',*,*,#81196,.F.); #106401=ORIENTED_EDGE('',*,*,#81201,.F.); #106402=ORIENTED_EDGE('',*,*,#81202,.T.); #106403=ORIENTED_EDGE('',*,*,#81203,.T.); #106404=ORIENTED_EDGE('',*,*,#81199,.F.); #106405=ORIENTED_EDGE('',*,*,#81204,.F.); #106406=ORIENTED_EDGE('',*,*,#81205,.T.); #106407=ORIENTED_EDGE('',*,*,#81206,.T.); #106408=ORIENTED_EDGE('',*,*,#81202,.F.); #106409=ORIENTED_EDGE('',*,*,#81207,.F.); #106410=ORIENTED_EDGE('',*,*,#81208,.T.); #106411=ORIENTED_EDGE('',*,*,#81209,.T.); #106412=ORIENTED_EDGE('',*,*,#81205,.F.); #106413=ORIENTED_EDGE('',*,*,#81210,.F.); #106414=ORIENTED_EDGE('',*,*,#81211,.T.); #106415=ORIENTED_EDGE('',*,*,#81212,.T.); #106416=ORIENTED_EDGE('',*,*,#81208,.F.); #106417=ORIENTED_EDGE('',*,*,#81213,.F.); #106418=ORIENTED_EDGE('',*,*,#81214,.T.); #106419=ORIENTED_EDGE('',*,*,#81215,.T.); #106420=ORIENTED_EDGE('',*,*,#81211,.F.); #106421=ORIENTED_EDGE('',*,*,#81216,.F.); #106422=ORIENTED_EDGE('',*,*,#81217,.T.); #106423=ORIENTED_EDGE('',*,*,#81218,.T.); #106424=ORIENTED_EDGE('',*,*,#81214,.F.); #106425=ORIENTED_EDGE('',*,*,#81219,.F.); #106426=ORIENTED_EDGE('',*,*,#81220,.T.); #106427=ORIENTED_EDGE('',*,*,#81221,.T.); #106428=ORIENTED_EDGE('',*,*,#81217,.F.); #106429=ORIENTED_EDGE('',*,*,#81222,.F.); #106430=ORIENTED_EDGE('',*,*,#81223,.T.); #106431=ORIENTED_EDGE('',*,*,#81224,.T.); #106432=ORIENTED_EDGE('',*,*,#81220,.F.); #106433=ORIENTED_EDGE('',*,*,#81225,.F.); #106434=ORIENTED_EDGE('',*,*,#81226,.T.); #106435=ORIENTED_EDGE('',*,*,#81227,.T.); #106436=ORIENTED_EDGE('',*,*,#81223,.F.); #106437=ORIENTED_EDGE('',*,*,#81228,.F.); #106438=ORIENTED_EDGE('',*,*,#81229,.T.); #106439=ORIENTED_EDGE('',*,*,#81230,.T.); #106440=ORIENTED_EDGE('',*,*,#81226,.F.); #106441=ORIENTED_EDGE('',*,*,#81231,.F.); #106442=ORIENTED_EDGE('',*,*,#81232,.T.); #106443=ORIENTED_EDGE('',*,*,#81233,.T.); #106444=ORIENTED_EDGE('',*,*,#81229,.F.); #106445=ORIENTED_EDGE('',*,*,#81234,.F.); #106446=ORIENTED_EDGE('',*,*,#81235,.T.); #106447=ORIENTED_EDGE('',*,*,#81236,.T.); #106448=ORIENTED_EDGE('',*,*,#81232,.F.); #106449=ORIENTED_EDGE('',*,*,#81237,.F.); #106450=ORIENTED_EDGE('',*,*,#81238,.T.); #106451=ORIENTED_EDGE('',*,*,#81239,.T.); #106452=ORIENTED_EDGE('',*,*,#81235,.F.); #106453=ORIENTED_EDGE('',*,*,#81240,.F.); #106454=ORIENTED_EDGE('',*,*,#81241,.T.); #106455=ORIENTED_EDGE('',*,*,#81242,.T.); #106456=ORIENTED_EDGE('',*,*,#81238,.F.); #106457=ORIENTED_EDGE('',*,*,#81243,.F.); #106458=ORIENTED_EDGE('',*,*,#81244,.T.); #106459=ORIENTED_EDGE('',*,*,#81245,.T.); #106460=ORIENTED_EDGE('',*,*,#81241,.F.); #106461=ORIENTED_EDGE('',*,*,#81246,.F.); #106462=ORIENTED_EDGE('',*,*,#81247,.T.); #106463=ORIENTED_EDGE('',*,*,#81248,.T.); #106464=ORIENTED_EDGE('',*,*,#81244,.F.); #106465=ORIENTED_EDGE('',*,*,#81249,.F.); #106466=ORIENTED_EDGE('',*,*,#81250,.T.); #106467=ORIENTED_EDGE('',*,*,#81251,.T.); #106468=ORIENTED_EDGE('',*,*,#81247,.F.); #106469=ORIENTED_EDGE('',*,*,#81252,.F.); #106470=ORIENTED_EDGE('',*,*,#81253,.T.); #106471=ORIENTED_EDGE('',*,*,#81254,.T.); #106472=ORIENTED_EDGE('',*,*,#81250,.F.); #106473=ORIENTED_EDGE('',*,*,#81255,.F.); #106474=ORIENTED_EDGE('',*,*,#81256,.T.); #106475=ORIENTED_EDGE('',*,*,#81257,.T.); #106476=ORIENTED_EDGE('',*,*,#81253,.F.); #106477=ORIENTED_EDGE('',*,*,#81258,.F.); #106478=ORIENTED_EDGE('',*,*,#81259,.T.); #106479=ORIENTED_EDGE('',*,*,#81260,.T.); #106480=ORIENTED_EDGE('',*,*,#81256,.F.); #106481=ORIENTED_EDGE('',*,*,#81261,.F.); #106482=ORIENTED_EDGE('',*,*,#81262,.T.); #106483=ORIENTED_EDGE('',*,*,#81263,.T.); #106484=ORIENTED_EDGE('',*,*,#81259,.F.); #106485=ORIENTED_EDGE('',*,*,#81264,.F.); #106486=ORIENTED_EDGE('',*,*,#81265,.T.); #106487=ORIENTED_EDGE('',*,*,#81266,.T.); #106488=ORIENTED_EDGE('',*,*,#81262,.F.); #106489=ORIENTED_EDGE('',*,*,#81267,.F.); #106490=ORIENTED_EDGE('',*,*,#81268,.T.); #106491=ORIENTED_EDGE('',*,*,#81269,.T.); #106492=ORIENTED_EDGE('',*,*,#81265,.F.); #106493=ORIENTED_EDGE('',*,*,#81270,.F.); #106494=ORIENTED_EDGE('',*,*,#81271,.T.); #106495=ORIENTED_EDGE('',*,*,#81272,.T.); #106496=ORIENTED_EDGE('',*,*,#81268,.F.); #106497=ORIENTED_EDGE('',*,*,#81273,.F.); #106498=ORIENTED_EDGE('',*,*,#81274,.T.); #106499=ORIENTED_EDGE('',*,*,#81275,.T.); #106500=ORIENTED_EDGE('',*,*,#81271,.F.); #106501=ORIENTED_EDGE('',*,*,#81276,.F.); #106502=ORIENTED_EDGE('',*,*,#81277,.T.); #106503=ORIENTED_EDGE('',*,*,#81278,.T.); #106504=ORIENTED_EDGE('',*,*,#81274,.F.); #106505=ORIENTED_EDGE('',*,*,#81279,.F.); #106506=ORIENTED_EDGE('',*,*,#81280,.T.); #106507=ORIENTED_EDGE('',*,*,#81281,.T.); #106508=ORIENTED_EDGE('',*,*,#81277,.F.); #106509=ORIENTED_EDGE('',*,*,#81282,.F.); #106510=ORIENTED_EDGE('',*,*,#81283,.T.); #106511=ORIENTED_EDGE('',*,*,#81284,.T.); #106512=ORIENTED_EDGE('',*,*,#81280,.F.); #106513=ORIENTED_EDGE('',*,*,#81285,.F.); #106514=ORIENTED_EDGE('',*,*,#81286,.T.); #106515=ORIENTED_EDGE('',*,*,#81287,.T.); #106516=ORIENTED_EDGE('',*,*,#81283,.F.); #106517=ORIENTED_EDGE('',*,*,#81288,.F.); #106518=ORIENTED_EDGE('',*,*,#81289,.T.); #106519=ORIENTED_EDGE('',*,*,#81290,.T.); #106520=ORIENTED_EDGE('',*,*,#81286,.F.); #106521=ORIENTED_EDGE('',*,*,#81291,.F.); #106522=ORIENTED_EDGE('',*,*,#81292,.T.); #106523=ORIENTED_EDGE('',*,*,#81293,.T.); #106524=ORIENTED_EDGE('',*,*,#81289,.F.); #106525=ORIENTED_EDGE('',*,*,#81294,.F.); #106526=ORIENTED_EDGE('',*,*,#81295,.T.); #106527=ORIENTED_EDGE('',*,*,#81296,.T.); #106528=ORIENTED_EDGE('',*,*,#81292,.F.); #106529=ORIENTED_EDGE('',*,*,#81297,.F.); #106530=ORIENTED_EDGE('',*,*,#81298,.T.); #106531=ORIENTED_EDGE('',*,*,#81299,.T.); #106532=ORIENTED_EDGE('',*,*,#81295,.F.); #106533=ORIENTED_EDGE('',*,*,#81300,.F.); #106534=ORIENTED_EDGE('',*,*,#81301,.T.); #106535=ORIENTED_EDGE('',*,*,#81302,.T.); #106536=ORIENTED_EDGE('',*,*,#81298,.F.); #106537=ORIENTED_EDGE('',*,*,#81303,.F.); #106538=ORIENTED_EDGE('',*,*,#81304,.T.); #106539=ORIENTED_EDGE('',*,*,#81305,.T.); #106540=ORIENTED_EDGE('',*,*,#81301,.F.); #106541=ORIENTED_EDGE('',*,*,#81306,.F.); #106542=ORIENTED_EDGE('',*,*,#81307,.T.); #106543=ORIENTED_EDGE('',*,*,#81308,.T.); #106544=ORIENTED_EDGE('',*,*,#81304,.F.); #106545=ORIENTED_EDGE('',*,*,#81309,.F.); #106546=ORIENTED_EDGE('',*,*,#81310,.T.); #106547=ORIENTED_EDGE('',*,*,#81311,.T.); #106548=ORIENTED_EDGE('',*,*,#81307,.F.); #106549=ORIENTED_EDGE('',*,*,#81312,.F.); #106550=ORIENTED_EDGE('',*,*,#81313,.T.); #106551=ORIENTED_EDGE('',*,*,#81314,.T.); #106552=ORIENTED_EDGE('',*,*,#81310,.F.); #106553=ORIENTED_EDGE('',*,*,#81315,.F.); #106554=ORIENTED_EDGE('',*,*,#81316,.T.); #106555=ORIENTED_EDGE('',*,*,#81317,.T.); #106556=ORIENTED_EDGE('',*,*,#81313,.F.); #106557=ORIENTED_EDGE('',*,*,#81318,.F.); #106558=ORIENTED_EDGE('',*,*,#81319,.T.); #106559=ORIENTED_EDGE('',*,*,#81320,.T.); #106560=ORIENTED_EDGE('',*,*,#81316,.F.); #106561=ORIENTED_EDGE('',*,*,#81321,.F.); #106562=ORIENTED_EDGE('',*,*,#81322,.T.); #106563=ORIENTED_EDGE('',*,*,#81323,.T.); #106564=ORIENTED_EDGE('',*,*,#81319,.F.); #106565=ORIENTED_EDGE('',*,*,#81324,.F.); #106566=ORIENTED_EDGE('',*,*,#81325,.T.); #106567=ORIENTED_EDGE('',*,*,#81326,.T.); #106568=ORIENTED_EDGE('',*,*,#81322,.F.); #106569=ORIENTED_EDGE('',*,*,#81327,.F.); #106570=ORIENTED_EDGE('',*,*,#81328,.T.); #106571=ORIENTED_EDGE('',*,*,#81329,.T.); #106572=ORIENTED_EDGE('',*,*,#81325,.F.); #106573=ORIENTED_EDGE('',*,*,#81330,.F.); #106574=ORIENTED_EDGE('',*,*,#81331,.T.); #106575=ORIENTED_EDGE('',*,*,#81332,.T.); #106576=ORIENTED_EDGE('',*,*,#81328,.F.); #106577=ORIENTED_EDGE('',*,*,#81333,.F.); #106578=ORIENTED_EDGE('',*,*,#81334,.T.); #106579=ORIENTED_EDGE('',*,*,#81335,.T.); #106580=ORIENTED_EDGE('',*,*,#81331,.F.); #106581=ORIENTED_EDGE('',*,*,#81336,.F.); #106582=ORIENTED_EDGE('',*,*,#81337,.T.); #106583=ORIENTED_EDGE('',*,*,#81338,.T.); #106584=ORIENTED_EDGE('',*,*,#81334,.F.); #106585=ORIENTED_EDGE('',*,*,#81339,.F.); #106586=ORIENTED_EDGE('',*,*,#81340,.T.); #106587=ORIENTED_EDGE('',*,*,#81341,.T.); #106588=ORIENTED_EDGE('',*,*,#81337,.F.); #106589=ORIENTED_EDGE('',*,*,#81342,.F.); #106590=ORIENTED_EDGE('',*,*,#81343,.T.); #106591=ORIENTED_EDGE('',*,*,#81344,.T.); #106592=ORIENTED_EDGE('',*,*,#81340,.F.); #106593=ORIENTED_EDGE('',*,*,#81345,.F.); #106594=ORIENTED_EDGE('',*,*,#81346,.T.); #106595=ORIENTED_EDGE('',*,*,#81347,.T.); #106596=ORIENTED_EDGE('',*,*,#81343,.F.); #106597=ORIENTED_EDGE('',*,*,#81348,.F.); #106598=ORIENTED_EDGE('',*,*,#81349,.T.); #106599=ORIENTED_EDGE('',*,*,#81350,.T.); #106600=ORIENTED_EDGE('',*,*,#81346,.F.); #106601=ORIENTED_EDGE('',*,*,#81351,.F.); #106602=ORIENTED_EDGE('',*,*,#81352,.T.); #106603=ORIENTED_EDGE('',*,*,#81353,.T.); #106604=ORIENTED_EDGE('',*,*,#81349,.F.); #106605=ORIENTED_EDGE('',*,*,#81354,.F.); #106606=ORIENTED_EDGE('',*,*,#81355,.T.); #106607=ORIENTED_EDGE('',*,*,#81356,.T.); #106608=ORIENTED_EDGE('',*,*,#81352,.F.); #106609=ORIENTED_EDGE('',*,*,#81357,.F.); #106610=ORIENTED_EDGE('',*,*,#81358,.T.); #106611=ORIENTED_EDGE('',*,*,#81359,.T.); #106612=ORIENTED_EDGE('',*,*,#81355,.F.); #106613=ORIENTED_EDGE('',*,*,#81360,.F.); #106614=ORIENTED_EDGE('',*,*,#81361,.T.); #106615=ORIENTED_EDGE('',*,*,#81362,.T.); #106616=ORIENTED_EDGE('',*,*,#81358,.F.); #106617=ORIENTED_EDGE('',*,*,#81363,.F.); #106618=ORIENTED_EDGE('',*,*,#81364,.T.); #106619=ORIENTED_EDGE('',*,*,#81365,.T.); #106620=ORIENTED_EDGE('',*,*,#81361,.F.); #106621=ORIENTED_EDGE('',*,*,#81366,.F.); #106622=ORIENTED_EDGE('',*,*,#81367,.T.); #106623=ORIENTED_EDGE('',*,*,#81368,.T.); #106624=ORIENTED_EDGE('',*,*,#81364,.F.); #106625=ORIENTED_EDGE('',*,*,#81369,.F.); #106626=ORIENTED_EDGE('',*,*,#81370,.T.); #106627=ORIENTED_EDGE('',*,*,#81371,.T.); #106628=ORIENTED_EDGE('',*,*,#81367,.F.); #106629=ORIENTED_EDGE('',*,*,#81372,.F.); #106630=ORIENTED_EDGE('',*,*,#81373,.T.); #106631=ORIENTED_EDGE('',*,*,#81374,.T.); #106632=ORIENTED_EDGE('',*,*,#81370,.F.); #106633=ORIENTED_EDGE('',*,*,#81375,.F.); #106634=ORIENTED_EDGE('',*,*,#81376,.T.); #106635=ORIENTED_EDGE('',*,*,#81377,.T.); #106636=ORIENTED_EDGE('',*,*,#81373,.F.); #106637=ORIENTED_EDGE('',*,*,#81378,.F.); #106638=ORIENTED_EDGE('',*,*,#81379,.T.); #106639=ORIENTED_EDGE('',*,*,#81380,.T.); #106640=ORIENTED_EDGE('',*,*,#81376,.F.); #106641=ORIENTED_EDGE('',*,*,#81381,.F.); #106642=ORIENTED_EDGE('',*,*,#81382,.T.); #106643=ORIENTED_EDGE('',*,*,#81383,.T.); #106644=ORIENTED_EDGE('',*,*,#81379,.F.); #106645=ORIENTED_EDGE('',*,*,#81384,.F.); #106646=ORIENTED_EDGE('',*,*,#81385,.T.); #106647=ORIENTED_EDGE('',*,*,#81386,.T.); #106648=ORIENTED_EDGE('',*,*,#81382,.F.); #106649=ORIENTED_EDGE('',*,*,#81387,.F.); #106650=ORIENTED_EDGE('',*,*,#81388,.T.); #106651=ORIENTED_EDGE('',*,*,#81389,.T.); #106652=ORIENTED_EDGE('',*,*,#81385,.F.); #106653=ORIENTED_EDGE('',*,*,#81390,.F.); #106654=ORIENTED_EDGE('',*,*,#81391,.T.); #106655=ORIENTED_EDGE('',*,*,#81392,.T.); #106656=ORIENTED_EDGE('',*,*,#81388,.F.); #106657=ORIENTED_EDGE('',*,*,#81393,.F.); #106658=ORIENTED_EDGE('',*,*,#81394,.T.); #106659=ORIENTED_EDGE('',*,*,#81395,.T.); #106660=ORIENTED_EDGE('',*,*,#81391,.F.); #106661=ORIENTED_EDGE('',*,*,#81396,.F.); #106662=ORIENTED_EDGE('',*,*,#81397,.T.); #106663=ORIENTED_EDGE('',*,*,#81398,.T.); #106664=ORIENTED_EDGE('',*,*,#81394,.F.); #106665=ORIENTED_EDGE('',*,*,#81399,.F.); #106666=ORIENTED_EDGE('',*,*,#81400,.T.); #106667=ORIENTED_EDGE('',*,*,#81401,.T.); #106668=ORIENTED_EDGE('',*,*,#81397,.F.); #106669=ORIENTED_EDGE('',*,*,#81402,.F.); #106670=ORIENTED_EDGE('',*,*,#81403,.T.); #106671=ORIENTED_EDGE('',*,*,#81404,.T.); #106672=ORIENTED_EDGE('',*,*,#81400,.F.); #106673=ORIENTED_EDGE('',*,*,#81405,.F.); #106674=ORIENTED_EDGE('',*,*,#81406,.T.); #106675=ORIENTED_EDGE('',*,*,#81407,.T.); #106676=ORIENTED_EDGE('',*,*,#81403,.F.); #106677=ORIENTED_EDGE('',*,*,#81408,.F.); #106678=ORIENTED_EDGE('',*,*,#81409,.T.); #106679=ORIENTED_EDGE('',*,*,#81410,.T.); #106680=ORIENTED_EDGE('',*,*,#81406,.F.); #106681=ORIENTED_EDGE('',*,*,#81411,.F.); #106682=ORIENTED_EDGE('',*,*,#81412,.T.); #106683=ORIENTED_EDGE('',*,*,#81413,.T.); #106684=ORIENTED_EDGE('',*,*,#81409,.F.); #106685=ORIENTED_EDGE('',*,*,#81414,.F.); #106686=ORIENTED_EDGE('',*,*,#81415,.T.); #106687=ORIENTED_EDGE('',*,*,#81416,.T.); #106688=ORIENTED_EDGE('',*,*,#81412,.F.); #106689=ORIENTED_EDGE('',*,*,#81417,.F.); #106690=ORIENTED_EDGE('',*,*,#81418,.T.); #106691=ORIENTED_EDGE('',*,*,#81419,.T.); #106692=ORIENTED_EDGE('',*,*,#81415,.F.); #106693=ORIENTED_EDGE('',*,*,#81420,.F.); #106694=ORIENTED_EDGE('',*,*,#81421,.T.); #106695=ORIENTED_EDGE('',*,*,#81422,.T.); #106696=ORIENTED_EDGE('',*,*,#81418,.F.); #106697=ORIENTED_EDGE('',*,*,#81423,.F.); #106698=ORIENTED_EDGE('',*,*,#81424,.T.); #106699=ORIENTED_EDGE('',*,*,#81425,.T.); #106700=ORIENTED_EDGE('',*,*,#81421,.F.); #106701=ORIENTED_EDGE('',*,*,#81426,.F.); #106702=ORIENTED_EDGE('',*,*,#81427,.T.); #106703=ORIENTED_EDGE('',*,*,#81428,.T.); #106704=ORIENTED_EDGE('',*,*,#81424,.F.); #106705=ORIENTED_EDGE('',*,*,#81429,.F.); #106706=ORIENTED_EDGE('',*,*,#81430,.T.); #106707=ORIENTED_EDGE('',*,*,#81431,.T.); #106708=ORIENTED_EDGE('',*,*,#81427,.F.); #106709=ORIENTED_EDGE('',*,*,#81432,.F.); #106710=ORIENTED_EDGE('',*,*,#81433,.T.); #106711=ORIENTED_EDGE('',*,*,#81434,.T.); #106712=ORIENTED_EDGE('',*,*,#81430,.F.); #106713=ORIENTED_EDGE('',*,*,#81435,.F.); #106714=ORIENTED_EDGE('',*,*,#81436,.T.); #106715=ORIENTED_EDGE('',*,*,#81437,.T.); #106716=ORIENTED_EDGE('',*,*,#81433,.F.); #106717=ORIENTED_EDGE('',*,*,#81438,.F.); #106718=ORIENTED_EDGE('',*,*,#81439,.T.); #106719=ORIENTED_EDGE('',*,*,#81440,.T.); #106720=ORIENTED_EDGE('',*,*,#81436,.F.); #106721=ORIENTED_EDGE('',*,*,#81441,.F.); #106722=ORIENTED_EDGE('',*,*,#81442,.T.); #106723=ORIENTED_EDGE('',*,*,#81443,.T.); #106724=ORIENTED_EDGE('',*,*,#81439,.F.); #106725=ORIENTED_EDGE('',*,*,#81444,.F.); #106726=ORIENTED_EDGE('',*,*,#81445,.T.); #106727=ORIENTED_EDGE('',*,*,#81446,.T.); #106728=ORIENTED_EDGE('',*,*,#81442,.F.); #106729=ORIENTED_EDGE('',*,*,#81447,.F.); #106730=ORIENTED_EDGE('',*,*,#81448,.T.); #106731=ORIENTED_EDGE('',*,*,#81449,.T.); #106732=ORIENTED_EDGE('',*,*,#81445,.F.); #106733=ORIENTED_EDGE('',*,*,#81450,.F.); #106734=ORIENTED_EDGE('',*,*,#81451,.T.); #106735=ORIENTED_EDGE('',*,*,#81452,.T.); #106736=ORIENTED_EDGE('',*,*,#81448,.F.); #106737=ORIENTED_EDGE('',*,*,#81453,.F.); #106738=ORIENTED_EDGE('',*,*,#81454,.T.); #106739=ORIENTED_EDGE('',*,*,#81455,.T.); #106740=ORIENTED_EDGE('',*,*,#81451,.F.); #106741=ORIENTED_EDGE('',*,*,#81456,.F.); #106742=ORIENTED_EDGE('',*,*,#81457,.T.); #106743=ORIENTED_EDGE('',*,*,#81458,.T.); #106744=ORIENTED_EDGE('',*,*,#81454,.F.); #106745=ORIENTED_EDGE('',*,*,#81459,.F.); #106746=ORIENTED_EDGE('',*,*,#81460,.T.); #106747=ORIENTED_EDGE('',*,*,#81461,.T.); #106748=ORIENTED_EDGE('',*,*,#81457,.F.); #106749=ORIENTED_EDGE('',*,*,#81462,.F.); #106750=ORIENTED_EDGE('',*,*,#81463,.T.); #106751=ORIENTED_EDGE('',*,*,#81464,.T.); #106752=ORIENTED_EDGE('',*,*,#81460,.F.); #106753=ORIENTED_EDGE('',*,*,#81465,.F.); #106754=ORIENTED_EDGE('',*,*,#81466,.T.); #106755=ORIENTED_EDGE('',*,*,#81467,.T.); #106756=ORIENTED_EDGE('',*,*,#81463,.F.); #106757=ORIENTED_EDGE('',*,*,#81468,.F.); #106758=ORIENTED_EDGE('',*,*,#81469,.T.); #106759=ORIENTED_EDGE('',*,*,#81470,.T.); #106760=ORIENTED_EDGE('',*,*,#81466,.F.); #106761=ORIENTED_EDGE('',*,*,#81471,.F.); #106762=ORIENTED_EDGE('',*,*,#81472,.T.); #106763=ORIENTED_EDGE('',*,*,#81473,.T.); #106764=ORIENTED_EDGE('',*,*,#81469,.F.); #106765=ORIENTED_EDGE('',*,*,#81474,.F.); #106766=ORIENTED_EDGE('',*,*,#81475,.T.); #106767=ORIENTED_EDGE('',*,*,#81476,.T.); #106768=ORIENTED_EDGE('',*,*,#81472,.F.); #106769=ORIENTED_EDGE('',*,*,#81477,.F.); #106770=ORIENTED_EDGE('',*,*,#81478,.T.); #106771=ORIENTED_EDGE('',*,*,#81479,.T.); #106772=ORIENTED_EDGE('',*,*,#81475,.F.); #106773=ORIENTED_EDGE('',*,*,#81480,.F.); #106774=ORIENTED_EDGE('',*,*,#81481,.T.); #106775=ORIENTED_EDGE('',*,*,#81482,.T.); #106776=ORIENTED_EDGE('',*,*,#81478,.F.); #106777=ORIENTED_EDGE('',*,*,#81483,.F.); #106778=ORIENTED_EDGE('',*,*,#81484,.T.); #106779=ORIENTED_EDGE('',*,*,#81485,.T.); #106780=ORIENTED_EDGE('',*,*,#81481,.F.); #106781=ORIENTED_EDGE('',*,*,#81486,.F.); #106782=ORIENTED_EDGE('',*,*,#81487,.T.); #106783=ORIENTED_EDGE('',*,*,#81488,.T.); #106784=ORIENTED_EDGE('',*,*,#81484,.F.); #106785=ORIENTED_EDGE('',*,*,#81489,.F.); #106786=ORIENTED_EDGE('',*,*,#81490,.T.); #106787=ORIENTED_EDGE('',*,*,#81491,.T.); #106788=ORIENTED_EDGE('',*,*,#81487,.F.); #106789=ORIENTED_EDGE('',*,*,#81492,.F.); #106790=ORIENTED_EDGE('',*,*,#81493,.T.); #106791=ORIENTED_EDGE('',*,*,#81494,.T.); #106792=ORIENTED_EDGE('',*,*,#81490,.F.); #106793=ORIENTED_EDGE('',*,*,#81495,.F.); #106794=ORIENTED_EDGE('',*,*,#81496,.T.); #106795=ORIENTED_EDGE('',*,*,#81497,.T.); #106796=ORIENTED_EDGE('',*,*,#81493,.F.); #106797=ORIENTED_EDGE('',*,*,#81498,.F.); #106798=ORIENTED_EDGE('',*,*,#81499,.T.); #106799=ORIENTED_EDGE('',*,*,#81500,.T.); #106800=ORIENTED_EDGE('',*,*,#81496,.F.); #106801=ORIENTED_EDGE('',*,*,#81501,.F.); #106802=ORIENTED_EDGE('',*,*,#81502,.T.); #106803=ORIENTED_EDGE('',*,*,#81503,.T.); #106804=ORIENTED_EDGE('',*,*,#81499,.F.); #106805=ORIENTED_EDGE('',*,*,#81504,.F.); #106806=ORIENTED_EDGE('',*,*,#81505,.T.); #106807=ORIENTED_EDGE('',*,*,#81506,.T.); #106808=ORIENTED_EDGE('',*,*,#81502,.F.); #106809=ORIENTED_EDGE('',*,*,#81507,.F.); #106810=ORIENTED_EDGE('',*,*,#81508,.T.); #106811=ORIENTED_EDGE('',*,*,#81509,.T.); #106812=ORIENTED_EDGE('',*,*,#81505,.F.); #106813=ORIENTED_EDGE('',*,*,#81510,.F.); #106814=ORIENTED_EDGE('',*,*,#81511,.T.); #106815=ORIENTED_EDGE('',*,*,#81512,.T.); #106816=ORIENTED_EDGE('',*,*,#81508,.F.); #106817=ORIENTED_EDGE('',*,*,#81513,.F.); #106818=ORIENTED_EDGE('',*,*,#81514,.T.); #106819=ORIENTED_EDGE('',*,*,#81515,.T.); #106820=ORIENTED_EDGE('',*,*,#81511,.F.); #106821=ORIENTED_EDGE('',*,*,#81516,.F.); #106822=ORIENTED_EDGE('',*,*,#81517,.T.); #106823=ORIENTED_EDGE('',*,*,#81518,.T.); #106824=ORIENTED_EDGE('',*,*,#81514,.F.); #106825=ORIENTED_EDGE('',*,*,#81519,.F.); #106826=ORIENTED_EDGE('',*,*,#81520,.T.); #106827=ORIENTED_EDGE('',*,*,#81521,.T.); #106828=ORIENTED_EDGE('',*,*,#81517,.F.); #106829=ORIENTED_EDGE('',*,*,#81522,.F.); #106830=ORIENTED_EDGE('',*,*,#81523,.T.); #106831=ORIENTED_EDGE('',*,*,#81524,.T.); #106832=ORIENTED_EDGE('',*,*,#81520,.F.); #106833=ORIENTED_EDGE('',*,*,#81525,.F.); #106834=ORIENTED_EDGE('',*,*,#81526,.T.); #106835=ORIENTED_EDGE('',*,*,#81527,.T.); #106836=ORIENTED_EDGE('',*,*,#81523,.F.); #106837=ORIENTED_EDGE('',*,*,#81528,.F.); #106838=ORIENTED_EDGE('',*,*,#81529,.T.); #106839=ORIENTED_EDGE('',*,*,#81530,.T.); #106840=ORIENTED_EDGE('',*,*,#81526,.F.); #106841=ORIENTED_EDGE('',*,*,#81531,.F.); #106842=ORIENTED_EDGE('',*,*,#81532,.T.); #106843=ORIENTED_EDGE('',*,*,#81533,.T.); #106844=ORIENTED_EDGE('',*,*,#81529,.F.); #106845=ORIENTED_EDGE('',*,*,#81534,.F.); #106846=ORIENTED_EDGE('',*,*,#81535,.T.); #106847=ORIENTED_EDGE('',*,*,#81536,.T.); #106848=ORIENTED_EDGE('',*,*,#81532,.F.); #106849=ORIENTED_EDGE('',*,*,#81537,.F.); #106850=ORIENTED_EDGE('',*,*,#81538,.T.); #106851=ORIENTED_EDGE('',*,*,#81539,.T.); #106852=ORIENTED_EDGE('',*,*,#81535,.F.); #106853=ORIENTED_EDGE('',*,*,#81540,.F.); #106854=ORIENTED_EDGE('',*,*,#81541,.T.); #106855=ORIENTED_EDGE('',*,*,#81542,.T.); #106856=ORIENTED_EDGE('',*,*,#81538,.F.); #106857=ORIENTED_EDGE('',*,*,#81543,.F.); #106858=ORIENTED_EDGE('',*,*,#81544,.T.); #106859=ORIENTED_EDGE('',*,*,#81545,.T.); #106860=ORIENTED_EDGE('',*,*,#81541,.F.); #106861=ORIENTED_EDGE('',*,*,#81546,.F.); #106862=ORIENTED_EDGE('',*,*,#81547,.T.); #106863=ORIENTED_EDGE('',*,*,#81548,.T.); #106864=ORIENTED_EDGE('',*,*,#81544,.F.); #106865=ORIENTED_EDGE('',*,*,#81549,.F.); #106866=ORIENTED_EDGE('',*,*,#81550,.T.); #106867=ORIENTED_EDGE('',*,*,#81551,.T.); #106868=ORIENTED_EDGE('',*,*,#81547,.F.); #106869=ORIENTED_EDGE('',*,*,#81552,.F.); #106870=ORIENTED_EDGE('',*,*,#81553,.T.); #106871=ORIENTED_EDGE('',*,*,#81554,.T.); #106872=ORIENTED_EDGE('',*,*,#81550,.F.); #106873=ORIENTED_EDGE('',*,*,#81555,.F.); #106874=ORIENTED_EDGE('',*,*,#81556,.T.); #106875=ORIENTED_EDGE('',*,*,#81557,.T.); #106876=ORIENTED_EDGE('',*,*,#81553,.F.); #106877=ORIENTED_EDGE('',*,*,#81558,.F.); #106878=ORIENTED_EDGE('',*,*,#81559,.T.); #106879=ORIENTED_EDGE('',*,*,#81560,.T.); #106880=ORIENTED_EDGE('',*,*,#81556,.F.); #106881=ORIENTED_EDGE('',*,*,#81561,.F.); #106882=ORIENTED_EDGE('',*,*,#81562,.T.); #106883=ORIENTED_EDGE('',*,*,#81563,.T.); #106884=ORIENTED_EDGE('',*,*,#81559,.F.); #106885=ORIENTED_EDGE('',*,*,#81564,.F.); #106886=ORIENTED_EDGE('',*,*,#81565,.T.); #106887=ORIENTED_EDGE('',*,*,#81566,.T.); #106888=ORIENTED_EDGE('',*,*,#81562,.F.); #106889=ORIENTED_EDGE('',*,*,#81567,.F.); #106890=ORIENTED_EDGE('',*,*,#81568,.T.); #106891=ORIENTED_EDGE('',*,*,#81569,.T.); #106892=ORIENTED_EDGE('',*,*,#81565,.F.); #106893=ORIENTED_EDGE('',*,*,#81570,.F.); #106894=ORIENTED_EDGE('',*,*,#81571,.T.); #106895=ORIENTED_EDGE('',*,*,#81572,.T.); #106896=ORIENTED_EDGE('',*,*,#81568,.F.); #106897=ORIENTED_EDGE('',*,*,#81573,.F.); #106898=ORIENTED_EDGE('',*,*,#81574,.T.); #106899=ORIENTED_EDGE('',*,*,#81575,.T.); #106900=ORIENTED_EDGE('',*,*,#81571,.F.); #106901=ORIENTED_EDGE('',*,*,#81576,.F.); #106902=ORIENTED_EDGE('',*,*,#81577,.T.); #106903=ORIENTED_EDGE('',*,*,#81578,.T.); #106904=ORIENTED_EDGE('',*,*,#81574,.F.); #106905=ORIENTED_EDGE('',*,*,#81579,.F.); #106906=ORIENTED_EDGE('',*,*,#81580,.T.); #106907=ORIENTED_EDGE('',*,*,#81581,.T.); #106908=ORIENTED_EDGE('',*,*,#81577,.F.); #106909=ORIENTED_EDGE('',*,*,#81582,.F.); #106910=ORIENTED_EDGE('',*,*,#81583,.T.); #106911=ORIENTED_EDGE('',*,*,#81584,.T.); #106912=ORIENTED_EDGE('',*,*,#81580,.F.); #106913=ORIENTED_EDGE('',*,*,#81585,.F.); #106914=ORIENTED_EDGE('',*,*,#81586,.T.); #106915=ORIENTED_EDGE('',*,*,#81587,.T.); #106916=ORIENTED_EDGE('',*,*,#81583,.F.); #106917=ORIENTED_EDGE('',*,*,#81588,.F.); #106918=ORIENTED_EDGE('',*,*,#81589,.T.); #106919=ORIENTED_EDGE('',*,*,#81590,.T.); #106920=ORIENTED_EDGE('',*,*,#81586,.F.); #106921=ORIENTED_EDGE('',*,*,#81591,.F.); #106922=ORIENTED_EDGE('',*,*,#81592,.T.); #106923=ORIENTED_EDGE('',*,*,#81593,.T.); #106924=ORIENTED_EDGE('',*,*,#81589,.F.); #106925=ORIENTED_EDGE('',*,*,#81594,.F.); #106926=ORIENTED_EDGE('',*,*,#81595,.T.); #106927=ORIENTED_EDGE('',*,*,#81596,.T.); #106928=ORIENTED_EDGE('',*,*,#81592,.F.); #106929=ORIENTED_EDGE('',*,*,#81597,.F.); #106930=ORIENTED_EDGE('',*,*,#81598,.T.); #106931=ORIENTED_EDGE('',*,*,#81599,.T.); #106932=ORIENTED_EDGE('',*,*,#81595,.F.); #106933=ORIENTED_EDGE('',*,*,#81600,.F.); #106934=ORIENTED_EDGE('',*,*,#81601,.T.); #106935=ORIENTED_EDGE('',*,*,#81602,.T.); #106936=ORIENTED_EDGE('',*,*,#81598,.F.); #106937=ORIENTED_EDGE('',*,*,#81603,.F.); #106938=ORIENTED_EDGE('',*,*,#81604,.T.); #106939=ORIENTED_EDGE('',*,*,#81605,.T.); #106940=ORIENTED_EDGE('',*,*,#81601,.F.); #106941=ORIENTED_EDGE('',*,*,#81606,.F.); #106942=ORIENTED_EDGE('',*,*,#81607,.T.); #106943=ORIENTED_EDGE('',*,*,#81608,.T.); #106944=ORIENTED_EDGE('',*,*,#81604,.F.); #106945=ORIENTED_EDGE('',*,*,#81609,.F.); #106946=ORIENTED_EDGE('',*,*,#81610,.T.); #106947=ORIENTED_EDGE('',*,*,#81611,.T.); #106948=ORIENTED_EDGE('',*,*,#81607,.F.); #106949=ORIENTED_EDGE('',*,*,#81612,.F.); #106950=ORIENTED_EDGE('',*,*,#81613,.T.); #106951=ORIENTED_EDGE('',*,*,#81614,.T.); #106952=ORIENTED_EDGE('',*,*,#81610,.F.); #106953=ORIENTED_EDGE('',*,*,#81615,.F.); #106954=ORIENTED_EDGE('',*,*,#81616,.T.); #106955=ORIENTED_EDGE('',*,*,#81617,.T.); #106956=ORIENTED_EDGE('',*,*,#81613,.F.); #106957=ORIENTED_EDGE('',*,*,#81618,.F.); #106958=ORIENTED_EDGE('',*,*,#81619,.T.); #106959=ORIENTED_EDGE('',*,*,#81620,.T.); #106960=ORIENTED_EDGE('',*,*,#81616,.F.); #106961=ORIENTED_EDGE('',*,*,#81621,.F.); #106962=ORIENTED_EDGE('',*,*,#81622,.T.); #106963=ORIENTED_EDGE('',*,*,#81623,.T.); #106964=ORIENTED_EDGE('',*,*,#81619,.F.); #106965=ORIENTED_EDGE('',*,*,#81624,.F.); #106966=ORIENTED_EDGE('',*,*,#81625,.T.); #106967=ORIENTED_EDGE('',*,*,#81626,.T.); #106968=ORIENTED_EDGE('',*,*,#81622,.F.); #106969=ORIENTED_EDGE('',*,*,#81627,.F.); #106970=ORIENTED_EDGE('',*,*,#81628,.T.); #106971=ORIENTED_EDGE('',*,*,#81629,.T.); #106972=ORIENTED_EDGE('',*,*,#81625,.F.); #106973=ORIENTED_EDGE('',*,*,#81630,.F.); #106974=ORIENTED_EDGE('',*,*,#81631,.T.); #106975=ORIENTED_EDGE('',*,*,#81632,.T.); #106976=ORIENTED_EDGE('',*,*,#81628,.F.); #106977=ORIENTED_EDGE('',*,*,#81633,.F.); #106978=ORIENTED_EDGE('',*,*,#81634,.T.); #106979=ORIENTED_EDGE('',*,*,#81635,.T.); #106980=ORIENTED_EDGE('',*,*,#81631,.F.); #106981=ORIENTED_EDGE('',*,*,#81636,.F.); #106982=ORIENTED_EDGE('',*,*,#81637,.T.); #106983=ORIENTED_EDGE('',*,*,#81638,.T.); #106984=ORIENTED_EDGE('',*,*,#81634,.F.); #106985=ORIENTED_EDGE('',*,*,#81639,.F.); #106986=ORIENTED_EDGE('',*,*,#81640,.T.); #106987=ORIENTED_EDGE('',*,*,#81641,.T.); #106988=ORIENTED_EDGE('',*,*,#81637,.F.); #106989=ORIENTED_EDGE('',*,*,#81642,.F.); #106990=ORIENTED_EDGE('',*,*,#81643,.T.); #106991=ORIENTED_EDGE('',*,*,#81644,.T.); #106992=ORIENTED_EDGE('',*,*,#81640,.F.); #106993=ORIENTED_EDGE('',*,*,#81645,.F.); #106994=ORIENTED_EDGE('',*,*,#81646,.T.); #106995=ORIENTED_EDGE('',*,*,#81647,.T.); #106996=ORIENTED_EDGE('',*,*,#81643,.F.); #106997=ORIENTED_EDGE('',*,*,#81648,.F.); #106998=ORIENTED_EDGE('',*,*,#81649,.T.); #106999=ORIENTED_EDGE('',*,*,#81650,.T.); #107000=ORIENTED_EDGE('',*,*,#81646,.F.); #107001=ORIENTED_EDGE('',*,*,#81651,.F.); #107002=ORIENTED_EDGE('',*,*,#81652,.T.); #107003=ORIENTED_EDGE('',*,*,#81653,.T.); #107004=ORIENTED_EDGE('',*,*,#81649,.F.); #107005=ORIENTED_EDGE('',*,*,#81654,.F.); #107006=ORIENTED_EDGE('',*,*,#81655,.T.); #107007=ORIENTED_EDGE('',*,*,#81656,.T.); #107008=ORIENTED_EDGE('',*,*,#81652,.F.); #107009=ORIENTED_EDGE('',*,*,#81657,.F.); #107010=ORIENTED_EDGE('',*,*,#81658,.T.); #107011=ORIENTED_EDGE('',*,*,#81659,.T.); #107012=ORIENTED_EDGE('',*,*,#81655,.F.); #107013=ORIENTED_EDGE('',*,*,#81660,.F.); #107014=ORIENTED_EDGE('',*,*,#81661,.T.); #107015=ORIENTED_EDGE('',*,*,#81662,.T.); #107016=ORIENTED_EDGE('',*,*,#81658,.F.); #107017=ORIENTED_EDGE('',*,*,#81663,.F.); #107018=ORIENTED_EDGE('',*,*,#81664,.T.); #107019=ORIENTED_EDGE('',*,*,#81665,.T.); #107020=ORIENTED_EDGE('',*,*,#81661,.F.); #107021=ORIENTED_EDGE('',*,*,#81666,.F.); #107022=ORIENTED_EDGE('',*,*,#81667,.T.); #107023=ORIENTED_EDGE('',*,*,#81668,.T.); #107024=ORIENTED_EDGE('',*,*,#81664,.F.); #107025=ORIENTED_EDGE('',*,*,#81669,.F.); #107026=ORIENTED_EDGE('',*,*,#81670,.T.); #107027=ORIENTED_EDGE('',*,*,#81671,.T.); #107028=ORIENTED_EDGE('',*,*,#81667,.F.); #107029=ORIENTED_EDGE('',*,*,#81672,.F.); #107030=ORIENTED_EDGE('',*,*,#81673,.T.); #107031=ORIENTED_EDGE('',*,*,#81674,.T.); #107032=ORIENTED_EDGE('',*,*,#81670,.F.); #107033=ORIENTED_EDGE('',*,*,#81675,.F.); #107034=ORIENTED_EDGE('',*,*,#81676,.T.); #107035=ORIENTED_EDGE('',*,*,#81677,.T.); #107036=ORIENTED_EDGE('',*,*,#81673,.F.); #107037=ORIENTED_EDGE('',*,*,#81678,.F.); #107038=ORIENTED_EDGE('',*,*,#81679,.T.); #107039=ORIENTED_EDGE('',*,*,#81680,.T.); #107040=ORIENTED_EDGE('',*,*,#81676,.F.); #107041=ORIENTED_EDGE('',*,*,#81681,.F.); #107042=ORIENTED_EDGE('',*,*,#81682,.T.); #107043=ORIENTED_EDGE('',*,*,#81683,.T.); #107044=ORIENTED_EDGE('',*,*,#81679,.F.); #107045=ORIENTED_EDGE('',*,*,#81684,.F.); #107046=ORIENTED_EDGE('',*,*,#81685,.T.); #107047=ORIENTED_EDGE('',*,*,#81686,.T.); #107048=ORIENTED_EDGE('',*,*,#81682,.F.); #107049=ORIENTED_EDGE('',*,*,#81687,.F.); #107050=ORIENTED_EDGE('',*,*,#81688,.T.); #107051=ORIENTED_EDGE('',*,*,#81689,.T.); #107052=ORIENTED_EDGE('',*,*,#81685,.F.); #107053=ORIENTED_EDGE('',*,*,#81690,.F.); #107054=ORIENTED_EDGE('',*,*,#81691,.T.); #107055=ORIENTED_EDGE('',*,*,#81692,.T.); #107056=ORIENTED_EDGE('',*,*,#81688,.F.); #107057=ORIENTED_EDGE('',*,*,#81693,.F.); #107058=ORIENTED_EDGE('',*,*,#81161,.T.); #107059=ORIENTED_EDGE('',*,*,#81694,.T.); #107060=ORIENTED_EDGE('',*,*,#81691,.F.); #107061=ORIENTED_EDGE('',*,*,#81694,.F.); #107062=ORIENTED_EDGE('',*,*,#81160,.F.); #107063=ORIENTED_EDGE('',*,*,#81164,.F.); #107064=ORIENTED_EDGE('',*,*,#81167,.F.); #107065=ORIENTED_EDGE('',*,*,#81170,.F.); #107066=ORIENTED_EDGE('',*,*,#81173,.F.); #107067=ORIENTED_EDGE('',*,*,#81176,.F.); #107068=ORIENTED_EDGE('',*,*,#81179,.F.); #107069=ORIENTED_EDGE('',*,*,#81182,.F.); #107070=ORIENTED_EDGE('',*,*,#81185,.F.); #107071=ORIENTED_EDGE('',*,*,#81188,.F.); #107072=ORIENTED_EDGE('',*,*,#81191,.F.); #107073=ORIENTED_EDGE('',*,*,#81194,.F.); #107074=ORIENTED_EDGE('',*,*,#81197,.F.); #107075=ORIENTED_EDGE('',*,*,#81200,.F.); #107076=ORIENTED_EDGE('',*,*,#81203,.F.); #107077=ORIENTED_EDGE('',*,*,#81206,.F.); #107078=ORIENTED_EDGE('',*,*,#81209,.F.); #107079=ORIENTED_EDGE('',*,*,#81212,.F.); #107080=ORIENTED_EDGE('',*,*,#81215,.F.); #107081=ORIENTED_EDGE('',*,*,#81218,.F.); #107082=ORIENTED_EDGE('',*,*,#81221,.F.); #107083=ORIENTED_EDGE('',*,*,#81224,.F.); #107084=ORIENTED_EDGE('',*,*,#81227,.F.); #107085=ORIENTED_EDGE('',*,*,#81230,.F.); #107086=ORIENTED_EDGE('',*,*,#81233,.F.); #107087=ORIENTED_EDGE('',*,*,#81236,.F.); #107088=ORIENTED_EDGE('',*,*,#81239,.F.); #107089=ORIENTED_EDGE('',*,*,#81242,.F.); #107090=ORIENTED_EDGE('',*,*,#81245,.F.); #107091=ORIENTED_EDGE('',*,*,#81248,.F.); #107092=ORIENTED_EDGE('',*,*,#81251,.F.); #107093=ORIENTED_EDGE('',*,*,#81254,.F.); #107094=ORIENTED_EDGE('',*,*,#81257,.F.); #107095=ORIENTED_EDGE('',*,*,#81260,.F.); #107096=ORIENTED_EDGE('',*,*,#81263,.F.); #107097=ORIENTED_EDGE('',*,*,#81266,.F.); #107098=ORIENTED_EDGE('',*,*,#81269,.F.); #107099=ORIENTED_EDGE('',*,*,#81272,.F.); #107100=ORIENTED_EDGE('',*,*,#81275,.F.); #107101=ORIENTED_EDGE('',*,*,#81278,.F.); #107102=ORIENTED_EDGE('',*,*,#81281,.F.); #107103=ORIENTED_EDGE('',*,*,#81284,.F.); #107104=ORIENTED_EDGE('',*,*,#81287,.F.); #107105=ORIENTED_EDGE('',*,*,#81290,.F.); #107106=ORIENTED_EDGE('',*,*,#81293,.F.); #107107=ORIENTED_EDGE('',*,*,#81296,.F.); #107108=ORIENTED_EDGE('',*,*,#81299,.F.); #107109=ORIENTED_EDGE('',*,*,#81302,.F.); #107110=ORIENTED_EDGE('',*,*,#81305,.F.); #107111=ORIENTED_EDGE('',*,*,#81308,.F.); #107112=ORIENTED_EDGE('',*,*,#81311,.F.); #107113=ORIENTED_EDGE('',*,*,#81314,.F.); #107114=ORIENTED_EDGE('',*,*,#81317,.F.); #107115=ORIENTED_EDGE('',*,*,#81320,.F.); #107116=ORIENTED_EDGE('',*,*,#81323,.F.); #107117=ORIENTED_EDGE('',*,*,#81326,.F.); #107118=ORIENTED_EDGE('',*,*,#81329,.F.); #107119=ORIENTED_EDGE('',*,*,#81332,.F.); #107120=ORIENTED_EDGE('',*,*,#81335,.F.); #107121=ORIENTED_EDGE('',*,*,#81338,.F.); #107122=ORIENTED_EDGE('',*,*,#81341,.F.); #107123=ORIENTED_EDGE('',*,*,#81344,.F.); #107124=ORIENTED_EDGE('',*,*,#81347,.F.); #107125=ORIENTED_EDGE('',*,*,#81350,.F.); #107126=ORIENTED_EDGE('',*,*,#81353,.F.); #107127=ORIENTED_EDGE('',*,*,#81356,.F.); #107128=ORIENTED_EDGE('',*,*,#81359,.F.); #107129=ORIENTED_EDGE('',*,*,#81362,.F.); #107130=ORIENTED_EDGE('',*,*,#81365,.F.); #107131=ORIENTED_EDGE('',*,*,#81368,.F.); #107132=ORIENTED_EDGE('',*,*,#81371,.F.); #107133=ORIENTED_EDGE('',*,*,#81374,.F.); #107134=ORIENTED_EDGE('',*,*,#81377,.F.); #107135=ORIENTED_EDGE('',*,*,#81380,.F.); #107136=ORIENTED_EDGE('',*,*,#81383,.F.); #107137=ORIENTED_EDGE('',*,*,#81386,.F.); #107138=ORIENTED_EDGE('',*,*,#81389,.F.); #107139=ORIENTED_EDGE('',*,*,#81392,.F.); #107140=ORIENTED_EDGE('',*,*,#81395,.F.); #107141=ORIENTED_EDGE('',*,*,#81398,.F.); #107142=ORIENTED_EDGE('',*,*,#81401,.F.); #107143=ORIENTED_EDGE('',*,*,#81404,.F.); #107144=ORIENTED_EDGE('',*,*,#81407,.F.); #107145=ORIENTED_EDGE('',*,*,#81410,.F.); #107146=ORIENTED_EDGE('',*,*,#81413,.F.); #107147=ORIENTED_EDGE('',*,*,#81416,.F.); #107148=ORIENTED_EDGE('',*,*,#81419,.F.); #107149=ORIENTED_EDGE('',*,*,#81422,.F.); #107150=ORIENTED_EDGE('',*,*,#81425,.F.); #107151=ORIENTED_EDGE('',*,*,#81428,.F.); #107152=ORIENTED_EDGE('',*,*,#81431,.F.); #107153=ORIENTED_EDGE('',*,*,#81434,.F.); #107154=ORIENTED_EDGE('',*,*,#81437,.F.); #107155=ORIENTED_EDGE('',*,*,#81440,.F.); #107156=ORIENTED_EDGE('',*,*,#81443,.F.); #107157=ORIENTED_EDGE('',*,*,#81446,.F.); #107158=ORIENTED_EDGE('',*,*,#81449,.F.); #107159=ORIENTED_EDGE('',*,*,#81452,.F.); #107160=ORIENTED_EDGE('',*,*,#81455,.F.); #107161=ORIENTED_EDGE('',*,*,#81458,.F.); #107162=ORIENTED_EDGE('',*,*,#81461,.F.); #107163=ORIENTED_EDGE('',*,*,#81464,.F.); #107164=ORIENTED_EDGE('',*,*,#81467,.F.); #107165=ORIENTED_EDGE('',*,*,#81470,.F.); #107166=ORIENTED_EDGE('',*,*,#81473,.F.); #107167=ORIENTED_EDGE('',*,*,#81476,.F.); #107168=ORIENTED_EDGE('',*,*,#81479,.F.); #107169=ORIENTED_EDGE('',*,*,#81482,.F.); #107170=ORIENTED_EDGE('',*,*,#81485,.F.); #107171=ORIENTED_EDGE('',*,*,#81488,.F.); #107172=ORIENTED_EDGE('',*,*,#81491,.F.); #107173=ORIENTED_EDGE('',*,*,#81494,.F.); #107174=ORIENTED_EDGE('',*,*,#81497,.F.); #107175=ORIENTED_EDGE('',*,*,#81500,.F.); #107176=ORIENTED_EDGE('',*,*,#81503,.F.); #107177=ORIENTED_EDGE('',*,*,#81506,.F.); #107178=ORIENTED_EDGE('',*,*,#81509,.F.); #107179=ORIENTED_EDGE('',*,*,#81512,.F.); #107180=ORIENTED_EDGE('',*,*,#81515,.F.); #107181=ORIENTED_EDGE('',*,*,#81518,.F.); #107182=ORIENTED_EDGE('',*,*,#81521,.F.); #107183=ORIENTED_EDGE('',*,*,#81524,.F.); #107184=ORIENTED_EDGE('',*,*,#81527,.F.); #107185=ORIENTED_EDGE('',*,*,#81530,.F.); #107186=ORIENTED_EDGE('',*,*,#81533,.F.); #107187=ORIENTED_EDGE('',*,*,#81536,.F.); #107188=ORIENTED_EDGE('',*,*,#81539,.F.); #107189=ORIENTED_EDGE('',*,*,#81542,.F.); #107190=ORIENTED_EDGE('',*,*,#81545,.F.); #107191=ORIENTED_EDGE('',*,*,#81548,.F.); #107192=ORIENTED_EDGE('',*,*,#81551,.F.); #107193=ORIENTED_EDGE('',*,*,#81554,.F.); #107194=ORIENTED_EDGE('',*,*,#81557,.F.); #107195=ORIENTED_EDGE('',*,*,#81560,.F.); #107196=ORIENTED_EDGE('',*,*,#81563,.F.); #107197=ORIENTED_EDGE('',*,*,#81566,.F.); #107198=ORIENTED_EDGE('',*,*,#81569,.F.); #107199=ORIENTED_EDGE('',*,*,#81572,.F.); #107200=ORIENTED_EDGE('',*,*,#81575,.F.); #107201=ORIENTED_EDGE('',*,*,#81578,.F.); #107202=ORIENTED_EDGE('',*,*,#81581,.F.); #107203=ORIENTED_EDGE('',*,*,#81584,.F.); #107204=ORIENTED_EDGE('',*,*,#81587,.F.); #107205=ORIENTED_EDGE('',*,*,#81590,.F.); #107206=ORIENTED_EDGE('',*,*,#81593,.F.); #107207=ORIENTED_EDGE('',*,*,#81596,.F.); #107208=ORIENTED_EDGE('',*,*,#81599,.F.); #107209=ORIENTED_EDGE('',*,*,#81602,.F.); #107210=ORIENTED_EDGE('',*,*,#81605,.F.); #107211=ORIENTED_EDGE('',*,*,#81608,.F.); #107212=ORIENTED_EDGE('',*,*,#81611,.F.); #107213=ORIENTED_EDGE('',*,*,#81614,.F.); #107214=ORIENTED_EDGE('',*,*,#81617,.F.); #107215=ORIENTED_EDGE('',*,*,#81620,.F.); #107216=ORIENTED_EDGE('',*,*,#81623,.F.); #107217=ORIENTED_EDGE('',*,*,#81626,.F.); #107218=ORIENTED_EDGE('',*,*,#81629,.F.); #107219=ORIENTED_EDGE('',*,*,#81632,.F.); #107220=ORIENTED_EDGE('',*,*,#81635,.F.); #107221=ORIENTED_EDGE('',*,*,#81638,.F.); #107222=ORIENTED_EDGE('',*,*,#81641,.F.); #107223=ORIENTED_EDGE('',*,*,#81644,.F.); #107224=ORIENTED_EDGE('',*,*,#81647,.F.); #107225=ORIENTED_EDGE('',*,*,#81650,.F.); #107226=ORIENTED_EDGE('',*,*,#81653,.F.); #107227=ORIENTED_EDGE('',*,*,#81656,.F.); #107228=ORIENTED_EDGE('',*,*,#81659,.F.); #107229=ORIENTED_EDGE('',*,*,#81662,.F.); #107230=ORIENTED_EDGE('',*,*,#81665,.F.); #107231=ORIENTED_EDGE('',*,*,#81668,.F.); #107232=ORIENTED_EDGE('',*,*,#81671,.F.); #107233=ORIENTED_EDGE('',*,*,#81674,.F.); #107234=ORIENTED_EDGE('',*,*,#81677,.F.); #107235=ORIENTED_EDGE('',*,*,#81680,.F.); #107236=ORIENTED_EDGE('',*,*,#81683,.F.); #107237=ORIENTED_EDGE('',*,*,#81686,.F.); #107238=ORIENTED_EDGE('',*,*,#81689,.F.); #107239=ORIENTED_EDGE('',*,*,#81692,.F.); #107240=ORIENTED_EDGE('',*,*,#81695,.F.); #107241=ORIENTED_EDGE('',*,*,#81696,.T.); #107242=ORIENTED_EDGE('',*,*,#81697,.T.); #107243=ORIENTED_EDGE('',*,*,#81698,.F.); #107244=ORIENTED_EDGE('',*,*,#81699,.F.); #107245=ORIENTED_EDGE('',*,*,#81700,.T.); #107246=ORIENTED_EDGE('',*,*,#81701,.T.); #107247=ORIENTED_EDGE('',*,*,#81696,.F.); #107248=ORIENTED_EDGE('',*,*,#81702,.F.); #107249=ORIENTED_EDGE('',*,*,#81703,.T.); #107250=ORIENTED_EDGE('',*,*,#81704,.T.); #107251=ORIENTED_EDGE('',*,*,#81700,.F.); #107252=ORIENTED_EDGE('',*,*,#81705,.F.); #107253=ORIENTED_EDGE('',*,*,#81706,.T.); #107254=ORIENTED_EDGE('',*,*,#81707,.T.); #107255=ORIENTED_EDGE('',*,*,#81703,.F.); #107256=ORIENTED_EDGE('',*,*,#81708,.F.); #107257=ORIENTED_EDGE('',*,*,#81709,.T.); #107258=ORIENTED_EDGE('',*,*,#81710,.T.); #107259=ORIENTED_EDGE('',*,*,#81706,.F.); #107260=ORIENTED_EDGE('',*,*,#81711,.F.); #107261=ORIENTED_EDGE('',*,*,#81712,.T.); #107262=ORIENTED_EDGE('',*,*,#81713,.T.); #107263=ORIENTED_EDGE('',*,*,#81709,.F.); #107264=ORIENTED_EDGE('',*,*,#81714,.F.); #107265=ORIENTED_EDGE('',*,*,#81715,.T.); #107266=ORIENTED_EDGE('',*,*,#81716,.T.); #107267=ORIENTED_EDGE('',*,*,#81712,.F.); #107268=ORIENTED_EDGE('',*,*,#81717,.F.); #107269=ORIENTED_EDGE('',*,*,#81718,.T.); #107270=ORIENTED_EDGE('',*,*,#81719,.T.); #107271=ORIENTED_EDGE('',*,*,#81715,.F.); #107272=ORIENTED_EDGE('',*,*,#81720,.F.); #107273=ORIENTED_EDGE('',*,*,#81721,.T.); #107274=ORIENTED_EDGE('',*,*,#81722,.T.); #107275=ORIENTED_EDGE('',*,*,#81718,.F.); #107276=ORIENTED_EDGE('',*,*,#81723,.F.); #107277=ORIENTED_EDGE('',*,*,#81724,.T.); #107278=ORIENTED_EDGE('',*,*,#81725,.T.); #107279=ORIENTED_EDGE('',*,*,#81721,.F.); #107280=ORIENTED_EDGE('',*,*,#81726,.F.); #107281=ORIENTED_EDGE('',*,*,#81727,.T.); #107282=ORIENTED_EDGE('',*,*,#81728,.T.); #107283=ORIENTED_EDGE('',*,*,#81724,.F.); #107284=ORIENTED_EDGE('',*,*,#81729,.F.); #107285=ORIENTED_EDGE('',*,*,#81730,.T.); #107286=ORIENTED_EDGE('',*,*,#81731,.T.); #107287=ORIENTED_EDGE('',*,*,#81727,.F.); #107288=ORIENTED_EDGE('',*,*,#81732,.F.); #107289=ORIENTED_EDGE('',*,*,#81733,.T.); #107290=ORIENTED_EDGE('',*,*,#81734,.T.); #107291=ORIENTED_EDGE('',*,*,#81730,.F.); #107292=ORIENTED_EDGE('',*,*,#81735,.F.); #107293=ORIENTED_EDGE('',*,*,#81736,.T.); #107294=ORIENTED_EDGE('',*,*,#81737,.T.); #107295=ORIENTED_EDGE('',*,*,#81733,.F.); #107296=ORIENTED_EDGE('',*,*,#81738,.F.); #107297=ORIENTED_EDGE('',*,*,#81739,.T.); #107298=ORIENTED_EDGE('',*,*,#81740,.T.); #107299=ORIENTED_EDGE('',*,*,#81736,.F.); #107300=ORIENTED_EDGE('',*,*,#81741,.F.); #107301=ORIENTED_EDGE('',*,*,#81742,.T.); #107302=ORIENTED_EDGE('',*,*,#81743,.T.); #107303=ORIENTED_EDGE('',*,*,#81739,.F.); #107304=ORIENTED_EDGE('',*,*,#81744,.F.); #107305=ORIENTED_EDGE('',*,*,#81745,.T.); #107306=ORIENTED_EDGE('',*,*,#81746,.T.); #107307=ORIENTED_EDGE('',*,*,#81742,.F.); #107308=ORIENTED_EDGE('',*,*,#81747,.F.); #107309=ORIENTED_EDGE('',*,*,#81748,.T.); #107310=ORIENTED_EDGE('',*,*,#81749,.T.); #107311=ORIENTED_EDGE('',*,*,#81745,.F.); #107312=ORIENTED_EDGE('',*,*,#81750,.F.); #107313=ORIENTED_EDGE('',*,*,#81751,.T.); #107314=ORIENTED_EDGE('',*,*,#81752,.T.); #107315=ORIENTED_EDGE('',*,*,#81748,.F.); #107316=ORIENTED_EDGE('',*,*,#81753,.F.); #107317=ORIENTED_EDGE('',*,*,#81754,.T.); #107318=ORIENTED_EDGE('',*,*,#81755,.T.); #107319=ORIENTED_EDGE('',*,*,#81751,.F.); #107320=ORIENTED_EDGE('',*,*,#81756,.F.); #107321=ORIENTED_EDGE('',*,*,#81757,.T.); #107322=ORIENTED_EDGE('',*,*,#81758,.T.); #107323=ORIENTED_EDGE('',*,*,#81754,.F.); #107324=ORIENTED_EDGE('',*,*,#81759,.F.); #107325=ORIENTED_EDGE('',*,*,#81760,.T.); #107326=ORIENTED_EDGE('',*,*,#81761,.T.); #107327=ORIENTED_EDGE('',*,*,#81757,.F.); #107328=ORIENTED_EDGE('',*,*,#81762,.F.); #107329=ORIENTED_EDGE('',*,*,#81763,.T.); #107330=ORIENTED_EDGE('',*,*,#81764,.T.); #107331=ORIENTED_EDGE('',*,*,#81760,.F.); #107332=ORIENTED_EDGE('',*,*,#81765,.F.); #107333=ORIENTED_EDGE('',*,*,#81766,.T.); #107334=ORIENTED_EDGE('',*,*,#81767,.T.); #107335=ORIENTED_EDGE('',*,*,#81763,.F.); #107336=ORIENTED_EDGE('',*,*,#81768,.F.); #107337=ORIENTED_EDGE('',*,*,#81769,.T.); #107338=ORIENTED_EDGE('',*,*,#81770,.T.); #107339=ORIENTED_EDGE('',*,*,#81766,.F.); #107340=ORIENTED_EDGE('',*,*,#81771,.F.); #107341=ORIENTED_EDGE('',*,*,#81772,.T.); #107342=ORIENTED_EDGE('',*,*,#81773,.T.); #107343=ORIENTED_EDGE('',*,*,#81769,.F.); #107344=ORIENTED_EDGE('',*,*,#81774,.F.); #107345=ORIENTED_EDGE('',*,*,#81775,.T.); #107346=ORIENTED_EDGE('',*,*,#81776,.T.); #107347=ORIENTED_EDGE('',*,*,#81772,.F.); #107348=ORIENTED_EDGE('',*,*,#81777,.F.); #107349=ORIENTED_EDGE('',*,*,#81778,.T.); #107350=ORIENTED_EDGE('',*,*,#81779,.T.); #107351=ORIENTED_EDGE('',*,*,#81775,.F.); #107352=ORIENTED_EDGE('',*,*,#81780,.F.); #107353=ORIENTED_EDGE('',*,*,#81781,.T.); #107354=ORIENTED_EDGE('',*,*,#81782,.T.); #107355=ORIENTED_EDGE('',*,*,#81778,.F.); #107356=ORIENTED_EDGE('',*,*,#81783,.F.); #107357=ORIENTED_EDGE('',*,*,#81784,.T.); #107358=ORIENTED_EDGE('',*,*,#81785,.T.); #107359=ORIENTED_EDGE('',*,*,#81781,.F.); #107360=ORIENTED_EDGE('',*,*,#81786,.F.); #107361=ORIENTED_EDGE('',*,*,#81787,.T.); #107362=ORIENTED_EDGE('',*,*,#81788,.T.); #107363=ORIENTED_EDGE('',*,*,#81784,.F.); #107364=ORIENTED_EDGE('',*,*,#81789,.F.); #107365=ORIENTED_EDGE('',*,*,#81790,.T.); #107366=ORIENTED_EDGE('',*,*,#81791,.T.); #107367=ORIENTED_EDGE('',*,*,#81787,.F.); #107368=ORIENTED_EDGE('',*,*,#81792,.F.); #107369=ORIENTED_EDGE('',*,*,#81793,.T.); #107370=ORIENTED_EDGE('',*,*,#81794,.T.); #107371=ORIENTED_EDGE('',*,*,#81790,.F.); #107372=ORIENTED_EDGE('',*,*,#81795,.F.); #107373=ORIENTED_EDGE('',*,*,#81796,.T.); #107374=ORIENTED_EDGE('',*,*,#81797,.T.); #107375=ORIENTED_EDGE('',*,*,#81793,.F.); #107376=ORIENTED_EDGE('',*,*,#81798,.F.); #107377=ORIENTED_EDGE('',*,*,#81799,.T.); #107378=ORIENTED_EDGE('',*,*,#81800,.T.); #107379=ORIENTED_EDGE('',*,*,#81796,.F.); #107380=ORIENTED_EDGE('',*,*,#81801,.F.); #107381=ORIENTED_EDGE('',*,*,#81802,.T.); #107382=ORIENTED_EDGE('',*,*,#81803,.T.); #107383=ORIENTED_EDGE('',*,*,#81799,.F.); #107384=ORIENTED_EDGE('',*,*,#81804,.F.); #107385=ORIENTED_EDGE('',*,*,#81805,.T.); #107386=ORIENTED_EDGE('',*,*,#81806,.T.); #107387=ORIENTED_EDGE('',*,*,#81802,.F.); #107388=ORIENTED_EDGE('',*,*,#81807,.F.); #107389=ORIENTED_EDGE('',*,*,#81808,.T.); #107390=ORIENTED_EDGE('',*,*,#81809,.T.); #107391=ORIENTED_EDGE('',*,*,#81805,.F.); #107392=ORIENTED_EDGE('',*,*,#81810,.F.); #107393=ORIENTED_EDGE('',*,*,#81811,.T.); #107394=ORIENTED_EDGE('',*,*,#81812,.T.); #107395=ORIENTED_EDGE('',*,*,#81808,.F.); #107396=ORIENTED_EDGE('',*,*,#81813,.F.); #107397=ORIENTED_EDGE('',*,*,#81814,.T.); #107398=ORIENTED_EDGE('',*,*,#81815,.T.); #107399=ORIENTED_EDGE('',*,*,#81811,.F.); #107400=ORIENTED_EDGE('',*,*,#81816,.F.); #107401=ORIENTED_EDGE('',*,*,#81817,.T.); #107402=ORIENTED_EDGE('',*,*,#81818,.T.); #107403=ORIENTED_EDGE('',*,*,#81814,.F.); #107404=ORIENTED_EDGE('',*,*,#81819,.F.); #107405=ORIENTED_EDGE('',*,*,#81820,.T.); #107406=ORIENTED_EDGE('',*,*,#81821,.T.); #107407=ORIENTED_EDGE('',*,*,#81817,.F.); #107408=ORIENTED_EDGE('',*,*,#81822,.F.); #107409=ORIENTED_EDGE('',*,*,#81823,.T.); #107410=ORIENTED_EDGE('',*,*,#81824,.T.); #107411=ORIENTED_EDGE('',*,*,#81820,.F.); #107412=ORIENTED_EDGE('',*,*,#81825,.F.); #107413=ORIENTED_EDGE('',*,*,#81826,.T.); #107414=ORIENTED_EDGE('',*,*,#81827,.T.); #107415=ORIENTED_EDGE('',*,*,#81823,.F.); #107416=ORIENTED_EDGE('',*,*,#81828,.F.); #107417=ORIENTED_EDGE('',*,*,#81829,.T.); #107418=ORIENTED_EDGE('',*,*,#81830,.T.); #107419=ORIENTED_EDGE('',*,*,#81826,.F.); #107420=ORIENTED_EDGE('',*,*,#81831,.F.); #107421=ORIENTED_EDGE('',*,*,#81832,.T.); #107422=ORIENTED_EDGE('',*,*,#81833,.T.); #107423=ORIENTED_EDGE('',*,*,#81829,.F.); #107424=ORIENTED_EDGE('',*,*,#81834,.F.); #107425=ORIENTED_EDGE('',*,*,#81835,.T.); #107426=ORIENTED_EDGE('',*,*,#81836,.T.); #107427=ORIENTED_EDGE('',*,*,#81832,.F.); #107428=ORIENTED_EDGE('',*,*,#81837,.F.); #107429=ORIENTED_EDGE('',*,*,#81838,.T.); #107430=ORIENTED_EDGE('',*,*,#81839,.T.); #107431=ORIENTED_EDGE('',*,*,#81835,.F.); #107432=ORIENTED_EDGE('',*,*,#81840,.F.); #107433=ORIENTED_EDGE('',*,*,#81841,.T.); #107434=ORIENTED_EDGE('',*,*,#81842,.T.); #107435=ORIENTED_EDGE('',*,*,#81838,.F.); #107436=ORIENTED_EDGE('',*,*,#81843,.F.); #107437=ORIENTED_EDGE('',*,*,#81844,.T.); #107438=ORIENTED_EDGE('',*,*,#81845,.T.); #107439=ORIENTED_EDGE('',*,*,#81841,.F.); #107440=ORIENTED_EDGE('',*,*,#81846,.F.); #107441=ORIENTED_EDGE('',*,*,#81847,.T.); #107442=ORIENTED_EDGE('',*,*,#81848,.T.); #107443=ORIENTED_EDGE('',*,*,#81844,.F.); #107444=ORIENTED_EDGE('',*,*,#81849,.F.); #107445=ORIENTED_EDGE('',*,*,#81850,.T.); #107446=ORIENTED_EDGE('',*,*,#81851,.T.); #107447=ORIENTED_EDGE('',*,*,#81847,.F.); #107448=ORIENTED_EDGE('',*,*,#81852,.F.); #107449=ORIENTED_EDGE('',*,*,#81853,.T.); #107450=ORIENTED_EDGE('',*,*,#81854,.T.); #107451=ORIENTED_EDGE('',*,*,#81850,.F.); #107452=ORIENTED_EDGE('',*,*,#81855,.F.); #107453=ORIENTED_EDGE('',*,*,#81856,.T.); #107454=ORIENTED_EDGE('',*,*,#81857,.T.); #107455=ORIENTED_EDGE('',*,*,#81853,.F.); #107456=ORIENTED_EDGE('',*,*,#81858,.F.); #107457=ORIENTED_EDGE('',*,*,#81859,.T.); #107458=ORIENTED_EDGE('',*,*,#81860,.T.); #107459=ORIENTED_EDGE('',*,*,#81856,.F.); #107460=ORIENTED_EDGE('',*,*,#81861,.F.); #107461=ORIENTED_EDGE('',*,*,#81862,.T.); #107462=ORIENTED_EDGE('',*,*,#81863,.T.); #107463=ORIENTED_EDGE('',*,*,#81859,.F.); #107464=ORIENTED_EDGE('',*,*,#81864,.F.); #107465=ORIENTED_EDGE('',*,*,#81865,.T.); #107466=ORIENTED_EDGE('',*,*,#81866,.T.); #107467=ORIENTED_EDGE('',*,*,#81862,.F.); #107468=ORIENTED_EDGE('',*,*,#81867,.F.); #107469=ORIENTED_EDGE('',*,*,#81868,.T.); #107470=ORIENTED_EDGE('',*,*,#81869,.T.); #107471=ORIENTED_EDGE('',*,*,#81865,.F.); #107472=ORIENTED_EDGE('',*,*,#81870,.F.); #107473=ORIENTED_EDGE('',*,*,#81871,.T.); #107474=ORIENTED_EDGE('',*,*,#81872,.T.); #107475=ORIENTED_EDGE('',*,*,#81868,.F.); #107476=ORIENTED_EDGE('',*,*,#81873,.F.); #107477=ORIENTED_EDGE('',*,*,#81874,.T.); #107478=ORIENTED_EDGE('',*,*,#81875,.T.); #107479=ORIENTED_EDGE('',*,*,#81871,.F.); #107480=ORIENTED_EDGE('',*,*,#81876,.F.); #107481=ORIENTED_EDGE('',*,*,#81877,.T.); #107482=ORIENTED_EDGE('',*,*,#81878,.T.); #107483=ORIENTED_EDGE('',*,*,#81874,.F.); #107484=ORIENTED_EDGE('',*,*,#81879,.F.); #107485=ORIENTED_EDGE('',*,*,#81880,.T.); #107486=ORIENTED_EDGE('',*,*,#81881,.T.); #107487=ORIENTED_EDGE('',*,*,#81877,.F.); #107488=ORIENTED_EDGE('',*,*,#81882,.F.); #107489=ORIENTED_EDGE('',*,*,#81883,.T.); #107490=ORIENTED_EDGE('',*,*,#81884,.T.); #107491=ORIENTED_EDGE('',*,*,#81880,.F.); #107492=ORIENTED_EDGE('',*,*,#81885,.F.); #107493=ORIENTED_EDGE('',*,*,#81886,.T.); #107494=ORIENTED_EDGE('',*,*,#81887,.T.); #107495=ORIENTED_EDGE('',*,*,#81883,.F.); #107496=ORIENTED_EDGE('',*,*,#81888,.F.); #107497=ORIENTED_EDGE('',*,*,#81889,.T.); #107498=ORIENTED_EDGE('',*,*,#81890,.T.); #107499=ORIENTED_EDGE('',*,*,#81886,.F.); #107500=ORIENTED_EDGE('',*,*,#81891,.F.); #107501=ORIENTED_EDGE('',*,*,#81892,.T.); #107502=ORIENTED_EDGE('',*,*,#81893,.T.); #107503=ORIENTED_EDGE('',*,*,#81889,.F.); #107504=ORIENTED_EDGE('',*,*,#81894,.F.); #107505=ORIENTED_EDGE('',*,*,#81895,.T.); #107506=ORIENTED_EDGE('',*,*,#81896,.T.); #107507=ORIENTED_EDGE('',*,*,#81892,.F.); #107508=ORIENTED_EDGE('',*,*,#81897,.F.); #107509=ORIENTED_EDGE('',*,*,#81898,.T.); #107510=ORIENTED_EDGE('',*,*,#81899,.T.); #107511=ORIENTED_EDGE('',*,*,#81895,.F.); #107512=ORIENTED_EDGE('',*,*,#81900,.F.); #107513=ORIENTED_EDGE('',*,*,#81901,.T.); #107514=ORIENTED_EDGE('',*,*,#81902,.T.); #107515=ORIENTED_EDGE('',*,*,#81898,.F.); #107516=ORIENTED_EDGE('',*,*,#81903,.F.); #107517=ORIENTED_EDGE('',*,*,#81904,.T.); #107518=ORIENTED_EDGE('',*,*,#81905,.T.); #107519=ORIENTED_EDGE('',*,*,#81901,.F.); #107520=ORIENTED_EDGE('',*,*,#81906,.F.); #107521=ORIENTED_EDGE('',*,*,#81907,.T.); #107522=ORIENTED_EDGE('',*,*,#81908,.T.); #107523=ORIENTED_EDGE('',*,*,#81904,.F.); #107524=ORIENTED_EDGE('',*,*,#81909,.F.); #107525=ORIENTED_EDGE('',*,*,#81910,.T.); #107526=ORIENTED_EDGE('',*,*,#81911,.T.); #107527=ORIENTED_EDGE('',*,*,#81907,.F.); #107528=ORIENTED_EDGE('',*,*,#81912,.F.); #107529=ORIENTED_EDGE('',*,*,#81913,.T.); #107530=ORIENTED_EDGE('',*,*,#81914,.T.); #107531=ORIENTED_EDGE('',*,*,#81910,.F.); #107532=ORIENTED_EDGE('',*,*,#81915,.F.); #107533=ORIENTED_EDGE('',*,*,#81916,.T.); #107534=ORIENTED_EDGE('',*,*,#81917,.T.); #107535=ORIENTED_EDGE('',*,*,#81913,.F.); #107536=ORIENTED_EDGE('',*,*,#81918,.F.); #107537=ORIENTED_EDGE('',*,*,#81919,.T.); #107538=ORIENTED_EDGE('',*,*,#81920,.T.); #107539=ORIENTED_EDGE('',*,*,#81916,.F.); #107540=ORIENTED_EDGE('',*,*,#81921,.F.); #107541=ORIENTED_EDGE('',*,*,#81922,.T.); #107542=ORIENTED_EDGE('',*,*,#81923,.T.); #107543=ORIENTED_EDGE('',*,*,#81919,.F.); #107544=ORIENTED_EDGE('',*,*,#81924,.F.); #107545=ORIENTED_EDGE('',*,*,#81925,.T.); #107546=ORIENTED_EDGE('',*,*,#81926,.T.); #107547=ORIENTED_EDGE('',*,*,#81922,.F.); #107548=ORIENTED_EDGE('',*,*,#81927,.F.); #107549=ORIENTED_EDGE('',*,*,#81928,.T.); #107550=ORIENTED_EDGE('',*,*,#81929,.T.); #107551=ORIENTED_EDGE('',*,*,#81925,.F.); #107552=ORIENTED_EDGE('',*,*,#81930,.F.); #107553=ORIENTED_EDGE('',*,*,#81931,.T.); #107554=ORIENTED_EDGE('',*,*,#81932,.T.); #107555=ORIENTED_EDGE('',*,*,#81928,.F.); #107556=ORIENTED_EDGE('',*,*,#81933,.F.); #107557=ORIENTED_EDGE('',*,*,#81934,.T.); #107558=ORIENTED_EDGE('',*,*,#81935,.T.); #107559=ORIENTED_EDGE('',*,*,#81931,.F.); #107560=ORIENTED_EDGE('',*,*,#81936,.F.); #107561=ORIENTED_EDGE('',*,*,#81937,.T.); #107562=ORIENTED_EDGE('',*,*,#81938,.T.); #107563=ORIENTED_EDGE('',*,*,#81934,.F.); #107564=ORIENTED_EDGE('',*,*,#81939,.F.); #107565=ORIENTED_EDGE('',*,*,#81940,.T.); #107566=ORIENTED_EDGE('',*,*,#81941,.T.); #107567=ORIENTED_EDGE('',*,*,#81937,.F.); #107568=ORIENTED_EDGE('',*,*,#81942,.F.); #107569=ORIENTED_EDGE('',*,*,#81943,.T.); #107570=ORIENTED_EDGE('',*,*,#81944,.T.); #107571=ORIENTED_EDGE('',*,*,#81940,.F.); #107572=ORIENTED_EDGE('',*,*,#81945,.F.); #107573=ORIENTED_EDGE('',*,*,#81946,.T.); #107574=ORIENTED_EDGE('',*,*,#81947,.T.); #107575=ORIENTED_EDGE('',*,*,#81943,.F.); #107576=ORIENTED_EDGE('',*,*,#81948,.F.); #107577=ORIENTED_EDGE('',*,*,#81949,.T.); #107578=ORIENTED_EDGE('',*,*,#81950,.T.); #107579=ORIENTED_EDGE('',*,*,#81946,.F.); #107580=ORIENTED_EDGE('',*,*,#81951,.F.); #107581=ORIENTED_EDGE('',*,*,#81952,.T.); #107582=ORIENTED_EDGE('',*,*,#81953,.T.); #107583=ORIENTED_EDGE('',*,*,#81949,.F.); #107584=ORIENTED_EDGE('',*,*,#81954,.F.); #107585=ORIENTED_EDGE('',*,*,#81955,.T.); #107586=ORIENTED_EDGE('',*,*,#81956,.T.); #107587=ORIENTED_EDGE('',*,*,#81952,.F.); #107588=ORIENTED_EDGE('',*,*,#81957,.F.); #107589=ORIENTED_EDGE('',*,*,#81958,.T.); #107590=ORIENTED_EDGE('',*,*,#81959,.T.); #107591=ORIENTED_EDGE('',*,*,#81955,.F.); #107592=ORIENTED_EDGE('',*,*,#81960,.F.); #107593=ORIENTED_EDGE('',*,*,#81961,.T.); #107594=ORIENTED_EDGE('',*,*,#81962,.T.); #107595=ORIENTED_EDGE('',*,*,#81958,.F.); #107596=ORIENTED_EDGE('',*,*,#81963,.F.); #107597=ORIENTED_EDGE('',*,*,#81964,.T.); #107598=ORIENTED_EDGE('',*,*,#81965,.T.); #107599=ORIENTED_EDGE('',*,*,#81961,.F.); #107600=ORIENTED_EDGE('',*,*,#81966,.F.); #107601=ORIENTED_EDGE('',*,*,#81967,.T.); #107602=ORIENTED_EDGE('',*,*,#81968,.T.); #107603=ORIENTED_EDGE('',*,*,#81964,.F.); #107604=ORIENTED_EDGE('',*,*,#81969,.F.); #107605=ORIENTED_EDGE('',*,*,#81970,.T.); #107606=ORIENTED_EDGE('',*,*,#81971,.T.); #107607=ORIENTED_EDGE('',*,*,#81967,.F.); #107608=ORIENTED_EDGE('',*,*,#81972,.F.); #107609=ORIENTED_EDGE('',*,*,#81973,.T.); #107610=ORIENTED_EDGE('',*,*,#81974,.T.); #107611=ORIENTED_EDGE('',*,*,#81970,.F.); #107612=ORIENTED_EDGE('',*,*,#81975,.F.); #107613=ORIENTED_EDGE('',*,*,#81976,.T.); #107614=ORIENTED_EDGE('',*,*,#81977,.T.); #107615=ORIENTED_EDGE('',*,*,#81973,.F.); #107616=ORIENTED_EDGE('',*,*,#81978,.F.); #107617=ORIENTED_EDGE('',*,*,#81979,.T.); #107618=ORIENTED_EDGE('',*,*,#81980,.T.); #107619=ORIENTED_EDGE('',*,*,#81976,.F.); #107620=ORIENTED_EDGE('',*,*,#81981,.F.); #107621=ORIENTED_EDGE('',*,*,#81982,.T.); #107622=ORIENTED_EDGE('',*,*,#81983,.T.); #107623=ORIENTED_EDGE('',*,*,#81979,.F.); #107624=ORIENTED_EDGE('',*,*,#81984,.F.); #107625=ORIENTED_EDGE('',*,*,#81985,.T.); #107626=ORIENTED_EDGE('',*,*,#81986,.T.); #107627=ORIENTED_EDGE('',*,*,#81982,.F.); #107628=ORIENTED_EDGE('',*,*,#81987,.F.); #107629=ORIENTED_EDGE('',*,*,#81988,.T.); #107630=ORIENTED_EDGE('',*,*,#81989,.T.); #107631=ORIENTED_EDGE('',*,*,#81985,.F.); #107632=ORIENTED_EDGE('',*,*,#81990,.F.); #107633=ORIENTED_EDGE('',*,*,#81991,.T.); #107634=ORIENTED_EDGE('',*,*,#81992,.T.); #107635=ORIENTED_EDGE('',*,*,#81988,.F.); #107636=ORIENTED_EDGE('',*,*,#81993,.F.); #107637=ORIENTED_EDGE('',*,*,#81994,.T.); #107638=ORIENTED_EDGE('',*,*,#81995,.T.); #107639=ORIENTED_EDGE('',*,*,#81991,.F.); #107640=ORIENTED_EDGE('',*,*,#81996,.F.); #107641=ORIENTED_EDGE('',*,*,#81997,.T.); #107642=ORIENTED_EDGE('',*,*,#81998,.T.); #107643=ORIENTED_EDGE('',*,*,#81994,.F.); #107644=ORIENTED_EDGE('',*,*,#81999,.F.); #107645=ORIENTED_EDGE('',*,*,#82000,.T.); #107646=ORIENTED_EDGE('',*,*,#82001,.T.); #107647=ORIENTED_EDGE('',*,*,#81997,.F.); #107648=ORIENTED_EDGE('',*,*,#82002,.F.); #107649=ORIENTED_EDGE('',*,*,#82003,.T.); #107650=ORIENTED_EDGE('',*,*,#82004,.T.); #107651=ORIENTED_EDGE('',*,*,#82000,.F.); #107652=ORIENTED_EDGE('',*,*,#82005,.F.); #107653=ORIENTED_EDGE('',*,*,#82006,.T.); #107654=ORIENTED_EDGE('',*,*,#82007,.T.); #107655=ORIENTED_EDGE('',*,*,#82003,.F.); #107656=ORIENTED_EDGE('',*,*,#82008,.F.); #107657=ORIENTED_EDGE('',*,*,#82009,.T.); #107658=ORIENTED_EDGE('',*,*,#82010,.T.); #107659=ORIENTED_EDGE('',*,*,#82006,.F.); #107660=ORIENTED_EDGE('',*,*,#82011,.F.); #107661=ORIENTED_EDGE('',*,*,#82012,.T.); #107662=ORIENTED_EDGE('',*,*,#82013,.T.); #107663=ORIENTED_EDGE('',*,*,#82009,.F.); #107664=ORIENTED_EDGE('',*,*,#82014,.F.); #107665=ORIENTED_EDGE('',*,*,#82015,.T.); #107666=ORIENTED_EDGE('',*,*,#82016,.T.); #107667=ORIENTED_EDGE('',*,*,#82012,.F.); #107668=ORIENTED_EDGE('',*,*,#82017,.F.); #107669=ORIENTED_EDGE('',*,*,#82018,.T.); #107670=ORIENTED_EDGE('',*,*,#82019,.T.); #107671=ORIENTED_EDGE('',*,*,#82015,.F.); #107672=ORIENTED_EDGE('',*,*,#82020,.F.); #107673=ORIENTED_EDGE('',*,*,#82021,.T.); #107674=ORIENTED_EDGE('',*,*,#82022,.T.); #107675=ORIENTED_EDGE('',*,*,#82018,.F.); #107676=ORIENTED_EDGE('',*,*,#82023,.F.); #107677=ORIENTED_EDGE('',*,*,#82024,.T.); #107678=ORIENTED_EDGE('',*,*,#82025,.T.); #107679=ORIENTED_EDGE('',*,*,#82021,.F.); #107680=ORIENTED_EDGE('',*,*,#82026,.F.); #107681=ORIENTED_EDGE('',*,*,#82027,.T.); #107682=ORIENTED_EDGE('',*,*,#82028,.T.); #107683=ORIENTED_EDGE('',*,*,#82024,.F.); #107684=ORIENTED_EDGE('',*,*,#82029,.F.); #107685=ORIENTED_EDGE('',*,*,#82030,.T.); #107686=ORIENTED_EDGE('',*,*,#82031,.T.); #107687=ORIENTED_EDGE('',*,*,#82027,.F.); #107688=ORIENTED_EDGE('',*,*,#82032,.F.); #107689=ORIENTED_EDGE('',*,*,#82033,.T.); #107690=ORIENTED_EDGE('',*,*,#82034,.T.); #107691=ORIENTED_EDGE('',*,*,#82030,.F.); #107692=ORIENTED_EDGE('',*,*,#82035,.F.); #107693=ORIENTED_EDGE('',*,*,#82036,.T.); #107694=ORIENTED_EDGE('',*,*,#82037,.T.); #107695=ORIENTED_EDGE('',*,*,#82033,.F.); #107696=ORIENTED_EDGE('',*,*,#82038,.F.); #107697=ORIENTED_EDGE('',*,*,#82039,.T.); #107698=ORIENTED_EDGE('',*,*,#82040,.T.); #107699=ORIENTED_EDGE('',*,*,#82036,.F.); #107700=ORIENTED_EDGE('',*,*,#82041,.F.); #107701=ORIENTED_EDGE('',*,*,#82042,.T.); #107702=ORIENTED_EDGE('',*,*,#82043,.T.); #107703=ORIENTED_EDGE('',*,*,#82039,.F.); #107704=ORIENTED_EDGE('',*,*,#82044,.F.); #107705=ORIENTED_EDGE('',*,*,#82045,.T.); #107706=ORIENTED_EDGE('',*,*,#82046,.T.); #107707=ORIENTED_EDGE('',*,*,#82042,.F.); #107708=ORIENTED_EDGE('',*,*,#82047,.F.); #107709=ORIENTED_EDGE('',*,*,#82048,.T.); #107710=ORIENTED_EDGE('',*,*,#82049,.T.); #107711=ORIENTED_EDGE('',*,*,#82045,.F.); #107712=ORIENTED_EDGE('',*,*,#82050,.F.); #107713=ORIENTED_EDGE('',*,*,#82051,.T.); #107714=ORIENTED_EDGE('',*,*,#82052,.T.); #107715=ORIENTED_EDGE('',*,*,#82048,.F.); #107716=ORIENTED_EDGE('',*,*,#82053,.F.); #107717=ORIENTED_EDGE('',*,*,#82054,.T.); #107718=ORIENTED_EDGE('',*,*,#82055,.T.); #107719=ORIENTED_EDGE('',*,*,#82051,.F.); #107720=ORIENTED_EDGE('',*,*,#82056,.F.); #107721=ORIENTED_EDGE('',*,*,#82057,.T.); #107722=ORIENTED_EDGE('',*,*,#82058,.T.); #107723=ORIENTED_EDGE('',*,*,#82054,.F.); #107724=ORIENTED_EDGE('',*,*,#82059,.F.); #107725=ORIENTED_EDGE('',*,*,#82060,.T.); #107726=ORIENTED_EDGE('',*,*,#82061,.T.); #107727=ORIENTED_EDGE('',*,*,#82057,.F.); #107728=ORIENTED_EDGE('',*,*,#82062,.F.); #107729=ORIENTED_EDGE('',*,*,#82063,.T.); #107730=ORIENTED_EDGE('',*,*,#82064,.T.); #107731=ORIENTED_EDGE('',*,*,#82060,.F.); #107732=ORIENTED_EDGE('',*,*,#82065,.F.); #107733=ORIENTED_EDGE('',*,*,#82066,.T.); #107734=ORIENTED_EDGE('',*,*,#82067,.T.); #107735=ORIENTED_EDGE('',*,*,#82063,.F.); #107736=ORIENTED_EDGE('',*,*,#82068,.F.); #107737=ORIENTED_EDGE('',*,*,#81698,.T.); #107738=ORIENTED_EDGE('',*,*,#82069,.T.); #107739=ORIENTED_EDGE('',*,*,#82066,.F.); #107740=ORIENTED_EDGE('',*,*,#82069,.F.); #107741=ORIENTED_EDGE('',*,*,#81697,.F.); #107742=ORIENTED_EDGE('',*,*,#81701,.F.); #107743=ORIENTED_EDGE('',*,*,#81704,.F.); #107744=ORIENTED_EDGE('',*,*,#81707,.F.); #107745=ORIENTED_EDGE('',*,*,#81710,.F.); #107746=ORIENTED_EDGE('',*,*,#81713,.F.); #107747=ORIENTED_EDGE('',*,*,#81716,.F.); #107748=ORIENTED_EDGE('',*,*,#81719,.F.); #107749=ORIENTED_EDGE('',*,*,#81722,.F.); #107750=ORIENTED_EDGE('',*,*,#81725,.F.); #107751=ORIENTED_EDGE('',*,*,#81728,.F.); #107752=ORIENTED_EDGE('',*,*,#81731,.F.); #107753=ORIENTED_EDGE('',*,*,#81734,.F.); #107754=ORIENTED_EDGE('',*,*,#81737,.F.); #107755=ORIENTED_EDGE('',*,*,#81740,.F.); #107756=ORIENTED_EDGE('',*,*,#81743,.F.); #107757=ORIENTED_EDGE('',*,*,#81746,.F.); #107758=ORIENTED_EDGE('',*,*,#81749,.F.); #107759=ORIENTED_EDGE('',*,*,#81752,.F.); #107760=ORIENTED_EDGE('',*,*,#81755,.F.); #107761=ORIENTED_EDGE('',*,*,#81758,.F.); #107762=ORIENTED_EDGE('',*,*,#81761,.F.); #107763=ORIENTED_EDGE('',*,*,#81764,.F.); #107764=ORIENTED_EDGE('',*,*,#81767,.F.); #107765=ORIENTED_EDGE('',*,*,#81770,.F.); #107766=ORIENTED_EDGE('',*,*,#81773,.F.); #107767=ORIENTED_EDGE('',*,*,#81776,.F.); #107768=ORIENTED_EDGE('',*,*,#81779,.F.); #107769=ORIENTED_EDGE('',*,*,#81782,.F.); #107770=ORIENTED_EDGE('',*,*,#81785,.F.); #107771=ORIENTED_EDGE('',*,*,#81788,.F.); #107772=ORIENTED_EDGE('',*,*,#81791,.F.); #107773=ORIENTED_EDGE('',*,*,#81794,.F.); #107774=ORIENTED_EDGE('',*,*,#81797,.F.); #107775=ORIENTED_EDGE('',*,*,#81800,.F.); #107776=ORIENTED_EDGE('',*,*,#81803,.F.); #107777=ORIENTED_EDGE('',*,*,#81806,.F.); #107778=ORIENTED_EDGE('',*,*,#81809,.F.); #107779=ORIENTED_EDGE('',*,*,#81812,.F.); #107780=ORIENTED_EDGE('',*,*,#81815,.F.); #107781=ORIENTED_EDGE('',*,*,#81818,.F.); #107782=ORIENTED_EDGE('',*,*,#81821,.F.); #107783=ORIENTED_EDGE('',*,*,#81824,.F.); #107784=ORIENTED_EDGE('',*,*,#81827,.F.); #107785=ORIENTED_EDGE('',*,*,#81830,.F.); #107786=ORIENTED_EDGE('',*,*,#81833,.F.); #107787=ORIENTED_EDGE('',*,*,#81836,.F.); #107788=ORIENTED_EDGE('',*,*,#81839,.F.); #107789=ORIENTED_EDGE('',*,*,#81842,.F.); #107790=ORIENTED_EDGE('',*,*,#81845,.F.); #107791=ORIENTED_EDGE('',*,*,#81848,.F.); #107792=ORIENTED_EDGE('',*,*,#81851,.F.); #107793=ORIENTED_EDGE('',*,*,#81854,.F.); #107794=ORIENTED_EDGE('',*,*,#81857,.F.); #107795=ORIENTED_EDGE('',*,*,#81860,.F.); #107796=ORIENTED_EDGE('',*,*,#81863,.F.); #107797=ORIENTED_EDGE('',*,*,#81866,.F.); #107798=ORIENTED_EDGE('',*,*,#81869,.F.); #107799=ORIENTED_EDGE('',*,*,#81872,.F.); #107800=ORIENTED_EDGE('',*,*,#81875,.F.); #107801=ORIENTED_EDGE('',*,*,#81878,.F.); #107802=ORIENTED_EDGE('',*,*,#81881,.F.); #107803=ORIENTED_EDGE('',*,*,#81884,.F.); #107804=ORIENTED_EDGE('',*,*,#81887,.F.); #107805=ORIENTED_EDGE('',*,*,#81890,.F.); #107806=ORIENTED_EDGE('',*,*,#81893,.F.); #107807=ORIENTED_EDGE('',*,*,#81896,.F.); #107808=ORIENTED_EDGE('',*,*,#81899,.F.); #107809=ORIENTED_EDGE('',*,*,#81902,.F.); #107810=ORIENTED_EDGE('',*,*,#81905,.F.); #107811=ORIENTED_EDGE('',*,*,#81908,.F.); #107812=ORIENTED_EDGE('',*,*,#81911,.F.); #107813=ORIENTED_EDGE('',*,*,#81914,.F.); #107814=ORIENTED_EDGE('',*,*,#81917,.F.); #107815=ORIENTED_EDGE('',*,*,#81920,.F.); #107816=ORIENTED_EDGE('',*,*,#81923,.F.); #107817=ORIENTED_EDGE('',*,*,#81926,.F.); #107818=ORIENTED_EDGE('',*,*,#81929,.F.); #107819=ORIENTED_EDGE('',*,*,#81932,.F.); #107820=ORIENTED_EDGE('',*,*,#81935,.F.); #107821=ORIENTED_EDGE('',*,*,#81938,.F.); #107822=ORIENTED_EDGE('',*,*,#81941,.F.); #107823=ORIENTED_EDGE('',*,*,#81944,.F.); #107824=ORIENTED_EDGE('',*,*,#81947,.F.); #107825=ORIENTED_EDGE('',*,*,#81950,.F.); #107826=ORIENTED_EDGE('',*,*,#81953,.F.); #107827=ORIENTED_EDGE('',*,*,#81956,.F.); #107828=ORIENTED_EDGE('',*,*,#81959,.F.); #107829=ORIENTED_EDGE('',*,*,#81962,.F.); #107830=ORIENTED_EDGE('',*,*,#81965,.F.); #107831=ORIENTED_EDGE('',*,*,#81968,.F.); #107832=ORIENTED_EDGE('',*,*,#81971,.F.); #107833=ORIENTED_EDGE('',*,*,#81974,.F.); #107834=ORIENTED_EDGE('',*,*,#81977,.F.); #107835=ORIENTED_EDGE('',*,*,#81980,.F.); #107836=ORIENTED_EDGE('',*,*,#81983,.F.); #107837=ORIENTED_EDGE('',*,*,#81986,.F.); #107838=ORIENTED_EDGE('',*,*,#81989,.F.); #107839=ORIENTED_EDGE('',*,*,#81992,.F.); #107840=ORIENTED_EDGE('',*,*,#81995,.F.); #107841=ORIENTED_EDGE('',*,*,#81998,.F.); #107842=ORIENTED_EDGE('',*,*,#82001,.F.); #107843=ORIENTED_EDGE('',*,*,#82004,.F.); #107844=ORIENTED_EDGE('',*,*,#82007,.F.); #107845=ORIENTED_EDGE('',*,*,#82010,.F.); #107846=ORIENTED_EDGE('',*,*,#82013,.F.); #107847=ORIENTED_EDGE('',*,*,#82016,.F.); #107848=ORIENTED_EDGE('',*,*,#82019,.F.); #107849=ORIENTED_EDGE('',*,*,#82022,.F.); #107850=ORIENTED_EDGE('',*,*,#82025,.F.); #107851=ORIENTED_EDGE('',*,*,#82028,.F.); #107852=ORIENTED_EDGE('',*,*,#82031,.F.); #107853=ORIENTED_EDGE('',*,*,#82034,.F.); #107854=ORIENTED_EDGE('',*,*,#82037,.F.); #107855=ORIENTED_EDGE('',*,*,#82040,.F.); #107856=ORIENTED_EDGE('',*,*,#82043,.F.); #107857=ORIENTED_EDGE('',*,*,#82046,.F.); #107858=ORIENTED_EDGE('',*,*,#82049,.F.); #107859=ORIENTED_EDGE('',*,*,#82052,.F.); #107860=ORIENTED_EDGE('',*,*,#82055,.F.); #107861=ORIENTED_EDGE('',*,*,#82058,.F.); #107862=ORIENTED_EDGE('',*,*,#82061,.F.); #107863=ORIENTED_EDGE('',*,*,#82064,.F.); #107864=ORIENTED_EDGE('',*,*,#82067,.F.); #107865=ORIENTED_EDGE('',*,*,#82070,.F.); #107866=ORIENTED_EDGE('',*,*,#82071,.T.); #107867=ORIENTED_EDGE('',*,*,#82072,.T.); #107868=ORIENTED_EDGE('',*,*,#82073,.F.); #107869=ORIENTED_EDGE('',*,*,#82074,.F.); #107870=ORIENTED_EDGE('',*,*,#82075,.T.); #107871=ORIENTED_EDGE('',*,*,#82076,.T.); #107872=ORIENTED_EDGE('',*,*,#82071,.F.); #107873=ORIENTED_EDGE('',*,*,#82077,.F.); #107874=ORIENTED_EDGE('',*,*,#82078,.T.); #107875=ORIENTED_EDGE('',*,*,#82079,.T.); #107876=ORIENTED_EDGE('',*,*,#82075,.F.); #107877=ORIENTED_EDGE('',*,*,#82080,.F.); #107878=ORIENTED_EDGE('',*,*,#82081,.T.); #107879=ORIENTED_EDGE('',*,*,#82082,.T.); #107880=ORIENTED_EDGE('',*,*,#82078,.F.); #107881=ORIENTED_EDGE('',*,*,#82083,.F.); #107882=ORIENTED_EDGE('',*,*,#82084,.T.); #107883=ORIENTED_EDGE('',*,*,#82085,.T.); #107884=ORIENTED_EDGE('',*,*,#82081,.F.); #107885=ORIENTED_EDGE('',*,*,#82086,.F.); #107886=ORIENTED_EDGE('',*,*,#82087,.T.); #107887=ORIENTED_EDGE('',*,*,#82088,.T.); #107888=ORIENTED_EDGE('',*,*,#82084,.F.); #107889=ORIENTED_EDGE('',*,*,#82089,.F.); #107890=ORIENTED_EDGE('',*,*,#82090,.T.); #107891=ORIENTED_EDGE('',*,*,#82091,.T.); #107892=ORIENTED_EDGE('',*,*,#82087,.F.); #107893=ORIENTED_EDGE('',*,*,#82092,.F.); #107894=ORIENTED_EDGE('',*,*,#82093,.T.); #107895=ORIENTED_EDGE('',*,*,#82094,.T.); #107896=ORIENTED_EDGE('',*,*,#82090,.F.); #107897=ORIENTED_EDGE('',*,*,#82095,.F.); #107898=ORIENTED_EDGE('',*,*,#82096,.T.); #107899=ORIENTED_EDGE('',*,*,#82097,.T.); #107900=ORIENTED_EDGE('',*,*,#82093,.F.); #107901=ORIENTED_EDGE('',*,*,#82098,.F.); #107902=ORIENTED_EDGE('',*,*,#82099,.T.); #107903=ORIENTED_EDGE('',*,*,#82100,.T.); #107904=ORIENTED_EDGE('',*,*,#82096,.F.); #107905=ORIENTED_EDGE('',*,*,#82101,.F.); #107906=ORIENTED_EDGE('',*,*,#82102,.T.); #107907=ORIENTED_EDGE('',*,*,#82103,.T.); #107908=ORIENTED_EDGE('',*,*,#82099,.F.); #107909=ORIENTED_EDGE('',*,*,#82104,.F.); #107910=ORIENTED_EDGE('',*,*,#82105,.T.); #107911=ORIENTED_EDGE('',*,*,#82106,.T.); #107912=ORIENTED_EDGE('',*,*,#82102,.F.); #107913=ORIENTED_EDGE('',*,*,#82107,.F.); #107914=ORIENTED_EDGE('',*,*,#82108,.T.); #107915=ORIENTED_EDGE('',*,*,#82109,.T.); #107916=ORIENTED_EDGE('',*,*,#82105,.F.); #107917=ORIENTED_EDGE('',*,*,#82110,.F.); #107918=ORIENTED_EDGE('',*,*,#82111,.T.); #107919=ORIENTED_EDGE('',*,*,#82112,.T.); #107920=ORIENTED_EDGE('',*,*,#82108,.F.); #107921=ORIENTED_EDGE('',*,*,#82113,.F.); #107922=ORIENTED_EDGE('',*,*,#82114,.T.); #107923=ORIENTED_EDGE('',*,*,#82115,.T.); #107924=ORIENTED_EDGE('',*,*,#82111,.F.); #107925=ORIENTED_EDGE('',*,*,#82116,.F.); #107926=ORIENTED_EDGE('',*,*,#82117,.T.); #107927=ORIENTED_EDGE('',*,*,#82118,.T.); #107928=ORIENTED_EDGE('',*,*,#82114,.F.); #107929=ORIENTED_EDGE('',*,*,#82119,.F.); #107930=ORIENTED_EDGE('',*,*,#82120,.T.); #107931=ORIENTED_EDGE('',*,*,#82121,.T.); #107932=ORIENTED_EDGE('',*,*,#82117,.F.); #107933=ORIENTED_EDGE('',*,*,#82122,.F.); #107934=ORIENTED_EDGE('',*,*,#82123,.T.); #107935=ORIENTED_EDGE('',*,*,#82124,.T.); #107936=ORIENTED_EDGE('',*,*,#82120,.F.); #107937=ORIENTED_EDGE('',*,*,#82125,.F.); #107938=ORIENTED_EDGE('',*,*,#82126,.T.); #107939=ORIENTED_EDGE('',*,*,#82127,.T.); #107940=ORIENTED_EDGE('',*,*,#82123,.F.); #107941=ORIENTED_EDGE('',*,*,#82128,.F.); #107942=ORIENTED_EDGE('',*,*,#82129,.T.); #107943=ORIENTED_EDGE('',*,*,#82130,.T.); #107944=ORIENTED_EDGE('',*,*,#82126,.F.); #107945=ORIENTED_EDGE('',*,*,#82131,.F.); #107946=ORIENTED_EDGE('',*,*,#82132,.T.); #107947=ORIENTED_EDGE('',*,*,#82133,.T.); #107948=ORIENTED_EDGE('',*,*,#82129,.F.); #107949=ORIENTED_EDGE('',*,*,#82134,.F.); #107950=ORIENTED_EDGE('',*,*,#82135,.T.); #107951=ORIENTED_EDGE('',*,*,#82136,.T.); #107952=ORIENTED_EDGE('',*,*,#82132,.F.); #107953=ORIENTED_EDGE('',*,*,#82137,.F.); #107954=ORIENTED_EDGE('',*,*,#82138,.T.); #107955=ORIENTED_EDGE('',*,*,#82139,.T.); #107956=ORIENTED_EDGE('',*,*,#82135,.F.); #107957=ORIENTED_EDGE('',*,*,#82140,.F.); #107958=ORIENTED_EDGE('',*,*,#82141,.T.); #107959=ORIENTED_EDGE('',*,*,#82142,.T.); #107960=ORIENTED_EDGE('',*,*,#82138,.F.); #107961=ORIENTED_EDGE('',*,*,#82143,.F.); #107962=ORIENTED_EDGE('',*,*,#82144,.T.); #107963=ORIENTED_EDGE('',*,*,#82145,.T.); #107964=ORIENTED_EDGE('',*,*,#82141,.F.); #107965=ORIENTED_EDGE('',*,*,#82146,.F.); #107966=ORIENTED_EDGE('',*,*,#82147,.T.); #107967=ORIENTED_EDGE('',*,*,#82148,.T.); #107968=ORIENTED_EDGE('',*,*,#82144,.F.); #107969=ORIENTED_EDGE('',*,*,#82149,.F.); #107970=ORIENTED_EDGE('',*,*,#82150,.T.); #107971=ORIENTED_EDGE('',*,*,#82151,.T.); #107972=ORIENTED_EDGE('',*,*,#82147,.F.); #107973=ORIENTED_EDGE('',*,*,#82152,.F.); #107974=ORIENTED_EDGE('',*,*,#82153,.T.); #107975=ORIENTED_EDGE('',*,*,#82154,.T.); #107976=ORIENTED_EDGE('',*,*,#82150,.F.); #107977=ORIENTED_EDGE('',*,*,#82155,.F.); #107978=ORIENTED_EDGE('',*,*,#82156,.T.); #107979=ORIENTED_EDGE('',*,*,#82157,.T.); #107980=ORIENTED_EDGE('',*,*,#82153,.F.); #107981=ORIENTED_EDGE('',*,*,#82158,.F.); #107982=ORIENTED_EDGE('',*,*,#82159,.T.); #107983=ORIENTED_EDGE('',*,*,#82160,.T.); #107984=ORIENTED_EDGE('',*,*,#82156,.F.); #107985=ORIENTED_EDGE('',*,*,#82161,.F.); #107986=ORIENTED_EDGE('',*,*,#82162,.T.); #107987=ORIENTED_EDGE('',*,*,#82163,.T.); #107988=ORIENTED_EDGE('',*,*,#82159,.F.); #107989=ORIENTED_EDGE('',*,*,#82164,.F.); #107990=ORIENTED_EDGE('',*,*,#82165,.T.); #107991=ORIENTED_EDGE('',*,*,#82166,.T.); #107992=ORIENTED_EDGE('',*,*,#82162,.F.); #107993=ORIENTED_EDGE('',*,*,#82167,.F.); #107994=ORIENTED_EDGE('',*,*,#82168,.T.); #107995=ORIENTED_EDGE('',*,*,#82169,.T.); #107996=ORIENTED_EDGE('',*,*,#82165,.F.); #107997=ORIENTED_EDGE('',*,*,#82170,.F.); #107998=ORIENTED_EDGE('',*,*,#82171,.T.); #107999=ORIENTED_EDGE('',*,*,#82172,.T.); #108000=ORIENTED_EDGE('',*,*,#82168,.F.); #108001=ORIENTED_EDGE('',*,*,#82173,.F.); #108002=ORIENTED_EDGE('',*,*,#82174,.T.); #108003=ORIENTED_EDGE('',*,*,#82175,.T.); #108004=ORIENTED_EDGE('',*,*,#82171,.F.); #108005=ORIENTED_EDGE('',*,*,#82176,.F.); #108006=ORIENTED_EDGE('',*,*,#82177,.T.); #108007=ORIENTED_EDGE('',*,*,#82178,.T.); #108008=ORIENTED_EDGE('',*,*,#82174,.F.); #108009=ORIENTED_EDGE('',*,*,#82179,.F.); #108010=ORIENTED_EDGE('',*,*,#82180,.T.); #108011=ORIENTED_EDGE('',*,*,#82181,.T.); #108012=ORIENTED_EDGE('',*,*,#82177,.F.); #108013=ORIENTED_EDGE('',*,*,#82182,.F.); #108014=ORIENTED_EDGE('',*,*,#82183,.T.); #108015=ORIENTED_EDGE('',*,*,#82184,.T.); #108016=ORIENTED_EDGE('',*,*,#82180,.F.); #108017=ORIENTED_EDGE('',*,*,#82185,.F.); #108018=ORIENTED_EDGE('',*,*,#82186,.T.); #108019=ORIENTED_EDGE('',*,*,#82187,.T.); #108020=ORIENTED_EDGE('',*,*,#82183,.F.); #108021=ORIENTED_EDGE('',*,*,#82188,.F.); #108022=ORIENTED_EDGE('',*,*,#82189,.T.); #108023=ORIENTED_EDGE('',*,*,#82190,.T.); #108024=ORIENTED_EDGE('',*,*,#82186,.F.); #108025=ORIENTED_EDGE('',*,*,#82191,.F.); #108026=ORIENTED_EDGE('',*,*,#82192,.T.); #108027=ORIENTED_EDGE('',*,*,#82193,.T.); #108028=ORIENTED_EDGE('',*,*,#82189,.F.); #108029=ORIENTED_EDGE('',*,*,#82194,.F.); #108030=ORIENTED_EDGE('',*,*,#82195,.T.); #108031=ORIENTED_EDGE('',*,*,#82196,.T.); #108032=ORIENTED_EDGE('',*,*,#82192,.F.); #108033=ORIENTED_EDGE('',*,*,#82197,.F.); #108034=ORIENTED_EDGE('',*,*,#82198,.T.); #108035=ORIENTED_EDGE('',*,*,#82199,.T.); #108036=ORIENTED_EDGE('',*,*,#82195,.F.); #108037=ORIENTED_EDGE('',*,*,#82200,.F.); #108038=ORIENTED_EDGE('',*,*,#82201,.T.); #108039=ORIENTED_EDGE('',*,*,#82202,.T.); #108040=ORIENTED_EDGE('',*,*,#82198,.F.); #108041=ORIENTED_EDGE('',*,*,#82203,.F.); #108042=ORIENTED_EDGE('',*,*,#82204,.T.); #108043=ORIENTED_EDGE('',*,*,#82205,.T.); #108044=ORIENTED_EDGE('',*,*,#82201,.F.); #108045=ORIENTED_EDGE('',*,*,#82206,.F.); #108046=ORIENTED_EDGE('',*,*,#82207,.T.); #108047=ORIENTED_EDGE('',*,*,#82208,.T.); #108048=ORIENTED_EDGE('',*,*,#82204,.F.); #108049=ORIENTED_EDGE('',*,*,#82209,.F.); #108050=ORIENTED_EDGE('',*,*,#82210,.T.); #108051=ORIENTED_EDGE('',*,*,#82211,.T.); #108052=ORIENTED_EDGE('',*,*,#82207,.F.); #108053=ORIENTED_EDGE('',*,*,#82212,.F.); #108054=ORIENTED_EDGE('',*,*,#82213,.T.); #108055=ORIENTED_EDGE('',*,*,#82214,.T.); #108056=ORIENTED_EDGE('',*,*,#82210,.F.); #108057=ORIENTED_EDGE('',*,*,#82215,.F.); #108058=ORIENTED_EDGE('',*,*,#82216,.T.); #108059=ORIENTED_EDGE('',*,*,#82217,.T.); #108060=ORIENTED_EDGE('',*,*,#82213,.F.); #108061=ORIENTED_EDGE('',*,*,#82218,.F.); #108062=ORIENTED_EDGE('',*,*,#82219,.T.); #108063=ORIENTED_EDGE('',*,*,#82220,.T.); #108064=ORIENTED_EDGE('',*,*,#82216,.F.); #108065=ORIENTED_EDGE('',*,*,#82221,.F.); #108066=ORIENTED_EDGE('',*,*,#82222,.T.); #108067=ORIENTED_EDGE('',*,*,#82223,.T.); #108068=ORIENTED_EDGE('',*,*,#82219,.F.); #108069=ORIENTED_EDGE('',*,*,#82224,.F.); #108070=ORIENTED_EDGE('',*,*,#82225,.T.); #108071=ORIENTED_EDGE('',*,*,#82226,.T.); #108072=ORIENTED_EDGE('',*,*,#82222,.F.); #108073=ORIENTED_EDGE('',*,*,#82227,.F.); #108074=ORIENTED_EDGE('',*,*,#82228,.T.); #108075=ORIENTED_EDGE('',*,*,#82229,.T.); #108076=ORIENTED_EDGE('',*,*,#82225,.F.); #108077=ORIENTED_EDGE('',*,*,#82230,.F.); #108078=ORIENTED_EDGE('',*,*,#82231,.T.); #108079=ORIENTED_EDGE('',*,*,#82232,.T.); #108080=ORIENTED_EDGE('',*,*,#82228,.F.); #108081=ORIENTED_EDGE('',*,*,#82233,.F.); #108082=ORIENTED_EDGE('',*,*,#82234,.T.); #108083=ORIENTED_EDGE('',*,*,#82235,.T.); #108084=ORIENTED_EDGE('',*,*,#82231,.F.); #108085=ORIENTED_EDGE('',*,*,#82236,.F.); #108086=ORIENTED_EDGE('',*,*,#82237,.T.); #108087=ORIENTED_EDGE('',*,*,#82238,.T.); #108088=ORIENTED_EDGE('',*,*,#82234,.F.); #108089=ORIENTED_EDGE('',*,*,#82239,.F.); #108090=ORIENTED_EDGE('',*,*,#82240,.T.); #108091=ORIENTED_EDGE('',*,*,#82241,.T.); #108092=ORIENTED_EDGE('',*,*,#82237,.F.); #108093=ORIENTED_EDGE('',*,*,#82242,.F.); #108094=ORIENTED_EDGE('',*,*,#82243,.T.); #108095=ORIENTED_EDGE('',*,*,#82244,.T.); #108096=ORIENTED_EDGE('',*,*,#82240,.F.); #108097=ORIENTED_EDGE('',*,*,#82245,.F.); #108098=ORIENTED_EDGE('',*,*,#82246,.T.); #108099=ORIENTED_EDGE('',*,*,#82247,.T.); #108100=ORIENTED_EDGE('',*,*,#82243,.F.); #108101=ORIENTED_EDGE('',*,*,#82248,.F.); #108102=ORIENTED_EDGE('',*,*,#82249,.T.); #108103=ORIENTED_EDGE('',*,*,#82250,.T.); #108104=ORIENTED_EDGE('',*,*,#82246,.F.); #108105=ORIENTED_EDGE('',*,*,#82251,.F.); #108106=ORIENTED_EDGE('',*,*,#82252,.T.); #108107=ORIENTED_EDGE('',*,*,#82253,.T.); #108108=ORIENTED_EDGE('',*,*,#82249,.F.); #108109=ORIENTED_EDGE('',*,*,#82254,.F.); #108110=ORIENTED_EDGE('',*,*,#82255,.T.); #108111=ORIENTED_EDGE('',*,*,#82256,.T.); #108112=ORIENTED_EDGE('',*,*,#82252,.F.); #108113=ORIENTED_EDGE('',*,*,#82257,.F.); #108114=ORIENTED_EDGE('',*,*,#82258,.T.); #108115=ORIENTED_EDGE('',*,*,#82259,.T.); #108116=ORIENTED_EDGE('',*,*,#82255,.F.); #108117=ORIENTED_EDGE('',*,*,#82260,.F.); #108118=ORIENTED_EDGE('',*,*,#82261,.T.); #108119=ORIENTED_EDGE('',*,*,#82262,.T.); #108120=ORIENTED_EDGE('',*,*,#82258,.F.); #108121=ORIENTED_EDGE('',*,*,#82263,.F.); #108122=ORIENTED_EDGE('',*,*,#82264,.T.); #108123=ORIENTED_EDGE('',*,*,#82265,.T.); #108124=ORIENTED_EDGE('',*,*,#82261,.F.); #108125=ORIENTED_EDGE('',*,*,#82266,.F.); #108126=ORIENTED_EDGE('',*,*,#82267,.T.); #108127=ORIENTED_EDGE('',*,*,#82268,.T.); #108128=ORIENTED_EDGE('',*,*,#82264,.F.); #108129=ORIENTED_EDGE('',*,*,#82269,.F.); #108130=ORIENTED_EDGE('',*,*,#82270,.T.); #108131=ORIENTED_EDGE('',*,*,#82271,.T.); #108132=ORIENTED_EDGE('',*,*,#82267,.F.); #108133=ORIENTED_EDGE('',*,*,#82272,.F.); #108134=ORIENTED_EDGE('',*,*,#82273,.T.); #108135=ORIENTED_EDGE('',*,*,#82274,.T.); #108136=ORIENTED_EDGE('',*,*,#82270,.F.); #108137=ORIENTED_EDGE('',*,*,#82275,.F.); #108138=ORIENTED_EDGE('',*,*,#82276,.T.); #108139=ORIENTED_EDGE('',*,*,#82277,.T.); #108140=ORIENTED_EDGE('',*,*,#82273,.F.); #108141=ORIENTED_EDGE('',*,*,#82278,.F.); #108142=ORIENTED_EDGE('',*,*,#82279,.T.); #108143=ORIENTED_EDGE('',*,*,#82280,.T.); #108144=ORIENTED_EDGE('',*,*,#82276,.F.); #108145=ORIENTED_EDGE('',*,*,#82281,.F.); #108146=ORIENTED_EDGE('',*,*,#82282,.T.); #108147=ORIENTED_EDGE('',*,*,#82283,.T.); #108148=ORIENTED_EDGE('',*,*,#82279,.F.); #108149=ORIENTED_EDGE('',*,*,#82284,.F.); #108150=ORIENTED_EDGE('',*,*,#82285,.T.); #108151=ORIENTED_EDGE('',*,*,#82286,.T.); #108152=ORIENTED_EDGE('',*,*,#82282,.F.); #108153=ORIENTED_EDGE('',*,*,#82287,.F.); #108154=ORIENTED_EDGE('',*,*,#82288,.T.); #108155=ORIENTED_EDGE('',*,*,#82289,.T.); #108156=ORIENTED_EDGE('',*,*,#82285,.F.); #108157=ORIENTED_EDGE('',*,*,#82290,.F.); #108158=ORIENTED_EDGE('',*,*,#82291,.T.); #108159=ORIENTED_EDGE('',*,*,#82292,.T.); #108160=ORIENTED_EDGE('',*,*,#82288,.F.); #108161=ORIENTED_EDGE('',*,*,#82293,.F.); #108162=ORIENTED_EDGE('',*,*,#82294,.T.); #108163=ORIENTED_EDGE('',*,*,#82295,.T.); #108164=ORIENTED_EDGE('',*,*,#82291,.F.); #108165=ORIENTED_EDGE('',*,*,#82296,.F.); #108166=ORIENTED_EDGE('',*,*,#82297,.T.); #108167=ORIENTED_EDGE('',*,*,#82298,.T.); #108168=ORIENTED_EDGE('',*,*,#82294,.F.); #108169=ORIENTED_EDGE('',*,*,#82299,.F.); #108170=ORIENTED_EDGE('',*,*,#82300,.T.); #108171=ORIENTED_EDGE('',*,*,#82301,.T.); #108172=ORIENTED_EDGE('',*,*,#82297,.F.); #108173=ORIENTED_EDGE('',*,*,#82302,.F.); #108174=ORIENTED_EDGE('',*,*,#82303,.T.); #108175=ORIENTED_EDGE('',*,*,#82304,.T.); #108176=ORIENTED_EDGE('',*,*,#82300,.F.); #108177=ORIENTED_EDGE('',*,*,#82305,.F.); #108178=ORIENTED_EDGE('',*,*,#82306,.T.); #108179=ORIENTED_EDGE('',*,*,#82307,.T.); #108180=ORIENTED_EDGE('',*,*,#82303,.F.); #108181=ORIENTED_EDGE('',*,*,#82308,.F.); #108182=ORIENTED_EDGE('',*,*,#82309,.T.); #108183=ORIENTED_EDGE('',*,*,#82310,.T.); #108184=ORIENTED_EDGE('',*,*,#82306,.F.); #108185=ORIENTED_EDGE('',*,*,#82311,.F.); #108186=ORIENTED_EDGE('',*,*,#82312,.T.); #108187=ORIENTED_EDGE('',*,*,#82313,.T.); #108188=ORIENTED_EDGE('',*,*,#82309,.F.); #108189=ORIENTED_EDGE('',*,*,#82314,.F.); #108190=ORIENTED_EDGE('',*,*,#82315,.T.); #108191=ORIENTED_EDGE('',*,*,#82316,.T.); #108192=ORIENTED_EDGE('',*,*,#82312,.F.); #108193=ORIENTED_EDGE('',*,*,#82317,.F.); #108194=ORIENTED_EDGE('',*,*,#82318,.T.); #108195=ORIENTED_EDGE('',*,*,#82319,.T.); #108196=ORIENTED_EDGE('',*,*,#82315,.F.); #108197=ORIENTED_EDGE('',*,*,#82320,.F.); #108198=ORIENTED_EDGE('',*,*,#82321,.T.); #108199=ORIENTED_EDGE('',*,*,#82322,.T.); #108200=ORIENTED_EDGE('',*,*,#82318,.F.); #108201=ORIENTED_EDGE('',*,*,#82323,.F.); #108202=ORIENTED_EDGE('',*,*,#82324,.T.); #108203=ORIENTED_EDGE('',*,*,#82325,.T.); #108204=ORIENTED_EDGE('',*,*,#82321,.F.); #108205=ORIENTED_EDGE('',*,*,#82326,.F.); #108206=ORIENTED_EDGE('',*,*,#82327,.T.); #108207=ORIENTED_EDGE('',*,*,#82328,.T.); #108208=ORIENTED_EDGE('',*,*,#82324,.F.); #108209=ORIENTED_EDGE('',*,*,#82329,.F.); #108210=ORIENTED_EDGE('',*,*,#82330,.T.); #108211=ORIENTED_EDGE('',*,*,#82331,.T.); #108212=ORIENTED_EDGE('',*,*,#82327,.F.); #108213=ORIENTED_EDGE('',*,*,#82332,.F.); #108214=ORIENTED_EDGE('',*,*,#82333,.T.); #108215=ORIENTED_EDGE('',*,*,#82334,.T.); #108216=ORIENTED_EDGE('',*,*,#82330,.F.); #108217=ORIENTED_EDGE('',*,*,#82335,.F.); #108218=ORIENTED_EDGE('',*,*,#82336,.T.); #108219=ORIENTED_EDGE('',*,*,#82337,.T.); #108220=ORIENTED_EDGE('',*,*,#82333,.F.); #108221=ORIENTED_EDGE('',*,*,#82338,.F.); #108222=ORIENTED_EDGE('',*,*,#82339,.T.); #108223=ORIENTED_EDGE('',*,*,#82340,.T.); #108224=ORIENTED_EDGE('',*,*,#82336,.F.); #108225=ORIENTED_EDGE('',*,*,#82341,.F.); #108226=ORIENTED_EDGE('',*,*,#82342,.T.); #108227=ORIENTED_EDGE('',*,*,#82343,.T.); #108228=ORIENTED_EDGE('',*,*,#82339,.F.); #108229=ORIENTED_EDGE('',*,*,#82344,.F.); #108230=ORIENTED_EDGE('',*,*,#82345,.T.); #108231=ORIENTED_EDGE('',*,*,#82346,.T.); #108232=ORIENTED_EDGE('',*,*,#82342,.F.); #108233=ORIENTED_EDGE('',*,*,#82347,.F.); #108234=ORIENTED_EDGE('',*,*,#82348,.T.); #108235=ORIENTED_EDGE('',*,*,#82349,.T.); #108236=ORIENTED_EDGE('',*,*,#82345,.F.); #108237=ORIENTED_EDGE('',*,*,#82350,.F.); #108238=ORIENTED_EDGE('',*,*,#82351,.T.); #108239=ORIENTED_EDGE('',*,*,#82352,.T.); #108240=ORIENTED_EDGE('',*,*,#82348,.F.); #108241=ORIENTED_EDGE('',*,*,#82353,.F.); #108242=ORIENTED_EDGE('',*,*,#82354,.T.); #108243=ORIENTED_EDGE('',*,*,#82355,.T.); #108244=ORIENTED_EDGE('',*,*,#82351,.F.); #108245=ORIENTED_EDGE('',*,*,#82356,.F.); #108246=ORIENTED_EDGE('',*,*,#82357,.T.); #108247=ORIENTED_EDGE('',*,*,#82358,.T.); #108248=ORIENTED_EDGE('',*,*,#82354,.F.); #108249=ORIENTED_EDGE('',*,*,#82359,.F.); #108250=ORIENTED_EDGE('',*,*,#82360,.T.); #108251=ORIENTED_EDGE('',*,*,#82361,.T.); #108252=ORIENTED_EDGE('',*,*,#82357,.F.); #108253=ORIENTED_EDGE('',*,*,#82362,.F.); #108254=ORIENTED_EDGE('',*,*,#82363,.T.); #108255=ORIENTED_EDGE('',*,*,#82364,.T.); #108256=ORIENTED_EDGE('',*,*,#82360,.F.); #108257=ORIENTED_EDGE('',*,*,#82365,.F.); #108258=ORIENTED_EDGE('',*,*,#82366,.T.); #108259=ORIENTED_EDGE('',*,*,#82367,.T.); #108260=ORIENTED_EDGE('',*,*,#82363,.F.); #108261=ORIENTED_EDGE('',*,*,#82368,.F.); #108262=ORIENTED_EDGE('',*,*,#82369,.T.); #108263=ORIENTED_EDGE('',*,*,#82370,.T.); #108264=ORIENTED_EDGE('',*,*,#82366,.F.); #108265=ORIENTED_EDGE('',*,*,#82371,.F.); #108266=ORIENTED_EDGE('',*,*,#82372,.T.); #108267=ORIENTED_EDGE('',*,*,#82373,.T.); #108268=ORIENTED_EDGE('',*,*,#82369,.F.); #108269=ORIENTED_EDGE('',*,*,#82374,.F.); #108270=ORIENTED_EDGE('',*,*,#82375,.T.); #108271=ORIENTED_EDGE('',*,*,#82376,.T.); #108272=ORIENTED_EDGE('',*,*,#82372,.F.); #108273=ORIENTED_EDGE('',*,*,#82377,.F.); #108274=ORIENTED_EDGE('',*,*,#82378,.T.); #108275=ORIENTED_EDGE('',*,*,#82379,.T.); #108276=ORIENTED_EDGE('',*,*,#82375,.F.); #108277=ORIENTED_EDGE('',*,*,#82380,.F.); #108278=ORIENTED_EDGE('',*,*,#82381,.T.); #108279=ORIENTED_EDGE('',*,*,#82382,.T.); #108280=ORIENTED_EDGE('',*,*,#82378,.F.); #108281=ORIENTED_EDGE('',*,*,#82383,.F.); #108282=ORIENTED_EDGE('',*,*,#82384,.T.); #108283=ORIENTED_EDGE('',*,*,#82385,.T.); #108284=ORIENTED_EDGE('',*,*,#82381,.F.); #108285=ORIENTED_EDGE('',*,*,#82386,.F.); #108286=ORIENTED_EDGE('',*,*,#82387,.T.); #108287=ORIENTED_EDGE('',*,*,#82388,.T.); #108288=ORIENTED_EDGE('',*,*,#82384,.F.); #108289=ORIENTED_EDGE('',*,*,#82389,.F.); #108290=ORIENTED_EDGE('',*,*,#82390,.T.); #108291=ORIENTED_EDGE('',*,*,#82391,.T.); #108292=ORIENTED_EDGE('',*,*,#82387,.F.); #108293=ORIENTED_EDGE('',*,*,#82392,.F.); #108294=ORIENTED_EDGE('',*,*,#82393,.T.); #108295=ORIENTED_EDGE('',*,*,#82394,.T.); #108296=ORIENTED_EDGE('',*,*,#82390,.F.); #108297=ORIENTED_EDGE('',*,*,#82395,.F.); #108298=ORIENTED_EDGE('',*,*,#82396,.T.); #108299=ORIENTED_EDGE('',*,*,#82397,.T.); #108300=ORIENTED_EDGE('',*,*,#82393,.F.); #108301=ORIENTED_EDGE('',*,*,#82398,.F.); #108302=ORIENTED_EDGE('',*,*,#82399,.T.); #108303=ORIENTED_EDGE('',*,*,#82400,.T.); #108304=ORIENTED_EDGE('',*,*,#82396,.F.); #108305=ORIENTED_EDGE('',*,*,#82401,.F.); #108306=ORIENTED_EDGE('',*,*,#82402,.T.); #108307=ORIENTED_EDGE('',*,*,#82403,.T.); #108308=ORIENTED_EDGE('',*,*,#82399,.F.); #108309=ORIENTED_EDGE('',*,*,#82404,.F.); #108310=ORIENTED_EDGE('',*,*,#82405,.T.); #108311=ORIENTED_EDGE('',*,*,#82406,.T.); #108312=ORIENTED_EDGE('',*,*,#82402,.F.); #108313=ORIENTED_EDGE('',*,*,#82407,.F.); #108314=ORIENTED_EDGE('',*,*,#82408,.T.); #108315=ORIENTED_EDGE('',*,*,#82409,.T.); #108316=ORIENTED_EDGE('',*,*,#82405,.F.); #108317=ORIENTED_EDGE('',*,*,#82410,.F.); #108318=ORIENTED_EDGE('',*,*,#82411,.T.); #108319=ORIENTED_EDGE('',*,*,#82412,.T.); #108320=ORIENTED_EDGE('',*,*,#82408,.F.); #108321=ORIENTED_EDGE('',*,*,#82413,.F.); #108322=ORIENTED_EDGE('',*,*,#82414,.T.); #108323=ORIENTED_EDGE('',*,*,#82415,.T.); #108324=ORIENTED_EDGE('',*,*,#82411,.F.); #108325=ORIENTED_EDGE('',*,*,#82416,.F.); #108326=ORIENTED_EDGE('',*,*,#82417,.T.); #108327=ORIENTED_EDGE('',*,*,#82418,.T.); #108328=ORIENTED_EDGE('',*,*,#82414,.F.); #108329=ORIENTED_EDGE('',*,*,#82419,.F.); #108330=ORIENTED_EDGE('',*,*,#82420,.T.); #108331=ORIENTED_EDGE('',*,*,#82421,.T.); #108332=ORIENTED_EDGE('',*,*,#82417,.F.); #108333=ORIENTED_EDGE('',*,*,#82422,.F.); #108334=ORIENTED_EDGE('',*,*,#82423,.T.); #108335=ORIENTED_EDGE('',*,*,#82424,.T.); #108336=ORIENTED_EDGE('',*,*,#82420,.F.); #108337=ORIENTED_EDGE('',*,*,#82425,.F.); #108338=ORIENTED_EDGE('',*,*,#82426,.T.); #108339=ORIENTED_EDGE('',*,*,#82427,.T.); #108340=ORIENTED_EDGE('',*,*,#82423,.F.); #108341=ORIENTED_EDGE('',*,*,#82428,.F.); #108342=ORIENTED_EDGE('',*,*,#82429,.T.); #108343=ORIENTED_EDGE('',*,*,#82430,.T.); #108344=ORIENTED_EDGE('',*,*,#82426,.F.); #108345=ORIENTED_EDGE('',*,*,#82431,.F.); #108346=ORIENTED_EDGE('',*,*,#82432,.T.); #108347=ORIENTED_EDGE('',*,*,#82433,.T.); #108348=ORIENTED_EDGE('',*,*,#82429,.F.); #108349=ORIENTED_EDGE('',*,*,#82434,.F.); #108350=ORIENTED_EDGE('',*,*,#82435,.T.); #108351=ORIENTED_EDGE('',*,*,#82436,.T.); #108352=ORIENTED_EDGE('',*,*,#82432,.F.); #108353=ORIENTED_EDGE('',*,*,#82437,.F.); #108354=ORIENTED_EDGE('',*,*,#82438,.T.); #108355=ORIENTED_EDGE('',*,*,#82439,.T.); #108356=ORIENTED_EDGE('',*,*,#82435,.F.); #108357=ORIENTED_EDGE('',*,*,#82440,.F.); #108358=ORIENTED_EDGE('',*,*,#82441,.T.); #108359=ORIENTED_EDGE('',*,*,#82442,.T.); #108360=ORIENTED_EDGE('',*,*,#82438,.F.); #108361=ORIENTED_EDGE('',*,*,#82443,.F.); #108362=ORIENTED_EDGE('',*,*,#82444,.T.); #108363=ORIENTED_EDGE('',*,*,#82445,.T.); #108364=ORIENTED_EDGE('',*,*,#82441,.F.); #108365=ORIENTED_EDGE('',*,*,#82446,.F.); #108366=ORIENTED_EDGE('',*,*,#82447,.T.); #108367=ORIENTED_EDGE('',*,*,#82448,.T.); #108368=ORIENTED_EDGE('',*,*,#82444,.F.); #108369=ORIENTED_EDGE('',*,*,#82449,.F.); #108370=ORIENTED_EDGE('',*,*,#82450,.T.); #108371=ORIENTED_EDGE('',*,*,#82451,.T.); #108372=ORIENTED_EDGE('',*,*,#82447,.F.); #108373=ORIENTED_EDGE('',*,*,#82452,.F.); #108374=ORIENTED_EDGE('',*,*,#82453,.T.); #108375=ORIENTED_EDGE('',*,*,#82454,.T.); #108376=ORIENTED_EDGE('',*,*,#82450,.F.); #108377=ORIENTED_EDGE('',*,*,#82455,.F.); #108378=ORIENTED_EDGE('',*,*,#82456,.T.); #108379=ORIENTED_EDGE('',*,*,#82457,.T.); #108380=ORIENTED_EDGE('',*,*,#82453,.F.); #108381=ORIENTED_EDGE('',*,*,#82458,.F.); #108382=ORIENTED_EDGE('',*,*,#82073,.T.); #108383=ORIENTED_EDGE('',*,*,#82459,.T.); #108384=ORIENTED_EDGE('',*,*,#82456,.F.); #108385=ORIENTED_EDGE('',*,*,#82459,.F.); #108386=ORIENTED_EDGE('',*,*,#82072,.F.); #108387=ORIENTED_EDGE('',*,*,#82076,.F.); #108388=ORIENTED_EDGE('',*,*,#82079,.F.); #108389=ORIENTED_EDGE('',*,*,#82082,.F.); #108390=ORIENTED_EDGE('',*,*,#82085,.F.); #108391=ORIENTED_EDGE('',*,*,#82088,.F.); #108392=ORIENTED_EDGE('',*,*,#82091,.F.); #108393=ORIENTED_EDGE('',*,*,#82094,.F.); #108394=ORIENTED_EDGE('',*,*,#82097,.F.); #108395=ORIENTED_EDGE('',*,*,#82100,.F.); #108396=ORIENTED_EDGE('',*,*,#82103,.F.); #108397=ORIENTED_EDGE('',*,*,#82106,.F.); #108398=ORIENTED_EDGE('',*,*,#82109,.F.); #108399=ORIENTED_EDGE('',*,*,#82112,.F.); #108400=ORIENTED_EDGE('',*,*,#82115,.F.); #108401=ORIENTED_EDGE('',*,*,#82118,.F.); #108402=ORIENTED_EDGE('',*,*,#82121,.F.); #108403=ORIENTED_EDGE('',*,*,#82124,.F.); #108404=ORIENTED_EDGE('',*,*,#82127,.F.); #108405=ORIENTED_EDGE('',*,*,#82130,.F.); #108406=ORIENTED_EDGE('',*,*,#82133,.F.); #108407=ORIENTED_EDGE('',*,*,#82136,.F.); #108408=ORIENTED_EDGE('',*,*,#82139,.F.); #108409=ORIENTED_EDGE('',*,*,#82142,.F.); #108410=ORIENTED_EDGE('',*,*,#82145,.F.); #108411=ORIENTED_EDGE('',*,*,#82148,.F.); #108412=ORIENTED_EDGE('',*,*,#82151,.F.); #108413=ORIENTED_EDGE('',*,*,#82154,.F.); #108414=ORIENTED_EDGE('',*,*,#82157,.F.); #108415=ORIENTED_EDGE('',*,*,#82160,.F.); #108416=ORIENTED_EDGE('',*,*,#82163,.F.); #108417=ORIENTED_EDGE('',*,*,#82166,.F.); #108418=ORIENTED_EDGE('',*,*,#82169,.F.); #108419=ORIENTED_EDGE('',*,*,#82172,.F.); #108420=ORIENTED_EDGE('',*,*,#82175,.F.); #108421=ORIENTED_EDGE('',*,*,#82178,.F.); #108422=ORIENTED_EDGE('',*,*,#82181,.F.); #108423=ORIENTED_EDGE('',*,*,#82184,.F.); #108424=ORIENTED_EDGE('',*,*,#82187,.F.); #108425=ORIENTED_EDGE('',*,*,#82190,.F.); #108426=ORIENTED_EDGE('',*,*,#82193,.F.); #108427=ORIENTED_EDGE('',*,*,#82196,.F.); #108428=ORIENTED_EDGE('',*,*,#82199,.F.); #108429=ORIENTED_EDGE('',*,*,#82202,.F.); #108430=ORIENTED_EDGE('',*,*,#82205,.F.); #108431=ORIENTED_EDGE('',*,*,#82208,.F.); #108432=ORIENTED_EDGE('',*,*,#82211,.F.); #108433=ORIENTED_EDGE('',*,*,#82214,.F.); #108434=ORIENTED_EDGE('',*,*,#82217,.F.); #108435=ORIENTED_EDGE('',*,*,#82220,.F.); #108436=ORIENTED_EDGE('',*,*,#82223,.F.); #108437=ORIENTED_EDGE('',*,*,#82226,.F.); #108438=ORIENTED_EDGE('',*,*,#82229,.F.); #108439=ORIENTED_EDGE('',*,*,#82232,.F.); #108440=ORIENTED_EDGE('',*,*,#82235,.F.); #108441=ORIENTED_EDGE('',*,*,#82238,.F.); #108442=ORIENTED_EDGE('',*,*,#82241,.F.); #108443=ORIENTED_EDGE('',*,*,#82244,.F.); #108444=ORIENTED_EDGE('',*,*,#82247,.F.); #108445=ORIENTED_EDGE('',*,*,#82250,.F.); #108446=ORIENTED_EDGE('',*,*,#82253,.F.); #108447=ORIENTED_EDGE('',*,*,#82256,.F.); #108448=ORIENTED_EDGE('',*,*,#82259,.F.); #108449=ORIENTED_EDGE('',*,*,#82262,.F.); #108450=ORIENTED_EDGE('',*,*,#82265,.F.); #108451=ORIENTED_EDGE('',*,*,#82268,.F.); #108452=ORIENTED_EDGE('',*,*,#82271,.F.); #108453=ORIENTED_EDGE('',*,*,#82274,.F.); #108454=ORIENTED_EDGE('',*,*,#82277,.F.); #108455=ORIENTED_EDGE('',*,*,#82280,.F.); #108456=ORIENTED_EDGE('',*,*,#82283,.F.); #108457=ORIENTED_EDGE('',*,*,#82286,.F.); #108458=ORIENTED_EDGE('',*,*,#82289,.F.); #108459=ORIENTED_EDGE('',*,*,#82292,.F.); #108460=ORIENTED_EDGE('',*,*,#82295,.F.); #108461=ORIENTED_EDGE('',*,*,#82298,.F.); #108462=ORIENTED_EDGE('',*,*,#82301,.F.); #108463=ORIENTED_EDGE('',*,*,#82304,.F.); #108464=ORIENTED_EDGE('',*,*,#82307,.F.); #108465=ORIENTED_EDGE('',*,*,#82310,.F.); #108466=ORIENTED_EDGE('',*,*,#82313,.F.); #108467=ORIENTED_EDGE('',*,*,#82316,.F.); #108468=ORIENTED_EDGE('',*,*,#82319,.F.); #108469=ORIENTED_EDGE('',*,*,#82322,.F.); #108470=ORIENTED_EDGE('',*,*,#82325,.F.); #108471=ORIENTED_EDGE('',*,*,#82328,.F.); #108472=ORIENTED_EDGE('',*,*,#82331,.F.); #108473=ORIENTED_EDGE('',*,*,#82334,.F.); #108474=ORIENTED_EDGE('',*,*,#82337,.F.); #108475=ORIENTED_EDGE('',*,*,#82340,.F.); #108476=ORIENTED_EDGE('',*,*,#82343,.F.); #108477=ORIENTED_EDGE('',*,*,#82346,.F.); #108478=ORIENTED_EDGE('',*,*,#82349,.F.); #108479=ORIENTED_EDGE('',*,*,#82352,.F.); #108480=ORIENTED_EDGE('',*,*,#82355,.F.); #108481=ORIENTED_EDGE('',*,*,#82358,.F.); #108482=ORIENTED_EDGE('',*,*,#82361,.F.); #108483=ORIENTED_EDGE('',*,*,#82364,.F.); #108484=ORIENTED_EDGE('',*,*,#82367,.F.); #108485=ORIENTED_EDGE('',*,*,#82370,.F.); #108486=ORIENTED_EDGE('',*,*,#82373,.F.); #108487=ORIENTED_EDGE('',*,*,#82376,.F.); #108488=ORIENTED_EDGE('',*,*,#82379,.F.); #108489=ORIENTED_EDGE('',*,*,#82382,.F.); #108490=ORIENTED_EDGE('',*,*,#82385,.F.); #108491=ORIENTED_EDGE('',*,*,#82388,.F.); #108492=ORIENTED_EDGE('',*,*,#82391,.F.); #108493=ORIENTED_EDGE('',*,*,#82394,.F.); #108494=ORIENTED_EDGE('',*,*,#82397,.F.); #108495=ORIENTED_EDGE('',*,*,#82400,.F.); #108496=ORIENTED_EDGE('',*,*,#82403,.F.); #108497=ORIENTED_EDGE('',*,*,#82406,.F.); #108498=ORIENTED_EDGE('',*,*,#82409,.F.); #108499=ORIENTED_EDGE('',*,*,#82412,.F.); #108500=ORIENTED_EDGE('',*,*,#82415,.F.); #108501=ORIENTED_EDGE('',*,*,#82418,.F.); #108502=ORIENTED_EDGE('',*,*,#82421,.F.); #108503=ORIENTED_EDGE('',*,*,#82424,.F.); #108504=ORIENTED_EDGE('',*,*,#82427,.F.); #108505=ORIENTED_EDGE('',*,*,#82430,.F.); #108506=ORIENTED_EDGE('',*,*,#82433,.F.); #108507=ORIENTED_EDGE('',*,*,#82436,.F.); #108508=ORIENTED_EDGE('',*,*,#82439,.F.); #108509=ORIENTED_EDGE('',*,*,#82442,.F.); #108510=ORIENTED_EDGE('',*,*,#82445,.F.); #108511=ORIENTED_EDGE('',*,*,#82448,.F.); #108512=ORIENTED_EDGE('',*,*,#82451,.F.); #108513=ORIENTED_EDGE('',*,*,#82454,.F.); #108514=ORIENTED_EDGE('',*,*,#82457,.F.); #108515=ORIENTED_EDGE('',*,*,#82460,.F.); #108516=ORIENTED_EDGE('',*,*,#82461,.T.); #108517=ORIENTED_EDGE('',*,*,#82462,.T.); #108518=ORIENTED_EDGE('',*,*,#82463,.F.); #108519=ORIENTED_EDGE('',*,*,#82464,.F.); #108520=ORIENTED_EDGE('',*,*,#82465,.T.); #108521=ORIENTED_EDGE('',*,*,#82466,.T.); #108522=ORIENTED_EDGE('',*,*,#82461,.F.); #108523=ORIENTED_EDGE('',*,*,#82467,.F.); #108524=ORIENTED_EDGE('',*,*,#82468,.T.); #108525=ORIENTED_EDGE('',*,*,#82469,.T.); #108526=ORIENTED_EDGE('',*,*,#82465,.F.); #108527=ORIENTED_EDGE('',*,*,#82470,.F.); #108528=ORIENTED_EDGE('',*,*,#82471,.T.); #108529=ORIENTED_EDGE('',*,*,#82472,.T.); #108530=ORIENTED_EDGE('',*,*,#82468,.F.); #108531=ORIENTED_EDGE('',*,*,#82473,.F.); #108532=ORIENTED_EDGE('',*,*,#82474,.T.); #108533=ORIENTED_EDGE('',*,*,#82475,.T.); #108534=ORIENTED_EDGE('',*,*,#82471,.F.); #108535=ORIENTED_EDGE('',*,*,#82476,.F.); #108536=ORIENTED_EDGE('',*,*,#82477,.T.); #108537=ORIENTED_EDGE('',*,*,#82478,.T.); #108538=ORIENTED_EDGE('',*,*,#82474,.F.); #108539=ORIENTED_EDGE('',*,*,#82479,.F.); #108540=ORIENTED_EDGE('',*,*,#82480,.T.); #108541=ORIENTED_EDGE('',*,*,#82481,.T.); #108542=ORIENTED_EDGE('',*,*,#82477,.F.); #108543=ORIENTED_EDGE('',*,*,#82482,.F.); #108544=ORIENTED_EDGE('',*,*,#82483,.T.); #108545=ORIENTED_EDGE('',*,*,#82484,.T.); #108546=ORIENTED_EDGE('',*,*,#82480,.F.); #108547=ORIENTED_EDGE('',*,*,#82485,.F.); #108548=ORIENTED_EDGE('',*,*,#82486,.T.); #108549=ORIENTED_EDGE('',*,*,#82487,.T.); #108550=ORIENTED_EDGE('',*,*,#82483,.F.); #108551=ORIENTED_EDGE('',*,*,#82488,.F.); #108552=ORIENTED_EDGE('',*,*,#82489,.T.); #108553=ORIENTED_EDGE('',*,*,#82490,.T.); #108554=ORIENTED_EDGE('',*,*,#82486,.F.); #108555=ORIENTED_EDGE('',*,*,#82491,.F.); #108556=ORIENTED_EDGE('',*,*,#82492,.T.); #108557=ORIENTED_EDGE('',*,*,#82493,.T.); #108558=ORIENTED_EDGE('',*,*,#82489,.F.); #108559=ORIENTED_EDGE('',*,*,#82494,.F.); #108560=ORIENTED_EDGE('',*,*,#82495,.T.); #108561=ORIENTED_EDGE('',*,*,#82496,.T.); #108562=ORIENTED_EDGE('',*,*,#82492,.F.); #108563=ORIENTED_EDGE('',*,*,#82497,.F.); #108564=ORIENTED_EDGE('',*,*,#82498,.T.); #108565=ORIENTED_EDGE('',*,*,#82499,.T.); #108566=ORIENTED_EDGE('',*,*,#82495,.F.); #108567=ORIENTED_EDGE('',*,*,#82500,.F.); #108568=ORIENTED_EDGE('',*,*,#82501,.T.); #108569=ORIENTED_EDGE('',*,*,#82502,.T.); #108570=ORIENTED_EDGE('',*,*,#82498,.F.); #108571=ORIENTED_EDGE('',*,*,#82503,.F.); #108572=ORIENTED_EDGE('',*,*,#82504,.T.); #108573=ORIENTED_EDGE('',*,*,#82505,.T.); #108574=ORIENTED_EDGE('',*,*,#82501,.F.); #108575=ORIENTED_EDGE('',*,*,#82506,.F.); #108576=ORIENTED_EDGE('',*,*,#82507,.T.); #108577=ORIENTED_EDGE('',*,*,#82508,.T.); #108578=ORIENTED_EDGE('',*,*,#82504,.F.); #108579=ORIENTED_EDGE('',*,*,#82509,.F.); #108580=ORIENTED_EDGE('',*,*,#82510,.T.); #108581=ORIENTED_EDGE('',*,*,#82511,.T.); #108582=ORIENTED_EDGE('',*,*,#82507,.F.); #108583=ORIENTED_EDGE('',*,*,#82512,.F.); #108584=ORIENTED_EDGE('',*,*,#82513,.T.); #108585=ORIENTED_EDGE('',*,*,#82514,.T.); #108586=ORIENTED_EDGE('',*,*,#82510,.F.); #108587=ORIENTED_EDGE('',*,*,#82515,.F.); #108588=ORIENTED_EDGE('',*,*,#82516,.T.); #108589=ORIENTED_EDGE('',*,*,#82517,.T.); #108590=ORIENTED_EDGE('',*,*,#82513,.F.); #108591=ORIENTED_EDGE('',*,*,#82518,.F.); #108592=ORIENTED_EDGE('',*,*,#82519,.T.); #108593=ORIENTED_EDGE('',*,*,#82520,.T.); #108594=ORIENTED_EDGE('',*,*,#82516,.F.); #108595=ORIENTED_EDGE('',*,*,#82521,.F.); #108596=ORIENTED_EDGE('',*,*,#82522,.T.); #108597=ORIENTED_EDGE('',*,*,#82523,.T.); #108598=ORIENTED_EDGE('',*,*,#82519,.F.); #108599=ORIENTED_EDGE('',*,*,#82524,.F.); #108600=ORIENTED_EDGE('',*,*,#82525,.T.); #108601=ORIENTED_EDGE('',*,*,#82526,.T.); #108602=ORIENTED_EDGE('',*,*,#82522,.F.); #108603=ORIENTED_EDGE('',*,*,#82527,.F.); #108604=ORIENTED_EDGE('',*,*,#82528,.T.); #108605=ORIENTED_EDGE('',*,*,#82529,.T.); #108606=ORIENTED_EDGE('',*,*,#82525,.F.); #108607=ORIENTED_EDGE('',*,*,#82530,.F.); #108608=ORIENTED_EDGE('',*,*,#82531,.T.); #108609=ORIENTED_EDGE('',*,*,#82532,.T.); #108610=ORIENTED_EDGE('',*,*,#82528,.F.); #108611=ORIENTED_EDGE('',*,*,#82533,.F.); #108612=ORIENTED_EDGE('',*,*,#82534,.T.); #108613=ORIENTED_EDGE('',*,*,#82535,.T.); #108614=ORIENTED_EDGE('',*,*,#82531,.F.); #108615=ORIENTED_EDGE('',*,*,#82536,.F.); #108616=ORIENTED_EDGE('',*,*,#82537,.T.); #108617=ORIENTED_EDGE('',*,*,#82538,.T.); #108618=ORIENTED_EDGE('',*,*,#82534,.F.); #108619=ORIENTED_EDGE('',*,*,#82539,.F.); #108620=ORIENTED_EDGE('',*,*,#82540,.T.); #108621=ORIENTED_EDGE('',*,*,#82541,.T.); #108622=ORIENTED_EDGE('',*,*,#82537,.F.); #108623=ORIENTED_EDGE('',*,*,#82542,.F.); #108624=ORIENTED_EDGE('',*,*,#82543,.T.); #108625=ORIENTED_EDGE('',*,*,#82544,.T.); #108626=ORIENTED_EDGE('',*,*,#82540,.F.); #108627=ORIENTED_EDGE('',*,*,#82545,.F.); #108628=ORIENTED_EDGE('',*,*,#82546,.T.); #108629=ORIENTED_EDGE('',*,*,#82547,.T.); #108630=ORIENTED_EDGE('',*,*,#82543,.F.); #108631=ORIENTED_EDGE('',*,*,#82548,.F.); #108632=ORIENTED_EDGE('',*,*,#82549,.T.); #108633=ORIENTED_EDGE('',*,*,#82550,.T.); #108634=ORIENTED_EDGE('',*,*,#82546,.F.); #108635=ORIENTED_EDGE('',*,*,#82551,.F.); #108636=ORIENTED_EDGE('',*,*,#82552,.T.); #108637=ORIENTED_EDGE('',*,*,#82553,.T.); #108638=ORIENTED_EDGE('',*,*,#82549,.F.); #108639=ORIENTED_EDGE('',*,*,#82554,.F.); #108640=ORIENTED_EDGE('',*,*,#82555,.T.); #108641=ORIENTED_EDGE('',*,*,#82556,.T.); #108642=ORIENTED_EDGE('',*,*,#82552,.F.); #108643=ORIENTED_EDGE('',*,*,#82557,.F.); #108644=ORIENTED_EDGE('',*,*,#82558,.T.); #108645=ORIENTED_EDGE('',*,*,#82559,.T.); #108646=ORIENTED_EDGE('',*,*,#82555,.F.); #108647=ORIENTED_EDGE('',*,*,#82560,.F.); #108648=ORIENTED_EDGE('',*,*,#82561,.T.); #108649=ORIENTED_EDGE('',*,*,#82562,.T.); #108650=ORIENTED_EDGE('',*,*,#82558,.F.); #108651=ORIENTED_EDGE('',*,*,#82563,.F.); #108652=ORIENTED_EDGE('',*,*,#82564,.T.); #108653=ORIENTED_EDGE('',*,*,#82565,.T.); #108654=ORIENTED_EDGE('',*,*,#82561,.F.); #108655=ORIENTED_EDGE('',*,*,#82566,.F.); #108656=ORIENTED_EDGE('',*,*,#82567,.T.); #108657=ORIENTED_EDGE('',*,*,#82568,.T.); #108658=ORIENTED_EDGE('',*,*,#82564,.F.); #108659=ORIENTED_EDGE('',*,*,#82569,.F.); #108660=ORIENTED_EDGE('',*,*,#82570,.T.); #108661=ORIENTED_EDGE('',*,*,#82571,.T.); #108662=ORIENTED_EDGE('',*,*,#82567,.F.); #108663=ORIENTED_EDGE('',*,*,#82572,.F.); #108664=ORIENTED_EDGE('',*,*,#82573,.T.); #108665=ORIENTED_EDGE('',*,*,#82574,.T.); #108666=ORIENTED_EDGE('',*,*,#82570,.F.); #108667=ORIENTED_EDGE('',*,*,#82575,.F.); #108668=ORIENTED_EDGE('',*,*,#82576,.T.); #108669=ORIENTED_EDGE('',*,*,#82577,.T.); #108670=ORIENTED_EDGE('',*,*,#82573,.F.); #108671=ORIENTED_EDGE('',*,*,#82578,.F.); #108672=ORIENTED_EDGE('',*,*,#82579,.T.); #108673=ORIENTED_EDGE('',*,*,#82580,.T.); #108674=ORIENTED_EDGE('',*,*,#82576,.F.); #108675=ORIENTED_EDGE('',*,*,#82581,.F.); #108676=ORIENTED_EDGE('',*,*,#82582,.T.); #108677=ORIENTED_EDGE('',*,*,#82583,.T.); #108678=ORIENTED_EDGE('',*,*,#82579,.F.); #108679=ORIENTED_EDGE('',*,*,#82584,.F.); #108680=ORIENTED_EDGE('',*,*,#82585,.T.); #108681=ORIENTED_EDGE('',*,*,#82586,.T.); #108682=ORIENTED_EDGE('',*,*,#82582,.F.); #108683=ORIENTED_EDGE('',*,*,#82587,.F.); #108684=ORIENTED_EDGE('',*,*,#82588,.T.); #108685=ORIENTED_EDGE('',*,*,#82589,.T.); #108686=ORIENTED_EDGE('',*,*,#82585,.F.); #108687=ORIENTED_EDGE('',*,*,#82590,.F.); #108688=ORIENTED_EDGE('',*,*,#82591,.T.); #108689=ORIENTED_EDGE('',*,*,#82592,.T.); #108690=ORIENTED_EDGE('',*,*,#82588,.F.); #108691=ORIENTED_EDGE('',*,*,#82593,.F.); #108692=ORIENTED_EDGE('',*,*,#82594,.T.); #108693=ORIENTED_EDGE('',*,*,#82595,.T.); #108694=ORIENTED_EDGE('',*,*,#82591,.F.); #108695=ORIENTED_EDGE('',*,*,#82596,.F.); #108696=ORIENTED_EDGE('',*,*,#82597,.T.); #108697=ORIENTED_EDGE('',*,*,#82598,.T.); #108698=ORIENTED_EDGE('',*,*,#82594,.F.); #108699=ORIENTED_EDGE('',*,*,#82599,.F.); #108700=ORIENTED_EDGE('',*,*,#82600,.T.); #108701=ORIENTED_EDGE('',*,*,#82601,.T.); #108702=ORIENTED_EDGE('',*,*,#82597,.F.); #108703=ORIENTED_EDGE('',*,*,#82602,.F.); #108704=ORIENTED_EDGE('',*,*,#82603,.T.); #108705=ORIENTED_EDGE('',*,*,#82604,.T.); #108706=ORIENTED_EDGE('',*,*,#82600,.F.); #108707=ORIENTED_EDGE('',*,*,#82605,.F.); #108708=ORIENTED_EDGE('',*,*,#82606,.T.); #108709=ORIENTED_EDGE('',*,*,#82607,.T.); #108710=ORIENTED_EDGE('',*,*,#82603,.F.); #108711=ORIENTED_EDGE('',*,*,#82608,.F.); #108712=ORIENTED_EDGE('',*,*,#82609,.T.); #108713=ORIENTED_EDGE('',*,*,#82610,.T.); #108714=ORIENTED_EDGE('',*,*,#82606,.F.); #108715=ORIENTED_EDGE('',*,*,#82611,.F.); #108716=ORIENTED_EDGE('',*,*,#82612,.T.); #108717=ORIENTED_EDGE('',*,*,#82613,.T.); #108718=ORIENTED_EDGE('',*,*,#82609,.F.); #108719=ORIENTED_EDGE('',*,*,#82614,.F.); #108720=ORIENTED_EDGE('',*,*,#82615,.T.); #108721=ORIENTED_EDGE('',*,*,#82616,.T.); #108722=ORIENTED_EDGE('',*,*,#82612,.F.); #108723=ORIENTED_EDGE('',*,*,#82617,.F.); #108724=ORIENTED_EDGE('',*,*,#82618,.T.); #108725=ORIENTED_EDGE('',*,*,#82619,.T.); #108726=ORIENTED_EDGE('',*,*,#82615,.F.); #108727=ORIENTED_EDGE('',*,*,#82620,.F.); #108728=ORIENTED_EDGE('',*,*,#82621,.T.); #108729=ORIENTED_EDGE('',*,*,#82622,.T.); #108730=ORIENTED_EDGE('',*,*,#82618,.F.); #108731=ORIENTED_EDGE('',*,*,#82623,.F.); #108732=ORIENTED_EDGE('',*,*,#82624,.T.); #108733=ORIENTED_EDGE('',*,*,#82625,.T.); #108734=ORIENTED_EDGE('',*,*,#82621,.F.); #108735=ORIENTED_EDGE('',*,*,#82626,.F.); #108736=ORIENTED_EDGE('',*,*,#82627,.T.); #108737=ORIENTED_EDGE('',*,*,#82628,.T.); #108738=ORIENTED_EDGE('',*,*,#82624,.F.); #108739=ORIENTED_EDGE('',*,*,#82629,.F.); #108740=ORIENTED_EDGE('',*,*,#82630,.T.); #108741=ORIENTED_EDGE('',*,*,#82631,.T.); #108742=ORIENTED_EDGE('',*,*,#82627,.F.); #108743=ORIENTED_EDGE('',*,*,#82632,.F.); #108744=ORIENTED_EDGE('',*,*,#82633,.T.); #108745=ORIENTED_EDGE('',*,*,#82634,.T.); #108746=ORIENTED_EDGE('',*,*,#82630,.F.); #108747=ORIENTED_EDGE('',*,*,#82635,.F.); #108748=ORIENTED_EDGE('',*,*,#82636,.T.); #108749=ORIENTED_EDGE('',*,*,#82637,.T.); #108750=ORIENTED_EDGE('',*,*,#82633,.F.); #108751=ORIENTED_EDGE('',*,*,#82638,.F.); #108752=ORIENTED_EDGE('',*,*,#82639,.T.); #108753=ORIENTED_EDGE('',*,*,#82640,.T.); #108754=ORIENTED_EDGE('',*,*,#82636,.F.); #108755=ORIENTED_EDGE('',*,*,#82641,.F.); #108756=ORIENTED_EDGE('',*,*,#82642,.T.); #108757=ORIENTED_EDGE('',*,*,#82643,.T.); #108758=ORIENTED_EDGE('',*,*,#82639,.F.); #108759=ORIENTED_EDGE('',*,*,#82644,.F.); #108760=ORIENTED_EDGE('',*,*,#82645,.T.); #108761=ORIENTED_EDGE('',*,*,#82646,.T.); #108762=ORIENTED_EDGE('',*,*,#82642,.F.); #108763=ORIENTED_EDGE('',*,*,#82647,.F.); #108764=ORIENTED_EDGE('',*,*,#82648,.T.); #108765=ORIENTED_EDGE('',*,*,#82649,.T.); #108766=ORIENTED_EDGE('',*,*,#82645,.F.); #108767=ORIENTED_EDGE('',*,*,#82650,.F.); #108768=ORIENTED_EDGE('',*,*,#82651,.T.); #108769=ORIENTED_EDGE('',*,*,#82652,.T.); #108770=ORIENTED_EDGE('',*,*,#82648,.F.); #108771=ORIENTED_EDGE('',*,*,#82653,.F.); #108772=ORIENTED_EDGE('',*,*,#82654,.T.); #108773=ORIENTED_EDGE('',*,*,#82655,.T.); #108774=ORIENTED_EDGE('',*,*,#82651,.F.); #108775=ORIENTED_EDGE('',*,*,#82656,.F.); #108776=ORIENTED_EDGE('',*,*,#82657,.T.); #108777=ORIENTED_EDGE('',*,*,#82658,.T.); #108778=ORIENTED_EDGE('',*,*,#82654,.F.); #108779=ORIENTED_EDGE('',*,*,#82659,.F.); #108780=ORIENTED_EDGE('',*,*,#82660,.T.); #108781=ORIENTED_EDGE('',*,*,#82661,.T.); #108782=ORIENTED_EDGE('',*,*,#82657,.F.); #108783=ORIENTED_EDGE('',*,*,#82662,.F.); #108784=ORIENTED_EDGE('',*,*,#82663,.T.); #108785=ORIENTED_EDGE('',*,*,#82664,.T.); #108786=ORIENTED_EDGE('',*,*,#82660,.F.); #108787=ORIENTED_EDGE('',*,*,#82665,.F.); #108788=ORIENTED_EDGE('',*,*,#82666,.T.); #108789=ORIENTED_EDGE('',*,*,#82667,.T.); #108790=ORIENTED_EDGE('',*,*,#82663,.F.); #108791=ORIENTED_EDGE('',*,*,#82668,.F.); #108792=ORIENTED_EDGE('',*,*,#82669,.T.); #108793=ORIENTED_EDGE('',*,*,#82670,.T.); #108794=ORIENTED_EDGE('',*,*,#82666,.F.); #108795=ORIENTED_EDGE('',*,*,#82671,.F.); #108796=ORIENTED_EDGE('',*,*,#82672,.T.); #108797=ORIENTED_EDGE('',*,*,#82673,.T.); #108798=ORIENTED_EDGE('',*,*,#82669,.F.); #108799=ORIENTED_EDGE('',*,*,#82674,.F.); #108800=ORIENTED_EDGE('',*,*,#82675,.T.); #108801=ORIENTED_EDGE('',*,*,#82676,.T.); #108802=ORIENTED_EDGE('',*,*,#82672,.F.); #108803=ORIENTED_EDGE('',*,*,#82677,.F.); #108804=ORIENTED_EDGE('',*,*,#82678,.T.); #108805=ORIENTED_EDGE('',*,*,#82679,.T.); #108806=ORIENTED_EDGE('',*,*,#82675,.F.); #108807=ORIENTED_EDGE('',*,*,#82680,.F.); #108808=ORIENTED_EDGE('',*,*,#82681,.T.); #108809=ORIENTED_EDGE('',*,*,#82682,.T.); #108810=ORIENTED_EDGE('',*,*,#82678,.F.); #108811=ORIENTED_EDGE('',*,*,#82683,.F.); #108812=ORIENTED_EDGE('',*,*,#82684,.T.); #108813=ORIENTED_EDGE('',*,*,#82685,.T.); #108814=ORIENTED_EDGE('',*,*,#82681,.F.); #108815=ORIENTED_EDGE('',*,*,#82686,.F.); #108816=ORIENTED_EDGE('',*,*,#82687,.T.); #108817=ORIENTED_EDGE('',*,*,#82688,.T.); #108818=ORIENTED_EDGE('',*,*,#82684,.F.); #108819=ORIENTED_EDGE('',*,*,#82689,.F.); #108820=ORIENTED_EDGE('',*,*,#82690,.T.); #108821=ORIENTED_EDGE('',*,*,#82691,.T.); #108822=ORIENTED_EDGE('',*,*,#82687,.F.); #108823=ORIENTED_EDGE('',*,*,#82692,.F.); #108824=ORIENTED_EDGE('',*,*,#82693,.T.); #108825=ORIENTED_EDGE('',*,*,#82694,.T.); #108826=ORIENTED_EDGE('',*,*,#82690,.F.); #108827=ORIENTED_EDGE('',*,*,#82695,.F.); #108828=ORIENTED_EDGE('',*,*,#82696,.T.); #108829=ORIENTED_EDGE('',*,*,#82697,.T.); #108830=ORIENTED_EDGE('',*,*,#82693,.F.); #108831=ORIENTED_EDGE('',*,*,#82698,.F.); #108832=ORIENTED_EDGE('',*,*,#82699,.T.); #108833=ORIENTED_EDGE('',*,*,#82700,.T.); #108834=ORIENTED_EDGE('',*,*,#82696,.F.); #108835=ORIENTED_EDGE('',*,*,#82701,.F.); #108836=ORIENTED_EDGE('',*,*,#82702,.T.); #108837=ORIENTED_EDGE('',*,*,#82703,.T.); #108838=ORIENTED_EDGE('',*,*,#82699,.F.); #108839=ORIENTED_EDGE('',*,*,#82704,.F.); #108840=ORIENTED_EDGE('',*,*,#82705,.T.); #108841=ORIENTED_EDGE('',*,*,#82706,.T.); #108842=ORIENTED_EDGE('',*,*,#82702,.F.); #108843=ORIENTED_EDGE('',*,*,#82707,.F.); #108844=ORIENTED_EDGE('',*,*,#82708,.T.); #108845=ORIENTED_EDGE('',*,*,#82709,.T.); #108846=ORIENTED_EDGE('',*,*,#82705,.F.); #108847=ORIENTED_EDGE('',*,*,#82710,.F.); #108848=ORIENTED_EDGE('',*,*,#82711,.T.); #108849=ORIENTED_EDGE('',*,*,#82712,.T.); #108850=ORIENTED_EDGE('',*,*,#82708,.F.); #108851=ORIENTED_EDGE('',*,*,#82713,.F.); #108852=ORIENTED_EDGE('',*,*,#82714,.T.); #108853=ORIENTED_EDGE('',*,*,#82715,.T.); #108854=ORIENTED_EDGE('',*,*,#82711,.F.); #108855=ORIENTED_EDGE('',*,*,#82716,.F.); #108856=ORIENTED_EDGE('',*,*,#82717,.T.); #108857=ORIENTED_EDGE('',*,*,#82718,.T.); #108858=ORIENTED_EDGE('',*,*,#82714,.F.); #108859=ORIENTED_EDGE('',*,*,#82719,.F.); #108860=ORIENTED_EDGE('',*,*,#82720,.T.); #108861=ORIENTED_EDGE('',*,*,#82721,.T.); #108862=ORIENTED_EDGE('',*,*,#82717,.F.); #108863=ORIENTED_EDGE('',*,*,#82722,.F.); #108864=ORIENTED_EDGE('',*,*,#82723,.T.); #108865=ORIENTED_EDGE('',*,*,#82724,.T.); #108866=ORIENTED_EDGE('',*,*,#82720,.F.); #108867=ORIENTED_EDGE('',*,*,#82725,.F.); #108868=ORIENTED_EDGE('',*,*,#82726,.T.); #108869=ORIENTED_EDGE('',*,*,#82727,.T.); #108870=ORIENTED_EDGE('',*,*,#82723,.F.); #108871=ORIENTED_EDGE('',*,*,#82728,.F.); #108872=ORIENTED_EDGE('',*,*,#82729,.T.); #108873=ORIENTED_EDGE('',*,*,#82730,.T.); #108874=ORIENTED_EDGE('',*,*,#82726,.F.); #108875=ORIENTED_EDGE('',*,*,#82731,.F.); #108876=ORIENTED_EDGE('',*,*,#82732,.T.); #108877=ORIENTED_EDGE('',*,*,#82733,.T.); #108878=ORIENTED_EDGE('',*,*,#82729,.F.); #108879=ORIENTED_EDGE('',*,*,#82734,.F.); #108880=ORIENTED_EDGE('',*,*,#82735,.T.); #108881=ORIENTED_EDGE('',*,*,#82736,.T.); #108882=ORIENTED_EDGE('',*,*,#82732,.F.); #108883=ORIENTED_EDGE('',*,*,#82737,.F.); #108884=ORIENTED_EDGE('',*,*,#82738,.T.); #108885=ORIENTED_EDGE('',*,*,#82739,.T.); #108886=ORIENTED_EDGE('',*,*,#82735,.F.); #108887=ORIENTED_EDGE('',*,*,#82740,.F.); #108888=ORIENTED_EDGE('',*,*,#82741,.T.); #108889=ORIENTED_EDGE('',*,*,#82742,.T.); #108890=ORIENTED_EDGE('',*,*,#82738,.F.); #108891=ORIENTED_EDGE('',*,*,#82743,.F.); #108892=ORIENTED_EDGE('',*,*,#82744,.T.); #108893=ORIENTED_EDGE('',*,*,#82745,.T.); #108894=ORIENTED_EDGE('',*,*,#82741,.F.); #108895=ORIENTED_EDGE('',*,*,#82746,.F.); #108896=ORIENTED_EDGE('',*,*,#82747,.T.); #108897=ORIENTED_EDGE('',*,*,#82748,.T.); #108898=ORIENTED_EDGE('',*,*,#82744,.F.); #108899=ORIENTED_EDGE('',*,*,#82749,.F.); #108900=ORIENTED_EDGE('',*,*,#82750,.T.); #108901=ORIENTED_EDGE('',*,*,#82751,.T.); #108902=ORIENTED_EDGE('',*,*,#82747,.F.); #108903=ORIENTED_EDGE('',*,*,#82752,.F.); #108904=ORIENTED_EDGE('',*,*,#82753,.T.); #108905=ORIENTED_EDGE('',*,*,#82754,.T.); #108906=ORIENTED_EDGE('',*,*,#82750,.F.); #108907=ORIENTED_EDGE('',*,*,#82755,.F.); #108908=ORIENTED_EDGE('',*,*,#82756,.T.); #108909=ORIENTED_EDGE('',*,*,#82757,.T.); #108910=ORIENTED_EDGE('',*,*,#82753,.F.); #108911=ORIENTED_EDGE('',*,*,#82758,.F.); #108912=ORIENTED_EDGE('',*,*,#82759,.T.); #108913=ORIENTED_EDGE('',*,*,#82760,.T.); #108914=ORIENTED_EDGE('',*,*,#82756,.F.); #108915=ORIENTED_EDGE('',*,*,#82761,.F.); #108916=ORIENTED_EDGE('',*,*,#82762,.T.); #108917=ORIENTED_EDGE('',*,*,#82763,.T.); #108918=ORIENTED_EDGE('',*,*,#82759,.F.); #108919=ORIENTED_EDGE('',*,*,#82764,.F.); #108920=ORIENTED_EDGE('',*,*,#82765,.T.); #108921=ORIENTED_EDGE('',*,*,#82766,.T.); #108922=ORIENTED_EDGE('',*,*,#82762,.F.); #108923=ORIENTED_EDGE('',*,*,#82767,.F.); #108924=ORIENTED_EDGE('',*,*,#82768,.T.); #108925=ORIENTED_EDGE('',*,*,#82769,.T.); #108926=ORIENTED_EDGE('',*,*,#82765,.F.); #108927=ORIENTED_EDGE('',*,*,#82770,.F.); #108928=ORIENTED_EDGE('',*,*,#82771,.T.); #108929=ORIENTED_EDGE('',*,*,#82772,.T.); #108930=ORIENTED_EDGE('',*,*,#82768,.F.); #108931=ORIENTED_EDGE('',*,*,#82773,.F.); #108932=ORIENTED_EDGE('',*,*,#82774,.T.); #108933=ORIENTED_EDGE('',*,*,#82775,.T.); #108934=ORIENTED_EDGE('',*,*,#82771,.F.); #108935=ORIENTED_EDGE('',*,*,#82776,.F.); #108936=ORIENTED_EDGE('',*,*,#82777,.T.); #108937=ORIENTED_EDGE('',*,*,#82778,.T.); #108938=ORIENTED_EDGE('',*,*,#82774,.F.); #108939=ORIENTED_EDGE('',*,*,#82779,.F.); #108940=ORIENTED_EDGE('',*,*,#82780,.T.); #108941=ORIENTED_EDGE('',*,*,#82781,.T.); #108942=ORIENTED_EDGE('',*,*,#82777,.F.); #108943=ORIENTED_EDGE('',*,*,#82782,.F.); #108944=ORIENTED_EDGE('',*,*,#82783,.T.); #108945=ORIENTED_EDGE('',*,*,#82784,.T.); #108946=ORIENTED_EDGE('',*,*,#82780,.F.); #108947=ORIENTED_EDGE('',*,*,#82785,.F.); #108948=ORIENTED_EDGE('',*,*,#82786,.T.); #108949=ORIENTED_EDGE('',*,*,#82787,.T.); #108950=ORIENTED_EDGE('',*,*,#82783,.F.); #108951=ORIENTED_EDGE('',*,*,#82788,.F.); #108952=ORIENTED_EDGE('',*,*,#82789,.T.); #108953=ORIENTED_EDGE('',*,*,#82790,.T.); #108954=ORIENTED_EDGE('',*,*,#82786,.F.); #108955=ORIENTED_EDGE('',*,*,#82791,.F.); #108956=ORIENTED_EDGE('',*,*,#82792,.T.); #108957=ORIENTED_EDGE('',*,*,#82793,.T.); #108958=ORIENTED_EDGE('',*,*,#82789,.F.); #108959=ORIENTED_EDGE('',*,*,#82794,.F.); #108960=ORIENTED_EDGE('',*,*,#82795,.T.); #108961=ORIENTED_EDGE('',*,*,#82796,.T.); #108962=ORIENTED_EDGE('',*,*,#82792,.F.); #108963=ORIENTED_EDGE('',*,*,#82797,.F.); #108964=ORIENTED_EDGE('',*,*,#82798,.T.); #108965=ORIENTED_EDGE('',*,*,#82799,.T.); #108966=ORIENTED_EDGE('',*,*,#82795,.F.); #108967=ORIENTED_EDGE('',*,*,#82800,.F.); #108968=ORIENTED_EDGE('',*,*,#82801,.T.); #108969=ORIENTED_EDGE('',*,*,#82802,.T.); #108970=ORIENTED_EDGE('',*,*,#82798,.F.); #108971=ORIENTED_EDGE('',*,*,#82803,.F.); #108972=ORIENTED_EDGE('',*,*,#82804,.T.); #108973=ORIENTED_EDGE('',*,*,#82805,.T.); #108974=ORIENTED_EDGE('',*,*,#82801,.F.); #108975=ORIENTED_EDGE('',*,*,#82806,.F.); #108976=ORIENTED_EDGE('',*,*,#82807,.T.); #108977=ORIENTED_EDGE('',*,*,#82808,.T.); #108978=ORIENTED_EDGE('',*,*,#82804,.F.); #108979=ORIENTED_EDGE('',*,*,#82809,.F.); #108980=ORIENTED_EDGE('',*,*,#82810,.T.); #108981=ORIENTED_EDGE('',*,*,#82811,.T.); #108982=ORIENTED_EDGE('',*,*,#82807,.F.); #108983=ORIENTED_EDGE('',*,*,#82812,.F.); #108984=ORIENTED_EDGE('',*,*,#82813,.T.); #108985=ORIENTED_EDGE('',*,*,#82814,.T.); #108986=ORIENTED_EDGE('',*,*,#82810,.F.); #108987=ORIENTED_EDGE('',*,*,#82815,.F.); #108988=ORIENTED_EDGE('',*,*,#82816,.T.); #108989=ORIENTED_EDGE('',*,*,#82817,.T.); #108990=ORIENTED_EDGE('',*,*,#82813,.F.); #108991=ORIENTED_EDGE('',*,*,#82818,.F.); #108992=ORIENTED_EDGE('',*,*,#82819,.T.); #108993=ORIENTED_EDGE('',*,*,#82820,.T.); #108994=ORIENTED_EDGE('',*,*,#82816,.F.); #108995=ORIENTED_EDGE('',*,*,#82821,.F.); #108996=ORIENTED_EDGE('',*,*,#82822,.T.); #108997=ORIENTED_EDGE('',*,*,#82823,.T.); #108998=ORIENTED_EDGE('',*,*,#82819,.F.); #108999=ORIENTED_EDGE('',*,*,#82824,.F.); #109000=ORIENTED_EDGE('',*,*,#82825,.T.); #109001=ORIENTED_EDGE('',*,*,#82826,.T.); #109002=ORIENTED_EDGE('',*,*,#82822,.F.); #109003=ORIENTED_EDGE('',*,*,#82827,.F.); #109004=ORIENTED_EDGE('',*,*,#82828,.T.); #109005=ORIENTED_EDGE('',*,*,#82829,.T.); #109006=ORIENTED_EDGE('',*,*,#82825,.F.); #109007=ORIENTED_EDGE('',*,*,#82830,.F.); #109008=ORIENTED_EDGE('',*,*,#82831,.T.); #109009=ORIENTED_EDGE('',*,*,#82832,.T.); #109010=ORIENTED_EDGE('',*,*,#82828,.F.); #109011=ORIENTED_EDGE('',*,*,#82833,.F.); #109012=ORIENTED_EDGE('',*,*,#82834,.T.); #109013=ORIENTED_EDGE('',*,*,#82835,.T.); #109014=ORIENTED_EDGE('',*,*,#82831,.F.); #109015=ORIENTED_EDGE('',*,*,#82836,.F.); #109016=ORIENTED_EDGE('',*,*,#82837,.T.); #109017=ORIENTED_EDGE('',*,*,#82838,.T.); #109018=ORIENTED_EDGE('',*,*,#82834,.F.); #109019=ORIENTED_EDGE('',*,*,#82839,.F.); #109020=ORIENTED_EDGE('',*,*,#82840,.T.); #109021=ORIENTED_EDGE('',*,*,#82841,.T.); #109022=ORIENTED_EDGE('',*,*,#82837,.F.); #109023=ORIENTED_EDGE('',*,*,#82842,.F.); #109024=ORIENTED_EDGE('',*,*,#82843,.T.); #109025=ORIENTED_EDGE('',*,*,#82844,.T.); #109026=ORIENTED_EDGE('',*,*,#82840,.F.); #109027=ORIENTED_EDGE('',*,*,#82845,.F.); #109028=ORIENTED_EDGE('',*,*,#82846,.T.); #109029=ORIENTED_EDGE('',*,*,#82847,.T.); #109030=ORIENTED_EDGE('',*,*,#82843,.F.); #109031=ORIENTED_EDGE('',*,*,#82848,.F.); #109032=ORIENTED_EDGE('',*,*,#82849,.T.); #109033=ORIENTED_EDGE('',*,*,#82850,.T.); #109034=ORIENTED_EDGE('',*,*,#82846,.F.); #109035=ORIENTED_EDGE('',*,*,#82851,.F.); #109036=ORIENTED_EDGE('',*,*,#82852,.T.); #109037=ORIENTED_EDGE('',*,*,#82853,.T.); #109038=ORIENTED_EDGE('',*,*,#82849,.F.); #109039=ORIENTED_EDGE('',*,*,#82854,.F.); #109040=ORIENTED_EDGE('',*,*,#82855,.T.); #109041=ORIENTED_EDGE('',*,*,#82856,.T.); #109042=ORIENTED_EDGE('',*,*,#82852,.F.); #109043=ORIENTED_EDGE('',*,*,#82857,.F.); #109044=ORIENTED_EDGE('',*,*,#82858,.T.); #109045=ORIENTED_EDGE('',*,*,#82859,.T.); #109046=ORIENTED_EDGE('',*,*,#82855,.F.); #109047=ORIENTED_EDGE('',*,*,#82860,.F.); #109048=ORIENTED_EDGE('',*,*,#82861,.T.); #109049=ORIENTED_EDGE('',*,*,#82862,.T.); #109050=ORIENTED_EDGE('',*,*,#82858,.F.); #109051=ORIENTED_EDGE('',*,*,#82863,.F.); #109052=ORIENTED_EDGE('',*,*,#82864,.T.); #109053=ORIENTED_EDGE('',*,*,#82865,.T.); #109054=ORIENTED_EDGE('',*,*,#82861,.F.); #109055=ORIENTED_EDGE('',*,*,#82866,.F.); #109056=ORIENTED_EDGE('',*,*,#82867,.T.); #109057=ORIENTED_EDGE('',*,*,#82868,.T.); #109058=ORIENTED_EDGE('',*,*,#82864,.F.); #109059=ORIENTED_EDGE('',*,*,#82869,.F.); #109060=ORIENTED_EDGE('',*,*,#82870,.T.); #109061=ORIENTED_EDGE('',*,*,#82871,.T.); #109062=ORIENTED_EDGE('',*,*,#82867,.F.); #109063=ORIENTED_EDGE('',*,*,#82872,.F.); #109064=ORIENTED_EDGE('',*,*,#82873,.T.); #109065=ORIENTED_EDGE('',*,*,#82874,.T.); #109066=ORIENTED_EDGE('',*,*,#82870,.F.); #109067=ORIENTED_EDGE('',*,*,#82875,.F.); #109068=ORIENTED_EDGE('',*,*,#82876,.T.); #109069=ORIENTED_EDGE('',*,*,#82877,.T.); #109070=ORIENTED_EDGE('',*,*,#82873,.F.); #109071=ORIENTED_EDGE('',*,*,#82878,.F.); #109072=ORIENTED_EDGE('',*,*,#82879,.T.); #109073=ORIENTED_EDGE('',*,*,#82880,.T.); #109074=ORIENTED_EDGE('',*,*,#82876,.F.); #109075=ORIENTED_EDGE('',*,*,#82881,.F.); #109076=ORIENTED_EDGE('',*,*,#82882,.T.); #109077=ORIENTED_EDGE('',*,*,#82883,.T.); #109078=ORIENTED_EDGE('',*,*,#82879,.F.); #109079=ORIENTED_EDGE('',*,*,#82884,.F.); #109080=ORIENTED_EDGE('',*,*,#82885,.T.); #109081=ORIENTED_EDGE('',*,*,#82886,.T.); #109082=ORIENTED_EDGE('',*,*,#82882,.F.); #109083=ORIENTED_EDGE('',*,*,#82887,.F.); #109084=ORIENTED_EDGE('',*,*,#82888,.T.); #109085=ORIENTED_EDGE('',*,*,#82889,.T.); #109086=ORIENTED_EDGE('',*,*,#82885,.F.); #109087=ORIENTED_EDGE('',*,*,#82890,.F.); #109088=ORIENTED_EDGE('',*,*,#82891,.T.); #109089=ORIENTED_EDGE('',*,*,#82892,.T.); #109090=ORIENTED_EDGE('',*,*,#82888,.F.); #109091=ORIENTED_EDGE('',*,*,#82893,.F.); #109092=ORIENTED_EDGE('',*,*,#82894,.T.); #109093=ORIENTED_EDGE('',*,*,#82895,.T.); #109094=ORIENTED_EDGE('',*,*,#82891,.F.); #109095=ORIENTED_EDGE('',*,*,#82896,.F.); #109096=ORIENTED_EDGE('',*,*,#82897,.T.); #109097=ORIENTED_EDGE('',*,*,#82898,.T.); #109098=ORIENTED_EDGE('',*,*,#82894,.F.); #109099=ORIENTED_EDGE('',*,*,#82899,.F.); #109100=ORIENTED_EDGE('',*,*,#82900,.T.); #109101=ORIENTED_EDGE('',*,*,#82901,.T.); #109102=ORIENTED_EDGE('',*,*,#82897,.F.); #109103=ORIENTED_EDGE('',*,*,#82902,.F.); #109104=ORIENTED_EDGE('',*,*,#82903,.T.); #109105=ORIENTED_EDGE('',*,*,#82904,.T.); #109106=ORIENTED_EDGE('',*,*,#82900,.F.); #109107=ORIENTED_EDGE('',*,*,#82905,.F.); #109108=ORIENTED_EDGE('',*,*,#82906,.T.); #109109=ORIENTED_EDGE('',*,*,#82907,.T.); #109110=ORIENTED_EDGE('',*,*,#82903,.F.); #109111=ORIENTED_EDGE('',*,*,#82908,.F.); #109112=ORIENTED_EDGE('',*,*,#82909,.T.); #109113=ORIENTED_EDGE('',*,*,#82910,.T.); #109114=ORIENTED_EDGE('',*,*,#82906,.F.); #109115=ORIENTED_EDGE('',*,*,#82911,.F.); #109116=ORIENTED_EDGE('',*,*,#82912,.T.); #109117=ORIENTED_EDGE('',*,*,#82913,.T.); #109118=ORIENTED_EDGE('',*,*,#82909,.F.); #109119=ORIENTED_EDGE('',*,*,#82914,.F.); #109120=ORIENTED_EDGE('',*,*,#82915,.T.); #109121=ORIENTED_EDGE('',*,*,#82916,.T.); #109122=ORIENTED_EDGE('',*,*,#82912,.F.); #109123=ORIENTED_EDGE('',*,*,#82917,.F.); #109124=ORIENTED_EDGE('',*,*,#82918,.T.); #109125=ORIENTED_EDGE('',*,*,#82919,.T.); #109126=ORIENTED_EDGE('',*,*,#82915,.F.); #109127=ORIENTED_EDGE('',*,*,#82920,.F.); #109128=ORIENTED_EDGE('',*,*,#82921,.T.); #109129=ORIENTED_EDGE('',*,*,#82922,.T.); #109130=ORIENTED_EDGE('',*,*,#82918,.F.); #109131=ORIENTED_EDGE('',*,*,#82923,.F.); #109132=ORIENTED_EDGE('',*,*,#82924,.T.); #109133=ORIENTED_EDGE('',*,*,#82925,.T.); #109134=ORIENTED_EDGE('',*,*,#82921,.F.); #109135=ORIENTED_EDGE('',*,*,#82926,.F.); #109136=ORIENTED_EDGE('',*,*,#82927,.T.); #109137=ORIENTED_EDGE('',*,*,#82928,.T.); #109138=ORIENTED_EDGE('',*,*,#82924,.F.); #109139=ORIENTED_EDGE('',*,*,#82929,.F.); #109140=ORIENTED_EDGE('',*,*,#82930,.T.); #109141=ORIENTED_EDGE('',*,*,#82931,.T.); #109142=ORIENTED_EDGE('',*,*,#82927,.F.); #109143=ORIENTED_EDGE('',*,*,#82932,.F.); #109144=ORIENTED_EDGE('',*,*,#82933,.T.); #109145=ORIENTED_EDGE('',*,*,#82934,.T.); #109146=ORIENTED_EDGE('',*,*,#82930,.F.); #109147=ORIENTED_EDGE('',*,*,#82935,.F.); #109148=ORIENTED_EDGE('',*,*,#82936,.T.); #109149=ORIENTED_EDGE('',*,*,#82937,.T.); #109150=ORIENTED_EDGE('',*,*,#82933,.F.); #109151=ORIENTED_EDGE('',*,*,#82938,.F.); #109152=ORIENTED_EDGE('',*,*,#82939,.T.); #109153=ORIENTED_EDGE('',*,*,#82940,.T.); #109154=ORIENTED_EDGE('',*,*,#82936,.F.); #109155=ORIENTED_EDGE('',*,*,#82941,.F.); #109156=ORIENTED_EDGE('',*,*,#82942,.T.); #109157=ORIENTED_EDGE('',*,*,#82943,.T.); #109158=ORIENTED_EDGE('',*,*,#82939,.F.); #109159=ORIENTED_EDGE('',*,*,#82944,.F.); #109160=ORIENTED_EDGE('',*,*,#82945,.T.); #109161=ORIENTED_EDGE('',*,*,#82946,.T.); #109162=ORIENTED_EDGE('',*,*,#82942,.F.); #109163=ORIENTED_EDGE('',*,*,#82947,.F.); #109164=ORIENTED_EDGE('',*,*,#82463,.T.); #109165=ORIENTED_EDGE('',*,*,#82948,.T.); #109166=ORIENTED_EDGE('',*,*,#82945,.F.); #109167=ORIENTED_EDGE('',*,*,#82948,.F.); #109168=ORIENTED_EDGE('',*,*,#82462,.F.); #109169=ORIENTED_EDGE('',*,*,#82466,.F.); #109170=ORIENTED_EDGE('',*,*,#82469,.F.); #109171=ORIENTED_EDGE('',*,*,#82472,.F.); #109172=ORIENTED_EDGE('',*,*,#82475,.F.); #109173=ORIENTED_EDGE('',*,*,#82478,.F.); #109174=ORIENTED_EDGE('',*,*,#82481,.F.); #109175=ORIENTED_EDGE('',*,*,#82484,.F.); #109176=ORIENTED_EDGE('',*,*,#82487,.F.); #109177=ORIENTED_EDGE('',*,*,#82490,.F.); #109178=ORIENTED_EDGE('',*,*,#82493,.F.); #109179=ORIENTED_EDGE('',*,*,#82496,.F.); #109180=ORIENTED_EDGE('',*,*,#82499,.F.); #109181=ORIENTED_EDGE('',*,*,#82502,.F.); #109182=ORIENTED_EDGE('',*,*,#82505,.F.); #109183=ORIENTED_EDGE('',*,*,#82508,.F.); #109184=ORIENTED_EDGE('',*,*,#82511,.F.); #109185=ORIENTED_EDGE('',*,*,#82514,.F.); #109186=ORIENTED_EDGE('',*,*,#82517,.F.); #109187=ORIENTED_EDGE('',*,*,#82520,.F.); #109188=ORIENTED_EDGE('',*,*,#82523,.F.); #109189=ORIENTED_EDGE('',*,*,#82526,.F.); #109190=ORIENTED_EDGE('',*,*,#82529,.F.); #109191=ORIENTED_EDGE('',*,*,#82532,.F.); #109192=ORIENTED_EDGE('',*,*,#82535,.F.); #109193=ORIENTED_EDGE('',*,*,#82538,.F.); #109194=ORIENTED_EDGE('',*,*,#82541,.F.); #109195=ORIENTED_EDGE('',*,*,#82544,.F.); #109196=ORIENTED_EDGE('',*,*,#82547,.F.); #109197=ORIENTED_EDGE('',*,*,#82550,.F.); #109198=ORIENTED_EDGE('',*,*,#82553,.F.); #109199=ORIENTED_EDGE('',*,*,#82556,.F.); #109200=ORIENTED_EDGE('',*,*,#82559,.F.); #109201=ORIENTED_EDGE('',*,*,#82562,.F.); #109202=ORIENTED_EDGE('',*,*,#82565,.F.); #109203=ORIENTED_EDGE('',*,*,#82568,.F.); #109204=ORIENTED_EDGE('',*,*,#82571,.F.); #109205=ORIENTED_EDGE('',*,*,#82574,.F.); #109206=ORIENTED_EDGE('',*,*,#82577,.F.); #109207=ORIENTED_EDGE('',*,*,#82580,.F.); #109208=ORIENTED_EDGE('',*,*,#82583,.F.); #109209=ORIENTED_EDGE('',*,*,#82586,.F.); #109210=ORIENTED_EDGE('',*,*,#82589,.F.); #109211=ORIENTED_EDGE('',*,*,#82592,.F.); #109212=ORIENTED_EDGE('',*,*,#82595,.F.); #109213=ORIENTED_EDGE('',*,*,#82598,.F.); #109214=ORIENTED_EDGE('',*,*,#82601,.F.); #109215=ORIENTED_EDGE('',*,*,#82604,.F.); #109216=ORIENTED_EDGE('',*,*,#82607,.F.); #109217=ORIENTED_EDGE('',*,*,#82610,.F.); #109218=ORIENTED_EDGE('',*,*,#82613,.F.); #109219=ORIENTED_EDGE('',*,*,#82616,.F.); #109220=ORIENTED_EDGE('',*,*,#82619,.F.); #109221=ORIENTED_EDGE('',*,*,#82622,.F.); #109222=ORIENTED_EDGE('',*,*,#82625,.F.); #109223=ORIENTED_EDGE('',*,*,#82628,.F.); #109224=ORIENTED_EDGE('',*,*,#82631,.F.); #109225=ORIENTED_EDGE('',*,*,#82634,.F.); #109226=ORIENTED_EDGE('',*,*,#82637,.F.); #109227=ORIENTED_EDGE('',*,*,#82640,.F.); #109228=ORIENTED_EDGE('',*,*,#82643,.F.); #109229=ORIENTED_EDGE('',*,*,#82646,.F.); #109230=ORIENTED_EDGE('',*,*,#82649,.F.); #109231=ORIENTED_EDGE('',*,*,#82652,.F.); #109232=ORIENTED_EDGE('',*,*,#82655,.F.); #109233=ORIENTED_EDGE('',*,*,#82658,.F.); #109234=ORIENTED_EDGE('',*,*,#82661,.F.); #109235=ORIENTED_EDGE('',*,*,#82664,.F.); #109236=ORIENTED_EDGE('',*,*,#82667,.F.); #109237=ORIENTED_EDGE('',*,*,#82670,.F.); #109238=ORIENTED_EDGE('',*,*,#82673,.F.); #109239=ORIENTED_EDGE('',*,*,#82676,.F.); #109240=ORIENTED_EDGE('',*,*,#82679,.F.); #109241=ORIENTED_EDGE('',*,*,#82682,.F.); #109242=ORIENTED_EDGE('',*,*,#82685,.F.); #109243=ORIENTED_EDGE('',*,*,#82688,.F.); #109244=ORIENTED_EDGE('',*,*,#82691,.F.); #109245=ORIENTED_EDGE('',*,*,#82694,.F.); #109246=ORIENTED_EDGE('',*,*,#82697,.F.); #109247=ORIENTED_EDGE('',*,*,#82700,.F.); #109248=ORIENTED_EDGE('',*,*,#82703,.F.); #109249=ORIENTED_EDGE('',*,*,#82706,.F.); #109250=ORIENTED_EDGE('',*,*,#82709,.F.); #109251=ORIENTED_EDGE('',*,*,#82712,.F.); #109252=ORIENTED_EDGE('',*,*,#82715,.F.); #109253=ORIENTED_EDGE('',*,*,#82718,.F.); #109254=ORIENTED_EDGE('',*,*,#82721,.F.); #109255=ORIENTED_EDGE('',*,*,#82724,.F.); #109256=ORIENTED_EDGE('',*,*,#82727,.F.); #109257=ORIENTED_EDGE('',*,*,#82730,.F.); #109258=ORIENTED_EDGE('',*,*,#82733,.F.); #109259=ORIENTED_EDGE('',*,*,#82736,.F.); #109260=ORIENTED_EDGE('',*,*,#82739,.F.); #109261=ORIENTED_EDGE('',*,*,#82742,.F.); #109262=ORIENTED_EDGE('',*,*,#82745,.F.); #109263=ORIENTED_EDGE('',*,*,#82748,.F.); #109264=ORIENTED_EDGE('',*,*,#82751,.F.); #109265=ORIENTED_EDGE('',*,*,#82754,.F.); #109266=ORIENTED_EDGE('',*,*,#82757,.F.); #109267=ORIENTED_EDGE('',*,*,#82760,.F.); #109268=ORIENTED_EDGE('',*,*,#82763,.F.); #109269=ORIENTED_EDGE('',*,*,#82766,.F.); #109270=ORIENTED_EDGE('',*,*,#82769,.F.); #109271=ORIENTED_EDGE('',*,*,#82772,.F.); #109272=ORIENTED_EDGE('',*,*,#82775,.F.); #109273=ORIENTED_EDGE('',*,*,#82778,.F.); #109274=ORIENTED_EDGE('',*,*,#82781,.F.); #109275=ORIENTED_EDGE('',*,*,#82784,.F.); #109276=ORIENTED_EDGE('',*,*,#82787,.F.); #109277=ORIENTED_EDGE('',*,*,#82790,.F.); #109278=ORIENTED_EDGE('',*,*,#82793,.F.); #109279=ORIENTED_EDGE('',*,*,#82796,.F.); #109280=ORIENTED_EDGE('',*,*,#82799,.F.); #109281=ORIENTED_EDGE('',*,*,#82802,.F.); #109282=ORIENTED_EDGE('',*,*,#82805,.F.); #109283=ORIENTED_EDGE('',*,*,#82808,.F.); #109284=ORIENTED_EDGE('',*,*,#82811,.F.); #109285=ORIENTED_EDGE('',*,*,#82814,.F.); #109286=ORIENTED_EDGE('',*,*,#82817,.F.); #109287=ORIENTED_EDGE('',*,*,#82820,.F.); #109288=ORIENTED_EDGE('',*,*,#82823,.F.); #109289=ORIENTED_EDGE('',*,*,#82826,.F.); #109290=ORIENTED_EDGE('',*,*,#82829,.F.); #109291=ORIENTED_EDGE('',*,*,#82832,.F.); #109292=ORIENTED_EDGE('',*,*,#82835,.F.); #109293=ORIENTED_EDGE('',*,*,#82838,.F.); #109294=ORIENTED_EDGE('',*,*,#82841,.F.); #109295=ORIENTED_EDGE('',*,*,#82844,.F.); #109296=ORIENTED_EDGE('',*,*,#82847,.F.); #109297=ORIENTED_EDGE('',*,*,#82850,.F.); #109298=ORIENTED_EDGE('',*,*,#82853,.F.); #109299=ORIENTED_EDGE('',*,*,#82856,.F.); #109300=ORIENTED_EDGE('',*,*,#82859,.F.); #109301=ORIENTED_EDGE('',*,*,#82862,.F.); #109302=ORIENTED_EDGE('',*,*,#82865,.F.); #109303=ORIENTED_EDGE('',*,*,#82868,.F.); #109304=ORIENTED_EDGE('',*,*,#82871,.F.); #109305=ORIENTED_EDGE('',*,*,#82874,.F.); #109306=ORIENTED_EDGE('',*,*,#82877,.F.); #109307=ORIENTED_EDGE('',*,*,#82880,.F.); #109308=ORIENTED_EDGE('',*,*,#82883,.F.); #109309=ORIENTED_EDGE('',*,*,#82886,.F.); #109310=ORIENTED_EDGE('',*,*,#82889,.F.); #109311=ORIENTED_EDGE('',*,*,#82892,.F.); #109312=ORIENTED_EDGE('',*,*,#82895,.F.); #109313=ORIENTED_EDGE('',*,*,#82898,.F.); #109314=ORIENTED_EDGE('',*,*,#82901,.F.); #109315=ORIENTED_EDGE('',*,*,#82904,.F.); #109316=ORIENTED_EDGE('',*,*,#82907,.F.); #109317=ORIENTED_EDGE('',*,*,#82910,.F.); #109318=ORIENTED_EDGE('',*,*,#82913,.F.); #109319=ORIENTED_EDGE('',*,*,#82916,.F.); #109320=ORIENTED_EDGE('',*,*,#82919,.F.); #109321=ORIENTED_EDGE('',*,*,#82922,.F.); #109322=ORIENTED_EDGE('',*,*,#82925,.F.); #109323=ORIENTED_EDGE('',*,*,#82928,.F.); #109324=ORIENTED_EDGE('',*,*,#82931,.F.); #109325=ORIENTED_EDGE('',*,*,#82934,.F.); #109326=ORIENTED_EDGE('',*,*,#82937,.F.); #109327=ORIENTED_EDGE('',*,*,#82940,.F.); #109328=ORIENTED_EDGE('',*,*,#82943,.F.); #109329=ORIENTED_EDGE('',*,*,#82946,.F.); #109330=ORIENTED_EDGE('',*,*,#82949,.F.); #109331=ORIENTED_EDGE('',*,*,#82950,.T.); #109332=ORIENTED_EDGE('',*,*,#82951,.T.); #109333=ORIENTED_EDGE('',*,*,#82952,.F.); #109334=ORIENTED_EDGE('',*,*,#82953,.F.); #109335=ORIENTED_EDGE('',*,*,#82954,.T.); #109336=ORIENTED_EDGE('',*,*,#82955,.T.); #109337=ORIENTED_EDGE('',*,*,#82950,.F.); #109338=ORIENTED_EDGE('',*,*,#82956,.F.); #109339=ORIENTED_EDGE('',*,*,#82957,.T.); #109340=ORIENTED_EDGE('',*,*,#82958,.T.); #109341=ORIENTED_EDGE('',*,*,#82954,.F.); #109342=ORIENTED_EDGE('',*,*,#82959,.F.); #109343=ORIENTED_EDGE('',*,*,#82960,.T.); #109344=ORIENTED_EDGE('',*,*,#82961,.T.); #109345=ORIENTED_EDGE('',*,*,#82957,.F.); #109346=ORIENTED_EDGE('',*,*,#82962,.F.); #109347=ORIENTED_EDGE('',*,*,#82963,.T.); #109348=ORIENTED_EDGE('',*,*,#82964,.T.); #109349=ORIENTED_EDGE('',*,*,#82960,.F.); #109350=ORIENTED_EDGE('',*,*,#82965,.F.); #109351=ORIENTED_EDGE('',*,*,#82966,.T.); #109352=ORIENTED_EDGE('',*,*,#82967,.T.); #109353=ORIENTED_EDGE('',*,*,#82963,.F.); #109354=ORIENTED_EDGE('',*,*,#82968,.F.); #109355=ORIENTED_EDGE('',*,*,#82969,.T.); #109356=ORIENTED_EDGE('',*,*,#82970,.T.); #109357=ORIENTED_EDGE('',*,*,#82966,.F.); #109358=ORIENTED_EDGE('',*,*,#82971,.F.); #109359=ORIENTED_EDGE('',*,*,#82972,.T.); #109360=ORIENTED_EDGE('',*,*,#82973,.T.); #109361=ORIENTED_EDGE('',*,*,#82969,.F.); #109362=ORIENTED_EDGE('',*,*,#82974,.F.); #109363=ORIENTED_EDGE('',*,*,#82975,.T.); #109364=ORIENTED_EDGE('',*,*,#82976,.T.); #109365=ORIENTED_EDGE('',*,*,#82972,.F.); #109366=ORIENTED_EDGE('',*,*,#82977,.F.); #109367=ORIENTED_EDGE('',*,*,#82978,.T.); #109368=ORIENTED_EDGE('',*,*,#82979,.T.); #109369=ORIENTED_EDGE('',*,*,#82975,.F.); #109370=ORIENTED_EDGE('',*,*,#82980,.F.); #109371=ORIENTED_EDGE('',*,*,#82981,.T.); #109372=ORIENTED_EDGE('',*,*,#82982,.T.); #109373=ORIENTED_EDGE('',*,*,#82978,.F.); #109374=ORIENTED_EDGE('',*,*,#82983,.F.); #109375=ORIENTED_EDGE('',*,*,#82984,.T.); #109376=ORIENTED_EDGE('',*,*,#82985,.T.); #109377=ORIENTED_EDGE('',*,*,#82981,.F.); #109378=ORIENTED_EDGE('',*,*,#82986,.F.); #109379=ORIENTED_EDGE('',*,*,#82987,.T.); #109380=ORIENTED_EDGE('',*,*,#82988,.T.); #109381=ORIENTED_EDGE('',*,*,#82984,.F.); #109382=ORIENTED_EDGE('',*,*,#82989,.F.); #109383=ORIENTED_EDGE('',*,*,#82990,.T.); #109384=ORIENTED_EDGE('',*,*,#82991,.T.); #109385=ORIENTED_EDGE('',*,*,#82987,.F.); #109386=ORIENTED_EDGE('',*,*,#82992,.F.); #109387=ORIENTED_EDGE('',*,*,#82993,.T.); #109388=ORIENTED_EDGE('',*,*,#82994,.T.); #109389=ORIENTED_EDGE('',*,*,#82990,.F.); #109390=ORIENTED_EDGE('',*,*,#82995,.F.); #109391=ORIENTED_EDGE('',*,*,#82996,.T.); #109392=ORIENTED_EDGE('',*,*,#82997,.T.); #109393=ORIENTED_EDGE('',*,*,#82993,.F.); #109394=ORIENTED_EDGE('',*,*,#82998,.F.); #109395=ORIENTED_EDGE('',*,*,#82999,.T.); #109396=ORIENTED_EDGE('',*,*,#83000,.T.); #109397=ORIENTED_EDGE('',*,*,#82996,.F.); #109398=ORIENTED_EDGE('',*,*,#83001,.F.); #109399=ORIENTED_EDGE('',*,*,#83002,.T.); #109400=ORIENTED_EDGE('',*,*,#83003,.T.); #109401=ORIENTED_EDGE('',*,*,#82999,.F.); #109402=ORIENTED_EDGE('',*,*,#83004,.F.); #109403=ORIENTED_EDGE('',*,*,#83005,.T.); #109404=ORIENTED_EDGE('',*,*,#83006,.T.); #109405=ORIENTED_EDGE('',*,*,#83002,.F.); #109406=ORIENTED_EDGE('',*,*,#83007,.F.); #109407=ORIENTED_EDGE('',*,*,#83008,.T.); #109408=ORIENTED_EDGE('',*,*,#83009,.T.); #109409=ORIENTED_EDGE('',*,*,#83005,.F.); #109410=ORIENTED_EDGE('',*,*,#83010,.F.); #109411=ORIENTED_EDGE('',*,*,#83011,.T.); #109412=ORIENTED_EDGE('',*,*,#83012,.T.); #109413=ORIENTED_EDGE('',*,*,#83008,.F.); #109414=ORIENTED_EDGE('',*,*,#83013,.F.); #109415=ORIENTED_EDGE('',*,*,#83014,.T.); #109416=ORIENTED_EDGE('',*,*,#83015,.T.); #109417=ORIENTED_EDGE('',*,*,#83011,.F.); #109418=ORIENTED_EDGE('',*,*,#83016,.F.); #109419=ORIENTED_EDGE('',*,*,#83017,.T.); #109420=ORIENTED_EDGE('',*,*,#83018,.T.); #109421=ORIENTED_EDGE('',*,*,#83014,.F.); #109422=ORIENTED_EDGE('',*,*,#83019,.F.); #109423=ORIENTED_EDGE('',*,*,#83020,.T.); #109424=ORIENTED_EDGE('',*,*,#83021,.T.); #109425=ORIENTED_EDGE('',*,*,#83017,.F.); #109426=ORIENTED_EDGE('',*,*,#83022,.F.); #109427=ORIENTED_EDGE('',*,*,#83023,.T.); #109428=ORIENTED_EDGE('',*,*,#83024,.T.); #109429=ORIENTED_EDGE('',*,*,#83020,.F.); #109430=ORIENTED_EDGE('',*,*,#83025,.F.); #109431=ORIENTED_EDGE('',*,*,#83026,.T.); #109432=ORIENTED_EDGE('',*,*,#83027,.T.); #109433=ORIENTED_EDGE('',*,*,#83023,.F.); #109434=ORIENTED_EDGE('',*,*,#83028,.F.); #109435=ORIENTED_EDGE('',*,*,#83029,.T.); #109436=ORIENTED_EDGE('',*,*,#83030,.T.); #109437=ORIENTED_EDGE('',*,*,#83026,.F.); #109438=ORIENTED_EDGE('',*,*,#83031,.F.); #109439=ORIENTED_EDGE('',*,*,#83032,.T.); #109440=ORIENTED_EDGE('',*,*,#83033,.T.); #109441=ORIENTED_EDGE('',*,*,#83029,.F.); #109442=ORIENTED_EDGE('',*,*,#83034,.F.); #109443=ORIENTED_EDGE('',*,*,#83035,.T.); #109444=ORIENTED_EDGE('',*,*,#83036,.T.); #109445=ORIENTED_EDGE('',*,*,#83032,.F.); #109446=ORIENTED_EDGE('',*,*,#83037,.F.); #109447=ORIENTED_EDGE('',*,*,#83038,.T.); #109448=ORIENTED_EDGE('',*,*,#83039,.T.); #109449=ORIENTED_EDGE('',*,*,#83035,.F.); #109450=ORIENTED_EDGE('',*,*,#83040,.F.); #109451=ORIENTED_EDGE('',*,*,#83041,.T.); #109452=ORIENTED_EDGE('',*,*,#83042,.T.); #109453=ORIENTED_EDGE('',*,*,#83038,.F.); #109454=ORIENTED_EDGE('',*,*,#83043,.F.); #109455=ORIENTED_EDGE('',*,*,#83044,.T.); #109456=ORIENTED_EDGE('',*,*,#83045,.T.); #109457=ORIENTED_EDGE('',*,*,#83041,.F.); #109458=ORIENTED_EDGE('',*,*,#83046,.F.); #109459=ORIENTED_EDGE('',*,*,#83047,.T.); #109460=ORIENTED_EDGE('',*,*,#83048,.T.); #109461=ORIENTED_EDGE('',*,*,#83044,.F.); #109462=ORIENTED_EDGE('',*,*,#83049,.F.); #109463=ORIENTED_EDGE('',*,*,#83050,.T.); #109464=ORIENTED_EDGE('',*,*,#83051,.T.); #109465=ORIENTED_EDGE('',*,*,#83047,.F.); #109466=ORIENTED_EDGE('',*,*,#83052,.F.); #109467=ORIENTED_EDGE('',*,*,#83053,.T.); #109468=ORIENTED_EDGE('',*,*,#83054,.T.); #109469=ORIENTED_EDGE('',*,*,#83050,.F.); #109470=ORIENTED_EDGE('',*,*,#83055,.F.); #109471=ORIENTED_EDGE('',*,*,#83056,.T.); #109472=ORIENTED_EDGE('',*,*,#83057,.T.); #109473=ORIENTED_EDGE('',*,*,#83053,.F.); #109474=ORIENTED_EDGE('',*,*,#83058,.F.); #109475=ORIENTED_EDGE('',*,*,#83059,.T.); #109476=ORIENTED_EDGE('',*,*,#83060,.T.); #109477=ORIENTED_EDGE('',*,*,#83056,.F.); #109478=ORIENTED_EDGE('',*,*,#83061,.F.); #109479=ORIENTED_EDGE('',*,*,#83062,.T.); #109480=ORIENTED_EDGE('',*,*,#83063,.T.); #109481=ORIENTED_EDGE('',*,*,#83059,.F.); #109482=ORIENTED_EDGE('',*,*,#83064,.F.); #109483=ORIENTED_EDGE('',*,*,#83065,.T.); #109484=ORIENTED_EDGE('',*,*,#83066,.T.); #109485=ORIENTED_EDGE('',*,*,#83062,.F.); #109486=ORIENTED_EDGE('',*,*,#83067,.F.); #109487=ORIENTED_EDGE('',*,*,#83068,.T.); #109488=ORIENTED_EDGE('',*,*,#83069,.T.); #109489=ORIENTED_EDGE('',*,*,#83065,.F.); #109490=ORIENTED_EDGE('',*,*,#83070,.F.); #109491=ORIENTED_EDGE('',*,*,#83071,.T.); #109492=ORIENTED_EDGE('',*,*,#83072,.T.); #109493=ORIENTED_EDGE('',*,*,#83068,.F.); #109494=ORIENTED_EDGE('',*,*,#83073,.F.); #109495=ORIENTED_EDGE('',*,*,#83074,.T.); #109496=ORIENTED_EDGE('',*,*,#83075,.T.); #109497=ORIENTED_EDGE('',*,*,#83071,.F.); #109498=ORIENTED_EDGE('',*,*,#83076,.F.); #109499=ORIENTED_EDGE('',*,*,#83077,.T.); #109500=ORIENTED_EDGE('',*,*,#83078,.T.); #109501=ORIENTED_EDGE('',*,*,#83074,.F.); #109502=ORIENTED_EDGE('',*,*,#83079,.F.); #109503=ORIENTED_EDGE('',*,*,#83080,.T.); #109504=ORIENTED_EDGE('',*,*,#83081,.T.); #109505=ORIENTED_EDGE('',*,*,#83077,.F.); #109506=ORIENTED_EDGE('',*,*,#83082,.F.); #109507=ORIENTED_EDGE('',*,*,#83083,.T.); #109508=ORIENTED_EDGE('',*,*,#83084,.T.); #109509=ORIENTED_EDGE('',*,*,#83080,.F.); #109510=ORIENTED_EDGE('',*,*,#83085,.F.); #109511=ORIENTED_EDGE('',*,*,#83086,.T.); #109512=ORIENTED_EDGE('',*,*,#83087,.T.); #109513=ORIENTED_EDGE('',*,*,#83083,.F.); #109514=ORIENTED_EDGE('',*,*,#83088,.F.); #109515=ORIENTED_EDGE('',*,*,#83089,.T.); #109516=ORIENTED_EDGE('',*,*,#83090,.T.); #109517=ORIENTED_EDGE('',*,*,#83086,.F.); #109518=ORIENTED_EDGE('',*,*,#83091,.F.); #109519=ORIENTED_EDGE('',*,*,#83092,.T.); #109520=ORIENTED_EDGE('',*,*,#83093,.T.); #109521=ORIENTED_EDGE('',*,*,#83089,.F.); #109522=ORIENTED_EDGE('',*,*,#83094,.F.); #109523=ORIENTED_EDGE('',*,*,#83095,.T.); #109524=ORIENTED_EDGE('',*,*,#83096,.T.); #109525=ORIENTED_EDGE('',*,*,#83092,.F.); #109526=ORIENTED_EDGE('',*,*,#83097,.F.); #109527=ORIENTED_EDGE('',*,*,#83098,.T.); #109528=ORIENTED_EDGE('',*,*,#83099,.T.); #109529=ORIENTED_EDGE('',*,*,#83095,.F.); #109530=ORIENTED_EDGE('',*,*,#83100,.F.); #109531=ORIENTED_EDGE('',*,*,#83101,.T.); #109532=ORIENTED_EDGE('',*,*,#83102,.T.); #109533=ORIENTED_EDGE('',*,*,#83098,.F.); #109534=ORIENTED_EDGE('',*,*,#83103,.F.); #109535=ORIENTED_EDGE('',*,*,#83104,.T.); #109536=ORIENTED_EDGE('',*,*,#83105,.T.); #109537=ORIENTED_EDGE('',*,*,#83101,.F.); #109538=ORIENTED_EDGE('',*,*,#83106,.F.); #109539=ORIENTED_EDGE('',*,*,#83107,.T.); #109540=ORIENTED_EDGE('',*,*,#83108,.T.); #109541=ORIENTED_EDGE('',*,*,#83104,.F.); #109542=ORIENTED_EDGE('',*,*,#83109,.F.); #109543=ORIENTED_EDGE('',*,*,#83110,.T.); #109544=ORIENTED_EDGE('',*,*,#83111,.T.); #109545=ORIENTED_EDGE('',*,*,#83107,.F.); #109546=ORIENTED_EDGE('',*,*,#83112,.F.); #109547=ORIENTED_EDGE('',*,*,#83113,.T.); #109548=ORIENTED_EDGE('',*,*,#83114,.T.); #109549=ORIENTED_EDGE('',*,*,#83110,.F.); #109550=ORIENTED_EDGE('',*,*,#83115,.F.); #109551=ORIENTED_EDGE('',*,*,#83116,.T.); #109552=ORIENTED_EDGE('',*,*,#83117,.T.); #109553=ORIENTED_EDGE('',*,*,#83113,.F.); #109554=ORIENTED_EDGE('',*,*,#83118,.F.); #109555=ORIENTED_EDGE('',*,*,#83119,.T.); #109556=ORIENTED_EDGE('',*,*,#83120,.T.); #109557=ORIENTED_EDGE('',*,*,#83116,.F.); #109558=ORIENTED_EDGE('',*,*,#83121,.F.); #109559=ORIENTED_EDGE('',*,*,#83122,.T.); #109560=ORIENTED_EDGE('',*,*,#83123,.T.); #109561=ORIENTED_EDGE('',*,*,#83119,.F.); #109562=ORIENTED_EDGE('',*,*,#83124,.F.); #109563=ORIENTED_EDGE('',*,*,#83125,.T.); #109564=ORIENTED_EDGE('',*,*,#83126,.T.); #109565=ORIENTED_EDGE('',*,*,#83122,.F.); #109566=ORIENTED_EDGE('',*,*,#83127,.F.); #109567=ORIENTED_EDGE('',*,*,#83128,.T.); #109568=ORIENTED_EDGE('',*,*,#83129,.T.); #109569=ORIENTED_EDGE('',*,*,#83125,.F.); #109570=ORIENTED_EDGE('',*,*,#83130,.F.); #109571=ORIENTED_EDGE('',*,*,#83131,.T.); #109572=ORIENTED_EDGE('',*,*,#83132,.T.); #109573=ORIENTED_EDGE('',*,*,#83128,.F.); #109574=ORIENTED_EDGE('',*,*,#83133,.F.); #109575=ORIENTED_EDGE('',*,*,#83134,.T.); #109576=ORIENTED_EDGE('',*,*,#83135,.T.); #109577=ORIENTED_EDGE('',*,*,#83131,.F.); #109578=ORIENTED_EDGE('',*,*,#83136,.F.); #109579=ORIENTED_EDGE('',*,*,#83137,.T.); #109580=ORIENTED_EDGE('',*,*,#83138,.T.); #109581=ORIENTED_EDGE('',*,*,#83134,.F.); #109582=ORIENTED_EDGE('',*,*,#83139,.F.); #109583=ORIENTED_EDGE('',*,*,#83140,.T.); #109584=ORIENTED_EDGE('',*,*,#83141,.T.); #109585=ORIENTED_EDGE('',*,*,#83137,.F.); #109586=ORIENTED_EDGE('',*,*,#83142,.F.); #109587=ORIENTED_EDGE('',*,*,#83143,.T.); #109588=ORIENTED_EDGE('',*,*,#83144,.T.); #109589=ORIENTED_EDGE('',*,*,#83140,.F.); #109590=ORIENTED_EDGE('',*,*,#83145,.F.); #109591=ORIENTED_EDGE('',*,*,#83146,.T.); #109592=ORIENTED_EDGE('',*,*,#83147,.T.); #109593=ORIENTED_EDGE('',*,*,#83143,.F.); #109594=ORIENTED_EDGE('',*,*,#83148,.F.); #109595=ORIENTED_EDGE('',*,*,#83149,.T.); #109596=ORIENTED_EDGE('',*,*,#83150,.T.); #109597=ORIENTED_EDGE('',*,*,#83146,.F.); #109598=ORIENTED_EDGE('',*,*,#83151,.F.); #109599=ORIENTED_EDGE('',*,*,#83152,.T.); #109600=ORIENTED_EDGE('',*,*,#83153,.T.); #109601=ORIENTED_EDGE('',*,*,#83149,.F.); #109602=ORIENTED_EDGE('',*,*,#83154,.F.); #109603=ORIENTED_EDGE('',*,*,#83155,.T.); #109604=ORIENTED_EDGE('',*,*,#83156,.T.); #109605=ORIENTED_EDGE('',*,*,#83152,.F.); #109606=ORIENTED_EDGE('',*,*,#83157,.F.); #109607=ORIENTED_EDGE('',*,*,#83158,.T.); #109608=ORIENTED_EDGE('',*,*,#83159,.T.); #109609=ORIENTED_EDGE('',*,*,#83155,.F.); #109610=ORIENTED_EDGE('',*,*,#83160,.F.); #109611=ORIENTED_EDGE('',*,*,#83161,.T.); #109612=ORIENTED_EDGE('',*,*,#83162,.T.); #109613=ORIENTED_EDGE('',*,*,#83158,.F.); #109614=ORIENTED_EDGE('',*,*,#83163,.F.); #109615=ORIENTED_EDGE('',*,*,#83164,.T.); #109616=ORIENTED_EDGE('',*,*,#83165,.T.); #109617=ORIENTED_EDGE('',*,*,#83161,.F.); #109618=ORIENTED_EDGE('',*,*,#83166,.F.); #109619=ORIENTED_EDGE('',*,*,#83167,.T.); #109620=ORIENTED_EDGE('',*,*,#83168,.T.); #109621=ORIENTED_EDGE('',*,*,#83164,.F.); #109622=ORIENTED_EDGE('',*,*,#83169,.F.); #109623=ORIENTED_EDGE('',*,*,#83170,.T.); #109624=ORIENTED_EDGE('',*,*,#83171,.T.); #109625=ORIENTED_EDGE('',*,*,#83167,.F.); #109626=ORIENTED_EDGE('',*,*,#83172,.F.); #109627=ORIENTED_EDGE('',*,*,#83173,.T.); #109628=ORIENTED_EDGE('',*,*,#83174,.T.); #109629=ORIENTED_EDGE('',*,*,#83170,.F.); #109630=ORIENTED_EDGE('',*,*,#83175,.F.); #109631=ORIENTED_EDGE('',*,*,#83176,.T.); #109632=ORIENTED_EDGE('',*,*,#83177,.T.); #109633=ORIENTED_EDGE('',*,*,#83173,.F.); #109634=ORIENTED_EDGE('',*,*,#83178,.F.); #109635=ORIENTED_EDGE('',*,*,#83179,.T.); #109636=ORIENTED_EDGE('',*,*,#83180,.T.); #109637=ORIENTED_EDGE('',*,*,#83176,.F.); #109638=ORIENTED_EDGE('',*,*,#83181,.F.); #109639=ORIENTED_EDGE('',*,*,#83182,.T.); #109640=ORIENTED_EDGE('',*,*,#83183,.T.); #109641=ORIENTED_EDGE('',*,*,#83179,.F.); #109642=ORIENTED_EDGE('',*,*,#83184,.F.); #109643=ORIENTED_EDGE('',*,*,#83185,.T.); #109644=ORIENTED_EDGE('',*,*,#83186,.T.); #109645=ORIENTED_EDGE('',*,*,#83182,.F.); #109646=ORIENTED_EDGE('',*,*,#83187,.F.); #109647=ORIENTED_EDGE('',*,*,#83188,.T.); #109648=ORIENTED_EDGE('',*,*,#83189,.T.); #109649=ORIENTED_EDGE('',*,*,#83185,.F.); #109650=ORIENTED_EDGE('',*,*,#83190,.F.); #109651=ORIENTED_EDGE('',*,*,#83191,.T.); #109652=ORIENTED_EDGE('',*,*,#83192,.T.); #109653=ORIENTED_EDGE('',*,*,#83188,.F.); #109654=ORIENTED_EDGE('',*,*,#83193,.F.); #109655=ORIENTED_EDGE('',*,*,#83194,.T.); #109656=ORIENTED_EDGE('',*,*,#83195,.T.); #109657=ORIENTED_EDGE('',*,*,#83191,.F.); #109658=ORIENTED_EDGE('',*,*,#83196,.F.); #109659=ORIENTED_EDGE('',*,*,#83197,.T.); #109660=ORIENTED_EDGE('',*,*,#83198,.T.); #109661=ORIENTED_EDGE('',*,*,#83194,.F.); #109662=ORIENTED_EDGE('',*,*,#83199,.F.); #109663=ORIENTED_EDGE('',*,*,#83200,.T.); #109664=ORIENTED_EDGE('',*,*,#83201,.T.); #109665=ORIENTED_EDGE('',*,*,#83197,.F.); #109666=ORIENTED_EDGE('',*,*,#83202,.F.); #109667=ORIENTED_EDGE('',*,*,#83203,.T.); #109668=ORIENTED_EDGE('',*,*,#83204,.T.); #109669=ORIENTED_EDGE('',*,*,#83200,.F.); #109670=ORIENTED_EDGE('',*,*,#83205,.F.); #109671=ORIENTED_EDGE('',*,*,#83206,.T.); #109672=ORIENTED_EDGE('',*,*,#83207,.T.); #109673=ORIENTED_EDGE('',*,*,#83203,.F.); #109674=ORIENTED_EDGE('',*,*,#83208,.F.); #109675=ORIENTED_EDGE('',*,*,#83209,.T.); #109676=ORIENTED_EDGE('',*,*,#83210,.T.); #109677=ORIENTED_EDGE('',*,*,#83206,.F.); #109678=ORIENTED_EDGE('',*,*,#83211,.F.); #109679=ORIENTED_EDGE('',*,*,#83212,.T.); #109680=ORIENTED_EDGE('',*,*,#83213,.T.); #109681=ORIENTED_EDGE('',*,*,#83209,.F.); #109682=ORIENTED_EDGE('',*,*,#83214,.F.); #109683=ORIENTED_EDGE('',*,*,#83215,.T.); #109684=ORIENTED_EDGE('',*,*,#83216,.T.); #109685=ORIENTED_EDGE('',*,*,#83212,.F.); #109686=ORIENTED_EDGE('',*,*,#83217,.F.); #109687=ORIENTED_EDGE('',*,*,#83218,.T.); #109688=ORIENTED_EDGE('',*,*,#83219,.T.); #109689=ORIENTED_EDGE('',*,*,#83215,.F.); #109690=ORIENTED_EDGE('',*,*,#83220,.F.); #109691=ORIENTED_EDGE('',*,*,#83221,.T.); #109692=ORIENTED_EDGE('',*,*,#83222,.T.); #109693=ORIENTED_EDGE('',*,*,#83218,.F.); #109694=ORIENTED_EDGE('',*,*,#83223,.F.); #109695=ORIENTED_EDGE('',*,*,#83224,.T.); #109696=ORIENTED_EDGE('',*,*,#83225,.T.); #109697=ORIENTED_EDGE('',*,*,#83221,.F.); #109698=ORIENTED_EDGE('',*,*,#83226,.F.); #109699=ORIENTED_EDGE('',*,*,#83227,.T.); #109700=ORIENTED_EDGE('',*,*,#83228,.T.); #109701=ORIENTED_EDGE('',*,*,#83224,.F.); #109702=ORIENTED_EDGE('',*,*,#83229,.F.); #109703=ORIENTED_EDGE('',*,*,#83230,.T.); #109704=ORIENTED_EDGE('',*,*,#83231,.T.); #109705=ORIENTED_EDGE('',*,*,#83227,.F.); #109706=ORIENTED_EDGE('',*,*,#83232,.F.); #109707=ORIENTED_EDGE('',*,*,#83233,.T.); #109708=ORIENTED_EDGE('',*,*,#83234,.T.); #109709=ORIENTED_EDGE('',*,*,#83230,.F.); #109710=ORIENTED_EDGE('',*,*,#83235,.F.); #109711=ORIENTED_EDGE('',*,*,#83236,.T.); #109712=ORIENTED_EDGE('',*,*,#83237,.T.); #109713=ORIENTED_EDGE('',*,*,#83233,.F.); #109714=ORIENTED_EDGE('',*,*,#83238,.F.); #109715=ORIENTED_EDGE('',*,*,#83239,.T.); #109716=ORIENTED_EDGE('',*,*,#83240,.T.); #109717=ORIENTED_EDGE('',*,*,#83236,.F.); #109718=ORIENTED_EDGE('',*,*,#83241,.F.); #109719=ORIENTED_EDGE('',*,*,#83242,.T.); #109720=ORIENTED_EDGE('',*,*,#83243,.T.); #109721=ORIENTED_EDGE('',*,*,#83239,.F.); #109722=ORIENTED_EDGE('',*,*,#83244,.F.); #109723=ORIENTED_EDGE('',*,*,#83245,.T.); #109724=ORIENTED_EDGE('',*,*,#83246,.T.); #109725=ORIENTED_EDGE('',*,*,#83242,.F.); #109726=ORIENTED_EDGE('',*,*,#83247,.F.); #109727=ORIENTED_EDGE('',*,*,#83248,.T.); #109728=ORIENTED_EDGE('',*,*,#83249,.T.); #109729=ORIENTED_EDGE('',*,*,#83245,.F.); #109730=ORIENTED_EDGE('',*,*,#83250,.F.); #109731=ORIENTED_EDGE('',*,*,#83251,.T.); #109732=ORIENTED_EDGE('',*,*,#83252,.T.); #109733=ORIENTED_EDGE('',*,*,#83248,.F.); #109734=ORIENTED_EDGE('',*,*,#83253,.F.); #109735=ORIENTED_EDGE('',*,*,#83254,.T.); #109736=ORIENTED_EDGE('',*,*,#83255,.T.); #109737=ORIENTED_EDGE('',*,*,#83251,.F.); #109738=ORIENTED_EDGE('',*,*,#83256,.F.); #109739=ORIENTED_EDGE('',*,*,#83257,.T.); #109740=ORIENTED_EDGE('',*,*,#83258,.T.); #109741=ORIENTED_EDGE('',*,*,#83254,.F.); #109742=ORIENTED_EDGE('',*,*,#83259,.F.); #109743=ORIENTED_EDGE('',*,*,#83260,.T.); #109744=ORIENTED_EDGE('',*,*,#83261,.T.); #109745=ORIENTED_EDGE('',*,*,#83257,.F.); #109746=ORIENTED_EDGE('',*,*,#83262,.F.); #109747=ORIENTED_EDGE('',*,*,#83263,.T.); #109748=ORIENTED_EDGE('',*,*,#83264,.T.); #109749=ORIENTED_EDGE('',*,*,#83260,.F.); #109750=ORIENTED_EDGE('',*,*,#83265,.F.); #109751=ORIENTED_EDGE('',*,*,#83266,.T.); #109752=ORIENTED_EDGE('',*,*,#83267,.T.); #109753=ORIENTED_EDGE('',*,*,#83263,.F.); #109754=ORIENTED_EDGE('',*,*,#83268,.F.); #109755=ORIENTED_EDGE('',*,*,#83269,.T.); #109756=ORIENTED_EDGE('',*,*,#83270,.T.); #109757=ORIENTED_EDGE('',*,*,#83266,.F.); #109758=ORIENTED_EDGE('',*,*,#83271,.F.); #109759=ORIENTED_EDGE('',*,*,#83272,.T.); #109760=ORIENTED_EDGE('',*,*,#83273,.T.); #109761=ORIENTED_EDGE('',*,*,#83269,.F.); #109762=ORIENTED_EDGE('',*,*,#83274,.F.); #109763=ORIENTED_EDGE('',*,*,#83275,.T.); #109764=ORIENTED_EDGE('',*,*,#83276,.T.); #109765=ORIENTED_EDGE('',*,*,#83272,.F.); #109766=ORIENTED_EDGE('',*,*,#83277,.F.); #109767=ORIENTED_EDGE('',*,*,#83278,.T.); #109768=ORIENTED_EDGE('',*,*,#83279,.T.); #109769=ORIENTED_EDGE('',*,*,#83275,.F.); #109770=ORIENTED_EDGE('',*,*,#83280,.F.); #109771=ORIENTED_EDGE('',*,*,#83281,.T.); #109772=ORIENTED_EDGE('',*,*,#83282,.T.); #109773=ORIENTED_EDGE('',*,*,#83278,.F.); #109774=ORIENTED_EDGE('',*,*,#83283,.F.); #109775=ORIENTED_EDGE('',*,*,#83284,.T.); #109776=ORIENTED_EDGE('',*,*,#83285,.T.); #109777=ORIENTED_EDGE('',*,*,#83281,.F.); #109778=ORIENTED_EDGE('',*,*,#83286,.F.); #109779=ORIENTED_EDGE('',*,*,#83287,.T.); #109780=ORIENTED_EDGE('',*,*,#83288,.T.); #109781=ORIENTED_EDGE('',*,*,#83284,.F.); #109782=ORIENTED_EDGE('',*,*,#83289,.F.); #109783=ORIENTED_EDGE('',*,*,#83290,.T.); #109784=ORIENTED_EDGE('',*,*,#83291,.T.); #109785=ORIENTED_EDGE('',*,*,#83287,.F.); #109786=ORIENTED_EDGE('',*,*,#83292,.F.); #109787=ORIENTED_EDGE('',*,*,#82952,.T.); #109788=ORIENTED_EDGE('',*,*,#83293,.T.); #109789=ORIENTED_EDGE('',*,*,#83290,.F.); #109790=ORIENTED_EDGE('',*,*,#83293,.F.); #109791=ORIENTED_EDGE('',*,*,#82951,.F.); #109792=ORIENTED_EDGE('',*,*,#82955,.F.); #109793=ORIENTED_EDGE('',*,*,#82958,.F.); #109794=ORIENTED_EDGE('',*,*,#82961,.F.); #109795=ORIENTED_EDGE('',*,*,#82964,.F.); #109796=ORIENTED_EDGE('',*,*,#82967,.F.); #109797=ORIENTED_EDGE('',*,*,#82970,.F.); #109798=ORIENTED_EDGE('',*,*,#82973,.F.); #109799=ORIENTED_EDGE('',*,*,#82976,.F.); #109800=ORIENTED_EDGE('',*,*,#82979,.F.); #109801=ORIENTED_EDGE('',*,*,#82982,.F.); #109802=ORIENTED_EDGE('',*,*,#82985,.F.); #109803=ORIENTED_EDGE('',*,*,#82988,.F.); #109804=ORIENTED_EDGE('',*,*,#82991,.F.); #109805=ORIENTED_EDGE('',*,*,#82994,.F.); #109806=ORIENTED_EDGE('',*,*,#82997,.F.); #109807=ORIENTED_EDGE('',*,*,#83000,.F.); #109808=ORIENTED_EDGE('',*,*,#83003,.F.); #109809=ORIENTED_EDGE('',*,*,#83006,.F.); #109810=ORIENTED_EDGE('',*,*,#83009,.F.); #109811=ORIENTED_EDGE('',*,*,#83012,.F.); #109812=ORIENTED_EDGE('',*,*,#83015,.F.); #109813=ORIENTED_EDGE('',*,*,#83018,.F.); #109814=ORIENTED_EDGE('',*,*,#83021,.F.); #109815=ORIENTED_EDGE('',*,*,#83024,.F.); #109816=ORIENTED_EDGE('',*,*,#83027,.F.); #109817=ORIENTED_EDGE('',*,*,#83030,.F.); #109818=ORIENTED_EDGE('',*,*,#83033,.F.); #109819=ORIENTED_EDGE('',*,*,#83036,.F.); #109820=ORIENTED_EDGE('',*,*,#83039,.F.); #109821=ORIENTED_EDGE('',*,*,#83042,.F.); #109822=ORIENTED_EDGE('',*,*,#83045,.F.); #109823=ORIENTED_EDGE('',*,*,#83048,.F.); #109824=ORIENTED_EDGE('',*,*,#83051,.F.); #109825=ORIENTED_EDGE('',*,*,#83054,.F.); #109826=ORIENTED_EDGE('',*,*,#83057,.F.); #109827=ORIENTED_EDGE('',*,*,#83060,.F.); #109828=ORIENTED_EDGE('',*,*,#83063,.F.); #109829=ORIENTED_EDGE('',*,*,#83066,.F.); #109830=ORIENTED_EDGE('',*,*,#83069,.F.); #109831=ORIENTED_EDGE('',*,*,#83072,.F.); #109832=ORIENTED_EDGE('',*,*,#83075,.F.); #109833=ORIENTED_EDGE('',*,*,#83078,.F.); #109834=ORIENTED_EDGE('',*,*,#83081,.F.); #109835=ORIENTED_EDGE('',*,*,#83084,.F.); #109836=ORIENTED_EDGE('',*,*,#83087,.F.); #109837=ORIENTED_EDGE('',*,*,#83090,.F.); #109838=ORIENTED_EDGE('',*,*,#83093,.F.); #109839=ORIENTED_EDGE('',*,*,#83096,.F.); #109840=ORIENTED_EDGE('',*,*,#83099,.F.); #109841=ORIENTED_EDGE('',*,*,#83102,.F.); #109842=ORIENTED_EDGE('',*,*,#83105,.F.); #109843=ORIENTED_EDGE('',*,*,#83108,.F.); #109844=ORIENTED_EDGE('',*,*,#83111,.F.); #109845=ORIENTED_EDGE('',*,*,#83114,.F.); #109846=ORIENTED_EDGE('',*,*,#83117,.F.); #109847=ORIENTED_EDGE('',*,*,#83120,.F.); #109848=ORIENTED_EDGE('',*,*,#83123,.F.); #109849=ORIENTED_EDGE('',*,*,#83126,.F.); #109850=ORIENTED_EDGE('',*,*,#83129,.F.); #109851=ORIENTED_EDGE('',*,*,#83132,.F.); #109852=ORIENTED_EDGE('',*,*,#83135,.F.); #109853=ORIENTED_EDGE('',*,*,#83138,.F.); #109854=ORIENTED_EDGE('',*,*,#83141,.F.); #109855=ORIENTED_EDGE('',*,*,#83144,.F.); #109856=ORIENTED_EDGE('',*,*,#83147,.F.); #109857=ORIENTED_EDGE('',*,*,#83150,.F.); #109858=ORIENTED_EDGE('',*,*,#83153,.F.); #109859=ORIENTED_EDGE('',*,*,#83156,.F.); #109860=ORIENTED_EDGE('',*,*,#83159,.F.); #109861=ORIENTED_EDGE('',*,*,#83162,.F.); #109862=ORIENTED_EDGE('',*,*,#83165,.F.); #109863=ORIENTED_EDGE('',*,*,#83168,.F.); #109864=ORIENTED_EDGE('',*,*,#83171,.F.); #109865=ORIENTED_EDGE('',*,*,#83174,.F.); #109866=ORIENTED_EDGE('',*,*,#83177,.F.); #109867=ORIENTED_EDGE('',*,*,#83180,.F.); #109868=ORIENTED_EDGE('',*,*,#83183,.F.); #109869=ORIENTED_EDGE('',*,*,#83186,.F.); #109870=ORIENTED_EDGE('',*,*,#83189,.F.); #109871=ORIENTED_EDGE('',*,*,#83192,.F.); #109872=ORIENTED_EDGE('',*,*,#83195,.F.); #109873=ORIENTED_EDGE('',*,*,#83198,.F.); #109874=ORIENTED_EDGE('',*,*,#83201,.F.); #109875=ORIENTED_EDGE('',*,*,#83204,.F.); #109876=ORIENTED_EDGE('',*,*,#83207,.F.); #109877=ORIENTED_EDGE('',*,*,#83210,.F.); #109878=ORIENTED_EDGE('',*,*,#83213,.F.); #109879=ORIENTED_EDGE('',*,*,#83216,.F.); #109880=ORIENTED_EDGE('',*,*,#83219,.F.); #109881=ORIENTED_EDGE('',*,*,#83222,.F.); #109882=ORIENTED_EDGE('',*,*,#83225,.F.); #109883=ORIENTED_EDGE('',*,*,#83228,.F.); #109884=ORIENTED_EDGE('',*,*,#83231,.F.); #109885=ORIENTED_EDGE('',*,*,#83234,.F.); #109886=ORIENTED_EDGE('',*,*,#83237,.F.); #109887=ORIENTED_EDGE('',*,*,#83240,.F.); #109888=ORIENTED_EDGE('',*,*,#83243,.F.); #109889=ORIENTED_EDGE('',*,*,#83246,.F.); #109890=ORIENTED_EDGE('',*,*,#83249,.F.); #109891=ORIENTED_EDGE('',*,*,#83252,.F.); #109892=ORIENTED_EDGE('',*,*,#83255,.F.); #109893=ORIENTED_EDGE('',*,*,#83258,.F.); #109894=ORIENTED_EDGE('',*,*,#83261,.F.); #109895=ORIENTED_EDGE('',*,*,#83264,.F.); #109896=ORIENTED_EDGE('',*,*,#83267,.F.); #109897=ORIENTED_EDGE('',*,*,#83270,.F.); #109898=ORIENTED_EDGE('',*,*,#83273,.F.); #109899=ORIENTED_EDGE('',*,*,#83276,.F.); #109900=ORIENTED_EDGE('',*,*,#83279,.F.); #109901=ORIENTED_EDGE('',*,*,#83282,.F.); #109902=ORIENTED_EDGE('',*,*,#83285,.F.); #109903=ORIENTED_EDGE('',*,*,#83288,.F.); #109904=ORIENTED_EDGE('',*,*,#83291,.F.); #109905=ORIENTED_EDGE('',*,*,#83294,.F.); #109906=ORIENTED_EDGE('',*,*,#83295,.T.); #109907=ORIENTED_EDGE('',*,*,#83296,.T.); #109908=ORIENTED_EDGE('',*,*,#83297,.F.); #109909=ORIENTED_EDGE('',*,*,#83298,.F.); #109910=ORIENTED_EDGE('',*,*,#83299,.T.); #109911=ORIENTED_EDGE('',*,*,#83300,.T.); #109912=ORIENTED_EDGE('',*,*,#83295,.F.); #109913=ORIENTED_EDGE('',*,*,#83301,.F.); #109914=ORIENTED_EDGE('',*,*,#83302,.T.); #109915=ORIENTED_EDGE('',*,*,#83303,.T.); #109916=ORIENTED_EDGE('',*,*,#83299,.F.); #109917=ORIENTED_EDGE('',*,*,#83304,.F.); #109918=ORIENTED_EDGE('',*,*,#83305,.T.); #109919=ORIENTED_EDGE('',*,*,#83306,.T.); #109920=ORIENTED_EDGE('',*,*,#83302,.F.); #109921=ORIENTED_EDGE('',*,*,#83307,.F.); #109922=ORIENTED_EDGE('',*,*,#83308,.T.); #109923=ORIENTED_EDGE('',*,*,#83309,.T.); #109924=ORIENTED_EDGE('',*,*,#83305,.F.); #109925=ORIENTED_EDGE('',*,*,#83310,.F.); #109926=ORIENTED_EDGE('',*,*,#83297,.T.); #109927=ORIENTED_EDGE('',*,*,#83311,.T.); #109928=ORIENTED_EDGE('',*,*,#83308,.F.); #109929=ORIENTED_EDGE('',*,*,#83312,.F.); #109930=ORIENTED_EDGE('',*,*,#83313,.T.); #109931=ORIENTED_EDGE('',*,*,#83314,.T.); #109932=ORIENTED_EDGE('',*,*,#83315,.F.); #109933=ORIENTED_EDGE('',*,*,#83316,.F.); #109934=ORIENTED_EDGE('',*,*,#83317,.T.); #109935=ORIENTED_EDGE('',*,*,#83318,.T.); #109936=ORIENTED_EDGE('',*,*,#83313,.F.); #109937=ORIENTED_EDGE('',*,*,#83319,.F.); #109938=ORIENTED_EDGE('',*,*,#83320,.T.); #109939=ORIENTED_EDGE('',*,*,#83321,.T.); #109940=ORIENTED_EDGE('',*,*,#83317,.F.); #109941=ORIENTED_EDGE('',*,*,#83322,.F.); #109942=ORIENTED_EDGE('',*,*,#83323,.T.); #109943=ORIENTED_EDGE('',*,*,#83324,.T.); #109944=ORIENTED_EDGE('',*,*,#83320,.F.); #109945=ORIENTED_EDGE('',*,*,#83325,.F.); #109946=ORIENTED_EDGE('',*,*,#83326,.T.); #109947=ORIENTED_EDGE('',*,*,#83327,.T.); #109948=ORIENTED_EDGE('',*,*,#83323,.F.); #109949=ORIENTED_EDGE('',*,*,#83328,.F.); #109950=ORIENTED_EDGE('',*,*,#83329,.T.); #109951=ORIENTED_EDGE('',*,*,#83330,.T.); #109952=ORIENTED_EDGE('',*,*,#83326,.F.); #109953=ORIENTED_EDGE('',*,*,#83331,.F.); #109954=ORIENTED_EDGE('',*,*,#83332,.T.); #109955=ORIENTED_EDGE('',*,*,#83333,.T.); #109956=ORIENTED_EDGE('',*,*,#83329,.F.); #109957=ORIENTED_EDGE('',*,*,#83334,.F.); #109958=ORIENTED_EDGE('',*,*,#83335,.T.); #109959=ORIENTED_EDGE('',*,*,#83336,.T.); #109960=ORIENTED_EDGE('',*,*,#83332,.F.); #109961=ORIENTED_EDGE('',*,*,#83337,.F.); #109962=ORIENTED_EDGE('',*,*,#83338,.T.); #109963=ORIENTED_EDGE('',*,*,#83339,.T.); #109964=ORIENTED_EDGE('',*,*,#83335,.F.); #109965=ORIENTED_EDGE('',*,*,#83340,.F.); #109966=ORIENTED_EDGE('',*,*,#83341,.T.); #109967=ORIENTED_EDGE('',*,*,#83342,.T.); #109968=ORIENTED_EDGE('',*,*,#83338,.F.); #109969=ORIENTED_EDGE('',*,*,#83343,.F.); #109970=ORIENTED_EDGE('',*,*,#83344,.T.); #109971=ORIENTED_EDGE('',*,*,#83345,.T.); #109972=ORIENTED_EDGE('',*,*,#83341,.F.); #109973=ORIENTED_EDGE('',*,*,#83346,.F.); #109974=ORIENTED_EDGE('',*,*,#83347,.T.); #109975=ORIENTED_EDGE('',*,*,#83348,.T.); #109976=ORIENTED_EDGE('',*,*,#83344,.F.); #109977=ORIENTED_EDGE('',*,*,#83349,.F.); #109978=ORIENTED_EDGE('',*,*,#83350,.T.); #109979=ORIENTED_EDGE('',*,*,#83351,.T.); #109980=ORIENTED_EDGE('',*,*,#83347,.F.); #109981=ORIENTED_EDGE('',*,*,#83352,.F.); #109982=ORIENTED_EDGE('',*,*,#83353,.T.); #109983=ORIENTED_EDGE('',*,*,#83354,.T.); #109984=ORIENTED_EDGE('',*,*,#83350,.F.); #109985=ORIENTED_EDGE('',*,*,#83355,.F.); #109986=ORIENTED_EDGE('',*,*,#83356,.T.); #109987=ORIENTED_EDGE('',*,*,#83357,.T.); #109988=ORIENTED_EDGE('',*,*,#83353,.F.); #109989=ORIENTED_EDGE('',*,*,#83358,.F.); #109990=ORIENTED_EDGE('',*,*,#83359,.T.); #109991=ORIENTED_EDGE('',*,*,#83360,.T.); #109992=ORIENTED_EDGE('',*,*,#83356,.F.); #109993=ORIENTED_EDGE('',*,*,#83361,.F.); #109994=ORIENTED_EDGE('',*,*,#83362,.T.); #109995=ORIENTED_EDGE('',*,*,#83363,.T.); #109996=ORIENTED_EDGE('',*,*,#83359,.F.); #109997=ORIENTED_EDGE('',*,*,#83364,.F.); #109998=ORIENTED_EDGE('',*,*,#83365,.T.); #109999=ORIENTED_EDGE('',*,*,#83366,.T.); #110000=ORIENTED_EDGE('',*,*,#83362,.F.); #110001=ORIENTED_EDGE('',*,*,#83367,.F.); #110002=ORIENTED_EDGE('',*,*,#83368,.T.); #110003=ORIENTED_EDGE('',*,*,#83369,.T.); #110004=ORIENTED_EDGE('',*,*,#83365,.F.); #110005=ORIENTED_EDGE('',*,*,#83370,.F.); #110006=ORIENTED_EDGE('',*,*,#83371,.T.); #110007=ORIENTED_EDGE('',*,*,#83372,.T.); #110008=ORIENTED_EDGE('',*,*,#83368,.F.); #110009=ORIENTED_EDGE('',*,*,#83373,.F.); #110010=ORIENTED_EDGE('',*,*,#83374,.T.); #110011=ORIENTED_EDGE('',*,*,#83375,.T.); #110012=ORIENTED_EDGE('',*,*,#83371,.F.); #110013=ORIENTED_EDGE('',*,*,#83376,.F.); #110014=ORIENTED_EDGE('',*,*,#83377,.T.); #110015=ORIENTED_EDGE('',*,*,#83378,.T.); #110016=ORIENTED_EDGE('',*,*,#83374,.F.); #110017=ORIENTED_EDGE('',*,*,#83379,.F.); #110018=ORIENTED_EDGE('',*,*,#83380,.T.); #110019=ORIENTED_EDGE('',*,*,#83381,.T.); #110020=ORIENTED_EDGE('',*,*,#83377,.F.); #110021=ORIENTED_EDGE('',*,*,#83382,.F.); #110022=ORIENTED_EDGE('',*,*,#83383,.T.); #110023=ORIENTED_EDGE('',*,*,#83384,.T.); #110024=ORIENTED_EDGE('',*,*,#83380,.F.); #110025=ORIENTED_EDGE('',*,*,#83385,.F.); #110026=ORIENTED_EDGE('',*,*,#83386,.T.); #110027=ORIENTED_EDGE('',*,*,#83387,.T.); #110028=ORIENTED_EDGE('',*,*,#83383,.F.); #110029=ORIENTED_EDGE('',*,*,#83388,.F.); #110030=ORIENTED_EDGE('',*,*,#83389,.T.); #110031=ORIENTED_EDGE('',*,*,#83390,.T.); #110032=ORIENTED_EDGE('',*,*,#83386,.F.); #110033=ORIENTED_EDGE('',*,*,#83391,.F.); #110034=ORIENTED_EDGE('',*,*,#83392,.T.); #110035=ORIENTED_EDGE('',*,*,#83393,.T.); #110036=ORIENTED_EDGE('',*,*,#83389,.F.); #110037=ORIENTED_EDGE('',*,*,#83394,.F.); #110038=ORIENTED_EDGE('',*,*,#83395,.T.); #110039=ORIENTED_EDGE('',*,*,#83396,.T.); #110040=ORIENTED_EDGE('',*,*,#83392,.F.); #110041=ORIENTED_EDGE('',*,*,#83397,.F.); #110042=ORIENTED_EDGE('',*,*,#83398,.T.); #110043=ORIENTED_EDGE('',*,*,#83399,.T.); #110044=ORIENTED_EDGE('',*,*,#83395,.F.); #110045=ORIENTED_EDGE('',*,*,#83400,.F.); #110046=ORIENTED_EDGE('',*,*,#83401,.T.); #110047=ORIENTED_EDGE('',*,*,#83402,.T.); #110048=ORIENTED_EDGE('',*,*,#83398,.F.); #110049=ORIENTED_EDGE('',*,*,#83403,.F.); #110050=ORIENTED_EDGE('',*,*,#83404,.T.); #110051=ORIENTED_EDGE('',*,*,#83405,.T.); #110052=ORIENTED_EDGE('',*,*,#83401,.F.); #110053=ORIENTED_EDGE('',*,*,#83406,.F.); #110054=ORIENTED_EDGE('',*,*,#83407,.T.); #110055=ORIENTED_EDGE('',*,*,#83408,.T.); #110056=ORIENTED_EDGE('',*,*,#83404,.F.); #110057=ORIENTED_EDGE('',*,*,#83409,.F.); #110058=ORIENTED_EDGE('',*,*,#83410,.T.); #110059=ORIENTED_EDGE('',*,*,#83411,.T.); #110060=ORIENTED_EDGE('',*,*,#83407,.F.); #110061=ORIENTED_EDGE('',*,*,#83412,.F.); #110062=ORIENTED_EDGE('',*,*,#83413,.T.); #110063=ORIENTED_EDGE('',*,*,#83414,.T.); #110064=ORIENTED_EDGE('',*,*,#83410,.F.); #110065=ORIENTED_EDGE('',*,*,#83415,.F.); #110066=ORIENTED_EDGE('',*,*,#83416,.T.); #110067=ORIENTED_EDGE('',*,*,#83417,.T.); #110068=ORIENTED_EDGE('',*,*,#83413,.F.); #110069=ORIENTED_EDGE('',*,*,#83418,.F.); #110070=ORIENTED_EDGE('',*,*,#83419,.T.); #110071=ORIENTED_EDGE('',*,*,#83420,.T.); #110072=ORIENTED_EDGE('',*,*,#83416,.F.); #110073=ORIENTED_EDGE('',*,*,#83421,.F.); #110074=ORIENTED_EDGE('',*,*,#83422,.T.); #110075=ORIENTED_EDGE('',*,*,#83423,.T.); #110076=ORIENTED_EDGE('',*,*,#83419,.F.); #110077=ORIENTED_EDGE('',*,*,#83424,.F.); #110078=ORIENTED_EDGE('',*,*,#83425,.T.); #110079=ORIENTED_EDGE('',*,*,#83426,.T.); #110080=ORIENTED_EDGE('',*,*,#83422,.F.); #110081=ORIENTED_EDGE('',*,*,#83427,.F.); #110082=ORIENTED_EDGE('',*,*,#83428,.T.); #110083=ORIENTED_EDGE('',*,*,#83429,.T.); #110084=ORIENTED_EDGE('',*,*,#83425,.F.); #110085=ORIENTED_EDGE('',*,*,#83430,.F.); #110086=ORIENTED_EDGE('',*,*,#83431,.T.); #110087=ORIENTED_EDGE('',*,*,#83432,.T.); #110088=ORIENTED_EDGE('',*,*,#83428,.F.); #110089=ORIENTED_EDGE('',*,*,#83433,.F.); #110090=ORIENTED_EDGE('',*,*,#83434,.T.); #110091=ORIENTED_EDGE('',*,*,#83435,.T.); #110092=ORIENTED_EDGE('',*,*,#83431,.F.); #110093=ORIENTED_EDGE('',*,*,#83436,.F.); #110094=ORIENTED_EDGE('',*,*,#83437,.T.); #110095=ORIENTED_EDGE('',*,*,#83438,.T.); #110096=ORIENTED_EDGE('',*,*,#83434,.F.); #110097=ORIENTED_EDGE('',*,*,#83439,.F.); #110098=ORIENTED_EDGE('',*,*,#83440,.T.); #110099=ORIENTED_EDGE('',*,*,#83441,.T.); #110100=ORIENTED_EDGE('',*,*,#83437,.F.); #110101=ORIENTED_EDGE('',*,*,#83442,.F.); #110102=ORIENTED_EDGE('',*,*,#83443,.T.); #110103=ORIENTED_EDGE('',*,*,#83444,.T.); #110104=ORIENTED_EDGE('',*,*,#83440,.F.); #110105=ORIENTED_EDGE('',*,*,#83445,.F.); #110106=ORIENTED_EDGE('',*,*,#83446,.T.); #110107=ORIENTED_EDGE('',*,*,#83447,.T.); #110108=ORIENTED_EDGE('',*,*,#83443,.F.); #110109=ORIENTED_EDGE('',*,*,#83448,.F.); #110110=ORIENTED_EDGE('',*,*,#83449,.T.); #110111=ORIENTED_EDGE('',*,*,#83450,.T.); #110112=ORIENTED_EDGE('',*,*,#83446,.F.); #110113=ORIENTED_EDGE('',*,*,#83451,.F.); #110114=ORIENTED_EDGE('',*,*,#83452,.T.); #110115=ORIENTED_EDGE('',*,*,#83453,.T.); #110116=ORIENTED_EDGE('',*,*,#83449,.F.); #110117=ORIENTED_EDGE('',*,*,#83454,.F.); #110118=ORIENTED_EDGE('',*,*,#83455,.T.); #110119=ORIENTED_EDGE('',*,*,#83456,.T.); #110120=ORIENTED_EDGE('',*,*,#83452,.F.); #110121=ORIENTED_EDGE('',*,*,#83457,.F.); #110122=ORIENTED_EDGE('',*,*,#83458,.T.); #110123=ORIENTED_EDGE('',*,*,#83459,.T.); #110124=ORIENTED_EDGE('',*,*,#83455,.F.); #110125=ORIENTED_EDGE('',*,*,#83460,.F.); #110126=ORIENTED_EDGE('',*,*,#83461,.T.); #110127=ORIENTED_EDGE('',*,*,#83462,.T.); #110128=ORIENTED_EDGE('',*,*,#83458,.F.); #110129=ORIENTED_EDGE('',*,*,#83463,.F.); #110130=ORIENTED_EDGE('',*,*,#83464,.T.); #110131=ORIENTED_EDGE('',*,*,#83465,.T.); #110132=ORIENTED_EDGE('',*,*,#83461,.F.); #110133=ORIENTED_EDGE('',*,*,#83466,.F.); #110134=ORIENTED_EDGE('',*,*,#83467,.T.); #110135=ORIENTED_EDGE('',*,*,#83468,.T.); #110136=ORIENTED_EDGE('',*,*,#83464,.F.); #110137=ORIENTED_EDGE('',*,*,#83469,.F.); #110138=ORIENTED_EDGE('',*,*,#83470,.T.); #110139=ORIENTED_EDGE('',*,*,#83471,.T.); #110140=ORIENTED_EDGE('',*,*,#83467,.F.); #110141=ORIENTED_EDGE('',*,*,#83472,.F.); #110142=ORIENTED_EDGE('',*,*,#83473,.T.); #110143=ORIENTED_EDGE('',*,*,#83474,.T.); #110144=ORIENTED_EDGE('',*,*,#83470,.F.); #110145=ORIENTED_EDGE('',*,*,#83475,.F.); #110146=ORIENTED_EDGE('',*,*,#83476,.T.); #110147=ORIENTED_EDGE('',*,*,#83477,.T.); #110148=ORIENTED_EDGE('',*,*,#83473,.F.); #110149=ORIENTED_EDGE('',*,*,#83478,.F.); #110150=ORIENTED_EDGE('',*,*,#83479,.T.); #110151=ORIENTED_EDGE('',*,*,#83480,.T.); #110152=ORIENTED_EDGE('',*,*,#83476,.F.); #110153=ORIENTED_EDGE('',*,*,#83481,.F.); #110154=ORIENTED_EDGE('',*,*,#83482,.T.); #110155=ORIENTED_EDGE('',*,*,#83483,.T.); #110156=ORIENTED_EDGE('',*,*,#83479,.F.); #110157=ORIENTED_EDGE('',*,*,#83484,.F.); #110158=ORIENTED_EDGE('',*,*,#83485,.T.); #110159=ORIENTED_EDGE('',*,*,#83486,.T.); #110160=ORIENTED_EDGE('',*,*,#83482,.F.); #110161=ORIENTED_EDGE('',*,*,#83487,.F.); #110162=ORIENTED_EDGE('',*,*,#83488,.T.); #110163=ORIENTED_EDGE('',*,*,#83489,.T.); #110164=ORIENTED_EDGE('',*,*,#83485,.F.); #110165=ORIENTED_EDGE('',*,*,#83490,.F.); #110166=ORIENTED_EDGE('',*,*,#83491,.T.); #110167=ORIENTED_EDGE('',*,*,#83492,.T.); #110168=ORIENTED_EDGE('',*,*,#83488,.F.); #110169=ORIENTED_EDGE('',*,*,#83493,.F.); #110170=ORIENTED_EDGE('',*,*,#83494,.T.); #110171=ORIENTED_EDGE('',*,*,#83495,.T.); #110172=ORIENTED_EDGE('',*,*,#83491,.F.); #110173=ORIENTED_EDGE('',*,*,#83496,.F.); #110174=ORIENTED_EDGE('',*,*,#83497,.T.); #110175=ORIENTED_EDGE('',*,*,#83498,.T.); #110176=ORIENTED_EDGE('',*,*,#83494,.F.); #110177=ORIENTED_EDGE('',*,*,#83499,.F.); #110178=ORIENTED_EDGE('',*,*,#83500,.T.); #110179=ORIENTED_EDGE('',*,*,#83501,.T.); #110180=ORIENTED_EDGE('',*,*,#83497,.F.); #110181=ORIENTED_EDGE('',*,*,#83502,.F.); #110182=ORIENTED_EDGE('',*,*,#83503,.T.); #110183=ORIENTED_EDGE('',*,*,#83504,.T.); #110184=ORIENTED_EDGE('',*,*,#83500,.F.); #110185=ORIENTED_EDGE('',*,*,#83505,.F.); #110186=ORIENTED_EDGE('',*,*,#83506,.T.); #110187=ORIENTED_EDGE('',*,*,#83507,.T.); #110188=ORIENTED_EDGE('',*,*,#83503,.F.); #110189=ORIENTED_EDGE('',*,*,#83508,.F.); #110190=ORIENTED_EDGE('',*,*,#83509,.T.); #110191=ORIENTED_EDGE('',*,*,#83510,.T.); #110192=ORIENTED_EDGE('',*,*,#83506,.F.); #110193=ORIENTED_EDGE('',*,*,#83511,.F.); #110194=ORIENTED_EDGE('',*,*,#83512,.T.); #110195=ORIENTED_EDGE('',*,*,#83513,.T.); #110196=ORIENTED_EDGE('',*,*,#83509,.F.); #110197=ORIENTED_EDGE('',*,*,#83514,.F.); #110198=ORIENTED_EDGE('',*,*,#83515,.T.); #110199=ORIENTED_EDGE('',*,*,#83516,.T.); #110200=ORIENTED_EDGE('',*,*,#83512,.F.); #110201=ORIENTED_EDGE('',*,*,#83517,.F.); #110202=ORIENTED_EDGE('',*,*,#83518,.T.); #110203=ORIENTED_EDGE('',*,*,#83519,.T.); #110204=ORIENTED_EDGE('',*,*,#83515,.F.); #110205=ORIENTED_EDGE('',*,*,#83520,.F.); #110206=ORIENTED_EDGE('',*,*,#83521,.T.); #110207=ORIENTED_EDGE('',*,*,#83522,.T.); #110208=ORIENTED_EDGE('',*,*,#83518,.F.); #110209=ORIENTED_EDGE('',*,*,#83523,.F.); #110210=ORIENTED_EDGE('',*,*,#83524,.T.); #110211=ORIENTED_EDGE('',*,*,#83525,.T.); #110212=ORIENTED_EDGE('',*,*,#83521,.F.); #110213=ORIENTED_EDGE('',*,*,#83526,.F.); #110214=ORIENTED_EDGE('',*,*,#83527,.T.); #110215=ORIENTED_EDGE('',*,*,#83528,.T.); #110216=ORIENTED_EDGE('',*,*,#83524,.F.); #110217=ORIENTED_EDGE('',*,*,#83529,.F.); #110218=ORIENTED_EDGE('',*,*,#83530,.T.); #110219=ORIENTED_EDGE('',*,*,#83531,.T.); #110220=ORIENTED_EDGE('',*,*,#83527,.F.); #110221=ORIENTED_EDGE('',*,*,#83532,.F.); #110222=ORIENTED_EDGE('',*,*,#83533,.T.); #110223=ORIENTED_EDGE('',*,*,#83534,.T.); #110224=ORIENTED_EDGE('',*,*,#83530,.F.); #110225=ORIENTED_EDGE('',*,*,#83535,.F.); #110226=ORIENTED_EDGE('',*,*,#83536,.T.); #110227=ORIENTED_EDGE('',*,*,#83537,.T.); #110228=ORIENTED_EDGE('',*,*,#83533,.F.); #110229=ORIENTED_EDGE('',*,*,#83538,.F.); #110230=ORIENTED_EDGE('',*,*,#83539,.T.); #110231=ORIENTED_EDGE('',*,*,#83540,.T.); #110232=ORIENTED_EDGE('',*,*,#83536,.F.); #110233=ORIENTED_EDGE('',*,*,#83541,.F.); #110234=ORIENTED_EDGE('',*,*,#83542,.T.); #110235=ORIENTED_EDGE('',*,*,#83543,.T.); #110236=ORIENTED_EDGE('',*,*,#83539,.F.); #110237=ORIENTED_EDGE('',*,*,#83544,.F.); #110238=ORIENTED_EDGE('',*,*,#83545,.T.); #110239=ORIENTED_EDGE('',*,*,#83546,.T.); #110240=ORIENTED_EDGE('',*,*,#83542,.F.); #110241=ORIENTED_EDGE('',*,*,#83547,.F.); #110242=ORIENTED_EDGE('',*,*,#83548,.T.); #110243=ORIENTED_EDGE('',*,*,#83549,.T.); #110244=ORIENTED_EDGE('',*,*,#83545,.F.); #110245=ORIENTED_EDGE('',*,*,#83550,.F.); #110246=ORIENTED_EDGE('',*,*,#83551,.T.); #110247=ORIENTED_EDGE('',*,*,#83552,.T.); #110248=ORIENTED_EDGE('',*,*,#83548,.F.); #110249=ORIENTED_EDGE('',*,*,#83553,.F.); #110250=ORIENTED_EDGE('',*,*,#83315,.T.); #110251=ORIENTED_EDGE('',*,*,#83554,.T.); #110252=ORIENTED_EDGE('',*,*,#83551,.F.); #110253=ORIENTED_EDGE('',*,*,#83554,.F.); #110254=ORIENTED_EDGE('',*,*,#83314,.F.); #110255=ORIENTED_EDGE('',*,*,#83318,.F.); #110256=ORIENTED_EDGE('',*,*,#83321,.F.); #110257=ORIENTED_EDGE('',*,*,#83324,.F.); #110258=ORIENTED_EDGE('',*,*,#83327,.F.); #110259=ORIENTED_EDGE('',*,*,#83330,.F.); #110260=ORIENTED_EDGE('',*,*,#83333,.F.); #110261=ORIENTED_EDGE('',*,*,#83336,.F.); #110262=ORIENTED_EDGE('',*,*,#83339,.F.); #110263=ORIENTED_EDGE('',*,*,#83342,.F.); #110264=ORIENTED_EDGE('',*,*,#83345,.F.); #110265=ORIENTED_EDGE('',*,*,#83348,.F.); #110266=ORIENTED_EDGE('',*,*,#83351,.F.); #110267=ORIENTED_EDGE('',*,*,#83354,.F.); #110268=ORIENTED_EDGE('',*,*,#83357,.F.); #110269=ORIENTED_EDGE('',*,*,#83360,.F.); #110270=ORIENTED_EDGE('',*,*,#83363,.F.); #110271=ORIENTED_EDGE('',*,*,#83366,.F.); #110272=ORIENTED_EDGE('',*,*,#83369,.F.); #110273=ORIENTED_EDGE('',*,*,#83372,.F.); #110274=ORIENTED_EDGE('',*,*,#83375,.F.); #110275=ORIENTED_EDGE('',*,*,#83378,.F.); #110276=ORIENTED_EDGE('',*,*,#83381,.F.); #110277=ORIENTED_EDGE('',*,*,#83384,.F.); #110278=ORIENTED_EDGE('',*,*,#83387,.F.); #110279=ORIENTED_EDGE('',*,*,#83390,.F.); #110280=ORIENTED_EDGE('',*,*,#83393,.F.); #110281=ORIENTED_EDGE('',*,*,#83396,.F.); #110282=ORIENTED_EDGE('',*,*,#83399,.F.); #110283=ORIENTED_EDGE('',*,*,#83402,.F.); #110284=ORIENTED_EDGE('',*,*,#83405,.F.); #110285=ORIENTED_EDGE('',*,*,#83408,.F.); #110286=ORIENTED_EDGE('',*,*,#83411,.F.); #110287=ORIENTED_EDGE('',*,*,#83414,.F.); #110288=ORIENTED_EDGE('',*,*,#83417,.F.); #110289=ORIENTED_EDGE('',*,*,#83420,.F.); #110290=ORIENTED_EDGE('',*,*,#83423,.F.); #110291=ORIENTED_EDGE('',*,*,#83426,.F.); #110292=ORIENTED_EDGE('',*,*,#83429,.F.); #110293=ORIENTED_EDGE('',*,*,#83432,.F.); #110294=ORIENTED_EDGE('',*,*,#83435,.F.); #110295=ORIENTED_EDGE('',*,*,#83438,.F.); #110296=ORIENTED_EDGE('',*,*,#83441,.F.); #110297=ORIENTED_EDGE('',*,*,#83444,.F.); #110298=ORIENTED_EDGE('',*,*,#83447,.F.); #110299=ORIENTED_EDGE('',*,*,#83450,.F.); #110300=ORIENTED_EDGE('',*,*,#83453,.F.); #110301=ORIENTED_EDGE('',*,*,#83456,.F.); #110302=ORIENTED_EDGE('',*,*,#83459,.F.); #110303=ORIENTED_EDGE('',*,*,#83462,.F.); #110304=ORIENTED_EDGE('',*,*,#83465,.F.); #110305=ORIENTED_EDGE('',*,*,#83468,.F.); #110306=ORIENTED_EDGE('',*,*,#83471,.F.); #110307=ORIENTED_EDGE('',*,*,#83474,.F.); #110308=ORIENTED_EDGE('',*,*,#83477,.F.); #110309=ORIENTED_EDGE('',*,*,#83480,.F.); #110310=ORIENTED_EDGE('',*,*,#83483,.F.); #110311=ORIENTED_EDGE('',*,*,#83486,.F.); #110312=ORIENTED_EDGE('',*,*,#83489,.F.); #110313=ORIENTED_EDGE('',*,*,#83492,.F.); #110314=ORIENTED_EDGE('',*,*,#83495,.F.); #110315=ORIENTED_EDGE('',*,*,#83498,.F.); #110316=ORIENTED_EDGE('',*,*,#83501,.F.); #110317=ORIENTED_EDGE('',*,*,#83504,.F.); #110318=ORIENTED_EDGE('',*,*,#83507,.F.); #110319=ORIENTED_EDGE('',*,*,#83510,.F.); #110320=ORIENTED_EDGE('',*,*,#83513,.F.); #110321=ORIENTED_EDGE('',*,*,#83516,.F.); #110322=ORIENTED_EDGE('',*,*,#83519,.F.); #110323=ORIENTED_EDGE('',*,*,#83522,.F.); #110324=ORIENTED_EDGE('',*,*,#83525,.F.); #110325=ORIENTED_EDGE('',*,*,#83528,.F.); #110326=ORIENTED_EDGE('',*,*,#83531,.F.); #110327=ORIENTED_EDGE('',*,*,#83534,.F.); #110328=ORIENTED_EDGE('',*,*,#83537,.F.); #110329=ORIENTED_EDGE('',*,*,#83540,.F.); #110330=ORIENTED_EDGE('',*,*,#83543,.F.); #110331=ORIENTED_EDGE('',*,*,#83546,.F.); #110332=ORIENTED_EDGE('',*,*,#83549,.F.); #110333=ORIENTED_EDGE('',*,*,#83552,.F.); #110334=ORIENTED_EDGE('',*,*,#83311,.F.); #110335=ORIENTED_EDGE('',*,*,#83296,.F.); #110336=ORIENTED_EDGE('',*,*,#83300,.F.); #110337=ORIENTED_EDGE('',*,*,#83303,.F.); #110338=ORIENTED_EDGE('',*,*,#83306,.F.); #110339=ORIENTED_EDGE('',*,*,#83309,.F.); #110340=ORIENTED_EDGE('',*,*,#83555,.F.); #110341=ORIENTED_EDGE('',*,*,#83556,.T.); #110342=ORIENTED_EDGE('',*,*,#83557,.T.); #110343=ORIENTED_EDGE('',*,*,#83558,.F.); #110344=ORIENTED_EDGE('',*,*,#83559,.F.); #110345=ORIENTED_EDGE('',*,*,#83560,.T.); #110346=ORIENTED_EDGE('',*,*,#83561,.T.); #110347=ORIENTED_EDGE('',*,*,#83556,.F.); #110348=ORIENTED_EDGE('',*,*,#83562,.F.); #110349=ORIENTED_EDGE('',*,*,#83563,.T.); #110350=ORIENTED_EDGE('',*,*,#83564,.T.); #110351=ORIENTED_EDGE('',*,*,#83560,.F.); #110352=ORIENTED_EDGE('',*,*,#83565,.F.); #110353=ORIENTED_EDGE('',*,*,#83566,.T.); #110354=ORIENTED_EDGE('',*,*,#83567,.T.); #110355=ORIENTED_EDGE('',*,*,#83563,.F.); #110356=ORIENTED_EDGE('',*,*,#83568,.F.); #110357=ORIENTED_EDGE('',*,*,#83569,.T.); #110358=ORIENTED_EDGE('',*,*,#83570,.T.); #110359=ORIENTED_EDGE('',*,*,#83566,.F.); #110360=ORIENTED_EDGE('',*,*,#83571,.F.); #110361=ORIENTED_EDGE('',*,*,#83572,.T.); #110362=ORIENTED_EDGE('',*,*,#83573,.T.); #110363=ORIENTED_EDGE('',*,*,#83569,.F.); #110364=ORIENTED_EDGE('',*,*,#83574,.F.); #110365=ORIENTED_EDGE('',*,*,#83575,.T.); #110366=ORIENTED_EDGE('',*,*,#83576,.T.); #110367=ORIENTED_EDGE('',*,*,#83572,.F.); #110368=ORIENTED_EDGE('',*,*,#83577,.F.); #110369=ORIENTED_EDGE('',*,*,#83578,.T.); #110370=ORIENTED_EDGE('',*,*,#83579,.T.); #110371=ORIENTED_EDGE('',*,*,#83575,.F.); #110372=ORIENTED_EDGE('',*,*,#83580,.F.); #110373=ORIENTED_EDGE('',*,*,#83581,.T.); #110374=ORIENTED_EDGE('',*,*,#83582,.T.); #110375=ORIENTED_EDGE('',*,*,#83578,.F.); #110376=ORIENTED_EDGE('',*,*,#83583,.F.); #110377=ORIENTED_EDGE('',*,*,#83584,.T.); #110378=ORIENTED_EDGE('',*,*,#83585,.T.); #110379=ORIENTED_EDGE('',*,*,#83581,.F.); #110380=ORIENTED_EDGE('',*,*,#83586,.F.); #110381=ORIENTED_EDGE('',*,*,#83587,.T.); #110382=ORIENTED_EDGE('',*,*,#83588,.T.); #110383=ORIENTED_EDGE('',*,*,#83584,.F.); #110384=ORIENTED_EDGE('',*,*,#83589,.F.); #110385=ORIENTED_EDGE('',*,*,#83558,.T.); #110386=ORIENTED_EDGE('',*,*,#83590,.T.); #110387=ORIENTED_EDGE('',*,*,#83587,.F.); #110388=ORIENTED_EDGE('',*,*,#83590,.F.); #110389=ORIENTED_EDGE('',*,*,#83557,.F.); #110390=ORIENTED_EDGE('',*,*,#83561,.F.); #110391=ORIENTED_EDGE('',*,*,#83564,.F.); #110392=ORIENTED_EDGE('',*,*,#83567,.F.); #110393=ORIENTED_EDGE('',*,*,#83570,.F.); #110394=ORIENTED_EDGE('',*,*,#83573,.F.); #110395=ORIENTED_EDGE('',*,*,#83576,.F.); #110396=ORIENTED_EDGE('',*,*,#83579,.F.); #110397=ORIENTED_EDGE('',*,*,#83582,.F.); #110398=ORIENTED_EDGE('',*,*,#83585,.F.); #110399=ORIENTED_EDGE('',*,*,#83588,.F.); #110400=ORIENTED_EDGE('',*,*,#83591,.F.); #110401=ORIENTED_EDGE('',*,*,#83592,.T.); #110402=ORIENTED_EDGE('',*,*,#83593,.T.); #110403=ORIENTED_EDGE('',*,*,#83594,.F.); #110404=ORIENTED_EDGE('',*,*,#83595,.F.); #110405=ORIENTED_EDGE('',*,*,#83596,.T.); #110406=ORIENTED_EDGE('',*,*,#83597,.T.); #110407=ORIENTED_EDGE('',*,*,#83592,.F.); #110408=ORIENTED_EDGE('',*,*,#83598,.F.); #110409=ORIENTED_EDGE('',*,*,#83599,.T.); #110410=ORIENTED_EDGE('',*,*,#83600,.T.); #110411=ORIENTED_EDGE('',*,*,#83596,.F.); #110412=ORIENTED_EDGE('',*,*,#83601,.F.); #110413=ORIENTED_EDGE('',*,*,#83602,.T.); #110414=ORIENTED_EDGE('',*,*,#83603,.T.); #110415=ORIENTED_EDGE('',*,*,#83599,.F.); #110416=ORIENTED_EDGE('',*,*,#83604,.F.); #110417=ORIENTED_EDGE('',*,*,#83605,.T.); #110418=ORIENTED_EDGE('',*,*,#83606,.T.); #110419=ORIENTED_EDGE('',*,*,#83602,.F.); #110420=ORIENTED_EDGE('',*,*,#83607,.F.); #110421=ORIENTED_EDGE('',*,*,#83608,.T.); #110422=ORIENTED_EDGE('',*,*,#83609,.T.); #110423=ORIENTED_EDGE('',*,*,#83605,.F.); #110424=ORIENTED_EDGE('',*,*,#83610,.F.); #110425=ORIENTED_EDGE('',*,*,#83611,.T.); #110426=ORIENTED_EDGE('',*,*,#83612,.T.); #110427=ORIENTED_EDGE('',*,*,#83608,.F.); #110428=ORIENTED_EDGE('',*,*,#83613,.F.); #110429=ORIENTED_EDGE('',*,*,#83594,.T.); #110430=ORIENTED_EDGE('',*,*,#83614,.T.); #110431=ORIENTED_EDGE('',*,*,#83611,.F.); #110432=ORIENTED_EDGE('',*,*,#83615,.F.); #110433=ORIENTED_EDGE('',*,*,#83616,.T.); #110434=ORIENTED_EDGE('',*,*,#83617,.T.); #110435=ORIENTED_EDGE('',*,*,#83618,.F.); #110436=ORIENTED_EDGE('',*,*,#83619,.F.); #110437=ORIENTED_EDGE('',*,*,#83620,.T.); #110438=ORIENTED_EDGE('',*,*,#83621,.T.); #110439=ORIENTED_EDGE('',*,*,#83616,.F.); #110440=ORIENTED_EDGE('',*,*,#83622,.F.); #110441=ORIENTED_EDGE('',*,*,#83623,.T.); #110442=ORIENTED_EDGE('',*,*,#83624,.T.); #110443=ORIENTED_EDGE('',*,*,#83620,.F.); #110444=ORIENTED_EDGE('',*,*,#83625,.F.); #110445=ORIENTED_EDGE('',*,*,#83626,.T.); #110446=ORIENTED_EDGE('',*,*,#83627,.T.); #110447=ORIENTED_EDGE('',*,*,#83623,.F.); #110448=ORIENTED_EDGE('',*,*,#83628,.F.); #110449=ORIENTED_EDGE('',*,*,#83629,.T.); #110450=ORIENTED_EDGE('',*,*,#83630,.T.); #110451=ORIENTED_EDGE('',*,*,#83626,.F.); #110452=ORIENTED_EDGE('',*,*,#83631,.F.); #110453=ORIENTED_EDGE('',*,*,#83632,.T.); #110454=ORIENTED_EDGE('',*,*,#83633,.T.); #110455=ORIENTED_EDGE('',*,*,#83629,.F.); #110456=ORIENTED_EDGE('',*,*,#83634,.F.); #110457=ORIENTED_EDGE('',*,*,#83635,.T.); #110458=ORIENTED_EDGE('',*,*,#83636,.T.); #110459=ORIENTED_EDGE('',*,*,#83632,.F.); #110460=ORIENTED_EDGE('',*,*,#83637,.F.); #110461=ORIENTED_EDGE('',*,*,#83638,.T.); #110462=ORIENTED_EDGE('',*,*,#83639,.T.); #110463=ORIENTED_EDGE('',*,*,#83635,.F.); #110464=ORIENTED_EDGE('',*,*,#83640,.F.); #110465=ORIENTED_EDGE('',*,*,#83641,.T.); #110466=ORIENTED_EDGE('',*,*,#83642,.T.); #110467=ORIENTED_EDGE('',*,*,#83638,.F.); #110468=ORIENTED_EDGE('',*,*,#83643,.F.); #110469=ORIENTED_EDGE('',*,*,#83644,.T.); #110470=ORIENTED_EDGE('',*,*,#83645,.T.); #110471=ORIENTED_EDGE('',*,*,#83641,.F.); #110472=ORIENTED_EDGE('',*,*,#83646,.F.); #110473=ORIENTED_EDGE('',*,*,#83647,.T.); #110474=ORIENTED_EDGE('',*,*,#83648,.T.); #110475=ORIENTED_EDGE('',*,*,#83644,.F.); #110476=ORIENTED_EDGE('',*,*,#83649,.F.); #110477=ORIENTED_EDGE('',*,*,#83650,.T.); #110478=ORIENTED_EDGE('',*,*,#83651,.T.); #110479=ORIENTED_EDGE('',*,*,#83647,.F.); #110480=ORIENTED_EDGE('',*,*,#83652,.F.); #110481=ORIENTED_EDGE('',*,*,#83653,.T.); #110482=ORIENTED_EDGE('',*,*,#83654,.T.); #110483=ORIENTED_EDGE('',*,*,#83650,.F.); #110484=ORIENTED_EDGE('',*,*,#83655,.F.); #110485=ORIENTED_EDGE('',*,*,#83656,.T.); #110486=ORIENTED_EDGE('',*,*,#83657,.T.); #110487=ORIENTED_EDGE('',*,*,#83653,.F.); #110488=ORIENTED_EDGE('',*,*,#83658,.F.); #110489=ORIENTED_EDGE('',*,*,#83659,.T.); #110490=ORIENTED_EDGE('',*,*,#83660,.T.); #110491=ORIENTED_EDGE('',*,*,#83656,.F.); #110492=ORIENTED_EDGE('',*,*,#83661,.F.); #110493=ORIENTED_EDGE('',*,*,#83662,.T.); #110494=ORIENTED_EDGE('',*,*,#83663,.T.); #110495=ORIENTED_EDGE('',*,*,#83659,.F.); #110496=ORIENTED_EDGE('',*,*,#83664,.F.); #110497=ORIENTED_EDGE('',*,*,#83665,.T.); #110498=ORIENTED_EDGE('',*,*,#83666,.T.); #110499=ORIENTED_EDGE('',*,*,#83662,.F.); #110500=ORIENTED_EDGE('',*,*,#83667,.F.); #110501=ORIENTED_EDGE('',*,*,#83668,.T.); #110502=ORIENTED_EDGE('',*,*,#83669,.T.); #110503=ORIENTED_EDGE('',*,*,#83665,.F.); #110504=ORIENTED_EDGE('',*,*,#83670,.F.); #110505=ORIENTED_EDGE('',*,*,#83671,.T.); #110506=ORIENTED_EDGE('',*,*,#83672,.T.); #110507=ORIENTED_EDGE('',*,*,#83668,.F.); #110508=ORIENTED_EDGE('',*,*,#83673,.F.); #110509=ORIENTED_EDGE('',*,*,#83674,.T.); #110510=ORIENTED_EDGE('',*,*,#83675,.T.); #110511=ORIENTED_EDGE('',*,*,#83671,.F.); #110512=ORIENTED_EDGE('',*,*,#83676,.F.); #110513=ORIENTED_EDGE('',*,*,#83677,.T.); #110514=ORIENTED_EDGE('',*,*,#83678,.T.); #110515=ORIENTED_EDGE('',*,*,#83674,.F.); #110516=ORIENTED_EDGE('',*,*,#83679,.F.); #110517=ORIENTED_EDGE('',*,*,#83680,.T.); #110518=ORIENTED_EDGE('',*,*,#83681,.T.); #110519=ORIENTED_EDGE('',*,*,#83677,.F.); #110520=ORIENTED_EDGE('',*,*,#83682,.F.); #110521=ORIENTED_EDGE('',*,*,#83683,.T.); #110522=ORIENTED_EDGE('',*,*,#83684,.T.); #110523=ORIENTED_EDGE('',*,*,#83680,.F.); #110524=ORIENTED_EDGE('',*,*,#83685,.F.); #110525=ORIENTED_EDGE('',*,*,#83686,.T.); #110526=ORIENTED_EDGE('',*,*,#83687,.T.); #110527=ORIENTED_EDGE('',*,*,#83683,.F.); #110528=ORIENTED_EDGE('',*,*,#83688,.F.); #110529=ORIENTED_EDGE('',*,*,#83689,.T.); #110530=ORIENTED_EDGE('',*,*,#83690,.T.); #110531=ORIENTED_EDGE('',*,*,#83686,.F.); #110532=ORIENTED_EDGE('',*,*,#83691,.F.); #110533=ORIENTED_EDGE('',*,*,#83692,.T.); #110534=ORIENTED_EDGE('',*,*,#83693,.T.); #110535=ORIENTED_EDGE('',*,*,#83689,.F.); #110536=ORIENTED_EDGE('',*,*,#83694,.F.); #110537=ORIENTED_EDGE('',*,*,#83695,.T.); #110538=ORIENTED_EDGE('',*,*,#83696,.T.); #110539=ORIENTED_EDGE('',*,*,#83692,.F.); #110540=ORIENTED_EDGE('',*,*,#83697,.F.); #110541=ORIENTED_EDGE('',*,*,#83698,.T.); #110542=ORIENTED_EDGE('',*,*,#83699,.T.); #110543=ORIENTED_EDGE('',*,*,#83695,.F.); #110544=ORIENTED_EDGE('',*,*,#83700,.F.); #110545=ORIENTED_EDGE('',*,*,#83701,.T.); #110546=ORIENTED_EDGE('',*,*,#83702,.T.); #110547=ORIENTED_EDGE('',*,*,#83698,.F.); #110548=ORIENTED_EDGE('',*,*,#83703,.F.); #110549=ORIENTED_EDGE('',*,*,#83704,.T.); #110550=ORIENTED_EDGE('',*,*,#83705,.T.); #110551=ORIENTED_EDGE('',*,*,#83701,.F.); #110552=ORIENTED_EDGE('',*,*,#83706,.F.); #110553=ORIENTED_EDGE('',*,*,#83707,.T.); #110554=ORIENTED_EDGE('',*,*,#83708,.T.); #110555=ORIENTED_EDGE('',*,*,#83704,.F.); #110556=ORIENTED_EDGE('',*,*,#83709,.F.); #110557=ORIENTED_EDGE('',*,*,#83710,.T.); #110558=ORIENTED_EDGE('',*,*,#83711,.T.); #110559=ORIENTED_EDGE('',*,*,#83707,.F.); #110560=ORIENTED_EDGE('',*,*,#83712,.F.); #110561=ORIENTED_EDGE('',*,*,#83713,.T.); #110562=ORIENTED_EDGE('',*,*,#83714,.T.); #110563=ORIENTED_EDGE('',*,*,#83710,.F.); #110564=ORIENTED_EDGE('',*,*,#83715,.F.); #110565=ORIENTED_EDGE('',*,*,#83716,.T.); #110566=ORIENTED_EDGE('',*,*,#83717,.T.); #110567=ORIENTED_EDGE('',*,*,#83713,.F.); #110568=ORIENTED_EDGE('',*,*,#83718,.F.); #110569=ORIENTED_EDGE('',*,*,#83719,.T.); #110570=ORIENTED_EDGE('',*,*,#83720,.T.); #110571=ORIENTED_EDGE('',*,*,#83716,.F.); #110572=ORIENTED_EDGE('',*,*,#83721,.F.); #110573=ORIENTED_EDGE('',*,*,#83722,.T.); #110574=ORIENTED_EDGE('',*,*,#83723,.T.); #110575=ORIENTED_EDGE('',*,*,#83719,.F.); #110576=ORIENTED_EDGE('',*,*,#83724,.F.); #110577=ORIENTED_EDGE('',*,*,#83725,.T.); #110578=ORIENTED_EDGE('',*,*,#83726,.T.); #110579=ORIENTED_EDGE('',*,*,#83722,.F.); #110580=ORIENTED_EDGE('',*,*,#83727,.F.); #110581=ORIENTED_EDGE('',*,*,#83728,.T.); #110582=ORIENTED_EDGE('',*,*,#83729,.T.); #110583=ORIENTED_EDGE('',*,*,#83725,.F.); #110584=ORIENTED_EDGE('',*,*,#83730,.F.); #110585=ORIENTED_EDGE('',*,*,#83731,.T.); #110586=ORIENTED_EDGE('',*,*,#83732,.T.); #110587=ORIENTED_EDGE('',*,*,#83728,.F.); #110588=ORIENTED_EDGE('',*,*,#83733,.F.); #110589=ORIENTED_EDGE('',*,*,#83734,.T.); #110590=ORIENTED_EDGE('',*,*,#83735,.T.); #110591=ORIENTED_EDGE('',*,*,#83731,.F.); #110592=ORIENTED_EDGE('',*,*,#83736,.F.); #110593=ORIENTED_EDGE('',*,*,#83737,.T.); #110594=ORIENTED_EDGE('',*,*,#83738,.T.); #110595=ORIENTED_EDGE('',*,*,#83734,.F.); #110596=ORIENTED_EDGE('',*,*,#83739,.F.); #110597=ORIENTED_EDGE('',*,*,#83740,.T.); #110598=ORIENTED_EDGE('',*,*,#83741,.T.); #110599=ORIENTED_EDGE('',*,*,#83737,.F.); #110600=ORIENTED_EDGE('',*,*,#83742,.F.); #110601=ORIENTED_EDGE('',*,*,#83743,.T.); #110602=ORIENTED_EDGE('',*,*,#83744,.T.); #110603=ORIENTED_EDGE('',*,*,#83740,.F.); #110604=ORIENTED_EDGE('',*,*,#83745,.F.); #110605=ORIENTED_EDGE('',*,*,#83746,.T.); #110606=ORIENTED_EDGE('',*,*,#83747,.T.); #110607=ORIENTED_EDGE('',*,*,#83743,.F.); #110608=ORIENTED_EDGE('',*,*,#83748,.F.); #110609=ORIENTED_EDGE('',*,*,#83749,.T.); #110610=ORIENTED_EDGE('',*,*,#83750,.T.); #110611=ORIENTED_EDGE('',*,*,#83746,.F.); #110612=ORIENTED_EDGE('',*,*,#83751,.F.); #110613=ORIENTED_EDGE('',*,*,#83752,.T.); #110614=ORIENTED_EDGE('',*,*,#83753,.T.); #110615=ORIENTED_EDGE('',*,*,#83749,.F.); #110616=ORIENTED_EDGE('',*,*,#83754,.F.); #110617=ORIENTED_EDGE('',*,*,#83755,.T.); #110618=ORIENTED_EDGE('',*,*,#83756,.T.); #110619=ORIENTED_EDGE('',*,*,#83752,.F.); #110620=ORIENTED_EDGE('',*,*,#83757,.F.); #110621=ORIENTED_EDGE('',*,*,#83758,.T.); #110622=ORIENTED_EDGE('',*,*,#83759,.T.); #110623=ORIENTED_EDGE('',*,*,#83755,.F.); #110624=ORIENTED_EDGE('',*,*,#83760,.F.); #110625=ORIENTED_EDGE('',*,*,#83761,.T.); #110626=ORIENTED_EDGE('',*,*,#83762,.T.); #110627=ORIENTED_EDGE('',*,*,#83758,.F.); #110628=ORIENTED_EDGE('',*,*,#83763,.F.); #110629=ORIENTED_EDGE('',*,*,#83764,.T.); #110630=ORIENTED_EDGE('',*,*,#83765,.T.); #110631=ORIENTED_EDGE('',*,*,#83761,.F.); #110632=ORIENTED_EDGE('',*,*,#83766,.F.); #110633=ORIENTED_EDGE('',*,*,#83767,.T.); #110634=ORIENTED_EDGE('',*,*,#83768,.T.); #110635=ORIENTED_EDGE('',*,*,#83764,.F.); #110636=ORIENTED_EDGE('',*,*,#83769,.F.); #110637=ORIENTED_EDGE('',*,*,#83770,.T.); #110638=ORIENTED_EDGE('',*,*,#83771,.T.); #110639=ORIENTED_EDGE('',*,*,#83767,.F.); #110640=ORIENTED_EDGE('',*,*,#83772,.F.); #110641=ORIENTED_EDGE('',*,*,#83773,.T.); #110642=ORIENTED_EDGE('',*,*,#83774,.T.); #110643=ORIENTED_EDGE('',*,*,#83770,.F.); #110644=ORIENTED_EDGE('',*,*,#83775,.F.); #110645=ORIENTED_EDGE('',*,*,#83776,.T.); #110646=ORIENTED_EDGE('',*,*,#83777,.T.); #110647=ORIENTED_EDGE('',*,*,#83773,.F.); #110648=ORIENTED_EDGE('',*,*,#83778,.F.); #110649=ORIENTED_EDGE('',*,*,#83779,.T.); #110650=ORIENTED_EDGE('',*,*,#83780,.T.); #110651=ORIENTED_EDGE('',*,*,#83776,.F.); #110652=ORIENTED_EDGE('',*,*,#83781,.F.); #110653=ORIENTED_EDGE('',*,*,#83782,.T.); #110654=ORIENTED_EDGE('',*,*,#83783,.T.); #110655=ORIENTED_EDGE('',*,*,#83779,.F.); #110656=ORIENTED_EDGE('',*,*,#83784,.F.); #110657=ORIENTED_EDGE('',*,*,#83785,.T.); #110658=ORIENTED_EDGE('',*,*,#83786,.T.); #110659=ORIENTED_EDGE('',*,*,#83782,.F.); #110660=ORIENTED_EDGE('',*,*,#83787,.F.); #110661=ORIENTED_EDGE('',*,*,#83788,.T.); #110662=ORIENTED_EDGE('',*,*,#83789,.T.); #110663=ORIENTED_EDGE('',*,*,#83785,.F.); #110664=ORIENTED_EDGE('',*,*,#83790,.F.); #110665=ORIENTED_EDGE('',*,*,#83791,.T.); #110666=ORIENTED_EDGE('',*,*,#83792,.T.); #110667=ORIENTED_EDGE('',*,*,#83788,.F.); #110668=ORIENTED_EDGE('',*,*,#83793,.F.); #110669=ORIENTED_EDGE('',*,*,#83794,.T.); #110670=ORIENTED_EDGE('',*,*,#83795,.T.); #110671=ORIENTED_EDGE('',*,*,#83791,.F.); #110672=ORIENTED_EDGE('',*,*,#83796,.F.); #110673=ORIENTED_EDGE('',*,*,#83797,.T.); #110674=ORIENTED_EDGE('',*,*,#83798,.T.); #110675=ORIENTED_EDGE('',*,*,#83794,.F.); #110676=ORIENTED_EDGE('',*,*,#83799,.F.); #110677=ORIENTED_EDGE('',*,*,#83800,.T.); #110678=ORIENTED_EDGE('',*,*,#83801,.T.); #110679=ORIENTED_EDGE('',*,*,#83797,.F.); #110680=ORIENTED_EDGE('',*,*,#83802,.F.); #110681=ORIENTED_EDGE('',*,*,#83803,.T.); #110682=ORIENTED_EDGE('',*,*,#83804,.T.); #110683=ORIENTED_EDGE('',*,*,#83800,.F.); #110684=ORIENTED_EDGE('',*,*,#83805,.F.); #110685=ORIENTED_EDGE('',*,*,#83806,.T.); #110686=ORIENTED_EDGE('',*,*,#83807,.T.); #110687=ORIENTED_EDGE('',*,*,#83803,.F.); #110688=ORIENTED_EDGE('',*,*,#83808,.F.); #110689=ORIENTED_EDGE('',*,*,#83809,.T.); #110690=ORIENTED_EDGE('',*,*,#83810,.T.); #110691=ORIENTED_EDGE('',*,*,#83806,.F.); #110692=ORIENTED_EDGE('',*,*,#83811,.F.); #110693=ORIENTED_EDGE('',*,*,#83812,.T.); #110694=ORIENTED_EDGE('',*,*,#83813,.T.); #110695=ORIENTED_EDGE('',*,*,#83809,.F.); #110696=ORIENTED_EDGE('',*,*,#83814,.F.); #110697=ORIENTED_EDGE('',*,*,#83815,.T.); #110698=ORIENTED_EDGE('',*,*,#83816,.T.); #110699=ORIENTED_EDGE('',*,*,#83812,.F.); #110700=ORIENTED_EDGE('',*,*,#83817,.F.); #110701=ORIENTED_EDGE('',*,*,#83818,.T.); #110702=ORIENTED_EDGE('',*,*,#83819,.T.); #110703=ORIENTED_EDGE('',*,*,#83815,.F.); #110704=ORIENTED_EDGE('',*,*,#83820,.F.); #110705=ORIENTED_EDGE('',*,*,#83821,.T.); #110706=ORIENTED_EDGE('',*,*,#83822,.T.); #110707=ORIENTED_EDGE('',*,*,#83818,.F.); #110708=ORIENTED_EDGE('',*,*,#83823,.F.); #110709=ORIENTED_EDGE('',*,*,#83824,.T.); #110710=ORIENTED_EDGE('',*,*,#83825,.T.); #110711=ORIENTED_EDGE('',*,*,#83821,.F.); #110712=ORIENTED_EDGE('',*,*,#83826,.F.); #110713=ORIENTED_EDGE('',*,*,#83827,.T.); #110714=ORIENTED_EDGE('',*,*,#83828,.T.); #110715=ORIENTED_EDGE('',*,*,#83824,.F.); #110716=ORIENTED_EDGE('',*,*,#83829,.F.); #110717=ORIENTED_EDGE('',*,*,#83830,.T.); #110718=ORIENTED_EDGE('',*,*,#83831,.T.); #110719=ORIENTED_EDGE('',*,*,#83827,.F.); #110720=ORIENTED_EDGE('',*,*,#83832,.F.); #110721=ORIENTED_EDGE('',*,*,#83833,.T.); #110722=ORIENTED_EDGE('',*,*,#83834,.T.); #110723=ORIENTED_EDGE('',*,*,#83830,.F.); #110724=ORIENTED_EDGE('',*,*,#83835,.F.); #110725=ORIENTED_EDGE('',*,*,#83836,.T.); #110726=ORIENTED_EDGE('',*,*,#83837,.T.); #110727=ORIENTED_EDGE('',*,*,#83833,.F.); #110728=ORIENTED_EDGE('',*,*,#83838,.F.); #110729=ORIENTED_EDGE('',*,*,#83839,.T.); #110730=ORIENTED_EDGE('',*,*,#83840,.T.); #110731=ORIENTED_EDGE('',*,*,#83836,.F.); #110732=ORIENTED_EDGE('',*,*,#83841,.F.); #110733=ORIENTED_EDGE('',*,*,#83842,.T.); #110734=ORIENTED_EDGE('',*,*,#83843,.T.); #110735=ORIENTED_EDGE('',*,*,#83839,.F.); #110736=ORIENTED_EDGE('',*,*,#83844,.F.); #110737=ORIENTED_EDGE('',*,*,#83845,.T.); #110738=ORIENTED_EDGE('',*,*,#83846,.T.); #110739=ORIENTED_EDGE('',*,*,#83842,.F.); #110740=ORIENTED_EDGE('',*,*,#83847,.F.); #110741=ORIENTED_EDGE('',*,*,#83848,.T.); #110742=ORIENTED_EDGE('',*,*,#83849,.T.); #110743=ORIENTED_EDGE('',*,*,#83845,.F.); #110744=ORIENTED_EDGE('',*,*,#83850,.F.); #110745=ORIENTED_EDGE('',*,*,#83851,.T.); #110746=ORIENTED_EDGE('',*,*,#83852,.T.); #110747=ORIENTED_EDGE('',*,*,#83848,.F.); #110748=ORIENTED_EDGE('',*,*,#83853,.F.); #110749=ORIENTED_EDGE('',*,*,#83854,.T.); #110750=ORIENTED_EDGE('',*,*,#83855,.T.); #110751=ORIENTED_EDGE('',*,*,#83851,.F.); #110752=ORIENTED_EDGE('',*,*,#83856,.F.); #110753=ORIENTED_EDGE('',*,*,#83857,.T.); #110754=ORIENTED_EDGE('',*,*,#83858,.T.); #110755=ORIENTED_EDGE('',*,*,#83854,.F.); #110756=ORIENTED_EDGE('',*,*,#83859,.F.); #110757=ORIENTED_EDGE('',*,*,#83860,.T.); #110758=ORIENTED_EDGE('',*,*,#83861,.T.); #110759=ORIENTED_EDGE('',*,*,#83857,.F.); #110760=ORIENTED_EDGE('',*,*,#83862,.F.); #110761=ORIENTED_EDGE('',*,*,#83863,.T.); #110762=ORIENTED_EDGE('',*,*,#83864,.T.); #110763=ORIENTED_EDGE('',*,*,#83860,.F.); #110764=ORIENTED_EDGE('',*,*,#83865,.F.); #110765=ORIENTED_EDGE('',*,*,#83866,.T.); #110766=ORIENTED_EDGE('',*,*,#83867,.T.); #110767=ORIENTED_EDGE('',*,*,#83863,.F.); #110768=ORIENTED_EDGE('',*,*,#83868,.F.); #110769=ORIENTED_EDGE('',*,*,#83869,.T.); #110770=ORIENTED_EDGE('',*,*,#83870,.T.); #110771=ORIENTED_EDGE('',*,*,#83866,.F.); #110772=ORIENTED_EDGE('',*,*,#83871,.F.); #110773=ORIENTED_EDGE('',*,*,#83872,.T.); #110774=ORIENTED_EDGE('',*,*,#83873,.T.); #110775=ORIENTED_EDGE('',*,*,#83869,.F.); #110776=ORIENTED_EDGE('',*,*,#83874,.F.); #110777=ORIENTED_EDGE('',*,*,#83875,.T.); #110778=ORIENTED_EDGE('',*,*,#83876,.T.); #110779=ORIENTED_EDGE('',*,*,#83872,.F.); #110780=ORIENTED_EDGE('',*,*,#83877,.F.); #110781=ORIENTED_EDGE('',*,*,#83878,.T.); #110782=ORIENTED_EDGE('',*,*,#83879,.T.); #110783=ORIENTED_EDGE('',*,*,#83875,.F.); #110784=ORIENTED_EDGE('',*,*,#83880,.F.); #110785=ORIENTED_EDGE('',*,*,#83881,.T.); #110786=ORIENTED_EDGE('',*,*,#83882,.T.); #110787=ORIENTED_EDGE('',*,*,#83878,.F.); #110788=ORIENTED_EDGE('',*,*,#83883,.F.); #110789=ORIENTED_EDGE('',*,*,#83884,.T.); #110790=ORIENTED_EDGE('',*,*,#83885,.T.); #110791=ORIENTED_EDGE('',*,*,#83881,.F.); #110792=ORIENTED_EDGE('',*,*,#83886,.F.); #110793=ORIENTED_EDGE('',*,*,#83887,.T.); #110794=ORIENTED_EDGE('',*,*,#83888,.T.); #110795=ORIENTED_EDGE('',*,*,#83884,.F.); #110796=ORIENTED_EDGE('',*,*,#83889,.F.); #110797=ORIENTED_EDGE('',*,*,#83890,.T.); #110798=ORIENTED_EDGE('',*,*,#83891,.T.); #110799=ORIENTED_EDGE('',*,*,#83887,.F.); #110800=ORIENTED_EDGE('',*,*,#83892,.F.); #110801=ORIENTED_EDGE('',*,*,#83893,.T.); #110802=ORIENTED_EDGE('',*,*,#83894,.T.); #110803=ORIENTED_EDGE('',*,*,#83890,.F.); #110804=ORIENTED_EDGE('',*,*,#83895,.F.); #110805=ORIENTED_EDGE('',*,*,#83896,.T.); #110806=ORIENTED_EDGE('',*,*,#83897,.T.); #110807=ORIENTED_EDGE('',*,*,#83893,.F.); #110808=ORIENTED_EDGE('',*,*,#83898,.F.); #110809=ORIENTED_EDGE('',*,*,#83899,.T.); #110810=ORIENTED_EDGE('',*,*,#83900,.T.); #110811=ORIENTED_EDGE('',*,*,#83896,.F.); #110812=ORIENTED_EDGE('',*,*,#83901,.F.); #110813=ORIENTED_EDGE('',*,*,#83902,.T.); #110814=ORIENTED_EDGE('',*,*,#83903,.T.); #110815=ORIENTED_EDGE('',*,*,#83899,.F.); #110816=ORIENTED_EDGE('',*,*,#83904,.F.); #110817=ORIENTED_EDGE('',*,*,#83905,.T.); #110818=ORIENTED_EDGE('',*,*,#83906,.T.); #110819=ORIENTED_EDGE('',*,*,#83902,.F.); #110820=ORIENTED_EDGE('',*,*,#83907,.F.); #110821=ORIENTED_EDGE('',*,*,#83908,.T.); #110822=ORIENTED_EDGE('',*,*,#83909,.T.); #110823=ORIENTED_EDGE('',*,*,#83905,.F.); #110824=ORIENTED_EDGE('',*,*,#83910,.F.); #110825=ORIENTED_EDGE('',*,*,#83911,.T.); #110826=ORIENTED_EDGE('',*,*,#83912,.T.); #110827=ORIENTED_EDGE('',*,*,#83908,.F.); #110828=ORIENTED_EDGE('',*,*,#83913,.F.); #110829=ORIENTED_EDGE('',*,*,#83914,.T.); #110830=ORIENTED_EDGE('',*,*,#83915,.T.); #110831=ORIENTED_EDGE('',*,*,#83911,.F.); #110832=ORIENTED_EDGE('',*,*,#83916,.F.); #110833=ORIENTED_EDGE('',*,*,#83917,.T.); #110834=ORIENTED_EDGE('',*,*,#83918,.T.); #110835=ORIENTED_EDGE('',*,*,#83914,.F.); #110836=ORIENTED_EDGE('',*,*,#83919,.F.); #110837=ORIENTED_EDGE('',*,*,#83920,.T.); #110838=ORIENTED_EDGE('',*,*,#83921,.T.); #110839=ORIENTED_EDGE('',*,*,#83917,.F.); #110840=ORIENTED_EDGE('',*,*,#83922,.F.); #110841=ORIENTED_EDGE('',*,*,#83923,.T.); #110842=ORIENTED_EDGE('',*,*,#83924,.T.); #110843=ORIENTED_EDGE('',*,*,#83920,.F.); #110844=ORIENTED_EDGE('',*,*,#83925,.F.); #110845=ORIENTED_EDGE('',*,*,#83926,.T.); #110846=ORIENTED_EDGE('',*,*,#83927,.T.); #110847=ORIENTED_EDGE('',*,*,#83923,.F.); #110848=ORIENTED_EDGE('',*,*,#83928,.F.); #110849=ORIENTED_EDGE('',*,*,#83929,.T.); #110850=ORIENTED_EDGE('',*,*,#83930,.T.); #110851=ORIENTED_EDGE('',*,*,#83926,.F.); #110852=ORIENTED_EDGE('',*,*,#83931,.F.); #110853=ORIENTED_EDGE('',*,*,#83932,.T.); #110854=ORIENTED_EDGE('',*,*,#83933,.T.); #110855=ORIENTED_EDGE('',*,*,#83929,.F.); #110856=ORIENTED_EDGE('',*,*,#83934,.F.); #110857=ORIENTED_EDGE('',*,*,#83935,.T.); #110858=ORIENTED_EDGE('',*,*,#83936,.T.); #110859=ORIENTED_EDGE('',*,*,#83932,.F.); #110860=ORIENTED_EDGE('',*,*,#83937,.F.); #110861=ORIENTED_EDGE('',*,*,#83938,.T.); #110862=ORIENTED_EDGE('',*,*,#83939,.T.); #110863=ORIENTED_EDGE('',*,*,#83935,.F.); #110864=ORIENTED_EDGE('',*,*,#83940,.F.); #110865=ORIENTED_EDGE('',*,*,#83941,.T.); #110866=ORIENTED_EDGE('',*,*,#83942,.T.); #110867=ORIENTED_EDGE('',*,*,#83938,.F.); #110868=ORIENTED_EDGE('',*,*,#83943,.F.); #110869=ORIENTED_EDGE('',*,*,#83944,.T.); #110870=ORIENTED_EDGE('',*,*,#83945,.T.); #110871=ORIENTED_EDGE('',*,*,#83941,.F.); #110872=ORIENTED_EDGE('',*,*,#83946,.F.); #110873=ORIENTED_EDGE('',*,*,#83947,.T.); #110874=ORIENTED_EDGE('',*,*,#83948,.T.); #110875=ORIENTED_EDGE('',*,*,#83944,.F.); #110876=ORIENTED_EDGE('',*,*,#83949,.F.); #110877=ORIENTED_EDGE('',*,*,#83950,.T.); #110878=ORIENTED_EDGE('',*,*,#83951,.T.); #110879=ORIENTED_EDGE('',*,*,#83947,.F.); #110880=ORIENTED_EDGE('',*,*,#83952,.F.); #110881=ORIENTED_EDGE('',*,*,#83953,.T.); #110882=ORIENTED_EDGE('',*,*,#83954,.T.); #110883=ORIENTED_EDGE('',*,*,#83950,.F.); #110884=ORIENTED_EDGE('',*,*,#83955,.F.); #110885=ORIENTED_EDGE('',*,*,#83956,.T.); #110886=ORIENTED_EDGE('',*,*,#83957,.T.); #110887=ORIENTED_EDGE('',*,*,#83953,.F.); #110888=ORIENTED_EDGE('',*,*,#83958,.F.); #110889=ORIENTED_EDGE('',*,*,#83959,.T.); #110890=ORIENTED_EDGE('',*,*,#83960,.T.); #110891=ORIENTED_EDGE('',*,*,#83956,.F.); #110892=ORIENTED_EDGE('',*,*,#83961,.F.); #110893=ORIENTED_EDGE('',*,*,#83962,.T.); #110894=ORIENTED_EDGE('',*,*,#83963,.T.); #110895=ORIENTED_EDGE('',*,*,#83959,.F.); #110896=ORIENTED_EDGE('',*,*,#83964,.F.); #110897=ORIENTED_EDGE('',*,*,#83965,.T.); #110898=ORIENTED_EDGE('',*,*,#83966,.T.); #110899=ORIENTED_EDGE('',*,*,#83962,.F.); #110900=ORIENTED_EDGE('',*,*,#83967,.F.); #110901=ORIENTED_EDGE('',*,*,#83968,.T.); #110902=ORIENTED_EDGE('',*,*,#83969,.T.); #110903=ORIENTED_EDGE('',*,*,#83965,.F.); #110904=ORIENTED_EDGE('',*,*,#83970,.F.); #110905=ORIENTED_EDGE('',*,*,#83971,.T.); #110906=ORIENTED_EDGE('',*,*,#83972,.T.); #110907=ORIENTED_EDGE('',*,*,#83968,.F.); #110908=ORIENTED_EDGE('',*,*,#83973,.F.); #110909=ORIENTED_EDGE('',*,*,#83974,.T.); #110910=ORIENTED_EDGE('',*,*,#83975,.T.); #110911=ORIENTED_EDGE('',*,*,#83971,.F.); #110912=ORIENTED_EDGE('',*,*,#83976,.F.); #110913=ORIENTED_EDGE('',*,*,#83977,.T.); #110914=ORIENTED_EDGE('',*,*,#83978,.T.); #110915=ORIENTED_EDGE('',*,*,#83974,.F.); #110916=ORIENTED_EDGE('',*,*,#83979,.F.); #110917=ORIENTED_EDGE('',*,*,#83980,.T.); #110918=ORIENTED_EDGE('',*,*,#83981,.T.); #110919=ORIENTED_EDGE('',*,*,#83977,.F.); #110920=ORIENTED_EDGE('',*,*,#83982,.F.); #110921=ORIENTED_EDGE('',*,*,#83983,.T.); #110922=ORIENTED_EDGE('',*,*,#83984,.T.); #110923=ORIENTED_EDGE('',*,*,#83980,.F.); #110924=ORIENTED_EDGE('',*,*,#83985,.F.); #110925=ORIENTED_EDGE('',*,*,#83986,.T.); #110926=ORIENTED_EDGE('',*,*,#83987,.T.); #110927=ORIENTED_EDGE('',*,*,#83983,.F.); #110928=ORIENTED_EDGE('',*,*,#83988,.F.); #110929=ORIENTED_EDGE('',*,*,#83989,.T.); #110930=ORIENTED_EDGE('',*,*,#83990,.T.); #110931=ORIENTED_EDGE('',*,*,#83986,.F.); #110932=ORIENTED_EDGE('',*,*,#83991,.F.); #110933=ORIENTED_EDGE('',*,*,#83992,.T.); #110934=ORIENTED_EDGE('',*,*,#83993,.T.); #110935=ORIENTED_EDGE('',*,*,#83989,.F.); #110936=ORIENTED_EDGE('',*,*,#83994,.F.); #110937=ORIENTED_EDGE('',*,*,#83995,.T.); #110938=ORIENTED_EDGE('',*,*,#83996,.T.); #110939=ORIENTED_EDGE('',*,*,#83992,.F.); #110940=ORIENTED_EDGE('',*,*,#83997,.F.); #110941=ORIENTED_EDGE('',*,*,#83998,.T.); #110942=ORIENTED_EDGE('',*,*,#83999,.T.); #110943=ORIENTED_EDGE('',*,*,#83995,.F.); #110944=ORIENTED_EDGE('',*,*,#84000,.F.); #110945=ORIENTED_EDGE('',*,*,#84001,.T.); #110946=ORIENTED_EDGE('',*,*,#84002,.T.); #110947=ORIENTED_EDGE('',*,*,#83998,.F.); #110948=ORIENTED_EDGE('',*,*,#84003,.F.); #110949=ORIENTED_EDGE('',*,*,#84004,.T.); #110950=ORIENTED_EDGE('',*,*,#84005,.T.); #110951=ORIENTED_EDGE('',*,*,#84001,.F.); #110952=ORIENTED_EDGE('',*,*,#84006,.F.); #110953=ORIENTED_EDGE('',*,*,#84007,.T.); #110954=ORIENTED_EDGE('',*,*,#84008,.T.); #110955=ORIENTED_EDGE('',*,*,#84004,.F.); #110956=ORIENTED_EDGE('',*,*,#84009,.F.); #110957=ORIENTED_EDGE('',*,*,#84010,.T.); #110958=ORIENTED_EDGE('',*,*,#84011,.T.); #110959=ORIENTED_EDGE('',*,*,#84007,.F.); #110960=ORIENTED_EDGE('',*,*,#84012,.F.); #110961=ORIENTED_EDGE('',*,*,#84013,.T.); #110962=ORIENTED_EDGE('',*,*,#84014,.T.); #110963=ORIENTED_EDGE('',*,*,#84010,.F.); #110964=ORIENTED_EDGE('',*,*,#84015,.F.); #110965=ORIENTED_EDGE('',*,*,#84016,.T.); #110966=ORIENTED_EDGE('',*,*,#84017,.T.); #110967=ORIENTED_EDGE('',*,*,#84013,.F.); #110968=ORIENTED_EDGE('',*,*,#84018,.F.); #110969=ORIENTED_EDGE('',*,*,#84019,.T.); #110970=ORIENTED_EDGE('',*,*,#84020,.T.); #110971=ORIENTED_EDGE('',*,*,#84016,.F.); #110972=ORIENTED_EDGE('',*,*,#84021,.F.); #110973=ORIENTED_EDGE('',*,*,#84022,.T.); #110974=ORIENTED_EDGE('',*,*,#84023,.T.); #110975=ORIENTED_EDGE('',*,*,#84019,.F.); #110976=ORIENTED_EDGE('',*,*,#84024,.F.); #110977=ORIENTED_EDGE('',*,*,#84025,.T.); #110978=ORIENTED_EDGE('',*,*,#84026,.T.); #110979=ORIENTED_EDGE('',*,*,#84022,.F.); #110980=ORIENTED_EDGE('',*,*,#84027,.F.); #110981=ORIENTED_EDGE('',*,*,#84028,.T.); #110982=ORIENTED_EDGE('',*,*,#84029,.T.); #110983=ORIENTED_EDGE('',*,*,#84025,.F.); #110984=ORIENTED_EDGE('',*,*,#84030,.F.); #110985=ORIENTED_EDGE('',*,*,#83618,.T.); #110986=ORIENTED_EDGE('',*,*,#84031,.T.); #110987=ORIENTED_EDGE('',*,*,#84028,.F.); #110988=ORIENTED_EDGE('',*,*,#84031,.F.); #110989=ORIENTED_EDGE('',*,*,#83617,.F.); #110990=ORIENTED_EDGE('',*,*,#83621,.F.); #110991=ORIENTED_EDGE('',*,*,#83624,.F.); #110992=ORIENTED_EDGE('',*,*,#83627,.F.); #110993=ORIENTED_EDGE('',*,*,#83630,.F.); #110994=ORIENTED_EDGE('',*,*,#83633,.F.); #110995=ORIENTED_EDGE('',*,*,#83636,.F.); #110996=ORIENTED_EDGE('',*,*,#83639,.F.); #110997=ORIENTED_EDGE('',*,*,#83642,.F.); #110998=ORIENTED_EDGE('',*,*,#83645,.F.); #110999=ORIENTED_EDGE('',*,*,#83648,.F.); #111000=ORIENTED_EDGE('',*,*,#83651,.F.); #111001=ORIENTED_EDGE('',*,*,#83654,.F.); #111002=ORIENTED_EDGE('',*,*,#83657,.F.); #111003=ORIENTED_EDGE('',*,*,#83660,.F.); #111004=ORIENTED_EDGE('',*,*,#83663,.F.); #111005=ORIENTED_EDGE('',*,*,#83666,.F.); #111006=ORIENTED_EDGE('',*,*,#83669,.F.); #111007=ORIENTED_EDGE('',*,*,#83672,.F.); #111008=ORIENTED_EDGE('',*,*,#83675,.F.); #111009=ORIENTED_EDGE('',*,*,#83678,.F.); #111010=ORIENTED_EDGE('',*,*,#83681,.F.); #111011=ORIENTED_EDGE('',*,*,#83684,.F.); #111012=ORIENTED_EDGE('',*,*,#83687,.F.); #111013=ORIENTED_EDGE('',*,*,#83690,.F.); #111014=ORIENTED_EDGE('',*,*,#83693,.F.); #111015=ORIENTED_EDGE('',*,*,#83696,.F.); #111016=ORIENTED_EDGE('',*,*,#83699,.F.); #111017=ORIENTED_EDGE('',*,*,#83702,.F.); #111018=ORIENTED_EDGE('',*,*,#83705,.F.); #111019=ORIENTED_EDGE('',*,*,#83708,.F.); #111020=ORIENTED_EDGE('',*,*,#83711,.F.); #111021=ORIENTED_EDGE('',*,*,#83714,.F.); #111022=ORIENTED_EDGE('',*,*,#83717,.F.); #111023=ORIENTED_EDGE('',*,*,#83720,.F.); #111024=ORIENTED_EDGE('',*,*,#83723,.F.); #111025=ORIENTED_EDGE('',*,*,#83726,.F.); #111026=ORIENTED_EDGE('',*,*,#83729,.F.); #111027=ORIENTED_EDGE('',*,*,#83732,.F.); #111028=ORIENTED_EDGE('',*,*,#83735,.F.); #111029=ORIENTED_EDGE('',*,*,#83738,.F.); #111030=ORIENTED_EDGE('',*,*,#83741,.F.); #111031=ORIENTED_EDGE('',*,*,#83744,.F.); #111032=ORIENTED_EDGE('',*,*,#83747,.F.); #111033=ORIENTED_EDGE('',*,*,#83750,.F.); #111034=ORIENTED_EDGE('',*,*,#83753,.F.); #111035=ORIENTED_EDGE('',*,*,#83756,.F.); #111036=ORIENTED_EDGE('',*,*,#83759,.F.); #111037=ORIENTED_EDGE('',*,*,#83762,.F.); #111038=ORIENTED_EDGE('',*,*,#83765,.F.); #111039=ORIENTED_EDGE('',*,*,#83768,.F.); #111040=ORIENTED_EDGE('',*,*,#83771,.F.); #111041=ORIENTED_EDGE('',*,*,#83774,.F.); #111042=ORIENTED_EDGE('',*,*,#83777,.F.); #111043=ORIENTED_EDGE('',*,*,#83780,.F.); #111044=ORIENTED_EDGE('',*,*,#83783,.F.); #111045=ORIENTED_EDGE('',*,*,#83786,.F.); #111046=ORIENTED_EDGE('',*,*,#83789,.F.); #111047=ORIENTED_EDGE('',*,*,#83792,.F.); #111048=ORIENTED_EDGE('',*,*,#83795,.F.); #111049=ORIENTED_EDGE('',*,*,#83798,.F.); #111050=ORIENTED_EDGE('',*,*,#83801,.F.); #111051=ORIENTED_EDGE('',*,*,#83804,.F.); #111052=ORIENTED_EDGE('',*,*,#83807,.F.); #111053=ORIENTED_EDGE('',*,*,#83810,.F.); #111054=ORIENTED_EDGE('',*,*,#83813,.F.); #111055=ORIENTED_EDGE('',*,*,#83816,.F.); #111056=ORIENTED_EDGE('',*,*,#83819,.F.); #111057=ORIENTED_EDGE('',*,*,#83822,.F.); #111058=ORIENTED_EDGE('',*,*,#83825,.F.); #111059=ORIENTED_EDGE('',*,*,#83828,.F.); #111060=ORIENTED_EDGE('',*,*,#83831,.F.); #111061=ORIENTED_EDGE('',*,*,#83834,.F.); #111062=ORIENTED_EDGE('',*,*,#83837,.F.); #111063=ORIENTED_EDGE('',*,*,#83840,.F.); #111064=ORIENTED_EDGE('',*,*,#83843,.F.); #111065=ORIENTED_EDGE('',*,*,#83846,.F.); #111066=ORIENTED_EDGE('',*,*,#83849,.F.); #111067=ORIENTED_EDGE('',*,*,#83852,.F.); #111068=ORIENTED_EDGE('',*,*,#83855,.F.); #111069=ORIENTED_EDGE('',*,*,#83858,.F.); #111070=ORIENTED_EDGE('',*,*,#83861,.F.); #111071=ORIENTED_EDGE('',*,*,#83864,.F.); #111072=ORIENTED_EDGE('',*,*,#83867,.F.); #111073=ORIENTED_EDGE('',*,*,#83870,.F.); #111074=ORIENTED_EDGE('',*,*,#83873,.F.); #111075=ORIENTED_EDGE('',*,*,#83876,.F.); #111076=ORIENTED_EDGE('',*,*,#83879,.F.); #111077=ORIENTED_EDGE('',*,*,#83882,.F.); #111078=ORIENTED_EDGE('',*,*,#83885,.F.); #111079=ORIENTED_EDGE('',*,*,#83888,.F.); #111080=ORIENTED_EDGE('',*,*,#83891,.F.); #111081=ORIENTED_EDGE('',*,*,#83894,.F.); #111082=ORIENTED_EDGE('',*,*,#83897,.F.); #111083=ORIENTED_EDGE('',*,*,#83900,.F.); #111084=ORIENTED_EDGE('',*,*,#83903,.F.); #111085=ORIENTED_EDGE('',*,*,#83906,.F.); #111086=ORIENTED_EDGE('',*,*,#83909,.F.); #111087=ORIENTED_EDGE('',*,*,#83912,.F.); #111088=ORIENTED_EDGE('',*,*,#83915,.F.); #111089=ORIENTED_EDGE('',*,*,#83918,.F.); #111090=ORIENTED_EDGE('',*,*,#83921,.F.); #111091=ORIENTED_EDGE('',*,*,#83924,.F.); #111092=ORIENTED_EDGE('',*,*,#83927,.F.); #111093=ORIENTED_EDGE('',*,*,#83930,.F.); #111094=ORIENTED_EDGE('',*,*,#83933,.F.); #111095=ORIENTED_EDGE('',*,*,#83936,.F.); #111096=ORIENTED_EDGE('',*,*,#83939,.F.); #111097=ORIENTED_EDGE('',*,*,#83942,.F.); #111098=ORIENTED_EDGE('',*,*,#83945,.F.); #111099=ORIENTED_EDGE('',*,*,#83948,.F.); #111100=ORIENTED_EDGE('',*,*,#83951,.F.); #111101=ORIENTED_EDGE('',*,*,#83954,.F.); #111102=ORIENTED_EDGE('',*,*,#83957,.F.); #111103=ORIENTED_EDGE('',*,*,#83960,.F.); #111104=ORIENTED_EDGE('',*,*,#83963,.F.); #111105=ORIENTED_EDGE('',*,*,#83966,.F.); #111106=ORIENTED_EDGE('',*,*,#83969,.F.); #111107=ORIENTED_EDGE('',*,*,#83972,.F.); #111108=ORIENTED_EDGE('',*,*,#83975,.F.); #111109=ORIENTED_EDGE('',*,*,#83978,.F.); #111110=ORIENTED_EDGE('',*,*,#83981,.F.); #111111=ORIENTED_EDGE('',*,*,#83984,.F.); #111112=ORIENTED_EDGE('',*,*,#83987,.F.); #111113=ORIENTED_EDGE('',*,*,#83990,.F.); #111114=ORIENTED_EDGE('',*,*,#83993,.F.); #111115=ORIENTED_EDGE('',*,*,#83996,.F.); #111116=ORIENTED_EDGE('',*,*,#83999,.F.); #111117=ORIENTED_EDGE('',*,*,#84002,.F.); #111118=ORIENTED_EDGE('',*,*,#84005,.F.); #111119=ORIENTED_EDGE('',*,*,#84008,.F.); #111120=ORIENTED_EDGE('',*,*,#84011,.F.); #111121=ORIENTED_EDGE('',*,*,#84014,.F.); #111122=ORIENTED_EDGE('',*,*,#84017,.F.); #111123=ORIENTED_EDGE('',*,*,#84020,.F.); #111124=ORIENTED_EDGE('',*,*,#84023,.F.); #111125=ORIENTED_EDGE('',*,*,#84026,.F.); #111126=ORIENTED_EDGE('',*,*,#84029,.F.); #111127=ORIENTED_EDGE('',*,*,#83614,.F.); #111128=ORIENTED_EDGE('',*,*,#83593,.F.); #111129=ORIENTED_EDGE('',*,*,#83597,.F.); #111130=ORIENTED_EDGE('',*,*,#83600,.F.); #111131=ORIENTED_EDGE('',*,*,#83603,.F.); #111132=ORIENTED_EDGE('',*,*,#83606,.F.); #111133=ORIENTED_EDGE('',*,*,#83609,.F.); #111134=ORIENTED_EDGE('',*,*,#83612,.F.); #111135=ORIENTED_EDGE('',*,*,#84032,.F.); #111136=ORIENTED_EDGE('',*,*,#84033,.T.); #111137=ORIENTED_EDGE('',*,*,#84034,.T.); #111138=ORIENTED_EDGE('',*,*,#84035,.F.); #111139=ORIENTED_EDGE('',*,*,#84036,.F.); #111140=ORIENTED_EDGE('',*,*,#84037,.T.); #111141=ORIENTED_EDGE('',*,*,#84038,.T.); #111142=ORIENTED_EDGE('',*,*,#84033,.F.); #111143=ORIENTED_EDGE('',*,*,#84039,.F.); #111144=ORIENTED_EDGE('',*,*,#84040,.T.); #111145=ORIENTED_EDGE('',*,*,#84041,.T.); #111146=ORIENTED_EDGE('',*,*,#84037,.F.); #111147=ORIENTED_EDGE('',*,*,#84042,.F.); #111148=ORIENTED_EDGE('',*,*,#84043,.T.); #111149=ORIENTED_EDGE('',*,*,#84044,.T.); #111150=ORIENTED_EDGE('',*,*,#84040,.F.); #111151=ORIENTED_EDGE('',*,*,#84045,.F.); #111152=ORIENTED_EDGE('',*,*,#84046,.T.); #111153=ORIENTED_EDGE('',*,*,#84047,.T.); #111154=ORIENTED_EDGE('',*,*,#84043,.F.); #111155=ORIENTED_EDGE('',*,*,#84048,.F.); #111156=ORIENTED_EDGE('',*,*,#84049,.T.); #111157=ORIENTED_EDGE('',*,*,#84050,.T.); #111158=ORIENTED_EDGE('',*,*,#84046,.F.); #111159=ORIENTED_EDGE('',*,*,#84051,.F.); #111160=ORIENTED_EDGE('',*,*,#84052,.T.); #111161=ORIENTED_EDGE('',*,*,#84053,.T.); #111162=ORIENTED_EDGE('',*,*,#84049,.F.); #111163=ORIENTED_EDGE('',*,*,#84054,.F.); #111164=ORIENTED_EDGE('',*,*,#84055,.T.); #111165=ORIENTED_EDGE('',*,*,#84056,.T.); #111166=ORIENTED_EDGE('',*,*,#84052,.F.); #111167=ORIENTED_EDGE('',*,*,#84057,.F.); #111168=ORIENTED_EDGE('',*,*,#84035,.T.); #111169=ORIENTED_EDGE('',*,*,#84058,.T.); #111170=ORIENTED_EDGE('',*,*,#84055,.F.); #111171=ORIENTED_EDGE('',*,*,#84059,.F.); #111172=ORIENTED_EDGE('',*,*,#84060,.T.); #111173=ORIENTED_EDGE('',*,*,#84061,.T.); #111174=ORIENTED_EDGE('',*,*,#84062,.F.); #111175=ORIENTED_EDGE('',*,*,#84063,.F.); #111176=ORIENTED_EDGE('',*,*,#84064,.T.); #111177=ORIENTED_EDGE('',*,*,#84065,.T.); #111178=ORIENTED_EDGE('',*,*,#84060,.F.); #111179=ORIENTED_EDGE('',*,*,#84066,.F.); #111180=ORIENTED_EDGE('',*,*,#84067,.T.); #111181=ORIENTED_EDGE('',*,*,#84068,.T.); #111182=ORIENTED_EDGE('',*,*,#84064,.F.); #111183=ORIENTED_EDGE('',*,*,#84069,.F.); #111184=ORIENTED_EDGE('',*,*,#84070,.T.); #111185=ORIENTED_EDGE('',*,*,#84071,.T.); #111186=ORIENTED_EDGE('',*,*,#84067,.F.); #111187=ORIENTED_EDGE('',*,*,#84072,.F.); #111188=ORIENTED_EDGE('',*,*,#84073,.T.); #111189=ORIENTED_EDGE('',*,*,#84074,.T.); #111190=ORIENTED_EDGE('',*,*,#84070,.F.); #111191=ORIENTED_EDGE('',*,*,#84075,.F.); #111192=ORIENTED_EDGE('',*,*,#84076,.T.); #111193=ORIENTED_EDGE('',*,*,#84077,.T.); #111194=ORIENTED_EDGE('',*,*,#84073,.F.); #111195=ORIENTED_EDGE('',*,*,#84078,.F.); #111196=ORIENTED_EDGE('',*,*,#84079,.T.); #111197=ORIENTED_EDGE('',*,*,#84080,.T.); #111198=ORIENTED_EDGE('',*,*,#84076,.F.); #111199=ORIENTED_EDGE('',*,*,#84081,.F.); #111200=ORIENTED_EDGE('',*,*,#84082,.T.); #111201=ORIENTED_EDGE('',*,*,#84083,.T.); #111202=ORIENTED_EDGE('',*,*,#84079,.F.); #111203=ORIENTED_EDGE('',*,*,#84084,.F.); #111204=ORIENTED_EDGE('',*,*,#84085,.T.); #111205=ORIENTED_EDGE('',*,*,#84086,.T.); #111206=ORIENTED_EDGE('',*,*,#84082,.F.); #111207=ORIENTED_EDGE('',*,*,#84087,.F.); #111208=ORIENTED_EDGE('',*,*,#84088,.T.); #111209=ORIENTED_EDGE('',*,*,#84089,.T.); #111210=ORIENTED_EDGE('',*,*,#84085,.F.); #111211=ORIENTED_EDGE('',*,*,#84090,.F.); #111212=ORIENTED_EDGE('',*,*,#84091,.T.); #111213=ORIENTED_EDGE('',*,*,#84092,.T.); #111214=ORIENTED_EDGE('',*,*,#84088,.F.); #111215=ORIENTED_EDGE('',*,*,#84093,.F.); #111216=ORIENTED_EDGE('',*,*,#84094,.T.); #111217=ORIENTED_EDGE('',*,*,#84095,.T.); #111218=ORIENTED_EDGE('',*,*,#84091,.F.); #111219=ORIENTED_EDGE('',*,*,#84096,.F.); #111220=ORIENTED_EDGE('',*,*,#84097,.T.); #111221=ORIENTED_EDGE('',*,*,#84098,.T.); #111222=ORIENTED_EDGE('',*,*,#84094,.F.); #111223=ORIENTED_EDGE('',*,*,#84099,.F.); #111224=ORIENTED_EDGE('',*,*,#84100,.T.); #111225=ORIENTED_EDGE('',*,*,#84101,.T.); #111226=ORIENTED_EDGE('',*,*,#84097,.F.); #111227=ORIENTED_EDGE('',*,*,#84102,.F.); #111228=ORIENTED_EDGE('',*,*,#84103,.T.); #111229=ORIENTED_EDGE('',*,*,#84104,.T.); #111230=ORIENTED_EDGE('',*,*,#84100,.F.); #111231=ORIENTED_EDGE('',*,*,#84105,.F.); #111232=ORIENTED_EDGE('',*,*,#84106,.T.); #111233=ORIENTED_EDGE('',*,*,#84107,.T.); #111234=ORIENTED_EDGE('',*,*,#84103,.F.); #111235=ORIENTED_EDGE('',*,*,#84108,.F.); #111236=ORIENTED_EDGE('',*,*,#84109,.T.); #111237=ORIENTED_EDGE('',*,*,#84110,.T.); #111238=ORIENTED_EDGE('',*,*,#84106,.F.); #111239=ORIENTED_EDGE('',*,*,#84111,.F.); #111240=ORIENTED_EDGE('',*,*,#84112,.T.); #111241=ORIENTED_EDGE('',*,*,#84113,.T.); #111242=ORIENTED_EDGE('',*,*,#84109,.F.); #111243=ORIENTED_EDGE('',*,*,#84114,.F.); #111244=ORIENTED_EDGE('',*,*,#84115,.T.); #111245=ORIENTED_EDGE('',*,*,#84116,.T.); #111246=ORIENTED_EDGE('',*,*,#84112,.F.); #111247=ORIENTED_EDGE('',*,*,#84117,.F.); #111248=ORIENTED_EDGE('',*,*,#84118,.T.); #111249=ORIENTED_EDGE('',*,*,#84119,.T.); #111250=ORIENTED_EDGE('',*,*,#84115,.F.); #111251=ORIENTED_EDGE('',*,*,#84120,.F.); #111252=ORIENTED_EDGE('',*,*,#84121,.T.); #111253=ORIENTED_EDGE('',*,*,#84122,.T.); #111254=ORIENTED_EDGE('',*,*,#84118,.F.); #111255=ORIENTED_EDGE('',*,*,#84123,.F.); #111256=ORIENTED_EDGE('',*,*,#84124,.T.); #111257=ORIENTED_EDGE('',*,*,#84125,.T.); #111258=ORIENTED_EDGE('',*,*,#84121,.F.); #111259=ORIENTED_EDGE('',*,*,#84126,.F.); #111260=ORIENTED_EDGE('',*,*,#84127,.T.); #111261=ORIENTED_EDGE('',*,*,#84128,.T.); #111262=ORIENTED_EDGE('',*,*,#84124,.F.); #111263=ORIENTED_EDGE('',*,*,#84129,.F.); #111264=ORIENTED_EDGE('',*,*,#84130,.T.); #111265=ORIENTED_EDGE('',*,*,#84131,.T.); #111266=ORIENTED_EDGE('',*,*,#84127,.F.); #111267=ORIENTED_EDGE('',*,*,#84132,.F.); #111268=ORIENTED_EDGE('',*,*,#84133,.T.); #111269=ORIENTED_EDGE('',*,*,#84134,.T.); #111270=ORIENTED_EDGE('',*,*,#84130,.F.); #111271=ORIENTED_EDGE('',*,*,#84135,.F.); #111272=ORIENTED_EDGE('',*,*,#84136,.T.); #111273=ORIENTED_EDGE('',*,*,#84137,.T.); #111274=ORIENTED_EDGE('',*,*,#84133,.F.); #111275=ORIENTED_EDGE('',*,*,#84138,.F.); #111276=ORIENTED_EDGE('',*,*,#84139,.T.); #111277=ORIENTED_EDGE('',*,*,#84140,.T.); #111278=ORIENTED_EDGE('',*,*,#84136,.F.); #111279=ORIENTED_EDGE('',*,*,#84141,.F.); #111280=ORIENTED_EDGE('',*,*,#84142,.T.); #111281=ORIENTED_EDGE('',*,*,#84143,.T.); #111282=ORIENTED_EDGE('',*,*,#84139,.F.); #111283=ORIENTED_EDGE('',*,*,#84144,.F.); #111284=ORIENTED_EDGE('',*,*,#84062,.T.); #111285=ORIENTED_EDGE('',*,*,#84145,.T.); #111286=ORIENTED_EDGE('',*,*,#84142,.F.); #111287=ORIENTED_EDGE('',*,*,#84145,.F.); #111288=ORIENTED_EDGE('',*,*,#84061,.F.); #111289=ORIENTED_EDGE('',*,*,#84065,.F.); #111290=ORIENTED_EDGE('',*,*,#84068,.F.); #111291=ORIENTED_EDGE('',*,*,#84071,.F.); #111292=ORIENTED_EDGE('',*,*,#84074,.F.); #111293=ORIENTED_EDGE('',*,*,#84077,.F.); #111294=ORIENTED_EDGE('',*,*,#84080,.F.); #111295=ORIENTED_EDGE('',*,*,#84083,.F.); #111296=ORIENTED_EDGE('',*,*,#84086,.F.); #111297=ORIENTED_EDGE('',*,*,#84089,.F.); #111298=ORIENTED_EDGE('',*,*,#84092,.F.); #111299=ORIENTED_EDGE('',*,*,#84095,.F.); #111300=ORIENTED_EDGE('',*,*,#84098,.F.); #111301=ORIENTED_EDGE('',*,*,#84101,.F.); #111302=ORIENTED_EDGE('',*,*,#84104,.F.); #111303=ORIENTED_EDGE('',*,*,#84107,.F.); #111304=ORIENTED_EDGE('',*,*,#84110,.F.); #111305=ORIENTED_EDGE('',*,*,#84113,.F.); #111306=ORIENTED_EDGE('',*,*,#84116,.F.); #111307=ORIENTED_EDGE('',*,*,#84119,.F.); #111308=ORIENTED_EDGE('',*,*,#84122,.F.); #111309=ORIENTED_EDGE('',*,*,#84125,.F.); #111310=ORIENTED_EDGE('',*,*,#84128,.F.); #111311=ORIENTED_EDGE('',*,*,#84131,.F.); #111312=ORIENTED_EDGE('',*,*,#84134,.F.); #111313=ORIENTED_EDGE('',*,*,#84137,.F.); #111314=ORIENTED_EDGE('',*,*,#84140,.F.); #111315=ORIENTED_EDGE('',*,*,#84143,.F.); #111316=ORIENTED_EDGE('',*,*,#84058,.F.); #111317=ORIENTED_EDGE('',*,*,#84034,.F.); #111318=ORIENTED_EDGE('',*,*,#84038,.F.); #111319=ORIENTED_EDGE('',*,*,#84041,.F.); #111320=ORIENTED_EDGE('',*,*,#84044,.F.); #111321=ORIENTED_EDGE('',*,*,#84047,.F.); #111322=ORIENTED_EDGE('',*,*,#84050,.F.); #111323=ORIENTED_EDGE('',*,*,#84053,.F.); #111324=ORIENTED_EDGE('',*,*,#84056,.F.); #111325=ORIENTED_EDGE('',*,*,#84146,.F.); #111326=ORIENTED_EDGE('',*,*,#84147,.T.); #111327=ORIENTED_EDGE('',*,*,#84148,.T.); #111328=ORIENTED_EDGE('',*,*,#84149,.F.); #111329=ORIENTED_EDGE('',*,*,#84150,.F.); #111330=ORIENTED_EDGE('',*,*,#84151,.T.); #111331=ORIENTED_EDGE('',*,*,#84152,.T.); #111332=ORIENTED_EDGE('',*,*,#84147,.F.); #111333=ORIENTED_EDGE('',*,*,#84153,.F.); #111334=ORIENTED_EDGE('',*,*,#84154,.T.); #111335=ORIENTED_EDGE('',*,*,#84155,.T.); #111336=ORIENTED_EDGE('',*,*,#84151,.F.); #111337=ORIENTED_EDGE('',*,*,#84156,.F.); #111338=ORIENTED_EDGE('',*,*,#84157,.T.); #111339=ORIENTED_EDGE('',*,*,#84158,.T.); #111340=ORIENTED_EDGE('',*,*,#84154,.F.); #111341=ORIENTED_EDGE('',*,*,#84159,.F.); #111342=ORIENTED_EDGE('',*,*,#84149,.T.); #111343=ORIENTED_EDGE('',*,*,#84160,.T.); #111344=ORIENTED_EDGE('',*,*,#84157,.F.); #111345=ORIENTED_EDGE('',*,*,#84161,.F.); #111346=ORIENTED_EDGE('',*,*,#84162,.T.); #111347=ORIENTED_EDGE('',*,*,#84163,.T.); #111348=ORIENTED_EDGE('',*,*,#84164,.F.); #111349=ORIENTED_EDGE('',*,*,#84165,.F.); #111350=ORIENTED_EDGE('',*,*,#84166,.T.); #111351=ORIENTED_EDGE('',*,*,#84167,.T.); #111352=ORIENTED_EDGE('',*,*,#84162,.F.); #111353=ORIENTED_EDGE('',*,*,#84168,.F.); #111354=ORIENTED_EDGE('',*,*,#84169,.T.); #111355=ORIENTED_EDGE('',*,*,#84170,.T.); #111356=ORIENTED_EDGE('',*,*,#84166,.F.); #111357=ORIENTED_EDGE('',*,*,#84171,.F.); #111358=ORIENTED_EDGE('',*,*,#84172,.T.); #111359=ORIENTED_EDGE('',*,*,#84173,.T.); #111360=ORIENTED_EDGE('',*,*,#84169,.F.); #111361=ORIENTED_EDGE('',*,*,#84174,.F.); #111362=ORIENTED_EDGE('',*,*,#84175,.T.); #111363=ORIENTED_EDGE('',*,*,#84176,.T.); #111364=ORIENTED_EDGE('',*,*,#84172,.F.); #111365=ORIENTED_EDGE('',*,*,#84177,.F.); #111366=ORIENTED_EDGE('',*,*,#84178,.T.); #111367=ORIENTED_EDGE('',*,*,#84179,.T.); #111368=ORIENTED_EDGE('',*,*,#84175,.F.); #111369=ORIENTED_EDGE('',*,*,#84180,.F.); #111370=ORIENTED_EDGE('',*,*,#84181,.T.); #111371=ORIENTED_EDGE('',*,*,#84182,.T.); #111372=ORIENTED_EDGE('',*,*,#84178,.F.); #111373=ORIENTED_EDGE('',*,*,#84183,.F.); #111374=ORIENTED_EDGE('',*,*,#84184,.T.); #111375=ORIENTED_EDGE('',*,*,#84185,.T.); #111376=ORIENTED_EDGE('',*,*,#84181,.F.); #111377=ORIENTED_EDGE('',*,*,#84186,.F.); #111378=ORIENTED_EDGE('',*,*,#84187,.T.); #111379=ORIENTED_EDGE('',*,*,#84188,.T.); #111380=ORIENTED_EDGE('',*,*,#84184,.F.); #111381=ORIENTED_EDGE('',*,*,#84189,.F.); #111382=ORIENTED_EDGE('',*,*,#84190,.T.); #111383=ORIENTED_EDGE('',*,*,#84191,.T.); #111384=ORIENTED_EDGE('',*,*,#84187,.F.); #111385=ORIENTED_EDGE('',*,*,#84192,.F.); #111386=ORIENTED_EDGE('',*,*,#84193,.T.); #111387=ORIENTED_EDGE('',*,*,#84194,.T.); #111388=ORIENTED_EDGE('',*,*,#84190,.F.); #111389=ORIENTED_EDGE('',*,*,#84195,.F.); #111390=ORIENTED_EDGE('',*,*,#84196,.T.); #111391=ORIENTED_EDGE('',*,*,#84197,.T.); #111392=ORIENTED_EDGE('',*,*,#84193,.F.); #111393=ORIENTED_EDGE('',*,*,#84198,.F.); #111394=ORIENTED_EDGE('',*,*,#84199,.T.); #111395=ORIENTED_EDGE('',*,*,#84200,.T.); #111396=ORIENTED_EDGE('',*,*,#84196,.F.); #111397=ORIENTED_EDGE('',*,*,#84201,.F.); #111398=ORIENTED_EDGE('',*,*,#84202,.T.); #111399=ORIENTED_EDGE('',*,*,#84203,.T.); #111400=ORIENTED_EDGE('',*,*,#84199,.F.); #111401=ORIENTED_EDGE('',*,*,#84204,.F.); #111402=ORIENTED_EDGE('',*,*,#84205,.T.); #111403=ORIENTED_EDGE('',*,*,#84206,.T.); #111404=ORIENTED_EDGE('',*,*,#84202,.F.); #111405=ORIENTED_EDGE('',*,*,#84207,.F.); #111406=ORIENTED_EDGE('',*,*,#84208,.T.); #111407=ORIENTED_EDGE('',*,*,#84209,.T.); #111408=ORIENTED_EDGE('',*,*,#84205,.F.); #111409=ORIENTED_EDGE('',*,*,#84210,.F.); #111410=ORIENTED_EDGE('',*,*,#84211,.T.); #111411=ORIENTED_EDGE('',*,*,#84212,.T.); #111412=ORIENTED_EDGE('',*,*,#84208,.F.); #111413=ORIENTED_EDGE('',*,*,#84213,.F.); #111414=ORIENTED_EDGE('',*,*,#84214,.T.); #111415=ORIENTED_EDGE('',*,*,#84215,.T.); #111416=ORIENTED_EDGE('',*,*,#84211,.F.); #111417=ORIENTED_EDGE('',*,*,#84216,.F.); #111418=ORIENTED_EDGE('',*,*,#84217,.T.); #111419=ORIENTED_EDGE('',*,*,#84218,.T.); #111420=ORIENTED_EDGE('',*,*,#84214,.F.); #111421=ORIENTED_EDGE('',*,*,#84219,.F.); #111422=ORIENTED_EDGE('',*,*,#84220,.T.); #111423=ORIENTED_EDGE('',*,*,#84221,.T.); #111424=ORIENTED_EDGE('',*,*,#84217,.F.); #111425=ORIENTED_EDGE('',*,*,#84222,.F.); #111426=ORIENTED_EDGE('',*,*,#84223,.T.); #111427=ORIENTED_EDGE('',*,*,#84224,.T.); #111428=ORIENTED_EDGE('',*,*,#84220,.F.); #111429=ORIENTED_EDGE('',*,*,#84225,.F.); #111430=ORIENTED_EDGE('',*,*,#84226,.T.); #111431=ORIENTED_EDGE('',*,*,#84227,.T.); #111432=ORIENTED_EDGE('',*,*,#84223,.F.); #111433=ORIENTED_EDGE('',*,*,#84228,.F.); #111434=ORIENTED_EDGE('',*,*,#84229,.T.); #111435=ORIENTED_EDGE('',*,*,#84230,.T.); #111436=ORIENTED_EDGE('',*,*,#84226,.F.); #111437=ORIENTED_EDGE('',*,*,#84231,.F.); #111438=ORIENTED_EDGE('',*,*,#84232,.T.); #111439=ORIENTED_EDGE('',*,*,#84233,.T.); #111440=ORIENTED_EDGE('',*,*,#84229,.F.); #111441=ORIENTED_EDGE('',*,*,#84234,.F.); #111442=ORIENTED_EDGE('',*,*,#84235,.T.); #111443=ORIENTED_EDGE('',*,*,#84236,.T.); #111444=ORIENTED_EDGE('',*,*,#84232,.F.); #111445=ORIENTED_EDGE('',*,*,#84237,.F.); #111446=ORIENTED_EDGE('',*,*,#84238,.T.); #111447=ORIENTED_EDGE('',*,*,#84239,.T.); #111448=ORIENTED_EDGE('',*,*,#84235,.F.); #111449=ORIENTED_EDGE('',*,*,#84240,.F.); #111450=ORIENTED_EDGE('',*,*,#84241,.T.); #111451=ORIENTED_EDGE('',*,*,#84242,.T.); #111452=ORIENTED_EDGE('',*,*,#84238,.F.); #111453=ORIENTED_EDGE('',*,*,#84243,.F.); #111454=ORIENTED_EDGE('',*,*,#84244,.T.); #111455=ORIENTED_EDGE('',*,*,#84245,.T.); #111456=ORIENTED_EDGE('',*,*,#84241,.F.); #111457=ORIENTED_EDGE('',*,*,#84246,.F.); #111458=ORIENTED_EDGE('',*,*,#84247,.T.); #111459=ORIENTED_EDGE('',*,*,#84248,.T.); #111460=ORIENTED_EDGE('',*,*,#84244,.F.); #111461=ORIENTED_EDGE('',*,*,#84249,.F.); #111462=ORIENTED_EDGE('',*,*,#84250,.T.); #111463=ORIENTED_EDGE('',*,*,#84251,.T.); #111464=ORIENTED_EDGE('',*,*,#84247,.F.); #111465=ORIENTED_EDGE('',*,*,#84252,.F.); #111466=ORIENTED_EDGE('',*,*,#84253,.T.); #111467=ORIENTED_EDGE('',*,*,#84254,.T.); #111468=ORIENTED_EDGE('',*,*,#84250,.F.); #111469=ORIENTED_EDGE('',*,*,#84255,.F.); #111470=ORIENTED_EDGE('',*,*,#84256,.T.); #111471=ORIENTED_EDGE('',*,*,#84257,.T.); #111472=ORIENTED_EDGE('',*,*,#84253,.F.); #111473=ORIENTED_EDGE('',*,*,#84258,.F.); #111474=ORIENTED_EDGE('',*,*,#84259,.T.); #111475=ORIENTED_EDGE('',*,*,#84260,.T.); #111476=ORIENTED_EDGE('',*,*,#84256,.F.); #111477=ORIENTED_EDGE('',*,*,#84261,.F.); #111478=ORIENTED_EDGE('',*,*,#84262,.T.); #111479=ORIENTED_EDGE('',*,*,#84263,.T.); #111480=ORIENTED_EDGE('',*,*,#84259,.F.); #111481=ORIENTED_EDGE('',*,*,#84264,.F.); #111482=ORIENTED_EDGE('',*,*,#84265,.T.); #111483=ORIENTED_EDGE('',*,*,#84266,.T.); #111484=ORIENTED_EDGE('',*,*,#84262,.F.); #111485=ORIENTED_EDGE('',*,*,#84267,.F.); #111486=ORIENTED_EDGE('',*,*,#84268,.T.); #111487=ORIENTED_EDGE('',*,*,#84269,.T.); #111488=ORIENTED_EDGE('',*,*,#84265,.F.); #111489=ORIENTED_EDGE('',*,*,#84270,.F.); #111490=ORIENTED_EDGE('',*,*,#84271,.T.); #111491=ORIENTED_EDGE('',*,*,#84272,.T.); #111492=ORIENTED_EDGE('',*,*,#84268,.F.); #111493=ORIENTED_EDGE('',*,*,#84273,.F.); #111494=ORIENTED_EDGE('',*,*,#84274,.T.); #111495=ORIENTED_EDGE('',*,*,#84275,.T.); #111496=ORIENTED_EDGE('',*,*,#84271,.F.); #111497=ORIENTED_EDGE('',*,*,#84276,.F.); #111498=ORIENTED_EDGE('',*,*,#84277,.T.); #111499=ORIENTED_EDGE('',*,*,#84278,.T.); #111500=ORIENTED_EDGE('',*,*,#84274,.F.); #111501=ORIENTED_EDGE('',*,*,#84279,.F.); #111502=ORIENTED_EDGE('',*,*,#84280,.T.); #111503=ORIENTED_EDGE('',*,*,#84281,.T.); #111504=ORIENTED_EDGE('',*,*,#84277,.F.); #111505=ORIENTED_EDGE('',*,*,#84282,.F.); #111506=ORIENTED_EDGE('',*,*,#84283,.T.); #111507=ORIENTED_EDGE('',*,*,#84284,.T.); #111508=ORIENTED_EDGE('',*,*,#84280,.F.); #111509=ORIENTED_EDGE('',*,*,#84285,.F.); #111510=ORIENTED_EDGE('',*,*,#84286,.T.); #111511=ORIENTED_EDGE('',*,*,#84287,.T.); #111512=ORIENTED_EDGE('',*,*,#84283,.F.); #111513=ORIENTED_EDGE('',*,*,#84288,.F.); #111514=ORIENTED_EDGE('',*,*,#84289,.T.); #111515=ORIENTED_EDGE('',*,*,#84290,.T.); #111516=ORIENTED_EDGE('',*,*,#84286,.F.); #111517=ORIENTED_EDGE('',*,*,#84291,.F.); #111518=ORIENTED_EDGE('',*,*,#84292,.T.); #111519=ORIENTED_EDGE('',*,*,#84293,.T.); #111520=ORIENTED_EDGE('',*,*,#84289,.F.); #111521=ORIENTED_EDGE('',*,*,#84294,.F.); #111522=ORIENTED_EDGE('',*,*,#84295,.T.); #111523=ORIENTED_EDGE('',*,*,#84296,.T.); #111524=ORIENTED_EDGE('',*,*,#84292,.F.); #111525=ORIENTED_EDGE('',*,*,#84297,.F.); #111526=ORIENTED_EDGE('',*,*,#84298,.T.); #111527=ORIENTED_EDGE('',*,*,#84299,.T.); #111528=ORIENTED_EDGE('',*,*,#84295,.F.); #111529=ORIENTED_EDGE('',*,*,#84300,.F.); #111530=ORIENTED_EDGE('',*,*,#84301,.T.); #111531=ORIENTED_EDGE('',*,*,#84302,.T.); #111532=ORIENTED_EDGE('',*,*,#84298,.F.); #111533=ORIENTED_EDGE('',*,*,#84303,.F.); #111534=ORIENTED_EDGE('',*,*,#84304,.T.); #111535=ORIENTED_EDGE('',*,*,#84305,.T.); #111536=ORIENTED_EDGE('',*,*,#84301,.F.); #111537=ORIENTED_EDGE('',*,*,#84306,.F.); #111538=ORIENTED_EDGE('',*,*,#84307,.T.); #111539=ORIENTED_EDGE('',*,*,#84308,.T.); #111540=ORIENTED_EDGE('',*,*,#84304,.F.); #111541=ORIENTED_EDGE('',*,*,#84309,.F.); #111542=ORIENTED_EDGE('',*,*,#84310,.T.); #111543=ORIENTED_EDGE('',*,*,#84311,.T.); #111544=ORIENTED_EDGE('',*,*,#84307,.F.); #111545=ORIENTED_EDGE('',*,*,#84312,.F.); #111546=ORIENTED_EDGE('',*,*,#84313,.T.); #111547=ORIENTED_EDGE('',*,*,#84314,.T.); #111548=ORIENTED_EDGE('',*,*,#84310,.F.); #111549=ORIENTED_EDGE('',*,*,#84315,.F.); #111550=ORIENTED_EDGE('',*,*,#84316,.T.); #111551=ORIENTED_EDGE('',*,*,#84317,.T.); #111552=ORIENTED_EDGE('',*,*,#84313,.F.); #111553=ORIENTED_EDGE('',*,*,#84318,.F.); #111554=ORIENTED_EDGE('',*,*,#84319,.T.); #111555=ORIENTED_EDGE('',*,*,#84320,.T.); #111556=ORIENTED_EDGE('',*,*,#84316,.F.); #111557=ORIENTED_EDGE('',*,*,#84321,.F.); #111558=ORIENTED_EDGE('',*,*,#84322,.T.); #111559=ORIENTED_EDGE('',*,*,#84323,.T.); #111560=ORIENTED_EDGE('',*,*,#84319,.F.); #111561=ORIENTED_EDGE('',*,*,#84324,.F.); #111562=ORIENTED_EDGE('',*,*,#84325,.T.); #111563=ORIENTED_EDGE('',*,*,#84326,.T.); #111564=ORIENTED_EDGE('',*,*,#84322,.F.); #111565=ORIENTED_EDGE('',*,*,#84327,.F.); #111566=ORIENTED_EDGE('',*,*,#84164,.T.); #111567=ORIENTED_EDGE('',*,*,#84328,.T.); #111568=ORIENTED_EDGE('',*,*,#84325,.F.); #111569=ORIENTED_EDGE('',*,*,#84328,.F.); #111570=ORIENTED_EDGE('',*,*,#84163,.F.); #111571=ORIENTED_EDGE('',*,*,#84167,.F.); #111572=ORIENTED_EDGE('',*,*,#84170,.F.); #111573=ORIENTED_EDGE('',*,*,#84173,.F.); #111574=ORIENTED_EDGE('',*,*,#84176,.F.); #111575=ORIENTED_EDGE('',*,*,#84179,.F.); #111576=ORIENTED_EDGE('',*,*,#84182,.F.); #111577=ORIENTED_EDGE('',*,*,#84185,.F.); #111578=ORIENTED_EDGE('',*,*,#84188,.F.); #111579=ORIENTED_EDGE('',*,*,#84191,.F.); #111580=ORIENTED_EDGE('',*,*,#84194,.F.); #111581=ORIENTED_EDGE('',*,*,#84197,.F.); #111582=ORIENTED_EDGE('',*,*,#84200,.F.); #111583=ORIENTED_EDGE('',*,*,#84203,.F.); #111584=ORIENTED_EDGE('',*,*,#84206,.F.); #111585=ORIENTED_EDGE('',*,*,#84209,.F.); #111586=ORIENTED_EDGE('',*,*,#84212,.F.); #111587=ORIENTED_EDGE('',*,*,#84215,.F.); #111588=ORIENTED_EDGE('',*,*,#84218,.F.); #111589=ORIENTED_EDGE('',*,*,#84221,.F.); #111590=ORIENTED_EDGE('',*,*,#84224,.F.); #111591=ORIENTED_EDGE('',*,*,#84227,.F.); #111592=ORIENTED_EDGE('',*,*,#84230,.F.); #111593=ORIENTED_EDGE('',*,*,#84233,.F.); #111594=ORIENTED_EDGE('',*,*,#84236,.F.); #111595=ORIENTED_EDGE('',*,*,#84239,.F.); #111596=ORIENTED_EDGE('',*,*,#84242,.F.); #111597=ORIENTED_EDGE('',*,*,#84245,.F.); #111598=ORIENTED_EDGE('',*,*,#84248,.F.); #111599=ORIENTED_EDGE('',*,*,#84251,.F.); #111600=ORIENTED_EDGE('',*,*,#84254,.F.); #111601=ORIENTED_EDGE('',*,*,#84257,.F.); #111602=ORIENTED_EDGE('',*,*,#84260,.F.); #111603=ORIENTED_EDGE('',*,*,#84263,.F.); #111604=ORIENTED_EDGE('',*,*,#84266,.F.); #111605=ORIENTED_EDGE('',*,*,#84269,.F.); #111606=ORIENTED_EDGE('',*,*,#84272,.F.); #111607=ORIENTED_EDGE('',*,*,#84275,.F.); #111608=ORIENTED_EDGE('',*,*,#84278,.F.); #111609=ORIENTED_EDGE('',*,*,#84281,.F.); #111610=ORIENTED_EDGE('',*,*,#84284,.F.); #111611=ORIENTED_EDGE('',*,*,#84287,.F.); #111612=ORIENTED_EDGE('',*,*,#84290,.F.); #111613=ORIENTED_EDGE('',*,*,#84293,.F.); #111614=ORIENTED_EDGE('',*,*,#84296,.F.); #111615=ORIENTED_EDGE('',*,*,#84299,.F.); #111616=ORIENTED_EDGE('',*,*,#84302,.F.); #111617=ORIENTED_EDGE('',*,*,#84305,.F.); #111618=ORIENTED_EDGE('',*,*,#84308,.F.); #111619=ORIENTED_EDGE('',*,*,#84311,.F.); #111620=ORIENTED_EDGE('',*,*,#84314,.F.); #111621=ORIENTED_EDGE('',*,*,#84317,.F.); #111622=ORIENTED_EDGE('',*,*,#84320,.F.); #111623=ORIENTED_EDGE('',*,*,#84323,.F.); #111624=ORIENTED_EDGE('',*,*,#84326,.F.); #111625=ORIENTED_EDGE('',*,*,#84160,.F.); #111626=ORIENTED_EDGE('',*,*,#84148,.F.); #111627=ORIENTED_EDGE('',*,*,#84152,.F.); #111628=ORIENTED_EDGE('',*,*,#84155,.F.); #111629=ORIENTED_EDGE('',*,*,#84158,.F.); #111630=ORIENTED_EDGE('',*,*,#84329,.F.); #111631=ORIENTED_EDGE('',*,*,#84330,.T.); #111632=ORIENTED_EDGE('',*,*,#84331,.T.); #111633=ORIENTED_EDGE('',*,*,#84332,.F.); #111634=ORIENTED_EDGE('',*,*,#84333,.F.); #111635=ORIENTED_EDGE('',*,*,#84334,.T.); #111636=ORIENTED_EDGE('',*,*,#84335,.T.); #111637=ORIENTED_EDGE('',*,*,#84330,.F.); #111638=ORIENTED_EDGE('',*,*,#84336,.F.); #111639=ORIENTED_EDGE('',*,*,#84337,.T.); #111640=ORIENTED_EDGE('',*,*,#84338,.T.); #111641=ORIENTED_EDGE('',*,*,#84334,.F.); #111642=ORIENTED_EDGE('',*,*,#84339,.F.); #111643=ORIENTED_EDGE('',*,*,#84340,.T.); #111644=ORIENTED_EDGE('',*,*,#84341,.T.); #111645=ORIENTED_EDGE('',*,*,#84337,.F.); #111646=ORIENTED_EDGE('',*,*,#84342,.F.); #111647=ORIENTED_EDGE('',*,*,#84343,.T.); #111648=ORIENTED_EDGE('',*,*,#84344,.T.); #111649=ORIENTED_EDGE('',*,*,#84340,.F.); #111650=ORIENTED_EDGE('',*,*,#84345,.F.); #111651=ORIENTED_EDGE('',*,*,#84346,.T.); #111652=ORIENTED_EDGE('',*,*,#84347,.T.); #111653=ORIENTED_EDGE('',*,*,#84343,.F.); #111654=ORIENTED_EDGE('',*,*,#84348,.F.); #111655=ORIENTED_EDGE('',*,*,#84349,.T.); #111656=ORIENTED_EDGE('',*,*,#84350,.T.); #111657=ORIENTED_EDGE('',*,*,#84346,.F.); #111658=ORIENTED_EDGE('',*,*,#84351,.F.); #111659=ORIENTED_EDGE('',*,*,#84352,.T.); #111660=ORIENTED_EDGE('',*,*,#84353,.T.); #111661=ORIENTED_EDGE('',*,*,#84349,.F.); #111662=ORIENTED_EDGE('',*,*,#84354,.F.); #111663=ORIENTED_EDGE('',*,*,#84355,.T.); #111664=ORIENTED_EDGE('',*,*,#84356,.T.); #111665=ORIENTED_EDGE('',*,*,#84352,.F.); #111666=ORIENTED_EDGE('',*,*,#84357,.F.); #111667=ORIENTED_EDGE('',*,*,#84358,.T.); #111668=ORIENTED_EDGE('',*,*,#84359,.T.); #111669=ORIENTED_EDGE('',*,*,#84355,.F.); #111670=ORIENTED_EDGE('',*,*,#84360,.F.); #111671=ORIENTED_EDGE('',*,*,#84361,.T.); #111672=ORIENTED_EDGE('',*,*,#84362,.T.); #111673=ORIENTED_EDGE('',*,*,#84358,.F.); #111674=ORIENTED_EDGE('',*,*,#84363,.F.); #111675=ORIENTED_EDGE('',*,*,#84364,.T.); #111676=ORIENTED_EDGE('',*,*,#84365,.T.); #111677=ORIENTED_EDGE('',*,*,#84361,.F.); #111678=ORIENTED_EDGE('',*,*,#84366,.F.); #111679=ORIENTED_EDGE('',*,*,#84367,.T.); #111680=ORIENTED_EDGE('',*,*,#84368,.T.); #111681=ORIENTED_EDGE('',*,*,#84364,.F.); #111682=ORIENTED_EDGE('',*,*,#84369,.F.); #111683=ORIENTED_EDGE('',*,*,#84370,.T.); #111684=ORIENTED_EDGE('',*,*,#84371,.T.); #111685=ORIENTED_EDGE('',*,*,#84367,.F.); #111686=ORIENTED_EDGE('',*,*,#84372,.F.); #111687=ORIENTED_EDGE('',*,*,#84373,.T.); #111688=ORIENTED_EDGE('',*,*,#84374,.T.); #111689=ORIENTED_EDGE('',*,*,#84370,.F.); #111690=ORIENTED_EDGE('',*,*,#84375,.F.); #111691=ORIENTED_EDGE('',*,*,#84376,.T.); #111692=ORIENTED_EDGE('',*,*,#84377,.T.); #111693=ORIENTED_EDGE('',*,*,#84373,.F.); #111694=ORIENTED_EDGE('',*,*,#84378,.F.); #111695=ORIENTED_EDGE('',*,*,#84379,.T.); #111696=ORIENTED_EDGE('',*,*,#84380,.T.); #111697=ORIENTED_EDGE('',*,*,#84376,.F.); #111698=ORIENTED_EDGE('',*,*,#84381,.F.); #111699=ORIENTED_EDGE('',*,*,#84382,.T.); #111700=ORIENTED_EDGE('',*,*,#84383,.T.); #111701=ORIENTED_EDGE('',*,*,#84379,.F.); #111702=ORIENTED_EDGE('',*,*,#84384,.F.); #111703=ORIENTED_EDGE('',*,*,#84385,.T.); #111704=ORIENTED_EDGE('',*,*,#84386,.T.); #111705=ORIENTED_EDGE('',*,*,#84382,.F.); #111706=ORIENTED_EDGE('',*,*,#84387,.F.); #111707=ORIENTED_EDGE('',*,*,#84388,.T.); #111708=ORIENTED_EDGE('',*,*,#84389,.T.); #111709=ORIENTED_EDGE('',*,*,#84385,.F.); #111710=ORIENTED_EDGE('',*,*,#84390,.F.); #111711=ORIENTED_EDGE('',*,*,#84391,.T.); #111712=ORIENTED_EDGE('',*,*,#84392,.T.); #111713=ORIENTED_EDGE('',*,*,#84388,.F.); #111714=ORIENTED_EDGE('',*,*,#84393,.F.); #111715=ORIENTED_EDGE('',*,*,#84394,.T.); #111716=ORIENTED_EDGE('',*,*,#84395,.T.); #111717=ORIENTED_EDGE('',*,*,#84391,.F.); #111718=ORIENTED_EDGE('',*,*,#84396,.F.); #111719=ORIENTED_EDGE('',*,*,#84397,.T.); #111720=ORIENTED_EDGE('',*,*,#84398,.T.); #111721=ORIENTED_EDGE('',*,*,#84394,.F.); #111722=ORIENTED_EDGE('',*,*,#84399,.F.); #111723=ORIENTED_EDGE('',*,*,#84400,.T.); #111724=ORIENTED_EDGE('',*,*,#84401,.T.); #111725=ORIENTED_EDGE('',*,*,#84397,.F.); #111726=ORIENTED_EDGE('',*,*,#84402,.F.); #111727=ORIENTED_EDGE('',*,*,#84403,.T.); #111728=ORIENTED_EDGE('',*,*,#84404,.T.); #111729=ORIENTED_EDGE('',*,*,#84400,.F.); #111730=ORIENTED_EDGE('',*,*,#84405,.F.); #111731=ORIENTED_EDGE('',*,*,#84406,.T.); #111732=ORIENTED_EDGE('',*,*,#84407,.T.); #111733=ORIENTED_EDGE('',*,*,#84403,.F.); #111734=ORIENTED_EDGE('',*,*,#84408,.F.); #111735=ORIENTED_EDGE('',*,*,#84409,.T.); #111736=ORIENTED_EDGE('',*,*,#84410,.T.); #111737=ORIENTED_EDGE('',*,*,#84406,.F.); #111738=ORIENTED_EDGE('',*,*,#84411,.F.); #111739=ORIENTED_EDGE('',*,*,#84412,.T.); #111740=ORIENTED_EDGE('',*,*,#84413,.T.); #111741=ORIENTED_EDGE('',*,*,#84409,.F.); #111742=ORIENTED_EDGE('',*,*,#84414,.F.); #111743=ORIENTED_EDGE('',*,*,#84415,.T.); #111744=ORIENTED_EDGE('',*,*,#84416,.T.); #111745=ORIENTED_EDGE('',*,*,#84412,.F.); #111746=ORIENTED_EDGE('',*,*,#84417,.F.); #111747=ORIENTED_EDGE('',*,*,#84418,.T.); #111748=ORIENTED_EDGE('',*,*,#84419,.T.); #111749=ORIENTED_EDGE('',*,*,#84415,.F.); #111750=ORIENTED_EDGE('',*,*,#84420,.F.); #111751=ORIENTED_EDGE('',*,*,#84421,.T.); #111752=ORIENTED_EDGE('',*,*,#84422,.T.); #111753=ORIENTED_EDGE('',*,*,#84418,.F.); #111754=ORIENTED_EDGE('',*,*,#84423,.F.); #111755=ORIENTED_EDGE('',*,*,#84424,.T.); #111756=ORIENTED_EDGE('',*,*,#84425,.T.); #111757=ORIENTED_EDGE('',*,*,#84421,.F.); #111758=ORIENTED_EDGE('',*,*,#84426,.F.); #111759=ORIENTED_EDGE('',*,*,#84427,.T.); #111760=ORIENTED_EDGE('',*,*,#84428,.T.); #111761=ORIENTED_EDGE('',*,*,#84424,.F.); #111762=ORIENTED_EDGE('',*,*,#84429,.F.); #111763=ORIENTED_EDGE('',*,*,#84430,.T.); #111764=ORIENTED_EDGE('',*,*,#84431,.T.); #111765=ORIENTED_EDGE('',*,*,#84427,.F.); #111766=ORIENTED_EDGE('',*,*,#84432,.F.); #111767=ORIENTED_EDGE('',*,*,#84433,.T.); #111768=ORIENTED_EDGE('',*,*,#84434,.T.); #111769=ORIENTED_EDGE('',*,*,#84430,.F.); #111770=ORIENTED_EDGE('',*,*,#84435,.F.); #111771=ORIENTED_EDGE('',*,*,#84436,.T.); #111772=ORIENTED_EDGE('',*,*,#84437,.T.); #111773=ORIENTED_EDGE('',*,*,#84433,.F.); #111774=ORIENTED_EDGE('',*,*,#84438,.F.); #111775=ORIENTED_EDGE('',*,*,#84439,.T.); #111776=ORIENTED_EDGE('',*,*,#84440,.T.); #111777=ORIENTED_EDGE('',*,*,#84436,.F.); #111778=ORIENTED_EDGE('',*,*,#84441,.F.); #111779=ORIENTED_EDGE('',*,*,#84442,.T.); #111780=ORIENTED_EDGE('',*,*,#84443,.T.); #111781=ORIENTED_EDGE('',*,*,#84439,.F.); #111782=ORIENTED_EDGE('',*,*,#84444,.F.); #111783=ORIENTED_EDGE('',*,*,#84445,.T.); #111784=ORIENTED_EDGE('',*,*,#84446,.T.); #111785=ORIENTED_EDGE('',*,*,#84442,.F.); #111786=ORIENTED_EDGE('',*,*,#84447,.F.); #111787=ORIENTED_EDGE('',*,*,#84448,.T.); #111788=ORIENTED_EDGE('',*,*,#84449,.T.); #111789=ORIENTED_EDGE('',*,*,#84445,.F.); #111790=ORIENTED_EDGE('',*,*,#84450,.F.); #111791=ORIENTED_EDGE('',*,*,#84451,.T.); #111792=ORIENTED_EDGE('',*,*,#84452,.T.); #111793=ORIENTED_EDGE('',*,*,#84448,.F.); #111794=ORIENTED_EDGE('',*,*,#84453,.F.); #111795=ORIENTED_EDGE('',*,*,#84454,.T.); #111796=ORIENTED_EDGE('',*,*,#84455,.T.); #111797=ORIENTED_EDGE('',*,*,#84451,.F.); #111798=ORIENTED_EDGE('',*,*,#84456,.F.); #111799=ORIENTED_EDGE('',*,*,#84457,.T.); #111800=ORIENTED_EDGE('',*,*,#84458,.T.); #111801=ORIENTED_EDGE('',*,*,#84454,.F.); #111802=ORIENTED_EDGE('',*,*,#84459,.F.); #111803=ORIENTED_EDGE('',*,*,#84460,.T.); #111804=ORIENTED_EDGE('',*,*,#84461,.T.); #111805=ORIENTED_EDGE('',*,*,#84457,.F.); #111806=ORIENTED_EDGE('',*,*,#84462,.F.); #111807=ORIENTED_EDGE('',*,*,#84463,.T.); #111808=ORIENTED_EDGE('',*,*,#84464,.T.); #111809=ORIENTED_EDGE('',*,*,#84460,.F.); #111810=ORIENTED_EDGE('',*,*,#84465,.F.); #111811=ORIENTED_EDGE('',*,*,#84466,.T.); #111812=ORIENTED_EDGE('',*,*,#84467,.T.); #111813=ORIENTED_EDGE('',*,*,#84463,.F.); #111814=ORIENTED_EDGE('',*,*,#84468,.F.); #111815=ORIENTED_EDGE('',*,*,#84469,.T.); #111816=ORIENTED_EDGE('',*,*,#84470,.T.); #111817=ORIENTED_EDGE('',*,*,#84466,.F.); #111818=ORIENTED_EDGE('',*,*,#84471,.F.); #111819=ORIENTED_EDGE('',*,*,#84472,.T.); #111820=ORIENTED_EDGE('',*,*,#84473,.T.); #111821=ORIENTED_EDGE('',*,*,#84469,.F.); #111822=ORIENTED_EDGE('',*,*,#84474,.F.); #111823=ORIENTED_EDGE('',*,*,#84475,.T.); #111824=ORIENTED_EDGE('',*,*,#84476,.T.); #111825=ORIENTED_EDGE('',*,*,#84472,.F.); #111826=ORIENTED_EDGE('',*,*,#84477,.F.); #111827=ORIENTED_EDGE('',*,*,#84478,.T.); #111828=ORIENTED_EDGE('',*,*,#84479,.T.); #111829=ORIENTED_EDGE('',*,*,#84475,.F.); #111830=ORIENTED_EDGE('',*,*,#84480,.F.); #111831=ORIENTED_EDGE('',*,*,#84481,.T.); #111832=ORIENTED_EDGE('',*,*,#84482,.T.); #111833=ORIENTED_EDGE('',*,*,#84478,.F.); #111834=ORIENTED_EDGE('',*,*,#84483,.F.); #111835=ORIENTED_EDGE('',*,*,#84484,.T.); #111836=ORIENTED_EDGE('',*,*,#84485,.T.); #111837=ORIENTED_EDGE('',*,*,#84481,.F.); #111838=ORIENTED_EDGE('',*,*,#84486,.F.); #111839=ORIENTED_EDGE('',*,*,#84487,.T.); #111840=ORIENTED_EDGE('',*,*,#84488,.T.); #111841=ORIENTED_EDGE('',*,*,#84484,.F.); #111842=ORIENTED_EDGE('',*,*,#84489,.F.); #111843=ORIENTED_EDGE('',*,*,#84490,.T.); #111844=ORIENTED_EDGE('',*,*,#84491,.T.); #111845=ORIENTED_EDGE('',*,*,#84487,.F.); #111846=ORIENTED_EDGE('',*,*,#84492,.F.); #111847=ORIENTED_EDGE('',*,*,#84493,.T.); #111848=ORIENTED_EDGE('',*,*,#84494,.T.); #111849=ORIENTED_EDGE('',*,*,#84490,.F.); #111850=ORIENTED_EDGE('',*,*,#84495,.F.); #111851=ORIENTED_EDGE('',*,*,#84496,.T.); #111852=ORIENTED_EDGE('',*,*,#84497,.T.); #111853=ORIENTED_EDGE('',*,*,#84493,.F.); #111854=ORIENTED_EDGE('',*,*,#84498,.F.); #111855=ORIENTED_EDGE('',*,*,#84499,.T.); #111856=ORIENTED_EDGE('',*,*,#84500,.T.); #111857=ORIENTED_EDGE('',*,*,#84496,.F.); #111858=ORIENTED_EDGE('',*,*,#84501,.F.); #111859=ORIENTED_EDGE('',*,*,#84502,.T.); #111860=ORIENTED_EDGE('',*,*,#84503,.T.); #111861=ORIENTED_EDGE('',*,*,#84499,.F.); #111862=ORIENTED_EDGE('',*,*,#84504,.F.); #111863=ORIENTED_EDGE('',*,*,#84505,.T.); #111864=ORIENTED_EDGE('',*,*,#84506,.T.); #111865=ORIENTED_EDGE('',*,*,#84502,.F.); #111866=ORIENTED_EDGE('',*,*,#84507,.F.); #111867=ORIENTED_EDGE('',*,*,#84508,.T.); #111868=ORIENTED_EDGE('',*,*,#84509,.T.); #111869=ORIENTED_EDGE('',*,*,#84505,.F.); #111870=ORIENTED_EDGE('',*,*,#84510,.F.); #111871=ORIENTED_EDGE('',*,*,#84511,.T.); #111872=ORIENTED_EDGE('',*,*,#84512,.T.); #111873=ORIENTED_EDGE('',*,*,#84508,.F.); #111874=ORIENTED_EDGE('',*,*,#84513,.F.); #111875=ORIENTED_EDGE('',*,*,#84514,.T.); #111876=ORIENTED_EDGE('',*,*,#84515,.T.); #111877=ORIENTED_EDGE('',*,*,#84511,.F.); #111878=ORIENTED_EDGE('',*,*,#84516,.F.); #111879=ORIENTED_EDGE('',*,*,#84517,.T.); #111880=ORIENTED_EDGE('',*,*,#84518,.T.); #111881=ORIENTED_EDGE('',*,*,#84514,.F.); #111882=ORIENTED_EDGE('',*,*,#84519,.F.); #111883=ORIENTED_EDGE('',*,*,#84520,.T.); #111884=ORIENTED_EDGE('',*,*,#84521,.T.); #111885=ORIENTED_EDGE('',*,*,#84517,.F.); #111886=ORIENTED_EDGE('',*,*,#84522,.F.); #111887=ORIENTED_EDGE('',*,*,#84523,.T.); #111888=ORIENTED_EDGE('',*,*,#84524,.T.); #111889=ORIENTED_EDGE('',*,*,#84520,.F.); #111890=ORIENTED_EDGE('',*,*,#84525,.F.); #111891=ORIENTED_EDGE('',*,*,#84526,.T.); #111892=ORIENTED_EDGE('',*,*,#84527,.T.); #111893=ORIENTED_EDGE('',*,*,#84523,.F.); #111894=ORIENTED_EDGE('',*,*,#84528,.F.); #111895=ORIENTED_EDGE('',*,*,#84529,.T.); #111896=ORIENTED_EDGE('',*,*,#84530,.T.); #111897=ORIENTED_EDGE('',*,*,#84526,.F.); #111898=ORIENTED_EDGE('',*,*,#84531,.F.); #111899=ORIENTED_EDGE('',*,*,#84532,.T.); #111900=ORIENTED_EDGE('',*,*,#84533,.T.); #111901=ORIENTED_EDGE('',*,*,#84529,.F.); #111902=ORIENTED_EDGE('',*,*,#84534,.F.); #111903=ORIENTED_EDGE('',*,*,#84535,.T.); #111904=ORIENTED_EDGE('',*,*,#84536,.T.); #111905=ORIENTED_EDGE('',*,*,#84532,.F.); #111906=ORIENTED_EDGE('',*,*,#84537,.F.); #111907=ORIENTED_EDGE('',*,*,#84538,.T.); #111908=ORIENTED_EDGE('',*,*,#84539,.T.); #111909=ORIENTED_EDGE('',*,*,#84535,.F.); #111910=ORIENTED_EDGE('',*,*,#84540,.F.); #111911=ORIENTED_EDGE('',*,*,#84541,.T.); #111912=ORIENTED_EDGE('',*,*,#84542,.T.); #111913=ORIENTED_EDGE('',*,*,#84538,.F.); #111914=ORIENTED_EDGE('',*,*,#84543,.F.); #111915=ORIENTED_EDGE('',*,*,#84544,.T.); #111916=ORIENTED_EDGE('',*,*,#84545,.T.); #111917=ORIENTED_EDGE('',*,*,#84541,.F.); #111918=ORIENTED_EDGE('',*,*,#84546,.F.); #111919=ORIENTED_EDGE('',*,*,#84547,.T.); #111920=ORIENTED_EDGE('',*,*,#84548,.T.); #111921=ORIENTED_EDGE('',*,*,#84544,.F.); #111922=ORIENTED_EDGE('',*,*,#84549,.F.); #111923=ORIENTED_EDGE('',*,*,#84550,.T.); #111924=ORIENTED_EDGE('',*,*,#84551,.T.); #111925=ORIENTED_EDGE('',*,*,#84547,.F.); #111926=ORIENTED_EDGE('',*,*,#84552,.F.); #111927=ORIENTED_EDGE('',*,*,#84553,.T.); #111928=ORIENTED_EDGE('',*,*,#84554,.T.); #111929=ORIENTED_EDGE('',*,*,#84550,.F.); #111930=ORIENTED_EDGE('',*,*,#84555,.F.); #111931=ORIENTED_EDGE('',*,*,#84556,.T.); #111932=ORIENTED_EDGE('',*,*,#84557,.T.); #111933=ORIENTED_EDGE('',*,*,#84553,.F.); #111934=ORIENTED_EDGE('',*,*,#84558,.F.); #111935=ORIENTED_EDGE('',*,*,#84559,.T.); #111936=ORIENTED_EDGE('',*,*,#84560,.T.); #111937=ORIENTED_EDGE('',*,*,#84556,.F.); #111938=ORIENTED_EDGE('',*,*,#84561,.F.); #111939=ORIENTED_EDGE('',*,*,#84562,.T.); #111940=ORIENTED_EDGE('',*,*,#84563,.T.); #111941=ORIENTED_EDGE('',*,*,#84559,.F.); #111942=ORIENTED_EDGE('',*,*,#84564,.F.); #111943=ORIENTED_EDGE('',*,*,#84565,.T.); #111944=ORIENTED_EDGE('',*,*,#84566,.T.); #111945=ORIENTED_EDGE('',*,*,#84562,.F.); #111946=ORIENTED_EDGE('',*,*,#84567,.F.); #111947=ORIENTED_EDGE('',*,*,#84568,.T.); #111948=ORIENTED_EDGE('',*,*,#84569,.T.); #111949=ORIENTED_EDGE('',*,*,#84565,.F.); #111950=ORIENTED_EDGE('',*,*,#84570,.F.); #111951=ORIENTED_EDGE('',*,*,#84571,.T.); #111952=ORIENTED_EDGE('',*,*,#84572,.T.); #111953=ORIENTED_EDGE('',*,*,#84568,.F.); #111954=ORIENTED_EDGE('',*,*,#84573,.F.); #111955=ORIENTED_EDGE('',*,*,#84574,.T.); #111956=ORIENTED_EDGE('',*,*,#84575,.T.); #111957=ORIENTED_EDGE('',*,*,#84571,.F.); #111958=ORIENTED_EDGE('',*,*,#84576,.F.); #111959=ORIENTED_EDGE('',*,*,#84577,.T.); #111960=ORIENTED_EDGE('',*,*,#84578,.T.); #111961=ORIENTED_EDGE('',*,*,#84574,.F.); #111962=ORIENTED_EDGE('',*,*,#84579,.F.); #111963=ORIENTED_EDGE('',*,*,#84580,.T.); #111964=ORIENTED_EDGE('',*,*,#84581,.T.); #111965=ORIENTED_EDGE('',*,*,#84577,.F.); #111966=ORIENTED_EDGE('',*,*,#84582,.F.); #111967=ORIENTED_EDGE('',*,*,#84583,.T.); #111968=ORIENTED_EDGE('',*,*,#84584,.T.); #111969=ORIENTED_EDGE('',*,*,#84580,.F.); #111970=ORIENTED_EDGE('',*,*,#84585,.F.); #111971=ORIENTED_EDGE('',*,*,#84586,.T.); #111972=ORIENTED_EDGE('',*,*,#84587,.T.); #111973=ORIENTED_EDGE('',*,*,#84583,.F.); #111974=ORIENTED_EDGE('',*,*,#84588,.F.); #111975=ORIENTED_EDGE('',*,*,#84589,.T.); #111976=ORIENTED_EDGE('',*,*,#84590,.T.); #111977=ORIENTED_EDGE('',*,*,#84586,.F.); #111978=ORIENTED_EDGE('',*,*,#84591,.F.); #111979=ORIENTED_EDGE('',*,*,#84592,.T.); #111980=ORIENTED_EDGE('',*,*,#84593,.T.); #111981=ORIENTED_EDGE('',*,*,#84589,.F.); #111982=ORIENTED_EDGE('',*,*,#84594,.F.); #111983=ORIENTED_EDGE('',*,*,#84595,.T.); #111984=ORIENTED_EDGE('',*,*,#84596,.T.); #111985=ORIENTED_EDGE('',*,*,#84592,.F.); #111986=ORIENTED_EDGE('',*,*,#84597,.F.); #111987=ORIENTED_EDGE('',*,*,#84598,.T.); #111988=ORIENTED_EDGE('',*,*,#84599,.T.); #111989=ORIENTED_EDGE('',*,*,#84595,.F.); #111990=ORIENTED_EDGE('',*,*,#84600,.F.); #111991=ORIENTED_EDGE('',*,*,#84601,.T.); #111992=ORIENTED_EDGE('',*,*,#84602,.T.); #111993=ORIENTED_EDGE('',*,*,#84598,.F.); #111994=ORIENTED_EDGE('',*,*,#84603,.F.); #111995=ORIENTED_EDGE('',*,*,#84604,.T.); #111996=ORIENTED_EDGE('',*,*,#84605,.T.); #111997=ORIENTED_EDGE('',*,*,#84601,.F.); #111998=ORIENTED_EDGE('',*,*,#84606,.F.); #111999=ORIENTED_EDGE('',*,*,#84607,.T.); #112000=ORIENTED_EDGE('',*,*,#84608,.T.); #112001=ORIENTED_EDGE('',*,*,#84604,.F.); #112002=ORIENTED_EDGE('',*,*,#84609,.F.); #112003=ORIENTED_EDGE('',*,*,#84610,.T.); #112004=ORIENTED_EDGE('',*,*,#84611,.T.); #112005=ORIENTED_EDGE('',*,*,#84607,.F.); #112006=ORIENTED_EDGE('',*,*,#84612,.F.); #112007=ORIENTED_EDGE('',*,*,#84613,.T.); #112008=ORIENTED_EDGE('',*,*,#84614,.T.); #112009=ORIENTED_EDGE('',*,*,#84610,.F.); #112010=ORIENTED_EDGE('',*,*,#84615,.F.); #112011=ORIENTED_EDGE('',*,*,#84616,.T.); #112012=ORIENTED_EDGE('',*,*,#84617,.T.); #112013=ORIENTED_EDGE('',*,*,#84613,.F.); #112014=ORIENTED_EDGE('',*,*,#84618,.F.); #112015=ORIENTED_EDGE('',*,*,#84619,.T.); #112016=ORIENTED_EDGE('',*,*,#84620,.T.); #112017=ORIENTED_EDGE('',*,*,#84616,.F.); #112018=ORIENTED_EDGE('',*,*,#84621,.F.); #112019=ORIENTED_EDGE('',*,*,#84622,.T.); #112020=ORIENTED_EDGE('',*,*,#84623,.T.); #112021=ORIENTED_EDGE('',*,*,#84619,.F.); #112022=ORIENTED_EDGE('',*,*,#84624,.F.); #112023=ORIENTED_EDGE('',*,*,#84625,.T.); #112024=ORIENTED_EDGE('',*,*,#84626,.T.); #112025=ORIENTED_EDGE('',*,*,#84622,.F.); #112026=ORIENTED_EDGE('',*,*,#84627,.F.); #112027=ORIENTED_EDGE('',*,*,#84628,.T.); #112028=ORIENTED_EDGE('',*,*,#84629,.T.); #112029=ORIENTED_EDGE('',*,*,#84625,.F.); #112030=ORIENTED_EDGE('',*,*,#84630,.F.); #112031=ORIENTED_EDGE('',*,*,#84631,.T.); #112032=ORIENTED_EDGE('',*,*,#84632,.T.); #112033=ORIENTED_EDGE('',*,*,#84628,.F.); #112034=ORIENTED_EDGE('',*,*,#84633,.F.); #112035=ORIENTED_EDGE('',*,*,#84634,.T.); #112036=ORIENTED_EDGE('',*,*,#84635,.T.); #112037=ORIENTED_EDGE('',*,*,#84631,.F.); #112038=ORIENTED_EDGE('',*,*,#84636,.F.); #112039=ORIENTED_EDGE('',*,*,#84637,.T.); #112040=ORIENTED_EDGE('',*,*,#84638,.T.); #112041=ORIENTED_EDGE('',*,*,#84634,.F.); #112042=ORIENTED_EDGE('',*,*,#84639,.F.); #112043=ORIENTED_EDGE('',*,*,#84640,.T.); #112044=ORIENTED_EDGE('',*,*,#84641,.T.); #112045=ORIENTED_EDGE('',*,*,#84637,.F.); #112046=ORIENTED_EDGE('',*,*,#84642,.F.); #112047=ORIENTED_EDGE('',*,*,#84643,.T.); #112048=ORIENTED_EDGE('',*,*,#84644,.T.); #112049=ORIENTED_EDGE('',*,*,#84640,.F.); #112050=ORIENTED_EDGE('',*,*,#84645,.F.); #112051=ORIENTED_EDGE('',*,*,#84646,.T.); #112052=ORIENTED_EDGE('',*,*,#84647,.T.); #112053=ORIENTED_EDGE('',*,*,#84643,.F.); #112054=ORIENTED_EDGE('',*,*,#84648,.F.); #112055=ORIENTED_EDGE('',*,*,#84649,.T.); #112056=ORIENTED_EDGE('',*,*,#84650,.T.); #112057=ORIENTED_EDGE('',*,*,#84646,.F.); #112058=ORIENTED_EDGE('',*,*,#84651,.F.); #112059=ORIENTED_EDGE('',*,*,#84652,.T.); #112060=ORIENTED_EDGE('',*,*,#84653,.T.); #112061=ORIENTED_EDGE('',*,*,#84649,.F.); #112062=ORIENTED_EDGE('',*,*,#84654,.F.); #112063=ORIENTED_EDGE('',*,*,#84655,.T.); #112064=ORIENTED_EDGE('',*,*,#84656,.T.); #112065=ORIENTED_EDGE('',*,*,#84652,.F.); #112066=ORIENTED_EDGE('',*,*,#84657,.F.); #112067=ORIENTED_EDGE('',*,*,#84658,.T.); #112068=ORIENTED_EDGE('',*,*,#84659,.T.); #112069=ORIENTED_EDGE('',*,*,#84655,.F.); #112070=ORIENTED_EDGE('',*,*,#84660,.F.); #112071=ORIENTED_EDGE('',*,*,#84661,.T.); #112072=ORIENTED_EDGE('',*,*,#84662,.T.); #112073=ORIENTED_EDGE('',*,*,#84658,.F.); #112074=ORIENTED_EDGE('',*,*,#84663,.F.); #112075=ORIENTED_EDGE('',*,*,#84664,.T.); #112076=ORIENTED_EDGE('',*,*,#84665,.T.); #112077=ORIENTED_EDGE('',*,*,#84661,.F.); #112078=ORIENTED_EDGE('',*,*,#84666,.F.); #112079=ORIENTED_EDGE('',*,*,#84667,.T.); #112080=ORIENTED_EDGE('',*,*,#84668,.T.); #112081=ORIENTED_EDGE('',*,*,#84664,.F.); #112082=ORIENTED_EDGE('',*,*,#84669,.F.); #112083=ORIENTED_EDGE('',*,*,#84670,.T.); #112084=ORIENTED_EDGE('',*,*,#84671,.T.); #112085=ORIENTED_EDGE('',*,*,#84667,.F.); #112086=ORIENTED_EDGE('',*,*,#84672,.F.); #112087=ORIENTED_EDGE('',*,*,#84673,.T.); #112088=ORIENTED_EDGE('',*,*,#84674,.T.); #112089=ORIENTED_EDGE('',*,*,#84670,.F.); #112090=ORIENTED_EDGE('',*,*,#84675,.F.); #112091=ORIENTED_EDGE('',*,*,#84676,.T.); #112092=ORIENTED_EDGE('',*,*,#84677,.T.); #112093=ORIENTED_EDGE('',*,*,#84673,.F.); #112094=ORIENTED_EDGE('',*,*,#84678,.F.); #112095=ORIENTED_EDGE('',*,*,#84679,.T.); #112096=ORIENTED_EDGE('',*,*,#84680,.T.); #112097=ORIENTED_EDGE('',*,*,#84676,.F.); #112098=ORIENTED_EDGE('',*,*,#84681,.F.); #112099=ORIENTED_EDGE('',*,*,#84682,.T.); #112100=ORIENTED_EDGE('',*,*,#84683,.T.); #112101=ORIENTED_EDGE('',*,*,#84679,.F.); #112102=ORIENTED_EDGE('',*,*,#84684,.F.); #112103=ORIENTED_EDGE('',*,*,#84685,.T.); #112104=ORIENTED_EDGE('',*,*,#84686,.T.); #112105=ORIENTED_EDGE('',*,*,#84682,.F.); #112106=ORIENTED_EDGE('',*,*,#84687,.F.); #112107=ORIENTED_EDGE('',*,*,#84688,.T.); #112108=ORIENTED_EDGE('',*,*,#84689,.T.); #112109=ORIENTED_EDGE('',*,*,#84685,.F.); #112110=ORIENTED_EDGE('',*,*,#84690,.F.); #112111=ORIENTED_EDGE('',*,*,#84691,.T.); #112112=ORIENTED_EDGE('',*,*,#84692,.T.); #112113=ORIENTED_EDGE('',*,*,#84688,.F.); #112114=ORIENTED_EDGE('',*,*,#84693,.F.); #112115=ORIENTED_EDGE('',*,*,#84332,.T.); #112116=ORIENTED_EDGE('',*,*,#84694,.T.); #112117=ORIENTED_EDGE('',*,*,#84691,.F.); #112118=ORIENTED_EDGE('',*,*,#84694,.F.); #112119=ORIENTED_EDGE('',*,*,#84331,.F.); #112120=ORIENTED_EDGE('',*,*,#84335,.F.); #112121=ORIENTED_EDGE('',*,*,#84338,.F.); #112122=ORIENTED_EDGE('',*,*,#84341,.F.); #112123=ORIENTED_EDGE('',*,*,#84344,.F.); #112124=ORIENTED_EDGE('',*,*,#84347,.F.); #112125=ORIENTED_EDGE('',*,*,#84350,.F.); #112126=ORIENTED_EDGE('',*,*,#84353,.F.); #112127=ORIENTED_EDGE('',*,*,#84356,.F.); #112128=ORIENTED_EDGE('',*,*,#84359,.F.); #112129=ORIENTED_EDGE('',*,*,#84362,.F.); #112130=ORIENTED_EDGE('',*,*,#84365,.F.); #112131=ORIENTED_EDGE('',*,*,#84368,.F.); #112132=ORIENTED_EDGE('',*,*,#84371,.F.); #112133=ORIENTED_EDGE('',*,*,#84374,.F.); #112134=ORIENTED_EDGE('',*,*,#84377,.F.); #112135=ORIENTED_EDGE('',*,*,#84380,.F.); #112136=ORIENTED_EDGE('',*,*,#84383,.F.); #112137=ORIENTED_EDGE('',*,*,#84386,.F.); #112138=ORIENTED_EDGE('',*,*,#84389,.F.); #112139=ORIENTED_EDGE('',*,*,#84392,.F.); #112140=ORIENTED_EDGE('',*,*,#84395,.F.); #112141=ORIENTED_EDGE('',*,*,#84398,.F.); #112142=ORIENTED_EDGE('',*,*,#84401,.F.); #112143=ORIENTED_EDGE('',*,*,#84404,.F.); #112144=ORIENTED_EDGE('',*,*,#84407,.F.); #112145=ORIENTED_EDGE('',*,*,#84410,.F.); #112146=ORIENTED_EDGE('',*,*,#84413,.F.); #112147=ORIENTED_EDGE('',*,*,#84416,.F.); #112148=ORIENTED_EDGE('',*,*,#84419,.F.); #112149=ORIENTED_EDGE('',*,*,#84422,.F.); #112150=ORIENTED_EDGE('',*,*,#84425,.F.); #112151=ORIENTED_EDGE('',*,*,#84428,.F.); #112152=ORIENTED_EDGE('',*,*,#84431,.F.); #112153=ORIENTED_EDGE('',*,*,#84434,.F.); #112154=ORIENTED_EDGE('',*,*,#84437,.F.); #112155=ORIENTED_EDGE('',*,*,#84440,.F.); #112156=ORIENTED_EDGE('',*,*,#84443,.F.); #112157=ORIENTED_EDGE('',*,*,#84446,.F.); #112158=ORIENTED_EDGE('',*,*,#84449,.F.); #112159=ORIENTED_EDGE('',*,*,#84452,.F.); #112160=ORIENTED_EDGE('',*,*,#84455,.F.); #112161=ORIENTED_EDGE('',*,*,#84458,.F.); #112162=ORIENTED_EDGE('',*,*,#84461,.F.); #112163=ORIENTED_EDGE('',*,*,#84464,.F.); #112164=ORIENTED_EDGE('',*,*,#84467,.F.); #112165=ORIENTED_EDGE('',*,*,#84470,.F.); #112166=ORIENTED_EDGE('',*,*,#84473,.F.); #112167=ORIENTED_EDGE('',*,*,#84476,.F.); #112168=ORIENTED_EDGE('',*,*,#84479,.F.); #112169=ORIENTED_EDGE('',*,*,#84482,.F.); #112170=ORIENTED_EDGE('',*,*,#84485,.F.); #112171=ORIENTED_EDGE('',*,*,#84488,.F.); #112172=ORIENTED_EDGE('',*,*,#84491,.F.); #112173=ORIENTED_EDGE('',*,*,#84494,.F.); #112174=ORIENTED_EDGE('',*,*,#84497,.F.); #112175=ORIENTED_EDGE('',*,*,#84500,.F.); #112176=ORIENTED_EDGE('',*,*,#84503,.F.); #112177=ORIENTED_EDGE('',*,*,#84506,.F.); #112178=ORIENTED_EDGE('',*,*,#84509,.F.); #112179=ORIENTED_EDGE('',*,*,#84512,.F.); #112180=ORIENTED_EDGE('',*,*,#84515,.F.); #112181=ORIENTED_EDGE('',*,*,#84518,.F.); #112182=ORIENTED_EDGE('',*,*,#84521,.F.); #112183=ORIENTED_EDGE('',*,*,#84524,.F.); #112184=ORIENTED_EDGE('',*,*,#84527,.F.); #112185=ORIENTED_EDGE('',*,*,#84530,.F.); #112186=ORIENTED_EDGE('',*,*,#84533,.F.); #112187=ORIENTED_EDGE('',*,*,#84536,.F.); #112188=ORIENTED_EDGE('',*,*,#84539,.F.); #112189=ORIENTED_EDGE('',*,*,#84542,.F.); #112190=ORIENTED_EDGE('',*,*,#84545,.F.); #112191=ORIENTED_EDGE('',*,*,#84548,.F.); #112192=ORIENTED_EDGE('',*,*,#84551,.F.); #112193=ORIENTED_EDGE('',*,*,#84554,.F.); #112194=ORIENTED_EDGE('',*,*,#84557,.F.); #112195=ORIENTED_EDGE('',*,*,#84560,.F.); #112196=ORIENTED_EDGE('',*,*,#84563,.F.); #112197=ORIENTED_EDGE('',*,*,#84566,.F.); #112198=ORIENTED_EDGE('',*,*,#84569,.F.); #112199=ORIENTED_EDGE('',*,*,#84572,.F.); #112200=ORIENTED_EDGE('',*,*,#84575,.F.); #112201=ORIENTED_EDGE('',*,*,#84578,.F.); #112202=ORIENTED_EDGE('',*,*,#84581,.F.); #112203=ORIENTED_EDGE('',*,*,#84584,.F.); #112204=ORIENTED_EDGE('',*,*,#84587,.F.); #112205=ORIENTED_EDGE('',*,*,#84590,.F.); #112206=ORIENTED_EDGE('',*,*,#84593,.F.); #112207=ORIENTED_EDGE('',*,*,#84596,.F.); #112208=ORIENTED_EDGE('',*,*,#84599,.F.); #112209=ORIENTED_EDGE('',*,*,#84602,.F.); #112210=ORIENTED_EDGE('',*,*,#84605,.F.); #112211=ORIENTED_EDGE('',*,*,#84608,.F.); #112212=ORIENTED_EDGE('',*,*,#84611,.F.); #112213=ORIENTED_EDGE('',*,*,#84614,.F.); #112214=ORIENTED_EDGE('',*,*,#84617,.F.); #112215=ORIENTED_EDGE('',*,*,#84620,.F.); #112216=ORIENTED_EDGE('',*,*,#84623,.F.); #112217=ORIENTED_EDGE('',*,*,#84626,.F.); #112218=ORIENTED_EDGE('',*,*,#84629,.F.); #112219=ORIENTED_EDGE('',*,*,#84632,.F.); #112220=ORIENTED_EDGE('',*,*,#84635,.F.); #112221=ORIENTED_EDGE('',*,*,#84638,.F.); #112222=ORIENTED_EDGE('',*,*,#84641,.F.); #112223=ORIENTED_EDGE('',*,*,#84644,.F.); #112224=ORIENTED_EDGE('',*,*,#84647,.F.); #112225=ORIENTED_EDGE('',*,*,#84650,.F.); #112226=ORIENTED_EDGE('',*,*,#84653,.F.); #112227=ORIENTED_EDGE('',*,*,#84656,.F.); #112228=ORIENTED_EDGE('',*,*,#84659,.F.); #112229=ORIENTED_EDGE('',*,*,#84662,.F.); #112230=ORIENTED_EDGE('',*,*,#84665,.F.); #112231=ORIENTED_EDGE('',*,*,#84668,.F.); #112232=ORIENTED_EDGE('',*,*,#84671,.F.); #112233=ORIENTED_EDGE('',*,*,#84674,.F.); #112234=ORIENTED_EDGE('',*,*,#84677,.F.); #112235=ORIENTED_EDGE('',*,*,#84680,.F.); #112236=ORIENTED_EDGE('',*,*,#84683,.F.); #112237=ORIENTED_EDGE('',*,*,#84686,.F.); #112238=ORIENTED_EDGE('',*,*,#84689,.F.); #112239=ORIENTED_EDGE('',*,*,#84692,.F.); #112240=ORIENTED_EDGE('',*,*,#84695,.F.); #112241=ORIENTED_EDGE('',*,*,#84696,.T.); #112242=ORIENTED_EDGE('',*,*,#84697,.T.); #112243=ORIENTED_EDGE('',*,*,#84698,.F.); #112244=ORIENTED_EDGE('',*,*,#84699,.F.); #112245=ORIENTED_EDGE('',*,*,#84700,.T.); #112246=ORIENTED_EDGE('',*,*,#84701,.T.); #112247=ORIENTED_EDGE('',*,*,#84696,.F.); #112248=ORIENTED_EDGE('',*,*,#84702,.F.); #112249=ORIENTED_EDGE('',*,*,#84703,.T.); #112250=ORIENTED_EDGE('',*,*,#84704,.T.); #112251=ORIENTED_EDGE('',*,*,#84700,.F.); #112252=ORIENTED_EDGE('',*,*,#84705,.F.); #112253=ORIENTED_EDGE('',*,*,#84706,.T.); #112254=ORIENTED_EDGE('',*,*,#84707,.T.); #112255=ORIENTED_EDGE('',*,*,#84703,.F.); #112256=ORIENTED_EDGE('',*,*,#84708,.F.); #112257=ORIENTED_EDGE('',*,*,#84709,.T.); #112258=ORIENTED_EDGE('',*,*,#84710,.T.); #112259=ORIENTED_EDGE('',*,*,#84706,.F.); #112260=ORIENTED_EDGE('',*,*,#84711,.F.); #112261=ORIENTED_EDGE('',*,*,#84712,.T.); #112262=ORIENTED_EDGE('',*,*,#84713,.T.); #112263=ORIENTED_EDGE('',*,*,#84709,.F.); #112264=ORIENTED_EDGE('',*,*,#84714,.F.); #112265=ORIENTED_EDGE('',*,*,#84698,.T.); #112266=ORIENTED_EDGE('',*,*,#84715,.T.); #112267=ORIENTED_EDGE('',*,*,#84712,.F.); #112268=ORIENTED_EDGE('',*,*,#84716,.F.); #112269=ORIENTED_EDGE('',*,*,#84717,.T.); #112270=ORIENTED_EDGE('',*,*,#84718,.T.); #112271=ORIENTED_EDGE('',*,*,#84719,.F.); #112272=ORIENTED_EDGE('',*,*,#84720,.F.); #112273=ORIENTED_EDGE('',*,*,#84721,.T.); #112274=ORIENTED_EDGE('',*,*,#84722,.T.); #112275=ORIENTED_EDGE('',*,*,#84717,.F.); #112276=ORIENTED_EDGE('',*,*,#84723,.F.); #112277=ORIENTED_EDGE('',*,*,#84724,.T.); #112278=ORIENTED_EDGE('',*,*,#84725,.T.); #112279=ORIENTED_EDGE('',*,*,#84721,.F.); #112280=ORIENTED_EDGE('',*,*,#84726,.F.); #112281=ORIENTED_EDGE('',*,*,#84727,.T.); #112282=ORIENTED_EDGE('',*,*,#84728,.T.); #112283=ORIENTED_EDGE('',*,*,#84724,.F.); #112284=ORIENTED_EDGE('',*,*,#84729,.F.); #112285=ORIENTED_EDGE('',*,*,#84730,.T.); #112286=ORIENTED_EDGE('',*,*,#84731,.T.); #112287=ORIENTED_EDGE('',*,*,#84727,.F.); #112288=ORIENTED_EDGE('',*,*,#84732,.F.); #112289=ORIENTED_EDGE('',*,*,#84719,.T.); #112290=ORIENTED_EDGE('',*,*,#84733,.T.); #112291=ORIENTED_EDGE('',*,*,#84730,.F.); #112292=ORIENTED_EDGE('',*,*,#84733,.F.); #112293=ORIENTED_EDGE('',*,*,#84718,.F.); #112294=ORIENTED_EDGE('',*,*,#84722,.F.); #112295=ORIENTED_EDGE('',*,*,#84725,.F.); #112296=ORIENTED_EDGE('',*,*,#84728,.F.); #112297=ORIENTED_EDGE('',*,*,#84731,.F.); #112298=ORIENTED_EDGE('',*,*,#84715,.F.); #112299=ORIENTED_EDGE('',*,*,#84697,.F.); #112300=ORIENTED_EDGE('',*,*,#84701,.F.); #112301=ORIENTED_EDGE('',*,*,#84704,.F.); #112302=ORIENTED_EDGE('',*,*,#84707,.F.); #112303=ORIENTED_EDGE('',*,*,#84710,.F.); #112304=ORIENTED_EDGE('',*,*,#84713,.F.); #112305=ORIENTED_EDGE('',*,*,#84734,.F.); #112306=ORIENTED_EDGE('',*,*,#84735,.T.); #112307=ORIENTED_EDGE('',*,*,#84736,.T.); #112308=ORIENTED_EDGE('',*,*,#84737,.F.); #112309=ORIENTED_EDGE('',*,*,#84738,.F.); #112310=ORIENTED_EDGE('',*,*,#84739,.T.); #112311=ORIENTED_EDGE('',*,*,#84740,.T.); #112312=ORIENTED_EDGE('',*,*,#84735,.F.); #112313=ORIENTED_EDGE('',*,*,#84741,.F.); #112314=ORIENTED_EDGE('',*,*,#84742,.T.); #112315=ORIENTED_EDGE('',*,*,#84743,.T.); #112316=ORIENTED_EDGE('',*,*,#84739,.F.); #112317=ORIENTED_EDGE('',*,*,#84744,.F.); #112318=ORIENTED_EDGE('',*,*,#84745,.T.); #112319=ORIENTED_EDGE('',*,*,#84746,.T.); #112320=ORIENTED_EDGE('',*,*,#84742,.F.); #112321=ORIENTED_EDGE('',*,*,#84747,.F.); #112322=ORIENTED_EDGE('',*,*,#84748,.T.); #112323=ORIENTED_EDGE('',*,*,#84749,.T.); #112324=ORIENTED_EDGE('',*,*,#84745,.F.); #112325=ORIENTED_EDGE('',*,*,#84750,.F.); #112326=ORIENTED_EDGE('',*,*,#84751,.T.); #112327=ORIENTED_EDGE('',*,*,#84752,.T.); #112328=ORIENTED_EDGE('',*,*,#84748,.F.); #112329=ORIENTED_EDGE('',*,*,#84753,.F.); #112330=ORIENTED_EDGE('',*,*,#84754,.T.); #112331=ORIENTED_EDGE('',*,*,#84755,.T.); #112332=ORIENTED_EDGE('',*,*,#84751,.F.); #112333=ORIENTED_EDGE('',*,*,#84756,.F.); #112334=ORIENTED_EDGE('',*,*,#84757,.T.); #112335=ORIENTED_EDGE('',*,*,#84758,.T.); #112336=ORIENTED_EDGE('',*,*,#84754,.F.); #112337=ORIENTED_EDGE('',*,*,#84759,.F.); #112338=ORIENTED_EDGE('',*,*,#84760,.T.); #112339=ORIENTED_EDGE('',*,*,#84761,.T.); #112340=ORIENTED_EDGE('',*,*,#84757,.F.); #112341=ORIENTED_EDGE('',*,*,#84762,.F.); #112342=ORIENTED_EDGE('',*,*,#84763,.T.); #112343=ORIENTED_EDGE('',*,*,#84764,.T.); #112344=ORIENTED_EDGE('',*,*,#84760,.F.); #112345=ORIENTED_EDGE('',*,*,#84765,.F.); #112346=ORIENTED_EDGE('',*,*,#84766,.T.); #112347=ORIENTED_EDGE('',*,*,#84767,.T.); #112348=ORIENTED_EDGE('',*,*,#84763,.F.); #112349=ORIENTED_EDGE('',*,*,#84768,.F.); #112350=ORIENTED_EDGE('',*,*,#84769,.T.); #112351=ORIENTED_EDGE('',*,*,#84770,.T.); #112352=ORIENTED_EDGE('',*,*,#84766,.F.); #112353=ORIENTED_EDGE('',*,*,#84771,.F.); #112354=ORIENTED_EDGE('',*,*,#84772,.T.); #112355=ORIENTED_EDGE('',*,*,#84773,.T.); #112356=ORIENTED_EDGE('',*,*,#84769,.F.); #112357=ORIENTED_EDGE('',*,*,#84774,.F.); #112358=ORIENTED_EDGE('',*,*,#84775,.T.); #112359=ORIENTED_EDGE('',*,*,#84776,.T.); #112360=ORIENTED_EDGE('',*,*,#84772,.F.); #112361=ORIENTED_EDGE('',*,*,#84777,.F.); #112362=ORIENTED_EDGE('',*,*,#84778,.T.); #112363=ORIENTED_EDGE('',*,*,#84779,.T.); #112364=ORIENTED_EDGE('',*,*,#84775,.F.); #112365=ORIENTED_EDGE('',*,*,#84780,.F.); #112366=ORIENTED_EDGE('',*,*,#84781,.T.); #112367=ORIENTED_EDGE('',*,*,#84782,.T.); #112368=ORIENTED_EDGE('',*,*,#84778,.F.); #112369=ORIENTED_EDGE('',*,*,#84783,.F.); #112370=ORIENTED_EDGE('',*,*,#84784,.T.); #112371=ORIENTED_EDGE('',*,*,#84785,.T.); #112372=ORIENTED_EDGE('',*,*,#84781,.F.); #112373=ORIENTED_EDGE('',*,*,#84786,.F.); #112374=ORIENTED_EDGE('',*,*,#84787,.T.); #112375=ORIENTED_EDGE('',*,*,#84788,.T.); #112376=ORIENTED_EDGE('',*,*,#84784,.F.); #112377=ORIENTED_EDGE('',*,*,#84789,.F.); #112378=ORIENTED_EDGE('',*,*,#84790,.T.); #112379=ORIENTED_EDGE('',*,*,#84791,.T.); #112380=ORIENTED_EDGE('',*,*,#84787,.F.); #112381=ORIENTED_EDGE('',*,*,#84792,.F.); #112382=ORIENTED_EDGE('',*,*,#84793,.T.); #112383=ORIENTED_EDGE('',*,*,#84794,.T.); #112384=ORIENTED_EDGE('',*,*,#84790,.F.); #112385=ORIENTED_EDGE('',*,*,#84795,.F.); #112386=ORIENTED_EDGE('',*,*,#84796,.T.); #112387=ORIENTED_EDGE('',*,*,#84797,.T.); #112388=ORIENTED_EDGE('',*,*,#84793,.F.); #112389=ORIENTED_EDGE('',*,*,#84798,.F.); #112390=ORIENTED_EDGE('',*,*,#84799,.T.); #112391=ORIENTED_EDGE('',*,*,#84800,.T.); #112392=ORIENTED_EDGE('',*,*,#84796,.F.); #112393=ORIENTED_EDGE('',*,*,#84801,.F.); #112394=ORIENTED_EDGE('',*,*,#84802,.T.); #112395=ORIENTED_EDGE('',*,*,#84803,.T.); #112396=ORIENTED_EDGE('',*,*,#84799,.F.); #112397=ORIENTED_EDGE('',*,*,#84804,.F.); #112398=ORIENTED_EDGE('',*,*,#84805,.T.); #112399=ORIENTED_EDGE('',*,*,#84806,.T.); #112400=ORIENTED_EDGE('',*,*,#84802,.F.); #112401=ORIENTED_EDGE('',*,*,#84807,.F.); #112402=ORIENTED_EDGE('',*,*,#84808,.T.); #112403=ORIENTED_EDGE('',*,*,#84809,.T.); #112404=ORIENTED_EDGE('',*,*,#84805,.F.); #112405=ORIENTED_EDGE('',*,*,#84810,.F.); #112406=ORIENTED_EDGE('',*,*,#84811,.T.); #112407=ORIENTED_EDGE('',*,*,#84812,.T.); #112408=ORIENTED_EDGE('',*,*,#84808,.F.); #112409=ORIENTED_EDGE('',*,*,#84813,.F.); #112410=ORIENTED_EDGE('',*,*,#84814,.T.); #112411=ORIENTED_EDGE('',*,*,#84815,.T.); #112412=ORIENTED_EDGE('',*,*,#84811,.F.); #112413=ORIENTED_EDGE('',*,*,#84816,.F.); #112414=ORIENTED_EDGE('',*,*,#84817,.T.); #112415=ORIENTED_EDGE('',*,*,#84818,.T.); #112416=ORIENTED_EDGE('',*,*,#84814,.F.); #112417=ORIENTED_EDGE('',*,*,#84819,.F.); #112418=ORIENTED_EDGE('',*,*,#84737,.T.); #112419=ORIENTED_EDGE('',*,*,#84820,.T.); #112420=ORIENTED_EDGE('',*,*,#84817,.F.); #112421=ORIENTED_EDGE('',*,*,#84820,.F.); #112422=ORIENTED_EDGE('',*,*,#84736,.F.); #112423=ORIENTED_EDGE('',*,*,#84740,.F.); #112424=ORIENTED_EDGE('',*,*,#84743,.F.); #112425=ORIENTED_EDGE('',*,*,#84746,.F.); #112426=ORIENTED_EDGE('',*,*,#84749,.F.); #112427=ORIENTED_EDGE('',*,*,#84752,.F.); #112428=ORIENTED_EDGE('',*,*,#84755,.F.); #112429=ORIENTED_EDGE('',*,*,#84758,.F.); #112430=ORIENTED_EDGE('',*,*,#84761,.F.); #112431=ORIENTED_EDGE('',*,*,#84764,.F.); #112432=ORIENTED_EDGE('',*,*,#84767,.F.); #112433=ORIENTED_EDGE('',*,*,#84770,.F.); #112434=ORIENTED_EDGE('',*,*,#84773,.F.); #112435=ORIENTED_EDGE('',*,*,#84776,.F.); #112436=ORIENTED_EDGE('',*,*,#84779,.F.); #112437=ORIENTED_EDGE('',*,*,#84782,.F.); #112438=ORIENTED_EDGE('',*,*,#84785,.F.); #112439=ORIENTED_EDGE('',*,*,#84788,.F.); #112440=ORIENTED_EDGE('',*,*,#84791,.F.); #112441=ORIENTED_EDGE('',*,*,#84794,.F.); #112442=ORIENTED_EDGE('',*,*,#84797,.F.); #112443=ORIENTED_EDGE('',*,*,#84800,.F.); #112444=ORIENTED_EDGE('',*,*,#84803,.F.); #112445=ORIENTED_EDGE('',*,*,#84806,.F.); #112446=ORIENTED_EDGE('',*,*,#84809,.F.); #112447=ORIENTED_EDGE('',*,*,#84812,.F.); #112448=ORIENTED_EDGE('',*,*,#84815,.F.); #112449=ORIENTED_EDGE('',*,*,#84818,.F.); #112450=ORIENTED_EDGE('',*,*,#84821,.F.); #112451=ORIENTED_EDGE('',*,*,#84822,.T.); #112452=ORIENTED_EDGE('',*,*,#84823,.T.); #112453=ORIENTED_EDGE('',*,*,#84824,.F.); #112454=ORIENTED_EDGE('',*,*,#84825,.F.); #112455=ORIENTED_EDGE('',*,*,#84826,.T.); #112456=ORIENTED_EDGE('',*,*,#84827,.T.); #112457=ORIENTED_EDGE('',*,*,#84822,.F.); #112458=ORIENTED_EDGE('',*,*,#84828,.F.); #112459=ORIENTED_EDGE('',*,*,#84829,.T.); #112460=ORIENTED_EDGE('',*,*,#84830,.T.); #112461=ORIENTED_EDGE('',*,*,#84826,.F.); #112462=ORIENTED_EDGE('',*,*,#84831,.F.); #112463=ORIENTED_EDGE('',*,*,#84832,.T.); #112464=ORIENTED_EDGE('',*,*,#84833,.T.); #112465=ORIENTED_EDGE('',*,*,#84829,.F.); #112466=ORIENTED_EDGE('',*,*,#84834,.F.); #112467=ORIENTED_EDGE('',*,*,#84835,.T.); #112468=ORIENTED_EDGE('',*,*,#84836,.T.); #112469=ORIENTED_EDGE('',*,*,#84832,.F.); #112470=ORIENTED_EDGE('',*,*,#84837,.F.); #112471=ORIENTED_EDGE('',*,*,#84838,.T.); #112472=ORIENTED_EDGE('',*,*,#84839,.T.); #112473=ORIENTED_EDGE('',*,*,#84835,.F.); #112474=ORIENTED_EDGE('',*,*,#84840,.F.); #112475=ORIENTED_EDGE('',*,*,#84841,.T.); #112476=ORIENTED_EDGE('',*,*,#84842,.T.); #112477=ORIENTED_EDGE('',*,*,#84838,.F.); #112478=ORIENTED_EDGE('',*,*,#84843,.F.); #112479=ORIENTED_EDGE('',*,*,#84844,.T.); #112480=ORIENTED_EDGE('',*,*,#84845,.T.); #112481=ORIENTED_EDGE('',*,*,#84841,.F.); #112482=ORIENTED_EDGE('',*,*,#84846,.F.); #112483=ORIENTED_EDGE('',*,*,#84847,.T.); #112484=ORIENTED_EDGE('',*,*,#84848,.T.); #112485=ORIENTED_EDGE('',*,*,#84844,.F.); #112486=ORIENTED_EDGE('',*,*,#84849,.F.); #112487=ORIENTED_EDGE('',*,*,#84850,.T.); #112488=ORIENTED_EDGE('',*,*,#84851,.T.); #112489=ORIENTED_EDGE('',*,*,#84847,.F.); #112490=ORIENTED_EDGE('',*,*,#84852,.F.); #112491=ORIENTED_EDGE('',*,*,#84853,.T.); #112492=ORIENTED_EDGE('',*,*,#84854,.T.); #112493=ORIENTED_EDGE('',*,*,#84850,.F.); #112494=ORIENTED_EDGE('',*,*,#84855,.F.); #112495=ORIENTED_EDGE('',*,*,#84856,.T.); #112496=ORIENTED_EDGE('',*,*,#84857,.T.); #112497=ORIENTED_EDGE('',*,*,#84853,.F.); #112498=ORIENTED_EDGE('',*,*,#84858,.F.); #112499=ORIENTED_EDGE('',*,*,#84824,.T.); #112500=ORIENTED_EDGE('',*,*,#84859,.T.); #112501=ORIENTED_EDGE('',*,*,#84856,.F.); #112502=ORIENTED_EDGE('',*,*,#84860,.F.); #112503=ORIENTED_EDGE('',*,*,#84861,.T.); #112504=ORIENTED_EDGE('',*,*,#84862,.T.); #112505=ORIENTED_EDGE('',*,*,#84863,.F.); #112506=ORIENTED_EDGE('',*,*,#84864,.F.); #112507=ORIENTED_EDGE('',*,*,#84865,.T.); #112508=ORIENTED_EDGE('',*,*,#84866,.T.); #112509=ORIENTED_EDGE('',*,*,#84861,.F.); #112510=ORIENTED_EDGE('',*,*,#84867,.F.); #112511=ORIENTED_EDGE('',*,*,#84868,.T.); #112512=ORIENTED_EDGE('',*,*,#84869,.T.); #112513=ORIENTED_EDGE('',*,*,#84865,.F.); #112514=ORIENTED_EDGE('',*,*,#84870,.F.); #112515=ORIENTED_EDGE('',*,*,#84871,.T.); #112516=ORIENTED_EDGE('',*,*,#84872,.T.); #112517=ORIENTED_EDGE('',*,*,#84868,.F.); #112518=ORIENTED_EDGE('',*,*,#84873,.F.); #112519=ORIENTED_EDGE('',*,*,#84874,.T.); #112520=ORIENTED_EDGE('',*,*,#84875,.T.); #112521=ORIENTED_EDGE('',*,*,#84871,.F.); #112522=ORIENTED_EDGE('',*,*,#84876,.F.); #112523=ORIENTED_EDGE('',*,*,#84877,.T.); #112524=ORIENTED_EDGE('',*,*,#84878,.T.); #112525=ORIENTED_EDGE('',*,*,#84874,.F.); #112526=ORIENTED_EDGE('',*,*,#84879,.F.); #112527=ORIENTED_EDGE('',*,*,#84880,.T.); #112528=ORIENTED_EDGE('',*,*,#84881,.T.); #112529=ORIENTED_EDGE('',*,*,#84877,.F.); #112530=ORIENTED_EDGE('',*,*,#84882,.F.); #112531=ORIENTED_EDGE('',*,*,#84883,.T.); #112532=ORIENTED_EDGE('',*,*,#84884,.T.); #112533=ORIENTED_EDGE('',*,*,#84880,.F.); #112534=ORIENTED_EDGE('',*,*,#84885,.F.); #112535=ORIENTED_EDGE('',*,*,#84886,.T.); #112536=ORIENTED_EDGE('',*,*,#84887,.T.); #112537=ORIENTED_EDGE('',*,*,#84883,.F.); #112538=ORIENTED_EDGE('',*,*,#84888,.F.); #112539=ORIENTED_EDGE('',*,*,#84889,.T.); #112540=ORIENTED_EDGE('',*,*,#84890,.T.); #112541=ORIENTED_EDGE('',*,*,#84886,.F.); #112542=ORIENTED_EDGE('',*,*,#84891,.F.); #112543=ORIENTED_EDGE('',*,*,#84892,.T.); #112544=ORIENTED_EDGE('',*,*,#84893,.T.); #112545=ORIENTED_EDGE('',*,*,#84889,.F.); #112546=ORIENTED_EDGE('',*,*,#84894,.F.); #112547=ORIENTED_EDGE('',*,*,#84895,.T.); #112548=ORIENTED_EDGE('',*,*,#84896,.T.); #112549=ORIENTED_EDGE('',*,*,#84892,.F.); #112550=ORIENTED_EDGE('',*,*,#84897,.F.); #112551=ORIENTED_EDGE('',*,*,#84898,.T.); #112552=ORIENTED_EDGE('',*,*,#84899,.T.); #112553=ORIENTED_EDGE('',*,*,#84895,.F.); #112554=ORIENTED_EDGE('',*,*,#84900,.F.); #112555=ORIENTED_EDGE('',*,*,#84901,.T.); #112556=ORIENTED_EDGE('',*,*,#84902,.T.); #112557=ORIENTED_EDGE('',*,*,#84898,.F.); #112558=ORIENTED_EDGE('',*,*,#84903,.F.); #112559=ORIENTED_EDGE('',*,*,#84904,.T.); #112560=ORIENTED_EDGE('',*,*,#84905,.T.); #112561=ORIENTED_EDGE('',*,*,#84901,.F.); #112562=ORIENTED_EDGE('',*,*,#84906,.F.); #112563=ORIENTED_EDGE('',*,*,#84907,.T.); #112564=ORIENTED_EDGE('',*,*,#84908,.T.); #112565=ORIENTED_EDGE('',*,*,#84904,.F.); #112566=ORIENTED_EDGE('',*,*,#84909,.F.); #112567=ORIENTED_EDGE('',*,*,#84910,.T.); #112568=ORIENTED_EDGE('',*,*,#84911,.T.); #112569=ORIENTED_EDGE('',*,*,#84907,.F.); #112570=ORIENTED_EDGE('',*,*,#84912,.F.); #112571=ORIENTED_EDGE('',*,*,#84913,.T.); #112572=ORIENTED_EDGE('',*,*,#84914,.T.); #112573=ORIENTED_EDGE('',*,*,#84910,.F.); #112574=ORIENTED_EDGE('',*,*,#84915,.F.); #112575=ORIENTED_EDGE('',*,*,#84916,.T.); #112576=ORIENTED_EDGE('',*,*,#84917,.T.); #112577=ORIENTED_EDGE('',*,*,#84913,.F.); #112578=ORIENTED_EDGE('',*,*,#84918,.F.); #112579=ORIENTED_EDGE('',*,*,#84919,.T.); #112580=ORIENTED_EDGE('',*,*,#84920,.T.); #112581=ORIENTED_EDGE('',*,*,#84916,.F.); #112582=ORIENTED_EDGE('',*,*,#84921,.F.); #112583=ORIENTED_EDGE('',*,*,#84922,.T.); #112584=ORIENTED_EDGE('',*,*,#84923,.T.); #112585=ORIENTED_EDGE('',*,*,#84919,.F.); #112586=ORIENTED_EDGE('',*,*,#84924,.F.); #112587=ORIENTED_EDGE('',*,*,#84925,.T.); #112588=ORIENTED_EDGE('',*,*,#84926,.T.); #112589=ORIENTED_EDGE('',*,*,#84922,.F.); #112590=ORIENTED_EDGE('',*,*,#84927,.F.); #112591=ORIENTED_EDGE('',*,*,#84928,.T.); #112592=ORIENTED_EDGE('',*,*,#84929,.T.); #112593=ORIENTED_EDGE('',*,*,#84925,.F.); #112594=ORIENTED_EDGE('',*,*,#84930,.F.); #112595=ORIENTED_EDGE('',*,*,#84931,.T.); #112596=ORIENTED_EDGE('',*,*,#84932,.T.); #112597=ORIENTED_EDGE('',*,*,#84928,.F.); #112598=ORIENTED_EDGE('',*,*,#84933,.F.); #112599=ORIENTED_EDGE('',*,*,#84934,.T.); #112600=ORIENTED_EDGE('',*,*,#84935,.T.); #112601=ORIENTED_EDGE('',*,*,#84931,.F.); #112602=ORIENTED_EDGE('',*,*,#84936,.F.); #112603=ORIENTED_EDGE('',*,*,#84937,.T.); #112604=ORIENTED_EDGE('',*,*,#84938,.T.); #112605=ORIENTED_EDGE('',*,*,#84934,.F.); #112606=ORIENTED_EDGE('',*,*,#84939,.F.); #112607=ORIENTED_EDGE('',*,*,#84940,.T.); #112608=ORIENTED_EDGE('',*,*,#84941,.T.); #112609=ORIENTED_EDGE('',*,*,#84937,.F.); #112610=ORIENTED_EDGE('',*,*,#84942,.F.); #112611=ORIENTED_EDGE('',*,*,#84943,.T.); #112612=ORIENTED_EDGE('',*,*,#84944,.T.); #112613=ORIENTED_EDGE('',*,*,#84940,.F.); #112614=ORIENTED_EDGE('',*,*,#84945,.F.); #112615=ORIENTED_EDGE('',*,*,#84946,.T.); #112616=ORIENTED_EDGE('',*,*,#84947,.T.); #112617=ORIENTED_EDGE('',*,*,#84943,.F.); #112618=ORIENTED_EDGE('',*,*,#84948,.F.); #112619=ORIENTED_EDGE('',*,*,#84949,.T.); #112620=ORIENTED_EDGE('',*,*,#84950,.T.); #112621=ORIENTED_EDGE('',*,*,#84946,.F.); #112622=ORIENTED_EDGE('',*,*,#84951,.F.); #112623=ORIENTED_EDGE('',*,*,#84952,.T.); #112624=ORIENTED_EDGE('',*,*,#84953,.T.); #112625=ORIENTED_EDGE('',*,*,#84949,.F.); #112626=ORIENTED_EDGE('',*,*,#84954,.F.); #112627=ORIENTED_EDGE('',*,*,#84955,.T.); #112628=ORIENTED_EDGE('',*,*,#84956,.T.); #112629=ORIENTED_EDGE('',*,*,#84952,.F.); #112630=ORIENTED_EDGE('',*,*,#84957,.F.); #112631=ORIENTED_EDGE('',*,*,#84958,.T.); #112632=ORIENTED_EDGE('',*,*,#84959,.T.); #112633=ORIENTED_EDGE('',*,*,#84955,.F.); #112634=ORIENTED_EDGE('',*,*,#84960,.F.); #112635=ORIENTED_EDGE('',*,*,#84961,.T.); #112636=ORIENTED_EDGE('',*,*,#84962,.T.); #112637=ORIENTED_EDGE('',*,*,#84958,.F.); #112638=ORIENTED_EDGE('',*,*,#84963,.F.); #112639=ORIENTED_EDGE('',*,*,#84964,.T.); #112640=ORIENTED_EDGE('',*,*,#84965,.T.); #112641=ORIENTED_EDGE('',*,*,#84961,.F.); #112642=ORIENTED_EDGE('',*,*,#84966,.F.); #112643=ORIENTED_EDGE('',*,*,#84967,.T.); #112644=ORIENTED_EDGE('',*,*,#84968,.T.); #112645=ORIENTED_EDGE('',*,*,#84964,.F.); #112646=ORIENTED_EDGE('',*,*,#84969,.F.); #112647=ORIENTED_EDGE('',*,*,#84970,.T.); #112648=ORIENTED_EDGE('',*,*,#84971,.T.); #112649=ORIENTED_EDGE('',*,*,#84967,.F.); #112650=ORIENTED_EDGE('',*,*,#84972,.F.); #112651=ORIENTED_EDGE('',*,*,#84973,.T.); #112652=ORIENTED_EDGE('',*,*,#84974,.T.); #112653=ORIENTED_EDGE('',*,*,#84970,.F.); #112654=ORIENTED_EDGE('',*,*,#84975,.F.); #112655=ORIENTED_EDGE('',*,*,#84976,.T.); #112656=ORIENTED_EDGE('',*,*,#84977,.T.); #112657=ORIENTED_EDGE('',*,*,#84973,.F.); #112658=ORIENTED_EDGE('',*,*,#84978,.F.); #112659=ORIENTED_EDGE('',*,*,#84979,.T.); #112660=ORIENTED_EDGE('',*,*,#84980,.T.); #112661=ORIENTED_EDGE('',*,*,#84976,.F.); #112662=ORIENTED_EDGE('',*,*,#84981,.F.); #112663=ORIENTED_EDGE('',*,*,#84982,.T.); #112664=ORIENTED_EDGE('',*,*,#84983,.T.); #112665=ORIENTED_EDGE('',*,*,#84979,.F.); #112666=ORIENTED_EDGE('',*,*,#84984,.F.); #112667=ORIENTED_EDGE('',*,*,#84985,.T.); #112668=ORIENTED_EDGE('',*,*,#84986,.T.); #112669=ORIENTED_EDGE('',*,*,#84982,.F.); #112670=ORIENTED_EDGE('',*,*,#84987,.F.); #112671=ORIENTED_EDGE('',*,*,#84988,.T.); #112672=ORIENTED_EDGE('',*,*,#84989,.T.); #112673=ORIENTED_EDGE('',*,*,#84985,.F.); #112674=ORIENTED_EDGE('',*,*,#84990,.F.); #112675=ORIENTED_EDGE('',*,*,#84991,.T.); #112676=ORIENTED_EDGE('',*,*,#84992,.T.); #112677=ORIENTED_EDGE('',*,*,#84988,.F.); #112678=ORIENTED_EDGE('',*,*,#84993,.F.); #112679=ORIENTED_EDGE('',*,*,#84994,.T.); #112680=ORIENTED_EDGE('',*,*,#84995,.T.); #112681=ORIENTED_EDGE('',*,*,#84991,.F.); #112682=ORIENTED_EDGE('',*,*,#84996,.F.); #112683=ORIENTED_EDGE('',*,*,#84997,.T.); #112684=ORIENTED_EDGE('',*,*,#84998,.T.); #112685=ORIENTED_EDGE('',*,*,#84994,.F.); #112686=ORIENTED_EDGE('',*,*,#84999,.F.); #112687=ORIENTED_EDGE('',*,*,#85000,.T.); #112688=ORIENTED_EDGE('',*,*,#85001,.T.); #112689=ORIENTED_EDGE('',*,*,#84997,.F.); #112690=ORIENTED_EDGE('',*,*,#85002,.F.); #112691=ORIENTED_EDGE('',*,*,#85003,.T.); #112692=ORIENTED_EDGE('',*,*,#85004,.T.); #112693=ORIENTED_EDGE('',*,*,#85000,.F.); #112694=ORIENTED_EDGE('',*,*,#85005,.F.); #112695=ORIENTED_EDGE('',*,*,#85006,.T.); #112696=ORIENTED_EDGE('',*,*,#85007,.T.); #112697=ORIENTED_EDGE('',*,*,#85003,.F.); #112698=ORIENTED_EDGE('',*,*,#85008,.F.); #112699=ORIENTED_EDGE('',*,*,#85009,.T.); #112700=ORIENTED_EDGE('',*,*,#85010,.T.); #112701=ORIENTED_EDGE('',*,*,#85006,.F.); #112702=ORIENTED_EDGE('',*,*,#85011,.F.); #112703=ORIENTED_EDGE('',*,*,#85012,.T.); #112704=ORIENTED_EDGE('',*,*,#85013,.T.); #112705=ORIENTED_EDGE('',*,*,#85009,.F.); #112706=ORIENTED_EDGE('',*,*,#85014,.F.); #112707=ORIENTED_EDGE('',*,*,#85015,.T.); #112708=ORIENTED_EDGE('',*,*,#85016,.T.); #112709=ORIENTED_EDGE('',*,*,#85012,.F.); #112710=ORIENTED_EDGE('',*,*,#85017,.F.); #112711=ORIENTED_EDGE('',*,*,#85018,.T.); #112712=ORIENTED_EDGE('',*,*,#85019,.T.); #112713=ORIENTED_EDGE('',*,*,#85015,.F.); #112714=ORIENTED_EDGE('',*,*,#85020,.F.); #112715=ORIENTED_EDGE('',*,*,#85021,.T.); #112716=ORIENTED_EDGE('',*,*,#85022,.T.); #112717=ORIENTED_EDGE('',*,*,#85018,.F.); #112718=ORIENTED_EDGE('',*,*,#85023,.F.); #112719=ORIENTED_EDGE('',*,*,#85024,.T.); #112720=ORIENTED_EDGE('',*,*,#85025,.T.); #112721=ORIENTED_EDGE('',*,*,#85021,.F.); #112722=ORIENTED_EDGE('',*,*,#85026,.F.); #112723=ORIENTED_EDGE('',*,*,#85027,.T.); #112724=ORIENTED_EDGE('',*,*,#85028,.T.); #112725=ORIENTED_EDGE('',*,*,#85024,.F.); #112726=ORIENTED_EDGE('',*,*,#85029,.F.); #112727=ORIENTED_EDGE('',*,*,#85030,.T.); #112728=ORIENTED_EDGE('',*,*,#85031,.T.); #112729=ORIENTED_EDGE('',*,*,#85027,.F.); #112730=ORIENTED_EDGE('',*,*,#85032,.F.); #112731=ORIENTED_EDGE('',*,*,#85033,.T.); #112732=ORIENTED_EDGE('',*,*,#85034,.T.); #112733=ORIENTED_EDGE('',*,*,#85030,.F.); #112734=ORIENTED_EDGE('',*,*,#85035,.F.); #112735=ORIENTED_EDGE('',*,*,#85036,.T.); #112736=ORIENTED_EDGE('',*,*,#85037,.T.); #112737=ORIENTED_EDGE('',*,*,#85033,.F.); #112738=ORIENTED_EDGE('',*,*,#85038,.F.); #112739=ORIENTED_EDGE('',*,*,#85039,.T.); #112740=ORIENTED_EDGE('',*,*,#85040,.T.); #112741=ORIENTED_EDGE('',*,*,#85036,.F.); #112742=ORIENTED_EDGE('',*,*,#85041,.F.); #112743=ORIENTED_EDGE('',*,*,#85042,.T.); #112744=ORIENTED_EDGE('',*,*,#85043,.T.); #112745=ORIENTED_EDGE('',*,*,#85039,.F.); #112746=ORIENTED_EDGE('',*,*,#85044,.F.); #112747=ORIENTED_EDGE('',*,*,#85045,.T.); #112748=ORIENTED_EDGE('',*,*,#85046,.T.); #112749=ORIENTED_EDGE('',*,*,#85042,.F.); #112750=ORIENTED_EDGE('',*,*,#85047,.F.); #112751=ORIENTED_EDGE('',*,*,#85048,.T.); #112752=ORIENTED_EDGE('',*,*,#85049,.T.); #112753=ORIENTED_EDGE('',*,*,#85045,.F.); #112754=ORIENTED_EDGE('',*,*,#85050,.F.); #112755=ORIENTED_EDGE('',*,*,#85051,.T.); #112756=ORIENTED_EDGE('',*,*,#85052,.T.); #112757=ORIENTED_EDGE('',*,*,#85048,.F.); #112758=ORIENTED_EDGE('',*,*,#85053,.F.); #112759=ORIENTED_EDGE('',*,*,#85054,.T.); #112760=ORIENTED_EDGE('',*,*,#85055,.T.); #112761=ORIENTED_EDGE('',*,*,#85051,.F.); #112762=ORIENTED_EDGE('',*,*,#85056,.F.); #112763=ORIENTED_EDGE('',*,*,#85057,.T.); #112764=ORIENTED_EDGE('',*,*,#85058,.T.); #112765=ORIENTED_EDGE('',*,*,#85054,.F.); #112766=ORIENTED_EDGE('',*,*,#85059,.F.); #112767=ORIENTED_EDGE('',*,*,#85060,.T.); #112768=ORIENTED_EDGE('',*,*,#85061,.T.); #112769=ORIENTED_EDGE('',*,*,#85057,.F.); #112770=ORIENTED_EDGE('',*,*,#85062,.F.); #112771=ORIENTED_EDGE('',*,*,#85063,.T.); #112772=ORIENTED_EDGE('',*,*,#85064,.T.); #112773=ORIENTED_EDGE('',*,*,#85060,.F.); #112774=ORIENTED_EDGE('',*,*,#85065,.F.); #112775=ORIENTED_EDGE('',*,*,#85066,.T.); #112776=ORIENTED_EDGE('',*,*,#85067,.T.); #112777=ORIENTED_EDGE('',*,*,#85063,.F.); #112778=ORIENTED_EDGE('',*,*,#85068,.F.); #112779=ORIENTED_EDGE('',*,*,#85069,.T.); #112780=ORIENTED_EDGE('',*,*,#85070,.T.); #112781=ORIENTED_EDGE('',*,*,#85066,.F.); #112782=ORIENTED_EDGE('',*,*,#85071,.F.); #112783=ORIENTED_EDGE('',*,*,#85072,.T.); #112784=ORIENTED_EDGE('',*,*,#85073,.T.); #112785=ORIENTED_EDGE('',*,*,#85069,.F.); #112786=ORIENTED_EDGE('',*,*,#85074,.F.); #112787=ORIENTED_EDGE('',*,*,#85075,.T.); #112788=ORIENTED_EDGE('',*,*,#85076,.T.); #112789=ORIENTED_EDGE('',*,*,#85072,.F.); #112790=ORIENTED_EDGE('',*,*,#85077,.F.); #112791=ORIENTED_EDGE('',*,*,#85078,.T.); #112792=ORIENTED_EDGE('',*,*,#85079,.T.); #112793=ORIENTED_EDGE('',*,*,#85075,.F.); #112794=ORIENTED_EDGE('',*,*,#85080,.F.); #112795=ORIENTED_EDGE('',*,*,#85081,.T.); #112796=ORIENTED_EDGE('',*,*,#85082,.T.); #112797=ORIENTED_EDGE('',*,*,#85078,.F.); #112798=ORIENTED_EDGE('',*,*,#85083,.F.); #112799=ORIENTED_EDGE('',*,*,#85084,.T.); #112800=ORIENTED_EDGE('',*,*,#85085,.T.); #112801=ORIENTED_EDGE('',*,*,#85081,.F.); #112802=ORIENTED_EDGE('',*,*,#85086,.F.); #112803=ORIENTED_EDGE('',*,*,#85087,.T.); #112804=ORIENTED_EDGE('',*,*,#85088,.T.); #112805=ORIENTED_EDGE('',*,*,#85084,.F.); #112806=ORIENTED_EDGE('',*,*,#85089,.F.); #112807=ORIENTED_EDGE('',*,*,#85090,.T.); #112808=ORIENTED_EDGE('',*,*,#85091,.T.); #112809=ORIENTED_EDGE('',*,*,#85087,.F.); #112810=ORIENTED_EDGE('',*,*,#85092,.F.); #112811=ORIENTED_EDGE('',*,*,#85093,.T.); #112812=ORIENTED_EDGE('',*,*,#85094,.T.); #112813=ORIENTED_EDGE('',*,*,#85090,.F.); #112814=ORIENTED_EDGE('',*,*,#85095,.F.); #112815=ORIENTED_EDGE('',*,*,#85096,.T.); #112816=ORIENTED_EDGE('',*,*,#85097,.T.); #112817=ORIENTED_EDGE('',*,*,#85093,.F.); #112818=ORIENTED_EDGE('',*,*,#85098,.F.); #112819=ORIENTED_EDGE('',*,*,#85099,.T.); #112820=ORIENTED_EDGE('',*,*,#85100,.T.); #112821=ORIENTED_EDGE('',*,*,#85096,.F.); #112822=ORIENTED_EDGE('',*,*,#85101,.F.); #112823=ORIENTED_EDGE('',*,*,#85102,.T.); #112824=ORIENTED_EDGE('',*,*,#85103,.T.); #112825=ORIENTED_EDGE('',*,*,#85099,.F.); #112826=ORIENTED_EDGE('',*,*,#85104,.F.); #112827=ORIENTED_EDGE('',*,*,#85105,.T.); #112828=ORIENTED_EDGE('',*,*,#85106,.T.); #112829=ORIENTED_EDGE('',*,*,#85102,.F.); #112830=ORIENTED_EDGE('',*,*,#85107,.F.); #112831=ORIENTED_EDGE('',*,*,#85108,.T.); #112832=ORIENTED_EDGE('',*,*,#85109,.T.); #112833=ORIENTED_EDGE('',*,*,#85105,.F.); #112834=ORIENTED_EDGE('',*,*,#85110,.F.); #112835=ORIENTED_EDGE('',*,*,#85111,.T.); #112836=ORIENTED_EDGE('',*,*,#85112,.T.); #112837=ORIENTED_EDGE('',*,*,#85108,.F.); #112838=ORIENTED_EDGE('',*,*,#85113,.F.); #112839=ORIENTED_EDGE('',*,*,#85114,.T.); #112840=ORIENTED_EDGE('',*,*,#85115,.T.); #112841=ORIENTED_EDGE('',*,*,#85111,.F.); #112842=ORIENTED_EDGE('',*,*,#85116,.F.); #112843=ORIENTED_EDGE('',*,*,#85117,.T.); #112844=ORIENTED_EDGE('',*,*,#85118,.T.); #112845=ORIENTED_EDGE('',*,*,#85114,.F.); #112846=ORIENTED_EDGE('',*,*,#85119,.F.); #112847=ORIENTED_EDGE('',*,*,#85120,.T.); #112848=ORIENTED_EDGE('',*,*,#85121,.T.); #112849=ORIENTED_EDGE('',*,*,#85117,.F.); #112850=ORIENTED_EDGE('',*,*,#85122,.F.); #112851=ORIENTED_EDGE('',*,*,#85123,.T.); #112852=ORIENTED_EDGE('',*,*,#85124,.T.); #112853=ORIENTED_EDGE('',*,*,#85120,.F.); #112854=ORIENTED_EDGE('',*,*,#85125,.F.); #112855=ORIENTED_EDGE('',*,*,#85126,.T.); #112856=ORIENTED_EDGE('',*,*,#85127,.T.); #112857=ORIENTED_EDGE('',*,*,#85123,.F.); #112858=ORIENTED_EDGE('',*,*,#85128,.F.); #112859=ORIENTED_EDGE('',*,*,#85129,.T.); #112860=ORIENTED_EDGE('',*,*,#85130,.T.); #112861=ORIENTED_EDGE('',*,*,#85126,.F.); #112862=ORIENTED_EDGE('',*,*,#85131,.F.); #112863=ORIENTED_EDGE('',*,*,#85132,.T.); #112864=ORIENTED_EDGE('',*,*,#85133,.T.); #112865=ORIENTED_EDGE('',*,*,#85129,.F.); #112866=ORIENTED_EDGE('',*,*,#85134,.F.); #112867=ORIENTED_EDGE('',*,*,#85135,.T.); #112868=ORIENTED_EDGE('',*,*,#85136,.T.); #112869=ORIENTED_EDGE('',*,*,#85132,.F.); #112870=ORIENTED_EDGE('',*,*,#85137,.F.); #112871=ORIENTED_EDGE('',*,*,#85138,.T.); #112872=ORIENTED_EDGE('',*,*,#85139,.T.); #112873=ORIENTED_EDGE('',*,*,#85135,.F.); #112874=ORIENTED_EDGE('',*,*,#85140,.F.); #112875=ORIENTED_EDGE('',*,*,#85141,.T.); #112876=ORIENTED_EDGE('',*,*,#85142,.T.); #112877=ORIENTED_EDGE('',*,*,#85138,.F.); #112878=ORIENTED_EDGE('',*,*,#85143,.F.); #112879=ORIENTED_EDGE('',*,*,#85144,.T.); #112880=ORIENTED_EDGE('',*,*,#85145,.T.); #112881=ORIENTED_EDGE('',*,*,#85141,.F.); #112882=ORIENTED_EDGE('',*,*,#85146,.F.); #112883=ORIENTED_EDGE('',*,*,#85147,.T.); #112884=ORIENTED_EDGE('',*,*,#85148,.T.); #112885=ORIENTED_EDGE('',*,*,#85144,.F.); #112886=ORIENTED_EDGE('',*,*,#85149,.F.); #112887=ORIENTED_EDGE('',*,*,#85150,.T.); #112888=ORIENTED_EDGE('',*,*,#85151,.T.); #112889=ORIENTED_EDGE('',*,*,#85147,.F.); #112890=ORIENTED_EDGE('',*,*,#85152,.F.); #112891=ORIENTED_EDGE('',*,*,#85153,.T.); #112892=ORIENTED_EDGE('',*,*,#85154,.T.); #112893=ORIENTED_EDGE('',*,*,#85150,.F.); #112894=ORIENTED_EDGE('',*,*,#85155,.F.); #112895=ORIENTED_EDGE('',*,*,#85156,.T.); #112896=ORIENTED_EDGE('',*,*,#85157,.T.); #112897=ORIENTED_EDGE('',*,*,#85153,.F.); #112898=ORIENTED_EDGE('',*,*,#85158,.F.); #112899=ORIENTED_EDGE('',*,*,#85159,.T.); #112900=ORIENTED_EDGE('',*,*,#85160,.T.); #112901=ORIENTED_EDGE('',*,*,#85156,.F.); #112902=ORIENTED_EDGE('',*,*,#85161,.F.); #112903=ORIENTED_EDGE('',*,*,#85162,.T.); #112904=ORIENTED_EDGE('',*,*,#85163,.T.); #112905=ORIENTED_EDGE('',*,*,#85159,.F.); #112906=ORIENTED_EDGE('',*,*,#85164,.F.); #112907=ORIENTED_EDGE('',*,*,#85165,.T.); #112908=ORIENTED_EDGE('',*,*,#85166,.T.); #112909=ORIENTED_EDGE('',*,*,#85162,.F.); #112910=ORIENTED_EDGE('',*,*,#85167,.F.); #112911=ORIENTED_EDGE('',*,*,#85168,.T.); #112912=ORIENTED_EDGE('',*,*,#85169,.T.); #112913=ORIENTED_EDGE('',*,*,#85165,.F.); #112914=ORIENTED_EDGE('',*,*,#85170,.F.); #112915=ORIENTED_EDGE('',*,*,#85171,.T.); #112916=ORIENTED_EDGE('',*,*,#85172,.T.); #112917=ORIENTED_EDGE('',*,*,#85168,.F.); #112918=ORIENTED_EDGE('',*,*,#85173,.F.); #112919=ORIENTED_EDGE('',*,*,#85174,.T.); #112920=ORIENTED_EDGE('',*,*,#85175,.T.); #112921=ORIENTED_EDGE('',*,*,#85171,.F.); #112922=ORIENTED_EDGE('',*,*,#85176,.F.); #112923=ORIENTED_EDGE('',*,*,#85177,.T.); #112924=ORIENTED_EDGE('',*,*,#85178,.T.); #112925=ORIENTED_EDGE('',*,*,#85174,.F.); #112926=ORIENTED_EDGE('',*,*,#85179,.F.); #112927=ORIENTED_EDGE('',*,*,#85180,.T.); #112928=ORIENTED_EDGE('',*,*,#85181,.T.); #112929=ORIENTED_EDGE('',*,*,#85177,.F.); #112930=ORIENTED_EDGE('',*,*,#85182,.F.); #112931=ORIENTED_EDGE('',*,*,#85183,.T.); #112932=ORIENTED_EDGE('',*,*,#85184,.T.); #112933=ORIENTED_EDGE('',*,*,#85180,.F.); #112934=ORIENTED_EDGE('',*,*,#85185,.F.); #112935=ORIENTED_EDGE('',*,*,#85186,.T.); #112936=ORIENTED_EDGE('',*,*,#85187,.T.); #112937=ORIENTED_EDGE('',*,*,#85183,.F.); #112938=ORIENTED_EDGE('',*,*,#85188,.F.); #112939=ORIENTED_EDGE('',*,*,#85189,.T.); #112940=ORIENTED_EDGE('',*,*,#85190,.T.); #112941=ORIENTED_EDGE('',*,*,#85186,.F.); #112942=ORIENTED_EDGE('',*,*,#85191,.F.); #112943=ORIENTED_EDGE('',*,*,#85192,.T.); #112944=ORIENTED_EDGE('',*,*,#85193,.T.); #112945=ORIENTED_EDGE('',*,*,#85189,.F.); #112946=ORIENTED_EDGE('',*,*,#85194,.F.); #112947=ORIENTED_EDGE('',*,*,#85195,.T.); #112948=ORIENTED_EDGE('',*,*,#85196,.T.); #112949=ORIENTED_EDGE('',*,*,#85192,.F.); #112950=ORIENTED_EDGE('',*,*,#85197,.F.); #112951=ORIENTED_EDGE('',*,*,#85198,.T.); #112952=ORIENTED_EDGE('',*,*,#85199,.T.); #112953=ORIENTED_EDGE('',*,*,#85195,.F.); #112954=ORIENTED_EDGE('',*,*,#85200,.F.); #112955=ORIENTED_EDGE('',*,*,#85201,.T.); #112956=ORIENTED_EDGE('',*,*,#85202,.T.); #112957=ORIENTED_EDGE('',*,*,#85198,.F.); #112958=ORIENTED_EDGE('',*,*,#85203,.F.); #112959=ORIENTED_EDGE('',*,*,#85204,.T.); #112960=ORIENTED_EDGE('',*,*,#85205,.T.); #112961=ORIENTED_EDGE('',*,*,#85201,.F.); #112962=ORIENTED_EDGE('',*,*,#85206,.F.); #112963=ORIENTED_EDGE('',*,*,#85207,.T.); #112964=ORIENTED_EDGE('',*,*,#85208,.T.); #112965=ORIENTED_EDGE('',*,*,#85204,.F.); #112966=ORIENTED_EDGE('',*,*,#85209,.F.); #112967=ORIENTED_EDGE('',*,*,#85210,.T.); #112968=ORIENTED_EDGE('',*,*,#85211,.T.); #112969=ORIENTED_EDGE('',*,*,#85207,.F.); #112970=ORIENTED_EDGE('',*,*,#85212,.F.); #112971=ORIENTED_EDGE('',*,*,#85213,.T.); #112972=ORIENTED_EDGE('',*,*,#85214,.T.); #112973=ORIENTED_EDGE('',*,*,#85210,.F.); #112974=ORIENTED_EDGE('',*,*,#85215,.F.); #112975=ORIENTED_EDGE('',*,*,#85216,.T.); #112976=ORIENTED_EDGE('',*,*,#85217,.T.); #112977=ORIENTED_EDGE('',*,*,#85213,.F.); #112978=ORIENTED_EDGE('',*,*,#85218,.F.); #112979=ORIENTED_EDGE('',*,*,#85219,.T.); #112980=ORIENTED_EDGE('',*,*,#85220,.T.); #112981=ORIENTED_EDGE('',*,*,#85216,.F.); #112982=ORIENTED_EDGE('',*,*,#85221,.F.); #112983=ORIENTED_EDGE('',*,*,#85222,.T.); #112984=ORIENTED_EDGE('',*,*,#85223,.T.); #112985=ORIENTED_EDGE('',*,*,#85219,.F.); #112986=ORIENTED_EDGE('',*,*,#85224,.F.); #112987=ORIENTED_EDGE('',*,*,#85225,.T.); #112988=ORIENTED_EDGE('',*,*,#85226,.T.); #112989=ORIENTED_EDGE('',*,*,#85222,.F.); #112990=ORIENTED_EDGE('',*,*,#85227,.F.); #112991=ORIENTED_EDGE('',*,*,#85228,.T.); #112992=ORIENTED_EDGE('',*,*,#85229,.T.); #112993=ORIENTED_EDGE('',*,*,#85225,.F.); #112994=ORIENTED_EDGE('',*,*,#85230,.F.); #112995=ORIENTED_EDGE('',*,*,#85231,.T.); #112996=ORIENTED_EDGE('',*,*,#85232,.T.); #112997=ORIENTED_EDGE('',*,*,#85228,.F.); #112998=ORIENTED_EDGE('',*,*,#85233,.F.); #112999=ORIENTED_EDGE('',*,*,#85234,.T.); #113000=ORIENTED_EDGE('',*,*,#85235,.T.); #113001=ORIENTED_EDGE('',*,*,#85231,.F.); #113002=ORIENTED_EDGE('',*,*,#85236,.F.); #113003=ORIENTED_EDGE('',*,*,#85237,.T.); #113004=ORIENTED_EDGE('',*,*,#85238,.T.); #113005=ORIENTED_EDGE('',*,*,#85234,.F.); #113006=ORIENTED_EDGE('',*,*,#85239,.F.); #113007=ORIENTED_EDGE('',*,*,#85240,.T.); #113008=ORIENTED_EDGE('',*,*,#85241,.T.); #113009=ORIENTED_EDGE('',*,*,#85237,.F.); #113010=ORIENTED_EDGE('',*,*,#85242,.F.); #113011=ORIENTED_EDGE('',*,*,#85243,.T.); #113012=ORIENTED_EDGE('',*,*,#85244,.T.); #113013=ORIENTED_EDGE('',*,*,#85240,.F.); #113014=ORIENTED_EDGE('',*,*,#85245,.F.); #113015=ORIENTED_EDGE('',*,*,#85246,.T.); #113016=ORIENTED_EDGE('',*,*,#85247,.T.); #113017=ORIENTED_EDGE('',*,*,#85243,.F.); #113018=ORIENTED_EDGE('',*,*,#85248,.F.); #113019=ORIENTED_EDGE('',*,*,#85249,.T.); #113020=ORIENTED_EDGE('',*,*,#85250,.T.); #113021=ORIENTED_EDGE('',*,*,#85246,.F.); #113022=ORIENTED_EDGE('',*,*,#85251,.F.); #113023=ORIENTED_EDGE('',*,*,#85252,.T.); #113024=ORIENTED_EDGE('',*,*,#85253,.T.); #113025=ORIENTED_EDGE('',*,*,#85249,.F.); #113026=ORIENTED_EDGE('',*,*,#85254,.F.); #113027=ORIENTED_EDGE('',*,*,#85255,.T.); #113028=ORIENTED_EDGE('',*,*,#85256,.T.); #113029=ORIENTED_EDGE('',*,*,#85252,.F.); #113030=ORIENTED_EDGE('',*,*,#85257,.F.); #113031=ORIENTED_EDGE('',*,*,#85258,.T.); #113032=ORIENTED_EDGE('',*,*,#85259,.T.); #113033=ORIENTED_EDGE('',*,*,#85255,.F.); #113034=ORIENTED_EDGE('',*,*,#85260,.F.); #113035=ORIENTED_EDGE('',*,*,#85261,.T.); #113036=ORIENTED_EDGE('',*,*,#85262,.T.); #113037=ORIENTED_EDGE('',*,*,#85258,.F.); #113038=ORIENTED_EDGE('',*,*,#85263,.F.); #113039=ORIENTED_EDGE('',*,*,#85264,.T.); #113040=ORIENTED_EDGE('',*,*,#85265,.T.); #113041=ORIENTED_EDGE('',*,*,#85261,.F.); #113042=ORIENTED_EDGE('',*,*,#85266,.F.); #113043=ORIENTED_EDGE('',*,*,#85267,.T.); #113044=ORIENTED_EDGE('',*,*,#85268,.T.); #113045=ORIENTED_EDGE('',*,*,#85264,.F.); #113046=ORIENTED_EDGE('',*,*,#85269,.F.); #113047=ORIENTED_EDGE('',*,*,#85270,.T.); #113048=ORIENTED_EDGE('',*,*,#85271,.T.); #113049=ORIENTED_EDGE('',*,*,#85267,.F.); #113050=ORIENTED_EDGE('',*,*,#85272,.F.); #113051=ORIENTED_EDGE('',*,*,#85273,.T.); #113052=ORIENTED_EDGE('',*,*,#85274,.T.); #113053=ORIENTED_EDGE('',*,*,#85270,.F.); #113054=ORIENTED_EDGE('',*,*,#85275,.F.); #113055=ORIENTED_EDGE('',*,*,#85276,.T.); #113056=ORIENTED_EDGE('',*,*,#85277,.T.); #113057=ORIENTED_EDGE('',*,*,#85273,.F.); #113058=ORIENTED_EDGE('',*,*,#85278,.F.); #113059=ORIENTED_EDGE('',*,*,#85279,.T.); #113060=ORIENTED_EDGE('',*,*,#85280,.T.); #113061=ORIENTED_EDGE('',*,*,#85276,.F.); #113062=ORIENTED_EDGE('',*,*,#85281,.F.); #113063=ORIENTED_EDGE('',*,*,#85282,.T.); #113064=ORIENTED_EDGE('',*,*,#85283,.T.); #113065=ORIENTED_EDGE('',*,*,#85279,.F.); #113066=ORIENTED_EDGE('',*,*,#85284,.F.); #113067=ORIENTED_EDGE('',*,*,#85285,.T.); #113068=ORIENTED_EDGE('',*,*,#85286,.T.); #113069=ORIENTED_EDGE('',*,*,#85282,.F.); #113070=ORIENTED_EDGE('',*,*,#85287,.F.); #113071=ORIENTED_EDGE('',*,*,#84863,.T.); #113072=ORIENTED_EDGE('',*,*,#85288,.T.); #113073=ORIENTED_EDGE('',*,*,#85285,.F.); #113074=ORIENTED_EDGE('',*,*,#85288,.F.); #113075=ORIENTED_EDGE('',*,*,#84862,.F.); #113076=ORIENTED_EDGE('',*,*,#84866,.F.); #113077=ORIENTED_EDGE('',*,*,#84869,.F.); #113078=ORIENTED_EDGE('',*,*,#84872,.F.); #113079=ORIENTED_EDGE('',*,*,#84875,.F.); #113080=ORIENTED_EDGE('',*,*,#84878,.F.); #113081=ORIENTED_EDGE('',*,*,#84881,.F.); #113082=ORIENTED_EDGE('',*,*,#84884,.F.); #113083=ORIENTED_EDGE('',*,*,#84887,.F.); #113084=ORIENTED_EDGE('',*,*,#84890,.F.); #113085=ORIENTED_EDGE('',*,*,#84893,.F.); #113086=ORIENTED_EDGE('',*,*,#84896,.F.); #113087=ORIENTED_EDGE('',*,*,#84899,.F.); #113088=ORIENTED_EDGE('',*,*,#84902,.F.); #113089=ORIENTED_EDGE('',*,*,#84905,.F.); #113090=ORIENTED_EDGE('',*,*,#84908,.F.); #113091=ORIENTED_EDGE('',*,*,#84911,.F.); #113092=ORIENTED_EDGE('',*,*,#84914,.F.); #113093=ORIENTED_EDGE('',*,*,#84917,.F.); #113094=ORIENTED_EDGE('',*,*,#84920,.F.); #113095=ORIENTED_EDGE('',*,*,#84923,.F.); #113096=ORIENTED_EDGE('',*,*,#84926,.F.); #113097=ORIENTED_EDGE('',*,*,#84929,.F.); #113098=ORIENTED_EDGE('',*,*,#84932,.F.); #113099=ORIENTED_EDGE('',*,*,#84935,.F.); #113100=ORIENTED_EDGE('',*,*,#84938,.F.); #113101=ORIENTED_EDGE('',*,*,#84941,.F.); #113102=ORIENTED_EDGE('',*,*,#84944,.F.); #113103=ORIENTED_EDGE('',*,*,#84947,.F.); #113104=ORIENTED_EDGE('',*,*,#84950,.F.); #113105=ORIENTED_EDGE('',*,*,#84953,.F.); #113106=ORIENTED_EDGE('',*,*,#84956,.F.); #113107=ORIENTED_EDGE('',*,*,#84959,.F.); #113108=ORIENTED_EDGE('',*,*,#84962,.F.); #113109=ORIENTED_EDGE('',*,*,#84965,.F.); #113110=ORIENTED_EDGE('',*,*,#84968,.F.); #113111=ORIENTED_EDGE('',*,*,#84971,.F.); #113112=ORIENTED_EDGE('',*,*,#84974,.F.); #113113=ORIENTED_EDGE('',*,*,#84977,.F.); #113114=ORIENTED_EDGE('',*,*,#84980,.F.); #113115=ORIENTED_EDGE('',*,*,#84983,.F.); #113116=ORIENTED_EDGE('',*,*,#84986,.F.); #113117=ORIENTED_EDGE('',*,*,#84989,.F.); #113118=ORIENTED_EDGE('',*,*,#84992,.F.); #113119=ORIENTED_EDGE('',*,*,#84995,.F.); #113120=ORIENTED_EDGE('',*,*,#84998,.F.); #113121=ORIENTED_EDGE('',*,*,#85001,.F.); #113122=ORIENTED_EDGE('',*,*,#85004,.F.); #113123=ORIENTED_EDGE('',*,*,#85007,.F.); #113124=ORIENTED_EDGE('',*,*,#85010,.F.); #113125=ORIENTED_EDGE('',*,*,#85013,.F.); #113126=ORIENTED_EDGE('',*,*,#85016,.F.); #113127=ORIENTED_EDGE('',*,*,#85019,.F.); #113128=ORIENTED_EDGE('',*,*,#85022,.F.); #113129=ORIENTED_EDGE('',*,*,#85025,.F.); #113130=ORIENTED_EDGE('',*,*,#85028,.F.); #113131=ORIENTED_EDGE('',*,*,#85031,.F.); #113132=ORIENTED_EDGE('',*,*,#85034,.F.); #113133=ORIENTED_EDGE('',*,*,#85037,.F.); #113134=ORIENTED_EDGE('',*,*,#85040,.F.); #113135=ORIENTED_EDGE('',*,*,#85043,.F.); #113136=ORIENTED_EDGE('',*,*,#85046,.F.); #113137=ORIENTED_EDGE('',*,*,#85049,.F.); #113138=ORIENTED_EDGE('',*,*,#85052,.F.); #113139=ORIENTED_EDGE('',*,*,#85055,.F.); #113140=ORIENTED_EDGE('',*,*,#85058,.F.); #113141=ORIENTED_EDGE('',*,*,#85061,.F.); #113142=ORIENTED_EDGE('',*,*,#85064,.F.); #113143=ORIENTED_EDGE('',*,*,#85067,.F.); #113144=ORIENTED_EDGE('',*,*,#85070,.F.); #113145=ORIENTED_EDGE('',*,*,#85073,.F.); #113146=ORIENTED_EDGE('',*,*,#85076,.F.); #113147=ORIENTED_EDGE('',*,*,#85079,.F.); #113148=ORIENTED_EDGE('',*,*,#85082,.F.); #113149=ORIENTED_EDGE('',*,*,#85085,.F.); #113150=ORIENTED_EDGE('',*,*,#85088,.F.); #113151=ORIENTED_EDGE('',*,*,#85091,.F.); #113152=ORIENTED_EDGE('',*,*,#85094,.F.); #113153=ORIENTED_EDGE('',*,*,#85097,.F.); #113154=ORIENTED_EDGE('',*,*,#85100,.F.); #113155=ORIENTED_EDGE('',*,*,#85103,.F.); #113156=ORIENTED_EDGE('',*,*,#85106,.F.); #113157=ORIENTED_EDGE('',*,*,#85109,.F.); #113158=ORIENTED_EDGE('',*,*,#85112,.F.); #113159=ORIENTED_EDGE('',*,*,#85115,.F.); #113160=ORIENTED_EDGE('',*,*,#85118,.F.); #113161=ORIENTED_EDGE('',*,*,#85121,.F.); #113162=ORIENTED_EDGE('',*,*,#85124,.F.); #113163=ORIENTED_EDGE('',*,*,#85127,.F.); #113164=ORIENTED_EDGE('',*,*,#85130,.F.); #113165=ORIENTED_EDGE('',*,*,#85133,.F.); #113166=ORIENTED_EDGE('',*,*,#85136,.F.); #113167=ORIENTED_EDGE('',*,*,#85139,.F.); #113168=ORIENTED_EDGE('',*,*,#85142,.F.); #113169=ORIENTED_EDGE('',*,*,#85145,.F.); #113170=ORIENTED_EDGE('',*,*,#85148,.F.); #113171=ORIENTED_EDGE('',*,*,#85151,.F.); #113172=ORIENTED_EDGE('',*,*,#85154,.F.); #113173=ORIENTED_EDGE('',*,*,#85157,.F.); #113174=ORIENTED_EDGE('',*,*,#85160,.F.); #113175=ORIENTED_EDGE('',*,*,#85163,.F.); #113176=ORIENTED_EDGE('',*,*,#85166,.F.); #113177=ORIENTED_EDGE('',*,*,#85169,.F.); #113178=ORIENTED_EDGE('',*,*,#85172,.F.); #113179=ORIENTED_EDGE('',*,*,#85175,.F.); #113180=ORIENTED_EDGE('',*,*,#85178,.F.); #113181=ORIENTED_EDGE('',*,*,#85181,.F.); #113182=ORIENTED_EDGE('',*,*,#85184,.F.); #113183=ORIENTED_EDGE('',*,*,#85187,.F.); #113184=ORIENTED_EDGE('',*,*,#85190,.F.); #113185=ORIENTED_EDGE('',*,*,#85193,.F.); #113186=ORIENTED_EDGE('',*,*,#85196,.F.); #113187=ORIENTED_EDGE('',*,*,#85199,.F.); #113188=ORIENTED_EDGE('',*,*,#85202,.F.); #113189=ORIENTED_EDGE('',*,*,#85205,.F.); #113190=ORIENTED_EDGE('',*,*,#85208,.F.); #113191=ORIENTED_EDGE('',*,*,#85211,.F.); #113192=ORIENTED_EDGE('',*,*,#85214,.F.); #113193=ORIENTED_EDGE('',*,*,#85217,.F.); #113194=ORIENTED_EDGE('',*,*,#85220,.F.); #113195=ORIENTED_EDGE('',*,*,#85223,.F.); #113196=ORIENTED_EDGE('',*,*,#85226,.F.); #113197=ORIENTED_EDGE('',*,*,#85229,.F.); #113198=ORIENTED_EDGE('',*,*,#85232,.F.); #113199=ORIENTED_EDGE('',*,*,#85235,.F.); #113200=ORIENTED_EDGE('',*,*,#85238,.F.); #113201=ORIENTED_EDGE('',*,*,#85241,.F.); #113202=ORIENTED_EDGE('',*,*,#85244,.F.); #113203=ORIENTED_EDGE('',*,*,#85247,.F.); #113204=ORIENTED_EDGE('',*,*,#85250,.F.); #113205=ORIENTED_EDGE('',*,*,#85253,.F.); #113206=ORIENTED_EDGE('',*,*,#85256,.F.); #113207=ORIENTED_EDGE('',*,*,#85259,.F.); #113208=ORIENTED_EDGE('',*,*,#85262,.F.); #113209=ORIENTED_EDGE('',*,*,#85265,.F.); #113210=ORIENTED_EDGE('',*,*,#85268,.F.); #113211=ORIENTED_EDGE('',*,*,#85271,.F.); #113212=ORIENTED_EDGE('',*,*,#85274,.F.); #113213=ORIENTED_EDGE('',*,*,#85277,.F.); #113214=ORIENTED_EDGE('',*,*,#85280,.F.); #113215=ORIENTED_EDGE('',*,*,#85283,.F.); #113216=ORIENTED_EDGE('',*,*,#85286,.F.); #113217=ORIENTED_EDGE('',*,*,#84859,.F.); #113218=ORIENTED_EDGE('',*,*,#84823,.F.); #113219=ORIENTED_EDGE('',*,*,#84827,.F.); #113220=ORIENTED_EDGE('',*,*,#84830,.F.); #113221=ORIENTED_EDGE('',*,*,#84833,.F.); #113222=ORIENTED_EDGE('',*,*,#84836,.F.); #113223=ORIENTED_EDGE('',*,*,#84839,.F.); #113224=ORIENTED_EDGE('',*,*,#84842,.F.); #113225=ORIENTED_EDGE('',*,*,#84845,.F.); #113226=ORIENTED_EDGE('',*,*,#84848,.F.); #113227=ORIENTED_EDGE('',*,*,#84851,.F.); #113228=ORIENTED_EDGE('',*,*,#84854,.F.); #113229=ORIENTED_EDGE('',*,*,#84857,.F.); #113230=ORIENTED_EDGE('',*,*,#85289,.F.); #113231=ORIENTED_EDGE('',*,*,#85290,.T.); #113232=ORIENTED_EDGE('',*,*,#85291,.T.); #113233=ORIENTED_EDGE('',*,*,#85292,.F.); #113234=ORIENTED_EDGE('',*,*,#85293,.F.); #113235=ORIENTED_EDGE('',*,*,#85294,.T.); #113236=ORIENTED_EDGE('',*,*,#85295,.T.); #113237=ORIENTED_EDGE('',*,*,#85290,.F.); #113238=ORIENTED_EDGE('',*,*,#85296,.F.); #113239=ORIENTED_EDGE('',*,*,#85297,.T.); #113240=ORIENTED_EDGE('',*,*,#85298,.T.); #113241=ORIENTED_EDGE('',*,*,#85294,.F.); #113242=ORIENTED_EDGE('',*,*,#85299,.F.); #113243=ORIENTED_EDGE('',*,*,#85300,.T.); #113244=ORIENTED_EDGE('',*,*,#85301,.T.); #113245=ORIENTED_EDGE('',*,*,#85297,.F.); #113246=ORIENTED_EDGE('',*,*,#85302,.F.); #113247=ORIENTED_EDGE('',*,*,#85303,.T.); #113248=ORIENTED_EDGE('',*,*,#85304,.T.); #113249=ORIENTED_EDGE('',*,*,#85300,.F.); #113250=ORIENTED_EDGE('',*,*,#85305,.F.); #113251=ORIENTED_EDGE('',*,*,#85306,.T.); #113252=ORIENTED_EDGE('',*,*,#85307,.T.); #113253=ORIENTED_EDGE('',*,*,#85303,.F.); #113254=ORIENTED_EDGE('',*,*,#85308,.F.); #113255=ORIENTED_EDGE('',*,*,#85309,.T.); #113256=ORIENTED_EDGE('',*,*,#85310,.T.); #113257=ORIENTED_EDGE('',*,*,#85306,.F.); #113258=ORIENTED_EDGE('',*,*,#85311,.F.); #113259=ORIENTED_EDGE('',*,*,#85312,.T.); #113260=ORIENTED_EDGE('',*,*,#85313,.T.); #113261=ORIENTED_EDGE('',*,*,#85309,.F.); #113262=ORIENTED_EDGE('',*,*,#85314,.F.); #113263=ORIENTED_EDGE('',*,*,#85315,.T.); #113264=ORIENTED_EDGE('',*,*,#85316,.T.); #113265=ORIENTED_EDGE('',*,*,#85312,.F.); #113266=ORIENTED_EDGE('',*,*,#85317,.F.); #113267=ORIENTED_EDGE('',*,*,#85318,.T.); #113268=ORIENTED_EDGE('',*,*,#85319,.T.); #113269=ORIENTED_EDGE('',*,*,#85315,.F.); #113270=ORIENTED_EDGE('',*,*,#85320,.F.); #113271=ORIENTED_EDGE('',*,*,#85321,.T.); #113272=ORIENTED_EDGE('',*,*,#85322,.T.); #113273=ORIENTED_EDGE('',*,*,#85318,.F.); #113274=ORIENTED_EDGE('',*,*,#85323,.F.); #113275=ORIENTED_EDGE('',*,*,#85324,.T.); #113276=ORIENTED_EDGE('',*,*,#85325,.T.); #113277=ORIENTED_EDGE('',*,*,#85321,.F.); #113278=ORIENTED_EDGE('',*,*,#85326,.F.); #113279=ORIENTED_EDGE('',*,*,#85327,.T.); #113280=ORIENTED_EDGE('',*,*,#85328,.T.); #113281=ORIENTED_EDGE('',*,*,#85324,.F.); #113282=ORIENTED_EDGE('',*,*,#85329,.F.); #113283=ORIENTED_EDGE('',*,*,#85330,.T.); #113284=ORIENTED_EDGE('',*,*,#85331,.T.); #113285=ORIENTED_EDGE('',*,*,#85327,.F.); #113286=ORIENTED_EDGE('',*,*,#85332,.F.); #113287=ORIENTED_EDGE('',*,*,#85333,.T.); #113288=ORIENTED_EDGE('',*,*,#85334,.T.); #113289=ORIENTED_EDGE('',*,*,#85330,.F.); #113290=ORIENTED_EDGE('',*,*,#85335,.F.); #113291=ORIENTED_EDGE('',*,*,#85336,.T.); #113292=ORIENTED_EDGE('',*,*,#85337,.T.); #113293=ORIENTED_EDGE('',*,*,#85333,.F.); #113294=ORIENTED_EDGE('',*,*,#85338,.F.); #113295=ORIENTED_EDGE('',*,*,#85339,.T.); #113296=ORIENTED_EDGE('',*,*,#85340,.T.); #113297=ORIENTED_EDGE('',*,*,#85336,.F.); #113298=ORIENTED_EDGE('',*,*,#85341,.F.); #113299=ORIENTED_EDGE('',*,*,#85342,.T.); #113300=ORIENTED_EDGE('',*,*,#85343,.T.); #113301=ORIENTED_EDGE('',*,*,#85339,.F.); #113302=ORIENTED_EDGE('',*,*,#85344,.F.); #113303=ORIENTED_EDGE('',*,*,#85345,.T.); #113304=ORIENTED_EDGE('',*,*,#85346,.T.); #113305=ORIENTED_EDGE('',*,*,#85342,.F.); #113306=ORIENTED_EDGE('',*,*,#85347,.F.); #113307=ORIENTED_EDGE('',*,*,#85348,.T.); #113308=ORIENTED_EDGE('',*,*,#85349,.T.); #113309=ORIENTED_EDGE('',*,*,#85345,.F.); #113310=ORIENTED_EDGE('',*,*,#85350,.F.); #113311=ORIENTED_EDGE('',*,*,#85351,.T.); #113312=ORIENTED_EDGE('',*,*,#85352,.T.); #113313=ORIENTED_EDGE('',*,*,#85348,.F.); #113314=ORIENTED_EDGE('',*,*,#85353,.F.); #113315=ORIENTED_EDGE('',*,*,#85354,.T.); #113316=ORIENTED_EDGE('',*,*,#85355,.T.); #113317=ORIENTED_EDGE('',*,*,#85351,.F.); #113318=ORIENTED_EDGE('',*,*,#85356,.F.); #113319=ORIENTED_EDGE('',*,*,#85357,.T.); #113320=ORIENTED_EDGE('',*,*,#85358,.T.); #113321=ORIENTED_EDGE('',*,*,#85354,.F.); #113322=ORIENTED_EDGE('',*,*,#85359,.F.); #113323=ORIENTED_EDGE('',*,*,#85360,.T.); #113324=ORIENTED_EDGE('',*,*,#85361,.T.); #113325=ORIENTED_EDGE('',*,*,#85357,.F.); #113326=ORIENTED_EDGE('',*,*,#85362,.F.); #113327=ORIENTED_EDGE('',*,*,#85363,.T.); #113328=ORIENTED_EDGE('',*,*,#85364,.T.); #113329=ORIENTED_EDGE('',*,*,#85360,.F.); #113330=ORIENTED_EDGE('',*,*,#85365,.F.); #113331=ORIENTED_EDGE('',*,*,#85366,.T.); #113332=ORIENTED_EDGE('',*,*,#85367,.T.); #113333=ORIENTED_EDGE('',*,*,#85363,.F.); #113334=ORIENTED_EDGE('',*,*,#85368,.F.); #113335=ORIENTED_EDGE('',*,*,#85369,.T.); #113336=ORIENTED_EDGE('',*,*,#85370,.T.); #113337=ORIENTED_EDGE('',*,*,#85366,.F.); #113338=ORIENTED_EDGE('',*,*,#85371,.F.); #113339=ORIENTED_EDGE('',*,*,#85372,.T.); #113340=ORIENTED_EDGE('',*,*,#85373,.T.); #113341=ORIENTED_EDGE('',*,*,#85369,.F.); #113342=ORIENTED_EDGE('',*,*,#85374,.F.); #113343=ORIENTED_EDGE('',*,*,#85375,.T.); #113344=ORIENTED_EDGE('',*,*,#85376,.T.); #113345=ORIENTED_EDGE('',*,*,#85372,.F.); #113346=ORIENTED_EDGE('',*,*,#85377,.F.); #113347=ORIENTED_EDGE('',*,*,#85378,.T.); #113348=ORIENTED_EDGE('',*,*,#85379,.T.); #113349=ORIENTED_EDGE('',*,*,#85375,.F.); #113350=ORIENTED_EDGE('',*,*,#85380,.F.); #113351=ORIENTED_EDGE('',*,*,#85381,.T.); #113352=ORIENTED_EDGE('',*,*,#85382,.T.); #113353=ORIENTED_EDGE('',*,*,#85378,.F.); #113354=ORIENTED_EDGE('',*,*,#85383,.F.); #113355=ORIENTED_EDGE('',*,*,#85384,.T.); #113356=ORIENTED_EDGE('',*,*,#85385,.T.); #113357=ORIENTED_EDGE('',*,*,#85381,.F.); #113358=ORIENTED_EDGE('',*,*,#85386,.F.); #113359=ORIENTED_EDGE('',*,*,#85387,.T.); #113360=ORIENTED_EDGE('',*,*,#85388,.T.); #113361=ORIENTED_EDGE('',*,*,#85384,.F.); #113362=ORIENTED_EDGE('',*,*,#85389,.F.); #113363=ORIENTED_EDGE('',*,*,#85390,.T.); #113364=ORIENTED_EDGE('',*,*,#85391,.T.); #113365=ORIENTED_EDGE('',*,*,#85387,.F.); #113366=ORIENTED_EDGE('',*,*,#85392,.F.); #113367=ORIENTED_EDGE('',*,*,#85393,.T.); #113368=ORIENTED_EDGE('',*,*,#85394,.T.); #113369=ORIENTED_EDGE('',*,*,#85390,.F.); #113370=ORIENTED_EDGE('',*,*,#85395,.F.); #113371=ORIENTED_EDGE('',*,*,#85396,.T.); #113372=ORIENTED_EDGE('',*,*,#85397,.T.); #113373=ORIENTED_EDGE('',*,*,#85393,.F.); #113374=ORIENTED_EDGE('',*,*,#85398,.F.); #113375=ORIENTED_EDGE('',*,*,#85399,.T.); #113376=ORIENTED_EDGE('',*,*,#85400,.T.); #113377=ORIENTED_EDGE('',*,*,#85396,.F.); #113378=ORIENTED_EDGE('',*,*,#85401,.F.); #113379=ORIENTED_EDGE('',*,*,#85402,.T.); #113380=ORIENTED_EDGE('',*,*,#85403,.T.); #113381=ORIENTED_EDGE('',*,*,#85399,.F.); #113382=ORIENTED_EDGE('',*,*,#85404,.F.); #113383=ORIENTED_EDGE('',*,*,#85405,.T.); #113384=ORIENTED_EDGE('',*,*,#85406,.T.); #113385=ORIENTED_EDGE('',*,*,#85402,.F.); #113386=ORIENTED_EDGE('',*,*,#85407,.F.); #113387=ORIENTED_EDGE('',*,*,#85408,.T.); #113388=ORIENTED_EDGE('',*,*,#85409,.T.); #113389=ORIENTED_EDGE('',*,*,#85405,.F.); #113390=ORIENTED_EDGE('',*,*,#85410,.F.); #113391=ORIENTED_EDGE('',*,*,#85411,.T.); #113392=ORIENTED_EDGE('',*,*,#85412,.T.); #113393=ORIENTED_EDGE('',*,*,#85408,.F.); #113394=ORIENTED_EDGE('',*,*,#85413,.F.); #113395=ORIENTED_EDGE('',*,*,#85414,.T.); #113396=ORIENTED_EDGE('',*,*,#85415,.T.); #113397=ORIENTED_EDGE('',*,*,#85411,.F.); #113398=ORIENTED_EDGE('',*,*,#85416,.F.); #113399=ORIENTED_EDGE('',*,*,#85417,.T.); #113400=ORIENTED_EDGE('',*,*,#85418,.T.); #113401=ORIENTED_EDGE('',*,*,#85414,.F.); #113402=ORIENTED_EDGE('',*,*,#85419,.F.); #113403=ORIENTED_EDGE('',*,*,#85420,.T.); #113404=ORIENTED_EDGE('',*,*,#85421,.T.); #113405=ORIENTED_EDGE('',*,*,#85417,.F.); #113406=ORIENTED_EDGE('',*,*,#85422,.F.); #113407=ORIENTED_EDGE('',*,*,#85423,.T.); #113408=ORIENTED_EDGE('',*,*,#85424,.T.); #113409=ORIENTED_EDGE('',*,*,#85420,.F.); #113410=ORIENTED_EDGE('',*,*,#85425,.F.); #113411=ORIENTED_EDGE('',*,*,#85426,.T.); #113412=ORIENTED_EDGE('',*,*,#85427,.T.); #113413=ORIENTED_EDGE('',*,*,#85423,.F.); #113414=ORIENTED_EDGE('',*,*,#85428,.F.); #113415=ORIENTED_EDGE('',*,*,#85429,.T.); #113416=ORIENTED_EDGE('',*,*,#85430,.T.); #113417=ORIENTED_EDGE('',*,*,#85426,.F.); #113418=ORIENTED_EDGE('',*,*,#85431,.F.); #113419=ORIENTED_EDGE('',*,*,#85432,.T.); #113420=ORIENTED_EDGE('',*,*,#85433,.T.); #113421=ORIENTED_EDGE('',*,*,#85429,.F.); #113422=ORIENTED_EDGE('',*,*,#85434,.F.); #113423=ORIENTED_EDGE('',*,*,#85435,.T.); #113424=ORIENTED_EDGE('',*,*,#85436,.T.); #113425=ORIENTED_EDGE('',*,*,#85432,.F.); #113426=ORIENTED_EDGE('',*,*,#85437,.F.); #113427=ORIENTED_EDGE('',*,*,#85438,.T.); #113428=ORIENTED_EDGE('',*,*,#85439,.T.); #113429=ORIENTED_EDGE('',*,*,#85435,.F.); #113430=ORIENTED_EDGE('',*,*,#85440,.F.); #113431=ORIENTED_EDGE('',*,*,#85441,.T.); #113432=ORIENTED_EDGE('',*,*,#85442,.T.); #113433=ORIENTED_EDGE('',*,*,#85438,.F.); #113434=ORIENTED_EDGE('',*,*,#85443,.F.); #113435=ORIENTED_EDGE('',*,*,#85444,.T.); #113436=ORIENTED_EDGE('',*,*,#85445,.T.); #113437=ORIENTED_EDGE('',*,*,#85441,.F.); #113438=ORIENTED_EDGE('',*,*,#85446,.F.); #113439=ORIENTED_EDGE('',*,*,#85447,.T.); #113440=ORIENTED_EDGE('',*,*,#85448,.T.); #113441=ORIENTED_EDGE('',*,*,#85444,.F.); #113442=ORIENTED_EDGE('',*,*,#85449,.F.); #113443=ORIENTED_EDGE('',*,*,#85450,.T.); #113444=ORIENTED_EDGE('',*,*,#85451,.T.); #113445=ORIENTED_EDGE('',*,*,#85447,.F.); #113446=ORIENTED_EDGE('',*,*,#85452,.F.); #113447=ORIENTED_EDGE('',*,*,#85453,.T.); #113448=ORIENTED_EDGE('',*,*,#85454,.T.); #113449=ORIENTED_EDGE('',*,*,#85450,.F.); #113450=ORIENTED_EDGE('',*,*,#85455,.F.); #113451=ORIENTED_EDGE('',*,*,#85456,.T.); #113452=ORIENTED_EDGE('',*,*,#85457,.T.); #113453=ORIENTED_EDGE('',*,*,#85453,.F.); #113454=ORIENTED_EDGE('',*,*,#85458,.F.); #113455=ORIENTED_EDGE('',*,*,#85459,.T.); #113456=ORIENTED_EDGE('',*,*,#85460,.T.); #113457=ORIENTED_EDGE('',*,*,#85456,.F.); #113458=ORIENTED_EDGE('',*,*,#85461,.F.); #113459=ORIENTED_EDGE('',*,*,#85462,.T.); #113460=ORIENTED_EDGE('',*,*,#85463,.T.); #113461=ORIENTED_EDGE('',*,*,#85459,.F.); #113462=ORIENTED_EDGE('',*,*,#85464,.F.); #113463=ORIENTED_EDGE('',*,*,#85465,.T.); #113464=ORIENTED_EDGE('',*,*,#85466,.T.); #113465=ORIENTED_EDGE('',*,*,#85462,.F.); #113466=ORIENTED_EDGE('',*,*,#85467,.F.); #113467=ORIENTED_EDGE('',*,*,#85468,.T.); #113468=ORIENTED_EDGE('',*,*,#85469,.T.); #113469=ORIENTED_EDGE('',*,*,#85465,.F.); #113470=ORIENTED_EDGE('',*,*,#85470,.F.); #113471=ORIENTED_EDGE('',*,*,#85471,.T.); #113472=ORIENTED_EDGE('',*,*,#85472,.T.); #113473=ORIENTED_EDGE('',*,*,#85468,.F.); #113474=ORIENTED_EDGE('',*,*,#85473,.F.); #113475=ORIENTED_EDGE('',*,*,#85474,.T.); #113476=ORIENTED_EDGE('',*,*,#85475,.T.); #113477=ORIENTED_EDGE('',*,*,#85471,.F.); #113478=ORIENTED_EDGE('',*,*,#85476,.F.); #113479=ORIENTED_EDGE('',*,*,#85477,.T.); #113480=ORIENTED_EDGE('',*,*,#85478,.T.); #113481=ORIENTED_EDGE('',*,*,#85474,.F.); #113482=ORIENTED_EDGE('',*,*,#85479,.F.); #113483=ORIENTED_EDGE('',*,*,#85480,.T.); #113484=ORIENTED_EDGE('',*,*,#85481,.T.); #113485=ORIENTED_EDGE('',*,*,#85477,.F.); #113486=ORIENTED_EDGE('',*,*,#85482,.F.); #113487=ORIENTED_EDGE('',*,*,#85483,.T.); #113488=ORIENTED_EDGE('',*,*,#85484,.T.); #113489=ORIENTED_EDGE('',*,*,#85480,.F.); #113490=ORIENTED_EDGE('',*,*,#85485,.F.); #113491=ORIENTED_EDGE('',*,*,#85486,.T.); #113492=ORIENTED_EDGE('',*,*,#85487,.T.); #113493=ORIENTED_EDGE('',*,*,#85483,.F.); #113494=ORIENTED_EDGE('',*,*,#85488,.F.); #113495=ORIENTED_EDGE('',*,*,#85489,.T.); #113496=ORIENTED_EDGE('',*,*,#85490,.T.); #113497=ORIENTED_EDGE('',*,*,#85486,.F.); #113498=ORIENTED_EDGE('',*,*,#85491,.F.); #113499=ORIENTED_EDGE('',*,*,#85492,.T.); #113500=ORIENTED_EDGE('',*,*,#85493,.T.); #113501=ORIENTED_EDGE('',*,*,#85489,.F.); #113502=ORIENTED_EDGE('',*,*,#85494,.F.); #113503=ORIENTED_EDGE('',*,*,#85495,.T.); #113504=ORIENTED_EDGE('',*,*,#85496,.T.); #113505=ORIENTED_EDGE('',*,*,#85492,.F.); #113506=ORIENTED_EDGE('',*,*,#85497,.F.); #113507=ORIENTED_EDGE('',*,*,#85498,.T.); #113508=ORIENTED_EDGE('',*,*,#85499,.T.); #113509=ORIENTED_EDGE('',*,*,#85495,.F.); #113510=ORIENTED_EDGE('',*,*,#85500,.F.); #113511=ORIENTED_EDGE('',*,*,#85501,.T.); #113512=ORIENTED_EDGE('',*,*,#85502,.T.); #113513=ORIENTED_EDGE('',*,*,#85498,.F.); #113514=ORIENTED_EDGE('',*,*,#85503,.F.); #113515=ORIENTED_EDGE('',*,*,#85504,.T.); #113516=ORIENTED_EDGE('',*,*,#85505,.T.); #113517=ORIENTED_EDGE('',*,*,#85501,.F.); #113518=ORIENTED_EDGE('',*,*,#85506,.F.); #113519=ORIENTED_EDGE('',*,*,#85507,.T.); #113520=ORIENTED_EDGE('',*,*,#85508,.T.); #113521=ORIENTED_EDGE('',*,*,#85504,.F.); #113522=ORIENTED_EDGE('',*,*,#85509,.F.); #113523=ORIENTED_EDGE('',*,*,#85510,.T.); #113524=ORIENTED_EDGE('',*,*,#85511,.T.); #113525=ORIENTED_EDGE('',*,*,#85507,.F.); #113526=ORIENTED_EDGE('',*,*,#85512,.F.); #113527=ORIENTED_EDGE('',*,*,#85513,.T.); #113528=ORIENTED_EDGE('',*,*,#85514,.T.); #113529=ORIENTED_EDGE('',*,*,#85510,.F.); #113530=ORIENTED_EDGE('',*,*,#85515,.F.); #113531=ORIENTED_EDGE('',*,*,#85516,.T.); #113532=ORIENTED_EDGE('',*,*,#85517,.T.); #113533=ORIENTED_EDGE('',*,*,#85513,.F.); #113534=ORIENTED_EDGE('',*,*,#85518,.F.); #113535=ORIENTED_EDGE('',*,*,#85519,.T.); #113536=ORIENTED_EDGE('',*,*,#85520,.T.); #113537=ORIENTED_EDGE('',*,*,#85516,.F.); #113538=ORIENTED_EDGE('',*,*,#85521,.F.); #113539=ORIENTED_EDGE('',*,*,#85522,.T.); #113540=ORIENTED_EDGE('',*,*,#85523,.T.); #113541=ORIENTED_EDGE('',*,*,#85519,.F.); #113542=ORIENTED_EDGE('',*,*,#85524,.F.); #113543=ORIENTED_EDGE('',*,*,#85525,.T.); #113544=ORIENTED_EDGE('',*,*,#85526,.T.); #113545=ORIENTED_EDGE('',*,*,#85522,.F.); #113546=ORIENTED_EDGE('',*,*,#85527,.F.); #113547=ORIENTED_EDGE('',*,*,#85528,.T.); #113548=ORIENTED_EDGE('',*,*,#85529,.T.); #113549=ORIENTED_EDGE('',*,*,#85525,.F.); #113550=ORIENTED_EDGE('',*,*,#85530,.F.); #113551=ORIENTED_EDGE('',*,*,#85531,.T.); #113552=ORIENTED_EDGE('',*,*,#85532,.T.); #113553=ORIENTED_EDGE('',*,*,#85528,.F.); #113554=ORIENTED_EDGE('',*,*,#85533,.F.); #113555=ORIENTED_EDGE('',*,*,#85534,.T.); #113556=ORIENTED_EDGE('',*,*,#85535,.T.); #113557=ORIENTED_EDGE('',*,*,#85531,.F.); #113558=ORIENTED_EDGE('',*,*,#85536,.F.); #113559=ORIENTED_EDGE('',*,*,#85537,.T.); #113560=ORIENTED_EDGE('',*,*,#85538,.T.); #113561=ORIENTED_EDGE('',*,*,#85534,.F.); #113562=ORIENTED_EDGE('',*,*,#85539,.F.); #113563=ORIENTED_EDGE('',*,*,#85540,.T.); #113564=ORIENTED_EDGE('',*,*,#85541,.T.); #113565=ORIENTED_EDGE('',*,*,#85537,.F.); #113566=ORIENTED_EDGE('',*,*,#85542,.F.); #113567=ORIENTED_EDGE('',*,*,#85543,.T.); #113568=ORIENTED_EDGE('',*,*,#85544,.T.); #113569=ORIENTED_EDGE('',*,*,#85540,.F.); #113570=ORIENTED_EDGE('',*,*,#85545,.F.); #113571=ORIENTED_EDGE('',*,*,#85546,.T.); #113572=ORIENTED_EDGE('',*,*,#85547,.T.); #113573=ORIENTED_EDGE('',*,*,#85543,.F.); #113574=ORIENTED_EDGE('',*,*,#85548,.F.); #113575=ORIENTED_EDGE('',*,*,#85549,.T.); #113576=ORIENTED_EDGE('',*,*,#85550,.T.); #113577=ORIENTED_EDGE('',*,*,#85546,.F.); #113578=ORIENTED_EDGE('',*,*,#85551,.F.); #113579=ORIENTED_EDGE('',*,*,#85552,.T.); #113580=ORIENTED_EDGE('',*,*,#85553,.T.); #113581=ORIENTED_EDGE('',*,*,#85549,.F.); #113582=ORIENTED_EDGE('',*,*,#85554,.F.); #113583=ORIENTED_EDGE('',*,*,#85555,.T.); #113584=ORIENTED_EDGE('',*,*,#85556,.T.); #113585=ORIENTED_EDGE('',*,*,#85552,.F.); #113586=ORIENTED_EDGE('',*,*,#85557,.F.); #113587=ORIENTED_EDGE('',*,*,#85558,.T.); #113588=ORIENTED_EDGE('',*,*,#85559,.T.); #113589=ORIENTED_EDGE('',*,*,#85555,.F.); #113590=ORIENTED_EDGE('',*,*,#85560,.F.); #113591=ORIENTED_EDGE('',*,*,#85561,.T.); #113592=ORIENTED_EDGE('',*,*,#85562,.T.); #113593=ORIENTED_EDGE('',*,*,#85558,.F.); #113594=ORIENTED_EDGE('',*,*,#85563,.F.); #113595=ORIENTED_EDGE('',*,*,#85564,.T.); #113596=ORIENTED_EDGE('',*,*,#85565,.T.); #113597=ORIENTED_EDGE('',*,*,#85561,.F.); #113598=ORIENTED_EDGE('',*,*,#85566,.F.); #113599=ORIENTED_EDGE('',*,*,#85567,.T.); #113600=ORIENTED_EDGE('',*,*,#85568,.T.); #113601=ORIENTED_EDGE('',*,*,#85564,.F.); #113602=ORIENTED_EDGE('',*,*,#85569,.F.); #113603=ORIENTED_EDGE('',*,*,#85570,.T.); #113604=ORIENTED_EDGE('',*,*,#85571,.T.); #113605=ORIENTED_EDGE('',*,*,#85567,.F.); #113606=ORIENTED_EDGE('',*,*,#85572,.F.); #113607=ORIENTED_EDGE('',*,*,#85573,.T.); #113608=ORIENTED_EDGE('',*,*,#85574,.T.); #113609=ORIENTED_EDGE('',*,*,#85570,.F.); #113610=ORIENTED_EDGE('',*,*,#85575,.F.); #113611=ORIENTED_EDGE('',*,*,#85576,.T.); #113612=ORIENTED_EDGE('',*,*,#85577,.T.); #113613=ORIENTED_EDGE('',*,*,#85573,.F.); #113614=ORIENTED_EDGE('',*,*,#85578,.F.); #113615=ORIENTED_EDGE('',*,*,#85579,.T.); #113616=ORIENTED_EDGE('',*,*,#85580,.T.); #113617=ORIENTED_EDGE('',*,*,#85576,.F.); #113618=ORIENTED_EDGE('',*,*,#85581,.F.); #113619=ORIENTED_EDGE('',*,*,#85582,.T.); #113620=ORIENTED_EDGE('',*,*,#85583,.T.); #113621=ORIENTED_EDGE('',*,*,#85579,.F.); #113622=ORIENTED_EDGE('',*,*,#85584,.F.); #113623=ORIENTED_EDGE('',*,*,#85585,.T.); #113624=ORIENTED_EDGE('',*,*,#85586,.T.); #113625=ORIENTED_EDGE('',*,*,#85582,.F.); #113626=ORIENTED_EDGE('',*,*,#85587,.F.); #113627=ORIENTED_EDGE('',*,*,#85588,.T.); #113628=ORIENTED_EDGE('',*,*,#85589,.T.); #113629=ORIENTED_EDGE('',*,*,#85585,.F.); #113630=ORIENTED_EDGE('',*,*,#85590,.F.); #113631=ORIENTED_EDGE('',*,*,#85591,.T.); #113632=ORIENTED_EDGE('',*,*,#85592,.T.); #113633=ORIENTED_EDGE('',*,*,#85588,.F.); #113634=ORIENTED_EDGE('',*,*,#85593,.F.); #113635=ORIENTED_EDGE('',*,*,#85594,.T.); #113636=ORIENTED_EDGE('',*,*,#85595,.T.); #113637=ORIENTED_EDGE('',*,*,#85591,.F.); #113638=ORIENTED_EDGE('',*,*,#85596,.F.); #113639=ORIENTED_EDGE('',*,*,#85597,.T.); #113640=ORIENTED_EDGE('',*,*,#85598,.T.); #113641=ORIENTED_EDGE('',*,*,#85594,.F.); #113642=ORIENTED_EDGE('',*,*,#85599,.F.); #113643=ORIENTED_EDGE('',*,*,#85600,.T.); #113644=ORIENTED_EDGE('',*,*,#85601,.T.); #113645=ORIENTED_EDGE('',*,*,#85597,.F.); #113646=ORIENTED_EDGE('',*,*,#85602,.F.); #113647=ORIENTED_EDGE('',*,*,#85603,.T.); #113648=ORIENTED_EDGE('',*,*,#85604,.T.); #113649=ORIENTED_EDGE('',*,*,#85600,.F.); #113650=ORIENTED_EDGE('',*,*,#85605,.F.); #113651=ORIENTED_EDGE('',*,*,#85606,.T.); #113652=ORIENTED_EDGE('',*,*,#85607,.T.); #113653=ORIENTED_EDGE('',*,*,#85603,.F.); #113654=ORIENTED_EDGE('',*,*,#85608,.F.); #113655=ORIENTED_EDGE('',*,*,#85609,.T.); #113656=ORIENTED_EDGE('',*,*,#85610,.T.); #113657=ORIENTED_EDGE('',*,*,#85606,.F.); #113658=ORIENTED_EDGE('',*,*,#85611,.F.); #113659=ORIENTED_EDGE('',*,*,#85612,.T.); #113660=ORIENTED_EDGE('',*,*,#85613,.T.); #113661=ORIENTED_EDGE('',*,*,#85609,.F.); #113662=ORIENTED_EDGE('',*,*,#85614,.F.); #113663=ORIENTED_EDGE('',*,*,#85615,.T.); #113664=ORIENTED_EDGE('',*,*,#85616,.T.); #113665=ORIENTED_EDGE('',*,*,#85612,.F.); #113666=ORIENTED_EDGE('',*,*,#85617,.F.); #113667=ORIENTED_EDGE('',*,*,#85618,.T.); #113668=ORIENTED_EDGE('',*,*,#85619,.T.); #113669=ORIENTED_EDGE('',*,*,#85615,.F.); #113670=ORIENTED_EDGE('',*,*,#85620,.F.); #113671=ORIENTED_EDGE('',*,*,#85621,.T.); #113672=ORIENTED_EDGE('',*,*,#85622,.T.); #113673=ORIENTED_EDGE('',*,*,#85618,.F.); #113674=ORIENTED_EDGE('',*,*,#85623,.F.); #113675=ORIENTED_EDGE('',*,*,#85624,.T.); #113676=ORIENTED_EDGE('',*,*,#85625,.T.); #113677=ORIENTED_EDGE('',*,*,#85621,.F.); #113678=ORIENTED_EDGE('',*,*,#85626,.F.); #113679=ORIENTED_EDGE('',*,*,#85627,.T.); #113680=ORIENTED_EDGE('',*,*,#85628,.T.); #113681=ORIENTED_EDGE('',*,*,#85624,.F.); #113682=ORIENTED_EDGE('',*,*,#85629,.F.); #113683=ORIENTED_EDGE('',*,*,#85630,.T.); #113684=ORIENTED_EDGE('',*,*,#85631,.T.); #113685=ORIENTED_EDGE('',*,*,#85627,.F.); #113686=ORIENTED_EDGE('',*,*,#85632,.F.); #113687=ORIENTED_EDGE('',*,*,#85633,.T.); #113688=ORIENTED_EDGE('',*,*,#85634,.T.); #113689=ORIENTED_EDGE('',*,*,#85630,.F.); #113690=ORIENTED_EDGE('',*,*,#85635,.F.); #113691=ORIENTED_EDGE('',*,*,#85636,.T.); #113692=ORIENTED_EDGE('',*,*,#85637,.T.); #113693=ORIENTED_EDGE('',*,*,#85633,.F.); #113694=ORIENTED_EDGE('',*,*,#85638,.F.); #113695=ORIENTED_EDGE('',*,*,#85639,.T.); #113696=ORIENTED_EDGE('',*,*,#85640,.T.); #113697=ORIENTED_EDGE('',*,*,#85636,.F.); #113698=ORIENTED_EDGE('',*,*,#85641,.F.); #113699=ORIENTED_EDGE('',*,*,#85642,.T.); #113700=ORIENTED_EDGE('',*,*,#85643,.T.); #113701=ORIENTED_EDGE('',*,*,#85639,.F.); #113702=ORIENTED_EDGE('',*,*,#85644,.F.); #113703=ORIENTED_EDGE('',*,*,#85645,.T.); #113704=ORIENTED_EDGE('',*,*,#85646,.T.); #113705=ORIENTED_EDGE('',*,*,#85642,.F.); #113706=ORIENTED_EDGE('',*,*,#85647,.F.); #113707=ORIENTED_EDGE('',*,*,#85648,.T.); #113708=ORIENTED_EDGE('',*,*,#85649,.T.); #113709=ORIENTED_EDGE('',*,*,#85645,.F.); #113710=ORIENTED_EDGE('',*,*,#85650,.F.); #113711=ORIENTED_EDGE('',*,*,#85651,.T.); #113712=ORIENTED_EDGE('',*,*,#85652,.T.); #113713=ORIENTED_EDGE('',*,*,#85648,.F.); #113714=ORIENTED_EDGE('',*,*,#85653,.F.); #113715=ORIENTED_EDGE('',*,*,#85654,.T.); #113716=ORIENTED_EDGE('',*,*,#85655,.T.); #113717=ORIENTED_EDGE('',*,*,#85651,.F.); #113718=ORIENTED_EDGE('',*,*,#85656,.F.); #113719=ORIENTED_EDGE('',*,*,#85657,.T.); #113720=ORIENTED_EDGE('',*,*,#85658,.T.); #113721=ORIENTED_EDGE('',*,*,#85654,.F.); #113722=ORIENTED_EDGE('',*,*,#85659,.F.); #113723=ORIENTED_EDGE('',*,*,#85660,.T.); #113724=ORIENTED_EDGE('',*,*,#85661,.T.); #113725=ORIENTED_EDGE('',*,*,#85657,.F.); #113726=ORIENTED_EDGE('',*,*,#85662,.F.); #113727=ORIENTED_EDGE('',*,*,#85663,.T.); #113728=ORIENTED_EDGE('',*,*,#85664,.T.); #113729=ORIENTED_EDGE('',*,*,#85660,.F.); #113730=ORIENTED_EDGE('',*,*,#85665,.F.); #113731=ORIENTED_EDGE('',*,*,#85666,.T.); #113732=ORIENTED_EDGE('',*,*,#85667,.T.); #113733=ORIENTED_EDGE('',*,*,#85663,.F.); #113734=ORIENTED_EDGE('',*,*,#85668,.F.); #113735=ORIENTED_EDGE('',*,*,#85669,.T.); #113736=ORIENTED_EDGE('',*,*,#85670,.T.); #113737=ORIENTED_EDGE('',*,*,#85666,.F.); #113738=ORIENTED_EDGE('',*,*,#85671,.F.); #113739=ORIENTED_EDGE('',*,*,#85672,.T.); #113740=ORIENTED_EDGE('',*,*,#85673,.T.); #113741=ORIENTED_EDGE('',*,*,#85669,.F.); #113742=ORIENTED_EDGE('',*,*,#85674,.F.); #113743=ORIENTED_EDGE('',*,*,#85675,.T.); #113744=ORIENTED_EDGE('',*,*,#85676,.T.); #113745=ORIENTED_EDGE('',*,*,#85672,.F.); #113746=ORIENTED_EDGE('',*,*,#85677,.F.); #113747=ORIENTED_EDGE('',*,*,#85678,.T.); #113748=ORIENTED_EDGE('',*,*,#85679,.T.); #113749=ORIENTED_EDGE('',*,*,#85675,.F.); #113750=ORIENTED_EDGE('',*,*,#85680,.F.); #113751=ORIENTED_EDGE('',*,*,#85681,.T.); #113752=ORIENTED_EDGE('',*,*,#85682,.T.); #113753=ORIENTED_EDGE('',*,*,#85678,.F.); #113754=ORIENTED_EDGE('',*,*,#85683,.F.); #113755=ORIENTED_EDGE('',*,*,#85292,.T.); #113756=ORIENTED_EDGE('',*,*,#85684,.T.); #113757=ORIENTED_EDGE('',*,*,#85681,.F.); #113758=ORIENTED_EDGE('',*,*,#85684,.F.); #113759=ORIENTED_EDGE('',*,*,#85291,.F.); #113760=ORIENTED_EDGE('',*,*,#85295,.F.); #113761=ORIENTED_EDGE('',*,*,#85298,.F.); #113762=ORIENTED_EDGE('',*,*,#85301,.F.); #113763=ORIENTED_EDGE('',*,*,#85304,.F.); #113764=ORIENTED_EDGE('',*,*,#85307,.F.); #113765=ORIENTED_EDGE('',*,*,#85310,.F.); #113766=ORIENTED_EDGE('',*,*,#85313,.F.); #113767=ORIENTED_EDGE('',*,*,#85316,.F.); #113768=ORIENTED_EDGE('',*,*,#85319,.F.); #113769=ORIENTED_EDGE('',*,*,#85322,.F.); #113770=ORIENTED_EDGE('',*,*,#85325,.F.); #113771=ORIENTED_EDGE('',*,*,#85328,.F.); #113772=ORIENTED_EDGE('',*,*,#85331,.F.); #113773=ORIENTED_EDGE('',*,*,#85334,.F.); #113774=ORIENTED_EDGE('',*,*,#85337,.F.); #113775=ORIENTED_EDGE('',*,*,#85340,.F.); #113776=ORIENTED_EDGE('',*,*,#85343,.F.); #113777=ORIENTED_EDGE('',*,*,#85346,.F.); #113778=ORIENTED_EDGE('',*,*,#85349,.F.); #113779=ORIENTED_EDGE('',*,*,#85352,.F.); #113780=ORIENTED_EDGE('',*,*,#85355,.F.); #113781=ORIENTED_EDGE('',*,*,#85358,.F.); #113782=ORIENTED_EDGE('',*,*,#85361,.F.); #113783=ORIENTED_EDGE('',*,*,#85364,.F.); #113784=ORIENTED_EDGE('',*,*,#85367,.F.); #113785=ORIENTED_EDGE('',*,*,#85370,.F.); #113786=ORIENTED_EDGE('',*,*,#85373,.F.); #113787=ORIENTED_EDGE('',*,*,#85376,.F.); #113788=ORIENTED_EDGE('',*,*,#85379,.F.); #113789=ORIENTED_EDGE('',*,*,#85382,.F.); #113790=ORIENTED_EDGE('',*,*,#85385,.F.); #113791=ORIENTED_EDGE('',*,*,#85388,.F.); #113792=ORIENTED_EDGE('',*,*,#85391,.F.); #113793=ORIENTED_EDGE('',*,*,#85394,.F.); #113794=ORIENTED_EDGE('',*,*,#85397,.F.); #113795=ORIENTED_EDGE('',*,*,#85400,.F.); #113796=ORIENTED_EDGE('',*,*,#85403,.F.); #113797=ORIENTED_EDGE('',*,*,#85406,.F.); #113798=ORIENTED_EDGE('',*,*,#85409,.F.); #113799=ORIENTED_EDGE('',*,*,#85412,.F.); #113800=ORIENTED_EDGE('',*,*,#85415,.F.); #113801=ORIENTED_EDGE('',*,*,#85418,.F.); #113802=ORIENTED_EDGE('',*,*,#85421,.F.); #113803=ORIENTED_EDGE('',*,*,#85424,.F.); #113804=ORIENTED_EDGE('',*,*,#85427,.F.); #113805=ORIENTED_EDGE('',*,*,#85430,.F.); #113806=ORIENTED_EDGE('',*,*,#85433,.F.); #113807=ORIENTED_EDGE('',*,*,#85436,.F.); #113808=ORIENTED_EDGE('',*,*,#85439,.F.); #113809=ORIENTED_EDGE('',*,*,#85442,.F.); #113810=ORIENTED_EDGE('',*,*,#85445,.F.); #113811=ORIENTED_EDGE('',*,*,#85448,.F.); #113812=ORIENTED_EDGE('',*,*,#85451,.F.); #113813=ORIENTED_EDGE('',*,*,#85454,.F.); #113814=ORIENTED_EDGE('',*,*,#85457,.F.); #113815=ORIENTED_EDGE('',*,*,#85460,.F.); #113816=ORIENTED_EDGE('',*,*,#85463,.F.); #113817=ORIENTED_EDGE('',*,*,#85466,.F.); #113818=ORIENTED_EDGE('',*,*,#85469,.F.); #113819=ORIENTED_EDGE('',*,*,#85472,.F.); #113820=ORIENTED_EDGE('',*,*,#85475,.F.); #113821=ORIENTED_EDGE('',*,*,#85478,.F.); #113822=ORIENTED_EDGE('',*,*,#85481,.F.); #113823=ORIENTED_EDGE('',*,*,#85484,.F.); #113824=ORIENTED_EDGE('',*,*,#85487,.F.); #113825=ORIENTED_EDGE('',*,*,#85490,.F.); #113826=ORIENTED_EDGE('',*,*,#85493,.F.); #113827=ORIENTED_EDGE('',*,*,#85496,.F.); #113828=ORIENTED_EDGE('',*,*,#85499,.F.); #113829=ORIENTED_EDGE('',*,*,#85502,.F.); #113830=ORIENTED_EDGE('',*,*,#85505,.F.); #113831=ORIENTED_EDGE('',*,*,#85508,.F.); #113832=ORIENTED_EDGE('',*,*,#85511,.F.); #113833=ORIENTED_EDGE('',*,*,#85514,.F.); #113834=ORIENTED_EDGE('',*,*,#85517,.F.); #113835=ORIENTED_EDGE('',*,*,#85520,.F.); #113836=ORIENTED_EDGE('',*,*,#85523,.F.); #113837=ORIENTED_EDGE('',*,*,#85526,.F.); #113838=ORIENTED_EDGE('',*,*,#85529,.F.); #113839=ORIENTED_EDGE('',*,*,#85532,.F.); #113840=ORIENTED_EDGE('',*,*,#85535,.F.); #113841=ORIENTED_EDGE('',*,*,#85538,.F.); #113842=ORIENTED_EDGE('',*,*,#85541,.F.); #113843=ORIENTED_EDGE('',*,*,#85544,.F.); #113844=ORIENTED_EDGE('',*,*,#85547,.F.); #113845=ORIENTED_EDGE('',*,*,#85550,.F.); #113846=ORIENTED_EDGE('',*,*,#85553,.F.); #113847=ORIENTED_EDGE('',*,*,#85556,.F.); #113848=ORIENTED_EDGE('',*,*,#85559,.F.); #113849=ORIENTED_EDGE('',*,*,#85562,.F.); #113850=ORIENTED_EDGE('',*,*,#85565,.F.); #113851=ORIENTED_EDGE('',*,*,#85568,.F.); #113852=ORIENTED_EDGE('',*,*,#85571,.F.); #113853=ORIENTED_EDGE('',*,*,#85574,.F.); #113854=ORIENTED_EDGE('',*,*,#85577,.F.); #113855=ORIENTED_EDGE('',*,*,#85580,.F.); #113856=ORIENTED_EDGE('',*,*,#85583,.F.); #113857=ORIENTED_EDGE('',*,*,#85586,.F.); #113858=ORIENTED_EDGE('',*,*,#85589,.F.); #113859=ORIENTED_EDGE('',*,*,#85592,.F.); #113860=ORIENTED_EDGE('',*,*,#85595,.F.); #113861=ORIENTED_EDGE('',*,*,#85598,.F.); #113862=ORIENTED_EDGE('',*,*,#85601,.F.); #113863=ORIENTED_EDGE('',*,*,#85604,.F.); #113864=ORIENTED_EDGE('',*,*,#85607,.F.); #113865=ORIENTED_EDGE('',*,*,#85610,.F.); #113866=ORIENTED_EDGE('',*,*,#85613,.F.); #113867=ORIENTED_EDGE('',*,*,#85616,.F.); #113868=ORIENTED_EDGE('',*,*,#85619,.F.); #113869=ORIENTED_EDGE('',*,*,#85622,.F.); #113870=ORIENTED_EDGE('',*,*,#85625,.F.); #113871=ORIENTED_EDGE('',*,*,#85628,.F.); #113872=ORIENTED_EDGE('',*,*,#85631,.F.); #113873=ORIENTED_EDGE('',*,*,#85634,.F.); #113874=ORIENTED_EDGE('',*,*,#85637,.F.); #113875=ORIENTED_EDGE('',*,*,#85640,.F.); #113876=ORIENTED_EDGE('',*,*,#85643,.F.); #113877=ORIENTED_EDGE('',*,*,#85646,.F.); #113878=ORIENTED_EDGE('',*,*,#85649,.F.); #113879=ORIENTED_EDGE('',*,*,#85652,.F.); #113880=ORIENTED_EDGE('',*,*,#85655,.F.); #113881=ORIENTED_EDGE('',*,*,#85658,.F.); #113882=ORIENTED_EDGE('',*,*,#85661,.F.); #113883=ORIENTED_EDGE('',*,*,#85664,.F.); #113884=ORIENTED_EDGE('',*,*,#85667,.F.); #113885=ORIENTED_EDGE('',*,*,#85670,.F.); #113886=ORIENTED_EDGE('',*,*,#85673,.F.); #113887=ORIENTED_EDGE('',*,*,#85676,.F.); #113888=ORIENTED_EDGE('',*,*,#85679,.F.); #113889=ORIENTED_EDGE('',*,*,#85682,.F.); #113890=ORIENTED_EDGE('',*,*,#85685,.F.); #113891=ORIENTED_EDGE('',*,*,#85686,.T.); #113892=ORIENTED_EDGE('',*,*,#85687,.T.); #113893=ORIENTED_EDGE('',*,*,#85688,.F.); #113894=ORIENTED_EDGE('',*,*,#85689,.F.); #113895=ORIENTED_EDGE('',*,*,#85690,.T.); #113896=ORIENTED_EDGE('',*,*,#85691,.T.); #113897=ORIENTED_EDGE('',*,*,#85686,.F.); #113898=ORIENTED_EDGE('',*,*,#85692,.F.); #113899=ORIENTED_EDGE('',*,*,#85693,.T.); #113900=ORIENTED_EDGE('',*,*,#85694,.T.); #113901=ORIENTED_EDGE('',*,*,#85690,.F.); #113902=ORIENTED_EDGE('',*,*,#85695,.F.); #113903=ORIENTED_EDGE('',*,*,#85696,.T.); #113904=ORIENTED_EDGE('',*,*,#85697,.T.); #113905=ORIENTED_EDGE('',*,*,#85693,.F.); #113906=ORIENTED_EDGE('',*,*,#85698,.F.); #113907=ORIENTED_EDGE('',*,*,#85699,.T.); #113908=ORIENTED_EDGE('',*,*,#85700,.T.); #113909=ORIENTED_EDGE('',*,*,#85696,.F.); #113910=ORIENTED_EDGE('',*,*,#85701,.F.); #113911=ORIENTED_EDGE('',*,*,#85702,.T.); #113912=ORIENTED_EDGE('',*,*,#85703,.T.); #113913=ORIENTED_EDGE('',*,*,#85699,.F.); #113914=ORIENTED_EDGE('',*,*,#85704,.F.); #113915=ORIENTED_EDGE('',*,*,#85705,.T.); #113916=ORIENTED_EDGE('',*,*,#85706,.T.); #113917=ORIENTED_EDGE('',*,*,#85702,.F.); #113918=ORIENTED_EDGE('',*,*,#85707,.F.); #113919=ORIENTED_EDGE('',*,*,#85708,.T.); #113920=ORIENTED_EDGE('',*,*,#85709,.T.); #113921=ORIENTED_EDGE('',*,*,#85705,.F.); #113922=ORIENTED_EDGE('',*,*,#85710,.F.); #113923=ORIENTED_EDGE('',*,*,#85711,.T.); #113924=ORIENTED_EDGE('',*,*,#85712,.T.); #113925=ORIENTED_EDGE('',*,*,#85708,.F.); #113926=ORIENTED_EDGE('',*,*,#85713,.F.); #113927=ORIENTED_EDGE('',*,*,#85714,.T.); #113928=ORIENTED_EDGE('',*,*,#85715,.T.); #113929=ORIENTED_EDGE('',*,*,#85711,.F.); #113930=ORIENTED_EDGE('',*,*,#85716,.F.); #113931=ORIENTED_EDGE('',*,*,#85717,.T.); #113932=ORIENTED_EDGE('',*,*,#85718,.T.); #113933=ORIENTED_EDGE('',*,*,#85714,.F.); #113934=ORIENTED_EDGE('',*,*,#85719,.F.); #113935=ORIENTED_EDGE('',*,*,#85720,.T.); #113936=ORIENTED_EDGE('',*,*,#85721,.T.); #113937=ORIENTED_EDGE('',*,*,#85717,.F.); #113938=ORIENTED_EDGE('',*,*,#85722,.F.); #113939=ORIENTED_EDGE('',*,*,#85723,.T.); #113940=ORIENTED_EDGE('',*,*,#85724,.T.); #113941=ORIENTED_EDGE('',*,*,#85720,.F.); #113942=ORIENTED_EDGE('',*,*,#85725,.F.); #113943=ORIENTED_EDGE('',*,*,#85726,.T.); #113944=ORIENTED_EDGE('',*,*,#85727,.T.); #113945=ORIENTED_EDGE('',*,*,#85723,.F.); #113946=ORIENTED_EDGE('',*,*,#85728,.F.); #113947=ORIENTED_EDGE('',*,*,#85729,.T.); #113948=ORIENTED_EDGE('',*,*,#85730,.T.); #113949=ORIENTED_EDGE('',*,*,#85726,.F.); #113950=ORIENTED_EDGE('',*,*,#85731,.F.); #113951=ORIENTED_EDGE('',*,*,#85732,.T.); #113952=ORIENTED_EDGE('',*,*,#85733,.T.); #113953=ORIENTED_EDGE('',*,*,#85729,.F.); #113954=ORIENTED_EDGE('',*,*,#85734,.F.); #113955=ORIENTED_EDGE('',*,*,#85735,.T.); #113956=ORIENTED_EDGE('',*,*,#85736,.T.); #113957=ORIENTED_EDGE('',*,*,#85732,.F.); #113958=ORIENTED_EDGE('',*,*,#85737,.F.); #113959=ORIENTED_EDGE('',*,*,#85738,.T.); #113960=ORIENTED_EDGE('',*,*,#85739,.T.); #113961=ORIENTED_EDGE('',*,*,#85735,.F.); #113962=ORIENTED_EDGE('',*,*,#85740,.F.); #113963=ORIENTED_EDGE('',*,*,#85741,.T.); #113964=ORIENTED_EDGE('',*,*,#85742,.T.); #113965=ORIENTED_EDGE('',*,*,#85738,.F.); #113966=ORIENTED_EDGE('',*,*,#85743,.F.); #113967=ORIENTED_EDGE('',*,*,#85744,.T.); #113968=ORIENTED_EDGE('',*,*,#85745,.T.); #113969=ORIENTED_EDGE('',*,*,#85741,.F.); #113970=ORIENTED_EDGE('',*,*,#85746,.F.); #113971=ORIENTED_EDGE('',*,*,#85747,.T.); #113972=ORIENTED_EDGE('',*,*,#85748,.T.); #113973=ORIENTED_EDGE('',*,*,#85744,.F.); #113974=ORIENTED_EDGE('',*,*,#85749,.F.); #113975=ORIENTED_EDGE('',*,*,#85750,.T.); #113976=ORIENTED_EDGE('',*,*,#85751,.T.); #113977=ORIENTED_EDGE('',*,*,#85747,.F.); #113978=ORIENTED_EDGE('',*,*,#85752,.F.); #113979=ORIENTED_EDGE('',*,*,#85753,.T.); #113980=ORIENTED_EDGE('',*,*,#85754,.T.); #113981=ORIENTED_EDGE('',*,*,#85750,.F.); #113982=ORIENTED_EDGE('',*,*,#85755,.F.); #113983=ORIENTED_EDGE('',*,*,#85756,.T.); #113984=ORIENTED_EDGE('',*,*,#85757,.T.); #113985=ORIENTED_EDGE('',*,*,#85753,.F.); #113986=ORIENTED_EDGE('',*,*,#85758,.F.); #113987=ORIENTED_EDGE('',*,*,#85759,.T.); #113988=ORIENTED_EDGE('',*,*,#85760,.T.); #113989=ORIENTED_EDGE('',*,*,#85756,.F.); #113990=ORIENTED_EDGE('',*,*,#85761,.F.); #113991=ORIENTED_EDGE('',*,*,#85762,.T.); #113992=ORIENTED_EDGE('',*,*,#85763,.T.); #113993=ORIENTED_EDGE('',*,*,#85759,.F.); #113994=ORIENTED_EDGE('',*,*,#85764,.F.); #113995=ORIENTED_EDGE('',*,*,#85765,.T.); #113996=ORIENTED_EDGE('',*,*,#85766,.T.); #113997=ORIENTED_EDGE('',*,*,#85762,.F.); #113998=ORIENTED_EDGE('',*,*,#85767,.F.); #113999=ORIENTED_EDGE('',*,*,#85768,.T.); #114000=ORIENTED_EDGE('',*,*,#85769,.T.); #114001=ORIENTED_EDGE('',*,*,#85765,.F.); #114002=ORIENTED_EDGE('',*,*,#85770,.F.); #114003=ORIENTED_EDGE('',*,*,#85771,.T.); #114004=ORIENTED_EDGE('',*,*,#85772,.T.); #114005=ORIENTED_EDGE('',*,*,#85768,.F.); #114006=ORIENTED_EDGE('',*,*,#85773,.F.); #114007=ORIENTED_EDGE('',*,*,#85774,.T.); #114008=ORIENTED_EDGE('',*,*,#85775,.T.); #114009=ORIENTED_EDGE('',*,*,#85771,.F.); #114010=ORIENTED_EDGE('',*,*,#85776,.F.); #114011=ORIENTED_EDGE('',*,*,#85777,.T.); #114012=ORIENTED_EDGE('',*,*,#85778,.T.); #114013=ORIENTED_EDGE('',*,*,#85774,.F.); #114014=ORIENTED_EDGE('',*,*,#85779,.F.); #114015=ORIENTED_EDGE('',*,*,#85780,.T.); #114016=ORIENTED_EDGE('',*,*,#85781,.T.); #114017=ORIENTED_EDGE('',*,*,#85777,.F.); #114018=ORIENTED_EDGE('',*,*,#85782,.F.); #114019=ORIENTED_EDGE('',*,*,#85783,.T.); #114020=ORIENTED_EDGE('',*,*,#85784,.T.); #114021=ORIENTED_EDGE('',*,*,#85780,.F.); #114022=ORIENTED_EDGE('',*,*,#85785,.F.); #114023=ORIENTED_EDGE('',*,*,#85786,.T.); #114024=ORIENTED_EDGE('',*,*,#85787,.T.); #114025=ORIENTED_EDGE('',*,*,#85783,.F.); #114026=ORIENTED_EDGE('',*,*,#85788,.F.); #114027=ORIENTED_EDGE('',*,*,#85789,.T.); #114028=ORIENTED_EDGE('',*,*,#85790,.T.); #114029=ORIENTED_EDGE('',*,*,#85786,.F.); #114030=ORIENTED_EDGE('',*,*,#85791,.F.); #114031=ORIENTED_EDGE('',*,*,#85792,.T.); #114032=ORIENTED_EDGE('',*,*,#85793,.T.); #114033=ORIENTED_EDGE('',*,*,#85789,.F.); #114034=ORIENTED_EDGE('',*,*,#85794,.F.); #114035=ORIENTED_EDGE('',*,*,#85795,.T.); #114036=ORIENTED_EDGE('',*,*,#85796,.T.); #114037=ORIENTED_EDGE('',*,*,#85792,.F.); #114038=ORIENTED_EDGE('',*,*,#85797,.F.); #114039=ORIENTED_EDGE('',*,*,#85688,.T.); #114040=ORIENTED_EDGE('',*,*,#85798,.T.); #114041=ORIENTED_EDGE('',*,*,#85795,.F.); #114042=ORIENTED_EDGE('',*,*,#85798,.F.); #114043=ORIENTED_EDGE('',*,*,#85687,.F.); #114044=ORIENTED_EDGE('',*,*,#85691,.F.); #114045=ORIENTED_EDGE('',*,*,#85694,.F.); #114046=ORIENTED_EDGE('',*,*,#85697,.F.); #114047=ORIENTED_EDGE('',*,*,#85700,.F.); #114048=ORIENTED_EDGE('',*,*,#85703,.F.); #114049=ORIENTED_EDGE('',*,*,#85706,.F.); #114050=ORIENTED_EDGE('',*,*,#85709,.F.); #114051=ORIENTED_EDGE('',*,*,#85712,.F.); #114052=ORIENTED_EDGE('',*,*,#85715,.F.); #114053=ORIENTED_EDGE('',*,*,#85718,.F.); #114054=ORIENTED_EDGE('',*,*,#85721,.F.); #114055=ORIENTED_EDGE('',*,*,#85724,.F.); #114056=ORIENTED_EDGE('',*,*,#85727,.F.); #114057=ORIENTED_EDGE('',*,*,#85730,.F.); #114058=ORIENTED_EDGE('',*,*,#85733,.F.); #114059=ORIENTED_EDGE('',*,*,#85736,.F.); #114060=ORIENTED_EDGE('',*,*,#85739,.F.); #114061=ORIENTED_EDGE('',*,*,#85742,.F.); #114062=ORIENTED_EDGE('',*,*,#85745,.F.); #114063=ORIENTED_EDGE('',*,*,#85748,.F.); #114064=ORIENTED_EDGE('',*,*,#85751,.F.); #114065=ORIENTED_EDGE('',*,*,#85754,.F.); #114066=ORIENTED_EDGE('',*,*,#85757,.F.); #114067=ORIENTED_EDGE('',*,*,#85760,.F.); #114068=ORIENTED_EDGE('',*,*,#85763,.F.); #114069=ORIENTED_EDGE('',*,*,#85766,.F.); #114070=ORIENTED_EDGE('',*,*,#85769,.F.); #114071=ORIENTED_EDGE('',*,*,#85772,.F.); #114072=ORIENTED_EDGE('',*,*,#85775,.F.); #114073=ORIENTED_EDGE('',*,*,#85778,.F.); #114074=ORIENTED_EDGE('',*,*,#85781,.F.); #114075=ORIENTED_EDGE('',*,*,#85784,.F.); #114076=ORIENTED_EDGE('',*,*,#85787,.F.); #114077=ORIENTED_EDGE('',*,*,#85790,.F.); #114078=ORIENTED_EDGE('',*,*,#85793,.F.); #114079=ORIENTED_EDGE('',*,*,#85796,.F.); #114080=ORIENTED_EDGE('',*,*,#85799,.F.); #114081=ORIENTED_EDGE('',*,*,#85800,.T.); #114082=ORIENTED_EDGE('',*,*,#85801,.T.); #114083=ORIENTED_EDGE('',*,*,#85802,.F.); #114084=ORIENTED_EDGE('',*,*,#85803,.F.); #114085=ORIENTED_EDGE('',*,*,#85804,.T.); #114086=ORIENTED_EDGE('',*,*,#85805,.T.); #114087=ORIENTED_EDGE('',*,*,#85800,.F.); #114088=ORIENTED_EDGE('',*,*,#85806,.F.); #114089=ORIENTED_EDGE('',*,*,#85807,.T.); #114090=ORIENTED_EDGE('',*,*,#85808,.T.); #114091=ORIENTED_EDGE('',*,*,#85804,.F.); #114092=ORIENTED_EDGE('',*,*,#85809,.F.); #114093=ORIENTED_EDGE('',*,*,#85810,.T.); #114094=ORIENTED_EDGE('',*,*,#85811,.T.); #114095=ORIENTED_EDGE('',*,*,#85807,.F.); #114096=ORIENTED_EDGE('',*,*,#85812,.F.); #114097=ORIENTED_EDGE('',*,*,#85813,.T.); #114098=ORIENTED_EDGE('',*,*,#85814,.T.); #114099=ORIENTED_EDGE('',*,*,#85810,.F.); #114100=ORIENTED_EDGE('',*,*,#85815,.F.); #114101=ORIENTED_EDGE('',*,*,#85816,.T.); #114102=ORIENTED_EDGE('',*,*,#85817,.T.); #114103=ORIENTED_EDGE('',*,*,#85813,.F.); #114104=ORIENTED_EDGE('',*,*,#85818,.F.); #114105=ORIENTED_EDGE('',*,*,#85819,.T.); #114106=ORIENTED_EDGE('',*,*,#85820,.T.); #114107=ORIENTED_EDGE('',*,*,#85816,.F.); #114108=ORIENTED_EDGE('',*,*,#85821,.F.); #114109=ORIENTED_EDGE('',*,*,#85822,.T.); #114110=ORIENTED_EDGE('',*,*,#85823,.T.); #114111=ORIENTED_EDGE('',*,*,#85819,.F.); #114112=ORIENTED_EDGE('',*,*,#85824,.F.); #114113=ORIENTED_EDGE('',*,*,#85825,.T.); #114114=ORIENTED_EDGE('',*,*,#85826,.T.); #114115=ORIENTED_EDGE('',*,*,#85822,.F.); #114116=ORIENTED_EDGE('',*,*,#85827,.F.); #114117=ORIENTED_EDGE('',*,*,#85828,.T.); #114118=ORIENTED_EDGE('',*,*,#85829,.T.); #114119=ORIENTED_EDGE('',*,*,#85825,.F.); #114120=ORIENTED_EDGE('',*,*,#85830,.F.); #114121=ORIENTED_EDGE('',*,*,#85831,.T.); #114122=ORIENTED_EDGE('',*,*,#85832,.T.); #114123=ORIENTED_EDGE('',*,*,#85828,.F.); #114124=ORIENTED_EDGE('',*,*,#85833,.F.); #114125=ORIENTED_EDGE('',*,*,#85834,.T.); #114126=ORIENTED_EDGE('',*,*,#85835,.T.); #114127=ORIENTED_EDGE('',*,*,#85831,.F.); #114128=ORIENTED_EDGE('',*,*,#85836,.F.); #114129=ORIENTED_EDGE('',*,*,#85837,.T.); #114130=ORIENTED_EDGE('',*,*,#85838,.T.); #114131=ORIENTED_EDGE('',*,*,#85834,.F.); #114132=ORIENTED_EDGE('',*,*,#85839,.F.); #114133=ORIENTED_EDGE('',*,*,#85840,.T.); #114134=ORIENTED_EDGE('',*,*,#85841,.T.); #114135=ORIENTED_EDGE('',*,*,#85837,.F.); #114136=ORIENTED_EDGE('',*,*,#85842,.F.); #114137=ORIENTED_EDGE('',*,*,#85843,.T.); #114138=ORIENTED_EDGE('',*,*,#85844,.T.); #114139=ORIENTED_EDGE('',*,*,#85840,.F.); #114140=ORIENTED_EDGE('',*,*,#85845,.F.); #114141=ORIENTED_EDGE('',*,*,#85846,.T.); #114142=ORIENTED_EDGE('',*,*,#85847,.T.); #114143=ORIENTED_EDGE('',*,*,#85843,.F.); #114144=ORIENTED_EDGE('',*,*,#85848,.F.); #114145=ORIENTED_EDGE('',*,*,#85849,.T.); #114146=ORIENTED_EDGE('',*,*,#85850,.T.); #114147=ORIENTED_EDGE('',*,*,#85846,.F.); #114148=ORIENTED_EDGE('',*,*,#85851,.F.); #114149=ORIENTED_EDGE('',*,*,#85852,.T.); #114150=ORIENTED_EDGE('',*,*,#85853,.T.); #114151=ORIENTED_EDGE('',*,*,#85849,.F.); #114152=ORIENTED_EDGE('',*,*,#85854,.F.); #114153=ORIENTED_EDGE('',*,*,#85855,.T.); #114154=ORIENTED_EDGE('',*,*,#85856,.T.); #114155=ORIENTED_EDGE('',*,*,#85852,.F.); #114156=ORIENTED_EDGE('',*,*,#85857,.F.); #114157=ORIENTED_EDGE('',*,*,#85858,.T.); #114158=ORIENTED_EDGE('',*,*,#85859,.T.); #114159=ORIENTED_EDGE('',*,*,#85855,.F.); #114160=ORIENTED_EDGE('',*,*,#85860,.F.); #114161=ORIENTED_EDGE('',*,*,#85861,.T.); #114162=ORIENTED_EDGE('',*,*,#85862,.T.); #114163=ORIENTED_EDGE('',*,*,#85858,.F.); #114164=ORIENTED_EDGE('',*,*,#85863,.F.); #114165=ORIENTED_EDGE('',*,*,#85864,.T.); #114166=ORIENTED_EDGE('',*,*,#85865,.T.); #114167=ORIENTED_EDGE('',*,*,#85861,.F.); #114168=ORIENTED_EDGE('',*,*,#85866,.F.); #114169=ORIENTED_EDGE('',*,*,#85802,.T.); #114170=ORIENTED_EDGE('',*,*,#85867,.T.); #114171=ORIENTED_EDGE('',*,*,#85864,.F.); #114172=ORIENTED_EDGE('',*,*,#85868,.F.); #114173=ORIENTED_EDGE('',*,*,#85869,.T.); #114174=ORIENTED_EDGE('',*,*,#85870,.T.); #114175=ORIENTED_EDGE('',*,*,#85871,.F.); #114176=ORIENTED_EDGE('',*,*,#85872,.F.); #114177=ORIENTED_EDGE('',*,*,#85873,.T.); #114178=ORIENTED_EDGE('',*,*,#85874,.T.); #114179=ORIENTED_EDGE('',*,*,#85869,.F.); #114180=ORIENTED_EDGE('',*,*,#85875,.F.); #114181=ORIENTED_EDGE('',*,*,#85876,.T.); #114182=ORIENTED_EDGE('',*,*,#85877,.T.); #114183=ORIENTED_EDGE('',*,*,#85873,.F.); #114184=ORIENTED_EDGE('',*,*,#85878,.F.); #114185=ORIENTED_EDGE('',*,*,#85879,.T.); #114186=ORIENTED_EDGE('',*,*,#85880,.T.); #114187=ORIENTED_EDGE('',*,*,#85876,.F.); #114188=ORIENTED_EDGE('',*,*,#85881,.F.); #114189=ORIENTED_EDGE('',*,*,#85882,.T.); #114190=ORIENTED_EDGE('',*,*,#85883,.T.); #114191=ORIENTED_EDGE('',*,*,#85879,.F.); #114192=ORIENTED_EDGE('',*,*,#85884,.F.); #114193=ORIENTED_EDGE('',*,*,#85885,.T.); #114194=ORIENTED_EDGE('',*,*,#85886,.T.); #114195=ORIENTED_EDGE('',*,*,#85882,.F.); #114196=ORIENTED_EDGE('',*,*,#85887,.F.); #114197=ORIENTED_EDGE('',*,*,#85888,.T.); #114198=ORIENTED_EDGE('',*,*,#85889,.T.); #114199=ORIENTED_EDGE('',*,*,#85885,.F.); #114200=ORIENTED_EDGE('',*,*,#85890,.F.); #114201=ORIENTED_EDGE('',*,*,#85891,.T.); #114202=ORIENTED_EDGE('',*,*,#85892,.T.); #114203=ORIENTED_EDGE('',*,*,#85888,.F.); #114204=ORIENTED_EDGE('',*,*,#85893,.F.); #114205=ORIENTED_EDGE('',*,*,#85894,.T.); #114206=ORIENTED_EDGE('',*,*,#85895,.T.); #114207=ORIENTED_EDGE('',*,*,#85891,.F.); #114208=ORIENTED_EDGE('',*,*,#85896,.F.); #114209=ORIENTED_EDGE('',*,*,#85897,.T.); #114210=ORIENTED_EDGE('',*,*,#85898,.T.); #114211=ORIENTED_EDGE('',*,*,#85894,.F.); #114212=ORIENTED_EDGE('',*,*,#85899,.F.); #114213=ORIENTED_EDGE('',*,*,#85900,.T.); #114214=ORIENTED_EDGE('',*,*,#85901,.T.); #114215=ORIENTED_EDGE('',*,*,#85897,.F.); #114216=ORIENTED_EDGE('',*,*,#85902,.F.); #114217=ORIENTED_EDGE('',*,*,#85903,.T.); #114218=ORIENTED_EDGE('',*,*,#85904,.T.); #114219=ORIENTED_EDGE('',*,*,#85900,.F.); #114220=ORIENTED_EDGE('',*,*,#85905,.F.); #114221=ORIENTED_EDGE('',*,*,#85906,.T.); #114222=ORIENTED_EDGE('',*,*,#85907,.T.); #114223=ORIENTED_EDGE('',*,*,#85903,.F.); #114224=ORIENTED_EDGE('',*,*,#85908,.F.); #114225=ORIENTED_EDGE('',*,*,#85909,.T.); #114226=ORIENTED_EDGE('',*,*,#85910,.T.); #114227=ORIENTED_EDGE('',*,*,#85906,.F.); #114228=ORIENTED_EDGE('',*,*,#85911,.F.); #114229=ORIENTED_EDGE('',*,*,#85912,.T.); #114230=ORIENTED_EDGE('',*,*,#85913,.T.); #114231=ORIENTED_EDGE('',*,*,#85909,.F.); #114232=ORIENTED_EDGE('',*,*,#85914,.F.); #114233=ORIENTED_EDGE('',*,*,#85915,.T.); #114234=ORIENTED_EDGE('',*,*,#85916,.T.); #114235=ORIENTED_EDGE('',*,*,#85912,.F.); #114236=ORIENTED_EDGE('',*,*,#85917,.F.); #114237=ORIENTED_EDGE('',*,*,#85918,.T.); #114238=ORIENTED_EDGE('',*,*,#85919,.T.); #114239=ORIENTED_EDGE('',*,*,#85915,.F.); #114240=ORIENTED_EDGE('',*,*,#85920,.F.); #114241=ORIENTED_EDGE('',*,*,#85921,.T.); #114242=ORIENTED_EDGE('',*,*,#85922,.T.); #114243=ORIENTED_EDGE('',*,*,#85918,.F.); #114244=ORIENTED_EDGE('',*,*,#85923,.F.); #114245=ORIENTED_EDGE('',*,*,#85924,.T.); #114246=ORIENTED_EDGE('',*,*,#85925,.T.); #114247=ORIENTED_EDGE('',*,*,#85921,.F.); #114248=ORIENTED_EDGE('',*,*,#85926,.F.); #114249=ORIENTED_EDGE('',*,*,#85927,.T.); #114250=ORIENTED_EDGE('',*,*,#85928,.T.); #114251=ORIENTED_EDGE('',*,*,#85924,.F.); #114252=ORIENTED_EDGE('',*,*,#85929,.F.); #114253=ORIENTED_EDGE('',*,*,#85930,.T.); #114254=ORIENTED_EDGE('',*,*,#85931,.T.); #114255=ORIENTED_EDGE('',*,*,#85927,.F.); #114256=ORIENTED_EDGE('',*,*,#85932,.F.); #114257=ORIENTED_EDGE('',*,*,#85933,.T.); #114258=ORIENTED_EDGE('',*,*,#85934,.T.); #114259=ORIENTED_EDGE('',*,*,#85930,.F.); #114260=ORIENTED_EDGE('',*,*,#85935,.F.); #114261=ORIENTED_EDGE('',*,*,#85936,.T.); #114262=ORIENTED_EDGE('',*,*,#85937,.T.); #114263=ORIENTED_EDGE('',*,*,#85933,.F.); #114264=ORIENTED_EDGE('',*,*,#85938,.F.); #114265=ORIENTED_EDGE('',*,*,#85939,.T.); #114266=ORIENTED_EDGE('',*,*,#85940,.T.); #114267=ORIENTED_EDGE('',*,*,#85936,.F.); #114268=ORIENTED_EDGE('',*,*,#85941,.F.); #114269=ORIENTED_EDGE('',*,*,#85942,.T.); #114270=ORIENTED_EDGE('',*,*,#85943,.T.); #114271=ORIENTED_EDGE('',*,*,#85939,.F.); #114272=ORIENTED_EDGE('',*,*,#85944,.F.); #114273=ORIENTED_EDGE('',*,*,#85945,.T.); #114274=ORIENTED_EDGE('',*,*,#85946,.T.); #114275=ORIENTED_EDGE('',*,*,#85942,.F.); #114276=ORIENTED_EDGE('',*,*,#85947,.F.); #114277=ORIENTED_EDGE('',*,*,#85948,.T.); #114278=ORIENTED_EDGE('',*,*,#85949,.T.); #114279=ORIENTED_EDGE('',*,*,#85945,.F.); #114280=ORIENTED_EDGE('',*,*,#85950,.F.); #114281=ORIENTED_EDGE('',*,*,#85951,.T.); #114282=ORIENTED_EDGE('',*,*,#85952,.T.); #114283=ORIENTED_EDGE('',*,*,#85948,.F.); #114284=ORIENTED_EDGE('',*,*,#85953,.F.); #114285=ORIENTED_EDGE('',*,*,#85954,.T.); #114286=ORIENTED_EDGE('',*,*,#85955,.T.); #114287=ORIENTED_EDGE('',*,*,#85951,.F.); #114288=ORIENTED_EDGE('',*,*,#85956,.F.); #114289=ORIENTED_EDGE('',*,*,#85957,.T.); #114290=ORIENTED_EDGE('',*,*,#85958,.T.); #114291=ORIENTED_EDGE('',*,*,#85954,.F.); #114292=ORIENTED_EDGE('',*,*,#85959,.F.); #114293=ORIENTED_EDGE('',*,*,#85960,.T.); #114294=ORIENTED_EDGE('',*,*,#85961,.T.); #114295=ORIENTED_EDGE('',*,*,#85957,.F.); #114296=ORIENTED_EDGE('',*,*,#85962,.F.); #114297=ORIENTED_EDGE('',*,*,#85963,.T.); #114298=ORIENTED_EDGE('',*,*,#85964,.T.); #114299=ORIENTED_EDGE('',*,*,#85960,.F.); #114300=ORIENTED_EDGE('',*,*,#85965,.F.); #114301=ORIENTED_EDGE('',*,*,#85966,.T.); #114302=ORIENTED_EDGE('',*,*,#85967,.T.); #114303=ORIENTED_EDGE('',*,*,#85963,.F.); #114304=ORIENTED_EDGE('',*,*,#85968,.F.); #114305=ORIENTED_EDGE('',*,*,#85969,.T.); #114306=ORIENTED_EDGE('',*,*,#85970,.T.); #114307=ORIENTED_EDGE('',*,*,#85966,.F.); #114308=ORIENTED_EDGE('',*,*,#85971,.F.); #114309=ORIENTED_EDGE('',*,*,#85972,.T.); #114310=ORIENTED_EDGE('',*,*,#85973,.T.); #114311=ORIENTED_EDGE('',*,*,#85969,.F.); #114312=ORIENTED_EDGE('',*,*,#85974,.F.); #114313=ORIENTED_EDGE('',*,*,#85975,.T.); #114314=ORIENTED_EDGE('',*,*,#85976,.T.); #114315=ORIENTED_EDGE('',*,*,#85972,.F.); #114316=ORIENTED_EDGE('',*,*,#85977,.F.); #114317=ORIENTED_EDGE('',*,*,#85978,.T.); #114318=ORIENTED_EDGE('',*,*,#85979,.T.); #114319=ORIENTED_EDGE('',*,*,#85975,.F.); #114320=ORIENTED_EDGE('',*,*,#85980,.F.); #114321=ORIENTED_EDGE('',*,*,#85981,.T.); #114322=ORIENTED_EDGE('',*,*,#85982,.T.); #114323=ORIENTED_EDGE('',*,*,#85978,.F.); #114324=ORIENTED_EDGE('',*,*,#85983,.F.); #114325=ORIENTED_EDGE('',*,*,#85984,.T.); #114326=ORIENTED_EDGE('',*,*,#85985,.T.); #114327=ORIENTED_EDGE('',*,*,#85981,.F.); #114328=ORIENTED_EDGE('',*,*,#85986,.F.); #114329=ORIENTED_EDGE('',*,*,#85987,.T.); #114330=ORIENTED_EDGE('',*,*,#85988,.T.); #114331=ORIENTED_EDGE('',*,*,#85984,.F.); #114332=ORIENTED_EDGE('',*,*,#85989,.F.); #114333=ORIENTED_EDGE('',*,*,#85990,.T.); #114334=ORIENTED_EDGE('',*,*,#85991,.T.); #114335=ORIENTED_EDGE('',*,*,#85987,.F.); #114336=ORIENTED_EDGE('',*,*,#85992,.F.); #114337=ORIENTED_EDGE('',*,*,#85993,.T.); #114338=ORIENTED_EDGE('',*,*,#85994,.T.); #114339=ORIENTED_EDGE('',*,*,#85990,.F.); #114340=ORIENTED_EDGE('',*,*,#85995,.F.); #114341=ORIENTED_EDGE('',*,*,#85996,.T.); #114342=ORIENTED_EDGE('',*,*,#85997,.T.); #114343=ORIENTED_EDGE('',*,*,#85993,.F.); #114344=ORIENTED_EDGE('',*,*,#85998,.F.); #114345=ORIENTED_EDGE('',*,*,#85999,.T.); #114346=ORIENTED_EDGE('',*,*,#86000,.T.); #114347=ORIENTED_EDGE('',*,*,#85996,.F.); #114348=ORIENTED_EDGE('',*,*,#86001,.F.); #114349=ORIENTED_EDGE('',*,*,#86002,.T.); #114350=ORIENTED_EDGE('',*,*,#86003,.T.); #114351=ORIENTED_EDGE('',*,*,#85999,.F.); #114352=ORIENTED_EDGE('',*,*,#86004,.F.); #114353=ORIENTED_EDGE('',*,*,#86005,.T.); #114354=ORIENTED_EDGE('',*,*,#86006,.T.); #114355=ORIENTED_EDGE('',*,*,#86002,.F.); #114356=ORIENTED_EDGE('',*,*,#86007,.F.); #114357=ORIENTED_EDGE('',*,*,#86008,.T.); #114358=ORIENTED_EDGE('',*,*,#86009,.T.); #114359=ORIENTED_EDGE('',*,*,#86005,.F.); #114360=ORIENTED_EDGE('',*,*,#86010,.F.); #114361=ORIENTED_EDGE('',*,*,#86011,.T.); #114362=ORIENTED_EDGE('',*,*,#86012,.T.); #114363=ORIENTED_EDGE('',*,*,#86008,.F.); #114364=ORIENTED_EDGE('',*,*,#86013,.F.); #114365=ORIENTED_EDGE('',*,*,#86014,.T.); #114366=ORIENTED_EDGE('',*,*,#86015,.T.); #114367=ORIENTED_EDGE('',*,*,#86011,.F.); #114368=ORIENTED_EDGE('',*,*,#86016,.F.); #114369=ORIENTED_EDGE('',*,*,#86017,.T.); #114370=ORIENTED_EDGE('',*,*,#86018,.T.); #114371=ORIENTED_EDGE('',*,*,#86014,.F.); #114372=ORIENTED_EDGE('',*,*,#86019,.F.); #114373=ORIENTED_EDGE('',*,*,#86020,.T.); #114374=ORIENTED_EDGE('',*,*,#86021,.T.); #114375=ORIENTED_EDGE('',*,*,#86017,.F.); #114376=ORIENTED_EDGE('',*,*,#86022,.F.); #114377=ORIENTED_EDGE('',*,*,#86023,.T.); #114378=ORIENTED_EDGE('',*,*,#86024,.T.); #114379=ORIENTED_EDGE('',*,*,#86020,.F.); #114380=ORIENTED_EDGE('',*,*,#86025,.F.); #114381=ORIENTED_EDGE('',*,*,#86026,.T.); #114382=ORIENTED_EDGE('',*,*,#86027,.T.); #114383=ORIENTED_EDGE('',*,*,#86023,.F.); #114384=ORIENTED_EDGE('',*,*,#86028,.F.); #114385=ORIENTED_EDGE('',*,*,#86029,.T.); #114386=ORIENTED_EDGE('',*,*,#86030,.T.); #114387=ORIENTED_EDGE('',*,*,#86026,.F.); #114388=ORIENTED_EDGE('',*,*,#86031,.F.); #114389=ORIENTED_EDGE('',*,*,#86032,.T.); #114390=ORIENTED_EDGE('',*,*,#86033,.T.); #114391=ORIENTED_EDGE('',*,*,#86029,.F.); #114392=ORIENTED_EDGE('',*,*,#86034,.F.); #114393=ORIENTED_EDGE('',*,*,#86035,.T.); #114394=ORIENTED_EDGE('',*,*,#86036,.T.); #114395=ORIENTED_EDGE('',*,*,#86032,.F.); #114396=ORIENTED_EDGE('',*,*,#86037,.F.); #114397=ORIENTED_EDGE('',*,*,#86038,.T.); #114398=ORIENTED_EDGE('',*,*,#86039,.T.); #114399=ORIENTED_EDGE('',*,*,#86035,.F.); #114400=ORIENTED_EDGE('',*,*,#86040,.F.); #114401=ORIENTED_EDGE('',*,*,#86041,.T.); #114402=ORIENTED_EDGE('',*,*,#86042,.T.); #114403=ORIENTED_EDGE('',*,*,#86038,.F.); #114404=ORIENTED_EDGE('',*,*,#86043,.F.); #114405=ORIENTED_EDGE('',*,*,#86044,.T.); #114406=ORIENTED_EDGE('',*,*,#86045,.T.); #114407=ORIENTED_EDGE('',*,*,#86041,.F.); #114408=ORIENTED_EDGE('',*,*,#86046,.F.); #114409=ORIENTED_EDGE('',*,*,#86047,.T.); #114410=ORIENTED_EDGE('',*,*,#86048,.T.); #114411=ORIENTED_EDGE('',*,*,#86044,.F.); #114412=ORIENTED_EDGE('',*,*,#86049,.F.); #114413=ORIENTED_EDGE('',*,*,#86050,.T.); #114414=ORIENTED_EDGE('',*,*,#86051,.T.); #114415=ORIENTED_EDGE('',*,*,#86047,.F.); #114416=ORIENTED_EDGE('',*,*,#86052,.F.); #114417=ORIENTED_EDGE('',*,*,#86053,.T.); #114418=ORIENTED_EDGE('',*,*,#86054,.T.); #114419=ORIENTED_EDGE('',*,*,#86050,.F.); #114420=ORIENTED_EDGE('',*,*,#86055,.F.); #114421=ORIENTED_EDGE('',*,*,#86056,.T.); #114422=ORIENTED_EDGE('',*,*,#86057,.T.); #114423=ORIENTED_EDGE('',*,*,#86053,.F.); #114424=ORIENTED_EDGE('',*,*,#86058,.F.); #114425=ORIENTED_EDGE('',*,*,#86059,.T.); #114426=ORIENTED_EDGE('',*,*,#86060,.T.); #114427=ORIENTED_EDGE('',*,*,#86056,.F.); #114428=ORIENTED_EDGE('',*,*,#86061,.F.); #114429=ORIENTED_EDGE('',*,*,#86062,.T.); #114430=ORIENTED_EDGE('',*,*,#86063,.T.); #114431=ORIENTED_EDGE('',*,*,#86059,.F.); #114432=ORIENTED_EDGE('',*,*,#86064,.F.); #114433=ORIENTED_EDGE('',*,*,#86065,.T.); #114434=ORIENTED_EDGE('',*,*,#86066,.T.); #114435=ORIENTED_EDGE('',*,*,#86062,.F.); #114436=ORIENTED_EDGE('',*,*,#86067,.F.); #114437=ORIENTED_EDGE('',*,*,#86068,.T.); #114438=ORIENTED_EDGE('',*,*,#86069,.T.); #114439=ORIENTED_EDGE('',*,*,#86065,.F.); #114440=ORIENTED_EDGE('',*,*,#86070,.F.); #114441=ORIENTED_EDGE('',*,*,#86071,.T.); #114442=ORIENTED_EDGE('',*,*,#86072,.T.); #114443=ORIENTED_EDGE('',*,*,#86068,.F.); #114444=ORIENTED_EDGE('',*,*,#86073,.F.); #114445=ORIENTED_EDGE('',*,*,#86074,.T.); #114446=ORIENTED_EDGE('',*,*,#86075,.T.); #114447=ORIENTED_EDGE('',*,*,#86071,.F.); #114448=ORIENTED_EDGE('',*,*,#86076,.F.); #114449=ORIENTED_EDGE('',*,*,#86077,.T.); #114450=ORIENTED_EDGE('',*,*,#86078,.T.); #114451=ORIENTED_EDGE('',*,*,#86074,.F.); #114452=ORIENTED_EDGE('',*,*,#86079,.F.); #114453=ORIENTED_EDGE('',*,*,#86080,.T.); #114454=ORIENTED_EDGE('',*,*,#86081,.T.); #114455=ORIENTED_EDGE('',*,*,#86077,.F.); #114456=ORIENTED_EDGE('',*,*,#86082,.F.); #114457=ORIENTED_EDGE('',*,*,#86083,.T.); #114458=ORIENTED_EDGE('',*,*,#86084,.T.); #114459=ORIENTED_EDGE('',*,*,#86080,.F.); #114460=ORIENTED_EDGE('',*,*,#86085,.F.); #114461=ORIENTED_EDGE('',*,*,#86086,.T.); #114462=ORIENTED_EDGE('',*,*,#86087,.T.); #114463=ORIENTED_EDGE('',*,*,#86083,.F.); #114464=ORIENTED_EDGE('',*,*,#86088,.F.); #114465=ORIENTED_EDGE('',*,*,#86089,.T.); #114466=ORIENTED_EDGE('',*,*,#86090,.T.); #114467=ORIENTED_EDGE('',*,*,#86086,.F.); #114468=ORIENTED_EDGE('',*,*,#86091,.F.); #114469=ORIENTED_EDGE('',*,*,#86092,.T.); #114470=ORIENTED_EDGE('',*,*,#86093,.T.); #114471=ORIENTED_EDGE('',*,*,#86089,.F.); #114472=ORIENTED_EDGE('',*,*,#86094,.F.); #114473=ORIENTED_EDGE('',*,*,#86095,.T.); #114474=ORIENTED_EDGE('',*,*,#86096,.T.); #114475=ORIENTED_EDGE('',*,*,#86092,.F.); #114476=ORIENTED_EDGE('',*,*,#86097,.F.); #114477=ORIENTED_EDGE('',*,*,#86098,.T.); #114478=ORIENTED_EDGE('',*,*,#86099,.T.); #114479=ORIENTED_EDGE('',*,*,#86095,.F.); #114480=ORIENTED_EDGE('',*,*,#86100,.F.); #114481=ORIENTED_EDGE('',*,*,#86101,.T.); #114482=ORIENTED_EDGE('',*,*,#86102,.T.); #114483=ORIENTED_EDGE('',*,*,#86098,.F.); #114484=ORIENTED_EDGE('',*,*,#86103,.F.); #114485=ORIENTED_EDGE('',*,*,#86104,.T.); #114486=ORIENTED_EDGE('',*,*,#86105,.T.); #114487=ORIENTED_EDGE('',*,*,#86101,.F.); #114488=ORIENTED_EDGE('',*,*,#86106,.F.); #114489=ORIENTED_EDGE('',*,*,#86107,.T.); #114490=ORIENTED_EDGE('',*,*,#86108,.T.); #114491=ORIENTED_EDGE('',*,*,#86104,.F.); #114492=ORIENTED_EDGE('',*,*,#86109,.F.); #114493=ORIENTED_EDGE('',*,*,#86110,.T.); #114494=ORIENTED_EDGE('',*,*,#86111,.T.); #114495=ORIENTED_EDGE('',*,*,#86107,.F.); #114496=ORIENTED_EDGE('',*,*,#86112,.F.); #114497=ORIENTED_EDGE('',*,*,#86113,.T.); #114498=ORIENTED_EDGE('',*,*,#86114,.T.); #114499=ORIENTED_EDGE('',*,*,#86110,.F.); #114500=ORIENTED_EDGE('',*,*,#86115,.F.); #114501=ORIENTED_EDGE('',*,*,#86116,.T.); #114502=ORIENTED_EDGE('',*,*,#86117,.T.); #114503=ORIENTED_EDGE('',*,*,#86113,.F.); #114504=ORIENTED_EDGE('',*,*,#86118,.F.); #114505=ORIENTED_EDGE('',*,*,#86119,.T.); #114506=ORIENTED_EDGE('',*,*,#86120,.T.); #114507=ORIENTED_EDGE('',*,*,#86116,.F.); #114508=ORIENTED_EDGE('',*,*,#86121,.F.); #114509=ORIENTED_EDGE('',*,*,#86122,.T.); #114510=ORIENTED_EDGE('',*,*,#86123,.T.); #114511=ORIENTED_EDGE('',*,*,#86119,.F.); #114512=ORIENTED_EDGE('',*,*,#86124,.F.); #114513=ORIENTED_EDGE('',*,*,#86125,.T.); #114514=ORIENTED_EDGE('',*,*,#86126,.T.); #114515=ORIENTED_EDGE('',*,*,#86122,.F.); #114516=ORIENTED_EDGE('',*,*,#86127,.F.); #114517=ORIENTED_EDGE('',*,*,#86128,.T.); #114518=ORIENTED_EDGE('',*,*,#86129,.T.); #114519=ORIENTED_EDGE('',*,*,#86125,.F.); #114520=ORIENTED_EDGE('',*,*,#86130,.F.); #114521=ORIENTED_EDGE('',*,*,#86131,.T.); #114522=ORIENTED_EDGE('',*,*,#86132,.T.); #114523=ORIENTED_EDGE('',*,*,#86128,.F.); #114524=ORIENTED_EDGE('',*,*,#86133,.F.); #114525=ORIENTED_EDGE('',*,*,#86134,.T.); #114526=ORIENTED_EDGE('',*,*,#86135,.T.); #114527=ORIENTED_EDGE('',*,*,#86131,.F.); #114528=ORIENTED_EDGE('',*,*,#86136,.F.); #114529=ORIENTED_EDGE('',*,*,#86137,.T.); #114530=ORIENTED_EDGE('',*,*,#86138,.T.); #114531=ORIENTED_EDGE('',*,*,#86134,.F.); #114532=ORIENTED_EDGE('',*,*,#86139,.F.); #114533=ORIENTED_EDGE('',*,*,#86140,.T.); #114534=ORIENTED_EDGE('',*,*,#86141,.T.); #114535=ORIENTED_EDGE('',*,*,#86137,.F.); #114536=ORIENTED_EDGE('',*,*,#86142,.F.); #114537=ORIENTED_EDGE('',*,*,#86143,.T.); #114538=ORIENTED_EDGE('',*,*,#86144,.T.); #114539=ORIENTED_EDGE('',*,*,#86140,.F.); #114540=ORIENTED_EDGE('',*,*,#86145,.F.); #114541=ORIENTED_EDGE('',*,*,#86146,.T.); #114542=ORIENTED_EDGE('',*,*,#86147,.T.); #114543=ORIENTED_EDGE('',*,*,#86143,.F.); #114544=ORIENTED_EDGE('',*,*,#86148,.F.); #114545=ORIENTED_EDGE('',*,*,#86149,.T.); #114546=ORIENTED_EDGE('',*,*,#86150,.T.); #114547=ORIENTED_EDGE('',*,*,#86146,.F.); #114548=ORIENTED_EDGE('',*,*,#86151,.F.); #114549=ORIENTED_EDGE('',*,*,#86152,.T.); #114550=ORIENTED_EDGE('',*,*,#86153,.T.); #114551=ORIENTED_EDGE('',*,*,#86149,.F.); #114552=ORIENTED_EDGE('',*,*,#86154,.F.); #114553=ORIENTED_EDGE('',*,*,#86155,.T.); #114554=ORIENTED_EDGE('',*,*,#86156,.T.); #114555=ORIENTED_EDGE('',*,*,#86152,.F.); #114556=ORIENTED_EDGE('',*,*,#86157,.F.); #114557=ORIENTED_EDGE('',*,*,#86158,.T.); #114558=ORIENTED_EDGE('',*,*,#86159,.T.); #114559=ORIENTED_EDGE('',*,*,#86155,.F.); #114560=ORIENTED_EDGE('',*,*,#86160,.F.); #114561=ORIENTED_EDGE('',*,*,#86161,.T.); #114562=ORIENTED_EDGE('',*,*,#86162,.T.); #114563=ORIENTED_EDGE('',*,*,#86158,.F.); #114564=ORIENTED_EDGE('',*,*,#86163,.F.); #114565=ORIENTED_EDGE('',*,*,#86164,.T.); #114566=ORIENTED_EDGE('',*,*,#86165,.T.); #114567=ORIENTED_EDGE('',*,*,#86161,.F.); #114568=ORIENTED_EDGE('',*,*,#86166,.F.); #114569=ORIENTED_EDGE('',*,*,#86167,.T.); #114570=ORIENTED_EDGE('',*,*,#86168,.T.); #114571=ORIENTED_EDGE('',*,*,#86164,.F.); #114572=ORIENTED_EDGE('',*,*,#86169,.F.); #114573=ORIENTED_EDGE('',*,*,#86170,.T.); #114574=ORIENTED_EDGE('',*,*,#86171,.T.); #114575=ORIENTED_EDGE('',*,*,#86167,.F.); #114576=ORIENTED_EDGE('',*,*,#86172,.F.); #114577=ORIENTED_EDGE('',*,*,#86173,.T.); #114578=ORIENTED_EDGE('',*,*,#86174,.T.); #114579=ORIENTED_EDGE('',*,*,#86170,.F.); #114580=ORIENTED_EDGE('',*,*,#86175,.F.); #114581=ORIENTED_EDGE('',*,*,#86176,.T.); #114582=ORIENTED_EDGE('',*,*,#86177,.T.); #114583=ORIENTED_EDGE('',*,*,#86173,.F.); #114584=ORIENTED_EDGE('',*,*,#86178,.F.); #114585=ORIENTED_EDGE('',*,*,#86179,.T.); #114586=ORIENTED_EDGE('',*,*,#86180,.T.); #114587=ORIENTED_EDGE('',*,*,#86176,.F.); #114588=ORIENTED_EDGE('',*,*,#86181,.F.); #114589=ORIENTED_EDGE('',*,*,#86182,.T.); #114590=ORIENTED_EDGE('',*,*,#86183,.T.); #114591=ORIENTED_EDGE('',*,*,#86179,.F.); #114592=ORIENTED_EDGE('',*,*,#86184,.F.); #114593=ORIENTED_EDGE('',*,*,#86185,.T.); #114594=ORIENTED_EDGE('',*,*,#86186,.T.); #114595=ORIENTED_EDGE('',*,*,#86182,.F.); #114596=ORIENTED_EDGE('',*,*,#86187,.F.); #114597=ORIENTED_EDGE('',*,*,#86188,.T.); #114598=ORIENTED_EDGE('',*,*,#86189,.T.); #114599=ORIENTED_EDGE('',*,*,#86185,.F.); #114600=ORIENTED_EDGE('',*,*,#86190,.F.); #114601=ORIENTED_EDGE('',*,*,#86191,.T.); #114602=ORIENTED_EDGE('',*,*,#86192,.T.); #114603=ORIENTED_EDGE('',*,*,#86188,.F.); #114604=ORIENTED_EDGE('',*,*,#86193,.F.); #114605=ORIENTED_EDGE('',*,*,#86194,.T.); #114606=ORIENTED_EDGE('',*,*,#86195,.T.); #114607=ORIENTED_EDGE('',*,*,#86191,.F.); #114608=ORIENTED_EDGE('',*,*,#86196,.F.); #114609=ORIENTED_EDGE('',*,*,#86197,.T.); #114610=ORIENTED_EDGE('',*,*,#86198,.T.); #114611=ORIENTED_EDGE('',*,*,#86194,.F.); #114612=ORIENTED_EDGE('',*,*,#86199,.F.); #114613=ORIENTED_EDGE('',*,*,#86200,.T.); #114614=ORIENTED_EDGE('',*,*,#86201,.T.); #114615=ORIENTED_EDGE('',*,*,#86197,.F.); #114616=ORIENTED_EDGE('',*,*,#86202,.F.); #114617=ORIENTED_EDGE('',*,*,#86203,.T.); #114618=ORIENTED_EDGE('',*,*,#86204,.T.); #114619=ORIENTED_EDGE('',*,*,#86200,.F.); #114620=ORIENTED_EDGE('',*,*,#86205,.F.); #114621=ORIENTED_EDGE('',*,*,#86206,.T.); #114622=ORIENTED_EDGE('',*,*,#86207,.T.); #114623=ORIENTED_EDGE('',*,*,#86203,.F.); #114624=ORIENTED_EDGE('',*,*,#86208,.F.); #114625=ORIENTED_EDGE('',*,*,#86209,.T.); #114626=ORIENTED_EDGE('',*,*,#86210,.T.); #114627=ORIENTED_EDGE('',*,*,#86206,.F.); #114628=ORIENTED_EDGE('',*,*,#86211,.F.); #114629=ORIENTED_EDGE('',*,*,#86212,.T.); #114630=ORIENTED_EDGE('',*,*,#86213,.T.); #114631=ORIENTED_EDGE('',*,*,#86209,.F.); #114632=ORIENTED_EDGE('',*,*,#86214,.F.); #114633=ORIENTED_EDGE('',*,*,#86215,.T.); #114634=ORIENTED_EDGE('',*,*,#86216,.T.); #114635=ORIENTED_EDGE('',*,*,#86212,.F.); #114636=ORIENTED_EDGE('',*,*,#86217,.F.); #114637=ORIENTED_EDGE('',*,*,#86218,.T.); #114638=ORIENTED_EDGE('',*,*,#86219,.T.); #114639=ORIENTED_EDGE('',*,*,#86215,.F.); #114640=ORIENTED_EDGE('',*,*,#86220,.F.); #114641=ORIENTED_EDGE('',*,*,#86221,.T.); #114642=ORIENTED_EDGE('',*,*,#86222,.T.); #114643=ORIENTED_EDGE('',*,*,#86218,.F.); #114644=ORIENTED_EDGE('',*,*,#86223,.F.); #114645=ORIENTED_EDGE('',*,*,#86224,.T.); #114646=ORIENTED_EDGE('',*,*,#86225,.T.); #114647=ORIENTED_EDGE('',*,*,#86221,.F.); #114648=ORIENTED_EDGE('',*,*,#86226,.F.); #114649=ORIENTED_EDGE('',*,*,#86227,.T.); #114650=ORIENTED_EDGE('',*,*,#86228,.T.); #114651=ORIENTED_EDGE('',*,*,#86224,.F.); #114652=ORIENTED_EDGE('',*,*,#86229,.F.); #114653=ORIENTED_EDGE('',*,*,#86230,.T.); #114654=ORIENTED_EDGE('',*,*,#86231,.T.); #114655=ORIENTED_EDGE('',*,*,#86227,.F.); #114656=ORIENTED_EDGE('',*,*,#86232,.F.); #114657=ORIENTED_EDGE('',*,*,#85871,.T.); #114658=ORIENTED_EDGE('',*,*,#86233,.T.); #114659=ORIENTED_EDGE('',*,*,#86230,.F.); #114660=ORIENTED_EDGE('',*,*,#86233,.F.); #114661=ORIENTED_EDGE('',*,*,#85870,.F.); #114662=ORIENTED_EDGE('',*,*,#85874,.F.); #114663=ORIENTED_EDGE('',*,*,#85877,.F.); #114664=ORIENTED_EDGE('',*,*,#85880,.F.); #114665=ORIENTED_EDGE('',*,*,#85883,.F.); #114666=ORIENTED_EDGE('',*,*,#85886,.F.); #114667=ORIENTED_EDGE('',*,*,#85889,.F.); #114668=ORIENTED_EDGE('',*,*,#85892,.F.); #114669=ORIENTED_EDGE('',*,*,#85895,.F.); #114670=ORIENTED_EDGE('',*,*,#85898,.F.); #114671=ORIENTED_EDGE('',*,*,#85901,.F.); #114672=ORIENTED_EDGE('',*,*,#85904,.F.); #114673=ORIENTED_EDGE('',*,*,#85907,.F.); #114674=ORIENTED_EDGE('',*,*,#85910,.F.); #114675=ORIENTED_EDGE('',*,*,#85913,.F.); #114676=ORIENTED_EDGE('',*,*,#85916,.F.); #114677=ORIENTED_EDGE('',*,*,#85919,.F.); #114678=ORIENTED_EDGE('',*,*,#85922,.F.); #114679=ORIENTED_EDGE('',*,*,#85925,.F.); #114680=ORIENTED_EDGE('',*,*,#85928,.F.); #114681=ORIENTED_EDGE('',*,*,#85931,.F.); #114682=ORIENTED_EDGE('',*,*,#85934,.F.); #114683=ORIENTED_EDGE('',*,*,#85937,.F.); #114684=ORIENTED_EDGE('',*,*,#85940,.F.); #114685=ORIENTED_EDGE('',*,*,#85943,.F.); #114686=ORIENTED_EDGE('',*,*,#85946,.F.); #114687=ORIENTED_EDGE('',*,*,#85949,.F.); #114688=ORIENTED_EDGE('',*,*,#85952,.F.); #114689=ORIENTED_EDGE('',*,*,#85955,.F.); #114690=ORIENTED_EDGE('',*,*,#85958,.F.); #114691=ORIENTED_EDGE('',*,*,#85961,.F.); #114692=ORIENTED_EDGE('',*,*,#85964,.F.); #114693=ORIENTED_EDGE('',*,*,#85967,.F.); #114694=ORIENTED_EDGE('',*,*,#85970,.F.); #114695=ORIENTED_EDGE('',*,*,#85973,.F.); #114696=ORIENTED_EDGE('',*,*,#85976,.F.); #114697=ORIENTED_EDGE('',*,*,#85979,.F.); #114698=ORIENTED_EDGE('',*,*,#85982,.F.); #114699=ORIENTED_EDGE('',*,*,#85985,.F.); #114700=ORIENTED_EDGE('',*,*,#85988,.F.); #114701=ORIENTED_EDGE('',*,*,#85991,.F.); #114702=ORIENTED_EDGE('',*,*,#85994,.F.); #114703=ORIENTED_EDGE('',*,*,#85997,.F.); #114704=ORIENTED_EDGE('',*,*,#86000,.F.); #114705=ORIENTED_EDGE('',*,*,#86003,.F.); #114706=ORIENTED_EDGE('',*,*,#86006,.F.); #114707=ORIENTED_EDGE('',*,*,#86009,.F.); #114708=ORIENTED_EDGE('',*,*,#86012,.F.); #114709=ORIENTED_EDGE('',*,*,#86015,.F.); #114710=ORIENTED_EDGE('',*,*,#86018,.F.); #114711=ORIENTED_EDGE('',*,*,#86021,.F.); #114712=ORIENTED_EDGE('',*,*,#86024,.F.); #114713=ORIENTED_EDGE('',*,*,#86027,.F.); #114714=ORIENTED_EDGE('',*,*,#86030,.F.); #114715=ORIENTED_EDGE('',*,*,#86033,.F.); #114716=ORIENTED_EDGE('',*,*,#86036,.F.); #114717=ORIENTED_EDGE('',*,*,#86039,.F.); #114718=ORIENTED_EDGE('',*,*,#86042,.F.); #114719=ORIENTED_EDGE('',*,*,#86045,.F.); #114720=ORIENTED_EDGE('',*,*,#86048,.F.); #114721=ORIENTED_EDGE('',*,*,#86051,.F.); #114722=ORIENTED_EDGE('',*,*,#86054,.F.); #114723=ORIENTED_EDGE('',*,*,#86057,.F.); #114724=ORIENTED_EDGE('',*,*,#86060,.F.); #114725=ORIENTED_EDGE('',*,*,#86063,.F.); #114726=ORIENTED_EDGE('',*,*,#86066,.F.); #114727=ORIENTED_EDGE('',*,*,#86069,.F.); #114728=ORIENTED_EDGE('',*,*,#86072,.F.); #114729=ORIENTED_EDGE('',*,*,#86075,.F.); #114730=ORIENTED_EDGE('',*,*,#86078,.F.); #114731=ORIENTED_EDGE('',*,*,#86081,.F.); #114732=ORIENTED_EDGE('',*,*,#86084,.F.); #114733=ORIENTED_EDGE('',*,*,#86087,.F.); #114734=ORIENTED_EDGE('',*,*,#86090,.F.); #114735=ORIENTED_EDGE('',*,*,#86093,.F.); #114736=ORIENTED_EDGE('',*,*,#86096,.F.); #114737=ORIENTED_EDGE('',*,*,#86099,.F.); #114738=ORIENTED_EDGE('',*,*,#86102,.F.); #114739=ORIENTED_EDGE('',*,*,#86105,.F.); #114740=ORIENTED_EDGE('',*,*,#86108,.F.); #114741=ORIENTED_EDGE('',*,*,#86111,.F.); #114742=ORIENTED_EDGE('',*,*,#86114,.F.); #114743=ORIENTED_EDGE('',*,*,#86117,.F.); #114744=ORIENTED_EDGE('',*,*,#86120,.F.); #114745=ORIENTED_EDGE('',*,*,#86123,.F.); #114746=ORIENTED_EDGE('',*,*,#86126,.F.); #114747=ORIENTED_EDGE('',*,*,#86129,.F.); #114748=ORIENTED_EDGE('',*,*,#86132,.F.); #114749=ORIENTED_EDGE('',*,*,#86135,.F.); #114750=ORIENTED_EDGE('',*,*,#86138,.F.); #114751=ORIENTED_EDGE('',*,*,#86141,.F.); #114752=ORIENTED_EDGE('',*,*,#86144,.F.); #114753=ORIENTED_EDGE('',*,*,#86147,.F.); #114754=ORIENTED_EDGE('',*,*,#86150,.F.); #114755=ORIENTED_EDGE('',*,*,#86153,.F.); #114756=ORIENTED_EDGE('',*,*,#86156,.F.); #114757=ORIENTED_EDGE('',*,*,#86159,.F.); #114758=ORIENTED_EDGE('',*,*,#86162,.F.); #114759=ORIENTED_EDGE('',*,*,#86165,.F.); #114760=ORIENTED_EDGE('',*,*,#86168,.F.); #114761=ORIENTED_EDGE('',*,*,#86171,.F.); #114762=ORIENTED_EDGE('',*,*,#86174,.F.); #114763=ORIENTED_EDGE('',*,*,#86177,.F.); #114764=ORIENTED_EDGE('',*,*,#86180,.F.); #114765=ORIENTED_EDGE('',*,*,#86183,.F.); #114766=ORIENTED_EDGE('',*,*,#86186,.F.); #114767=ORIENTED_EDGE('',*,*,#86189,.F.); #114768=ORIENTED_EDGE('',*,*,#86192,.F.); #114769=ORIENTED_EDGE('',*,*,#86195,.F.); #114770=ORIENTED_EDGE('',*,*,#86198,.F.); #114771=ORIENTED_EDGE('',*,*,#86201,.F.); #114772=ORIENTED_EDGE('',*,*,#86204,.F.); #114773=ORIENTED_EDGE('',*,*,#86207,.F.); #114774=ORIENTED_EDGE('',*,*,#86210,.F.); #114775=ORIENTED_EDGE('',*,*,#86213,.F.); #114776=ORIENTED_EDGE('',*,*,#86216,.F.); #114777=ORIENTED_EDGE('',*,*,#86219,.F.); #114778=ORIENTED_EDGE('',*,*,#86222,.F.); #114779=ORIENTED_EDGE('',*,*,#86225,.F.); #114780=ORIENTED_EDGE('',*,*,#86228,.F.); #114781=ORIENTED_EDGE('',*,*,#86231,.F.); #114782=ORIENTED_EDGE('',*,*,#85867,.F.); #114783=ORIENTED_EDGE('',*,*,#85801,.F.); #114784=ORIENTED_EDGE('',*,*,#85805,.F.); #114785=ORIENTED_EDGE('',*,*,#85808,.F.); #114786=ORIENTED_EDGE('',*,*,#85811,.F.); #114787=ORIENTED_EDGE('',*,*,#85814,.F.); #114788=ORIENTED_EDGE('',*,*,#85817,.F.); #114789=ORIENTED_EDGE('',*,*,#85820,.F.); #114790=ORIENTED_EDGE('',*,*,#85823,.F.); #114791=ORIENTED_EDGE('',*,*,#85826,.F.); #114792=ORIENTED_EDGE('',*,*,#85829,.F.); #114793=ORIENTED_EDGE('',*,*,#85832,.F.); #114794=ORIENTED_EDGE('',*,*,#85835,.F.); #114795=ORIENTED_EDGE('',*,*,#85838,.F.); #114796=ORIENTED_EDGE('',*,*,#85841,.F.); #114797=ORIENTED_EDGE('',*,*,#85844,.F.); #114798=ORIENTED_EDGE('',*,*,#85847,.F.); #114799=ORIENTED_EDGE('',*,*,#85850,.F.); #114800=ORIENTED_EDGE('',*,*,#85853,.F.); #114801=ORIENTED_EDGE('',*,*,#85856,.F.); #114802=ORIENTED_EDGE('',*,*,#85859,.F.); #114803=ORIENTED_EDGE('',*,*,#85862,.F.); #114804=ORIENTED_EDGE('',*,*,#85865,.F.); #114805=ORIENTED_EDGE('',*,*,#86234,.F.); #114806=ORIENTED_EDGE('',*,*,#86235,.T.); #114807=ORIENTED_EDGE('',*,*,#86236,.T.); #114808=ORIENTED_EDGE('',*,*,#86237,.F.); #114809=ORIENTED_EDGE('',*,*,#86238,.F.); #114810=ORIENTED_EDGE('',*,*,#86239,.T.); #114811=ORIENTED_EDGE('',*,*,#86240,.T.); #114812=ORIENTED_EDGE('',*,*,#86235,.F.); #114813=ORIENTED_EDGE('',*,*,#86241,.F.); #114814=ORIENTED_EDGE('',*,*,#86242,.T.); #114815=ORIENTED_EDGE('',*,*,#86243,.T.); #114816=ORIENTED_EDGE('',*,*,#86239,.F.); #114817=ORIENTED_EDGE('',*,*,#86244,.F.); #114818=ORIENTED_EDGE('',*,*,#86245,.T.); #114819=ORIENTED_EDGE('',*,*,#86246,.T.); #114820=ORIENTED_EDGE('',*,*,#86242,.F.); #114821=ORIENTED_EDGE('',*,*,#86247,.F.); #114822=ORIENTED_EDGE('',*,*,#86237,.T.); #114823=ORIENTED_EDGE('',*,*,#86248,.T.); #114824=ORIENTED_EDGE('',*,*,#86245,.F.); #114825=ORIENTED_EDGE('',*,*,#86249,.F.); #114826=ORIENTED_EDGE('',*,*,#86250,.T.); #114827=ORIENTED_EDGE('',*,*,#86251,.T.); #114828=ORIENTED_EDGE('',*,*,#86252,.F.); #114829=ORIENTED_EDGE('',*,*,#86253,.F.); #114830=ORIENTED_EDGE('',*,*,#86254,.T.); #114831=ORIENTED_EDGE('',*,*,#86255,.T.); #114832=ORIENTED_EDGE('',*,*,#86250,.F.); #114833=ORIENTED_EDGE('',*,*,#86256,.F.); #114834=ORIENTED_EDGE('',*,*,#86257,.T.); #114835=ORIENTED_EDGE('',*,*,#86258,.T.); #114836=ORIENTED_EDGE('',*,*,#86254,.F.); #114837=ORIENTED_EDGE('',*,*,#86259,.F.); #114838=ORIENTED_EDGE('',*,*,#86260,.T.); #114839=ORIENTED_EDGE('',*,*,#86261,.T.); #114840=ORIENTED_EDGE('',*,*,#86257,.F.); #114841=ORIENTED_EDGE('',*,*,#86262,.F.); #114842=ORIENTED_EDGE('',*,*,#86263,.T.); #114843=ORIENTED_EDGE('',*,*,#86264,.T.); #114844=ORIENTED_EDGE('',*,*,#86260,.F.); #114845=ORIENTED_EDGE('',*,*,#86265,.F.); #114846=ORIENTED_EDGE('',*,*,#86266,.T.); #114847=ORIENTED_EDGE('',*,*,#86267,.T.); #114848=ORIENTED_EDGE('',*,*,#86263,.F.); #114849=ORIENTED_EDGE('',*,*,#86268,.F.); #114850=ORIENTED_EDGE('',*,*,#86269,.T.); #114851=ORIENTED_EDGE('',*,*,#86270,.T.); #114852=ORIENTED_EDGE('',*,*,#86266,.F.); #114853=ORIENTED_EDGE('',*,*,#86271,.F.); #114854=ORIENTED_EDGE('',*,*,#86272,.T.); #114855=ORIENTED_EDGE('',*,*,#86273,.T.); #114856=ORIENTED_EDGE('',*,*,#86269,.F.); #114857=ORIENTED_EDGE('',*,*,#86274,.F.); #114858=ORIENTED_EDGE('',*,*,#86275,.T.); #114859=ORIENTED_EDGE('',*,*,#86276,.T.); #114860=ORIENTED_EDGE('',*,*,#86272,.F.); #114861=ORIENTED_EDGE('',*,*,#86277,.F.); #114862=ORIENTED_EDGE('',*,*,#86278,.T.); #114863=ORIENTED_EDGE('',*,*,#86279,.T.); #114864=ORIENTED_EDGE('',*,*,#86275,.F.); #114865=ORIENTED_EDGE('',*,*,#86280,.F.); #114866=ORIENTED_EDGE('',*,*,#86281,.T.); #114867=ORIENTED_EDGE('',*,*,#86282,.T.); #114868=ORIENTED_EDGE('',*,*,#86278,.F.); #114869=ORIENTED_EDGE('',*,*,#86283,.F.); #114870=ORIENTED_EDGE('',*,*,#86284,.T.); #114871=ORIENTED_EDGE('',*,*,#86285,.T.); #114872=ORIENTED_EDGE('',*,*,#86281,.F.); #114873=ORIENTED_EDGE('',*,*,#86286,.F.); #114874=ORIENTED_EDGE('',*,*,#86287,.T.); #114875=ORIENTED_EDGE('',*,*,#86288,.T.); #114876=ORIENTED_EDGE('',*,*,#86284,.F.); #114877=ORIENTED_EDGE('',*,*,#86289,.F.); #114878=ORIENTED_EDGE('',*,*,#86290,.T.); #114879=ORIENTED_EDGE('',*,*,#86291,.T.); #114880=ORIENTED_EDGE('',*,*,#86287,.F.); #114881=ORIENTED_EDGE('',*,*,#86292,.F.); #114882=ORIENTED_EDGE('',*,*,#86293,.T.); #114883=ORIENTED_EDGE('',*,*,#86294,.T.); #114884=ORIENTED_EDGE('',*,*,#86290,.F.); #114885=ORIENTED_EDGE('',*,*,#86295,.F.); #114886=ORIENTED_EDGE('',*,*,#86296,.T.); #114887=ORIENTED_EDGE('',*,*,#86297,.T.); #114888=ORIENTED_EDGE('',*,*,#86293,.F.); #114889=ORIENTED_EDGE('',*,*,#86298,.F.); #114890=ORIENTED_EDGE('',*,*,#86299,.T.); #114891=ORIENTED_EDGE('',*,*,#86300,.T.); #114892=ORIENTED_EDGE('',*,*,#86296,.F.); #114893=ORIENTED_EDGE('',*,*,#86301,.F.); #114894=ORIENTED_EDGE('',*,*,#86302,.T.); #114895=ORIENTED_EDGE('',*,*,#86303,.T.); #114896=ORIENTED_EDGE('',*,*,#86299,.F.); #114897=ORIENTED_EDGE('',*,*,#86304,.F.); #114898=ORIENTED_EDGE('',*,*,#86305,.T.); #114899=ORIENTED_EDGE('',*,*,#86306,.T.); #114900=ORIENTED_EDGE('',*,*,#86302,.F.); #114901=ORIENTED_EDGE('',*,*,#86307,.F.); #114902=ORIENTED_EDGE('',*,*,#86308,.T.); #114903=ORIENTED_EDGE('',*,*,#86309,.T.); #114904=ORIENTED_EDGE('',*,*,#86305,.F.); #114905=ORIENTED_EDGE('',*,*,#86310,.F.); #114906=ORIENTED_EDGE('',*,*,#86311,.T.); #114907=ORIENTED_EDGE('',*,*,#86312,.T.); #114908=ORIENTED_EDGE('',*,*,#86308,.F.); #114909=ORIENTED_EDGE('',*,*,#86313,.F.); #114910=ORIENTED_EDGE('',*,*,#86314,.T.); #114911=ORIENTED_EDGE('',*,*,#86315,.T.); #114912=ORIENTED_EDGE('',*,*,#86311,.F.); #114913=ORIENTED_EDGE('',*,*,#86316,.F.); #114914=ORIENTED_EDGE('',*,*,#86317,.T.); #114915=ORIENTED_EDGE('',*,*,#86318,.T.); #114916=ORIENTED_EDGE('',*,*,#86314,.F.); #114917=ORIENTED_EDGE('',*,*,#86319,.F.); #114918=ORIENTED_EDGE('',*,*,#86320,.T.); #114919=ORIENTED_EDGE('',*,*,#86321,.T.); #114920=ORIENTED_EDGE('',*,*,#86317,.F.); #114921=ORIENTED_EDGE('',*,*,#86322,.F.); #114922=ORIENTED_EDGE('',*,*,#86323,.T.); #114923=ORIENTED_EDGE('',*,*,#86324,.T.); #114924=ORIENTED_EDGE('',*,*,#86320,.F.); #114925=ORIENTED_EDGE('',*,*,#86325,.F.); #114926=ORIENTED_EDGE('',*,*,#86326,.T.); #114927=ORIENTED_EDGE('',*,*,#86327,.T.); #114928=ORIENTED_EDGE('',*,*,#86323,.F.); #114929=ORIENTED_EDGE('',*,*,#86328,.F.); #114930=ORIENTED_EDGE('',*,*,#86329,.T.); #114931=ORIENTED_EDGE('',*,*,#86330,.T.); #114932=ORIENTED_EDGE('',*,*,#86326,.F.); #114933=ORIENTED_EDGE('',*,*,#86331,.F.); #114934=ORIENTED_EDGE('',*,*,#86332,.T.); #114935=ORIENTED_EDGE('',*,*,#86333,.T.); #114936=ORIENTED_EDGE('',*,*,#86329,.F.); #114937=ORIENTED_EDGE('',*,*,#86334,.F.); #114938=ORIENTED_EDGE('',*,*,#86335,.T.); #114939=ORIENTED_EDGE('',*,*,#86336,.T.); #114940=ORIENTED_EDGE('',*,*,#86332,.F.); #114941=ORIENTED_EDGE('',*,*,#86337,.F.); #114942=ORIENTED_EDGE('',*,*,#86338,.T.); #114943=ORIENTED_EDGE('',*,*,#86339,.T.); #114944=ORIENTED_EDGE('',*,*,#86335,.F.); #114945=ORIENTED_EDGE('',*,*,#86340,.F.); #114946=ORIENTED_EDGE('',*,*,#86341,.T.); #114947=ORIENTED_EDGE('',*,*,#86342,.T.); #114948=ORIENTED_EDGE('',*,*,#86338,.F.); #114949=ORIENTED_EDGE('',*,*,#86343,.F.); #114950=ORIENTED_EDGE('',*,*,#86344,.T.); #114951=ORIENTED_EDGE('',*,*,#86345,.T.); #114952=ORIENTED_EDGE('',*,*,#86341,.F.); #114953=ORIENTED_EDGE('',*,*,#86346,.F.); #114954=ORIENTED_EDGE('',*,*,#86347,.T.); #114955=ORIENTED_EDGE('',*,*,#86348,.T.); #114956=ORIENTED_EDGE('',*,*,#86344,.F.); #114957=ORIENTED_EDGE('',*,*,#86349,.F.); #114958=ORIENTED_EDGE('',*,*,#86350,.T.); #114959=ORIENTED_EDGE('',*,*,#86351,.T.); #114960=ORIENTED_EDGE('',*,*,#86347,.F.); #114961=ORIENTED_EDGE('',*,*,#86352,.F.); #114962=ORIENTED_EDGE('',*,*,#86353,.T.); #114963=ORIENTED_EDGE('',*,*,#86354,.T.); #114964=ORIENTED_EDGE('',*,*,#86350,.F.); #114965=ORIENTED_EDGE('',*,*,#86355,.F.); #114966=ORIENTED_EDGE('',*,*,#86356,.T.); #114967=ORIENTED_EDGE('',*,*,#86357,.T.); #114968=ORIENTED_EDGE('',*,*,#86353,.F.); #114969=ORIENTED_EDGE('',*,*,#86358,.F.); #114970=ORIENTED_EDGE('',*,*,#86359,.T.); #114971=ORIENTED_EDGE('',*,*,#86360,.T.); #114972=ORIENTED_EDGE('',*,*,#86356,.F.); #114973=ORIENTED_EDGE('',*,*,#86361,.F.); #114974=ORIENTED_EDGE('',*,*,#86362,.T.); #114975=ORIENTED_EDGE('',*,*,#86363,.T.); #114976=ORIENTED_EDGE('',*,*,#86359,.F.); #114977=ORIENTED_EDGE('',*,*,#86364,.F.); #114978=ORIENTED_EDGE('',*,*,#86365,.T.); #114979=ORIENTED_EDGE('',*,*,#86366,.T.); #114980=ORIENTED_EDGE('',*,*,#86362,.F.); #114981=ORIENTED_EDGE('',*,*,#86367,.F.); #114982=ORIENTED_EDGE('',*,*,#86368,.T.); #114983=ORIENTED_EDGE('',*,*,#86369,.T.); #114984=ORIENTED_EDGE('',*,*,#86365,.F.); #114985=ORIENTED_EDGE('',*,*,#86370,.F.); #114986=ORIENTED_EDGE('',*,*,#86371,.T.); #114987=ORIENTED_EDGE('',*,*,#86372,.T.); #114988=ORIENTED_EDGE('',*,*,#86368,.F.); #114989=ORIENTED_EDGE('',*,*,#86373,.F.); #114990=ORIENTED_EDGE('',*,*,#86374,.T.); #114991=ORIENTED_EDGE('',*,*,#86375,.T.); #114992=ORIENTED_EDGE('',*,*,#86371,.F.); #114993=ORIENTED_EDGE('',*,*,#86376,.F.); #114994=ORIENTED_EDGE('',*,*,#86377,.T.); #114995=ORIENTED_EDGE('',*,*,#86378,.T.); #114996=ORIENTED_EDGE('',*,*,#86374,.F.); #114997=ORIENTED_EDGE('',*,*,#86379,.F.); #114998=ORIENTED_EDGE('',*,*,#86380,.T.); #114999=ORIENTED_EDGE('',*,*,#86381,.T.); #115000=ORIENTED_EDGE('',*,*,#86377,.F.); #115001=ORIENTED_EDGE('',*,*,#86382,.F.); #115002=ORIENTED_EDGE('',*,*,#86383,.T.); #115003=ORIENTED_EDGE('',*,*,#86384,.T.); #115004=ORIENTED_EDGE('',*,*,#86380,.F.); #115005=ORIENTED_EDGE('',*,*,#86385,.F.); #115006=ORIENTED_EDGE('',*,*,#86386,.T.); #115007=ORIENTED_EDGE('',*,*,#86387,.T.); #115008=ORIENTED_EDGE('',*,*,#86383,.F.); #115009=ORIENTED_EDGE('',*,*,#86388,.F.); #115010=ORIENTED_EDGE('',*,*,#86389,.T.); #115011=ORIENTED_EDGE('',*,*,#86390,.T.); #115012=ORIENTED_EDGE('',*,*,#86386,.F.); #115013=ORIENTED_EDGE('',*,*,#86391,.F.); #115014=ORIENTED_EDGE('',*,*,#86392,.T.); #115015=ORIENTED_EDGE('',*,*,#86393,.T.); #115016=ORIENTED_EDGE('',*,*,#86389,.F.); #115017=ORIENTED_EDGE('',*,*,#86394,.F.); #115018=ORIENTED_EDGE('',*,*,#86395,.T.); #115019=ORIENTED_EDGE('',*,*,#86396,.T.); #115020=ORIENTED_EDGE('',*,*,#86392,.F.); #115021=ORIENTED_EDGE('',*,*,#86397,.F.); #115022=ORIENTED_EDGE('',*,*,#86398,.T.); #115023=ORIENTED_EDGE('',*,*,#86399,.T.); #115024=ORIENTED_EDGE('',*,*,#86395,.F.); #115025=ORIENTED_EDGE('',*,*,#86400,.F.); #115026=ORIENTED_EDGE('',*,*,#86401,.T.); #115027=ORIENTED_EDGE('',*,*,#86402,.T.); #115028=ORIENTED_EDGE('',*,*,#86398,.F.); #115029=ORIENTED_EDGE('',*,*,#86403,.F.); #115030=ORIENTED_EDGE('',*,*,#86404,.T.); #115031=ORIENTED_EDGE('',*,*,#86405,.T.); #115032=ORIENTED_EDGE('',*,*,#86401,.F.); #115033=ORIENTED_EDGE('',*,*,#86406,.F.); #115034=ORIENTED_EDGE('',*,*,#86407,.T.); #115035=ORIENTED_EDGE('',*,*,#86408,.T.); #115036=ORIENTED_EDGE('',*,*,#86404,.F.); #115037=ORIENTED_EDGE('',*,*,#86409,.F.); #115038=ORIENTED_EDGE('',*,*,#86410,.T.); #115039=ORIENTED_EDGE('',*,*,#86411,.T.); #115040=ORIENTED_EDGE('',*,*,#86407,.F.); #115041=ORIENTED_EDGE('',*,*,#86412,.F.); #115042=ORIENTED_EDGE('',*,*,#86413,.T.); #115043=ORIENTED_EDGE('',*,*,#86414,.T.); #115044=ORIENTED_EDGE('',*,*,#86410,.F.); #115045=ORIENTED_EDGE('',*,*,#86415,.F.); #115046=ORIENTED_EDGE('',*,*,#86416,.T.); #115047=ORIENTED_EDGE('',*,*,#86417,.T.); #115048=ORIENTED_EDGE('',*,*,#86413,.F.); #115049=ORIENTED_EDGE('',*,*,#86418,.F.); #115050=ORIENTED_EDGE('',*,*,#86252,.T.); #115051=ORIENTED_EDGE('',*,*,#86419,.T.); #115052=ORIENTED_EDGE('',*,*,#86416,.F.); #115053=ORIENTED_EDGE('',*,*,#86419,.F.); #115054=ORIENTED_EDGE('',*,*,#86251,.F.); #115055=ORIENTED_EDGE('',*,*,#86255,.F.); #115056=ORIENTED_EDGE('',*,*,#86258,.F.); #115057=ORIENTED_EDGE('',*,*,#86261,.F.); #115058=ORIENTED_EDGE('',*,*,#86264,.F.); #115059=ORIENTED_EDGE('',*,*,#86267,.F.); #115060=ORIENTED_EDGE('',*,*,#86270,.F.); #115061=ORIENTED_EDGE('',*,*,#86273,.F.); #115062=ORIENTED_EDGE('',*,*,#86276,.F.); #115063=ORIENTED_EDGE('',*,*,#86279,.F.); #115064=ORIENTED_EDGE('',*,*,#86282,.F.); #115065=ORIENTED_EDGE('',*,*,#86285,.F.); #115066=ORIENTED_EDGE('',*,*,#86288,.F.); #115067=ORIENTED_EDGE('',*,*,#86291,.F.); #115068=ORIENTED_EDGE('',*,*,#86294,.F.); #115069=ORIENTED_EDGE('',*,*,#86297,.F.); #115070=ORIENTED_EDGE('',*,*,#86300,.F.); #115071=ORIENTED_EDGE('',*,*,#86303,.F.); #115072=ORIENTED_EDGE('',*,*,#86306,.F.); #115073=ORIENTED_EDGE('',*,*,#86309,.F.); #115074=ORIENTED_EDGE('',*,*,#86312,.F.); #115075=ORIENTED_EDGE('',*,*,#86315,.F.); #115076=ORIENTED_EDGE('',*,*,#86318,.F.); #115077=ORIENTED_EDGE('',*,*,#86321,.F.); #115078=ORIENTED_EDGE('',*,*,#86324,.F.); #115079=ORIENTED_EDGE('',*,*,#86327,.F.); #115080=ORIENTED_EDGE('',*,*,#86330,.F.); #115081=ORIENTED_EDGE('',*,*,#86333,.F.); #115082=ORIENTED_EDGE('',*,*,#86336,.F.); #115083=ORIENTED_EDGE('',*,*,#86339,.F.); #115084=ORIENTED_EDGE('',*,*,#86342,.F.); #115085=ORIENTED_EDGE('',*,*,#86345,.F.); #115086=ORIENTED_EDGE('',*,*,#86348,.F.); #115087=ORIENTED_EDGE('',*,*,#86351,.F.); #115088=ORIENTED_EDGE('',*,*,#86354,.F.); #115089=ORIENTED_EDGE('',*,*,#86357,.F.); #115090=ORIENTED_EDGE('',*,*,#86360,.F.); #115091=ORIENTED_EDGE('',*,*,#86363,.F.); #115092=ORIENTED_EDGE('',*,*,#86366,.F.); #115093=ORIENTED_EDGE('',*,*,#86369,.F.); #115094=ORIENTED_EDGE('',*,*,#86372,.F.); #115095=ORIENTED_EDGE('',*,*,#86375,.F.); #115096=ORIENTED_EDGE('',*,*,#86378,.F.); #115097=ORIENTED_EDGE('',*,*,#86381,.F.); #115098=ORIENTED_EDGE('',*,*,#86384,.F.); #115099=ORIENTED_EDGE('',*,*,#86387,.F.); #115100=ORIENTED_EDGE('',*,*,#86390,.F.); #115101=ORIENTED_EDGE('',*,*,#86393,.F.); #115102=ORIENTED_EDGE('',*,*,#86396,.F.); #115103=ORIENTED_EDGE('',*,*,#86399,.F.); #115104=ORIENTED_EDGE('',*,*,#86402,.F.); #115105=ORIENTED_EDGE('',*,*,#86405,.F.); #115106=ORIENTED_EDGE('',*,*,#86408,.F.); #115107=ORIENTED_EDGE('',*,*,#86411,.F.); #115108=ORIENTED_EDGE('',*,*,#86414,.F.); #115109=ORIENTED_EDGE('',*,*,#86417,.F.); #115110=ORIENTED_EDGE('',*,*,#86248,.F.); #115111=ORIENTED_EDGE('',*,*,#86236,.F.); #115112=ORIENTED_EDGE('',*,*,#86240,.F.); #115113=ORIENTED_EDGE('',*,*,#86243,.F.); #115114=ORIENTED_EDGE('',*,*,#86246,.F.); #115115=ORIENTED_EDGE('',*,*,#86420,.F.); #115116=ORIENTED_EDGE('',*,*,#86421,.T.); #115117=ORIENTED_EDGE('',*,*,#86422,.T.); #115118=ORIENTED_EDGE('',*,*,#86423,.F.); #115119=ORIENTED_EDGE('',*,*,#86424,.F.); #115120=ORIENTED_EDGE('',*,*,#86425,.T.); #115121=ORIENTED_EDGE('',*,*,#86426,.T.); #115122=ORIENTED_EDGE('',*,*,#86421,.F.); #115123=ORIENTED_EDGE('',*,*,#86427,.F.); #115124=ORIENTED_EDGE('',*,*,#86428,.T.); #115125=ORIENTED_EDGE('',*,*,#86429,.T.); #115126=ORIENTED_EDGE('',*,*,#86425,.F.); #115127=ORIENTED_EDGE('',*,*,#86430,.F.); #115128=ORIENTED_EDGE('',*,*,#86431,.T.); #115129=ORIENTED_EDGE('',*,*,#86432,.T.); #115130=ORIENTED_EDGE('',*,*,#86428,.F.); #115131=ORIENTED_EDGE('',*,*,#86433,.F.); #115132=ORIENTED_EDGE('',*,*,#86434,.T.); #115133=ORIENTED_EDGE('',*,*,#86435,.T.); #115134=ORIENTED_EDGE('',*,*,#86431,.F.); #115135=ORIENTED_EDGE('',*,*,#86436,.F.); #115136=ORIENTED_EDGE('',*,*,#86437,.T.); #115137=ORIENTED_EDGE('',*,*,#86438,.T.); #115138=ORIENTED_EDGE('',*,*,#86434,.F.); #115139=ORIENTED_EDGE('',*,*,#86439,.F.); #115140=ORIENTED_EDGE('',*,*,#86423,.T.); #115141=ORIENTED_EDGE('',*,*,#86440,.T.); #115142=ORIENTED_EDGE('',*,*,#86437,.F.); #115143=ORIENTED_EDGE('',*,*,#86440,.F.); #115144=ORIENTED_EDGE('',*,*,#86422,.F.); #115145=ORIENTED_EDGE('',*,*,#86426,.F.); #115146=ORIENTED_EDGE('',*,*,#86429,.F.); #115147=ORIENTED_EDGE('',*,*,#86432,.F.); #115148=ORIENTED_EDGE('',*,*,#86435,.F.); #115149=ORIENTED_EDGE('',*,*,#86438,.F.); #115150=ORIENTED_EDGE('',*,*,#86441,.F.); #115151=ORIENTED_EDGE('',*,*,#86442,.T.); #115152=ORIENTED_EDGE('',*,*,#86443,.T.); #115153=ORIENTED_EDGE('',*,*,#86444,.F.); #115154=ORIENTED_EDGE('',*,*,#86445,.F.); #115155=ORIENTED_EDGE('',*,*,#86446,.T.); #115156=ORIENTED_EDGE('',*,*,#86447,.T.); #115157=ORIENTED_EDGE('',*,*,#86442,.F.); #115158=ORIENTED_EDGE('',*,*,#86448,.F.); #115159=ORIENTED_EDGE('',*,*,#86449,.T.); #115160=ORIENTED_EDGE('',*,*,#86450,.T.); #115161=ORIENTED_EDGE('',*,*,#86446,.F.); #115162=ORIENTED_EDGE('',*,*,#86451,.F.); #115163=ORIENTED_EDGE('',*,*,#86452,.T.); #115164=ORIENTED_EDGE('',*,*,#86453,.T.); #115165=ORIENTED_EDGE('',*,*,#86449,.F.); #115166=ORIENTED_EDGE('',*,*,#86454,.F.); #115167=ORIENTED_EDGE('',*,*,#86455,.T.); #115168=ORIENTED_EDGE('',*,*,#86456,.T.); #115169=ORIENTED_EDGE('',*,*,#86452,.F.); #115170=ORIENTED_EDGE('',*,*,#86457,.F.); #115171=ORIENTED_EDGE('',*,*,#86458,.T.); #115172=ORIENTED_EDGE('',*,*,#86459,.T.); #115173=ORIENTED_EDGE('',*,*,#86455,.F.); #115174=ORIENTED_EDGE('',*,*,#86460,.F.); #115175=ORIENTED_EDGE('',*,*,#86461,.T.); #115176=ORIENTED_EDGE('',*,*,#86462,.T.); #115177=ORIENTED_EDGE('',*,*,#86458,.F.); #115178=ORIENTED_EDGE('',*,*,#86463,.F.); #115179=ORIENTED_EDGE('',*,*,#86464,.T.); #115180=ORIENTED_EDGE('',*,*,#86465,.T.); #115181=ORIENTED_EDGE('',*,*,#86461,.F.); #115182=ORIENTED_EDGE('',*,*,#86466,.F.); #115183=ORIENTED_EDGE('',*,*,#86467,.T.); #115184=ORIENTED_EDGE('',*,*,#86468,.T.); #115185=ORIENTED_EDGE('',*,*,#86464,.F.); #115186=ORIENTED_EDGE('',*,*,#86469,.F.); #115187=ORIENTED_EDGE('',*,*,#86470,.T.); #115188=ORIENTED_EDGE('',*,*,#86471,.T.); #115189=ORIENTED_EDGE('',*,*,#86467,.F.); #115190=ORIENTED_EDGE('',*,*,#86472,.F.); #115191=ORIENTED_EDGE('',*,*,#86473,.T.); #115192=ORIENTED_EDGE('',*,*,#86474,.T.); #115193=ORIENTED_EDGE('',*,*,#86470,.F.); #115194=ORIENTED_EDGE('',*,*,#86475,.F.); #115195=ORIENTED_EDGE('',*,*,#86476,.T.); #115196=ORIENTED_EDGE('',*,*,#86477,.T.); #115197=ORIENTED_EDGE('',*,*,#86473,.F.); #115198=ORIENTED_EDGE('',*,*,#86478,.F.); #115199=ORIENTED_EDGE('',*,*,#86479,.T.); #115200=ORIENTED_EDGE('',*,*,#86480,.T.); #115201=ORIENTED_EDGE('',*,*,#86476,.F.); #115202=ORIENTED_EDGE('',*,*,#86481,.F.); #115203=ORIENTED_EDGE('',*,*,#86482,.T.); #115204=ORIENTED_EDGE('',*,*,#86483,.T.); #115205=ORIENTED_EDGE('',*,*,#86479,.F.); #115206=ORIENTED_EDGE('',*,*,#86484,.F.); #115207=ORIENTED_EDGE('',*,*,#86485,.T.); #115208=ORIENTED_EDGE('',*,*,#86486,.T.); #115209=ORIENTED_EDGE('',*,*,#86482,.F.); #115210=ORIENTED_EDGE('',*,*,#86487,.F.); #115211=ORIENTED_EDGE('',*,*,#86488,.T.); #115212=ORIENTED_EDGE('',*,*,#86489,.T.); #115213=ORIENTED_EDGE('',*,*,#86485,.F.); #115214=ORIENTED_EDGE('',*,*,#86490,.F.); #115215=ORIENTED_EDGE('',*,*,#86491,.T.); #115216=ORIENTED_EDGE('',*,*,#86492,.T.); #115217=ORIENTED_EDGE('',*,*,#86488,.F.); #115218=ORIENTED_EDGE('',*,*,#86493,.F.); #115219=ORIENTED_EDGE('',*,*,#86494,.T.); #115220=ORIENTED_EDGE('',*,*,#86495,.T.); #115221=ORIENTED_EDGE('',*,*,#86491,.F.); #115222=ORIENTED_EDGE('',*,*,#86496,.F.); #115223=ORIENTED_EDGE('',*,*,#86497,.T.); #115224=ORIENTED_EDGE('',*,*,#86498,.T.); #115225=ORIENTED_EDGE('',*,*,#86494,.F.); #115226=ORIENTED_EDGE('',*,*,#86499,.F.); #115227=ORIENTED_EDGE('',*,*,#86500,.T.); #115228=ORIENTED_EDGE('',*,*,#86501,.T.); #115229=ORIENTED_EDGE('',*,*,#86497,.F.); #115230=ORIENTED_EDGE('',*,*,#86502,.F.); #115231=ORIENTED_EDGE('',*,*,#86503,.T.); #115232=ORIENTED_EDGE('',*,*,#86504,.T.); #115233=ORIENTED_EDGE('',*,*,#86500,.F.); #115234=ORIENTED_EDGE('',*,*,#86505,.F.); #115235=ORIENTED_EDGE('',*,*,#86506,.T.); #115236=ORIENTED_EDGE('',*,*,#86507,.T.); #115237=ORIENTED_EDGE('',*,*,#86503,.F.); #115238=ORIENTED_EDGE('',*,*,#86508,.F.); #115239=ORIENTED_EDGE('',*,*,#86509,.T.); #115240=ORIENTED_EDGE('',*,*,#86510,.T.); #115241=ORIENTED_EDGE('',*,*,#86506,.F.); #115242=ORIENTED_EDGE('',*,*,#86511,.F.); #115243=ORIENTED_EDGE('',*,*,#86512,.T.); #115244=ORIENTED_EDGE('',*,*,#86513,.T.); #115245=ORIENTED_EDGE('',*,*,#86509,.F.); #115246=ORIENTED_EDGE('',*,*,#86514,.F.); #115247=ORIENTED_EDGE('',*,*,#86515,.T.); #115248=ORIENTED_EDGE('',*,*,#86516,.T.); #115249=ORIENTED_EDGE('',*,*,#86512,.F.); #115250=ORIENTED_EDGE('',*,*,#86517,.F.); #115251=ORIENTED_EDGE('',*,*,#86518,.T.); #115252=ORIENTED_EDGE('',*,*,#86519,.T.); #115253=ORIENTED_EDGE('',*,*,#86515,.F.); #115254=ORIENTED_EDGE('',*,*,#86520,.F.); #115255=ORIENTED_EDGE('',*,*,#86521,.T.); #115256=ORIENTED_EDGE('',*,*,#86522,.T.); #115257=ORIENTED_EDGE('',*,*,#86518,.F.); #115258=ORIENTED_EDGE('',*,*,#86523,.F.); #115259=ORIENTED_EDGE('',*,*,#86524,.T.); #115260=ORIENTED_EDGE('',*,*,#86525,.T.); #115261=ORIENTED_EDGE('',*,*,#86521,.F.); #115262=ORIENTED_EDGE('',*,*,#86526,.F.); #115263=ORIENTED_EDGE('',*,*,#86527,.T.); #115264=ORIENTED_EDGE('',*,*,#86528,.T.); #115265=ORIENTED_EDGE('',*,*,#86524,.F.); #115266=ORIENTED_EDGE('',*,*,#86529,.F.); #115267=ORIENTED_EDGE('',*,*,#86530,.T.); #115268=ORIENTED_EDGE('',*,*,#86531,.T.); #115269=ORIENTED_EDGE('',*,*,#86527,.F.); #115270=ORIENTED_EDGE('',*,*,#86532,.F.); #115271=ORIENTED_EDGE('',*,*,#86533,.T.); #115272=ORIENTED_EDGE('',*,*,#86534,.T.); #115273=ORIENTED_EDGE('',*,*,#86530,.F.); #115274=ORIENTED_EDGE('',*,*,#86535,.F.); #115275=ORIENTED_EDGE('',*,*,#86536,.T.); #115276=ORIENTED_EDGE('',*,*,#86537,.T.); #115277=ORIENTED_EDGE('',*,*,#86533,.F.); #115278=ORIENTED_EDGE('',*,*,#86538,.F.); #115279=ORIENTED_EDGE('',*,*,#86539,.T.); #115280=ORIENTED_EDGE('',*,*,#86540,.T.); #115281=ORIENTED_EDGE('',*,*,#86536,.F.); #115282=ORIENTED_EDGE('',*,*,#86541,.F.); #115283=ORIENTED_EDGE('',*,*,#86542,.T.); #115284=ORIENTED_EDGE('',*,*,#86543,.T.); #115285=ORIENTED_EDGE('',*,*,#86539,.F.); #115286=ORIENTED_EDGE('',*,*,#86544,.F.); #115287=ORIENTED_EDGE('',*,*,#86545,.T.); #115288=ORIENTED_EDGE('',*,*,#86546,.T.); #115289=ORIENTED_EDGE('',*,*,#86542,.F.); #115290=ORIENTED_EDGE('',*,*,#86547,.F.); #115291=ORIENTED_EDGE('',*,*,#86548,.T.); #115292=ORIENTED_EDGE('',*,*,#86549,.T.); #115293=ORIENTED_EDGE('',*,*,#86545,.F.); #115294=ORIENTED_EDGE('',*,*,#86550,.F.); #115295=ORIENTED_EDGE('',*,*,#86551,.T.); #115296=ORIENTED_EDGE('',*,*,#86552,.T.); #115297=ORIENTED_EDGE('',*,*,#86548,.F.); #115298=ORIENTED_EDGE('',*,*,#86553,.F.); #115299=ORIENTED_EDGE('',*,*,#86554,.T.); #115300=ORIENTED_EDGE('',*,*,#86555,.T.); #115301=ORIENTED_EDGE('',*,*,#86551,.F.); #115302=ORIENTED_EDGE('',*,*,#86556,.F.); #115303=ORIENTED_EDGE('',*,*,#86557,.T.); #115304=ORIENTED_EDGE('',*,*,#86558,.T.); #115305=ORIENTED_EDGE('',*,*,#86554,.F.); #115306=ORIENTED_EDGE('',*,*,#86559,.F.); #115307=ORIENTED_EDGE('',*,*,#86560,.T.); #115308=ORIENTED_EDGE('',*,*,#86561,.T.); #115309=ORIENTED_EDGE('',*,*,#86557,.F.); #115310=ORIENTED_EDGE('',*,*,#86562,.F.); #115311=ORIENTED_EDGE('',*,*,#86563,.T.); #115312=ORIENTED_EDGE('',*,*,#86564,.T.); #115313=ORIENTED_EDGE('',*,*,#86560,.F.); #115314=ORIENTED_EDGE('',*,*,#86565,.F.); #115315=ORIENTED_EDGE('',*,*,#86566,.T.); #115316=ORIENTED_EDGE('',*,*,#86567,.T.); #115317=ORIENTED_EDGE('',*,*,#86563,.F.); #115318=ORIENTED_EDGE('',*,*,#86568,.F.); #115319=ORIENTED_EDGE('',*,*,#86569,.T.); #115320=ORIENTED_EDGE('',*,*,#86570,.T.); #115321=ORIENTED_EDGE('',*,*,#86566,.F.); #115322=ORIENTED_EDGE('',*,*,#86571,.F.); #115323=ORIENTED_EDGE('',*,*,#86572,.T.); #115324=ORIENTED_EDGE('',*,*,#86573,.T.); #115325=ORIENTED_EDGE('',*,*,#86569,.F.); #115326=ORIENTED_EDGE('',*,*,#86574,.F.); #115327=ORIENTED_EDGE('',*,*,#86575,.T.); #115328=ORIENTED_EDGE('',*,*,#86576,.T.); #115329=ORIENTED_EDGE('',*,*,#86572,.F.); #115330=ORIENTED_EDGE('',*,*,#86577,.F.); #115331=ORIENTED_EDGE('',*,*,#86578,.T.); #115332=ORIENTED_EDGE('',*,*,#86579,.T.); #115333=ORIENTED_EDGE('',*,*,#86575,.F.); #115334=ORIENTED_EDGE('',*,*,#86580,.F.); #115335=ORIENTED_EDGE('',*,*,#86581,.T.); #115336=ORIENTED_EDGE('',*,*,#86582,.T.); #115337=ORIENTED_EDGE('',*,*,#86578,.F.); #115338=ORIENTED_EDGE('',*,*,#86583,.F.); #115339=ORIENTED_EDGE('',*,*,#86584,.T.); #115340=ORIENTED_EDGE('',*,*,#86585,.T.); #115341=ORIENTED_EDGE('',*,*,#86581,.F.); #115342=ORIENTED_EDGE('',*,*,#86586,.F.); #115343=ORIENTED_EDGE('',*,*,#86587,.T.); #115344=ORIENTED_EDGE('',*,*,#86588,.T.); #115345=ORIENTED_EDGE('',*,*,#86584,.F.); #115346=ORIENTED_EDGE('',*,*,#86589,.F.); #115347=ORIENTED_EDGE('',*,*,#86590,.T.); #115348=ORIENTED_EDGE('',*,*,#86591,.T.); #115349=ORIENTED_EDGE('',*,*,#86587,.F.); #115350=ORIENTED_EDGE('',*,*,#86592,.F.); #115351=ORIENTED_EDGE('',*,*,#86593,.T.); #115352=ORIENTED_EDGE('',*,*,#86594,.T.); #115353=ORIENTED_EDGE('',*,*,#86590,.F.); #115354=ORIENTED_EDGE('',*,*,#86595,.F.); #115355=ORIENTED_EDGE('',*,*,#86596,.T.); #115356=ORIENTED_EDGE('',*,*,#86597,.T.); #115357=ORIENTED_EDGE('',*,*,#86593,.F.); #115358=ORIENTED_EDGE('',*,*,#86598,.F.); #115359=ORIENTED_EDGE('',*,*,#86599,.T.); #115360=ORIENTED_EDGE('',*,*,#86600,.T.); #115361=ORIENTED_EDGE('',*,*,#86596,.F.); #115362=ORIENTED_EDGE('',*,*,#86601,.F.); #115363=ORIENTED_EDGE('',*,*,#86602,.T.); #115364=ORIENTED_EDGE('',*,*,#86603,.T.); #115365=ORIENTED_EDGE('',*,*,#86599,.F.); #115366=ORIENTED_EDGE('',*,*,#86604,.F.); #115367=ORIENTED_EDGE('',*,*,#86605,.T.); #115368=ORIENTED_EDGE('',*,*,#86606,.T.); #115369=ORIENTED_EDGE('',*,*,#86602,.F.); #115370=ORIENTED_EDGE('',*,*,#86607,.F.); #115371=ORIENTED_EDGE('',*,*,#86608,.T.); #115372=ORIENTED_EDGE('',*,*,#86609,.T.); #115373=ORIENTED_EDGE('',*,*,#86605,.F.); #115374=ORIENTED_EDGE('',*,*,#86610,.F.); #115375=ORIENTED_EDGE('',*,*,#86611,.T.); #115376=ORIENTED_EDGE('',*,*,#86612,.T.); #115377=ORIENTED_EDGE('',*,*,#86608,.F.); #115378=ORIENTED_EDGE('',*,*,#86613,.F.); #115379=ORIENTED_EDGE('',*,*,#86614,.T.); #115380=ORIENTED_EDGE('',*,*,#86615,.T.); #115381=ORIENTED_EDGE('',*,*,#86611,.F.); #115382=ORIENTED_EDGE('',*,*,#86616,.F.); #115383=ORIENTED_EDGE('',*,*,#86617,.T.); #115384=ORIENTED_EDGE('',*,*,#86618,.T.); #115385=ORIENTED_EDGE('',*,*,#86614,.F.); #115386=ORIENTED_EDGE('',*,*,#86619,.F.); #115387=ORIENTED_EDGE('',*,*,#86620,.T.); #115388=ORIENTED_EDGE('',*,*,#86621,.T.); #115389=ORIENTED_EDGE('',*,*,#86617,.F.); #115390=ORIENTED_EDGE('',*,*,#86622,.F.); #115391=ORIENTED_EDGE('',*,*,#86623,.T.); #115392=ORIENTED_EDGE('',*,*,#86624,.T.); #115393=ORIENTED_EDGE('',*,*,#86620,.F.); #115394=ORIENTED_EDGE('',*,*,#86625,.F.); #115395=ORIENTED_EDGE('',*,*,#86626,.T.); #115396=ORIENTED_EDGE('',*,*,#86627,.T.); #115397=ORIENTED_EDGE('',*,*,#86623,.F.); #115398=ORIENTED_EDGE('',*,*,#86628,.F.); #115399=ORIENTED_EDGE('',*,*,#86629,.T.); #115400=ORIENTED_EDGE('',*,*,#86630,.T.); #115401=ORIENTED_EDGE('',*,*,#86626,.F.); #115402=ORIENTED_EDGE('',*,*,#86631,.F.); #115403=ORIENTED_EDGE('',*,*,#86632,.T.); #115404=ORIENTED_EDGE('',*,*,#86633,.T.); #115405=ORIENTED_EDGE('',*,*,#86629,.F.); #115406=ORIENTED_EDGE('',*,*,#86634,.F.); #115407=ORIENTED_EDGE('',*,*,#86635,.T.); #115408=ORIENTED_EDGE('',*,*,#86636,.T.); #115409=ORIENTED_EDGE('',*,*,#86632,.F.); #115410=ORIENTED_EDGE('',*,*,#86637,.F.); #115411=ORIENTED_EDGE('',*,*,#86638,.T.); #115412=ORIENTED_EDGE('',*,*,#86639,.T.); #115413=ORIENTED_EDGE('',*,*,#86635,.F.); #115414=ORIENTED_EDGE('',*,*,#86640,.F.); #115415=ORIENTED_EDGE('',*,*,#86641,.T.); #115416=ORIENTED_EDGE('',*,*,#86642,.T.); #115417=ORIENTED_EDGE('',*,*,#86638,.F.); #115418=ORIENTED_EDGE('',*,*,#86643,.F.); #115419=ORIENTED_EDGE('',*,*,#86644,.T.); #115420=ORIENTED_EDGE('',*,*,#86645,.T.); #115421=ORIENTED_EDGE('',*,*,#86641,.F.); #115422=ORIENTED_EDGE('',*,*,#86646,.F.); #115423=ORIENTED_EDGE('',*,*,#86647,.T.); #115424=ORIENTED_EDGE('',*,*,#86648,.T.); #115425=ORIENTED_EDGE('',*,*,#86644,.F.); #115426=ORIENTED_EDGE('',*,*,#86649,.F.); #115427=ORIENTED_EDGE('',*,*,#86650,.T.); #115428=ORIENTED_EDGE('',*,*,#86651,.T.); #115429=ORIENTED_EDGE('',*,*,#86647,.F.); #115430=ORIENTED_EDGE('',*,*,#86652,.F.); #115431=ORIENTED_EDGE('',*,*,#86653,.T.); #115432=ORIENTED_EDGE('',*,*,#86654,.T.); #115433=ORIENTED_EDGE('',*,*,#86650,.F.); #115434=ORIENTED_EDGE('',*,*,#86655,.F.); #115435=ORIENTED_EDGE('',*,*,#86656,.T.); #115436=ORIENTED_EDGE('',*,*,#86657,.T.); #115437=ORIENTED_EDGE('',*,*,#86653,.F.); #115438=ORIENTED_EDGE('',*,*,#86658,.F.); #115439=ORIENTED_EDGE('',*,*,#86659,.T.); #115440=ORIENTED_EDGE('',*,*,#86660,.T.); #115441=ORIENTED_EDGE('',*,*,#86656,.F.); #115442=ORIENTED_EDGE('',*,*,#86661,.F.); #115443=ORIENTED_EDGE('',*,*,#86662,.T.); #115444=ORIENTED_EDGE('',*,*,#86663,.T.); #115445=ORIENTED_EDGE('',*,*,#86659,.F.); #115446=ORIENTED_EDGE('',*,*,#86664,.F.); #115447=ORIENTED_EDGE('',*,*,#86665,.T.); #115448=ORIENTED_EDGE('',*,*,#86666,.T.); #115449=ORIENTED_EDGE('',*,*,#86662,.F.); #115450=ORIENTED_EDGE('',*,*,#86667,.F.); #115451=ORIENTED_EDGE('',*,*,#86668,.T.); #115452=ORIENTED_EDGE('',*,*,#86669,.T.); #115453=ORIENTED_EDGE('',*,*,#86665,.F.); #115454=ORIENTED_EDGE('',*,*,#86670,.F.); #115455=ORIENTED_EDGE('',*,*,#86671,.T.); #115456=ORIENTED_EDGE('',*,*,#86672,.T.); #115457=ORIENTED_EDGE('',*,*,#86668,.F.); #115458=ORIENTED_EDGE('',*,*,#86673,.F.); #115459=ORIENTED_EDGE('',*,*,#86674,.T.); #115460=ORIENTED_EDGE('',*,*,#86675,.T.); #115461=ORIENTED_EDGE('',*,*,#86671,.F.); #115462=ORIENTED_EDGE('',*,*,#86676,.F.); #115463=ORIENTED_EDGE('',*,*,#86677,.T.); #115464=ORIENTED_EDGE('',*,*,#86678,.T.); #115465=ORIENTED_EDGE('',*,*,#86674,.F.); #115466=ORIENTED_EDGE('',*,*,#86679,.F.); #115467=ORIENTED_EDGE('',*,*,#86680,.T.); #115468=ORIENTED_EDGE('',*,*,#86681,.T.); #115469=ORIENTED_EDGE('',*,*,#86677,.F.); #115470=ORIENTED_EDGE('',*,*,#86682,.F.); #115471=ORIENTED_EDGE('',*,*,#86683,.T.); #115472=ORIENTED_EDGE('',*,*,#86684,.T.); #115473=ORIENTED_EDGE('',*,*,#86680,.F.); #115474=ORIENTED_EDGE('',*,*,#86685,.F.); #115475=ORIENTED_EDGE('',*,*,#86686,.T.); #115476=ORIENTED_EDGE('',*,*,#86687,.T.); #115477=ORIENTED_EDGE('',*,*,#86683,.F.); #115478=ORIENTED_EDGE('',*,*,#86688,.F.); #115479=ORIENTED_EDGE('',*,*,#86689,.T.); #115480=ORIENTED_EDGE('',*,*,#86690,.T.); #115481=ORIENTED_EDGE('',*,*,#86686,.F.); #115482=ORIENTED_EDGE('',*,*,#86691,.F.); #115483=ORIENTED_EDGE('',*,*,#86692,.T.); #115484=ORIENTED_EDGE('',*,*,#86693,.T.); #115485=ORIENTED_EDGE('',*,*,#86689,.F.); #115486=ORIENTED_EDGE('',*,*,#86694,.F.); #115487=ORIENTED_EDGE('',*,*,#86695,.T.); #115488=ORIENTED_EDGE('',*,*,#86696,.T.); #115489=ORIENTED_EDGE('',*,*,#86692,.F.); #115490=ORIENTED_EDGE('',*,*,#86697,.F.); #115491=ORIENTED_EDGE('',*,*,#86698,.T.); #115492=ORIENTED_EDGE('',*,*,#86699,.T.); #115493=ORIENTED_EDGE('',*,*,#86695,.F.); #115494=ORIENTED_EDGE('',*,*,#86700,.F.); #115495=ORIENTED_EDGE('',*,*,#86701,.T.); #115496=ORIENTED_EDGE('',*,*,#86702,.T.); #115497=ORIENTED_EDGE('',*,*,#86698,.F.); #115498=ORIENTED_EDGE('',*,*,#86703,.F.); #115499=ORIENTED_EDGE('',*,*,#86704,.T.); #115500=ORIENTED_EDGE('',*,*,#86705,.T.); #115501=ORIENTED_EDGE('',*,*,#86701,.F.); #115502=ORIENTED_EDGE('',*,*,#86706,.F.); #115503=ORIENTED_EDGE('',*,*,#86707,.T.); #115504=ORIENTED_EDGE('',*,*,#86708,.T.); #115505=ORIENTED_EDGE('',*,*,#86704,.F.); #115506=ORIENTED_EDGE('',*,*,#86709,.F.); #115507=ORIENTED_EDGE('',*,*,#86710,.T.); #115508=ORIENTED_EDGE('',*,*,#86711,.T.); #115509=ORIENTED_EDGE('',*,*,#86707,.F.); #115510=ORIENTED_EDGE('',*,*,#86712,.F.); #115511=ORIENTED_EDGE('',*,*,#86713,.T.); #115512=ORIENTED_EDGE('',*,*,#86714,.T.); #115513=ORIENTED_EDGE('',*,*,#86710,.F.); #115514=ORIENTED_EDGE('',*,*,#86715,.F.); #115515=ORIENTED_EDGE('',*,*,#86716,.T.); #115516=ORIENTED_EDGE('',*,*,#86717,.T.); #115517=ORIENTED_EDGE('',*,*,#86713,.F.); #115518=ORIENTED_EDGE('',*,*,#86718,.F.); #115519=ORIENTED_EDGE('',*,*,#86719,.T.); #115520=ORIENTED_EDGE('',*,*,#86720,.T.); #115521=ORIENTED_EDGE('',*,*,#86716,.F.); #115522=ORIENTED_EDGE('',*,*,#86721,.F.); #115523=ORIENTED_EDGE('',*,*,#86722,.T.); #115524=ORIENTED_EDGE('',*,*,#86723,.T.); #115525=ORIENTED_EDGE('',*,*,#86719,.F.); #115526=ORIENTED_EDGE('',*,*,#86724,.F.); #115527=ORIENTED_EDGE('',*,*,#86725,.T.); #115528=ORIENTED_EDGE('',*,*,#86726,.T.); #115529=ORIENTED_EDGE('',*,*,#86722,.F.); #115530=ORIENTED_EDGE('',*,*,#86727,.F.); #115531=ORIENTED_EDGE('',*,*,#86728,.T.); #115532=ORIENTED_EDGE('',*,*,#86729,.T.); #115533=ORIENTED_EDGE('',*,*,#86725,.F.); #115534=ORIENTED_EDGE('',*,*,#86730,.F.); #115535=ORIENTED_EDGE('',*,*,#86731,.T.); #115536=ORIENTED_EDGE('',*,*,#86732,.T.); #115537=ORIENTED_EDGE('',*,*,#86728,.F.); #115538=ORIENTED_EDGE('',*,*,#86733,.F.); #115539=ORIENTED_EDGE('',*,*,#86734,.T.); #115540=ORIENTED_EDGE('',*,*,#86735,.T.); #115541=ORIENTED_EDGE('',*,*,#86731,.F.); #115542=ORIENTED_EDGE('',*,*,#86736,.F.); #115543=ORIENTED_EDGE('',*,*,#86737,.T.); #115544=ORIENTED_EDGE('',*,*,#86738,.T.); #115545=ORIENTED_EDGE('',*,*,#86734,.F.); #115546=ORIENTED_EDGE('',*,*,#86739,.F.); #115547=ORIENTED_EDGE('',*,*,#86740,.T.); #115548=ORIENTED_EDGE('',*,*,#86741,.T.); #115549=ORIENTED_EDGE('',*,*,#86737,.F.); #115550=ORIENTED_EDGE('',*,*,#86742,.F.); #115551=ORIENTED_EDGE('',*,*,#86743,.T.); #115552=ORIENTED_EDGE('',*,*,#86744,.T.); #115553=ORIENTED_EDGE('',*,*,#86740,.F.); #115554=ORIENTED_EDGE('',*,*,#86745,.F.); #115555=ORIENTED_EDGE('',*,*,#86746,.T.); #115556=ORIENTED_EDGE('',*,*,#86747,.T.); #115557=ORIENTED_EDGE('',*,*,#86743,.F.); #115558=ORIENTED_EDGE('',*,*,#86748,.F.); #115559=ORIENTED_EDGE('',*,*,#86749,.T.); #115560=ORIENTED_EDGE('',*,*,#86750,.T.); #115561=ORIENTED_EDGE('',*,*,#86746,.F.); #115562=ORIENTED_EDGE('',*,*,#86751,.F.); #115563=ORIENTED_EDGE('',*,*,#86752,.T.); #115564=ORIENTED_EDGE('',*,*,#86753,.T.); #115565=ORIENTED_EDGE('',*,*,#86749,.F.); #115566=ORIENTED_EDGE('',*,*,#86754,.F.); #115567=ORIENTED_EDGE('',*,*,#86755,.T.); #115568=ORIENTED_EDGE('',*,*,#86756,.T.); #115569=ORIENTED_EDGE('',*,*,#86752,.F.); #115570=ORIENTED_EDGE('',*,*,#86757,.F.); #115571=ORIENTED_EDGE('',*,*,#86758,.T.); #115572=ORIENTED_EDGE('',*,*,#86759,.T.); #115573=ORIENTED_EDGE('',*,*,#86755,.F.); #115574=ORIENTED_EDGE('',*,*,#86760,.F.); #115575=ORIENTED_EDGE('',*,*,#86761,.T.); #115576=ORIENTED_EDGE('',*,*,#86762,.T.); #115577=ORIENTED_EDGE('',*,*,#86758,.F.); #115578=ORIENTED_EDGE('',*,*,#86763,.F.); #115579=ORIENTED_EDGE('',*,*,#86764,.T.); #115580=ORIENTED_EDGE('',*,*,#86765,.T.); #115581=ORIENTED_EDGE('',*,*,#86761,.F.); #115582=ORIENTED_EDGE('',*,*,#86766,.F.); #115583=ORIENTED_EDGE('',*,*,#86767,.T.); #115584=ORIENTED_EDGE('',*,*,#86768,.T.); #115585=ORIENTED_EDGE('',*,*,#86764,.F.); #115586=ORIENTED_EDGE('',*,*,#86769,.F.); #115587=ORIENTED_EDGE('',*,*,#86770,.T.); #115588=ORIENTED_EDGE('',*,*,#86771,.T.); #115589=ORIENTED_EDGE('',*,*,#86767,.F.); #115590=ORIENTED_EDGE('',*,*,#86772,.F.); #115591=ORIENTED_EDGE('',*,*,#86773,.T.); #115592=ORIENTED_EDGE('',*,*,#86774,.T.); #115593=ORIENTED_EDGE('',*,*,#86770,.F.); #115594=ORIENTED_EDGE('',*,*,#86775,.F.); #115595=ORIENTED_EDGE('',*,*,#86776,.T.); #115596=ORIENTED_EDGE('',*,*,#86777,.T.); #115597=ORIENTED_EDGE('',*,*,#86773,.F.); #115598=ORIENTED_EDGE('',*,*,#86778,.F.); #115599=ORIENTED_EDGE('',*,*,#86779,.T.); #115600=ORIENTED_EDGE('',*,*,#86780,.T.); #115601=ORIENTED_EDGE('',*,*,#86776,.F.); #115602=ORIENTED_EDGE('',*,*,#86781,.F.); #115603=ORIENTED_EDGE('',*,*,#86782,.T.); #115604=ORIENTED_EDGE('',*,*,#86783,.T.); #115605=ORIENTED_EDGE('',*,*,#86779,.F.); #115606=ORIENTED_EDGE('',*,*,#86784,.F.); #115607=ORIENTED_EDGE('',*,*,#86785,.T.); #115608=ORIENTED_EDGE('',*,*,#86786,.T.); #115609=ORIENTED_EDGE('',*,*,#86782,.F.); #115610=ORIENTED_EDGE('',*,*,#86787,.F.); #115611=ORIENTED_EDGE('',*,*,#86788,.T.); #115612=ORIENTED_EDGE('',*,*,#86789,.T.); #115613=ORIENTED_EDGE('',*,*,#86785,.F.); #115614=ORIENTED_EDGE('',*,*,#86790,.F.); #115615=ORIENTED_EDGE('',*,*,#86791,.T.); #115616=ORIENTED_EDGE('',*,*,#86792,.T.); #115617=ORIENTED_EDGE('',*,*,#86788,.F.); #115618=ORIENTED_EDGE('',*,*,#86793,.F.); #115619=ORIENTED_EDGE('',*,*,#86794,.T.); #115620=ORIENTED_EDGE('',*,*,#86795,.T.); #115621=ORIENTED_EDGE('',*,*,#86791,.F.); #115622=ORIENTED_EDGE('',*,*,#86796,.F.); #115623=ORIENTED_EDGE('',*,*,#86444,.T.); #115624=ORIENTED_EDGE('',*,*,#86797,.T.); #115625=ORIENTED_EDGE('',*,*,#86794,.F.); #115626=ORIENTED_EDGE('',*,*,#86797,.F.); #115627=ORIENTED_EDGE('',*,*,#86443,.F.); #115628=ORIENTED_EDGE('',*,*,#86447,.F.); #115629=ORIENTED_EDGE('',*,*,#86450,.F.); #115630=ORIENTED_EDGE('',*,*,#86453,.F.); #115631=ORIENTED_EDGE('',*,*,#86456,.F.); #115632=ORIENTED_EDGE('',*,*,#86459,.F.); #115633=ORIENTED_EDGE('',*,*,#86462,.F.); #115634=ORIENTED_EDGE('',*,*,#86465,.F.); #115635=ORIENTED_EDGE('',*,*,#86468,.F.); #115636=ORIENTED_EDGE('',*,*,#86471,.F.); #115637=ORIENTED_EDGE('',*,*,#86474,.F.); #115638=ORIENTED_EDGE('',*,*,#86477,.F.); #115639=ORIENTED_EDGE('',*,*,#86480,.F.); #115640=ORIENTED_EDGE('',*,*,#86483,.F.); #115641=ORIENTED_EDGE('',*,*,#86486,.F.); #115642=ORIENTED_EDGE('',*,*,#86489,.F.); #115643=ORIENTED_EDGE('',*,*,#86492,.F.); #115644=ORIENTED_EDGE('',*,*,#86495,.F.); #115645=ORIENTED_EDGE('',*,*,#86498,.F.); #115646=ORIENTED_EDGE('',*,*,#86501,.F.); #115647=ORIENTED_EDGE('',*,*,#86504,.F.); #115648=ORIENTED_EDGE('',*,*,#86507,.F.); #115649=ORIENTED_EDGE('',*,*,#86510,.F.); #115650=ORIENTED_EDGE('',*,*,#86513,.F.); #115651=ORIENTED_EDGE('',*,*,#86516,.F.); #115652=ORIENTED_EDGE('',*,*,#86519,.F.); #115653=ORIENTED_EDGE('',*,*,#86522,.F.); #115654=ORIENTED_EDGE('',*,*,#86525,.F.); #115655=ORIENTED_EDGE('',*,*,#86528,.F.); #115656=ORIENTED_EDGE('',*,*,#86531,.F.); #115657=ORIENTED_EDGE('',*,*,#86534,.F.); #115658=ORIENTED_EDGE('',*,*,#86537,.F.); #115659=ORIENTED_EDGE('',*,*,#86540,.F.); #115660=ORIENTED_EDGE('',*,*,#86543,.F.); #115661=ORIENTED_EDGE('',*,*,#86546,.F.); #115662=ORIENTED_EDGE('',*,*,#86549,.F.); #115663=ORIENTED_EDGE('',*,*,#86552,.F.); #115664=ORIENTED_EDGE('',*,*,#86555,.F.); #115665=ORIENTED_EDGE('',*,*,#86558,.F.); #115666=ORIENTED_EDGE('',*,*,#86561,.F.); #115667=ORIENTED_EDGE('',*,*,#86564,.F.); #115668=ORIENTED_EDGE('',*,*,#86567,.F.); #115669=ORIENTED_EDGE('',*,*,#86570,.F.); #115670=ORIENTED_EDGE('',*,*,#86573,.F.); #115671=ORIENTED_EDGE('',*,*,#86576,.F.); #115672=ORIENTED_EDGE('',*,*,#86579,.F.); #115673=ORIENTED_EDGE('',*,*,#86582,.F.); #115674=ORIENTED_EDGE('',*,*,#86585,.F.); #115675=ORIENTED_EDGE('',*,*,#86588,.F.); #115676=ORIENTED_EDGE('',*,*,#86591,.F.); #115677=ORIENTED_EDGE('',*,*,#86594,.F.); #115678=ORIENTED_EDGE('',*,*,#86597,.F.); #115679=ORIENTED_EDGE('',*,*,#86600,.F.); #115680=ORIENTED_EDGE('',*,*,#86603,.F.); #115681=ORIENTED_EDGE('',*,*,#86606,.F.); #115682=ORIENTED_EDGE('',*,*,#86609,.F.); #115683=ORIENTED_EDGE('',*,*,#86612,.F.); #115684=ORIENTED_EDGE('',*,*,#86615,.F.); #115685=ORIENTED_EDGE('',*,*,#86618,.F.); #115686=ORIENTED_EDGE('',*,*,#86621,.F.); #115687=ORIENTED_EDGE('',*,*,#86624,.F.); #115688=ORIENTED_EDGE('',*,*,#86627,.F.); #115689=ORIENTED_EDGE('',*,*,#86630,.F.); #115690=ORIENTED_EDGE('',*,*,#86633,.F.); #115691=ORIENTED_EDGE('',*,*,#86636,.F.); #115692=ORIENTED_EDGE('',*,*,#86639,.F.); #115693=ORIENTED_EDGE('',*,*,#86642,.F.); #115694=ORIENTED_EDGE('',*,*,#86645,.F.); #115695=ORIENTED_EDGE('',*,*,#86648,.F.); #115696=ORIENTED_EDGE('',*,*,#86651,.F.); #115697=ORIENTED_EDGE('',*,*,#86654,.F.); #115698=ORIENTED_EDGE('',*,*,#86657,.F.); #115699=ORIENTED_EDGE('',*,*,#86660,.F.); #115700=ORIENTED_EDGE('',*,*,#86663,.F.); #115701=ORIENTED_EDGE('',*,*,#86666,.F.); #115702=ORIENTED_EDGE('',*,*,#86669,.F.); #115703=ORIENTED_EDGE('',*,*,#86672,.F.); #115704=ORIENTED_EDGE('',*,*,#86675,.F.); #115705=ORIENTED_EDGE('',*,*,#86678,.F.); #115706=ORIENTED_EDGE('',*,*,#86681,.F.); #115707=ORIENTED_EDGE('',*,*,#86684,.F.); #115708=ORIENTED_EDGE('',*,*,#86687,.F.); #115709=ORIENTED_EDGE('',*,*,#86690,.F.); #115710=ORIENTED_EDGE('',*,*,#86693,.F.); #115711=ORIENTED_EDGE('',*,*,#86696,.F.); #115712=ORIENTED_EDGE('',*,*,#86699,.F.); #115713=ORIENTED_EDGE('',*,*,#86702,.F.); #115714=ORIENTED_EDGE('',*,*,#86705,.F.); #115715=ORIENTED_EDGE('',*,*,#86708,.F.); #115716=ORIENTED_EDGE('',*,*,#86711,.F.); #115717=ORIENTED_EDGE('',*,*,#86714,.F.); #115718=ORIENTED_EDGE('',*,*,#86717,.F.); #115719=ORIENTED_EDGE('',*,*,#86720,.F.); #115720=ORIENTED_EDGE('',*,*,#86723,.F.); #115721=ORIENTED_EDGE('',*,*,#86726,.F.); #115722=ORIENTED_EDGE('',*,*,#86729,.F.); #115723=ORIENTED_EDGE('',*,*,#86732,.F.); #115724=ORIENTED_EDGE('',*,*,#86735,.F.); #115725=ORIENTED_EDGE('',*,*,#86738,.F.); #115726=ORIENTED_EDGE('',*,*,#86741,.F.); #115727=ORIENTED_EDGE('',*,*,#86744,.F.); #115728=ORIENTED_EDGE('',*,*,#86747,.F.); #115729=ORIENTED_EDGE('',*,*,#86750,.F.); #115730=ORIENTED_EDGE('',*,*,#86753,.F.); #115731=ORIENTED_EDGE('',*,*,#86756,.F.); #115732=ORIENTED_EDGE('',*,*,#86759,.F.); #115733=ORIENTED_EDGE('',*,*,#86762,.F.); #115734=ORIENTED_EDGE('',*,*,#86765,.F.); #115735=ORIENTED_EDGE('',*,*,#86768,.F.); #115736=ORIENTED_EDGE('',*,*,#86771,.F.); #115737=ORIENTED_EDGE('',*,*,#86774,.F.); #115738=ORIENTED_EDGE('',*,*,#86777,.F.); #115739=ORIENTED_EDGE('',*,*,#86780,.F.); #115740=ORIENTED_EDGE('',*,*,#86783,.F.); #115741=ORIENTED_EDGE('',*,*,#86786,.F.); #115742=ORIENTED_EDGE('',*,*,#86789,.F.); #115743=ORIENTED_EDGE('',*,*,#86792,.F.); #115744=ORIENTED_EDGE('',*,*,#86795,.F.); #115745=ORIENTED_EDGE('',*,*,#86798,.F.); #115746=ORIENTED_EDGE('',*,*,#86799,.T.); #115747=ORIENTED_EDGE('',*,*,#86800,.T.); #115748=ORIENTED_EDGE('',*,*,#86801,.F.); #115749=ORIENTED_EDGE('',*,*,#86802,.F.); #115750=ORIENTED_EDGE('',*,*,#86803,.T.); #115751=ORIENTED_EDGE('',*,*,#86804,.T.); #115752=ORIENTED_EDGE('',*,*,#86799,.F.); #115753=ORIENTED_EDGE('',*,*,#86805,.F.); #115754=ORIENTED_EDGE('',*,*,#86806,.T.); #115755=ORIENTED_EDGE('',*,*,#86807,.T.); #115756=ORIENTED_EDGE('',*,*,#86803,.F.); #115757=ORIENTED_EDGE('',*,*,#86808,.F.); #115758=ORIENTED_EDGE('',*,*,#86809,.T.); #115759=ORIENTED_EDGE('',*,*,#86810,.T.); #115760=ORIENTED_EDGE('',*,*,#86806,.F.); #115761=ORIENTED_EDGE('',*,*,#86811,.F.); #115762=ORIENTED_EDGE('',*,*,#86812,.T.); #115763=ORIENTED_EDGE('',*,*,#86813,.T.); #115764=ORIENTED_EDGE('',*,*,#86809,.F.); #115765=ORIENTED_EDGE('',*,*,#86814,.F.); #115766=ORIENTED_EDGE('',*,*,#86815,.T.); #115767=ORIENTED_EDGE('',*,*,#86816,.T.); #115768=ORIENTED_EDGE('',*,*,#86812,.F.); #115769=ORIENTED_EDGE('',*,*,#86817,.F.); #115770=ORIENTED_EDGE('',*,*,#86818,.T.); #115771=ORIENTED_EDGE('',*,*,#86819,.T.); #115772=ORIENTED_EDGE('',*,*,#86815,.F.); #115773=ORIENTED_EDGE('',*,*,#86820,.F.); #115774=ORIENTED_EDGE('',*,*,#86821,.T.); #115775=ORIENTED_EDGE('',*,*,#86822,.T.); #115776=ORIENTED_EDGE('',*,*,#86818,.F.); #115777=ORIENTED_EDGE('',*,*,#86823,.F.); #115778=ORIENTED_EDGE('',*,*,#86824,.T.); #115779=ORIENTED_EDGE('',*,*,#86825,.T.); #115780=ORIENTED_EDGE('',*,*,#86821,.F.); #115781=ORIENTED_EDGE('',*,*,#86826,.F.); #115782=ORIENTED_EDGE('',*,*,#86827,.T.); #115783=ORIENTED_EDGE('',*,*,#86828,.T.); #115784=ORIENTED_EDGE('',*,*,#86824,.F.); #115785=ORIENTED_EDGE('',*,*,#86829,.F.); #115786=ORIENTED_EDGE('',*,*,#86830,.T.); #115787=ORIENTED_EDGE('',*,*,#86831,.T.); #115788=ORIENTED_EDGE('',*,*,#86827,.F.); #115789=ORIENTED_EDGE('',*,*,#86832,.F.); #115790=ORIENTED_EDGE('',*,*,#86801,.T.); #115791=ORIENTED_EDGE('',*,*,#86833,.T.); #115792=ORIENTED_EDGE('',*,*,#86830,.F.); #115793=ORIENTED_EDGE('',*,*,#86834,.F.); #115794=ORIENTED_EDGE('',*,*,#86835,.T.); #115795=ORIENTED_EDGE('',*,*,#86836,.T.); #115796=ORIENTED_EDGE('',*,*,#86837,.F.); #115797=ORIENTED_EDGE('',*,*,#86838,.F.); #115798=ORIENTED_EDGE('',*,*,#86839,.T.); #115799=ORIENTED_EDGE('',*,*,#86840,.T.); #115800=ORIENTED_EDGE('',*,*,#86835,.F.); #115801=ORIENTED_EDGE('',*,*,#86841,.F.); #115802=ORIENTED_EDGE('',*,*,#86842,.T.); #115803=ORIENTED_EDGE('',*,*,#86843,.T.); #115804=ORIENTED_EDGE('',*,*,#86839,.F.); #115805=ORIENTED_EDGE('',*,*,#86844,.F.); #115806=ORIENTED_EDGE('',*,*,#86845,.T.); #115807=ORIENTED_EDGE('',*,*,#86846,.T.); #115808=ORIENTED_EDGE('',*,*,#86842,.F.); #115809=ORIENTED_EDGE('',*,*,#86847,.F.); #115810=ORIENTED_EDGE('',*,*,#86848,.T.); #115811=ORIENTED_EDGE('',*,*,#86849,.T.); #115812=ORIENTED_EDGE('',*,*,#86845,.F.); #115813=ORIENTED_EDGE('',*,*,#86850,.F.); #115814=ORIENTED_EDGE('',*,*,#86851,.T.); #115815=ORIENTED_EDGE('',*,*,#86852,.T.); #115816=ORIENTED_EDGE('',*,*,#86848,.F.); #115817=ORIENTED_EDGE('',*,*,#86853,.F.); #115818=ORIENTED_EDGE('',*,*,#86854,.T.); #115819=ORIENTED_EDGE('',*,*,#86855,.T.); #115820=ORIENTED_EDGE('',*,*,#86851,.F.); #115821=ORIENTED_EDGE('',*,*,#86856,.F.); #115822=ORIENTED_EDGE('',*,*,#86857,.T.); #115823=ORIENTED_EDGE('',*,*,#86858,.T.); #115824=ORIENTED_EDGE('',*,*,#86854,.F.); #115825=ORIENTED_EDGE('',*,*,#86859,.F.); #115826=ORIENTED_EDGE('',*,*,#86860,.T.); #115827=ORIENTED_EDGE('',*,*,#86861,.T.); #115828=ORIENTED_EDGE('',*,*,#86857,.F.); #115829=ORIENTED_EDGE('',*,*,#86862,.F.); #115830=ORIENTED_EDGE('',*,*,#86863,.T.); #115831=ORIENTED_EDGE('',*,*,#86864,.T.); #115832=ORIENTED_EDGE('',*,*,#86860,.F.); #115833=ORIENTED_EDGE('',*,*,#86865,.F.); #115834=ORIENTED_EDGE('',*,*,#86866,.T.); #115835=ORIENTED_EDGE('',*,*,#86867,.T.); #115836=ORIENTED_EDGE('',*,*,#86863,.F.); #115837=ORIENTED_EDGE('',*,*,#86868,.F.); #115838=ORIENTED_EDGE('',*,*,#86869,.T.); #115839=ORIENTED_EDGE('',*,*,#86870,.T.); #115840=ORIENTED_EDGE('',*,*,#86866,.F.); #115841=ORIENTED_EDGE('',*,*,#86871,.F.); #115842=ORIENTED_EDGE('',*,*,#86872,.T.); #115843=ORIENTED_EDGE('',*,*,#86873,.T.); #115844=ORIENTED_EDGE('',*,*,#86869,.F.); #115845=ORIENTED_EDGE('',*,*,#86874,.F.); #115846=ORIENTED_EDGE('',*,*,#86875,.T.); #115847=ORIENTED_EDGE('',*,*,#86876,.T.); #115848=ORIENTED_EDGE('',*,*,#86872,.F.); #115849=ORIENTED_EDGE('',*,*,#86877,.F.); #115850=ORIENTED_EDGE('',*,*,#86878,.T.); #115851=ORIENTED_EDGE('',*,*,#86879,.T.); #115852=ORIENTED_EDGE('',*,*,#86875,.F.); #115853=ORIENTED_EDGE('',*,*,#86880,.F.); #115854=ORIENTED_EDGE('',*,*,#86881,.T.); #115855=ORIENTED_EDGE('',*,*,#86882,.T.); #115856=ORIENTED_EDGE('',*,*,#86878,.F.); #115857=ORIENTED_EDGE('',*,*,#86883,.F.); #115858=ORIENTED_EDGE('',*,*,#86884,.T.); #115859=ORIENTED_EDGE('',*,*,#86885,.T.); #115860=ORIENTED_EDGE('',*,*,#86881,.F.); #115861=ORIENTED_EDGE('',*,*,#86886,.F.); #115862=ORIENTED_EDGE('',*,*,#86887,.T.); #115863=ORIENTED_EDGE('',*,*,#86888,.T.); #115864=ORIENTED_EDGE('',*,*,#86884,.F.); #115865=ORIENTED_EDGE('',*,*,#86889,.F.); #115866=ORIENTED_EDGE('',*,*,#86890,.T.); #115867=ORIENTED_EDGE('',*,*,#86891,.T.); #115868=ORIENTED_EDGE('',*,*,#86887,.F.); #115869=ORIENTED_EDGE('',*,*,#86892,.F.); #115870=ORIENTED_EDGE('',*,*,#86893,.T.); #115871=ORIENTED_EDGE('',*,*,#86894,.T.); #115872=ORIENTED_EDGE('',*,*,#86890,.F.); #115873=ORIENTED_EDGE('',*,*,#86895,.F.); #115874=ORIENTED_EDGE('',*,*,#86896,.T.); #115875=ORIENTED_EDGE('',*,*,#86897,.T.); #115876=ORIENTED_EDGE('',*,*,#86893,.F.); #115877=ORIENTED_EDGE('',*,*,#86898,.F.); #115878=ORIENTED_EDGE('',*,*,#86899,.T.); #115879=ORIENTED_EDGE('',*,*,#86900,.T.); #115880=ORIENTED_EDGE('',*,*,#86896,.F.); #115881=ORIENTED_EDGE('',*,*,#86901,.F.); #115882=ORIENTED_EDGE('',*,*,#86902,.T.); #115883=ORIENTED_EDGE('',*,*,#86903,.T.); #115884=ORIENTED_EDGE('',*,*,#86899,.F.); #115885=ORIENTED_EDGE('',*,*,#86904,.F.); #115886=ORIENTED_EDGE('',*,*,#86905,.T.); #115887=ORIENTED_EDGE('',*,*,#86906,.T.); #115888=ORIENTED_EDGE('',*,*,#86902,.F.); #115889=ORIENTED_EDGE('',*,*,#86907,.F.); #115890=ORIENTED_EDGE('',*,*,#86908,.T.); #115891=ORIENTED_EDGE('',*,*,#86909,.T.); #115892=ORIENTED_EDGE('',*,*,#86905,.F.); #115893=ORIENTED_EDGE('',*,*,#86910,.F.); #115894=ORIENTED_EDGE('',*,*,#86911,.T.); #115895=ORIENTED_EDGE('',*,*,#86912,.T.); #115896=ORIENTED_EDGE('',*,*,#86908,.F.); #115897=ORIENTED_EDGE('',*,*,#86913,.F.); #115898=ORIENTED_EDGE('',*,*,#86914,.T.); #115899=ORIENTED_EDGE('',*,*,#86915,.T.); #115900=ORIENTED_EDGE('',*,*,#86911,.F.); #115901=ORIENTED_EDGE('',*,*,#86916,.F.); #115902=ORIENTED_EDGE('',*,*,#86917,.T.); #115903=ORIENTED_EDGE('',*,*,#86918,.T.); #115904=ORIENTED_EDGE('',*,*,#86914,.F.); #115905=ORIENTED_EDGE('',*,*,#86919,.F.); #115906=ORIENTED_EDGE('',*,*,#86920,.T.); #115907=ORIENTED_EDGE('',*,*,#86921,.T.); #115908=ORIENTED_EDGE('',*,*,#86917,.F.); #115909=ORIENTED_EDGE('',*,*,#86922,.F.); #115910=ORIENTED_EDGE('',*,*,#86923,.T.); #115911=ORIENTED_EDGE('',*,*,#86924,.T.); #115912=ORIENTED_EDGE('',*,*,#86920,.F.); #115913=ORIENTED_EDGE('',*,*,#86925,.F.); #115914=ORIENTED_EDGE('',*,*,#86926,.T.); #115915=ORIENTED_EDGE('',*,*,#86927,.T.); #115916=ORIENTED_EDGE('',*,*,#86923,.F.); #115917=ORIENTED_EDGE('',*,*,#86928,.F.); #115918=ORIENTED_EDGE('',*,*,#86929,.T.); #115919=ORIENTED_EDGE('',*,*,#86930,.T.); #115920=ORIENTED_EDGE('',*,*,#86926,.F.); #115921=ORIENTED_EDGE('',*,*,#86931,.F.); #115922=ORIENTED_EDGE('',*,*,#86932,.T.); #115923=ORIENTED_EDGE('',*,*,#86933,.T.); #115924=ORIENTED_EDGE('',*,*,#86929,.F.); #115925=ORIENTED_EDGE('',*,*,#86934,.F.); #115926=ORIENTED_EDGE('',*,*,#86935,.T.); #115927=ORIENTED_EDGE('',*,*,#86936,.T.); #115928=ORIENTED_EDGE('',*,*,#86932,.F.); #115929=ORIENTED_EDGE('',*,*,#86937,.F.); #115930=ORIENTED_EDGE('',*,*,#86938,.T.); #115931=ORIENTED_EDGE('',*,*,#86939,.T.); #115932=ORIENTED_EDGE('',*,*,#86935,.F.); #115933=ORIENTED_EDGE('',*,*,#86940,.F.); #115934=ORIENTED_EDGE('',*,*,#86941,.T.); #115935=ORIENTED_EDGE('',*,*,#86942,.T.); #115936=ORIENTED_EDGE('',*,*,#86938,.F.); #115937=ORIENTED_EDGE('',*,*,#86943,.F.); #115938=ORIENTED_EDGE('',*,*,#86944,.T.); #115939=ORIENTED_EDGE('',*,*,#86945,.T.); #115940=ORIENTED_EDGE('',*,*,#86941,.F.); #115941=ORIENTED_EDGE('',*,*,#86946,.F.); #115942=ORIENTED_EDGE('',*,*,#86947,.T.); #115943=ORIENTED_EDGE('',*,*,#86948,.T.); #115944=ORIENTED_EDGE('',*,*,#86944,.F.); #115945=ORIENTED_EDGE('',*,*,#86949,.F.); #115946=ORIENTED_EDGE('',*,*,#86950,.T.); #115947=ORIENTED_EDGE('',*,*,#86951,.T.); #115948=ORIENTED_EDGE('',*,*,#86947,.F.); #115949=ORIENTED_EDGE('',*,*,#86952,.F.); #115950=ORIENTED_EDGE('',*,*,#86953,.T.); #115951=ORIENTED_EDGE('',*,*,#86954,.T.); #115952=ORIENTED_EDGE('',*,*,#86950,.F.); #115953=ORIENTED_EDGE('',*,*,#86955,.F.); #115954=ORIENTED_EDGE('',*,*,#86956,.T.); #115955=ORIENTED_EDGE('',*,*,#86957,.T.); #115956=ORIENTED_EDGE('',*,*,#86953,.F.); #115957=ORIENTED_EDGE('',*,*,#86958,.F.); #115958=ORIENTED_EDGE('',*,*,#86959,.T.); #115959=ORIENTED_EDGE('',*,*,#86960,.T.); #115960=ORIENTED_EDGE('',*,*,#86956,.F.); #115961=ORIENTED_EDGE('',*,*,#86961,.F.); #115962=ORIENTED_EDGE('',*,*,#86962,.T.); #115963=ORIENTED_EDGE('',*,*,#86963,.T.); #115964=ORIENTED_EDGE('',*,*,#86959,.F.); #115965=ORIENTED_EDGE('',*,*,#86964,.F.); #115966=ORIENTED_EDGE('',*,*,#86965,.T.); #115967=ORIENTED_EDGE('',*,*,#86966,.T.); #115968=ORIENTED_EDGE('',*,*,#86962,.F.); #115969=ORIENTED_EDGE('',*,*,#86967,.F.); #115970=ORIENTED_EDGE('',*,*,#86968,.T.); #115971=ORIENTED_EDGE('',*,*,#86969,.T.); #115972=ORIENTED_EDGE('',*,*,#86965,.F.); #115973=ORIENTED_EDGE('',*,*,#86970,.F.); #115974=ORIENTED_EDGE('',*,*,#86971,.T.); #115975=ORIENTED_EDGE('',*,*,#86972,.T.); #115976=ORIENTED_EDGE('',*,*,#86968,.F.); #115977=ORIENTED_EDGE('',*,*,#86973,.F.); #115978=ORIENTED_EDGE('',*,*,#86974,.T.); #115979=ORIENTED_EDGE('',*,*,#86975,.T.); #115980=ORIENTED_EDGE('',*,*,#86971,.F.); #115981=ORIENTED_EDGE('',*,*,#86976,.F.); #115982=ORIENTED_EDGE('',*,*,#86977,.T.); #115983=ORIENTED_EDGE('',*,*,#86978,.T.); #115984=ORIENTED_EDGE('',*,*,#86974,.F.); #115985=ORIENTED_EDGE('',*,*,#86979,.F.); #115986=ORIENTED_EDGE('',*,*,#86980,.T.); #115987=ORIENTED_EDGE('',*,*,#86981,.T.); #115988=ORIENTED_EDGE('',*,*,#86977,.F.); #115989=ORIENTED_EDGE('',*,*,#86982,.F.); #115990=ORIENTED_EDGE('',*,*,#86983,.T.); #115991=ORIENTED_EDGE('',*,*,#86984,.T.); #115992=ORIENTED_EDGE('',*,*,#86980,.F.); #115993=ORIENTED_EDGE('',*,*,#86985,.F.); #115994=ORIENTED_EDGE('',*,*,#86986,.T.); #115995=ORIENTED_EDGE('',*,*,#86987,.T.); #115996=ORIENTED_EDGE('',*,*,#86983,.F.); #115997=ORIENTED_EDGE('',*,*,#86988,.F.); #115998=ORIENTED_EDGE('',*,*,#86989,.T.); #115999=ORIENTED_EDGE('',*,*,#86990,.T.); #116000=ORIENTED_EDGE('',*,*,#86986,.F.); #116001=ORIENTED_EDGE('',*,*,#86991,.F.); #116002=ORIENTED_EDGE('',*,*,#86992,.T.); #116003=ORIENTED_EDGE('',*,*,#86993,.T.); #116004=ORIENTED_EDGE('',*,*,#86989,.F.); #116005=ORIENTED_EDGE('',*,*,#86994,.F.); #116006=ORIENTED_EDGE('',*,*,#86995,.T.); #116007=ORIENTED_EDGE('',*,*,#86996,.T.); #116008=ORIENTED_EDGE('',*,*,#86992,.F.); #116009=ORIENTED_EDGE('',*,*,#86997,.F.); #116010=ORIENTED_EDGE('',*,*,#86998,.T.); #116011=ORIENTED_EDGE('',*,*,#86999,.T.); #116012=ORIENTED_EDGE('',*,*,#86995,.F.); #116013=ORIENTED_EDGE('',*,*,#87000,.F.); #116014=ORIENTED_EDGE('',*,*,#87001,.T.); #116015=ORIENTED_EDGE('',*,*,#87002,.T.); #116016=ORIENTED_EDGE('',*,*,#86998,.F.); #116017=ORIENTED_EDGE('',*,*,#87003,.F.); #116018=ORIENTED_EDGE('',*,*,#87004,.T.); #116019=ORIENTED_EDGE('',*,*,#87005,.T.); #116020=ORIENTED_EDGE('',*,*,#87001,.F.); #116021=ORIENTED_EDGE('',*,*,#87006,.F.); #116022=ORIENTED_EDGE('',*,*,#87007,.T.); #116023=ORIENTED_EDGE('',*,*,#87008,.T.); #116024=ORIENTED_EDGE('',*,*,#87004,.F.); #116025=ORIENTED_EDGE('',*,*,#87009,.F.); #116026=ORIENTED_EDGE('',*,*,#87010,.T.); #116027=ORIENTED_EDGE('',*,*,#87011,.T.); #116028=ORIENTED_EDGE('',*,*,#87007,.F.); #116029=ORIENTED_EDGE('',*,*,#87012,.F.); #116030=ORIENTED_EDGE('',*,*,#87013,.T.); #116031=ORIENTED_EDGE('',*,*,#87014,.T.); #116032=ORIENTED_EDGE('',*,*,#87010,.F.); #116033=ORIENTED_EDGE('',*,*,#87015,.F.); #116034=ORIENTED_EDGE('',*,*,#87016,.T.); #116035=ORIENTED_EDGE('',*,*,#87017,.T.); #116036=ORIENTED_EDGE('',*,*,#87013,.F.); #116037=ORIENTED_EDGE('',*,*,#87018,.F.); #116038=ORIENTED_EDGE('',*,*,#87019,.T.); #116039=ORIENTED_EDGE('',*,*,#87020,.T.); #116040=ORIENTED_EDGE('',*,*,#87016,.F.); #116041=ORIENTED_EDGE('',*,*,#87021,.F.); #116042=ORIENTED_EDGE('',*,*,#87022,.T.); #116043=ORIENTED_EDGE('',*,*,#87023,.T.); #116044=ORIENTED_EDGE('',*,*,#87019,.F.); #116045=ORIENTED_EDGE('',*,*,#87024,.F.); #116046=ORIENTED_EDGE('',*,*,#87025,.T.); #116047=ORIENTED_EDGE('',*,*,#87026,.T.); #116048=ORIENTED_EDGE('',*,*,#87022,.F.); #116049=ORIENTED_EDGE('',*,*,#87027,.F.); #116050=ORIENTED_EDGE('',*,*,#87028,.T.); #116051=ORIENTED_EDGE('',*,*,#87029,.T.); #116052=ORIENTED_EDGE('',*,*,#87025,.F.); #116053=ORIENTED_EDGE('',*,*,#87030,.F.); #116054=ORIENTED_EDGE('',*,*,#87031,.T.); #116055=ORIENTED_EDGE('',*,*,#87032,.T.); #116056=ORIENTED_EDGE('',*,*,#87028,.F.); #116057=ORIENTED_EDGE('',*,*,#87033,.F.); #116058=ORIENTED_EDGE('',*,*,#87034,.T.); #116059=ORIENTED_EDGE('',*,*,#87035,.T.); #116060=ORIENTED_EDGE('',*,*,#87031,.F.); #116061=ORIENTED_EDGE('',*,*,#87036,.F.); #116062=ORIENTED_EDGE('',*,*,#87037,.T.); #116063=ORIENTED_EDGE('',*,*,#87038,.T.); #116064=ORIENTED_EDGE('',*,*,#87034,.F.); #116065=ORIENTED_EDGE('',*,*,#87039,.F.); #116066=ORIENTED_EDGE('',*,*,#87040,.T.); #116067=ORIENTED_EDGE('',*,*,#87041,.T.); #116068=ORIENTED_EDGE('',*,*,#87037,.F.); #116069=ORIENTED_EDGE('',*,*,#87042,.F.); #116070=ORIENTED_EDGE('',*,*,#87043,.T.); #116071=ORIENTED_EDGE('',*,*,#87044,.T.); #116072=ORIENTED_EDGE('',*,*,#87040,.F.); #116073=ORIENTED_EDGE('',*,*,#87045,.F.); #116074=ORIENTED_EDGE('',*,*,#87046,.T.); #116075=ORIENTED_EDGE('',*,*,#87047,.T.); #116076=ORIENTED_EDGE('',*,*,#87043,.F.); #116077=ORIENTED_EDGE('',*,*,#87048,.F.); #116078=ORIENTED_EDGE('',*,*,#87049,.T.); #116079=ORIENTED_EDGE('',*,*,#87050,.T.); #116080=ORIENTED_EDGE('',*,*,#87046,.F.); #116081=ORIENTED_EDGE('',*,*,#87051,.F.); #116082=ORIENTED_EDGE('',*,*,#87052,.T.); #116083=ORIENTED_EDGE('',*,*,#87053,.T.); #116084=ORIENTED_EDGE('',*,*,#87049,.F.); #116085=ORIENTED_EDGE('',*,*,#87054,.F.); #116086=ORIENTED_EDGE('',*,*,#87055,.T.); #116087=ORIENTED_EDGE('',*,*,#87056,.T.); #116088=ORIENTED_EDGE('',*,*,#87052,.F.); #116089=ORIENTED_EDGE('',*,*,#87057,.F.); #116090=ORIENTED_EDGE('',*,*,#87058,.T.); #116091=ORIENTED_EDGE('',*,*,#87059,.T.); #116092=ORIENTED_EDGE('',*,*,#87055,.F.); #116093=ORIENTED_EDGE('',*,*,#87060,.F.); #116094=ORIENTED_EDGE('',*,*,#87061,.T.); #116095=ORIENTED_EDGE('',*,*,#87062,.T.); #116096=ORIENTED_EDGE('',*,*,#87058,.F.); #116097=ORIENTED_EDGE('',*,*,#87063,.F.); #116098=ORIENTED_EDGE('',*,*,#87064,.T.); #116099=ORIENTED_EDGE('',*,*,#87065,.T.); #116100=ORIENTED_EDGE('',*,*,#87061,.F.); #116101=ORIENTED_EDGE('',*,*,#87066,.F.); #116102=ORIENTED_EDGE('',*,*,#87067,.T.); #116103=ORIENTED_EDGE('',*,*,#87068,.T.); #116104=ORIENTED_EDGE('',*,*,#87064,.F.); #116105=ORIENTED_EDGE('',*,*,#87069,.F.); #116106=ORIENTED_EDGE('',*,*,#87070,.T.); #116107=ORIENTED_EDGE('',*,*,#87071,.T.); #116108=ORIENTED_EDGE('',*,*,#87067,.F.); #116109=ORIENTED_EDGE('',*,*,#87072,.F.); #116110=ORIENTED_EDGE('',*,*,#87073,.T.); #116111=ORIENTED_EDGE('',*,*,#87074,.T.); #116112=ORIENTED_EDGE('',*,*,#87070,.F.); #116113=ORIENTED_EDGE('',*,*,#87075,.F.); #116114=ORIENTED_EDGE('',*,*,#87076,.T.); #116115=ORIENTED_EDGE('',*,*,#87077,.T.); #116116=ORIENTED_EDGE('',*,*,#87073,.F.); #116117=ORIENTED_EDGE('',*,*,#87078,.F.); #116118=ORIENTED_EDGE('',*,*,#87079,.T.); #116119=ORIENTED_EDGE('',*,*,#87080,.T.); #116120=ORIENTED_EDGE('',*,*,#87076,.F.); #116121=ORIENTED_EDGE('',*,*,#87081,.F.); #116122=ORIENTED_EDGE('',*,*,#87082,.T.); #116123=ORIENTED_EDGE('',*,*,#87083,.T.); #116124=ORIENTED_EDGE('',*,*,#87079,.F.); #116125=ORIENTED_EDGE('',*,*,#87084,.F.); #116126=ORIENTED_EDGE('',*,*,#87085,.T.); #116127=ORIENTED_EDGE('',*,*,#87086,.T.); #116128=ORIENTED_EDGE('',*,*,#87082,.F.); #116129=ORIENTED_EDGE('',*,*,#87087,.F.); #116130=ORIENTED_EDGE('',*,*,#87088,.T.); #116131=ORIENTED_EDGE('',*,*,#87089,.T.); #116132=ORIENTED_EDGE('',*,*,#87085,.F.); #116133=ORIENTED_EDGE('',*,*,#87090,.F.); #116134=ORIENTED_EDGE('',*,*,#87091,.T.); #116135=ORIENTED_EDGE('',*,*,#87092,.T.); #116136=ORIENTED_EDGE('',*,*,#87088,.F.); #116137=ORIENTED_EDGE('',*,*,#87093,.F.); #116138=ORIENTED_EDGE('',*,*,#87094,.T.); #116139=ORIENTED_EDGE('',*,*,#87095,.T.); #116140=ORIENTED_EDGE('',*,*,#87091,.F.); #116141=ORIENTED_EDGE('',*,*,#87096,.F.); #116142=ORIENTED_EDGE('',*,*,#87097,.T.); #116143=ORIENTED_EDGE('',*,*,#87098,.T.); #116144=ORIENTED_EDGE('',*,*,#87094,.F.); #116145=ORIENTED_EDGE('',*,*,#87099,.F.); #116146=ORIENTED_EDGE('',*,*,#87100,.T.); #116147=ORIENTED_EDGE('',*,*,#87101,.T.); #116148=ORIENTED_EDGE('',*,*,#87097,.F.); #116149=ORIENTED_EDGE('',*,*,#87102,.F.); #116150=ORIENTED_EDGE('',*,*,#87103,.T.); #116151=ORIENTED_EDGE('',*,*,#87104,.T.); #116152=ORIENTED_EDGE('',*,*,#87100,.F.); #116153=ORIENTED_EDGE('',*,*,#87105,.F.); #116154=ORIENTED_EDGE('',*,*,#87106,.T.); #116155=ORIENTED_EDGE('',*,*,#87107,.T.); #116156=ORIENTED_EDGE('',*,*,#87103,.F.); #116157=ORIENTED_EDGE('',*,*,#87108,.F.); #116158=ORIENTED_EDGE('',*,*,#87109,.T.); #116159=ORIENTED_EDGE('',*,*,#87110,.T.); #116160=ORIENTED_EDGE('',*,*,#87106,.F.); #116161=ORIENTED_EDGE('',*,*,#87111,.F.); #116162=ORIENTED_EDGE('',*,*,#87112,.T.); #116163=ORIENTED_EDGE('',*,*,#87113,.T.); #116164=ORIENTED_EDGE('',*,*,#87109,.F.); #116165=ORIENTED_EDGE('',*,*,#87114,.F.); #116166=ORIENTED_EDGE('',*,*,#87115,.T.); #116167=ORIENTED_EDGE('',*,*,#87116,.T.); #116168=ORIENTED_EDGE('',*,*,#87112,.F.); #116169=ORIENTED_EDGE('',*,*,#87117,.F.); #116170=ORIENTED_EDGE('',*,*,#87118,.T.); #116171=ORIENTED_EDGE('',*,*,#87119,.T.); #116172=ORIENTED_EDGE('',*,*,#87115,.F.); #116173=ORIENTED_EDGE('',*,*,#87120,.F.); #116174=ORIENTED_EDGE('',*,*,#87121,.T.); #116175=ORIENTED_EDGE('',*,*,#87122,.T.); #116176=ORIENTED_EDGE('',*,*,#87118,.F.); #116177=ORIENTED_EDGE('',*,*,#87123,.F.); #116178=ORIENTED_EDGE('',*,*,#87124,.T.); #116179=ORIENTED_EDGE('',*,*,#87125,.T.); #116180=ORIENTED_EDGE('',*,*,#87121,.F.); #116181=ORIENTED_EDGE('',*,*,#87126,.F.); #116182=ORIENTED_EDGE('',*,*,#87127,.T.); #116183=ORIENTED_EDGE('',*,*,#87128,.T.); #116184=ORIENTED_EDGE('',*,*,#87124,.F.); #116185=ORIENTED_EDGE('',*,*,#87129,.F.); #116186=ORIENTED_EDGE('',*,*,#87130,.T.); #116187=ORIENTED_EDGE('',*,*,#87131,.T.); #116188=ORIENTED_EDGE('',*,*,#87127,.F.); #116189=ORIENTED_EDGE('',*,*,#87132,.F.); #116190=ORIENTED_EDGE('',*,*,#87133,.T.); #116191=ORIENTED_EDGE('',*,*,#87134,.T.); #116192=ORIENTED_EDGE('',*,*,#87130,.F.); #116193=ORIENTED_EDGE('',*,*,#87135,.F.); #116194=ORIENTED_EDGE('',*,*,#87136,.T.); #116195=ORIENTED_EDGE('',*,*,#87137,.T.); #116196=ORIENTED_EDGE('',*,*,#87133,.F.); #116197=ORIENTED_EDGE('',*,*,#87138,.F.); #116198=ORIENTED_EDGE('',*,*,#87139,.T.); #116199=ORIENTED_EDGE('',*,*,#87140,.T.); #116200=ORIENTED_EDGE('',*,*,#87136,.F.); #116201=ORIENTED_EDGE('',*,*,#87141,.F.); #116202=ORIENTED_EDGE('',*,*,#87142,.T.); #116203=ORIENTED_EDGE('',*,*,#87143,.T.); #116204=ORIENTED_EDGE('',*,*,#87139,.F.); #116205=ORIENTED_EDGE('',*,*,#87144,.F.); #116206=ORIENTED_EDGE('',*,*,#87145,.T.); #116207=ORIENTED_EDGE('',*,*,#87146,.T.); #116208=ORIENTED_EDGE('',*,*,#87142,.F.); #116209=ORIENTED_EDGE('',*,*,#87147,.F.); #116210=ORIENTED_EDGE('',*,*,#87148,.T.); #116211=ORIENTED_EDGE('',*,*,#87149,.T.); #116212=ORIENTED_EDGE('',*,*,#87145,.F.); #116213=ORIENTED_EDGE('',*,*,#87150,.F.); #116214=ORIENTED_EDGE('',*,*,#87151,.T.); #116215=ORIENTED_EDGE('',*,*,#87152,.T.); #116216=ORIENTED_EDGE('',*,*,#87148,.F.); #116217=ORIENTED_EDGE('',*,*,#87153,.F.); #116218=ORIENTED_EDGE('',*,*,#87154,.T.); #116219=ORIENTED_EDGE('',*,*,#87155,.T.); #116220=ORIENTED_EDGE('',*,*,#87151,.F.); #116221=ORIENTED_EDGE('',*,*,#87156,.F.); #116222=ORIENTED_EDGE('',*,*,#87157,.T.); #116223=ORIENTED_EDGE('',*,*,#87158,.T.); #116224=ORIENTED_EDGE('',*,*,#87154,.F.); #116225=ORIENTED_EDGE('',*,*,#87159,.F.); #116226=ORIENTED_EDGE('',*,*,#87160,.T.); #116227=ORIENTED_EDGE('',*,*,#87161,.T.); #116228=ORIENTED_EDGE('',*,*,#87157,.F.); #116229=ORIENTED_EDGE('',*,*,#87162,.F.); #116230=ORIENTED_EDGE('',*,*,#87163,.T.); #116231=ORIENTED_EDGE('',*,*,#87164,.T.); #116232=ORIENTED_EDGE('',*,*,#87160,.F.); #116233=ORIENTED_EDGE('',*,*,#87165,.F.); #116234=ORIENTED_EDGE('',*,*,#87166,.T.); #116235=ORIENTED_EDGE('',*,*,#87167,.T.); #116236=ORIENTED_EDGE('',*,*,#87163,.F.); #116237=ORIENTED_EDGE('',*,*,#87168,.F.); #116238=ORIENTED_EDGE('',*,*,#87169,.T.); #116239=ORIENTED_EDGE('',*,*,#87170,.T.); #116240=ORIENTED_EDGE('',*,*,#87166,.F.); #116241=ORIENTED_EDGE('',*,*,#87171,.F.); #116242=ORIENTED_EDGE('',*,*,#87172,.T.); #116243=ORIENTED_EDGE('',*,*,#87173,.T.); #116244=ORIENTED_EDGE('',*,*,#87169,.F.); #116245=ORIENTED_EDGE('',*,*,#87174,.F.); #116246=ORIENTED_EDGE('',*,*,#87175,.T.); #116247=ORIENTED_EDGE('',*,*,#87176,.T.); #116248=ORIENTED_EDGE('',*,*,#87172,.F.); #116249=ORIENTED_EDGE('',*,*,#87177,.F.); #116250=ORIENTED_EDGE('',*,*,#87178,.T.); #116251=ORIENTED_EDGE('',*,*,#87179,.T.); #116252=ORIENTED_EDGE('',*,*,#87175,.F.); #116253=ORIENTED_EDGE('',*,*,#87180,.F.); #116254=ORIENTED_EDGE('',*,*,#87181,.T.); #116255=ORIENTED_EDGE('',*,*,#87182,.T.); #116256=ORIENTED_EDGE('',*,*,#87178,.F.); #116257=ORIENTED_EDGE('',*,*,#87183,.F.); #116258=ORIENTED_EDGE('',*,*,#87184,.T.); #116259=ORIENTED_EDGE('',*,*,#87185,.T.); #116260=ORIENTED_EDGE('',*,*,#87181,.F.); #116261=ORIENTED_EDGE('',*,*,#87186,.F.); #116262=ORIENTED_EDGE('',*,*,#87187,.T.); #116263=ORIENTED_EDGE('',*,*,#87188,.T.); #116264=ORIENTED_EDGE('',*,*,#87184,.F.); #116265=ORIENTED_EDGE('',*,*,#87189,.F.); #116266=ORIENTED_EDGE('',*,*,#87190,.T.); #116267=ORIENTED_EDGE('',*,*,#87191,.T.); #116268=ORIENTED_EDGE('',*,*,#87187,.F.); #116269=ORIENTED_EDGE('',*,*,#87192,.F.); #116270=ORIENTED_EDGE('',*,*,#87193,.T.); #116271=ORIENTED_EDGE('',*,*,#87194,.T.); #116272=ORIENTED_EDGE('',*,*,#87190,.F.); #116273=ORIENTED_EDGE('',*,*,#87195,.F.); #116274=ORIENTED_EDGE('',*,*,#87196,.T.); #116275=ORIENTED_EDGE('',*,*,#87197,.T.); #116276=ORIENTED_EDGE('',*,*,#87193,.F.); #116277=ORIENTED_EDGE('',*,*,#87198,.F.); #116278=ORIENTED_EDGE('',*,*,#87199,.T.); #116279=ORIENTED_EDGE('',*,*,#87200,.T.); #116280=ORIENTED_EDGE('',*,*,#87196,.F.); #116281=ORIENTED_EDGE('',*,*,#87201,.F.); #116282=ORIENTED_EDGE('',*,*,#87202,.T.); #116283=ORIENTED_EDGE('',*,*,#87203,.T.); #116284=ORIENTED_EDGE('',*,*,#87199,.F.); #116285=ORIENTED_EDGE('',*,*,#87204,.F.); #116286=ORIENTED_EDGE('',*,*,#87205,.T.); #116287=ORIENTED_EDGE('',*,*,#87206,.T.); #116288=ORIENTED_EDGE('',*,*,#87202,.F.); #116289=ORIENTED_EDGE('',*,*,#87207,.F.); #116290=ORIENTED_EDGE('',*,*,#87208,.T.); #116291=ORIENTED_EDGE('',*,*,#87209,.T.); #116292=ORIENTED_EDGE('',*,*,#87205,.F.); #116293=ORIENTED_EDGE('',*,*,#87210,.F.); #116294=ORIENTED_EDGE('',*,*,#87211,.T.); #116295=ORIENTED_EDGE('',*,*,#87212,.T.); #116296=ORIENTED_EDGE('',*,*,#87208,.F.); #116297=ORIENTED_EDGE('',*,*,#87213,.F.); #116298=ORIENTED_EDGE('',*,*,#87214,.T.); #116299=ORIENTED_EDGE('',*,*,#87215,.T.); #116300=ORIENTED_EDGE('',*,*,#87211,.F.); #116301=ORIENTED_EDGE('',*,*,#87216,.F.); #116302=ORIENTED_EDGE('',*,*,#87217,.T.); #116303=ORIENTED_EDGE('',*,*,#87218,.T.); #116304=ORIENTED_EDGE('',*,*,#87214,.F.); #116305=ORIENTED_EDGE('',*,*,#87219,.F.); #116306=ORIENTED_EDGE('',*,*,#87220,.T.); #116307=ORIENTED_EDGE('',*,*,#87221,.T.); #116308=ORIENTED_EDGE('',*,*,#87217,.F.); #116309=ORIENTED_EDGE('',*,*,#87222,.F.); #116310=ORIENTED_EDGE('',*,*,#87223,.T.); #116311=ORIENTED_EDGE('',*,*,#87224,.T.); #116312=ORIENTED_EDGE('',*,*,#87220,.F.); #116313=ORIENTED_EDGE('',*,*,#87225,.F.); #116314=ORIENTED_EDGE('',*,*,#87226,.T.); #116315=ORIENTED_EDGE('',*,*,#87227,.T.); #116316=ORIENTED_EDGE('',*,*,#87223,.F.); #116317=ORIENTED_EDGE('',*,*,#87228,.F.); #116318=ORIENTED_EDGE('',*,*,#87229,.T.); #116319=ORIENTED_EDGE('',*,*,#87230,.T.); #116320=ORIENTED_EDGE('',*,*,#87226,.F.); #116321=ORIENTED_EDGE('',*,*,#87231,.F.); #116322=ORIENTED_EDGE('',*,*,#87232,.T.); #116323=ORIENTED_EDGE('',*,*,#87233,.T.); #116324=ORIENTED_EDGE('',*,*,#87229,.F.); #116325=ORIENTED_EDGE('',*,*,#87234,.F.); #116326=ORIENTED_EDGE('',*,*,#87235,.T.); #116327=ORIENTED_EDGE('',*,*,#87236,.T.); #116328=ORIENTED_EDGE('',*,*,#87232,.F.); #116329=ORIENTED_EDGE('',*,*,#87237,.F.); #116330=ORIENTED_EDGE('',*,*,#87238,.T.); #116331=ORIENTED_EDGE('',*,*,#87239,.T.); #116332=ORIENTED_EDGE('',*,*,#87235,.F.); #116333=ORIENTED_EDGE('',*,*,#87240,.F.); #116334=ORIENTED_EDGE('',*,*,#87241,.T.); #116335=ORIENTED_EDGE('',*,*,#87242,.T.); #116336=ORIENTED_EDGE('',*,*,#87238,.F.); #116337=ORIENTED_EDGE('',*,*,#87243,.F.); #116338=ORIENTED_EDGE('',*,*,#86837,.T.); #116339=ORIENTED_EDGE('',*,*,#87244,.T.); #116340=ORIENTED_EDGE('',*,*,#87241,.F.); #116341=ORIENTED_EDGE('',*,*,#87244,.F.); #116342=ORIENTED_EDGE('',*,*,#86836,.F.); #116343=ORIENTED_EDGE('',*,*,#86840,.F.); #116344=ORIENTED_EDGE('',*,*,#86843,.F.); #116345=ORIENTED_EDGE('',*,*,#86846,.F.); #116346=ORIENTED_EDGE('',*,*,#86849,.F.); #116347=ORIENTED_EDGE('',*,*,#86852,.F.); #116348=ORIENTED_EDGE('',*,*,#86855,.F.); #116349=ORIENTED_EDGE('',*,*,#86858,.F.); #116350=ORIENTED_EDGE('',*,*,#86861,.F.); #116351=ORIENTED_EDGE('',*,*,#86864,.F.); #116352=ORIENTED_EDGE('',*,*,#86867,.F.); #116353=ORIENTED_EDGE('',*,*,#86870,.F.); #116354=ORIENTED_EDGE('',*,*,#86873,.F.); #116355=ORIENTED_EDGE('',*,*,#86876,.F.); #116356=ORIENTED_EDGE('',*,*,#86879,.F.); #116357=ORIENTED_EDGE('',*,*,#86882,.F.); #116358=ORIENTED_EDGE('',*,*,#86885,.F.); #116359=ORIENTED_EDGE('',*,*,#86888,.F.); #116360=ORIENTED_EDGE('',*,*,#86891,.F.); #116361=ORIENTED_EDGE('',*,*,#86894,.F.); #116362=ORIENTED_EDGE('',*,*,#86897,.F.); #116363=ORIENTED_EDGE('',*,*,#86900,.F.); #116364=ORIENTED_EDGE('',*,*,#86903,.F.); #116365=ORIENTED_EDGE('',*,*,#86906,.F.); #116366=ORIENTED_EDGE('',*,*,#86909,.F.); #116367=ORIENTED_EDGE('',*,*,#86912,.F.); #116368=ORIENTED_EDGE('',*,*,#86915,.F.); #116369=ORIENTED_EDGE('',*,*,#86918,.F.); #116370=ORIENTED_EDGE('',*,*,#86921,.F.); #116371=ORIENTED_EDGE('',*,*,#86924,.F.); #116372=ORIENTED_EDGE('',*,*,#86927,.F.); #116373=ORIENTED_EDGE('',*,*,#86930,.F.); #116374=ORIENTED_EDGE('',*,*,#86933,.F.); #116375=ORIENTED_EDGE('',*,*,#86936,.F.); #116376=ORIENTED_EDGE('',*,*,#86939,.F.); #116377=ORIENTED_EDGE('',*,*,#86942,.F.); #116378=ORIENTED_EDGE('',*,*,#86945,.F.); #116379=ORIENTED_EDGE('',*,*,#86948,.F.); #116380=ORIENTED_EDGE('',*,*,#86951,.F.); #116381=ORIENTED_EDGE('',*,*,#86954,.F.); #116382=ORIENTED_EDGE('',*,*,#86957,.F.); #116383=ORIENTED_EDGE('',*,*,#86960,.F.); #116384=ORIENTED_EDGE('',*,*,#86963,.F.); #116385=ORIENTED_EDGE('',*,*,#86966,.F.); #116386=ORIENTED_EDGE('',*,*,#86969,.F.); #116387=ORIENTED_EDGE('',*,*,#86972,.F.); #116388=ORIENTED_EDGE('',*,*,#86975,.F.); #116389=ORIENTED_EDGE('',*,*,#86978,.F.); #116390=ORIENTED_EDGE('',*,*,#86981,.F.); #116391=ORIENTED_EDGE('',*,*,#86984,.F.); #116392=ORIENTED_EDGE('',*,*,#86987,.F.); #116393=ORIENTED_EDGE('',*,*,#86990,.F.); #116394=ORIENTED_EDGE('',*,*,#86993,.F.); #116395=ORIENTED_EDGE('',*,*,#86996,.F.); #116396=ORIENTED_EDGE('',*,*,#86999,.F.); #116397=ORIENTED_EDGE('',*,*,#87002,.F.); #116398=ORIENTED_EDGE('',*,*,#87005,.F.); #116399=ORIENTED_EDGE('',*,*,#87008,.F.); #116400=ORIENTED_EDGE('',*,*,#87011,.F.); #116401=ORIENTED_EDGE('',*,*,#87014,.F.); #116402=ORIENTED_EDGE('',*,*,#87017,.F.); #116403=ORIENTED_EDGE('',*,*,#87020,.F.); #116404=ORIENTED_EDGE('',*,*,#87023,.F.); #116405=ORIENTED_EDGE('',*,*,#87026,.F.); #116406=ORIENTED_EDGE('',*,*,#87029,.F.); #116407=ORIENTED_EDGE('',*,*,#87032,.F.); #116408=ORIENTED_EDGE('',*,*,#87035,.F.); #116409=ORIENTED_EDGE('',*,*,#87038,.F.); #116410=ORIENTED_EDGE('',*,*,#87041,.F.); #116411=ORIENTED_EDGE('',*,*,#87044,.F.); #116412=ORIENTED_EDGE('',*,*,#87047,.F.); #116413=ORIENTED_EDGE('',*,*,#87050,.F.); #116414=ORIENTED_EDGE('',*,*,#87053,.F.); #116415=ORIENTED_EDGE('',*,*,#87056,.F.); #116416=ORIENTED_EDGE('',*,*,#87059,.F.); #116417=ORIENTED_EDGE('',*,*,#87062,.F.); #116418=ORIENTED_EDGE('',*,*,#87065,.F.); #116419=ORIENTED_EDGE('',*,*,#87068,.F.); #116420=ORIENTED_EDGE('',*,*,#87071,.F.); #116421=ORIENTED_EDGE('',*,*,#87074,.F.); #116422=ORIENTED_EDGE('',*,*,#87077,.F.); #116423=ORIENTED_EDGE('',*,*,#87080,.F.); #116424=ORIENTED_EDGE('',*,*,#87083,.F.); #116425=ORIENTED_EDGE('',*,*,#87086,.F.); #116426=ORIENTED_EDGE('',*,*,#87089,.F.); #116427=ORIENTED_EDGE('',*,*,#87092,.F.); #116428=ORIENTED_EDGE('',*,*,#87095,.F.); #116429=ORIENTED_EDGE('',*,*,#87098,.F.); #116430=ORIENTED_EDGE('',*,*,#87101,.F.); #116431=ORIENTED_EDGE('',*,*,#87104,.F.); #116432=ORIENTED_EDGE('',*,*,#87107,.F.); #116433=ORIENTED_EDGE('',*,*,#87110,.F.); #116434=ORIENTED_EDGE('',*,*,#87113,.F.); #116435=ORIENTED_EDGE('',*,*,#87116,.F.); #116436=ORIENTED_EDGE('',*,*,#87119,.F.); #116437=ORIENTED_EDGE('',*,*,#87122,.F.); #116438=ORIENTED_EDGE('',*,*,#87125,.F.); #116439=ORIENTED_EDGE('',*,*,#87128,.F.); #116440=ORIENTED_EDGE('',*,*,#87131,.F.); #116441=ORIENTED_EDGE('',*,*,#87134,.F.); #116442=ORIENTED_EDGE('',*,*,#87137,.F.); #116443=ORIENTED_EDGE('',*,*,#87140,.F.); #116444=ORIENTED_EDGE('',*,*,#87143,.F.); #116445=ORIENTED_EDGE('',*,*,#87146,.F.); #116446=ORIENTED_EDGE('',*,*,#87149,.F.); #116447=ORIENTED_EDGE('',*,*,#87152,.F.); #116448=ORIENTED_EDGE('',*,*,#87155,.F.); #116449=ORIENTED_EDGE('',*,*,#87158,.F.); #116450=ORIENTED_EDGE('',*,*,#87161,.F.); #116451=ORIENTED_EDGE('',*,*,#87164,.F.); #116452=ORIENTED_EDGE('',*,*,#87167,.F.); #116453=ORIENTED_EDGE('',*,*,#87170,.F.); #116454=ORIENTED_EDGE('',*,*,#87173,.F.); #116455=ORIENTED_EDGE('',*,*,#87176,.F.); #116456=ORIENTED_EDGE('',*,*,#87179,.F.); #116457=ORIENTED_EDGE('',*,*,#87182,.F.); #116458=ORIENTED_EDGE('',*,*,#87185,.F.); #116459=ORIENTED_EDGE('',*,*,#87188,.F.); #116460=ORIENTED_EDGE('',*,*,#87191,.F.); #116461=ORIENTED_EDGE('',*,*,#87194,.F.); #116462=ORIENTED_EDGE('',*,*,#87197,.F.); #116463=ORIENTED_EDGE('',*,*,#87200,.F.); #116464=ORIENTED_EDGE('',*,*,#87203,.F.); #116465=ORIENTED_EDGE('',*,*,#87206,.F.); #116466=ORIENTED_EDGE('',*,*,#87209,.F.); #116467=ORIENTED_EDGE('',*,*,#87212,.F.); #116468=ORIENTED_EDGE('',*,*,#87215,.F.); #116469=ORIENTED_EDGE('',*,*,#87218,.F.); #116470=ORIENTED_EDGE('',*,*,#87221,.F.); #116471=ORIENTED_EDGE('',*,*,#87224,.F.); #116472=ORIENTED_EDGE('',*,*,#87227,.F.); #116473=ORIENTED_EDGE('',*,*,#87230,.F.); #116474=ORIENTED_EDGE('',*,*,#87233,.F.); #116475=ORIENTED_EDGE('',*,*,#87236,.F.); #116476=ORIENTED_EDGE('',*,*,#87239,.F.); #116477=ORIENTED_EDGE('',*,*,#87242,.F.); #116478=ORIENTED_EDGE('',*,*,#86833,.F.); #116479=ORIENTED_EDGE('',*,*,#86800,.F.); #116480=ORIENTED_EDGE('',*,*,#86804,.F.); #116481=ORIENTED_EDGE('',*,*,#86807,.F.); #116482=ORIENTED_EDGE('',*,*,#86810,.F.); #116483=ORIENTED_EDGE('',*,*,#86813,.F.); #116484=ORIENTED_EDGE('',*,*,#86816,.F.); #116485=ORIENTED_EDGE('',*,*,#86819,.F.); #116486=ORIENTED_EDGE('',*,*,#86822,.F.); #116487=ORIENTED_EDGE('',*,*,#86825,.F.); #116488=ORIENTED_EDGE('',*,*,#86828,.F.); #116489=ORIENTED_EDGE('',*,*,#86831,.F.); #116490=ORIENTED_EDGE('',*,*,#87245,.F.); #116491=ORIENTED_EDGE('',*,*,#87246,.T.); #116492=ORIENTED_EDGE('',*,*,#87247,.T.); #116493=ORIENTED_EDGE('',*,*,#87248,.F.); #116494=ORIENTED_EDGE('',*,*,#87249,.F.); #116495=ORIENTED_EDGE('',*,*,#87250,.T.); #116496=ORIENTED_EDGE('',*,*,#87251,.T.); #116497=ORIENTED_EDGE('',*,*,#87246,.F.); #116498=ORIENTED_EDGE('',*,*,#87252,.F.); #116499=ORIENTED_EDGE('',*,*,#87253,.T.); #116500=ORIENTED_EDGE('',*,*,#87254,.T.); #116501=ORIENTED_EDGE('',*,*,#87250,.F.); #116502=ORIENTED_EDGE('',*,*,#87255,.F.); #116503=ORIENTED_EDGE('',*,*,#87256,.T.); #116504=ORIENTED_EDGE('',*,*,#87257,.T.); #116505=ORIENTED_EDGE('',*,*,#87253,.F.); #116506=ORIENTED_EDGE('',*,*,#87258,.F.); #116507=ORIENTED_EDGE('',*,*,#87259,.T.); #116508=ORIENTED_EDGE('',*,*,#87260,.T.); #116509=ORIENTED_EDGE('',*,*,#87256,.F.); #116510=ORIENTED_EDGE('',*,*,#87261,.F.); #116511=ORIENTED_EDGE('',*,*,#87262,.T.); #116512=ORIENTED_EDGE('',*,*,#87263,.T.); #116513=ORIENTED_EDGE('',*,*,#87259,.F.); #116514=ORIENTED_EDGE('',*,*,#87264,.F.); #116515=ORIENTED_EDGE('',*,*,#87248,.T.); #116516=ORIENTED_EDGE('',*,*,#87265,.T.); #116517=ORIENTED_EDGE('',*,*,#87262,.F.); #116518=ORIENTED_EDGE('',*,*,#87266,.F.); #116519=ORIENTED_EDGE('',*,*,#87267,.T.); #116520=ORIENTED_EDGE('',*,*,#87268,.T.); #116521=ORIENTED_EDGE('',*,*,#87269,.F.); #116522=ORIENTED_EDGE('',*,*,#87270,.F.); #116523=ORIENTED_EDGE('',*,*,#87271,.T.); #116524=ORIENTED_EDGE('',*,*,#87272,.T.); #116525=ORIENTED_EDGE('',*,*,#87267,.F.); #116526=ORIENTED_EDGE('',*,*,#87273,.F.); #116527=ORIENTED_EDGE('',*,*,#87274,.T.); #116528=ORIENTED_EDGE('',*,*,#87275,.T.); #116529=ORIENTED_EDGE('',*,*,#87271,.F.); #116530=ORIENTED_EDGE('',*,*,#87276,.F.); #116531=ORIENTED_EDGE('',*,*,#87277,.T.); #116532=ORIENTED_EDGE('',*,*,#87278,.T.); #116533=ORIENTED_EDGE('',*,*,#87274,.F.); #116534=ORIENTED_EDGE('',*,*,#87279,.F.); #116535=ORIENTED_EDGE('',*,*,#87280,.T.); #116536=ORIENTED_EDGE('',*,*,#87281,.T.); #116537=ORIENTED_EDGE('',*,*,#87277,.F.); #116538=ORIENTED_EDGE('',*,*,#87282,.F.); #116539=ORIENTED_EDGE('',*,*,#87283,.T.); #116540=ORIENTED_EDGE('',*,*,#87284,.T.); #116541=ORIENTED_EDGE('',*,*,#87280,.F.); #116542=ORIENTED_EDGE('',*,*,#87285,.F.); #116543=ORIENTED_EDGE('',*,*,#87286,.T.); #116544=ORIENTED_EDGE('',*,*,#87287,.T.); #116545=ORIENTED_EDGE('',*,*,#87283,.F.); #116546=ORIENTED_EDGE('',*,*,#87288,.F.); #116547=ORIENTED_EDGE('',*,*,#87269,.T.); #116548=ORIENTED_EDGE('',*,*,#87289,.T.); #116549=ORIENTED_EDGE('',*,*,#87286,.F.); #116550=ORIENTED_EDGE('',*,*,#87290,.F.); #116551=ORIENTED_EDGE('',*,*,#87291,.T.); #116552=ORIENTED_EDGE('',*,*,#87292,.T.); #116553=ORIENTED_EDGE('',*,*,#87293,.F.); #116554=ORIENTED_EDGE('',*,*,#87294,.F.); #116555=ORIENTED_EDGE('',*,*,#87295,.T.); #116556=ORIENTED_EDGE('',*,*,#87296,.T.); #116557=ORIENTED_EDGE('',*,*,#87291,.F.); #116558=ORIENTED_EDGE('',*,*,#87297,.F.); #116559=ORIENTED_EDGE('',*,*,#87298,.T.); #116560=ORIENTED_EDGE('',*,*,#87299,.T.); #116561=ORIENTED_EDGE('',*,*,#87295,.F.); #116562=ORIENTED_EDGE('',*,*,#87300,.F.); #116563=ORIENTED_EDGE('',*,*,#87301,.T.); #116564=ORIENTED_EDGE('',*,*,#87302,.T.); #116565=ORIENTED_EDGE('',*,*,#87298,.F.); #116566=ORIENTED_EDGE('',*,*,#87303,.F.); #116567=ORIENTED_EDGE('',*,*,#87304,.T.); #116568=ORIENTED_EDGE('',*,*,#87305,.T.); #116569=ORIENTED_EDGE('',*,*,#87301,.F.); #116570=ORIENTED_EDGE('',*,*,#87306,.F.); #116571=ORIENTED_EDGE('',*,*,#87307,.T.); #116572=ORIENTED_EDGE('',*,*,#87308,.T.); #116573=ORIENTED_EDGE('',*,*,#87304,.F.); #116574=ORIENTED_EDGE('',*,*,#87309,.F.); #116575=ORIENTED_EDGE('',*,*,#87310,.T.); #116576=ORIENTED_EDGE('',*,*,#87311,.T.); #116577=ORIENTED_EDGE('',*,*,#87307,.F.); #116578=ORIENTED_EDGE('',*,*,#87312,.F.); #116579=ORIENTED_EDGE('',*,*,#87313,.T.); #116580=ORIENTED_EDGE('',*,*,#87314,.T.); #116581=ORIENTED_EDGE('',*,*,#87310,.F.); #116582=ORIENTED_EDGE('',*,*,#87315,.F.); #116583=ORIENTED_EDGE('',*,*,#87316,.T.); #116584=ORIENTED_EDGE('',*,*,#87317,.T.); #116585=ORIENTED_EDGE('',*,*,#87313,.F.); #116586=ORIENTED_EDGE('',*,*,#87318,.F.); #116587=ORIENTED_EDGE('',*,*,#87319,.T.); #116588=ORIENTED_EDGE('',*,*,#87320,.T.); #116589=ORIENTED_EDGE('',*,*,#87316,.F.); #116590=ORIENTED_EDGE('',*,*,#87321,.F.); #116591=ORIENTED_EDGE('',*,*,#87322,.T.); #116592=ORIENTED_EDGE('',*,*,#87323,.T.); #116593=ORIENTED_EDGE('',*,*,#87319,.F.); #116594=ORIENTED_EDGE('',*,*,#87324,.F.); #116595=ORIENTED_EDGE('',*,*,#87325,.T.); #116596=ORIENTED_EDGE('',*,*,#87326,.T.); #116597=ORIENTED_EDGE('',*,*,#87322,.F.); #116598=ORIENTED_EDGE('',*,*,#87327,.F.); #116599=ORIENTED_EDGE('',*,*,#87328,.T.); #116600=ORIENTED_EDGE('',*,*,#87329,.T.); #116601=ORIENTED_EDGE('',*,*,#87325,.F.); #116602=ORIENTED_EDGE('',*,*,#87330,.F.); #116603=ORIENTED_EDGE('',*,*,#87331,.T.); #116604=ORIENTED_EDGE('',*,*,#87332,.T.); #116605=ORIENTED_EDGE('',*,*,#87328,.F.); #116606=ORIENTED_EDGE('',*,*,#87333,.F.); #116607=ORIENTED_EDGE('',*,*,#87334,.T.); #116608=ORIENTED_EDGE('',*,*,#87335,.T.); #116609=ORIENTED_EDGE('',*,*,#87331,.F.); #116610=ORIENTED_EDGE('',*,*,#87336,.F.); #116611=ORIENTED_EDGE('',*,*,#87337,.T.); #116612=ORIENTED_EDGE('',*,*,#87338,.T.); #116613=ORIENTED_EDGE('',*,*,#87334,.F.); #116614=ORIENTED_EDGE('',*,*,#87339,.F.); #116615=ORIENTED_EDGE('',*,*,#87340,.T.); #116616=ORIENTED_EDGE('',*,*,#87341,.T.); #116617=ORIENTED_EDGE('',*,*,#87337,.F.); #116618=ORIENTED_EDGE('',*,*,#87342,.F.); #116619=ORIENTED_EDGE('',*,*,#87343,.T.); #116620=ORIENTED_EDGE('',*,*,#87344,.T.); #116621=ORIENTED_EDGE('',*,*,#87340,.F.); #116622=ORIENTED_EDGE('',*,*,#87345,.F.); #116623=ORIENTED_EDGE('',*,*,#87346,.T.); #116624=ORIENTED_EDGE('',*,*,#87347,.T.); #116625=ORIENTED_EDGE('',*,*,#87343,.F.); #116626=ORIENTED_EDGE('',*,*,#87348,.F.); #116627=ORIENTED_EDGE('',*,*,#87349,.T.); #116628=ORIENTED_EDGE('',*,*,#87350,.T.); #116629=ORIENTED_EDGE('',*,*,#87346,.F.); #116630=ORIENTED_EDGE('',*,*,#87351,.F.); #116631=ORIENTED_EDGE('',*,*,#87352,.T.); #116632=ORIENTED_EDGE('',*,*,#87353,.T.); #116633=ORIENTED_EDGE('',*,*,#87349,.F.); #116634=ORIENTED_EDGE('',*,*,#87354,.F.); #116635=ORIENTED_EDGE('',*,*,#87355,.T.); #116636=ORIENTED_EDGE('',*,*,#87356,.T.); #116637=ORIENTED_EDGE('',*,*,#87352,.F.); #116638=ORIENTED_EDGE('',*,*,#87357,.F.); #116639=ORIENTED_EDGE('',*,*,#87358,.T.); #116640=ORIENTED_EDGE('',*,*,#87359,.T.); #116641=ORIENTED_EDGE('',*,*,#87355,.F.); #116642=ORIENTED_EDGE('',*,*,#87360,.F.); #116643=ORIENTED_EDGE('',*,*,#87361,.T.); #116644=ORIENTED_EDGE('',*,*,#87362,.T.); #116645=ORIENTED_EDGE('',*,*,#87358,.F.); #116646=ORIENTED_EDGE('',*,*,#87363,.F.); #116647=ORIENTED_EDGE('',*,*,#87364,.T.); #116648=ORIENTED_EDGE('',*,*,#87365,.T.); #116649=ORIENTED_EDGE('',*,*,#87361,.F.); #116650=ORIENTED_EDGE('',*,*,#87366,.F.); #116651=ORIENTED_EDGE('',*,*,#87367,.T.); #116652=ORIENTED_EDGE('',*,*,#87368,.T.); #116653=ORIENTED_EDGE('',*,*,#87364,.F.); #116654=ORIENTED_EDGE('',*,*,#87369,.F.); #116655=ORIENTED_EDGE('',*,*,#87370,.T.); #116656=ORIENTED_EDGE('',*,*,#87371,.T.); #116657=ORIENTED_EDGE('',*,*,#87367,.F.); #116658=ORIENTED_EDGE('',*,*,#87372,.F.); #116659=ORIENTED_EDGE('',*,*,#87373,.T.); #116660=ORIENTED_EDGE('',*,*,#87374,.T.); #116661=ORIENTED_EDGE('',*,*,#87370,.F.); #116662=ORIENTED_EDGE('',*,*,#87375,.F.); #116663=ORIENTED_EDGE('',*,*,#87376,.T.); #116664=ORIENTED_EDGE('',*,*,#87377,.T.); #116665=ORIENTED_EDGE('',*,*,#87373,.F.); #116666=ORIENTED_EDGE('',*,*,#87378,.F.); #116667=ORIENTED_EDGE('',*,*,#87379,.T.); #116668=ORIENTED_EDGE('',*,*,#87380,.T.); #116669=ORIENTED_EDGE('',*,*,#87376,.F.); #116670=ORIENTED_EDGE('',*,*,#87381,.F.); #116671=ORIENTED_EDGE('',*,*,#87382,.T.); #116672=ORIENTED_EDGE('',*,*,#87383,.T.); #116673=ORIENTED_EDGE('',*,*,#87379,.F.); #116674=ORIENTED_EDGE('',*,*,#87384,.F.); #116675=ORIENTED_EDGE('',*,*,#87385,.T.); #116676=ORIENTED_EDGE('',*,*,#87386,.T.); #116677=ORIENTED_EDGE('',*,*,#87382,.F.); #116678=ORIENTED_EDGE('',*,*,#87387,.F.); #116679=ORIENTED_EDGE('',*,*,#87388,.T.); #116680=ORIENTED_EDGE('',*,*,#87389,.T.); #116681=ORIENTED_EDGE('',*,*,#87385,.F.); #116682=ORIENTED_EDGE('',*,*,#87390,.F.); #116683=ORIENTED_EDGE('',*,*,#87391,.T.); #116684=ORIENTED_EDGE('',*,*,#87392,.T.); #116685=ORIENTED_EDGE('',*,*,#87388,.F.); #116686=ORIENTED_EDGE('',*,*,#87393,.F.); #116687=ORIENTED_EDGE('',*,*,#87394,.T.); #116688=ORIENTED_EDGE('',*,*,#87395,.T.); #116689=ORIENTED_EDGE('',*,*,#87391,.F.); #116690=ORIENTED_EDGE('',*,*,#87396,.F.); #116691=ORIENTED_EDGE('',*,*,#87397,.T.); #116692=ORIENTED_EDGE('',*,*,#87398,.T.); #116693=ORIENTED_EDGE('',*,*,#87394,.F.); #116694=ORIENTED_EDGE('',*,*,#87399,.F.); #116695=ORIENTED_EDGE('',*,*,#87400,.T.); #116696=ORIENTED_EDGE('',*,*,#87401,.T.); #116697=ORIENTED_EDGE('',*,*,#87397,.F.); #116698=ORIENTED_EDGE('',*,*,#87402,.F.); #116699=ORIENTED_EDGE('',*,*,#87403,.T.); #116700=ORIENTED_EDGE('',*,*,#87404,.T.); #116701=ORIENTED_EDGE('',*,*,#87400,.F.); #116702=ORIENTED_EDGE('',*,*,#87405,.F.); #116703=ORIENTED_EDGE('',*,*,#87406,.T.); #116704=ORIENTED_EDGE('',*,*,#87407,.T.); #116705=ORIENTED_EDGE('',*,*,#87403,.F.); #116706=ORIENTED_EDGE('',*,*,#87408,.F.); #116707=ORIENTED_EDGE('',*,*,#87409,.T.); #116708=ORIENTED_EDGE('',*,*,#87410,.T.); #116709=ORIENTED_EDGE('',*,*,#87406,.F.); #116710=ORIENTED_EDGE('',*,*,#87411,.F.); #116711=ORIENTED_EDGE('',*,*,#87412,.T.); #116712=ORIENTED_EDGE('',*,*,#87413,.T.); #116713=ORIENTED_EDGE('',*,*,#87409,.F.); #116714=ORIENTED_EDGE('',*,*,#87414,.F.); #116715=ORIENTED_EDGE('',*,*,#87415,.T.); #116716=ORIENTED_EDGE('',*,*,#87416,.T.); #116717=ORIENTED_EDGE('',*,*,#87412,.F.); #116718=ORIENTED_EDGE('',*,*,#87417,.F.); #116719=ORIENTED_EDGE('',*,*,#87418,.T.); #116720=ORIENTED_EDGE('',*,*,#87419,.T.); #116721=ORIENTED_EDGE('',*,*,#87415,.F.); #116722=ORIENTED_EDGE('',*,*,#87420,.F.); #116723=ORIENTED_EDGE('',*,*,#87421,.T.); #116724=ORIENTED_EDGE('',*,*,#87422,.T.); #116725=ORIENTED_EDGE('',*,*,#87418,.F.); #116726=ORIENTED_EDGE('',*,*,#87423,.F.); #116727=ORIENTED_EDGE('',*,*,#87424,.T.); #116728=ORIENTED_EDGE('',*,*,#87425,.T.); #116729=ORIENTED_EDGE('',*,*,#87421,.F.); #116730=ORIENTED_EDGE('',*,*,#87426,.F.); #116731=ORIENTED_EDGE('',*,*,#87427,.T.); #116732=ORIENTED_EDGE('',*,*,#87428,.T.); #116733=ORIENTED_EDGE('',*,*,#87424,.F.); #116734=ORIENTED_EDGE('',*,*,#87429,.F.); #116735=ORIENTED_EDGE('',*,*,#87430,.T.); #116736=ORIENTED_EDGE('',*,*,#87431,.T.); #116737=ORIENTED_EDGE('',*,*,#87427,.F.); #116738=ORIENTED_EDGE('',*,*,#87432,.F.); #116739=ORIENTED_EDGE('',*,*,#87433,.T.); #116740=ORIENTED_EDGE('',*,*,#87434,.T.); #116741=ORIENTED_EDGE('',*,*,#87430,.F.); #116742=ORIENTED_EDGE('',*,*,#87435,.F.); #116743=ORIENTED_EDGE('',*,*,#87436,.T.); #116744=ORIENTED_EDGE('',*,*,#87437,.T.); #116745=ORIENTED_EDGE('',*,*,#87433,.F.); #116746=ORIENTED_EDGE('',*,*,#87438,.F.); #116747=ORIENTED_EDGE('',*,*,#87439,.T.); #116748=ORIENTED_EDGE('',*,*,#87440,.T.); #116749=ORIENTED_EDGE('',*,*,#87436,.F.); #116750=ORIENTED_EDGE('',*,*,#87441,.F.); #116751=ORIENTED_EDGE('',*,*,#87442,.T.); #116752=ORIENTED_EDGE('',*,*,#87443,.T.); #116753=ORIENTED_EDGE('',*,*,#87439,.F.); #116754=ORIENTED_EDGE('',*,*,#87444,.F.); #116755=ORIENTED_EDGE('',*,*,#87445,.T.); #116756=ORIENTED_EDGE('',*,*,#87446,.T.); #116757=ORIENTED_EDGE('',*,*,#87442,.F.); #116758=ORIENTED_EDGE('',*,*,#87447,.F.); #116759=ORIENTED_EDGE('',*,*,#87448,.T.); #116760=ORIENTED_EDGE('',*,*,#87449,.T.); #116761=ORIENTED_EDGE('',*,*,#87445,.F.); #116762=ORIENTED_EDGE('',*,*,#87450,.F.); #116763=ORIENTED_EDGE('',*,*,#87451,.T.); #116764=ORIENTED_EDGE('',*,*,#87452,.T.); #116765=ORIENTED_EDGE('',*,*,#87448,.F.); #116766=ORIENTED_EDGE('',*,*,#87453,.F.); #116767=ORIENTED_EDGE('',*,*,#87454,.T.); #116768=ORIENTED_EDGE('',*,*,#87455,.T.); #116769=ORIENTED_EDGE('',*,*,#87451,.F.); #116770=ORIENTED_EDGE('',*,*,#87456,.F.); #116771=ORIENTED_EDGE('',*,*,#87457,.T.); #116772=ORIENTED_EDGE('',*,*,#87458,.T.); #116773=ORIENTED_EDGE('',*,*,#87454,.F.); #116774=ORIENTED_EDGE('',*,*,#87459,.F.); #116775=ORIENTED_EDGE('',*,*,#87460,.T.); #116776=ORIENTED_EDGE('',*,*,#87461,.T.); #116777=ORIENTED_EDGE('',*,*,#87457,.F.); #116778=ORIENTED_EDGE('',*,*,#87462,.F.); #116779=ORIENTED_EDGE('',*,*,#87463,.T.); #116780=ORIENTED_EDGE('',*,*,#87464,.T.); #116781=ORIENTED_EDGE('',*,*,#87460,.F.); #116782=ORIENTED_EDGE('',*,*,#87465,.F.); #116783=ORIENTED_EDGE('',*,*,#87466,.T.); #116784=ORIENTED_EDGE('',*,*,#87467,.T.); #116785=ORIENTED_EDGE('',*,*,#87463,.F.); #116786=ORIENTED_EDGE('',*,*,#87468,.F.); #116787=ORIENTED_EDGE('',*,*,#87293,.T.); #116788=ORIENTED_EDGE('',*,*,#87469,.T.); #116789=ORIENTED_EDGE('',*,*,#87466,.F.); #116790=ORIENTED_EDGE('',*,*,#87469,.F.); #116791=ORIENTED_EDGE('',*,*,#87292,.F.); #116792=ORIENTED_EDGE('',*,*,#87296,.F.); #116793=ORIENTED_EDGE('',*,*,#87299,.F.); #116794=ORIENTED_EDGE('',*,*,#87302,.F.); #116795=ORIENTED_EDGE('',*,*,#87305,.F.); #116796=ORIENTED_EDGE('',*,*,#87308,.F.); #116797=ORIENTED_EDGE('',*,*,#87311,.F.); #116798=ORIENTED_EDGE('',*,*,#87314,.F.); #116799=ORIENTED_EDGE('',*,*,#87317,.F.); #116800=ORIENTED_EDGE('',*,*,#87320,.F.); #116801=ORIENTED_EDGE('',*,*,#87323,.F.); #116802=ORIENTED_EDGE('',*,*,#87326,.F.); #116803=ORIENTED_EDGE('',*,*,#87329,.F.); #116804=ORIENTED_EDGE('',*,*,#87332,.F.); #116805=ORIENTED_EDGE('',*,*,#87335,.F.); #116806=ORIENTED_EDGE('',*,*,#87338,.F.); #116807=ORIENTED_EDGE('',*,*,#87341,.F.); #116808=ORIENTED_EDGE('',*,*,#87344,.F.); #116809=ORIENTED_EDGE('',*,*,#87347,.F.); #116810=ORIENTED_EDGE('',*,*,#87350,.F.); #116811=ORIENTED_EDGE('',*,*,#87353,.F.); #116812=ORIENTED_EDGE('',*,*,#87356,.F.); #116813=ORIENTED_EDGE('',*,*,#87359,.F.); #116814=ORIENTED_EDGE('',*,*,#87362,.F.); #116815=ORIENTED_EDGE('',*,*,#87365,.F.); #116816=ORIENTED_EDGE('',*,*,#87368,.F.); #116817=ORIENTED_EDGE('',*,*,#87371,.F.); #116818=ORIENTED_EDGE('',*,*,#87374,.F.); #116819=ORIENTED_EDGE('',*,*,#87377,.F.); #116820=ORIENTED_EDGE('',*,*,#87380,.F.); #116821=ORIENTED_EDGE('',*,*,#87383,.F.); #116822=ORIENTED_EDGE('',*,*,#87386,.F.); #116823=ORIENTED_EDGE('',*,*,#87389,.F.); #116824=ORIENTED_EDGE('',*,*,#87392,.F.); #116825=ORIENTED_EDGE('',*,*,#87395,.F.); #116826=ORIENTED_EDGE('',*,*,#87398,.F.); #116827=ORIENTED_EDGE('',*,*,#87401,.F.); #116828=ORIENTED_EDGE('',*,*,#87404,.F.); #116829=ORIENTED_EDGE('',*,*,#87407,.F.); #116830=ORIENTED_EDGE('',*,*,#87410,.F.); #116831=ORIENTED_EDGE('',*,*,#87413,.F.); #116832=ORIENTED_EDGE('',*,*,#87416,.F.); #116833=ORIENTED_EDGE('',*,*,#87419,.F.); #116834=ORIENTED_EDGE('',*,*,#87422,.F.); #116835=ORIENTED_EDGE('',*,*,#87425,.F.); #116836=ORIENTED_EDGE('',*,*,#87428,.F.); #116837=ORIENTED_EDGE('',*,*,#87431,.F.); #116838=ORIENTED_EDGE('',*,*,#87434,.F.); #116839=ORIENTED_EDGE('',*,*,#87437,.F.); #116840=ORIENTED_EDGE('',*,*,#87440,.F.); #116841=ORIENTED_EDGE('',*,*,#87443,.F.); #116842=ORIENTED_EDGE('',*,*,#87446,.F.); #116843=ORIENTED_EDGE('',*,*,#87449,.F.); #116844=ORIENTED_EDGE('',*,*,#87452,.F.); #116845=ORIENTED_EDGE('',*,*,#87455,.F.); #116846=ORIENTED_EDGE('',*,*,#87458,.F.); #116847=ORIENTED_EDGE('',*,*,#87461,.F.); #116848=ORIENTED_EDGE('',*,*,#87464,.F.); #116849=ORIENTED_EDGE('',*,*,#87467,.F.); #116850=ORIENTED_EDGE('',*,*,#87289,.F.); #116851=ORIENTED_EDGE('',*,*,#87268,.F.); #116852=ORIENTED_EDGE('',*,*,#87272,.F.); #116853=ORIENTED_EDGE('',*,*,#87275,.F.); #116854=ORIENTED_EDGE('',*,*,#87278,.F.); #116855=ORIENTED_EDGE('',*,*,#87281,.F.); #116856=ORIENTED_EDGE('',*,*,#87284,.F.); #116857=ORIENTED_EDGE('',*,*,#87287,.F.); #116858=ORIENTED_EDGE('',*,*,#87265,.F.); #116859=ORIENTED_EDGE('',*,*,#87247,.F.); #116860=ORIENTED_EDGE('',*,*,#87251,.F.); #116861=ORIENTED_EDGE('',*,*,#87254,.F.); #116862=ORIENTED_EDGE('',*,*,#87257,.F.); #116863=ORIENTED_EDGE('',*,*,#87260,.F.); #116864=ORIENTED_EDGE('',*,*,#87263,.F.); #116865=ORIENTED_EDGE('',*,*,#87470,.F.); #116866=ORIENTED_EDGE('',*,*,#87471,.T.); #116867=ORIENTED_EDGE('',*,*,#87472,.T.); #116868=ORIENTED_EDGE('',*,*,#87473,.F.); #116869=ORIENTED_EDGE('',*,*,#87474,.F.); #116870=ORIENTED_EDGE('',*,*,#87475,.T.); #116871=ORIENTED_EDGE('',*,*,#87476,.T.); #116872=ORIENTED_EDGE('',*,*,#87471,.F.); #116873=ORIENTED_EDGE('',*,*,#87477,.F.); #116874=ORIENTED_EDGE('',*,*,#87478,.T.); #116875=ORIENTED_EDGE('',*,*,#87479,.T.); #116876=ORIENTED_EDGE('',*,*,#87475,.F.); #116877=ORIENTED_EDGE('',*,*,#87480,.F.); #116878=ORIENTED_EDGE('',*,*,#87481,.T.); #116879=ORIENTED_EDGE('',*,*,#87482,.T.); #116880=ORIENTED_EDGE('',*,*,#87478,.F.); #116881=ORIENTED_EDGE('',*,*,#87483,.F.); #116882=ORIENTED_EDGE('',*,*,#87484,.T.); #116883=ORIENTED_EDGE('',*,*,#87485,.T.); #116884=ORIENTED_EDGE('',*,*,#87481,.F.); #116885=ORIENTED_EDGE('',*,*,#87486,.F.); #116886=ORIENTED_EDGE('',*,*,#87487,.T.); #116887=ORIENTED_EDGE('',*,*,#87488,.T.); #116888=ORIENTED_EDGE('',*,*,#87484,.F.); #116889=ORIENTED_EDGE('',*,*,#87489,.F.); #116890=ORIENTED_EDGE('',*,*,#87490,.T.); #116891=ORIENTED_EDGE('',*,*,#87491,.T.); #116892=ORIENTED_EDGE('',*,*,#87487,.F.); #116893=ORIENTED_EDGE('',*,*,#87492,.F.); #116894=ORIENTED_EDGE('',*,*,#87493,.T.); #116895=ORIENTED_EDGE('',*,*,#87494,.T.); #116896=ORIENTED_EDGE('',*,*,#87490,.F.); #116897=ORIENTED_EDGE('',*,*,#87495,.F.); #116898=ORIENTED_EDGE('',*,*,#87496,.T.); #116899=ORIENTED_EDGE('',*,*,#87497,.T.); #116900=ORIENTED_EDGE('',*,*,#87493,.F.); #116901=ORIENTED_EDGE('',*,*,#87498,.F.); #116902=ORIENTED_EDGE('',*,*,#87499,.T.); #116903=ORIENTED_EDGE('',*,*,#87500,.T.); #116904=ORIENTED_EDGE('',*,*,#87496,.F.); #116905=ORIENTED_EDGE('',*,*,#87501,.F.); #116906=ORIENTED_EDGE('',*,*,#87502,.T.); #116907=ORIENTED_EDGE('',*,*,#87503,.T.); #116908=ORIENTED_EDGE('',*,*,#87499,.F.); #116909=ORIENTED_EDGE('',*,*,#87504,.F.); #116910=ORIENTED_EDGE('',*,*,#87505,.T.); #116911=ORIENTED_EDGE('',*,*,#87506,.T.); #116912=ORIENTED_EDGE('',*,*,#87502,.F.); #116913=ORIENTED_EDGE('',*,*,#87507,.F.); #116914=ORIENTED_EDGE('',*,*,#87508,.T.); #116915=ORIENTED_EDGE('',*,*,#87509,.T.); #116916=ORIENTED_EDGE('',*,*,#87505,.F.); #116917=ORIENTED_EDGE('',*,*,#87510,.F.); #116918=ORIENTED_EDGE('',*,*,#87511,.T.); #116919=ORIENTED_EDGE('',*,*,#87512,.T.); #116920=ORIENTED_EDGE('',*,*,#87508,.F.); #116921=ORIENTED_EDGE('',*,*,#87513,.F.); #116922=ORIENTED_EDGE('',*,*,#87514,.T.); #116923=ORIENTED_EDGE('',*,*,#87515,.T.); #116924=ORIENTED_EDGE('',*,*,#87511,.F.); #116925=ORIENTED_EDGE('',*,*,#87516,.F.); #116926=ORIENTED_EDGE('',*,*,#87517,.T.); #116927=ORIENTED_EDGE('',*,*,#87518,.T.); #116928=ORIENTED_EDGE('',*,*,#87514,.F.); #116929=ORIENTED_EDGE('',*,*,#87519,.F.); #116930=ORIENTED_EDGE('',*,*,#87520,.T.); #116931=ORIENTED_EDGE('',*,*,#87521,.T.); #116932=ORIENTED_EDGE('',*,*,#87517,.F.); #116933=ORIENTED_EDGE('',*,*,#87522,.F.); #116934=ORIENTED_EDGE('',*,*,#87523,.T.); #116935=ORIENTED_EDGE('',*,*,#87524,.T.); #116936=ORIENTED_EDGE('',*,*,#87520,.F.); #116937=ORIENTED_EDGE('',*,*,#87525,.F.); #116938=ORIENTED_EDGE('',*,*,#87526,.T.); #116939=ORIENTED_EDGE('',*,*,#87527,.T.); #116940=ORIENTED_EDGE('',*,*,#87523,.F.); #116941=ORIENTED_EDGE('',*,*,#87528,.F.); #116942=ORIENTED_EDGE('',*,*,#87529,.T.); #116943=ORIENTED_EDGE('',*,*,#87530,.T.); #116944=ORIENTED_EDGE('',*,*,#87526,.F.); #116945=ORIENTED_EDGE('',*,*,#87531,.F.); #116946=ORIENTED_EDGE('',*,*,#87532,.T.); #116947=ORIENTED_EDGE('',*,*,#87533,.T.); #116948=ORIENTED_EDGE('',*,*,#87529,.F.); #116949=ORIENTED_EDGE('',*,*,#87534,.F.); #116950=ORIENTED_EDGE('',*,*,#87535,.T.); #116951=ORIENTED_EDGE('',*,*,#87536,.T.); #116952=ORIENTED_EDGE('',*,*,#87532,.F.); #116953=ORIENTED_EDGE('',*,*,#87537,.F.); #116954=ORIENTED_EDGE('',*,*,#87538,.T.); #116955=ORIENTED_EDGE('',*,*,#87539,.T.); #116956=ORIENTED_EDGE('',*,*,#87535,.F.); #116957=ORIENTED_EDGE('',*,*,#87540,.F.); #116958=ORIENTED_EDGE('',*,*,#87541,.T.); #116959=ORIENTED_EDGE('',*,*,#87542,.T.); #116960=ORIENTED_EDGE('',*,*,#87538,.F.); #116961=ORIENTED_EDGE('',*,*,#87543,.F.); #116962=ORIENTED_EDGE('',*,*,#87544,.T.); #116963=ORIENTED_EDGE('',*,*,#87545,.T.); #116964=ORIENTED_EDGE('',*,*,#87541,.F.); #116965=ORIENTED_EDGE('',*,*,#87546,.F.); #116966=ORIENTED_EDGE('',*,*,#87547,.T.); #116967=ORIENTED_EDGE('',*,*,#87548,.T.); #116968=ORIENTED_EDGE('',*,*,#87544,.F.); #116969=ORIENTED_EDGE('',*,*,#87549,.F.); #116970=ORIENTED_EDGE('',*,*,#87550,.T.); #116971=ORIENTED_EDGE('',*,*,#87551,.T.); #116972=ORIENTED_EDGE('',*,*,#87547,.F.); #116973=ORIENTED_EDGE('',*,*,#87552,.F.); #116974=ORIENTED_EDGE('',*,*,#87553,.T.); #116975=ORIENTED_EDGE('',*,*,#87554,.T.); #116976=ORIENTED_EDGE('',*,*,#87550,.F.); #116977=ORIENTED_EDGE('',*,*,#87555,.F.); #116978=ORIENTED_EDGE('',*,*,#87556,.T.); #116979=ORIENTED_EDGE('',*,*,#87557,.T.); #116980=ORIENTED_EDGE('',*,*,#87553,.F.); #116981=ORIENTED_EDGE('',*,*,#87558,.F.); #116982=ORIENTED_EDGE('',*,*,#87559,.T.); #116983=ORIENTED_EDGE('',*,*,#87560,.T.); #116984=ORIENTED_EDGE('',*,*,#87556,.F.); #116985=ORIENTED_EDGE('',*,*,#87561,.F.); #116986=ORIENTED_EDGE('',*,*,#87562,.T.); #116987=ORIENTED_EDGE('',*,*,#87563,.T.); #116988=ORIENTED_EDGE('',*,*,#87559,.F.); #116989=ORIENTED_EDGE('',*,*,#87564,.F.); #116990=ORIENTED_EDGE('',*,*,#87565,.T.); #116991=ORIENTED_EDGE('',*,*,#87566,.T.); #116992=ORIENTED_EDGE('',*,*,#87562,.F.); #116993=ORIENTED_EDGE('',*,*,#87567,.F.); #116994=ORIENTED_EDGE('',*,*,#87568,.T.); #116995=ORIENTED_EDGE('',*,*,#87569,.T.); #116996=ORIENTED_EDGE('',*,*,#87565,.F.); #116997=ORIENTED_EDGE('',*,*,#87570,.F.); #116998=ORIENTED_EDGE('',*,*,#87571,.T.); #116999=ORIENTED_EDGE('',*,*,#87572,.T.); #117000=ORIENTED_EDGE('',*,*,#87568,.F.); #117001=ORIENTED_EDGE('',*,*,#87573,.F.); #117002=ORIENTED_EDGE('',*,*,#87574,.T.); #117003=ORIENTED_EDGE('',*,*,#87575,.T.); #117004=ORIENTED_EDGE('',*,*,#87571,.F.); #117005=ORIENTED_EDGE('',*,*,#87576,.F.); #117006=ORIENTED_EDGE('',*,*,#87577,.T.); #117007=ORIENTED_EDGE('',*,*,#87578,.T.); #117008=ORIENTED_EDGE('',*,*,#87574,.F.); #117009=ORIENTED_EDGE('',*,*,#87579,.F.); #117010=ORIENTED_EDGE('',*,*,#87580,.T.); #117011=ORIENTED_EDGE('',*,*,#87581,.T.); #117012=ORIENTED_EDGE('',*,*,#87577,.F.); #117013=ORIENTED_EDGE('',*,*,#87582,.F.); #117014=ORIENTED_EDGE('',*,*,#87583,.T.); #117015=ORIENTED_EDGE('',*,*,#87584,.T.); #117016=ORIENTED_EDGE('',*,*,#87580,.F.); #117017=ORIENTED_EDGE('',*,*,#87585,.F.); #117018=ORIENTED_EDGE('',*,*,#87586,.T.); #117019=ORIENTED_EDGE('',*,*,#87587,.T.); #117020=ORIENTED_EDGE('',*,*,#87583,.F.); #117021=ORIENTED_EDGE('',*,*,#87588,.F.); #117022=ORIENTED_EDGE('',*,*,#87589,.T.); #117023=ORIENTED_EDGE('',*,*,#87590,.T.); #117024=ORIENTED_EDGE('',*,*,#87586,.F.); #117025=ORIENTED_EDGE('',*,*,#87591,.F.); #117026=ORIENTED_EDGE('',*,*,#87592,.T.); #117027=ORIENTED_EDGE('',*,*,#87593,.T.); #117028=ORIENTED_EDGE('',*,*,#87589,.F.); #117029=ORIENTED_EDGE('',*,*,#87594,.F.); #117030=ORIENTED_EDGE('',*,*,#87595,.T.); #117031=ORIENTED_EDGE('',*,*,#87596,.T.); #117032=ORIENTED_EDGE('',*,*,#87592,.F.); #117033=ORIENTED_EDGE('',*,*,#87597,.F.); #117034=ORIENTED_EDGE('',*,*,#87598,.T.); #117035=ORIENTED_EDGE('',*,*,#87599,.T.); #117036=ORIENTED_EDGE('',*,*,#87595,.F.); #117037=ORIENTED_EDGE('',*,*,#87600,.F.); #117038=ORIENTED_EDGE('',*,*,#87601,.T.); #117039=ORIENTED_EDGE('',*,*,#87602,.T.); #117040=ORIENTED_EDGE('',*,*,#87598,.F.); #117041=ORIENTED_EDGE('',*,*,#87603,.F.); #117042=ORIENTED_EDGE('',*,*,#87604,.T.); #117043=ORIENTED_EDGE('',*,*,#87605,.T.); #117044=ORIENTED_EDGE('',*,*,#87601,.F.); #117045=ORIENTED_EDGE('',*,*,#87606,.F.); #117046=ORIENTED_EDGE('',*,*,#87607,.T.); #117047=ORIENTED_EDGE('',*,*,#87608,.T.); #117048=ORIENTED_EDGE('',*,*,#87604,.F.); #117049=ORIENTED_EDGE('',*,*,#87609,.F.); #117050=ORIENTED_EDGE('',*,*,#87610,.T.); #117051=ORIENTED_EDGE('',*,*,#87611,.T.); #117052=ORIENTED_EDGE('',*,*,#87607,.F.); #117053=ORIENTED_EDGE('',*,*,#87612,.F.); #117054=ORIENTED_EDGE('',*,*,#87613,.T.); #117055=ORIENTED_EDGE('',*,*,#87614,.T.); #117056=ORIENTED_EDGE('',*,*,#87610,.F.); #117057=ORIENTED_EDGE('',*,*,#87615,.F.); #117058=ORIENTED_EDGE('',*,*,#87616,.T.); #117059=ORIENTED_EDGE('',*,*,#87617,.T.); #117060=ORIENTED_EDGE('',*,*,#87613,.F.); #117061=ORIENTED_EDGE('',*,*,#87618,.F.); #117062=ORIENTED_EDGE('',*,*,#87619,.T.); #117063=ORIENTED_EDGE('',*,*,#87620,.T.); #117064=ORIENTED_EDGE('',*,*,#87616,.F.); #117065=ORIENTED_EDGE('',*,*,#87621,.F.); #117066=ORIENTED_EDGE('',*,*,#87622,.T.); #117067=ORIENTED_EDGE('',*,*,#87623,.T.); #117068=ORIENTED_EDGE('',*,*,#87619,.F.); #117069=ORIENTED_EDGE('',*,*,#87624,.F.); #117070=ORIENTED_EDGE('',*,*,#87625,.T.); #117071=ORIENTED_EDGE('',*,*,#87626,.T.); #117072=ORIENTED_EDGE('',*,*,#87622,.F.); #117073=ORIENTED_EDGE('',*,*,#87627,.F.); #117074=ORIENTED_EDGE('',*,*,#87628,.T.); #117075=ORIENTED_EDGE('',*,*,#87629,.T.); #117076=ORIENTED_EDGE('',*,*,#87625,.F.); #117077=ORIENTED_EDGE('',*,*,#87630,.F.); #117078=ORIENTED_EDGE('',*,*,#87631,.T.); #117079=ORIENTED_EDGE('',*,*,#87632,.T.); #117080=ORIENTED_EDGE('',*,*,#87628,.F.); #117081=ORIENTED_EDGE('',*,*,#87633,.F.); #117082=ORIENTED_EDGE('',*,*,#87634,.T.); #117083=ORIENTED_EDGE('',*,*,#87635,.T.); #117084=ORIENTED_EDGE('',*,*,#87631,.F.); #117085=ORIENTED_EDGE('',*,*,#87636,.F.); #117086=ORIENTED_EDGE('',*,*,#87637,.T.); #117087=ORIENTED_EDGE('',*,*,#87638,.T.); #117088=ORIENTED_EDGE('',*,*,#87634,.F.); #117089=ORIENTED_EDGE('',*,*,#87639,.F.); #117090=ORIENTED_EDGE('',*,*,#87640,.T.); #117091=ORIENTED_EDGE('',*,*,#87641,.T.); #117092=ORIENTED_EDGE('',*,*,#87637,.F.); #117093=ORIENTED_EDGE('',*,*,#87642,.F.); #117094=ORIENTED_EDGE('',*,*,#87643,.T.); #117095=ORIENTED_EDGE('',*,*,#87644,.T.); #117096=ORIENTED_EDGE('',*,*,#87640,.F.); #117097=ORIENTED_EDGE('',*,*,#87645,.F.); #117098=ORIENTED_EDGE('',*,*,#87646,.T.); #117099=ORIENTED_EDGE('',*,*,#87647,.T.); #117100=ORIENTED_EDGE('',*,*,#87643,.F.); #117101=ORIENTED_EDGE('',*,*,#87648,.F.); #117102=ORIENTED_EDGE('',*,*,#87649,.T.); #117103=ORIENTED_EDGE('',*,*,#87650,.T.); #117104=ORIENTED_EDGE('',*,*,#87646,.F.); #117105=ORIENTED_EDGE('',*,*,#87651,.F.); #117106=ORIENTED_EDGE('',*,*,#87652,.T.); #117107=ORIENTED_EDGE('',*,*,#87653,.T.); #117108=ORIENTED_EDGE('',*,*,#87649,.F.); #117109=ORIENTED_EDGE('',*,*,#87654,.F.); #117110=ORIENTED_EDGE('',*,*,#87655,.T.); #117111=ORIENTED_EDGE('',*,*,#87656,.T.); #117112=ORIENTED_EDGE('',*,*,#87652,.F.); #117113=ORIENTED_EDGE('',*,*,#87657,.F.); #117114=ORIENTED_EDGE('',*,*,#87658,.T.); #117115=ORIENTED_EDGE('',*,*,#87659,.T.); #117116=ORIENTED_EDGE('',*,*,#87655,.F.); #117117=ORIENTED_EDGE('',*,*,#87660,.F.); #117118=ORIENTED_EDGE('',*,*,#87661,.T.); #117119=ORIENTED_EDGE('',*,*,#87662,.T.); #117120=ORIENTED_EDGE('',*,*,#87658,.F.); #117121=ORIENTED_EDGE('',*,*,#87663,.F.); #117122=ORIENTED_EDGE('',*,*,#87664,.T.); #117123=ORIENTED_EDGE('',*,*,#87665,.T.); #117124=ORIENTED_EDGE('',*,*,#87661,.F.); #117125=ORIENTED_EDGE('',*,*,#87666,.F.); #117126=ORIENTED_EDGE('',*,*,#87667,.T.); #117127=ORIENTED_EDGE('',*,*,#87668,.T.); #117128=ORIENTED_EDGE('',*,*,#87664,.F.); #117129=ORIENTED_EDGE('',*,*,#87669,.F.); #117130=ORIENTED_EDGE('',*,*,#87670,.T.); #117131=ORIENTED_EDGE('',*,*,#87671,.T.); #117132=ORIENTED_EDGE('',*,*,#87667,.F.); #117133=ORIENTED_EDGE('',*,*,#87672,.F.); #117134=ORIENTED_EDGE('',*,*,#87673,.T.); #117135=ORIENTED_EDGE('',*,*,#87674,.T.); #117136=ORIENTED_EDGE('',*,*,#87670,.F.); #117137=ORIENTED_EDGE('',*,*,#87675,.F.); #117138=ORIENTED_EDGE('',*,*,#87676,.T.); #117139=ORIENTED_EDGE('',*,*,#87677,.T.); #117140=ORIENTED_EDGE('',*,*,#87673,.F.); #117141=ORIENTED_EDGE('',*,*,#87678,.F.); #117142=ORIENTED_EDGE('',*,*,#87679,.T.); #117143=ORIENTED_EDGE('',*,*,#87680,.T.); #117144=ORIENTED_EDGE('',*,*,#87676,.F.); #117145=ORIENTED_EDGE('',*,*,#87681,.F.); #117146=ORIENTED_EDGE('',*,*,#87682,.T.); #117147=ORIENTED_EDGE('',*,*,#87683,.T.); #117148=ORIENTED_EDGE('',*,*,#87679,.F.); #117149=ORIENTED_EDGE('',*,*,#87684,.F.); #117150=ORIENTED_EDGE('',*,*,#87685,.T.); #117151=ORIENTED_EDGE('',*,*,#87686,.T.); #117152=ORIENTED_EDGE('',*,*,#87682,.F.); #117153=ORIENTED_EDGE('',*,*,#87687,.F.); #117154=ORIENTED_EDGE('',*,*,#87688,.T.); #117155=ORIENTED_EDGE('',*,*,#87689,.T.); #117156=ORIENTED_EDGE('',*,*,#87685,.F.); #117157=ORIENTED_EDGE('',*,*,#87690,.F.); #117158=ORIENTED_EDGE('',*,*,#87691,.T.); #117159=ORIENTED_EDGE('',*,*,#87692,.T.); #117160=ORIENTED_EDGE('',*,*,#87688,.F.); #117161=ORIENTED_EDGE('',*,*,#87693,.F.); #117162=ORIENTED_EDGE('',*,*,#87694,.T.); #117163=ORIENTED_EDGE('',*,*,#87695,.T.); #117164=ORIENTED_EDGE('',*,*,#87691,.F.); #117165=ORIENTED_EDGE('',*,*,#87696,.F.); #117166=ORIENTED_EDGE('',*,*,#87697,.T.); #117167=ORIENTED_EDGE('',*,*,#87698,.T.); #117168=ORIENTED_EDGE('',*,*,#87694,.F.); #117169=ORIENTED_EDGE('',*,*,#87699,.F.); #117170=ORIENTED_EDGE('',*,*,#87700,.T.); #117171=ORIENTED_EDGE('',*,*,#87701,.T.); #117172=ORIENTED_EDGE('',*,*,#87697,.F.); #117173=ORIENTED_EDGE('',*,*,#87702,.F.); #117174=ORIENTED_EDGE('',*,*,#87703,.T.); #117175=ORIENTED_EDGE('',*,*,#87704,.T.); #117176=ORIENTED_EDGE('',*,*,#87700,.F.); #117177=ORIENTED_EDGE('',*,*,#87705,.F.); #117178=ORIENTED_EDGE('',*,*,#87706,.T.); #117179=ORIENTED_EDGE('',*,*,#87707,.T.); #117180=ORIENTED_EDGE('',*,*,#87703,.F.); #117181=ORIENTED_EDGE('',*,*,#87708,.F.); #117182=ORIENTED_EDGE('',*,*,#87709,.T.); #117183=ORIENTED_EDGE('',*,*,#87710,.T.); #117184=ORIENTED_EDGE('',*,*,#87706,.F.); #117185=ORIENTED_EDGE('',*,*,#87711,.F.); #117186=ORIENTED_EDGE('',*,*,#87712,.T.); #117187=ORIENTED_EDGE('',*,*,#87713,.T.); #117188=ORIENTED_EDGE('',*,*,#87709,.F.); #117189=ORIENTED_EDGE('',*,*,#87714,.F.); #117190=ORIENTED_EDGE('',*,*,#87715,.T.); #117191=ORIENTED_EDGE('',*,*,#87716,.T.); #117192=ORIENTED_EDGE('',*,*,#87712,.F.); #117193=ORIENTED_EDGE('',*,*,#87717,.F.); #117194=ORIENTED_EDGE('',*,*,#87718,.T.); #117195=ORIENTED_EDGE('',*,*,#87719,.T.); #117196=ORIENTED_EDGE('',*,*,#87715,.F.); #117197=ORIENTED_EDGE('',*,*,#87720,.F.); #117198=ORIENTED_EDGE('',*,*,#87721,.T.); #117199=ORIENTED_EDGE('',*,*,#87722,.T.); #117200=ORIENTED_EDGE('',*,*,#87718,.F.); #117201=ORIENTED_EDGE('',*,*,#87723,.F.); #117202=ORIENTED_EDGE('',*,*,#87724,.T.); #117203=ORIENTED_EDGE('',*,*,#87725,.T.); #117204=ORIENTED_EDGE('',*,*,#87721,.F.); #117205=ORIENTED_EDGE('',*,*,#87726,.F.); #117206=ORIENTED_EDGE('',*,*,#87727,.T.); #117207=ORIENTED_EDGE('',*,*,#87728,.T.); #117208=ORIENTED_EDGE('',*,*,#87724,.F.); #117209=ORIENTED_EDGE('',*,*,#87729,.F.); #117210=ORIENTED_EDGE('',*,*,#87730,.T.); #117211=ORIENTED_EDGE('',*,*,#87731,.T.); #117212=ORIENTED_EDGE('',*,*,#87727,.F.); #117213=ORIENTED_EDGE('',*,*,#87732,.F.); #117214=ORIENTED_EDGE('',*,*,#87733,.T.); #117215=ORIENTED_EDGE('',*,*,#87734,.T.); #117216=ORIENTED_EDGE('',*,*,#87730,.F.); #117217=ORIENTED_EDGE('',*,*,#87735,.F.); #117218=ORIENTED_EDGE('',*,*,#87736,.T.); #117219=ORIENTED_EDGE('',*,*,#87737,.T.); #117220=ORIENTED_EDGE('',*,*,#87733,.F.); #117221=ORIENTED_EDGE('',*,*,#87738,.F.); #117222=ORIENTED_EDGE('',*,*,#87739,.T.); #117223=ORIENTED_EDGE('',*,*,#87740,.T.); #117224=ORIENTED_EDGE('',*,*,#87736,.F.); #117225=ORIENTED_EDGE('',*,*,#87741,.F.); #117226=ORIENTED_EDGE('',*,*,#87742,.T.); #117227=ORIENTED_EDGE('',*,*,#87743,.T.); #117228=ORIENTED_EDGE('',*,*,#87739,.F.); #117229=ORIENTED_EDGE('',*,*,#87744,.F.); #117230=ORIENTED_EDGE('',*,*,#87745,.T.); #117231=ORIENTED_EDGE('',*,*,#87746,.T.); #117232=ORIENTED_EDGE('',*,*,#87742,.F.); #117233=ORIENTED_EDGE('',*,*,#87747,.F.); #117234=ORIENTED_EDGE('',*,*,#87748,.T.); #117235=ORIENTED_EDGE('',*,*,#87749,.T.); #117236=ORIENTED_EDGE('',*,*,#87745,.F.); #117237=ORIENTED_EDGE('',*,*,#87750,.F.); #117238=ORIENTED_EDGE('',*,*,#87751,.T.); #117239=ORIENTED_EDGE('',*,*,#87752,.T.); #117240=ORIENTED_EDGE('',*,*,#87748,.F.); #117241=ORIENTED_EDGE('',*,*,#87753,.F.); #117242=ORIENTED_EDGE('',*,*,#87754,.T.); #117243=ORIENTED_EDGE('',*,*,#87755,.T.); #117244=ORIENTED_EDGE('',*,*,#87751,.F.); #117245=ORIENTED_EDGE('',*,*,#87756,.F.); #117246=ORIENTED_EDGE('',*,*,#87757,.T.); #117247=ORIENTED_EDGE('',*,*,#87758,.T.); #117248=ORIENTED_EDGE('',*,*,#87754,.F.); #117249=ORIENTED_EDGE('',*,*,#87759,.F.); #117250=ORIENTED_EDGE('',*,*,#87760,.T.); #117251=ORIENTED_EDGE('',*,*,#87761,.T.); #117252=ORIENTED_EDGE('',*,*,#87757,.F.); #117253=ORIENTED_EDGE('',*,*,#87762,.F.); #117254=ORIENTED_EDGE('',*,*,#87763,.T.); #117255=ORIENTED_EDGE('',*,*,#87764,.T.); #117256=ORIENTED_EDGE('',*,*,#87760,.F.); #117257=ORIENTED_EDGE('',*,*,#87765,.F.); #117258=ORIENTED_EDGE('',*,*,#87766,.T.); #117259=ORIENTED_EDGE('',*,*,#87767,.T.); #117260=ORIENTED_EDGE('',*,*,#87763,.F.); #117261=ORIENTED_EDGE('',*,*,#87768,.F.); #117262=ORIENTED_EDGE('',*,*,#87769,.T.); #117263=ORIENTED_EDGE('',*,*,#87770,.T.); #117264=ORIENTED_EDGE('',*,*,#87766,.F.); #117265=ORIENTED_EDGE('',*,*,#87771,.F.); #117266=ORIENTED_EDGE('',*,*,#87772,.T.); #117267=ORIENTED_EDGE('',*,*,#87773,.T.); #117268=ORIENTED_EDGE('',*,*,#87769,.F.); #117269=ORIENTED_EDGE('',*,*,#87774,.F.); #117270=ORIENTED_EDGE('',*,*,#87775,.T.); #117271=ORIENTED_EDGE('',*,*,#87776,.T.); #117272=ORIENTED_EDGE('',*,*,#87772,.F.); #117273=ORIENTED_EDGE('',*,*,#87777,.F.); #117274=ORIENTED_EDGE('',*,*,#87778,.T.); #117275=ORIENTED_EDGE('',*,*,#87779,.T.); #117276=ORIENTED_EDGE('',*,*,#87775,.F.); #117277=ORIENTED_EDGE('',*,*,#87780,.F.); #117278=ORIENTED_EDGE('',*,*,#87473,.T.); #117279=ORIENTED_EDGE('',*,*,#87781,.T.); #117280=ORIENTED_EDGE('',*,*,#87778,.F.); #117281=ORIENTED_EDGE('',*,*,#87781,.F.); #117282=ORIENTED_EDGE('',*,*,#87472,.F.); #117283=ORIENTED_EDGE('',*,*,#87476,.F.); #117284=ORIENTED_EDGE('',*,*,#87479,.F.); #117285=ORIENTED_EDGE('',*,*,#87482,.F.); #117286=ORIENTED_EDGE('',*,*,#87485,.F.); #117287=ORIENTED_EDGE('',*,*,#87488,.F.); #117288=ORIENTED_EDGE('',*,*,#87491,.F.); #117289=ORIENTED_EDGE('',*,*,#87494,.F.); #117290=ORIENTED_EDGE('',*,*,#87497,.F.); #117291=ORIENTED_EDGE('',*,*,#87500,.F.); #117292=ORIENTED_EDGE('',*,*,#87503,.F.); #117293=ORIENTED_EDGE('',*,*,#87506,.F.); #117294=ORIENTED_EDGE('',*,*,#87509,.F.); #117295=ORIENTED_EDGE('',*,*,#87512,.F.); #117296=ORIENTED_EDGE('',*,*,#87515,.F.); #117297=ORIENTED_EDGE('',*,*,#87518,.F.); #117298=ORIENTED_EDGE('',*,*,#87521,.F.); #117299=ORIENTED_EDGE('',*,*,#87524,.F.); #117300=ORIENTED_EDGE('',*,*,#87527,.F.); #117301=ORIENTED_EDGE('',*,*,#87530,.F.); #117302=ORIENTED_EDGE('',*,*,#87533,.F.); #117303=ORIENTED_EDGE('',*,*,#87536,.F.); #117304=ORIENTED_EDGE('',*,*,#87539,.F.); #117305=ORIENTED_EDGE('',*,*,#87542,.F.); #117306=ORIENTED_EDGE('',*,*,#87545,.F.); #117307=ORIENTED_EDGE('',*,*,#87548,.F.); #117308=ORIENTED_EDGE('',*,*,#87551,.F.); #117309=ORIENTED_EDGE('',*,*,#87554,.F.); #117310=ORIENTED_EDGE('',*,*,#87557,.F.); #117311=ORIENTED_EDGE('',*,*,#87560,.F.); #117312=ORIENTED_EDGE('',*,*,#87563,.F.); #117313=ORIENTED_EDGE('',*,*,#87566,.F.); #117314=ORIENTED_EDGE('',*,*,#87569,.F.); #117315=ORIENTED_EDGE('',*,*,#87572,.F.); #117316=ORIENTED_EDGE('',*,*,#87575,.F.); #117317=ORIENTED_EDGE('',*,*,#87578,.F.); #117318=ORIENTED_EDGE('',*,*,#87581,.F.); #117319=ORIENTED_EDGE('',*,*,#87584,.F.); #117320=ORIENTED_EDGE('',*,*,#87587,.F.); #117321=ORIENTED_EDGE('',*,*,#87590,.F.); #117322=ORIENTED_EDGE('',*,*,#87593,.F.); #117323=ORIENTED_EDGE('',*,*,#87596,.F.); #117324=ORIENTED_EDGE('',*,*,#87599,.F.); #117325=ORIENTED_EDGE('',*,*,#87602,.F.); #117326=ORIENTED_EDGE('',*,*,#87605,.F.); #117327=ORIENTED_EDGE('',*,*,#87608,.F.); #117328=ORIENTED_EDGE('',*,*,#87611,.F.); #117329=ORIENTED_EDGE('',*,*,#87614,.F.); #117330=ORIENTED_EDGE('',*,*,#87617,.F.); #117331=ORIENTED_EDGE('',*,*,#87620,.F.); #117332=ORIENTED_EDGE('',*,*,#87623,.F.); #117333=ORIENTED_EDGE('',*,*,#87626,.F.); #117334=ORIENTED_EDGE('',*,*,#87629,.F.); #117335=ORIENTED_EDGE('',*,*,#87632,.F.); #117336=ORIENTED_EDGE('',*,*,#87635,.F.); #117337=ORIENTED_EDGE('',*,*,#87638,.F.); #117338=ORIENTED_EDGE('',*,*,#87641,.F.); #117339=ORIENTED_EDGE('',*,*,#87644,.F.); #117340=ORIENTED_EDGE('',*,*,#87647,.F.); #117341=ORIENTED_EDGE('',*,*,#87650,.F.); #117342=ORIENTED_EDGE('',*,*,#87653,.F.); #117343=ORIENTED_EDGE('',*,*,#87656,.F.); #117344=ORIENTED_EDGE('',*,*,#87659,.F.); #117345=ORIENTED_EDGE('',*,*,#87662,.F.); #117346=ORIENTED_EDGE('',*,*,#87665,.F.); #117347=ORIENTED_EDGE('',*,*,#87668,.F.); #117348=ORIENTED_EDGE('',*,*,#87671,.F.); #117349=ORIENTED_EDGE('',*,*,#87674,.F.); #117350=ORIENTED_EDGE('',*,*,#87677,.F.); #117351=ORIENTED_EDGE('',*,*,#87680,.F.); #117352=ORIENTED_EDGE('',*,*,#87683,.F.); #117353=ORIENTED_EDGE('',*,*,#87686,.F.); #117354=ORIENTED_EDGE('',*,*,#87689,.F.); #117355=ORIENTED_EDGE('',*,*,#87692,.F.); #117356=ORIENTED_EDGE('',*,*,#87695,.F.); #117357=ORIENTED_EDGE('',*,*,#87698,.F.); #117358=ORIENTED_EDGE('',*,*,#87701,.F.); #117359=ORIENTED_EDGE('',*,*,#87704,.F.); #117360=ORIENTED_EDGE('',*,*,#87707,.F.); #117361=ORIENTED_EDGE('',*,*,#87710,.F.); #117362=ORIENTED_EDGE('',*,*,#87713,.F.); #117363=ORIENTED_EDGE('',*,*,#87716,.F.); #117364=ORIENTED_EDGE('',*,*,#87719,.F.); #117365=ORIENTED_EDGE('',*,*,#87722,.F.); #117366=ORIENTED_EDGE('',*,*,#87725,.F.); #117367=ORIENTED_EDGE('',*,*,#87728,.F.); #117368=ORIENTED_EDGE('',*,*,#87731,.F.); #117369=ORIENTED_EDGE('',*,*,#87734,.F.); #117370=ORIENTED_EDGE('',*,*,#87737,.F.); #117371=ORIENTED_EDGE('',*,*,#87740,.F.); #117372=ORIENTED_EDGE('',*,*,#87743,.F.); #117373=ORIENTED_EDGE('',*,*,#87746,.F.); #117374=ORIENTED_EDGE('',*,*,#87749,.F.); #117375=ORIENTED_EDGE('',*,*,#87752,.F.); #117376=ORIENTED_EDGE('',*,*,#87755,.F.); #117377=ORIENTED_EDGE('',*,*,#87758,.F.); #117378=ORIENTED_EDGE('',*,*,#87761,.F.); #117379=ORIENTED_EDGE('',*,*,#87764,.F.); #117380=ORIENTED_EDGE('',*,*,#87767,.F.); #117381=ORIENTED_EDGE('',*,*,#87770,.F.); #117382=ORIENTED_EDGE('',*,*,#87773,.F.); #117383=ORIENTED_EDGE('',*,*,#87776,.F.); #117384=ORIENTED_EDGE('',*,*,#87779,.F.); #117385=ORIENTED_EDGE('',*,*,#87782,.F.); #117386=ORIENTED_EDGE('',*,*,#87783,.T.); #117387=ORIENTED_EDGE('',*,*,#87784,.T.); #117388=ORIENTED_EDGE('',*,*,#87785,.F.); #117389=ORIENTED_EDGE('',*,*,#87786,.F.); #117390=ORIENTED_EDGE('',*,*,#87787,.T.); #117391=ORIENTED_EDGE('',*,*,#87788,.T.); #117392=ORIENTED_EDGE('',*,*,#87783,.F.); #117393=ORIENTED_EDGE('',*,*,#87789,.F.); #117394=ORIENTED_EDGE('',*,*,#87790,.T.); #117395=ORIENTED_EDGE('',*,*,#87791,.T.); #117396=ORIENTED_EDGE('',*,*,#87787,.F.); #117397=ORIENTED_EDGE('',*,*,#87792,.F.); #117398=ORIENTED_EDGE('',*,*,#87785,.T.); #117399=ORIENTED_EDGE('',*,*,#87793,.T.); #117400=ORIENTED_EDGE('',*,*,#87790,.F.); #117401=ORIENTED_EDGE('',*,*,#87793,.F.); #117402=ORIENTED_EDGE('',*,*,#87784,.F.); #117403=ORIENTED_EDGE('',*,*,#87788,.F.); #117404=ORIENTED_EDGE('',*,*,#87791,.F.); #117405=ORIENTED_EDGE('',*,*,#87794,.F.); #117406=ORIENTED_EDGE('',*,*,#87795,.T.); #117407=ORIENTED_EDGE('',*,*,#87796,.T.); #117408=ORIENTED_EDGE('',*,*,#87797,.F.); #117409=ORIENTED_EDGE('',*,*,#87798,.F.); #117410=ORIENTED_EDGE('',*,*,#87799,.T.); #117411=ORIENTED_EDGE('',*,*,#87800,.T.); #117412=ORIENTED_EDGE('',*,*,#87795,.F.); #117413=ORIENTED_EDGE('',*,*,#87801,.F.); #117414=ORIENTED_EDGE('',*,*,#87802,.T.); #117415=ORIENTED_EDGE('',*,*,#87803,.T.); #117416=ORIENTED_EDGE('',*,*,#87799,.F.); #117417=ORIENTED_EDGE('',*,*,#87804,.F.); #117418=ORIENTED_EDGE('',*,*,#87805,.T.); #117419=ORIENTED_EDGE('',*,*,#87806,.T.); #117420=ORIENTED_EDGE('',*,*,#87802,.F.); #117421=ORIENTED_EDGE('',*,*,#87807,.F.); #117422=ORIENTED_EDGE('',*,*,#87808,.T.); #117423=ORIENTED_EDGE('',*,*,#87809,.T.); #117424=ORIENTED_EDGE('',*,*,#87805,.F.); #117425=ORIENTED_EDGE('',*,*,#87810,.F.); #117426=ORIENTED_EDGE('',*,*,#87811,.T.); #117427=ORIENTED_EDGE('',*,*,#87812,.T.); #117428=ORIENTED_EDGE('',*,*,#87808,.F.); #117429=ORIENTED_EDGE('',*,*,#87813,.F.); #117430=ORIENTED_EDGE('',*,*,#87814,.T.); #117431=ORIENTED_EDGE('',*,*,#87815,.T.); #117432=ORIENTED_EDGE('',*,*,#87811,.F.); #117433=ORIENTED_EDGE('',*,*,#87816,.F.); #117434=ORIENTED_EDGE('',*,*,#87817,.T.); #117435=ORIENTED_EDGE('',*,*,#87818,.T.); #117436=ORIENTED_EDGE('',*,*,#87814,.F.); #117437=ORIENTED_EDGE('',*,*,#87819,.F.); #117438=ORIENTED_EDGE('',*,*,#87820,.T.); #117439=ORIENTED_EDGE('',*,*,#87821,.T.); #117440=ORIENTED_EDGE('',*,*,#87817,.F.); #117441=ORIENTED_EDGE('',*,*,#87822,.F.); #117442=ORIENTED_EDGE('',*,*,#87797,.T.); #117443=ORIENTED_EDGE('',*,*,#87823,.T.); #117444=ORIENTED_EDGE('',*,*,#87820,.F.); #117445=ORIENTED_EDGE('',*,*,#87824,.F.); #117446=ORIENTED_EDGE('',*,*,#87825,.T.); #117447=ORIENTED_EDGE('',*,*,#87826,.T.); #117448=ORIENTED_EDGE('',*,*,#87827,.F.); #117449=ORIENTED_EDGE('',*,*,#87828,.F.); #117450=ORIENTED_EDGE('',*,*,#87829,.T.); #117451=ORIENTED_EDGE('',*,*,#87830,.T.); #117452=ORIENTED_EDGE('',*,*,#87825,.F.); #117453=ORIENTED_EDGE('',*,*,#87831,.F.); #117454=ORIENTED_EDGE('',*,*,#87832,.T.); #117455=ORIENTED_EDGE('',*,*,#87833,.T.); #117456=ORIENTED_EDGE('',*,*,#87829,.F.); #117457=ORIENTED_EDGE('',*,*,#87834,.F.); #117458=ORIENTED_EDGE('',*,*,#87835,.T.); #117459=ORIENTED_EDGE('',*,*,#87836,.T.); #117460=ORIENTED_EDGE('',*,*,#87832,.F.); #117461=ORIENTED_EDGE('',*,*,#87837,.F.); #117462=ORIENTED_EDGE('',*,*,#87838,.T.); #117463=ORIENTED_EDGE('',*,*,#87839,.T.); #117464=ORIENTED_EDGE('',*,*,#87835,.F.); #117465=ORIENTED_EDGE('',*,*,#87840,.F.); #117466=ORIENTED_EDGE('',*,*,#87841,.T.); #117467=ORIENTED_EDGE('',*,*,#87842,.T.); #117468=ORIENTED_EDGE('',*,*,#87838,.F.); #117469=ORIENTED_EDGE('',*,*,#87843,.F.); #117470=ORIENTED_EDGE('',*,*,#87844,.T.); #117471=ORIENTED_EDGE('',*,*,#87845,.T.); #117472=ORIENTED_EDGE('',*,*,#87841,.F.); #117473=ORIENTED_EDGE('',*,*,#87846,.F.); #117474=ORIENTED_EDGE('',*,*,#87847,.T.); #117475=ORIENTED_EDGE('',*,*,#87848,.T.); #117476=ORIENTED_EDGE('',*,*,#87844,.F.); #117477=ORIENTED_EDGE('',*,*,#87849,.F.); #117478=ORIENTED_EDGE('',*,*,#87850,.T.); #117479=ORIENTED_EDGE('',*,*,#87851,.T.); #117480=ORIENTED_EDGE('',*,*,#87847,.F.); #117481=ORIENTED_EDGE('',*,*,#87852,.F.); #117482=ORIENTED_EDGE('',*,*,#87853,.T.); #117483=ORIENTED_EDGE('',*,*,#87854,.T.); #117484=ORIENTED_EDGE('',*,*,#87850,.F.); #117485=ORIENTED_EDGE('',*,*,#87855,.F.); #117486=ORIENTED_EDGE('',*,*,#87856,.T.); #117487=ORIENTED_EDGE('',*,*,#87857,.T.); #117488=ORIENTED_EDGE('',*,*,#87853,.F.); #117489=ORIENTED_EDGE('',*,*,#87858,.F.); #117490=ORIENTED_EDGE('',*,*,#87859,.T.); #117491=ORIENTED_EDGE('',*,*,#87860,.T.); #117492=ORIENTED_EDGE('',*,*,#87856,.F.); #117493=ORIENTED_EDGE('',*,*,#87861,.F.); #117494=ORIENTED_EDGE('',*,*,#87862,.T.); #117495=ORIENTED_EDGE('',*,*,#87863,.T.); #117496=ORIENTED_EDGE('',*,*,#87859,.F.); #117497=ORIENTED_EDGE('',*,*,#87864,.F.); #117498=ORIENTED_EDGE('',*,*,#87865,.T.); #117499=ORIENTED_EDGE('',*,*,#87866,.T.); #117500=ORIENTED_EDGE('',*,*,#87862,.F.); #117501=ORIENTED_EDGE('',*,*,#87867,.F.); #117502=ORIENTED_EDGE('',*,*,#87868,.T.); #117503=ORIENTED_EDGE('',*,*,#87869,.T.); #117504=ORIENTED_EDGE('',*,*,#87865,.F.); #117505=ORIENTED_EDGE('',*,*,#87870,.F.); #117506=ORIENTED_EDGE('',*,*,#87871,.T.); #117507=ORIENTED_EDGE('',*,*,#87872,.T.); #117508=ORIENTED_EDGE('',*,*,#87868,.F.); #117509=ORIENTED_EDGE('',*,*,#87873,.F.); #117510=ORIENTED_EDGE('',*,*,#87874,.T.); #117511=ORIENTED_EDGE('',*,*,#87875,.T.); #117512=ORIENTED_EDGE('',*,*,#87871,.F.); #117513=ORIENTED_EDGE('',*,*,#87876,.F.); #117514=ORIENTED_EDGE('',*,*,#87877,.T.); #117515=ORIENTED_EDGE('',*,*,#87878,.T.); #117516=ORIENTED_EDGE('',*,*,#87874,.F.); #117517=ORIENTED_EDGE('',*,*,#87879,.F.); #117518=ORIENTED_EDGE('',*,*,#87880,.T.); #117519=ORIENTED_EDGE('',*,*,#87881,.T.); #117520=ORIENTED_EDGE('',*,*,#87877,.F.); #117521=ORIENTED_EDGE('',*,*,#87882,.F.); #117522=ORIENTED_EDGE('',*,*,#87883,.T.); #117523=ORIENTED_EDGE('',*,*,#87884,.T.); #117524=ORIENTED_EDGE('',*,*,#87880,.F.); #117525=ORIENTED_EDGE('',*,*,#87885,.F.); #117526=ORIENTED_EDGE('',*,*,#87886,.T.); #117527=ORIENTED_EDGE('',*,*,#87887,.T.); #117528=ORIENTED_EDGE('',*,*,#87883,.F.); #117529=ORIENTED_EDGE('',*,*,#87888,.F.); #117530=ORIENTED_EDGE('',*,*,#87889,.T.); #117531=ORIENTED_EDGE('',*,*,#87890,.T.); #117532=ORIENTED_EDGE('',*,*,#87886,.F.); #117533=ORIENTED_EDGE('',*,*,#87891,.F.); #117534=ORIENTED_EDGE('',*,*,#87892,.T.); #117535=ORIENTED_EDGE('',*,*,#87893,.T.); #117536=ORIENTED_EDGE('',*,*,#87889,.F.); #117537=ORIENTED_EDGE('',*,*,#87894,.F.); #117538=ORIENTED_EDGE('',*,*,#87895,.T.); #117539=ORIENTED_EDGE('',*,*,#87896,.T.); #117540=ORIENTED_EDGE('',*,*,#87892,.F.); #117541=ORIENTED_EDGE('',*,*,#87897,.F.); #117542=ORIENTED_EDGE('',*,*,#87898,.T.); #117543=ORIENTED_EDGE('',*,*,#87899,.T.); #117544=ORIENTED_EDGE('',*,*,#87895,.F.); #117545=ORIENTED_EDGE('',*,*,#87900,.F.); #117546=ORIENTED_EDGE('',*,*,#87901,.T.); #117547=ORIENTED_EDGE('',*,*,#87902,.T.); #117548=ORIENTED_EDGE('',*,*,#87898,.F.); #117549=ORIENTED_EDGE('',*,*,#87903,.F.); #117550=ORIENTED_EDGE('',*,*,#87904,.T.); #117551=ORIENTED_EDGE('',*,*,#87905,.T.); #117552=ORIENTED_EDGE('',*,*,#87901,.F.); #117553=ORIENTED_EDGE('',*,*,#87906,.F.); #117554=ORIENTED_EDGE('',*,*,#87907,.T.); #117555=ORIENTED_EDGE('',*,*,#87908,.T.); #117556=ORIENTED_EDGE('',*,*,#87904,.F.); #117557=ORIENTED_EDGE('',*,*,#87909,.F.); #117558=ORIENTED_EDGE('',*,*,#87910,.T.); #117559=ORIENTED_EDGE('',*,*,#87911,.T.); #117560=ORIENTED_EDGE('',*,*,#87907,.F.); #117561=ORIENTED_EDGE('',*,*,#87912,.F.); #117562=ORIENTED_EDGE('',*,*,#87913,.T.); #117563=ORIENTED_EDGE('',*,*,#87914,.T.); #117564=ORIENTED_EDGE('',*,*,#87910,.F.); #117565=ORIENTED_EDGE('',*,*,#87915,.F.); #117566=ORIENTED_EDGE('',*,*,#87916,.T.); #117567=ORIENTED_EDGE('',*,*,#87917,.T.); #117568=ORIENTED_EDGE('',*,*,#87913,.F.); #117569=ORIENTED_EDGE('',*,*,#87918,.F.); #117570=ORIENTED_EDGE('',*,*,#87919,.T.); #117571=ORIENTED_EDGE('',*,*,#87920,.T.); #117572=ORIENTED_EDGE('',*,*,#87916,.F.); #117573=ORIENTED_EDGE('',*,*,#87921,.F.); #117574=ORIENTED_EDGE('',*,*,#87922,.T.); #117575=ORIENTED_EDGE('',*,*,#87923,.T.); #117576=ORIENTED_EDGE('',*,*,#87919,.F.); #117577=ORIENTED_EDGE('',*,*,#87924,.F.); #117578=ORIENTED_EDGE('',*,*,#87925,.T.); #117579=ORIENTED_EDGE('',*,*,#87926,.T.); #117580=ORIENTED_EDGE('',*,*,#87922,.F.); #117581=ORIENTED_EDGE('',*,*,#87927,.F.); #117582=ORIENTED_EDGE('',*,*,#87928,.T.); #117583=ORIENTED_EDGE('',*,*,#87929,.T.); #117584=ORIENTED_EDGE('',*,*,#87925,.F.); #117585=ORIENTED_EDGE('',*,*,#87930,.F.); #117586=ORIENTED_EDGE('',*,*,#87931,.T.); #117587=ORIENTED_EDGE('',*,*,#87932,.T.); #117588=ORIENTED_EDGE('',*,*,#87928,.F.); #117589=ORIENTED_EDGE('',*,*,#87933,.F.); #117590=ORIENTED_EDGE('',*,*,#87934,.T.); #117591=ORIENTED_EDGE('',*,*,#87935,.T.); #117592=ORIENTED_EDGE('',*,*,#87931,.F.); #117593=ORIENTED_EDGE('',*,*,#87936,.F.); #117594=ORIENTED_EDGE('',*,*,#87937,.T.); #117595=ORIENTED_EDGE('',*,*,#87938,.T.); #117596=ORIENTED_EDGE('',*,*,#87934,.F.); #117597=ORIENTED_EDGE('',*,*,#87939,.F.); #117598=ORIENTED_EDGE('',*,*,#87940,.T.); #117599=ORIENTED_EDGE('',*,*,#87941,.T.); #117600=ORIENTED_EDGE('',*,*,#87937,.F.); #117601=ORIENTED_EDGE('',*,*,#87942,.F.); #117602=ORIENTED_EDGE('',*,*,#87943,.T.); #117603=ORIENTED_EDGE('',*,*,#87944,.T.); #117604=ORIENTED_EDGE('',*,*,#87940,.F.); #117605=ORIENTED_EDGE('',*,*,#87945,.F.); #117606=ORIENTED_EDGE('',*,*,#87946,.T.); #117607=ORIENTED_EDGE('',*,*,#87947,.T.); #117608=ORIENTED_EDGE('',*,*,#87943,.F.); #117609=ORIENTED_EDGE('',*,*,#87948,.F.); #117610=ORIENTED_EDGE('',*,*,#87949,.T.); #117611=ORIENTED_EDGE('',*,*,#87950,.T.); #117612=ORIENTED_EDGE('',*,*,#87946,.F.); #117613=ORIENTED_EDGE('',*,*,#87951,.F.); #117614=ORIENTED_EDGE('',*,*,#87952,.T.); #117615=ORIENTED_EDGE('',*,*,#87953,.T.); #117616=ORIENTED_EDGE('',*,*,#87949,.F.); #117617=ORIENTED_EDGE('',*,*,#87954,.F.); #117618=ORIENTED_EDGE('',*,*,#87955,.T.); #117619=ORIENTED_EDGE('',*,*,#87956,.T.); #117620=ORIENTED_EDGE('',*,*,#87952,.F.); #117621=ORIENTED_EDGE('',*,*,#87957,.F.); #117622=ORIENTED_EDGE('',*,*,#87958,.T.); #117623=ORIENTED_EDGE('',*,*,#87959,.T.); #117624=ORIENTED_EDGE('',*,*,#87955,.F.); #117625=ORIENTED_EDGE('',*,*,#87960,.F.); #117626=ORIENTED_EDGE('',*,*,#87961,.T.); #117627=ORIENTED_EDGE('',*,*,#87962,.T.); #117628=ORIENTED_EDGE('',*,*,#87958,.F.); #117629=ORIENTED_EDGE('',*,*,#87963,.F.); #117630=ORIENTED_EDGE('',*,*,#87964,.T.); #117631=ORIENTED_EDGE('',*,*,#87965,.T.); #117632=ORIENTED_EDGE('',*,*,#87961,.F.); #117633=ORIENTED_EDGE('',*,*,#87966,.F.); #117634=ORIENTED_EDGE('',*,*,#87967,.T.); #117635=ORIENTED_EDGE('',*,*,#87968,.T.); #117636=ORIENTED_EDGE('',*,*,#87964,.F.); #117637=ORIENTED_EDGE('',*,*,#87969,.F.); #117638=ORIENTED_EDGE('',*,*,#87970,.T.); #117639=ORIENTED_EDGE('',*,*,#87971,.T.); #117640=ORIENTED_EDGE('',*,*,#87967,.F.); #117641=ORIENTED_EDGE('',*,*,#87972,.F.); #117642=ORIENTED_EDGE('',*,*,#87973,.T.); #117643=ORIENTED_EDGE('',*,*,#87974,.T.); #117644=ORIENTED_EDGE('',*,*,#87970,.F.); #117645=ORIENTED_EDGE('',*,*,#87975,.F.); #117646=ORIENTED_EDGE('',*,*,#87976,.T.); #117647=ORIENTED_EDGE('',*,*,#87977,.T.); #117648=ORIENTED_EDGE('',*,*,#87973,.F.); #117649=ORIENTED_EDGE('',*,*,#87978,.F.); #117650=ORIENTED_EDGE('',*,*,#87979,.T.); #117651=ORIENTED_EDGE('',*,*,#87980,.T.); #117652=ORIENTED_EDGE('',*,*,#87976,.F.); #117653=ORIENTED_EDGE('',*,*,#87981,.F.); #117654=ORIENTED_EDGE('',*,*,#87982,.T.); #117655=ORIENTED_EDGE('',*,*,#87983,.T.); #117656=ORIENTED_EDGE('',*,*,#87979,.F.); #117657=ORIENTED_EDGE('',*,*,#87984,.F.); #117658=ORIENTED_EDGE('',*,*,#87985,.T.); #117659=ORIENTED_EDGE('',*,*,#87986,.T.); #117660=ORIENTED_EDGE('',*,*,#87982,.F.); #117661=ORIENTED_EDGE('',*,*,#87987,.F.); #117662=ORIENTED_EDGE('',*,*,#87988,.T.); #117663=ORIENTED_EDGE('',*,*,#87989,.T.); #117664=ORIENTED_EDGE('',*,*,#87985,.F.); #117665=ORIENTED_EDGE('',*,*,#87990,.F.); #117666=ORIENTED_EDGE('',*,*,#87991,.T.); #117667=ORIENTED_EDGE('',*,*,#87992,.T.); #117668=ORIENTED_EDGE('',*,*,#87988,.F.); #117669=ORIENTED_EDGE('',*,*,#87993,.F.); #117670=ORIENTED_EDGE('',*,*,#87994,.T.); #117671=ORIENTED_EDGE('',*,*,#87995,.T.); #117672=ORIENTED_EDGE('',*,*,#87991,.F.); #117673=ORIENTED_EDGE('',*,*,#87996,.F.); #117674=ORIENTED_EDGE('',*,*,#87997,.T.); #117675=ORIENTED_EDGE('',*,*,#87998,.T.); #117676=ORIENTED_EDGE('',*,*,#87994,.F.); #117677=ORIENTED_EDGE('',*,*,#87999,.F.); #117678=ORIENTED_EDGE('',*,*,#88000,.T.); #117679=ORIENTED_EDGE('',*,*,#88001,.T.); #117680=ORIENTED_EDGE('',*,*,#87997,.F.); #117681=ORIENTED_EDGE('',*,*,#88002,.F.); #117682=ORIENTED_EDGE('',*,*,#88003,.T.); #117683=ORIENTED_EDGE('',*,*,#88004,.T.); #117684=ORIENTED_EDGE('',*,*,#88000,.F.); #117685=ORIENTED_EDGE('',*,*,#88005,.F.); #117686=ORIENTED_EDGE('',*,*,#88006,.T.); #117687=ORIENTED_EDGE('',*,*,#88007,.T.); #117688=ORIENTED_EDGE('',*,*,#88003,.F.); #117689=ORIENTED_EDGE('',*,*,#88008,.F.); #117690=ORIENTED_EDGE('',*,*,#88009,.T.); #117691=ORIENTED_EDGE('',*,*,#88010,.T.); #117692=ORIENTED_EDGE('',*,*,#88006,.F.); #117693=ORIENTED_EDGE('',*,*,#88011,.F.); #117694=ORIENTED_EDGE('',*,*,#88012,.T.); #117695=ORIENTED_EDGE('',*,*,#88013,.T.); #117696=ORIENTED_EDGE('',*,*,#88009,.F.); #117697=ORIENTED_EDGE('',*,*,#88014,.F.); #117698=ORIENTED_EDGE('',*,*,#88015,.T.); #117699=ORIENTED_EDGE('',*,*,#88016,.T.); #117700=ORIENTED_EDGE('',*,*,#88012,.F.); #117701=ORIENTED_EDGE('',*,*,#88017,.F.); #117702=ORIENTED_EDGE('',*,*,#88018,.T.); #117703=ORIENTED_EDGE('',*,*,#88019,.T.); #117704=ORIENTED_EDGE('',*,*,#88015,.F.); #117705=ORIENTED_EDGE('',*,*,#88020,.F.); #117706=ORIENTED_EDGE('',*,*,#88021,.T.); #117707=ORIENTED_EDGE('',*,*,#88022,.T.); #117708=ORIENTED_EDGE('',*,*,#88018,.F.); #117709=ORIENTED_EDGE('',*,*,#88023,.F.); #117710=ORIENTED_EDGE('',*,*,#88024,.T.); #117711=ORIENTED_EDGE('',*,*,#88025,.T.); #117712=ORIENTED_EDGE('',*,*,#88021,.F.); #117713=ORIENTED_EDGE('',*,*,#88026,.F.); #117714=ORIENTED_EDGE('',*,*,#88027,.T.); #117715=ORIENTED_EDGE('',*,*,#88028,.T.); #117716=ORIENTED_EDGE('',*,*,#88024,.F.); #117717=ORIENTED_EDGE('',*,*,#88029,.F.); #117718=ORIENTED_EDGE('',*,*,#88030,.T.); #117719=ORIENTED_EDGE('',*,*,#88031,.T.); #117720=ORIENTED_EDGE('',*,*,#88027,.F.); #117721=ORIENTED_EDGE('',*,*,#88032,.F.); #117722=ORIENTED_EDGE('',*,*,#88033,.T.); #117723=ORIENTED_EDGE('',*,*,#88034,.T.); #117724=ORIENTED_EDGE('',*,*,#88030,.F.); #117725=ORIENTED_EDGE('',*,*,#88035,.F.); #117726=ORIENTED_EDGE('',*,*,#88036,.T.); #117727=ORIENTED_EDGE('',*,*,#88037,.T.); #117728=ORIENTED_EDGE('',*,*,#88033,.F.); #117729=ORIENTED_EDGE('',*,*,#88038,.F.); #117730=ORIENTED_EDGE('',*,*,#88039,.T.); #117731=ORIENTED_EDGE('',*,*,#88040,.T.); #117732=ORIENTED_EDGE('',*,*,#88036,.F.); #117733=ORIENTED_EDGE('',*,*,#88041,.F.); #117734=ORIENTED_EDGE('',*,*,#88042,.T.); #117735=ORIENTED_EDGE('',*,*,#88043,.T.); #117736=ORIENTED_EDGE('',*,*,#88039,.F.); #117737=ORIENTED_EDGE('',*,*,#88044,.F.); #117738=ORIENTED_EDGE('',*,*,#88045,.T.); #117739=ORIENTED_EDGE('',*,*,#88046,.T.); #117740=ORIENTED_EDGE('',*,*,#88042,.F.); #117741=ORIENTED_EDGE('',*,*,#88047,.F.); #117742=ORIENTED_EDGE('',*,*,#88048,.T.); #117743=ORIENTED_EDGE('',*,*,#88049,.T.); #117744=ORIENTED_EDGE('',*,*,#88045,.F.); #117745=ORIENTED_EDGE('',*,*,#88050,.F.); #117746=ORIENTED_EDGE('',*,*,#88051,.T.); #117747=ORIENTED_EDGE('',*,*,#88052,.T.); #117748=ORIENTED_EDGE('',*,*,#88048,.F.); #117749=ORIENTED_EDGE('',*,*,#88053,.F.); #117750=ORIENTED_EDGE('',*,*,#88054,.T.); #117751=ORIENTED_EDGE('',*,*,#88055,.T.); #117752=ORIENTED_EDGE('',*,*,#88051,.F.); #117753=ORIENTED_EDGE('',*,*,#88056,.F.); #117754=ORIENTED_EDGE('',*,*,#88057,.T.); #117755=ORIENTED_EDGE('',*,*,#88058,.T.); #117756=ORIENTED_EDGE('',*,*,#88054,.F.); #117757=ORIENTED_EDGE('',*,*,#88059,.F.); #117758=ORIENTED_EDGE('',*,*,#88060,.T.); #117759=ORIENTED_EDGE('',*,*,#88061,.T.); #117760=ORIENTED_EDGE('',*,*,#88057,.F.); #117761=ORIENTED_EDGE('',*,*,#88062,.F.); #117762=ORIENTED_EDGE('',*,*,#88063,.T.); #117763=ORIENTED_EDGE('',*,*,#88064,.T.); #117764=ORIENTED_EDGE('',*,*,#88060,.F.); #117765=ORIENTED_EDGE('',*,*,#88065,.F.); #117766=ORIENTED_EDGE('',*,*,#88066,.T.); #117767=ORIENTED_EDGE('',*,*,#88067,.T.); #117768=ORIENTED_EDGE('',*,*,#88063,.F.); #117769=ORIENTED_EDGE('',*,*,#88068,.F.); #117770=ORIENTED_EDGE('',*,*,#88069,.T.); #117771=ORIENTED_EDGE('',*,*,#88070,.T.); #117772=ORIENTED_EDGE('',*,*,#88066,.F.); #117773=ORIENTED_EDGE('',*,*,#88071,.F.); #117774=ORIENTED_EDGE('',*,*,#88072,.T.); #117775=ORIENTED_EDGE('',*,*,#88073,.T.); #117776=ORIENTED_EDGE('',*,*,#88069,.F.); #117777=ORIENTED_EDGE('',*,*,#88074,.F.); #117778=ORIENTED_EDGE('',*,*,#88075,.T.); #117779=ORIENTED_EDGE('',*,*,#88076,.T.); #117780=ORIENTED_EDGE('',*,*,#88072,.F.); #117781=ORIENTED_EDGE('',*,*,#88077,.F.); #117782=ORIENTED_EDGE('',*,*,#88078,.T.); #117783=ORIENTED_EDGE('',*,*,#88079,.T.); #117784=ORIENTED_EDGE('',*,*,#88075,.F.); #117785=ORIENTED_EDGE('',*,*,#88080,.F.); #117786=ORIENTED_EDGE('',*,*,#88081,.T.); #117787=ORIENTED_EDGE('',*,*,#88082,.T.); #117788=ORIENTED_EDGE('',*,*,#88078,.F.); #117789=ORIENTED_EDGE('',*,*,#88083,.F.); #117790=ORIENTED_EDGE('',*,*,#88084,.T.); #117791=ORIENTED_EDGE('',*,*,#88085,.T.); #117792=ORIENTED_EDGE('',*,*,#88081,.F.); #117793=ORIENTED_EDGE('',*,*,#88086,.F.); #117794=ORIENTED_EDGE('',*,*,#88087,.T.); #117795=ORIENTED_EDGE('',*,*,#88088,.T.); #117796=ORIENTED_EDGE('',*,*,#88084,.F.); #117797=ORIENTED_EDGE('',*,*,#88089,.F.); #117798=ORIENTED_EDGE('',*,*,#88090,.T.); #117799=ORIENTED_EDGE('',*,*,#88091,.T.); #117800=ORIENTED_EDGE('',*,*,#88087,.F.); #117801=ORIENTED_EDGE('',*,*,#88092,.F.); #117802=ORIENTED_EDGE('',*,*,#88093,.T.); #117803=ORIENTED_EDGE('',*,*,#88094,.T.); #117804=ORIENTED_EDGE('',*,*,#88090,.F.); #117805=ORIENTED_EDGE('',*,*,#88095,.F.); #117806=ORIENTED_EDGE('',*,*,#88096,.T.); #117807=ORIENTED_EDGE('',*,*,#88097,.T.); #117808=ORIENTED_EDGE('',*,*,#88093,.F.); #117809=ORIENTED_EDGE('',*,*,#88098,.F.); #117810=ORIENTED_EDGE('',*,*,#88099,.T.); #117811=ORIENTED_EDGE('',*,*,#88100,.T.); #117812=ORIENTED_EDGE('',*,*,#88096,.F.); #117813=ORIENTED_EDGE('',*,*,#88101,.F.); #117814=ORIENTED_EDGE('',*,*,#88102,.T.); #117815=ORIENTED_EDGE('',*,*,#88103,.T.); #117816=ORIENTED_EDGE('',*,*,#88099,.F.); #117817=ORIENTED_EDGE('',*,*,#88104,.F.); #117818=ORIENTED_EDGE('',*,*,#88105,.T.); #117819=ORIENTED_EDGE('',*,*,#88106,.T.); #117820=ORIENTED_EDGE('',*,*,#88102,.F.); #117821=ORIENTED_EDGE('',*,*,#88107,.F.); #117822=ORIENTED_EDGE('',*,*,#88108,.T.); #117823=ORIENTED_EDGE('',*,*,#88109,.T.); #117824=ORIENTED_EDGE('',*,*,#88105,.F.); #117825=ORIENTED_EDGE('',*,*,#88110,.F.); #117826=ORIENTED_EDGE('',*,*,#88111,.T.); #117827=ORIENTED_EDGE('',*,*,#88112,.T.); #117828=ORIENTED_EDGE('',*,*,#88108,.F.); #117829=ORIENTED_EDGE('',*,*,#88113,.F.); #117830=ORIENTED_EDGE('',*,*,#88114,.T.); #117831=ORIENTED_EDGE('',*,*,#88115,.T.); #117832=ORIENTED_EDGE('',*,*,#88111,.F.); #117833=ORIENTED_EDGE('',*,*,#88116,.F.); #117834=ORIENTED_EDGE('',*,*,#88117,.T.); #117835=ORIENTED_EDGE('',*,*,#88118,.T.); #117836=ORIENTED_EDGE('',*,*,#88114,.F.); #117837=ORIENTED_EDGE('',*,*,#88119,.F.); #117838=ORIENTED_EDGE('',*,*,#88120,.T.); #117839=ORIENTED_EDGE('',*,*,#88121,.T.); #117840=ORIENTED_EDGE('',*,*,#88117,.F.); #117841=ORIENTED_EDGE('',*,*,#88122,.F.); #117842=ORIENTED_EDGE('',*,*,#88123,.T.); #117843=ORIENTED_EDGE('',*,*,#88124,.T.); #117844=ORIENTED_EDGE('',*,*,#88120,.F.); #117845=ORIENTED_EDGE('',*,*,#88125,.F.); #117846=ORIENTED_EDGE('',*,*,#88126,.T.); #117847=ORIENTED_EDGE('',*,*,#88127,.T.); #117848=ORIENTED_EDGE('',*,*,#88123,.F.); #117849=ORIENTED_EDGE('',*,*,#88128,.F.); #117850=ORIENTED_EDGE('',*,*,#88129,.T.); #117851=ORIENTED_EDGE('',*,*,#88130,.T.); #117852=ORIENTED_EDGE('',*,*,#88126,.F.); #117853=ORIENTED_EDGE('',*,*,#88131,.F.); #117854=ORIENTED_EDGE('',*,*,#88132,.T.); #117855=ORIENTED_EDGE('',*,*,#88133,.T.); #117856=ORIENTED_EDGE('',*,*,#88129,.F.); #117857=ORIENTED_EDGE('',*,*,#88134,.F.); #117858=ORIENTED_EDGE('',*,*,#88135,.T.); #117859=ORIENTED_EDGE('',*,*,#88136,.T.); #117860=ORIENTED_EDGE('',*,*,#88132,.F.); #117861=ORIENTED_EDGE('',*,*,#88137,.F.); #117862=ORIENTED_EDGE('',*,*,#88138,.T.); #117863=ORIENTED_EDGE('',*,*,#88139,.T.); #117864=ORIENTED_EDGE('',*,*,#88135,.F.); #117865=ORIENTED_EDGE('',*,*,#88140,.F.); #117866=ORIENTED_EDGE('',*,*,#88141,.T.); #117867=ORIENTED_EDGE('',*,*,#88142,.T.); #117868=ORIENTED_EDGE('',*,*,#88138,.F.); #117869=ORIENTED_EDGE('',*,*,#88143,.F.); #117870=ORIENTED_EDGE('',*,*,#88144,.T.); #117871=ORIENTED_EDGE('',*,*,#88145,.T.); #117872=ORIENTED_EDGE('',*,*,#88141,.F.); #117873=ORIENTED_EDGE('',*,*,#88146,.F.); #117874=ORIENTED_EDGE('',*,*,#88147,.T.); #117875=ORIENTED_EDGE('',*,*,#88148,.T.); #117876=ORIENTED_EDGE('',*,*,#88144,.F.); #117877=ORIENTED_EDGE('',*,*,#88149,.F.); #117878=ORIENTED_EDGE('',*,*,#87827,.T.); #117879=ORIENTED_EDGE('',*,*,#88150,.T.); #117880=ORIENTED_EDGE('',*,*,#88147,.F.); #117881=ORIENTED_EDGE('',*,*,#88150,.F.); #117882=ORIENTED_EDGE('',*,*,#87826,.F.); #117883=ORIENTED_EDGE('',*,*,#87830,.F.); #117884=ORIENTED_EDGE('',*,*,#87833,.F.); #117885=ORIENTED_EDGE('',*,*,#87836,.F.); #117886=ORIENTED_EDGE('',*,*,#87839,.F.); #117887=ORIENTED_EDGE('',*,*,#87842,.F.); #117888=ORIENTED_EDGE('',*,*,#87845,.F.); #117889=ORIENTED_EDGE('',*,*,#87848,.F.); #117890=ORIENTED_EDGE('',*,*,#87851,.F.); #117891=ORIENTED_EDGE('',*,*,#87854,.F.); #117892=ORIENTED_EDGE('',*,*,#87857,.F.); #117893=ORIENTED_EDGE('',*,*,#87860,.F.); #117894=ORIENTED_EDGE('',*,*,#87863,.F.); #117895=ORIENTED_EDGE('',*,*,#87866,.F.); #117896=ORIENTED_EDGE('',*,*,#87869,.F.); #117897=ORIENTED_EDGE('',*,*,#87872,.F.); #117898=ORIENTED_EDGE('',*,*,#87875,.F.); #117899=ORIENTED_EDGE('',*,*,#87878,.F.); #117900=ORIENTED_EDGE('',*,*,#87881,.F.); #117901=ORIENTED_EDGE('',*,*,#87884,.F.); #117902=ORIENTED_EDGE('',*,*,#87887,.F.); #117903=ORIENTED_EDGE('',*,*,#87890,.F.); #117904=ORIENTED_EDGE('',*,*,#87893,.F.); #117905=ORIENTED_EDGE('',*,*,#87896,.F.); #117906=ORIENTED_EDGE('',*,*,#87899,.F.); #117907=ORIENTED_EDGE('',*,*,#87902,.F.); #117908=ORIENTED_EDGE('',*,*,#87905,.F.); #117909=ORIENTED_EDGE('',*,*,#87908,.F.); #117910=ORIENTED_EDGE('',*,*,#87911,.F.); #117911=ORIENTED_EDGE('',*,*,#87914,.F.); #117912=ORIENTED_EDGE('',*,*,#87917,.F.); #117913=ORIENTED_EDGE('',*,*,#87920,.F.); #117914=ORIENTED_EDGE('',*,*,#87923,.F.); #117915=ORIENTED_EDGE('',*,*,#87926,.F.); #117916=ORIENTED_EDGE('',*,*,#87929,.F.); #117917=ORIENTED_EDGE('',*,*,#87932,.F.); #117918=ORIENTED_EDGE('',*,*,#87935,.F.); #117919=ORIENTED_EDGE('',*,*,#87938,.F.); #117920=ORIENTED_EDGE('',*,*,#87941,.F.); #117921=ORIENTED_EDGE('',*,*,#87944,.F.); #117922=ORIENTED_EDGE('',*,*,#87947,.F.); #117923=ORIENTED_EDGE('',*,*,#87950,.F.); #117924=ORIENTED_EDGE('',*,*,#87953,.F.); #117925=ORIENTED_EDGE('',*,*,#87956,.F.); #117926=ORIENTED_EDGE('',*,*,#87959,.F.); #117927=ORIENTED_EDGE('',*,*,#87962,.F.); #117928=ORIENTED_EDGE('',*,*,#87965,.F.); #117929=ORIENTED_EDGE('',*,*,#87968,.F.); #117930=ORIENTED_EDGE('',*,*,#87971,.F.); #117931=ORIENTED_EDGE('',*,*,#87974,.F.); #117932=ORIENTED_EDGE('',*,*,#87977,.F.); #117933=ORIENTED_EDGE('',*,*,#87980,.F.); #117934=ORIENTED_EDGE('',*,*,#87983,.F.); #117935=ORIENTED_EDGE('',*,*,#87986,.F.); #117936=ORIENTED_EDGE('',*,*,#87989,.F.); #117937=ORIENTED_EDGE('',*,*,#87992,.F.); #117938=ORIENTED_EDGE('',*,*,#87995,.F.); #117939=ORIENTED_EDGE('',*,*,#87998,.F.); #117940=ORIENTED_EDGE('',*,*,#88001,.F.); #117941=ORIENTED_EDGE('',*,*,#88004,.F.); #117942=ORIENTED_EDGE('',*,*,#88007,.F.); #117943=ORIENTED_EDGE('',*,*,#88010,.F.); #117944=ORIENTED_EDGE('',*,*,#88013,.F.); #117945=ORIENTED_EDGE('',*,*,#88016,.F.); #117946=ORIENTED_EDGE('',*,*,#88019,.F.); #117947=ORIENTED_EDGE('',*,*,#88022,.F.); #117948=ORIENTED_EDGE('',*,*,#88025,.F.); #117949=ORIENTED_EDGE('',*,*,#88028,.F.); #117950=ORIENTED_EDGE('',*,*,#88031,.F.); #117951=ORIENTED_EDGE('',*,*,#88034,.F.); #117952=ORIENTED_EDGE('',*,*,#88037,.F.); #117953=ORIENTED_EDGE('',*,*,#88040,.F.); #117954=ORIENTED_EDGE('',*,*,#88043,.F.); #117955=ORIENTED_EDGE('',*,*,#88046,.F.); #117956=ORIENTED_EDGE('',*,*,#88049,.F.); #117957=ORIENTED_EDGE('',*,*,#88052,.F.); #117958=ORIENTED_EDGE('',*,*,#88055,.F.); #117959=ORIENTED_EDGE('',*,*,#88058,.F.); #117960=ORIENTED_EDGE('',*,*,#88061,.F.); #117961=ORIENTED_EDGE('',*,*,#88064,.F.); #117962=ORIENTED_EDGE('',*,*,#88067,.F.); #117963=ORIENTED_EDGE('',*,*,#88070,.F.); #117964=ORIENTED_EDGE('',*,*,#88073,.F.); #117965=ORIENTED_EDGE('',*,*,#88076,.F.); #117966=ORIENTED_EDGE('',*,*,#88079,.F.); #117967=ORIENTED_EDGE('',*,*,#88082,.F.); #117968=ORIENTED_EDGE('',*,*,#88085,.F.); #117969=ORIENTED_EDGE('',*,*,#88088,.F.); #117970=ORIENTED_EDGE('',*,*,#88091,.F.); #117971=ORIENTED_EDGE('',*,*,#88094,.F.); #117972=ORIENTED_EDGE('',*,*,#88097,.F.); #117973=ORIENTED_EDGE('',*,*,#88100,.F.); #117974=ORIENTED_EDGE('',*,*,#88103,.F.); #117975=ORIENTED_EDGE('',*,*,#88106,.F.); #117976=ORIENTED_EDGE('',*,*,#88109,.F.); #117977=ORIENTED_EDGE('',*,*,#88112,.F.); #117978=ORIENTED_EDGE('',*,*,#88115,.F.); #117979=ORIENTED_EDGE('',*,*,#88118,.F.); #117980=ORIENTED_EDGE('',*,*,#88121,.F.); #117981=ORIENTED_EDGE('',*,*,#88124,.F.); #117982=ORIENTED_EDGE('',*,*,#88127,.F.); #117983=ORIENTED_EDGE('',*,*,#88130,.F.); #117984=ORIENTED_EDGE('',*,*,#88133,.F.); #117985=ORIENTED_EDGE('',*,*,#88136,.F.); #117986=ORIENTED_EDGE('',*,*,#88139,.F.); #117987=ORIENTED_EDGE('',*,*,#88142,.F.); #117988=ORIENTED_EDGE('',*,*,#88145,.F.); #117989=ORIENTED_EDGE('',*,*,#88148,.F.); #117990=ORIENTED_EDGE('',*,*,#87823,.F.); #117991=ORIENTED_EDGE('',*,*,#87796,.F.); #117992=ORIENTED_EDGE('',*,*,#87800,.F.); #117993=ORIENTED_EDGE('',*,*,#87803,.F.); #117994=ORIENTED_EDGE('',*,*,#87806,.F.); #117995=ORIENTED_EDGE('',*,*,#87809,.F.); #117996=ORIENTED_EDGE('',*,*,#87812,.F.); #117997=ORIENTED_EDGE('',*,*,#87815,.F.); #117998=ORIENTED_EDGE('',*,*,#87818,.F.); #117999=ORIENTED_EDGE('',*,*,#87821,.F.); #118000=ORIENTED_EDGE('',*,*,#88151,.F.); #118001=ORIENTED_EDGE('',*,*,#88152,.T.); #118002=ORIENTED_EDGE('',*,*,#88153,.T.); #118003=ORIENTED_EDGE('',*,*,#88154,.F.); #118004=ORIENTED_EDGE('',*,*,#88155,.F.); #118005=ORIENTED_EDGE('',*,*,#88156,.T.); #118006=ORIENTED_EDGE('',*,*,#88157,.T.); #118007=ORIENTED_EDGE('',*,*,#88152,.F.); #118008=ORIENTED_EDGE('',*,*,#88158,.F.); #118009=ORIENTED_EDGE('',*,*,#88159,.T.); #118010=ORIENTED_EDGE('',*,*,#88160,.T.); #118011=ORIENTED_EDGE('',*,*,#88156,.F.); #118012=ORIENTED_EDGE('',*,*,#88161,.F.); #118013=ORIENTED_EDGE('',*,*,#88154,.T.); #118014=ORIENTED_EDGE('',*,*,#88162,.T.); #118015=ORIENTED_EDGE('',*,*,#88159,.F.); #118016=ORIENTED_EDGE('',*,*,#88162,.F.); #118017=ORIENTED_EDGE('',*,*,#88153,.F.); #118018=ORIENTED_EDGE('',*,*,#88157,.F.); #118019=ORIENTED_EDGE('',*,*,#88160,.F.); #118020=ORIENTED_EDGE('',*,*,#88163,.F.); #118021=ORIENTED_EDGE('',*,*,#88164,.T.); #118022=ORIENTED_EDGE('',*,*,#88165,.T.); #118023=ORIENTED_EDGE('',*,*,#88166,.F.); #118024=ORIENTED_EDGE('',*,*,#88167,.F.); #118025=ORIENTED_EDGE('',*,*,#88168,.T.); #118026=ORIENTED_EDGE('',*,*,#88169,.T.); #118027=ORIENTED_EDGE('',*,*,#88164,.F.); #118028=ORIENTED_EDGE('',*,*,#88170,.F.); #118029=ORIENTED_EDGE('',*,*,#88171,.T.); #118030=ORIENTED_EDGE('',*,*,#88172,.T.); #118031=ORIENTED_EDGE('',*,*,#88168,.F.); #118032=ORIENTED_EDGE('',*,*,#88173,.F.); #118033=ORIENTED_EDGE('',*,*,#88174,.T.); #118034=ORIENTED_EDGE('',*,*,#88175,.T.); #118035=ORIENTED_EDGE('',*,*,#88171,.F.); #118036=ORIENTED_EDGE('',*,*,#88176,.F.); #118037=ORIENTED_EDGE('',*,*,#88177,.T.); #118038=ORIENTED_EDGE('',*,*,#88178,.T.); #118039=ORIENTED_EDGE('',*,*,#88174,.F.); #118040=ORIENTED_EDGE('',*,*,#88179,.F.); #118041=ORIENTED_EDGE('',*,*,#88180,.T.); #118042=ORIENTED_EDGE('',*,*,#88181,.T.); #118043=ORIENTED_EDGE('',*,*,#88177,.F.); #118044=ORIENTED_EDGE('',*,*,#88182,.F.); #118045=ORIENTED_EDGE('',*,*,#88183,.T.); #118046=ORIENTED_EDGE('',*,*,#88184,.T.); #118047=ORIENTED_EDGE('',*,*,#88180,.F.); #118048=ORIENTED_EDGE('',*,*,#88185,.F.); #118049=ORIENTED_EDGE('',*,*,#88186,.T.); #118050=ORIENTED_EDGE('',*,*,#88187,.T.); #118051=ORIENTED_EDGE('',*,*,#88183,.F.); #118052=ORIENTED_EDGE('',*,*,#88188,.F.); #118053=ORIENTED_EDGE('',*,*,#88189,.T.); #118054=ORIENTED_EDGE('',*,*,#88190,.T.); #118055=ORIENTED_EDGE('',*,*,#88186,.F.); #118056=ORIENTED_EDGE('',*,*,#88191,.F.); #118057=ORIENTED_EDGE('',*,*,#88192,.T.); #118058=ORIENTED_EDGE('',*,*,#88193,.T.); #118059=ORIENTED_EDGE('',*,*,#88189,.F.); #118060=ORIENTED_EDGE('',*,*,#88194,.F.); #118061=ORIENTED_EDGE('',*,*,#88195,.T.); #118062=ORIENTED_EDGE('',*,*,#88196,.T.); #118063=ORIENTED_EDGE('',*,*,#88192,.F.); #118064=ORIENTED_EDGE('',*,*,#88197,.F.); #118065=ORIENTED_EDGE('',*,*,#88198,.T.); #118066=ORIENTED_EDGE('',*,*,#88199,.T.); #118067=ORIENTED_EDGE('',*,*,#88195,.F.); #118068=ORIENTED_EDGE('',*,*,#88200,.F.); #118069=ORIENTED_EDGE('',*,*,#88201,.T.); #118070=ORIENTED_EDGE('',*,*,#88202,.T.); #118071=ORIENTED_EDGE('',*,*,#88198,.F.); #118072=ORIENTED_EDGE('',*,*,#88203,.F.); #118073=ORIENTED_EDGE('',*,*,#88204,.T.); #118074=ORIENTED_EDGE('',*,*,#88205,.T.); #118075=ORIENTED_EDGE('',*,*,#88201,.F.); #118076=ORIENTED_EDGE('',*,*,#88206,.F.); #118077=ORIENTED_EDGE('',*,*,#88207,.T.); #118078=ORIENTED_EDGE('',*,*,#88208,.T.); #118079=ORIENTED_EDGE('',*,*,#88204,.F.); #118080=ORIENTED_EDGE('',*,*,#88209,.F.); #118081=ORIENTED_EDGE('',*,*,#88166,.T.); #118082=ORIENTED_EDGE('',*,*,#88210,.T.); #118083=ORIENTED_EDGE('',*,*,#88207,.F.); #118084=ORIENTED_EDGE('',*,*,#88211,.F.); #118085=ORIENTED_EDGE('',*,*,#88212,.T.); #118086=ORIENTED_EDGE('',*,*,#88213,.T.); #118087=ORIENTED_EDGE('',*,*,#88214,.F.); #118088=ORIENTED_EDGE('',*,*,#88215,.F.); #118089=ORIENTED_EDGE('',*,*,#88216,.T.); #118090=ORIENTED_EDGE('',*,*,#88217,.T.); #118091=ORIENTED_EDGE('',*,*,#88212,.F.); #118092=ORIENTED_EDGE('',*,*,#88218,.F.); #118093=ORIENTED_EDGE('',*,*,#88219,.T.); #118094=ORIENTED_EDGE('',*,*,#88220,.T.); #118095=ORIENTED_EDGE('',*,*,#88216,.F.); #118096=ORIENTED_EDGE('',*,*,#88221,.F.); #118097=ORIENTED_EDGE('',*,*,#88222,.T.); #118098=ORIENTED_EDGE('',*,*,#88223,.T.); #118099=ORIENTED_EDGE('',*,*,#88219,.F.); #118100=ORIENTED_EDGE('',*,*,#88224,.F.); #118101=ORIENTED_EDGE('',*,*,#88225,.T.); #118102=ORIENTED_EDGE('',*,*,#88226,.T.); #118103=ORIENTED_EDGE('',*,*,#88222,.F.); #118104=ORIENTED_EDGE('',*,*,#88227,.F.); #118105=ORIENTED_EDGE('',*,*,#88228,.T.); #118106=ORIENTED_EDGE('',*,*,#88229,.T.); #118107=ORIENTED_EDGE('',*,*,#88225,.F.); #118108=ORIENTED_EDGE('',*,*,#88230,.F.); #118109=ORIENTED_EDGE('',*,*,#88231,.T.); #118110=ORIENTED_EDGE('',*,*,#88232,.T.); #118111=ORIENTED_EDGE('',*,*,#88228,.F.); #118112=ORIENTED_EDGE('',*,*,#88233,.F.); #118113=ORIENTED_EDGE('',*,*,#88234,.T.); #118114=ORIENTED_EDGE('',*,*,#88235,.T.); #118115=ORIENTED_EDGE('',*,*,#88231,.F.); #118116=ORIENTED_EDGE('',*,*,#88236,.F.); #118117=ORIENTED_EDGE('',*,*,#88237,.T.); #118118=ORIENTED_EDGE('',*,*,#88238,.T.); #118119=ORIENTED_EDGE('',*,*,#88234,.F.); #118120=ORIENTED_EDGE('',*,*,#88239,.F.); #118121=ORIENTED_EDGE('',*,*,#88240,.T.); #118122=ORIENTED_EDGE('',*,*,#88241,.T.); #118123=ORIENTED_EDGE('',*,*,#88237,.F.); #118124=ORIENTED_EDGE('',*,*,#88242,.F.); #118125=ORIENTED_EDGE('',*,*,#88243,.T.); #118126=ORIENTED_EDGE('',*,*,#88244,.T.); #118127=ORIENTED_EDGE('',*,*,#88240,.F.); #118128=ORIENTED_EDGE('',*,*,#88245,.F.); #118129=ORIENTED_EDGE('',*,*,#88246,.T.); #118130=ORIENTED_EDGE('',*,*,#88247,.T.); #118131=ORIENTED_EDGE('',*,*,#88243,.F.); #118132=ORIENTED_EDGE('',*,*,#88248,.F.); #118133=ORIENTED_EDGE('',*,*,#88249,.T.); #118134=ORIENTED_EDGE('',*,*,#88250,.T.); #118135=ORIENTED_EDGE('',*,*,#88246,.F.); #118136=ORIENTED_EDGE('',*,*,#88251,.F.); #118137=ORIENTED_EDGE('',*,*,#88252,.T.); #118138=ORIENTED_EDGE('',*,*,#88253,.T.); #118139=ORIENTED_EDGE('',*,*,#88249,.F.); #118140=ORIENTED_EDGE('',*,*,#88254,.F.); #118141=ORIENTED_EDGE('',*,*,#88255,.T.); #118142=ORIENTED_EDGE('',*,*,#88256,.T.); #118143=ORIENTED_EDGE('',*,*,#88252,.F.); #118144=ORIENTED_EDGE('',*,*,#88257,.F.); #118145=ORIENTED_EDGE('',*,*,#88258,.T.); #118146=ORIENTED_EDGE('',*,*,#88259,.T.); #118147=ORIENTED_EDGE('',*,*,#88255,.F.); #118148=ORIENTED_EDGE('',*,*,#88260,.F.); #118149=ORIENTED_EDGE('',*,*,#88261,.T.); #118150=ORIENTED_EDGE('',*,*,#88262,.T.); #118151=ORIENTED_EDGE('',*,*,#88258,.F.); #118152=ORIENTED_EDGE('',*,*,#88263,.F.); #118153=ORIENTED_EDGE('',*,*,#88264,.T.); #118154=ORIENTED_EDGE('',*,*,#88265,.T.); #118155=ORIENTED_EDGE('',*,*,#88261,.F.); #118156=ORIENTED_EDGE('',*,*,#88266,.F.); #118157=ORIENTED_EDGE('',*,*,#88267,.T.); #118158=ORIENTED_EDGE('',*,*,#88268,.T.); #118159=ORIENTED_EDGE('',*,*,#88264,.F.); #118160=ORIENTED_EDGE('',*,*,#88269,.F.); #118161=ORIENTED_EDGE('',*,*,#88270,.T.); #118162=ORIENTED_EDGE('',*,*,#88271,.T.); #118163=ORIENTED_EDGE('',*,*,#88267,.F.); #118164=ORIENTED_EDGE('',*,*,#88272,.F.); #118165=ORIENTED_EDGE('',*,*,#88273,.T.); #118166=ORIENTED_EDGE('',*,*,#88274,.T.); #118167=ORIENTED_EDGE('',*,*,#88270,.F.); #118168=ORIENTED_EDGE('',*,*,#88275,.F.); #118169=ORIENTED_EDGE('',*,*,#88276,.T.); #118170=ORIENTED_EDGE('',*,*,#88277,.T.); #118171=ORIENTED_EDGE('',*,*,#88273,.F.); #118172=ORIENTED_EDGE('',*,*,#88278,.F.); #118173=ORIENTED_EDGE('',*,*,#88279,.T.); #118174=ORIENTED_EDGE('',*,*,#88280,.T.); #118175=ORIENTED_EDGE('',*,*,#88276,.F.); #118176=ORIENTED_EDGE('',*,*,#88281,.F.); #118177=ORIENTED_EDGE('',*,*,#88282,.T.); #118178=ORIENTED_EDGE('',*,*,#88283,.T.); #118179=ORIENTED_EDGE('',*,*,#88279,.F.); #118180=ORIENTED_EDGE('',*,*,#88284,.F.); #118181=ORIENTED_EDGE('',*,*,#88285,.T.); #118182=ORIENTED_EDGE('',*,*,#88286,.T.); #118183=ORIENTED_EDGE('',*,*,#88282,.F.); #118184=ORIENTED_EDGE('',*,*,#88287,.F.); #118185=ORIENTED_EDGE('',*,*,#88288,.T.); #118186=ORIENTED_EDGE('',*,*,#88289,.T.); #118187=ORIENTED_EDGE('',*,*,#88285,.F.); #118188=ORIENTED_EDGE('',*,*,#88290,.F.); #118189=ORIENTED_EDGE('',*,*,#88291,.T.); #118190=ORIENTED_EDGE('',*,*,#88292,.T.); #118191=ORIENTED_EDGE('',*,*,#88288,.F.); #118192=ORIENTED_EDGE('',*,*,#88293,.F.); #118193=ORIENTED_EDGE('',*,*,#88294,.T.); #118194=ORIENTED_EDGE('',*,*,#88295,.T.); #118195=ORIENTED_EDGE('',*,*,#88291,.F.); #118196=ORIENTED_EDGE('',*,*,#88296,.F.); #118197=ORIENTED_EDGE('',*,*,#88297,.T.); #118198=ORIENTED_EDGE('',*,*,#88298,.T.); #118199=ORIENTED_EDGE('',*,*,#88294,.F.); #118200=ORIENTED_EDGE('',*,*,#88299,.F.); #118201=ORIENTED_EDGE('',*,*,#88300,.T.); #118202=ORIENTED_EDGE('',*,*,#88301,.T.); #118203=ORIENTED_EDGE('',*,*,#88297,.F.); #118204=ORIENTED_EDGE('',*,*,#88302,.F.); #118205=ORIENTED_EDGE('',*,*,#88303,.T.); #118206=ORIENTED_EDGE('',*,*,#88304,.T.); #118207=ORIENTED_EDGE('',*,*,#88300,.F.); #118208=ORIENTED_EDGE('',*,*,#88305,.F.); #118209=ORIENTED_EDGE('',*,*,#88306,.T.); #118210=ORIENTED_EDGE('',*,*,#88307,.T.); #118211=ORIENTED_EDGE('',*,*,#88303,.F.); #118212=ORIENTED_EDGE('',*,*,#88308,.F.); #118213=ORIENTED_EDGE('',*,*,#88309,.T.); #118214=ORIENTED_EDGE('',*,*,#88310,.T.); #118215=ORIENTED_EDGE('',*,*,#88306,.F.); #118216=ORIENTED_EDGE('',*,*,#88311,.F.); #118217=ORIENTED_EDGE('',*,*,#88312,.T.); #118218=ORIENTED_EDGE('',*,*,#88313,.T.); #118219=ORIENTED_EDGE('',*,*,#88309,.F.); #118220=ORIENTED_EDGE('',*,*,#88314,.F.); #118221=ORIENTED_EDGE('',*,*,#88315,.T.); #118222=ORIENTED_EDGE('',*,*,#88316,.T.); #118223=ORIENTED_EDGE('',*,*,#88312,.F.); #118224=ORIENTED_EDGE('',*,*,#88317,.F.); #118225=ORIENTED_EDGE('',*,*,#88318,.T.); #118226=ORIENTED_EDGE('',*,*,#88319,.T.); #118227=ORIENTED_EDGE('',*,*,#88315,.F.); #118228=ORIENTED_EDGE('',*,*,#88320,.F.); #118229=ORIENTED_EDGE('',*,*,#88321,.T.); #118230=ORIENTED_EDGE('',*,*,#88322,.T.); #118231=ORIENTED_EDGE('',*,*,#88318,.F.); #118232=ORIENTED_EDGE('',*,*,#88323,.F.); #118233=ORIENTED_EDGE('',*,*,#88324,.T.); #118234=ORIENTED_EDGE('',*,*,#88325,.T.); #118235=ORIENTED_EDGE('',*,*,#88321,.F.); #118236=ORIENTED_EDGE('',*,*,#88326,.F.); #118237=ORIENTED_EDGE('',*,*,#88327,.T.); #118238=ORIENTED_EDGE('',*,*,#88328,.T.); #118239=ORIENTED_EDGE('',*,*,#88324,.F.); #118240=ORIENTED_EDGE('',*,*,#88329,.F.); #118241=ORIENTED_EDGE('',*,*,#88330,.T.); #118242=ORIENTED_EDGE('',*,*,#88331,.T.); #118243=ORIENTED_EDGE('',*,*,#88327,.F.); #118244=ORIENTED_EDGE('',*,*,#88332,.F.); #118245=ORIENTED_EDGE('',*,*,#88333,.T.); #118246=ORIENTED_EDGE('',*,*,#88334,.T.); #118247=ORIENTED_EDGE('',*,*,#88330,.F.); #118248=ORIENTED_EDGE('',*,*,#88335,.F.); #118249=ORIENTED_EDGE('',*,*,#88336,.T.); #118250=ORIENTED_EDGE('',*,*,#88337,.T.); #118251=ORIENTED_EDGE('',*,*,#88333,.F.); #118252=ORIENTED_EDGE('',*,*,#88338,.F.); #118253=ORIENTED_EDGE('',*,*,#88339,.T.); #118254=ORIENTED_EDGE('',*,*,#88340,.T.); #118255=ORIENTED_EDGE('',*,*,#88336,.F.); #118256=ORIENTED_EDGE('',*,*,#88341,.F.); #118257=ORIENTED_EDGE('',*,*,#88342,.T.); #118258=ORIENTED_EDGE('',*,*,#88343,.T.); #118259=ORIENTED_EDGE('',*,*,#88339,.F.); #118260=ORIENTED_EDGE('',*,*,#88344,.F.); #118261=ORIENTED_EDGE('',*,*,#88345,.T.); #118262=ORIENTED_EDGE('',*,*,#88346,.T.); #118263=ORIENTED_EDGE('',*,*,#88342,.F.); #118264=ORIENTED_EDGE('',*,*,#88347,.F.); #118265=ORIENTED_EDGE('',*,*,#88348,.T.); #118266=ORIENTED_EDGE('',*,*,#88349,.T.); #118267=ORIENTED_EDGE('',*,*,#88345,.F.); #118268=ORIENTED_EDGE('',*,*,#88350,.F.); #118269=ORIENTED_EDGE('',*,*,#88351,.T.); #118270=ORIENTED_EDGE('',*,*,#88352,.T.); #118271=ORIENTED_EDGE('',*,*,#88348,.F.); #118272=ORIENTED_EDGE('',*,*,#88353,.F.); #118273=ORIENTED_EDGE('',*,*,#88354,.T.); #118274=ORIENTED_EDGE('',*,*,#88355,.T.); #118275=ORIENTED_EDGE('',*,*,#88351,.F.); #118276=ORIENTED_EDGE('',*,*,#88356,.F.); #118277=ORIENTED_EDGE('',*,*,#88357,.T.); #118278=ORIENTED_EDGE('',*,*,#88358,.T.); #118279=ORIENTED_EDGE('',*,*,#88354,.F.); #118280=ORIENTED_EDGE('',*,*,#88359,.F.); #118281=ORIENTED_EDGE('',*,*,#88360,.T.); #118282=ORIENTED_EDGE('',*,*,#88361,.T.); #118283=ORIENTED_EDGE('',*,*,#88357,.F.); #118284=ORIENTED_EDGE('',*,*,#88362,.F.); #118285=ORIENTED_EDGE('',*,*,#88363,.T.); #118286=ORIENTED_EDGE('',*,*,#88364,.T.); #118287=ORIENTED_EDGE('',*,*,#88360,.F.); #118288=ORIENTED_EDGE('',*,*,#88365,.F.); #118289=ORIENTED_EDGE('',*,*,#88366,.T.); #118290=ORIENTED_EDGE('',*,*,#88367,.T.); #118291=ORIENTED_EDGE('',*,*,#88363,.F.); #118292=ORIENTED_EDGE('',*,*,#88368,.F.); #118293=ORIENTED_EDGE('',*,*,#88369,.T.); #118294=ORIENTED_EDGE('',*,*,#88370,.T.); #118295=ORIENTED_EDGE('',*,*,#88366,.F.); #118296=ORIENTED_EDGE('',*,*,#88371,.F.); #118297=ORIENTED_EDGE('',*,*,#88372,.T.); #118298=ORIENTED_EDGE('',*,*,#88373,.T.); #118299=ORIENTED_EDGE('',*,*,#88369,.F.); #118300=ORIENTED_EDGE('',*,*,#88374,.F.); #118301=ORIENTED_EDGE('',*,*,#88375,.T.); #118302=ORIENTED_EDGE('',*,*,#88376,.T.); #118303=ORIENTED_EDGE('',*,*,#88372,.F.); #118304=ORIENTED_EDGE('',*,*,#88377,.F.); #118305=ORIENTED_EDGE('',*,*,#88378,.T.); #118306=ORIENTED_EDGE('',*,*,#88379,.T.); #118307=ORIENTED_EDGE('',*,*,#88375,.F.); #118308=ORIENTED_EDGE('',*,*,#88380,.F.); #118309=ORIENTED_EDGE('',*,*,#88381,.T.); #118310=ORIENTED_EDGE('',*,*,#88382,.T.); #118311=ORIENTED_EDGE('',*,*,#88378,.F.); #118312=ORIENTED_EDGE('',*,*,#88383,.F.); #118313=ORIENTED_EDGE('',*,*,#88384,.T.); #118314=ORIENTED_EDGE('',*,*,#88385,.T.); #118315=ORIENTED_EDGE('',*,*,#88381,.F.); #118316=ORIENTED_EDGE('',*,*,#88386,.F.); #118317=ORIENTED_EDGE('',*,*,#88387,.T.); #118318=ORIENTED_EDGE('',*,*,#88388,.T.); #118319=ORIENTED_EDGE('',*,*,#88384,.F.); #118320=ORIENTED_EDGE('',*,*,#88389,.F.); #118321=ORIENTED_EDGE('',*,*,#88390,.T.); #118322=ORIENTED_EDGE('',*,*,#88391,.T.); #118323=ORIENTED_EDGE('',*,*,#88387,.F.); #118324=ORIENTED_EDGE('',*,*,#88392,.F.); #118325=ORIENTED_EDGE('',*,*,#88393,.T.); #118326=ORIENTED_EDGE('',*,*,#88394,.T.); #118327=ORIENTED_EDGE('',*,*,#88390,.F.); #118328=ORIENTED_EDGE('',*,*,#88395,.F.); #118329=ORIENTED_EDGE('',*,*,#88396,.T.); #118330=ORIENTED_EDGE('',*,*,#88397,.T.); #118331=ORIENTED_EDGE('',*,*,#88393,.F.); #118332=ORIENTED_EDGE('',*,*,#88398,.F.); #118333=ORIENTED_EDGE('',*,*,#88399,.T.); #118334=ORIENTED_EDGE('',*,*,#88400,.T.); #118335=ORIENTED_EDGE('',*,*,#88396,.F.); #118336=ORIENTED_EDGE('',*,*,#88401,.F.); #118337=ORIENTED_EDGE('',*,*,#88402,.T.); #118338=ORIENTED_EDGE('',*,*,#88403,.T.); #118339=ORIENTED_EDGE('',*,*,#88399,.F.); #118340=ORIENTED_EDGE('',*,*,#88404,.F.); #118341=ORIENTED_EDGE('',*,*,#88405,.T.); #118342=ORIENTED_EDGE('',*,*,#88406,.T.); #118343=ORIENTED_EDGE('',*,*,#88402,.F.); #118344=ORIENTED_EDGE('',*,*,#88407,.F.); #118345=ORIENTED_EDGE('',*,*,#88408,.T.); #118346=ORIENTED_EDGE('',*,*,#88409,.T.); #118347=ORIENTED_EDGE('',*,*,#88405,.F.); #118348=ORIENTED_EDGE('',*,*,#88410,.F.); #118349=ORIENTED_EDGE('',*,*,#88411,.T.); #118350=ORIENTED_EDGE('',*,*,#88412,.T.); #118351=ORIENTED_EDGE('',*,*,#88408,.F.); #118352=ORIENTED_EDGE('',*,*,#88413,.F.); #118353=ORIENTED_EDGE('',*,*,#88414,.T.); #118354=ORIENTED_EDGE('',*,*,#88415,.T.); #118355=ORIENTED_EDGE('',*,*,#88411,.F.); #118356=ORIENTED_EDGE('',*,*,#88416,.F.); #118357=ORIENTED_EDGE('',*,*,#88417,.T.); #118358=ORIENTED_EDGE('',*,*,#88418,.T.); #118359=ORIENTED_EDGE('',*,*,#88414,.F.); #118360=ORIENTED_EDGE('',*,*,#88419,.F.); #118361=ORIENTED_EDGE('',*,*,#88420,.T.); #118362=ORIENTED_EDGE('',*,*,#88421,.T.); #118363=ORIENTED_EDGE('',*,*,#88417,.F.); #118364=ORIENTED_EDGE('',*,*,#88422,.F.); #118365=ORIENTED_EDGE('',*,*,#88423,.T.); #118366=ORIENTED_EDGE('',*,*,#88424,.T.); #118367=ORIENTED_EDGE('',*,*,#88420,.F.); #118368=ORIENTED_EDGE('',*,*,#88425,.F.); #118369=ORIENTED_EDGE('',*,*,#88426,.T.); #118370=ORIENTED_EDGE('',*,*,#88427,.T.); #118371=ORIENTED_EDGE('',*,*,#88423,.F.); #118372=ORIENTED_EDGE('',*,*,#88428,.F.); #118373=ORIENTED_EDGE('',*,*,#88429,.T.); #118374=ORIENTED_EDGE('',*,*,#88430,.T.); #118375=ORIENTED_EDGE('',*,*,#88426,.F.); #118376=ORIENTED_EDGE('',*,*,#88431,.F.); #118377=ORIENTED_EDGE('',*,*,#88432,.T.); #118378=ORIENTED_EDGE('',*,*,#88433,.T.); #118379=ORIENTED_EDGE('',*,*,#88429,.F.); #118380=ORIENTED_EDGE('',*,*,#88434,.F.); #118381=ORIENTED_EDGE('',*,*,#88435,.T.); #118382=ORIENTED_EDGE('',*,*,#88436,.T.); #118383=ORIENTED_EDGE('',*,*,#88432,.F.); #118384=ORIENTED_EDGE('',*,*,#88437,.F.); #118385=ORIENTED_EDGE('',*,*,#88438,.T.); #118386=ORIENTED_EDGE('',*,*,#88439,.T.); #118387=ORIENTED_EDGE('',*,*,#88435,.F.); #118388=ORIENTED_EDGE('',*,*,#88440,.F.); #118389=ORIENTED_EDGE('',*,*,#88441,.T.); #118390=ORIENTED_EDGE('',*,*,#88442,.T.); #118391=ORIENTED_EDGE('',*,*,#88438,.F.); #118392=ORIENTED_EDGE('',*,*,#88443,.F.); #118393=ORIENTED_EDGE('',*,*,#88444,.T.); #118394=ORIENTED_EDGE('',*,*,#88445,.T.); #118395=ORIENTED_EDGE('',*,*,#88441,.F.); #118396=ORIENTED_EDGE('',*,*,#88446,.F.); #118397=ORIENTED_EDGE('',*,*,#88447,.T.); #118398=ORIENTED_EDGE('',*,*,#88448,.T.); #118399=ORIENTED_EDGE('',*,*,#88444,.F.); #118400=ORIENTED_EDGE('',*,*,#88449,.F.); #118401=ORIENTED_EDGE('',*,*,#88450,.T.); #118402=ORIENTED_EDGE('',*,*,#88451,.T.); #118403=ORIENTED_EDGE('',*,*,#88447,.F.); #118404=ORIENTED_EDGE('',*,*,#88452,.F.); #118405=ORIENTED_EDGE('',*,*,#88453,.T.); #118406=ORIENTED_EDGE('',*,*,#88454,.T.); #118407=ORIENTED_EDGE('',*,*,#88450,.F.); #118408=ORIENTED_EDGE('',*,*,#88455,.F.); #118409=ORIENTED_EDGE('',*,*,#88456,.T.); #118410=ORIENTED_EDGE('',*,*,#88457,.T.); #118411=ORIENTED_EDGE('',*,*,#88453,.F.); #118412=ORIENTED_EDGE('',*,*,#88458,.F.); #118413=ORIENTED_EDGE('',*,*,#88459,.T.); #118414=ORIENTED_EDGE('',*,*,#88460,.T.); #118415=ORIENTED_EDGE('',*,*,#88456,.F.); #118416=ORIENTED_EDGE('',*,*,#88461,.F.); #118417=ORIENTED_EDGE('',*,*,#88462,.T.); #118418=ORIENTED_EDGE('',*,*,#88463,.T.); #118419=ORIENTED_EDGE('',*,*,#88459,.F.); #118420=ORIENTED_EDGE('',*,*,#88464,.F.); #118421=ORIENTED_EDGE('',*,*,#88465,.T.); #118422=ORIENTED_EDGE('',*,*,#88466,.T.); #118423=ORIENTED_EDGE('',*,*,#88462,.F.); #118424=ORIENTED_EDGE('',*,*,#88467,.F.); #118425=ORIENTED_EDGE('',*,*,#88468,.T.); #118426=ORIENTED_EDGE('',*,*,#88469,.T.); #118427=ORIENTED_EDGE('',*,*,#88465,.F.); #118428=ORIENTED_EDGE('',*,*,#88470,.F.); #118429=ORIENTED_EDGE('',*,*,#88471,.T.); #118430=ORIENTED_EDGE('',*,*,#88472,.T.); #118431=ORIENTED_EDGE('',*,*,#88468,.F.); #118432=ORIENTED_EDGE('',*,*,#88473,.F.); #118433=ORIENTED_EDGE('',*,*,#88214,.T.); #118434=ORIENTED_EDGE('',*,*,#88474,.T.); #118435=ORIENTED_EDGE('',*,*,#88471,.F.); #118436=ORIENTED_EDGE('',*,*,#88474,.F.); #118437=ORIENTED_EDGE('',*,*,#88213,.F.); #118438=ORIENTED_EDGE('',*,*,#88217,.F.); #118439=ORIENTED_EDGE('',*,*,#88220,.F.); #118440=ORIENTED_EDGE('',*,*,#88223,.F.); #118441=ORIENTED_EDGE('',*,*,#88226,.F.); #118442=ORIENTED_EDGE('',*,*,#88229,.F.); #118443=ORIENTED_EDGE('',*,*,#88232,.F.); #118444=ORIENTED_EDGE('',*,*,#88235,.F.); #118445=ORIENTED_EDGE('',*,*,#88238,.F.); #118446=ORIENTED_EDGE('',*,*,#88241,.F.); #118447=ORIENTED_EDGE('',*,*,#88244,.F.); #118448=ORIENTED_EDGE('',*,*,#88247,.F.); #118449=ORIENTED_EDGE('',*,*,#88250,.F.); #118450=ORIENTED_EDGE('',*,*,#88253,.F.); #118451=ORIENTED_EDGE('',*,*,#88256,.F.); #118452=ORIENTED_EDGE('',*,*,#88259,.F.); #118453=ORIENTED_EDGE('',*,*,#88262,.F.); #118454=ORIENTED_EDGE('',*,*,#88265,.F.); #118455=ORIENTED_EDGE('',*,*,#88268,.F.); #118456=ORIENTED_EDGE('',*,*,#88271,.F.); #118457=ORIENTED_EDGE('',*,*,#88274,.F.); #118458=ORIENTED_EDGE('',*,*,#88277,.F.); #118459=ORIENTED_EDGE('',*,*,#88280,.F.); #118460=ORIENTED_EDGE('',*,*,#88283,.F.); #118461=ORIENTED_EDGE('',*,*,#88286,.F.); #118462=ORIENTED_EDGE('',*,*,#88289,.F.); #118463=ORIENTED_EDGE('',*,*,#88292,.F.); #118464=ORIENTED_EDGE('',*,*,#88295,.F.); #118465=ORIENTED_EDGE('',*,*,#88298,.F.); #118466=ORIENTED_EDGE('',*,*,#88301,.F.); #118467=ORIENTED_EDGE('',*,*,#88304,.F.); #118468=ORIENTED_EDGE('',*,*,#88307,.F.); #118469=ORIENTED_EDGE('',*,*,#88310,.F.); #118470=ORIENTED_EDGE('',*,*,#88313,.F.); #118471=ORIENTED_EDGE('',*,*,#88316,.F.); #118472=ORIENTED_EDGE('',*,*,#88319,.F.); #118473=ORIENTED_EDGE('',*,*,#88322,.F.); #118474=ORIENTED_EDGE('',*,*,#88325,.F.); #118475=ORIENTED_EDGE('',*,*,#88328,.F.); #118476=ORIENTED_EDGE('',*,*,#88331,.F.); #118477=ORIENTED_EDGE('',*,*,#88334,.F.); #118478=ORIENTED_EDGE('',*,*,#88337,.F.); #118479=ORIENTED_EDGE('',*,*,#88340,.F.); #118480=ORIENTED_EDGE('',*,*,#88343,.F.); #118481=ORIENTED_EDGE('',*,*,#88346,.F.); #118482=ORIENTED_EDGE('',*,*,#88349,.F.); #118483=ORIENTED_EDGE('',*,*,#88352,.F.); #118484=ORIENTED_EDGE('',*,*,#88355,.F.); #118485=ORIENTED_EDGE('',*,*,#88358,.F.); #118486=ORIENTED_EDGE('',*,*,#88361,.F.); #118487=ORIENTED_EDGE('',*,*,#88364,.F.); #118488=ORIENTED_EDGE('',*,*,#88367,.F.); #118489=ORIENTED_EDGE('',*,*,#88370,.F.); #118490=ORIENTED_EDGE('',*,*,#88373,.F.); #118491=ORIENTED_EDGE('',*,*,#88376,.F.); #118492=ORIENTED_EDGE('',*,*,#88379,.F.); #118493=ORIENTED_EDGE('',*,*,#88382,.F.); #118494=ORIENTED_EDGE('',*,*,#88385,.F.); #118495=ORIENTED_EDGE('',*,*,#88388,.F.); #118496=ORIENTED_EDGE('',*,*,#88391,.F.); #118497=ORIENTED_EDGE('',*,*,#88394,.F.); #118498=ORIENTED_EDGE('',*,*,#88397,.F.); #118499=ORIENTED_EDGE('',*,*,#88400,.F.); #118500=ORIENTED_EDGE('',*,*,#88403,.F.); #118501=ORIENTED_EDGE('',*,*,#88406,.F.); #118502=ORIENTED_EDGE('',*,*,#88409,.F.); #118503=ORIENTED_EDGE('',*,*,#88412,.F.); #118504=ORIENTED_EDGE('',*,*,#88415,.F.); #118505=ORIENTED_EDGE('',*,*,#88418,.F.); #118506=ORIENTED_EDGE('',*,*,#88421,.F.); #118507=ORIENTED_EDGE('',*,*,#88424,.F.); #118508=ORIENTED_EDGE('',*,*,#88427,.F.); #118509=ORIENTED_EDGE('',*,*,#88430,.F.); #118510=ORIENTED_EDGE('',*,*,#88433,.F.); #118511=ORIENTED_EDGE('',*,*,#88436,.F.); #118512=ORIENTED_EDGE('',*,*,#88439,.F.); #118513=ORIENTED_EDGE('',*,*,#88442,.F.); #118514=ORIENTED_EDGE('',*,*,#88445,.F.); #118515=ORIENTED_EDGE('',*,*,#88448,.F.); #118516=ORIENTED_EDGE('',*,*,#88451,.F.); #118517=ORIENTED_EDGE('',*,*,#88454,.F.); #118518=ORIENTED_EDGE('',*,*,#88457,.F.); #118519=ORIENTED_EDGE('',*,*,#88460,.F.); #118520=ORIENTED_EDGE('',*,*,#88463,.F.); #118521=ORIENTED_EDGE('',*,*,#88466,.F.); #118522=ORIENTED_EDGE('',*,*,#88469,.F.); #118523=ORIENTED_EDGE('',*,*,#88472,.F.); #118524=ORIENTED_EDGE('',*,*,#88210,.F.); #118525=ORIENTED_EDGE('',*,*,#88165,.F.); #118526=ORIENTED_EDGE('',*,*,#88169,.F.); #118527=ORIENTED_EDGE('',*,*,#88172,.F.); #118528=ORIENTED_EDGE('',*,*,#88175,.F.); #118529=ORIENTED_EDGE('',*,*,#88178,.F.); #118530=ORIENTED_EDGE('',*,*,#88181,.F.); #118531=ORIENTED_EDGE('',*,*,#88184,.F.); #118532=ORIENTED_EDGE('',*,*,#88187,.F.); #118533=ORIENTED_EDGE('',*,*,#88190,.F.); #118534=ORIENTED_EDGE('',*,*,#88193,.F.); #118535=ORIENTED_EDGE('',*,*,#88196,.F.); #118536=ORIENTED_EDGE('',*,*,#88199,.F.); #118537=ORIENTED_EDGE('',*,*,#88202,.F.); #118538=ORIENTED_EDGE('',*,*,#88205,.F.); #118539=ORIENTED_EDGE('',*,*,#88208,.F.); #118540=ORIENTED_EDGE('',*,*,#88475,.F.); #118541=ORIENTED_EDGE('',*,*,#88476,.T.); #118542=ORIENTED_EDGE('',*,*,#88477,.T.); #118543=ORIENTED_EDGE('',*,*,#88478,.F.); #118544=ORIENTED_EDGE('',*,*,#88479,.F.); #118545=ORIENTED_EDGE('',*,*,#88480,.T.); #118546=ORIENTED_EDGE('',*,*,#88481,.T.); #118547=ORIENTED_EDGE('',*,*,#88476,.F.); #118548=ORIENTED_EDGE('',*,*,#88482,.F.); #118549=ORIENTED_EDGE('',*,*,#88483,.T.); #118550=ORIENTED_EDGE('',*,*,#88484,.T.); #118551=ORIENTED_EDGE('',*,*,#88480,.F.); #118552=ORIENTED_EDGE('',*,*,#88485,.F.); #118553=ORIENTED_EDGE('',*,*,#88486,.T.); #118554=ORIENTED_EDGE('',*,*,#88487,.T.); #118555=ORIENTED_EDGE('',*,*,#88483,.F.); #118556=ORIENTED_EDGE('',*,*,#88488,.F.); #118557=ORIENTED_EDGE('',*,*,#88478,.T.); #118558=ORIENTED_EDGE('',*,*,#88489,.T.); #118559=ORIENTED_EDGE('',*,*,#88486,.F.); #118560=ORIENTED_EDGE('',*,*,#88490,.F.); #118561=ORIENTED_EDGE('',*,*,#88491,.T.); #118562=ORIENTED_EDGE('',*,*,#88492,.T.); #118563=ORIENTED_EDGE('',*,*,#88493,.F.); #118564=ORIENTED_EDGE('',*,*,#88494,.F.); #118565=ORIENTED_EDGE('',*,*,#88495,.T.); #118566=ORIENTED_EDGE('',*,*,#88496,.T.); #118567=ORIENTED_EDGE('',*,*,#88491,.F.); #118568=ORIENTED_EDGE('',*,*,#88497,.F.); #118569=ORIENTED_EDGE('',*,*,#88498,.T.); #118570=ORIENTED_EDGE('',*,*,#88499,.T.); #118571=ORIENTED_EDGE('',*,*,#88495,.F.); #118572=ORIENTED_EDGE('',*,*,#88500,.F.); #118573=ORIENTED_EDGE('',*,*,#88501,.T.); #118574=ORIENTED_EDGE('',*,*,#88502,.T.); #118575=ORIENTED_EDGE('',*,*,#88498,.F.); #118576=ORIENTED_EDGE('',*,*,#88503,.F.); #118577=ORIENTED_EDGE('',*,*,#88504,.T.); #118578=ORIENTED_EDGE('',*,*,#88505,.T.); #118579=ORIENTED_EDGE('',*,*,#88501,.F.); #118580=ORIENTED_EDGE('',*,*,#88506,.F.); #118581=ORIENTED_EDGE('',*,*,#88507,.T.); #118582=ORIENTED_EDGE('',*,*,#88508,.T.); #118583=ORIENTED_EDGE('',*,*,#88504,.F.); #118584=ORIENTED_EDGE('',*,*,#88509,.F.); #118585=ORIENTED_EDGE('',*,*,#88510,.T.); #118586=ORIENTED_EDGE('',*,*,#88511,.T.); #118587=ORIENTED_EDGE('',*,*,#88507,.F.); #118588=ORIENTED_EDGE('',*,*,#88512,.F.); #118589=ORIENTED_EDGE('',*,*,#88513,.T.); #118590=ORIENTED_EDGE('',*,*,#88514,.T.); #118591=ORIENTED_EDGE('',*,*,#88510,.F.); #118592=ORIENTED_EDGE('',*,*,#88515,.F.); #118593=ORIENTED_EDGE('',*,*,#88516,.T.); #118594=ORIENTED_EDGE('',*,*,#88517,.T.); #118595=ORIENTED_EDGE('',*,*,#88513,.F.); #118596=ORIENTED_EDGE('',*,*,#88518,.F.); #118597=ORIENTED_EDGE('',*,*,#88519,.T.); #118598=ORIENTED_EDGE('',*,*,#88520,.T.); #118599=ORIENTED_EDGE('',*,*,#88516,.F.); #118600=ORIENTED_EDGE('',*,*,#88521,.F.); #118601=ORIENTED_EDGE('',*,*,#88522,.T.); #118602=ORIENTED_EDGE('',*,*,#88523,.T.); #118603=ORIENTED_EDGE('',*,*,#88519,.F.); #118604=ORIENTED_EDGE('',*,*,#88524,.F.); #118605=ORIENTED_EDGE('',*,*,#88525,.T.); #118606=ORIENTED_EDGE('',*,*,#88526,.T.); #118607=ORIENTED_EDGE('',*,*,#88522,.F.); #118608=ORIENTED_EDGE('',*,*,#88527,.F.); #118609=ORIENTED_EDGE('',*,*,#88528,.T.); #118610=ORIENTED_EDGE('',*,*,#88529,.T.); #118611=ORIENTED_EDGE('',*,*,#88525,.F.); #118612=ORIENTED_EDGE('',*,*,#88530,.F.); #118613=ORIENTED_EDGE('',*,*,#88531,.T.); #118614=ORIENTED_EDGE('',*,*,#88532,.T.); #118615=ORIENTED_EDGE('',*,*,#88528,.F.); #118616=ORIENTED_EDGE('',*,*,#88533,.F.); #118617=ORIENTED_EDGE('',*,*,#88534,.T.); #118618=ORIENTED_EDGE('',*,*,#88535,.T.); #118619=ORIENTED_EDGE('',*,*,#88531,.F.); #118620=ORIENTED_EDGE('',*,*,#88536,.F.); #118621=ORIENTED_EDGE('',*,*,#88537,.T.); #118622=ORIENTED_EDGE('',*,*,#88538,.T.); #118623=ORIENTED_EDGE('',*,*,#88534,.F.); #118624=ORIENTED_EDGE('',*,*,#88539,.F.); #118625=ORIENTED_EDGE('',*,*,#88540,.T.); #118626=ORIENTED_EDGE('',*,*,#88541,.T.); #118627=ORIENTED_EDGE('',*,*,#88537,.F.); #118628=ORIENTED_EDGE('',*,*,#88542,.F.); #118629=ORIENTED_EDGE('',*,*,#88543,.T.); #118630=ORIENTED_EDGE('',*,*,#88544,.T.); #118631=ORIENTED_EDGE('',*,*,#88540,.F.); #118632=ORIENTED_EDGE('',*,*,#88545,.F.); #118633=ORIENTED_EDGE('',*,*,#88546,.T.); #118634=ORIENTED_EDGE('',*,*,#88547,.T.); #118635=ORIENTED_EDGE('',*,*,#88543,.F.); #118636=ORIENTED_EDGE('',*,*,#88548,.F.); #118637=ORIENTED_EDGE('',*,*,#88549,.T.); #118638=ORIENTED_EDGE('',*,*,#88550,.T.); #118639=ORIENTED_EDGE('',*,*,#88546,.F.); #118640=ORIENTED_EDGE('',*,*,#88551,.F.); #118641=ORIENTED_EDGE('',*,*,#88552,.T.); #118642=ORIENTED_EDGE('',*,*,#88553,.T.); #118643=ORIENTED_EDGE('',*,*,#88549,.F.); #118644=ORIENTED_EDGE('',*,*,#88554,.F.); #118645=ORIENTED_EDGE('',*,*,#88555,.T.); #118646=ORIENTED_EDGE('',*,*,#88556,.T.); #118647=ORIENTED_EDGE('',*,*,#88552,.F.); #118648=ORIENTED_EDGE('',*,*,#88557,.F.); #118649=ORIENTED_EDGE('',*,*,#88558,.T.); #118650=ORIENTED_EDGE('',*,*,#88559,.T.); #118651=ORIENTED_EDGE('',*,*,#88555,.F.); #118652=ORIENTED_EDGE('',*,*,#88560,.F.); #118653=ORIENTED_EDGE('',*,*,#88561,.T.); #118654=ORIENTED_EDGE('',*,*,#88562,.T.); #118655=ORIENTED_EDGE('',*,*,#88558,.F.); #118656=ORIENTED_EDGE('',*,*,#88563,.F.); #118657=ORIENTED_EDGE('',*,*,#88564,.T.); #118658=ORIENTED_EDGE('',*,*,#88565,.T.); #118659=ORIENTED_EDGE('',*,*,#88561,.F.); #118660=ORIENTED_EDGE('',*,*,#88566,.F.); #118661=ORIENTED_EDGE('',*,*,#88567,.T.); #118662=ORIENTED_EDGE('',*,*,#88568,.T.); #118663=ORIENTED_EDGE('',*,*,#88564,.F.); #118664=ORIENTED_EDGE('',*,*,#88569,.F.); #118665=ORIENTED_EDGE('',*,*,#88570,.T.); #118666=ORIENTED_EDGE('',*,*,#88571,.T.); #118667=ORIENTED_EDGE('',*,*,#88567,.F.); #118668=ORIENTED_EDGE('',*,*,#88572,.F.); #118669=ORIENTED_EDGE('',*,*,#88573,.T.); #118670=ORIENTED_EDGE('',*,*,#88574,.T.); #118671=ORIENTED_EDGE('',*,*,#88570,.F.); #118672=ORIENTED_EDGE('',*,*,#88575,.F.); #118673=ORIENTED_EDGE('',*,*,#88576,.T.); #118674=ORIENTED_EDGE('',*,*,#88577,.T.); #118675=ORIENTED_EDGE('',*,*,#88573,.F.); #118676=ORIENTED_EDGE('',*,*,#88578,.F.); #118677=ORIENTED_EDGE('',*,*,#88579,.T.); #118678=ORIENTED_EDGE('',*,*,#88580,.T.); #118679=ORIENTED_EDGE('',*,*,#88576,.F.); #118680=ORIENTED_EDGE('',*,*,#88581,.F.); #118681=ORIENTED_EDGE('',*,*,#88582,.T.); #118682=ORIENTED_EDGE('',*,*,#88583,.T.); #118683=ORIENTED_EDGE('',*,*,#88579,.F.); #118684=ORIENTED_EDGE('',*,*,#88584,.F.); #118685=ORIENTED_EDGE('',*,*,#88585,.T.); #118686=ORIENTED_EDGE('',*,*,#88586,.T.); #118687=ORIENTED_EDGE('',*,*,#88582,.F.); #118688=ORIENTED_EDGE('',*,*,#88587,.F.); #118689=ORIENTED_EDGE('',*,*,#88588,.T.); #118690=ORIENTED_EDGE('',*,*,#88589,.T.); #118691=ORIENTED_EDGE('',*,*,#88585,.F.); #118692=ORIENTED_EDGE('',*,*,#88590,.F.); #118693=ORIENTED_EDGE('',*,*,#88591,.T.); #118694=ORIENTED_EDGE('',*,*,#88592,.T.); #118695=ORIENTED_EDGE('',*,*,#88588,.F.); #118696=ORIENTED_EDGE('',*,*,#88593,.F.); #118697=ORIENTED_EDGE('',*,*,#88594,.T.); #118698=ORIENTED_EDGE('',*,*,#88595,.T.); #118699=ORIENTED_EDGE('',*,*,#88591,.F.); #118700=ORIENTED_EDGE('',*,*,#88596,.F.); #118701=ORIENTED_EDGE('',*,*,#88597,.T.); #118702=ORIENTED_EDGE('',*,*,#88598,.T.); #118703=ORIENTED_EDGE('',*,*,#88594,.F.); #118704=ORIENTED_EDGE('',*,*,#88599,.F.); #118705=ORIENTED_EDGE('',*,*,#88600,.T.); #118706=ORIENTED_EDGE('',*,*,#88601,.T.); #118707=ORIENTED_EDGE('',*,*,#88597,.F.); #118708=ORIENTED_EDGE('',*,*,#88602,.F.); #118709=ORIENTED_EDGE('',*,*,#88603,.T.); #118710=ORIENTED_EDGE('',*,*,#88604,.T.); #118711=ORIENTED_EDGE('',*,*,#88600,.F.); #118712=ORIENTED_EDGE('',*,*,#88605,.F.); #118713=ORIENTED_EDGE('',*,*,#88606,.T.); #118714=ORIENTED_EDGE('',*,*,#88607,.T.); #118715=ORIENTED_EDGE('',*,*,#88603,.F.); #118716=ORIENTED_EDGE('',*,*,#88608,.F.); #118717=ORIENTED_EDGE('',*,*,#88609,.T.); #118718=ORIENTED_EDGE('',*,*,#88610,.T.); #118719=ORIENTED_EDGE('',*,*,#88606,.F.); #118720=ORIENTED_EDGE('',*,*,#88611,.F.); #118721=ORIENTED_EDGE('',*,*,#88612,.T.); #118722=ORIENTED_EDGE('',*,*,#88613,.T.); #118723=ORIENTED_EDGE('',*,*,#88609,.F.); #118724=ORIENTED_EDGE('',*,*,#88614,.F.); #118725=ORIENTED_EDGE('',*,*,#88615,.T.); #118726=ORIENTED_EDGE('',*,*,#88616,.T.); #118727=ORIENTED_EDGE('',*,*,#88612,.F.); #118728=ORIENTED_EDGE('',*,*,#88617,.F.); #118729=ORIENTED_EDGE('',*,*,#88618,.T.); #118730=ORIENTED_EDGE('',*,*,#88619,.T.); #118731=ORIENTED_EDGE('',*,*,#88615,.F.); #118732=ORIENTED_EDGE('',*,*,#88620,.F.); #118733=ORIENTED_EDGE('',*,*,#88621,.T.); #118734=ORIENTED_EDGE('',*,*,#88622,.T.); #118735=ORIENTED_EDGE('',*,*,#88618,.F.); #118736=ORIENTED_EDGE('',*,*,#88623,.F.); #118737=ORIENTED_EDGE('',*,*,#88624,.T.); #118738=ORIENTED_EDGE('',*,*,#88625,.T.); #118739=ORIENTED_EDGE('',*,*,#88621,.F.); #118740=ORIENTED_EDGE('',*,*,#88626,.F.); #118741=ORIENTED_EDGE('',*,*,#88627,.T.); #118742=ORIENTED_EDGE('',*,*,#88628,.T.); #118743=ORIENTED_EDGE('',*,*,#88624,.F.); #118744=ORIENTED_EDGE('',*,*,#88629,.F.); #118745=ORIENTED_EDGE('',*,*,#88630,.T.); #118746=ORIENTED_EDGE('',*,*,#88631,.T.); #118747=ORIENTED_EDGE('',*,*,#88627,.F.); #118748=ORIENTED_EDGE('',*,*,#88632,.F.); #118749=ORIENTED_EDGE('',*,*,#88633,.T.); #118750=ORIENTED_EDGE('',*,*,#88634,.T.); #118751=ORIENTED_EDGE('',*,*,#88630,.F.); #118752=ORIENTED_EDGE('',*,*,#88635,.F.); #118753=ORIENTED_EDGE('',*,*,#88636,.T.); #118754=ORIENTED_EDGE('',*,*,#88637,.T.); #118755=ORIENTED_EDGE('',*,*,#88633,.F.); #118756=ORIENTED_EDGE('',*,*,#88638,.F.); #118757=ORIENTED_EDGE('',*,*,#88639,.T.); #118758=ORIENTED_EDGE('',*,*,#88640,.T.); #118759=ORIENTED_EDGE('',*,*,#88636,.F.); #118760=ORIENTED_EDGE('',*,*,#88641,.F.); #118761=ORIENTED_EDGE('',*,*,#88642,.T.); #118762=ORIENTED_EDGE('',*,*,#88643,.T.); #118763=ORIENTED_EDGE('',*,*,#88639,.F.); #118764=ORIENTED_EDGE('',*,*,#88644,.F.); #118765=ORIENTED_EDGE('',*,*,#88645,.T.); #118766=ORIENTED_EDGE('',*,*,#88646,.T.); #118767=ORIENTED_EDGE('',*,*,#88642,.F.); #118768=ORIENTED_EDGE('',*,*,#88647,.F.); #118769=ORIENTED_EDGE('',*,*,#88648,.T.); #118770=ORIENTED_EDGE('',*,*,#88649,.T.); #118771=ORIENTED_EDGE('',*,*,#88645,.F.); #118772=ORIENTED_EDGE('',*,*,#88650,.F.); #118773=ORIENTED_EDGE('',*,*,#88651,.T.); #118774=ORIENTED_EDGE('',*,*,#88652,.T.); #118775=ORIENTED_EDGE('',*,*,#88648,.F.); #118776=ORIENTED_EDGE('',*,*,#88653,.F.); #118777=ORIENTED_EDGE('',*,*,#88654,.T.); #118778=ORIENTED_EDGE('',*,*,#88655,.T.); #118779=ORIENTED_EDGE('',*,*,#88651,.F.); #118780=ORIENTED_EDGE('',*,*,#88656,.F.); #118781=ORIENTED_EDGE('',*,*,#88657,.T.); #118782=ORIENTED_EDGE('',*,*,#88658,.T.); #118783=ORIENTED_EDGE('',*,*,#88654,.F.); #118784=ORIENTED_EDGE('',*,*,#88659,.F.); #118785=ORIENTED_EDGE('',*,*,#88660,.T.); #118786=ORIENTED_EDGE('',*,*,#88661,.T.); #118787=ORIENTED_EDGE('',*,*,#88657,.F.); #118788=ORIENTED_EDGE('',*,*,#88662,.F.); #118789=ORIENTED_EDGE('',*,*,#88663,.T.); #118790=ORIENTED_EDGE('',*,*,#88664,.T.); #118791=ORIENTED_EDGE('',*,*,#88660,.F.); #118792=ORIENTED_EDGE('',*,*,#88665,.F.); #118793=ORIENTED_EDGE('',*,*,#88666,.T.); #118794=ORIENTED_EDGE('',*,*,#88667,.T.); #118795=ORIENTED_EDGE('',*,*,#88663,.F.); #118796=ORIENTED_EDGE('',*,*,#88668,.F.); #118797=ORIENTED_EDGE('',*,*,#88669,.T.); #118798=ORIENTED_EDGE('',*,*,#88670,.T.); #118799=ORIENTED_EDGE('',*,*,#88666,.F.); #118800=ORIENTED_EDGE('',*,*,#88671,.F.); #118801=ORIENTED_EDGE('',*,*,#88672,.T.); #118802=ORIENTED_EDGE('',*,*,#88673,.T.); #118803=ORIENTED_EDGE('',*,*,#88669,.F.); #118804=ORIENTED_EDGE('',*,*,#88674,.F.); #118805=ORIENTED_EDGE('',*,*,#88675,.T.); #118806=ORIENTED_EDGE('',*,*,#88676,.T.); #118807=ORIENTED_EDGE('',*,*,#88672,.F.); #118808=ORIENTED_EDGE('',*,*,#88677,.F.); #118809=ORIENTED_EDGE('',*,*,#88678,.T.); #118810=ORIENTED_EDGE('',*,*,#88679,.T.); #118811=ORIENTED_EDGE('',*,*,#88675,.F.); #118812=ORIENTED_EDGE('',*,*,#88680,.F.); #118813=ORIENTED_EDGE('',*,*,#88681,.T.); #118814=ORIENTED_EDGE('',*,*,#88682,.T.); #118815=ORIENTED_EDGE('',*,*,#88678,.F.); #118816=ORIENTED_EDGE('',*,*,#88683,.F.); #118817=ORIENTED_EDGE('',*,*,#88684,.T.); #118818=ORIENTED_EDGE('',*,*,#88685,.T.); #118819=ORIENTED_EDGE('',*,*,#88681,.F.); #118820=ORIENTED_EDGE('',*,*,#88686,.F.); #118821=ORIENTED_EDGE('',*,*,#88687,.T.); #118822=ORIENTED_EDGE('',*,*,#88688,.T.); #118823=ORIENTED_EDGE('',*,*,#88684,.F.); #118824=ORIENTED_EDGE('',*,*,#88689,.F.); #118825=ORIENTED_EDGE('',*,*,#88690,.T.); #118826=ORIENTED_EDGE('',*,*,#88691,.T.); #118827=ORIENTED_EDGE('',*,*,#88687,.F.); #118828=ORIENTED_EDGE('',*,*,#88692,.F.); #118829=ORIENTED_EDGE('',*,*,#88693,.T.); #118830=ORIENTED_EDGE('',*,*,#88694,.T.); #118831=ORIENTED_EDGE('',*,*,#88690,.F.); #118832=ORIENTED_EDGE('',*,*,#88695,.F.); #118833=ORIENTED_EDGE('',*,*,#88696,.T.); #118834=ORIENTED_EDGE('',*,*,#88697,.T.); #118835=ORIENTED_EDGE('',*,*,#88693,.F.); #118836=ORIENTED_EDGE('',*,*,#88698,.F.); #118837=ORIENTED_EDGE('',*,*,#88699,.T.); #118838=ORIENTED_EDGE('',*,*,#88700,.T.); #118839=ORIENTED_EDGE('',*,*,#88696,.F.); #118840=ORIENTED_EDGE('',*,*,#88701,.F.); #118841=ORIENTED_EDGE('',*,*,#88702,.T.); #118842=ORIENTED_EDGE('',*,*,#88703,.T.); #118843=ORIENTED_EDGE('',*,*,#88699,.F.); #118844=ORIENTED_EDGE('',*,*,#88704,.F.); #118845=ORIENTED_EDGE('',*,*,#88705,.T.); #118846=ORIENTED_EDGE('',*,*,#88706,.T.); #118847=ORIENTED_EDGE('',*,*,#88702,.F.); #118848=ORIENTED_EDGE('',*,*,#88707,.F.); #118849=ORIENTED_EDGE('',*,*,#88708,.T.); #118850=ORIENTED_EDGE('',*,*,#88709,.T.); #118851=ORIENTED_EDGE('',*,*,#88705,.F.); #118852=ORIENTED_EDGE('',*,*,#88710,.F.); #118853=ORIENTED_EDGE('',*,*,#88711,.T.); #118854=ORIENTED_EDGE('',*,*,#88712,.T.); #118855=ORIENTED_EDGE('',*,*,#88708,.F.); #118856=ORIENTED_EDGE('',*,*,#88713,.F.); #118857=ORIENTED_EDGE('',*,*,#88714,.T.); #118858=ORIENTED_EDGE('',*,*,#88715,.T.); #118859=ORIENTED_EDGE('',*,*,#88711,.F.); #118860=ORIENTED_EDGE('',*,*,#88716,.F.); #118861=ORIENTED_EDGE('',*,*,#88717,.T.); #118862=ORIENTED_EDGE('',*,*,#88718,.T.); #118863=ORIENTED_EDGE('',*,*,#88714,.F.); #118864=ORIENTED_EDGE('',*,*,#88719,.F.); #118865=ORIENTED_EDGE('',*,*,#88720,.T.); #118866=ORIENTED_EDGE('',*,*,#88721,.T.); #118867=ORIENTED_EDGE('',*,*,#88717,.F.); #118868=ORIENTED_EDGE('',*,*,#88722,.F.); #118869=ORIENTED_EDGE('',*,*,#88723,.T.); #118870=ORIENTED_EDGE('',*,*,#88724,.T.); #118871=ORIENTED_EDGE('',*,*,#88720,.F.); #118872=ORIENTED_EDGE('',*,*,#88725,.F.); #118873=ORIENTED_EDGE('',*,*,#88726,.T.); #118874=ORIENTED_EDGE('',*,*,#88727,.T.); #118875=ORIENTED_EDGE('',*,*,#88723,.F.); #118876=ORIENTED_EDGE('',*,*,#88728,.F.); #118877=ORIENTED_EDGE('',*,*,#88729,.T.); #118878=ORIENTED_EDGE('',*,*,#88730,.T.); #118879=ORIENTED_EDGE('',*,*,#88726,.F.); #118880=ORIENTED_EDGE('',*,*,#88731,.F.); #118881=ORIENTED_EDGE('',*,*,#88732,.T.); #118882=ORIENTED_EDGE('',*,*,#88733,.T.); #118883=ORIENTED_EDGE('',*,*,#88729,.F.); #118884=ORIENTED_EDGE('',*,*,#88734,.F.); #118885=ORIENTED_EDGE('',*,*,#88735,.T.); #118886=ORIENTED_EDGE('',*,*,#88736,.T.); #118887=ORIENTED_EDGE('',*,*,#88732,.F.); #118888=ORIENTED_EDGE('',*,*,#88737,.F.); #118889=ORIENTED_EDGE('',*,*,#88738,.T.); #118890=ORIENTED_EDGE('',*,*,#88739,.T.); #118891=ORIENTED_EDGE('',*,*,#88735,.F.); #118892=ORIENTED_EDGE('',*,*,#88740,.F.); #118893=ORIENTED_EDGE('',*,*,#88741,.T.); #118894=ORIENTED_EDGE('',*,*,#88742,.T.); #118895=ORIENTED_EDGE('',*,*,#88738,.F.); #118896=ORIENTED_EDGE('',*,*,#88743,.F.); #118897=ORIENTED_EDGE('',*,*,#88744,.T.); #118898=ORIENTED_EDGE('',*,*,#88745,.T.); #118899=ORIENTED_EDGE('',*,*,#88741,.F.); #118900=ORIENTED_EDGE('',*,*,#88746,.F.); #118901=ORIENTED_EDGE('',*,*,#88747,.T.); #118902=ORIENTED_EDGE('',*,*,#88748,.T.); #118903=ORIENTED_EDGE('',*,*,#88744,.F.); #118904=ORIENTED_EDGE('',*,*,#88749,.F.); #118905=ORIENTED_EDGE('',*,*,#88750,.T.); #118906=ORIENTED_EDGE('',*,*,#88751,.T.); #118907=ORIENTED_EDGE('',*,*,#88747,.F.); #118908=ORIENTED_EDGE('',*,*,#88752,.F.); #118909=ORIENTED_EDGE('',*,*,#88753,.T.); #118910=ORIENTED_EDGE('',*,*,#88754,.T.); #118911=ORIENTED_EDGE('',*,*,#88750,.F.); #118912=ORIENTED_EDGE('',*,*,#88755,.F.); #118913=ORIENTED_EDGE('',*,*,#88756,.T.); #118914=ORIENTED_EDGE('',*,*,#88757,.T.); #118915=ORIENTED_EDGE('',*,*,#88753,.F.); #118916=ORIENTED_EDGE('',*,*,#88758,.F.); #118917=ORIENTED_EDGE('',*,*,#88759,.T.); #118918=ORIENTED_EDGE('',*,*,#88760,.T.); #118919=ORIENTED_EDGE('',*,*,#88756,.F.); #118920=ORIENTED_EDGE('',*,*,#88761,.F.); #118921=ORIENTED_EDGE('',*,*,#88762,.T.); #118922=ORIENTED_EDGE('',*,*,#88763,.T.); #118923=ORIENTED_EDGE('',*,*,#88759,.F.); #118924=ORIENTED_EDGE('',*,*,#88764,.F.); #118925=ORIENTED_EDGE('',*,*,#88765,.T.); #118926=ORIENTED_EDGE('',*,*,#88766,.T.); #118927=ORIENTED_EDGE('',*,*,#88762,.F.); #118928=ORIENTED_EDGE('',*,*,#88767,.F.); #118929=ORIENTED_EDGE('',*,*,#88768,.T.); #118930=ORIENTED_EDGE('',*,*,#88769,.T.); #118931=ORIENTED_EDGE('',*,*,#88765,.F.); #118932=ORIENTED_EDGE('',*,*,#88770,.F.); #118933=ORIENTED_EDGE('',*,*,#88771,.T.); #118934=ORIENTED_EDGE('',*,*,#88772,.T.); #118935=ORIENTED_EDGE('',*,*,#88768,.F.); #118936=ORIENTED_EDGE('',*,*,#88773,.F.); #118937=ORIENTED_EDGE('',*,*,#88774,.T.); #118938=ORIENTED_EDGE('',*,*,#88775,.T.); #118939=ORIENTED_EDGE('',*,*,#88771,.F.); #118940=ORIENTED_EDGE('',*,*,#88776,.F.); #118941=ORIENTED_EDGE('',*,*,#88777,.T.); #118942=ORIENTED_EDGE('',*,*,#88778,.T.); #118943=ORIENTED_EDGE('',*,*,#88774,.F.); #118944=ORIENTED_EDGE('',*,*,#88779,.F.); #118945=ORIENTED_EDGE('',*,*,#88780,.T.); #118946=ORIENTED_EDGE('',*,*,#88781,.T.); #118947=ORIENTED_EDGE('',*,*,#88777,.F.); #118948=ORIENTED_EDGE('',*,*,#88782,.F.); #118949=ORIENTED_EDGE('',*,*,#88783,.T.); #118950=ORIENTED_EDGE('',*,*,#88784,.T.); #118951=ORIENTED_EDGE('',*,*,#88780,.F.); #118952=ORIENTED_EDGE('',*,*,#88785,.F.); #118953=ORIENTED_EDGE('',*,*,#88786,.T.); #118954=ORIENTED_EDGE('',*,*,#88787,.T.); #118955=ORIENTED_EDGE('',*,*,#88783,.F.); #118956=ORIENTED_EDGE('',*,*,#88788,.F.); #118957=ORIENTED_EDGE('',*,*,#88789,.T.); #118958=ORIENTED_EDGE('',*,*,#88790,.T.); #118959=ORIENTED_EDGE('',*,*,#88786,.F.); #118960=ORIENTED_EDGE('',*,*,#88791,.F.); #118961=ORIENTED_EDGE('',*,*,#88792,.T.); #118962=ORIENTED_EDGE('',*,*,#88793,.T.); #118963=ORIENTED_EDGE('',*,*,#88789,.F.); #118964=ORIENTED_EDGE('',*,*,#88794,.F.); #118965=ORIENTED_EDGE('',*,*,#88795,.T.); #118966=ORIENTED_EDGE('',*,*,#88796,.T.); #118967=ORIENTED_EDGE('',*,*,#88792,.F.); #118968=ORIENTED_EDGE('',*,*,#88797,.F.); #118969=ORIENTED_EDGE('',*,*,#88798,.T.); #118970=ORIENTED_EDGE('',*,*,#88799,.T.); #118971=ORIENTED_EDGE('',*,*,#88795,.F.); #118972=ORIENTED_EDGE('',*,*,#88800,.F.); #118973=ORIENTED_EDGE('',*,*,#88801,.T.); #118974=ORIENTED_EDGE('',*,*,#88802,.T.); #118975=ORIENTED_EDGE('',*,*,#88798,.F.); #118976=ORIENTED_EDGE('',*,*,#88803,.F.); #118977=ORIENTED_EDGE('',*,*,#88804,.T.); #118978=ORIENTED_EDGE('',*,*,#88805,.T.); #118979=ORIENTED_EDGE('',*,*,#88801,.F.); #118980=ORIENTED_EDGE('',*,*,#88806,.F.); #118981=ORIENTED_EDGE('',*,*,#88807,.T.); #118982=ORIENTED_EDGE('',*,*,#88808,.T.); #118983=ORIENTED_EDGE('',*,*,#88804,.F.); #118984=ORIENTED_EDGE('',*,*,#88809,.F.); #118985=ORIENTED_EDGE('',*,*,#88810,.T.); #118986=ORIENTED_EDGE('',*,*,#88811,.T.); #118987=ORIENTED_EDGE('',*,*,#88807,.F.); #118988=ORIENTED_EDGE('',*,*,#88812,.F.); #118989=ORIENTED_EDGE('',*,*,#88813,.T.); #118990=ORIENTED_EDGE('',*,*,#88814,.T.); #118991=ORIENTED_EDGE('',*,*,#88810,.F.); #118992=ORIENTED_EDGE('',*,*,#88815,.F.); #118993=ORIENTED_EDGE('',*,*,#88816,.T.); #118994=ORIENTED_EDGE('',*,*,#88817,.T.); #118995=ORIENTED_EDGE('',*,*,#88813,.F.); #118996=ORIENTED_EDGE('',*,*,#88818,.F.); #118997=ORIENTED_EDGE('',*,*,#88819,.T.); #118998=ORIENTED_EDGE('',*,*,#88820,.T.); #118999=ORIENTED_EDGE('',*,*,#88816,.F.); #119000=ORIENTED_EDGE('',*,*,#88821,.F.); #119001=ORIENTED_EDGE('',*,*,#88493,.T.); #119002=ORIENTED_EDGE('',*,*,#88822,.T.); #119003=ORIENTED_EDGE('',*,*,#88819,.F.); #119004=ORIENTED_EDGE('',*,*,#88822,.F.); #119005=ORIENTED_EDGE('',*,*,#88492,.F.); #119006=ORIENTED_EDGE('',*,*,#88496,.F.); #119007=ORIENTED_EDGE('',*,*,#88499,.F.); #119008=ORIENTED_EDGE('',*,*,#88502,.F.); #119009=ORIENTED_EDGE('',*,*,#88505,.F.); #119010=ORIENTED_EDGE('',*,*,#88508,.F.); #119011=ORIENTED_EDGE('',*,*,#88511,.F.); #119012=ORIENTED_EDGE('',*,*,#88514,.F.); #119013=ORIENTED_EDGE('',*,*,#88517,.F.); #119014=ORIENTED_EDGE('',*,*,#88520,.F.); #119015=ORIENTED_EDGE('',*,*,#88523,.F.); #119016=ORIENTED_EDGE('',*,*,#88526,.F.); #119017=ORIENTED_EDGE('',*,*,#88529,.F.); #119018=ORIENTED_EDGE('',*,*,#88532,.F.); #119019=ORIENTED_EDGE('',*,*,#88535,.F.); #119020=ORIENTED_EDGE('',*,*,#88538,.F.); #119021=ORIENTED_EDGE('',*,*,#88541,.F.); #119022=ORIENTED_EDGE('',*,*,#88544,.F.); #119023=ORIENTED_EDGE('',*,*,#88547,.F.); #119024=ORIENTED_EDGE('',*,*,#88550,.F.); #119025=ORIENTED_EDGE('',*,*,#88553,.F.); #119026=ORIENTED_EDGE('',*,*,#88556,.F.); #119027=ORIENTED_EDGE('',*,*,#88559,.F.); #119028=ORIENTED_EDGE('',*,*,#88562,.F.); #119029=ORIENTED_EDGE('',*,*,#88565,.F.); #119030=ORIENTED_EDGE('',*,*,#88568,.F.); #119031=ORIENTED_EDGE('',*,*,#88571,.F.); #119032=ORIENTED_EDGE('',*,*,#88574,.F.); #119033=ORIENTED_EDGE('',*,*,#88577,.F.); #119034=ORIENTED_EDGE('',*,*,#88580,.F.); #119035=ORIENTED_EDGE('',*,*,#88583,.F.); #119036=ORIENTED_EDGE('',*,*,#88586,.F.); #119037=ORIENTED_EDGE('',*,*,#88589,.F.); #119038=ORIENTED_EDGE('',*,*,#88592,.F.); #119039=ORIENTED_EDGE('',*,*,#88595,.F.); #119040=ORIENTED_EDGE('',*,*,#88598,.F.); #119041=ORIENTED_EDGE('',*,*,#88601,.F.); #119042=ORIENTED_EDGE('',*,*,#88604,.F.); #119043=ORIENTED_EDGE('',*,*,#88607,.F.); #119044=ORIENTED_EDGE('',*,*,#88610,.F.); #119045=ORIENTED_EDGE('',*,*,#88613,.F.); #119046=ORIENTED_EDGE('',*,*,#88616,.F.); #119047=ORIENTED_EDGE('',*,*,#88619,.F.); #119048=ORIENTED_EDGE('',*,*,#88622,.F.); #119049=ORIENTED_EDGE('',*,*,#88625,.F.); #119050=ORIENTED_EDGE('',*,*,#88628,.F.); #119051=ORIENTED_EDGE('',*,*,#88631,.F.); #119052=ORIENTED_EDGE('',*,*,#88634,.F.); #119053=ORIENTED_EDGE('',*,*,#88637,.F.); #119054=ORIENTED_EDGE('',*,*,#88640,.F.); #119055=ORIENTED_EDGE('',*,*,#88643,.F.); #119056=ORIENTED_EDGE('',*,*,#88646,.F.); #119057=ORIENTED_EDGE('',*,*,#88649,.F.); #119058=ORIENTED_EDGE('',*,*,#88652,.F.); #119059=ORIENTED_EDGE('',*,*,#88655,.F.); #119060=ORIENTED_EDGE('',*,*,#88658,.F.); #119061=ORIENTED_EDGE('',*,*,#88661,.F.); #119062=ORIENTED_EDGE('',*,*,#88664,.F.); #119063=ORIENTED_EDGE('',*,*,#88667,.F.); #119064=ORIENTED_EDGE('',*,*,#88670,.F.); #119065=ORIENTED_EDGE('',*,*,#88673,.F.); #119066=ORIENTED_EDGE('',*,*,#88676,.F.); #119067=ORIENTED_EDGE('',*,*,#88679,.F.); #119068=ORIENTED_EDGE('',*,*,#88682,.F.); #119069=ORIENTED_EDGE('',*,*,#88685,.F.); #119070=ORIENTED_EDGE('',*,*,#88688,.F.); #119071=ORIENTED_EDGE('',*,*,#88691,.F.); #119072=ORIENTED_EDGE('',*,*,#88694,.F.); #119073=ORIENTED_EDGE('',*,*,#88697,.F.); #119074=ORIENTED_EDGE('',*,*,#88700,.F.); #119075=ORIENTED_EDGE('',*,*,#88703,.F.); #119076=ORIENTED_EDGE('',*,*,#88706,.F.); #119077=ORIENTED_EDGE('',*,*,#88709,.F.); #119078=ORIENTED_EDGE('',*,*,#88712,.F.); #119079=ORIENTED_EDGE('',*,*,#88715,.F.); #119080=ORIENTED_EDGE('',*,*,#88718,.F.); #119081=ORIENTED_EDGE('',*,*,#88721,.F.); #119082=ORIENTED_EDGE('',*,*,#88724,.F.); #119083=ORIENTED_EDGE('',*,*,#88727,.F.); #119084=ORIENTED_EDGE('',*,*,#88730,.F.); #119085=ORIENTED_EDGE('',*,*,#88733,.F.); #119086=ORIENTED_EDGE('',*,*,#88736,.F.); #119087=ORIENTED_EDGE('',*,*,#88739,.F.); #119088=ORIENTED_EDGE('',*,*,#88742,.F.); #119089=ORIENTED_EDGE('',*,*,#88745,.F.); #119090=ORIENTED_EDGE('',*,*,#88748,.F.); #119091=ORIENTED_EDGE('',*,*,#88751,.F.); #119092=ORIENTED_EDGE('',*,*,#88754,.F.); #119093=ORIENTED_EDGE('',*,*,#88757,.F.); #119094=ORIENTED_EDGE('',*,*,#88760,.F.); #119095=ORIENTED_EDGE('',*,*,#88763,.F.); #119096=ORIENTED_EDGE('',*,*,#88766,.F.); #119097=ORIENTED_EDGE('',*,*,#88769,.F.); #119098=ORIENTED_EDGE('',*,*,#88772,.F.); #119099=ORIENTED_EDGE('',*,*,#88775,.F.); #119100=ORIENTED_EDGE('',*,*,#88778,.F.); #119101=ORIENTED_EDGE('',*,*,#88781,.F.); #119102=ORIENTED_EDGE('',*,*,#88784,.F.); #119103=ORIENTED_EDGE('',*,*,#88787,.F.); #119104=ORIENTED_EDGE('',*,*,#88790,.F.); #119105=ORIENTED_EDGE('',*,*,#88793,.F.); #119106=ORIENTED_EDGE('',*,*,#88796,.F.); #119107=ORIENTED_EDGE('',*,*,#88799,.F.); #119108=ORIENTED_EDGE('',*,*,#88802,.F.); #119109=ORIENTED_EDGE('',*,*,#88805,.F.); #119110=ORIENTED_EDGE('',*,*,#88808,.F.); #119111=ORIENTED_EDGE('',*,*,#88811,.F.); #119112=ORIENTED_EDGE('',*,*,#88814,.F.); #119113=ORIENTED_EDGE('',*,*,#88817,.F.); #119114=ORIENTED_EDGE('',*,*,#88820,.F.); #119115=ORIENTED_EDGE('',*,*,#88489,.F.); #119116=ORIENTED_EDGE('',*,*,#88477,.F.); #119117=ORIENTED_EDGE('',*,*,#88481,.F.); #119118=ORIENTED_EDGE('',*,*,#88484,.F.); #119119=ORIENTED_EDGE('',*,*,#88487,.F.); #119120=ORIENTED_EDGE('',*,*,#88823,.F.); #119121=ORIENTED_EDGE('',*,*,#88824,.T.); #119122=ORIENTED_EDGE('',*,*,#88825,.T.); #119123=ORIENTED_EDGE('',*,*,#88826,.F.); #119124=ORIENTED_EDGE('',*,*,#88827,.F.); #119125=ORIENTED_EDGE('',*,*,#88828,.T.); #119126=ORIENTED_EDGE('',*,*,#88829,.T.); #119127=ORIENTED_EDGE('',*,*,#88824,.F.); #119128=ORIENTED_EDGE('',*,*,#88830,.F.); #119129=ORIENTED_EDGE('',*,*,#88831,.T.); #119130=ORIENTED_EDGE('',*,*,#88832,.T.); #119131=ORIENTED_EDGE('',*,*,#88828,.F.); #119132=ORIENTED_EDGE('',*,*,#88833,.F.); #119133=ORIENTED_EDGE('',*,*,#88834,.T.); #119134=ORIENTED_EDGE('',*,*,#88835,.T.); #119135=ORIENTED_EDGE('',*,*,#88831,.F.); #119136=ORIENTED_EDGE('',*,*,#88836,.F.); #119137=ORIENTED_EDGE('',*,*,#88837,.T.); #119138=ORIENTED_EDGE('',*,*,#88838,.T.); #119139=ORIENTED_EDGE('',*,*,#88834,.F.); #119140=ORIENTED_EDGE('',*,*,#88839,.F.); #119141=ORIENTED_EDGE('',*,*,#88840,.T.); #119142=ORIENTED_EDGE('',*,*,#88841,.T.); #119143=ORIENTED_EDGE('',*,*,#88837,.F.); #119144=ORIENTED_EDGE('',*,*,#88842,.F.); #119145=ORIENTED_EDGE('',*,*,#88843,.T.); #119146=ORIENTED_EDGE('',*,*,#88844,.T.); #119147=ORIENTED_EDGE('',*,*,#88840,.F.); #119148=ORIENTED_EDGE('',*,*,#88845,.F.); #119149=ORIENTED_EDGE('',*,*,#88846,.T.); #119150=ORIENTED_EDGE('',*,*,#88847,.T.); #119151=ORIENTED_EDGE('',*,*,#88843,.F.); #119152=ORIENTED_EDGE('',*,*,#88848,.F.); #119153=ORIENTED_EDGE('',*,*,#88849,.T.); #119154=ORIENTED_EDGE('',*,*,#88850,.T.); #119155=ORIENTED_EDGE('',*,*,#88846,.F.); #119156=ORIENTED_EDGE('',*,*,#88851,.F.); #119157=ORIENTED_EDGE('',*,*,#88852,.T.); #119158=ORIENTED_EDGE('',*,*,#88853,.T.); #119159=ORIENTED_EDGE('',*,*,#88849,.F.); #119160=ORIENTED_EDGE('',*,*,#88854,.F.); #119161=ORIENTED_EDGE('',*,*,#88855,.T.); #119162=ORIENTED_EDGE('',*,*,#88856,.T.); #119163=ORIENTED_EDGE('',*,*,#88852,.F.); #119164=ORIENTED_EDGE('',*,*,#88857,.F.); #119165=ORIENTED_EDGE('',*,*,#88858,.T.); #119166=ORIENTED_EDGE('',*,*,#88859,.T.); #119167=ORIENTED_EDGE('',*,*,#88855,.F.); #119168=ORIENTED_EDGE('',*,*,#88860,.F.); #119169=ORIENTED_EDGE('',*,*,#88861,.T.); #119170=ORIENTED_EDGE('',*,*,#88862,.T.); #119171=ORIENTED_EDGE('',*,*,#88858,.F.); #119172=ORIENTED_EDGE('',*,*,#88863,.F.); #119173=ORIENTED_EDGE('',*,*,#88864,.T.); #119174=ORIENTED_EDGE('',*,*,#88865,.T.); #119175=ORIENTED_EDGE('',*,*,#88861,.F.); #119176=ORIENTED_EDGE('',*,*,#88866,.F.); #119177=ORIENTED_EDGE('',*,*,#88867,.T.); #119178=ORIENTED_EDGE('',*,*,#88868,.T.); #119179=ORIENTED_EDGE('',*,*,#88864,.F.); #119180=ORIENTED_EDGE('',*,*,#88869,.F.); #119181=ORIENTED_EDGE('',*,*,#88870,.T.); #119182=ORIENTED_EDGE('',*,*,#88871,.T.); #119183=ORIENTED_EDGE('',*,*,#88867,.F.); #119184=ORIENTED_EDGE('',*,*,#88872,.F.); #119185=ORIENTED_EDGE('',*,*,#88873,.T.); #119186=ORIENTED_EDGE('',*,*,#88874,.T.); #119187=ORIENTED_EDGE('',*,*,#88870,.F.); #119188=ORIENTED_EDGE('',*,*,#88875,.F.); #119189=ORIENTED_EDGE('',*,*,#88876,.T.); #119190=ORIENTED_EDGE('',*,*,#88877,.T.); #119191=ORIENTED_EDGE('',*,*,#88873,.F.); #119192=ORIENTED_EDGE('',*,*,#88878,.F.); #119193=ORIENTED_EDGE('',*,*,#88879,.T.); #119194=ORIENTED_EDGE('',*,*,#88880,.T.); #119195=ORIENTED_EDGE('',*,*,#88876,.F.); #119196=ORIENTED_EDGE('',*,*,#88881,.F.); #119197=ORIENTED_EDGE('',*,*,#88882,.T.); #119198=ORIENTED_EDGE('',*,*,#88883,.T.); #119199=ORIENTED_EDGE('',*,*,#88879,.F.); #119200=ORIENTED_EDGE('',*,*,#88884,.F.); #119201=ORIENTED_EDGE('',*,*,#88885,.T.); #119202=ORIENTED_EDGE('',*,*,#88886,.T.); #119203=ORIENTED_EDGE('',*,*,#88882,.F.); #119204=ORIENTED_EDGE('',*,*,#88887,.F.); #119205=ORIENTED_EDGE('',*,*,#88888,.T.); #119206=ORIENTED_EDGE('',*,*,#88889,.T.); #119207=ORIENTED_EDGE('',*,*,#88885,.F.); #119208=ORIENTED_EDGE('',*,*,#88890,.F.); #119209=ORIENTED_EDGE('',*,*,#88891,.T.); #119210=ORIENTED_EDGE('',*,*,#88892,.T.); #119211=ORIENTED_EDGE('',*,*,#88888,.F.); #119212=ORIENTED_EDGE('',*,*,#88893,.F.); #119213=ORIENTED_EDGE('',*,*,#88894,.T.); #119214=ORIENTED_EDGE('',*,*,#88895,.T.); #119215=ORIENTED_EDGE('',*,*,#88891,.F.); #119216=ORIENTED_EDGE('',*,*,#88896,.F.); #119217=ORIENTED_EDGE('',*,*,#88897,.T.); #119218=ORIENTED_EDGE('',*,*,#88898,.T.); #119219=ORIENTED_EDGE('',*,*,#88894,.F.); #119220=ORIENTED_EDGE('',*,*,#88899,.F.); #119221=ORIENTED_EDGE('',*,*,#88900,.T.); #119222=ORIENTED_EDGE('',*,*,#88901,.T.); #119223=ORIENTED_EDGE('',*,*,#88897,.F.); #119224=ORIENTED_EDGE('',*,*,#88902,.F.); #119225=ORIENTED_EDGE('',*,*,#88903,.T.); #119226=ORIENTED_EDGE('',*,*,#88904,.T.); #119227=ORIENTED_EDGE('',*,*,#88900,.F.); #119228=ORIENTED_EDGE('',*,*,#88905,.F.); #119229=ORIENTED_EDGE('',*,*,#88906,.T.); #119230=ORIENTED_EDGE('',*,*,#88907,.T.); #119231=ORIENTED_EDGE('',*,*,#88903,.F.); #119232=ORIENTED_EDGE('',*,*,#88908,.F.); #119233=ORIENTED_EDGE('',*,*,#88909,.T.); #119234=ORIENTED_EDGE('',*,*,#88910,.T.); #119235=ORIENTED_EDGE('',*,*,#88906,.F.); #119236=ORIENTED_EDGE('',*,*,#88911,.F.); #119237=ORIENTED_EDGE('',*,*,#88912,.T.); #119238=ORIENTED_EDGE('',*,*,#88913,.T.); #119239=ORIENTED_EDGE('',*,*,#88909,.F.); #119240=ORIENTED_EDGE('',*,*,#88914,.F.); #119241=ORIENTED_EDGE('',*,*,#88915,.T.); #119242=ORIENTED_EDGE('',*,*,#88916,.T.); #119243=ORIENTED_EDGE('',*,*,#88912,.F.); #119244=ORIENTED_EDGE('',*,*,#88917,.F.); #119245=ORIENTED_EDGE('',*,*,#88918,.T.); #119246=ORIENTED_EDGE('',*,*,#88919,.T.); #119247=ORIENTED_EDGE('',*,*,#88915,.F.); #119248=ORIENTED_EDGE('',*,*,#88920,.F.); #119249=ORIENTED_EDGE('',*,*,#88921,.T.); #119250=ORIENTED_EDGE('',*,*,#88922,.T.); #119251=ORIENTED_EDGE('',*,*,#88918,.F.); #119252=ORIENTED_EDGE('',*,*,#88923,.F.); #119253=ORIENTED_EDGE('',*,*,#88924,.T.); #119254=ORIENTED_EDGE('',*,*,#88925,.T.); #119255=ORIENTED_EDGE('',*,*,#88921,.F.); #119256=ORIENTED_EDGE('',*,*,#88926,.F.); #119257=ORIENTED_EDGE('',*,*,#88927,.T.); #119258=ORIENTED_EDGE('',*,*,#88928,.T.); #119259=ORIENTED_EDGE('',*,*,#88924,.F.); #119260=ORIENTED_EDGE('',*,*,#88929,.F.); #119261=ORIENTED_EDGE('',*,*,#88930,.T.); #119262=ORIENTED_EDGE('',*,*,#88931,.T.); #119263=ORIENTED_EDGE('',*,*,#88927,.F.); #119264=ORIENTED_EDGE('',*,*,#88932,.F.); #119265=ORIENTED_EDGE('',*,*,#88933,.T.); #119266=ORIENTED_EDGE('',*,*,#88934,.T.); #119267=ORIENTED_EDGE('',*,*,#88930,.F.); #119268=ORIENTED_EDGE('',*,*,#88935,.F.); #119269=ORIENTED_EDGE('',*,*,#88936,.T.); #119270=ORIENTED_EDGE('',*,*,#88937,.T.); #119271=ORIENTED_EDGE('',*,*,#88933,.F.); #119272=ORIENTED_EDGE('',*,*,#88938,.F.); #119273=ORIENTED_EDGE('',*,*,#88939,.T.); #119274=ORIENTED_EDGE('',*,*,#88940,.T.); #119275=ORIENTED_EDGE('',*,*,#88936,.F.); #119276=ORIENTED_EDGE('',*,*,#88941,.F.); #119277=ORIENTED_EDGE('',*,*,#88942,.T.); #119278=ORIENTED_EDGE('',*,*,#88943,.T.); #119279=ORIENTED_EDGE('',*,*,#88939,.F.); #119280=ORIENTED_EDGE('',*,*,#88944,.F.); #119281=ORIENTED_EDGE('',*,*,#88945,.T.); #119282=ORIENTED_EDGE('',*,*,#88946,.T.); #119283=ORIENTED_EDGE('',*,*,#88942,.F.); #119284=ORIENTED_EDGE('',*,*,#88947,.F.); #119285=ORIENTED_EDGE('',*,*,#88948,.T.); #119286=ORIENTED_EDGE('',*,*,#88949,.T.); #119287=ORIENTED_EDGE('',*,*,#88945,.F.); #119288=ORIENTED_EDGE('',*,*,#88950,.F.); #119289=ORIENTED_EDGE('',*,*,#88951,.T.); #119290=ORIENTED_EDGE('',*,*,#88952,.T.); #119291=ORIENTED_EDGE('',*,*,#88948,.F.); #119292=ORIENTED_EDGE('',*,*,#88953,.F.); #119293=ORIENTED_EDGE('',*,*,#88954,.T.); #119294=ORIENTED_EDGE('',*,*,#88955,.T.); #119295=ORIENTED_EDGE('',*,*,#88951,.F.); #119296=ORIENTED_EDGE('',*,*,#88956,.F.); #119297=ORIENTED_EDGE('',*,*,#88826,.T.); #119298=ORIENTED_EDGE('',*,*,#88957,.T.); #119299=ORIENTED_EDGE('',*,*,#88954,.F.); #119300=ORIENTED_EDGE('',*,*,#88957,.F.); #119301=ORIENTED_EDGE('',*,*,#88825,.F.); #119302=ORIENTED_EDGE('',*,*,#88829,.F.); #119303=ORIENTED_EDGE('',*,*,#88832,.F.); #119304=ORIENTED_EDGE('',*,*,#88835,.F.); #119305=ORIENTED_EDGE('',*,*,#88838,.F.); #119306=ORIENTED_EDGE('',*,*,#88841,.F.); #119307=ORIENTED_EDGE('',*,*,#88844,.F.); #119308=ORIENTED_EDGE('',*,*,#88847,.F.); #119309=ORIENTED_EDGE('',*,*,#88850,.F.); #119310=ORIENTED_EDGE('',*,*,#88853,.F.); #119311=ORIENTED_EDGE('',*,*,#88856,.F.); #119312=ORIENTED_EDGE('',*,*,#88859,.F.); #119313=ORIENTED_EDGE('',*,*,#88862,.F.); #119314=ORIENTED_EDGE('',*,*,#88865,.F.); #119315=ORIENTED_EDGE('',*,*,#88868,.F.); #119316=ORIENTED_EDGE('',*,*,#88871,.F.); #119317=ORIENTED_EDGE('',*,*,#88874,.F.); #119318=ORIENTED_EDGE('',*,*,#88877,.F.); #119319=ORIENTED_EDGE('',*,*,#88880,.F.); #119320=ORIENTED_EDGE('',*,*,#88883,.F.); #119321=ORIENTED_EDGE('',*,*,#88886,.F.); #119322=ORIENTED_EDGE('',*,*,#88889,.F.); #119323=ORIENTED_EDGE('',*,*,#88892,.F.); #119324=ORIENTED_EDGE('',*,*,#88895,.F.); #119325=ORIENTED_EDGE('',*,*,#88898,.F.); #119326=ORIENTED_EDGE('',*,*,#88901,.F.); #119327=ORIENTED_EDGE('',*,*,#88904,.F.); #119328=ORIENTED_EDGE('',*,*,#88907,.F.); #119329=ORIENTED_EDGE('',*,*,#88910,.F.); #119330=ORIENTED_EDGE('',*,*,#88913,.F.); #119331=ORIENTED_EDGE('',*,*,#88916,.F.); #119332=ORIENTED_EDGE('',*,*,#88919,.F.); #119333=ORIENTED_EDGE('',*,*,#88922,.F.); #119334=ORIENTED_EDGE('',*,*,#88925,.F.); #119335=ORIENTED_EDGE('',*,*,#88928,.F.); #119336=ORIENTED_EDGE('',*,*,#88931,.F.); #119337=ORIENTED_EDGE('',*,*,#88934,.F.); #119338=ORIENTED_EDGE('',*,*,#88937,.F.); #119339=ORIENTED_EDGE('',*,*,#88940,.F.); #119340=ORIENTED_EDGE('',*,*,#88943,.F.); #119341=ORIENTED_EDGE('',*,*,#88946,.F.); #119342=ORIENTED_EDGE('',*,*,#88949,.F.); #119343=ORIENTED_EDGE('',*,*,#88952,.F.); #119344=ORIENTED_EDGE('',*,*,#88955,.F.); #119345=ORIENTED_EDGE('',*,*,#88958,.F.); #119346=ORIENTED_EDGE('',*,*,#88959,.T.); #119347=ORIENTED_EDGE('',*,*,#88960,.T.); #119348=ORIENTED_EDGE('',*,*,#88961,.F.); #119349=ORIENTED_EDGE('',*,*,#88962,.F.); #119350=ORIENTED_EDGE('',*,*,#88963,.T.); #119351=ORIENTED_EDGE('',*,*,#88964,.T.); #119352=ORIENTED_EDGE('',*,*,#88959,.F.); #119353=ORIENTED_EDGE('',*,*,#88965,.F.); #119354=ORIENTED_EDGE('',*,*,#88966,.T.); #119355=ORIENTED_EDGE('',*,*,#88967,.T.); #119356=ORIENTED_EDGE('',*,*,#88963,.F.); #119357=ORIENTED_EDGE('',*,*,#88968,.F.); #119358=ORIENTED_EDGE('',*,*,#88969,.T.); #119359=ORIENTED_EDGE('',*,*,#88970,.T.); #119360=ORIENTED_EDGE('',*,*,#88966,.F.); #119361=ORIENTED_EDGE('',*,*,#88971,.F.); #119362=ORIENTED_EDGE('',*,*,#88972,.T.); #119363=ORIENTED_EDGE('',*,*,#88973,.T.); #119364=ORIENTED_EDGE('',*,*,#88969,.F.); #119365=ORIENTED_EDGE('',*,*,#88974,.F.); #119366=ORIENTED_EDGE('',*,*,#88975,.T.); #119367=ORIENTED_EDGE('',*,*,#88976,.T.); #119368=ORIENTED_EDGE('',*,*,#88972,.F.); #119369=ORIENTED_EDGE('',*,*,#88977,.F.); #119370=ORIENTED_EDGE('',*,*,#88978,.T.); #119371=ORIENTED_EDGE('',*,*,#88979,.T.); #119372=ORIENTED_EDGE('',*,*,#88975,.F.); #119373=ORIENTED_EDGE('',*,*,#88980,.F.); #119374=ORIENTED_EDGE('',*,*,#88981,.T.); #119375=ORIENTED_EDGE('',*,*,#88982,.T.); #119376=ORIENTED_EDGE('',*,*,#88978,.F.); #119377=ORIENTED_EDGE('',*,*,#88983,.F.); #119378=ORIENTED_EDGE('',*,*,#88984,.T.); #119379=ORIENTED_EDGE('',*,*,#88985,.T.); #119380=ORIENTED_EDGE('',*,*,#88981,.F.); #119381=ORIENTED_EDGE('',*,*,#88986,.F.); #119382=ORIENTED_EDGE('',*,*,#88987,.T.); #119383=ORIENTED_EDGE('',*,*,#88988,.T.); #119384=ORIENTED_EDGE('',*,*,#88984,.F.); #119385=ORIENTED_EDGE('',*,*,#88989,.F.); #119386=ORIENTED_EDGE('',*,*,#88990,.T.); #119387=ORIENTED_EDGE('',*,*,#88991,.T.); #119388=ORIENTED_EDGE('',*,*,#88987,.F.); #119389=ORIENTED_EDGE('',*,*,#88992,.F.); #119390=ORIENTED_EDGE('',*,*,#88993,.T.); #119391=ORIENTED_EDGE('',*,*,#88994,.T.); #119392=ORIENTED_EDGE('',*,*,#88990,.F.); #119393=ORIENTED_EDGE('',*,*,#88995,.F.); #119394=ORIENTED_EDGE('',*,*,#88996,.T.); #119395=ORIENTED_EDGE('',*,*,#88997,.T.); #119396=ORIENTED_EDGE('',*,*,#88993,.F.); #119397=ORIENTED_EDGE('',*,*,#88998,.F.); #119398=ORIENTED_EDGE('',*,*,#88999,.T.); #119399=ORIENTED_EDGE('',*,*,#89000,.T.); #119400=ORIENTED_EDGE('',*,*,#88996,.F.); #119401=ORIENTED_EDGE('',*,*,#89001,.F.); #119402=ORIENTED_EDGE('',*,*,#89002,.T.); #119403=ORIENTED_EDGE('',*,*,#89003,.T.); #119404=ORIENTED_EDGE('',*,*,#88999,.F.); #119405=ORIENTED_EDGE('',*,*,#89004,.F.); #119406=ORIENTED_EDGE('',*,*,#89005,.T.); #119407=ORIENTED_EDGE('',*,*,#89006,.T.); #119408=ORIENTED_EDGE('',*,*,#89002,.F.); #119409=ORIENTED_EDGE('',*,*,#89007,.F.); #119410=ORIENTED_EDGE('',*,*,#89008,.T.); #119411=ORIENTED_EDGE('',*,*,#89009,.T.); #119412=ORIENTED_EDGE('',*,*,#89005,.F.); #119413=ORIENTED_EDGE('',*,*,#89010,.F.); #119414=ORIENTED_EDGE('',*,*,#89011,.T.); #119415=ORIENTED_EDGE('',*,*,#89012,.T.); #119416=ORIENTED_EDGE('',*,*,#89008,.F.); #119417=ORIENTED_EDGE('',*,*,#89013,.F.); #119418=ORIENTED_EDGE('',*,*,#89014,.T.); #119419=ORIENTED_EDGE('',*,*,#89015,.T.); #119420=ORIENTED_EDGE('',*,*,#89011,.F.); #119421=ORIENTED_EDGE('',*,*,#89016,.F.); #119422=ORIENTED_EDGE('',*,*,#89017,.T.); #119423=ORIENTED_EDGE('',*,*,#89018,.T.); #119424=ORIENTED_EDGE('',*,*,#89014,.F.); #119425=ORIENTED_EDGE('',*,*,#89019,.F.); #119426=ORIENTED_EDGE('',*,*,#89020,.T.); #119427=ORIENTED_EDGE('',*,*,#89021,.T.); #119428=ORIENTED_EDGE('',*,*,#89017,.F.); #119429=ORIENTED_EDGE('',*,*,#89022,.F.); #119430=ORIENTED_EDGE('',*,*,#88961,.T.); #119431=ORIENTED_EDGE('',*,*,#89023,.T.); #119432=ORIENTED_EDGE('',*,*,#89020,.F.); #119433=ORIENTED_EDGE('',*,*,#89023,.F.); #119434=ORIENTED_EDGE('',*,*,#88960,.F.); #119435=ORIENTED_EDGE('',*,*,#88964,.F.); #119436=ORIENTED_EDGE('',*,*,#88967,.F.); #119437=ORIENTED_EDGE('',*,*,#88970,.F.); #119438=ORIENTED_EDGE('',*,*,#88973,.F.); #119439=ORIENTED_EDGE('',*,*,#88976,.F.); #119440=ORIENTED_EDGE('',*,*,#88979,.F.); #119441=ORIENTED_EDGE('',*,*,#88982,.F.); #119442=ORIENTED_EDGE('',*,*,#88985,.F.); #119443=ORIENTED_EDGE('',*,*,#88988,.F.); #119444=ORIENTED_EDGE('',*,*,#88991,.F.); #119445=ORIENTED_EDGE('',*,*,#88994,.F.); #119446=ORIENTED_EDGE('',*,*,#88997,.F.); #119447=ORIENTED_EDGE('',*,*,#89000,.F.); #119448=ORIENTED_EDGE('',*,*,#89003,.F.); #119449=ORIENTED_EDGE('',*,*,#89006,.F.); #119450=ORIENTED_EDGE('',*,*,#89009,.F.); #119451=ORIENTED_EDGE('',*,*,#89012,.F.); #119452=ORIENTED_EDGE('',*,*,#89015,.F.); #119453=ORIENTED_EDGE('',*,*,#89018,.F.); #119454=ORIENTED_EDGE('',*,*,#89021,.F.); #119455=ORIENTED_EDGE('',*,*,#89024,.F.); #119456=ORIENTED_EDGE('',*,*,#89025,.T.); #119457=ORIENTED_EDGE('',*,*,#89026,.T.); #119458=ORIENTED_EDGE('',*,*,#89027,.F.); #119459=ORIENTED_EDGE('',*,*,#89028,.F.); #119460=ORIENTED_EDGE('',*,*,#89029,.T.); #119461=ORIENTED_EDGE('',*,*,#89030,.T.); #119462=ORIENTED_EDGE('',*,*,#89025,.F.); #119463=ORIENTED_EDGE('',*,*,#89031,.F.); #119464=ORIENTED_EDGE('',*,*,#89032,.T.); #119465=ORIENTED_EDGE('',*,*,#89033,.T.); #119466=ORIENTED_EDGE('',*,*,#89029,.F.); #119467=ORIENTED_EDGE('',*,*,#89034,.F.); #119468=ORIENTED_EDGE('',*,*,#89035,.T.); #119469=ORIENTED_EDGE('',*,*,#89036,.T.); #119470=ORIENTED_EDGE('',*,*,#89032,.F.); #119471=ORIENTED_EDGE('',*,*,#89037,.F.); #119472=ORIENTED_EDGE('',*,*,#89038,.T.); #119473=ORIENTED_EDGE('',*,*,#89039,.T.); #119474=ORIENTED_EDGE('',*,*,#89035,.F.); #119475=ORIENTED_EDGE('',*,*,#89040,.F.); #119476=ORIENTED_EDGE('',*,*,#89041,.T.); #119477=ORIENTED_EDGE('',*,*,#89042,.T.); #119478=ORIENTED_EDGE('',*,*,#89038,.F.); #119479=ORIENTED_EDGE('',*,*,#89043,.F.); #119480=ORIENTED_EDGE('',*,*,#89044,.T.); #119481=ORIENTED_EDGE('',*,*,#89045,.T.); #119482=ORIENTED_EDGE('',*,*,#89041,.F.); #119483=ORIENTED_EDGE('',*,*,#89046,.F.); #119484=ORIENTED_EDGE('',*,*,#89047,.T.); #119485=ORIENTED_EDGE('',*,*,#89048,.T.); #119486=ORIENTED_EDGE('',*,*,#89044,.F.); #119487=ORIENTED_EDGE('',*,*,#89049,.F.); #119488=ORIENTED_EDGE('',*,*,#89050,.T.); #119489=ORIENTED_EDGE('',*,*,#89051,.T.); #119490=ORIENTED_EDGE('',*,*,#89047,.F.); #119491=ORIENTED_EDGE('',*,*,#89052,.F.); #119492=ORIENTED_EDGE('',*,*,#89053,.T.); #119493=ORIENTED_EDGE('',*,*,#89054,.T.); #119494=ORIENTED_EDGE('',*,*,#89050,.F.); #119495=ORIENTED_EDGE('',*,*,#89055,.F.); #119496=ORIENTED_EDGE('',*,*,#89056,.T.); #119497=ORIENTED_EDGE('',*,*,#89057,.T.); #119498=ORIENTED_EDGE('',*,*,#89053,.F.); #119499=ORIENTED_EDGE('',*,*,#89058,.F.); #119500=ORIENTED_EDGE('',*,*,#89059,.T.); #119501=ORIENTED_EDGE('',*,*,#89060,.T.); #119502=ORIENTED_EDGE('',*,*,#89056,.F.); #119503=ORIENTED_EDGE('',*,*,#89061,.F.); #119504=ORIENTED_EDGE('',*,*,#89062,.T.); #119505=ORIENTED_EDGE('',*,*,#89063,.T.); #119506=ORIENTED_EDGE('',*,*,#89059,.F.); #119507=ORIENTED_EDGE('',*,*,#89064,.F.); #119508=ORIENTED_EDGE('',*,*,#89065,.T.); #119509=ORIENTED_EDGE('',*,*,#89066,.T.); #119510=ORIENTED_EDGE('',*,*,#89062,.F.); #119511=ORIENTED_EDGE('',*,*,#89067,.F.); #119512=ORIENTED_EDGE('',*,*,#89068,.T.); #119513=ORIENTED_EDGE('',*,*,#89069,.T.); #119514=ORIENTED_EDGE('',*,*,#89065,.F.); #119515=ORIENTED_EDGE('',*,*,#89070,.F.); #119516=ORIENTED_EDGE('',*,*,#89071,.T.); #119517=ORIENTED_EDGE('',*,*,#89072,.T.); #119518=ORIENTED_EDGE('',*,*,#89068,.F.); #119519=ORIENTED_EDGE('',*,*,#89073,.F.); #119520=ORIENTED_EDGE('',*,*,#89074,.T.); #119521=ORIENTED_EDGE('',*,*,#89075,.T.); #119522=ORIENTED_EDGE('',*,*,#89071,.F.); #119523=ORIENTED_EDGE('',*,*,#89076,.F.); #119524=ORIENTED_EDGE('',*,*,#89077,.T.); #119525=ORIENTED_EDGE('',*,*,#89078,.T.); #119526=ORIENTED_EDGE('',*,*,#89074,.F.); #119527=ORIENTED_EDGE('',*,*,#89079,.F.); #119528=ORIENTED_EDGE('',*,*,#89080,.T.); #119529=ORIENTED_EDGE('',*,*,#89081,.T.); #119530=ORIENTED_EDGE('',*,*,#89077,.F.); #119531=ORIENTED_EDGE('',*,*,#89082,.F.); #119532=ORIENTED_EDGE('',*,*,#89083,.T.); #119533=ORIENTED_EDGE('',*,*,#89084,.T.); #119534=ORIENTED_EDGE('',*,*,#89080,.F.); #119535=ORIENTED_EDGE('',*,*,#89085,.F.); #119536=ORIENTED_EDGE('',*,*,#89086,.T.); #119537=ORIENTED_EDGE('',*,*,#89087,.T.); #119538=ORIENTED_EDGE('',*,*,#89083,.F.); #119539=ORIENTED_EDGE('',*,*,#89088,.F.); #119540=ORIENTED_EDGE('',*,*,#89089,.T.); #119541=ORIENTED_EDGE('',*,*,#89090,.T.); #119542=ORIENTED_EDGE('',*,*,#89086,.F.); #119543=ORIENTED_EDGE('',*,*,#89091,.F.); #119544=ORIENTED_EDGE('',*,*,#89092,.T.); #119545=ORIENTED_EDGE('',*,*,#89093,.T.); #119546=ORIENTED_EDGE('',*,*,#89089,.F.); #119547=ORIENTED_EDGE('',*,*,#89094,.F.); #119548=ORIENTED_EDGE('',*,*,#89095,.T.); #119549=ORIENTED_EDGE('',*,*,#89096,.T.); #119550=ORIENTED_EDGE('',*,*,#89092,.F.); #119551=ORIENTED_EDGE('',*,*,#89097,.F.); #119552=ORIENTED_EDGE('',*,*,#89098,.T.); #119553=ORIENTED_EDGE('',*,*,#89099,.T.); #119554=ORIENTED_EDGE('',*,*,#89095,.F.); #119555=ORIENTED_EDGE('',*,*,#89100,.F.); #119556=ORIENTED_EDGE('',*,*,#89101,.T.); #119557=ORIENTED_EDGE('',*,*,#89102,.T.); #119558=ORIENTED_EDGE('',*,*,#89098,.F.); #119559=ORIENTED_EDGE('',*,*,#89103,.F.); #119560=ORIENTED_EDGE('',*,*,#89104,.T.); #119561=ORIENTED_EDGE('',*,*,#89105,.T.); #119562=ORIENTED_EDGE('',*,*,#89101,.F.); #119563=ORIENTED_EDGE('',*,*,#89106,.F.); #119564=ORIENTED_EDGE('',*,*,#89107,.T.); #119565=ORIENTED_EDGE('',*,*,#89108,.T.); #119566=ORIENTED_EDGE('',*,*,#89104,.F.); #119567=ORIENTED_EDGE('',*,*,#89109,.F.); #119568=ORIENTED_EDGE('',*,*,#89110,.T.); #119569=ORIENTED_EDGE('',*,*,#89111,.T.); #119570=ORIENTED_EDGE('',*,*,#89107,.F.); #119571=ORIENTED_EDGE('',*,*,#89112,.F.); #119572=ORIENTED_EDGE('',*,*,#89113,.T.); #119573=ORIENTED_EDGE('',*,*,#89114,.T.); #119574=ORIENTED_EDGE('',*,*,#89110,.F.); #119575=ORIENTED_EDGE('',*,*,#89115,.F.); #119576=ORIENTED_EDGE('',*,*,#89116,.T.); #119577=ORIENTED_EDGE('',*,*,#89117,.T.); #119578=ORIENTED_EDGE('',*,*,#89113,.F.); #119579=ORIENTED_EDGE('',*,*,#89118,.F.); #119580=ORIENTED_EDGE('',*,*,#89119,.T.); #119581=ORIENTED_EDGE('',*,*,#89120,.T.); #119582=ORIENTED_EDGE('',*,*,#89116,.F.); #119583=ORIENTED_EDGE('',*,*,#89121,.F.); #119584=ORIENTED_EDGE('',*,*,#89122,.T.); #119585=ORIENTED_EDGE('',*,*,#89123,.T.); #119586=ORIENTED_EDGE('',*,*,#89119,.F.); #119587=ORIENTED_EDGE('',*,*,#89124,.F.); #119588=ORIENTED_EDGE('',*,*,#89125,.T.); #119589=ORIENTED_EDGE('',*,*,#89126,.T.); #119590=ORIENTED_EDGE('',*,*,#89122,.F.); #119591=ORIENTED_EDGE('',*,*,#89127,.F.); #119592=ORIENTED_EDGE('',*,*,#89128,.T.); #119593=ORIENTED_EDGE('',*,*,#89129,.T.); #119594=ORIENTED_EDGE('',*,*,#89125,.F.); #119595=ORIENTED_EDGE('',*,*,#89130,.F.); #119596=ORIENTED_EDGE('',*,*,#89131,.T.); #119597=ORIENTED_EDGE('',*,*,#89132,.T.); #119598=ORIENTED_EDGE('',*,*,#89128,.F.); #119599=ORIENTED_EDGE('',*,*,#89133,.F.); #119600=ORIENTED_EDGE('',*,*,#89134,.T.); #119601=ORIENTED_EDGE('',*,*,#89135,.T.); #119602=ORIENTED_EDGE('',*,*,#89131,.F.); #119603=ORIENTED_EDGE('',*,*,#89136,.F.); #119604=ORIENTED_EDGE('',*,*,#89137,.T.); #119605=ORIENTED_EDGE('',*,*,#89138,.T.); #119606=ORIENTED_EDGE('',*,*,#89134,.F.); #119607=ORIENTED_EDGE('',*,*,#89139,.F.); #119608=ORIENTED_EDGE('',*,*,#89140,.T.); #119609=ORIENTED_EDGE('',*,*,#89141,.T.); #119610=ORIENTED_EDGE('',*,*,#89137,.F.); #119611=ORIENTED_EDGE('',*,*,#89142,.F.); #119612=ORIENTED_EDGE('',*,*,#89143,.T.); #119613=ORIENTED_EDGE('',*,*,#89144,.T.); #119614=ORIENTED_EDGE('',*,*,#89140,.F.); #119615=ORIENTED_EDGE('',*,*,#89145,.F.); #119616=ORIENTED_EDGE('',*,*,#89146,.T.); #119617=ORIENTED_EDGE('',*,*,#89147,.T.); #119618=ORIENTED_EDGE('',*,*,#89143,.F.); #119619=ORIENTED_EDGE('',*,*,#89148,.F.); #119620=ORIENTED_EDGE('',*,*,#89149,.T.); #119621=ORIENTED_EDGE('',*,*,#89150,.T.); #119622=ORIENTED_EDGE('',*,*,#89146,.F.); #119623=ORIENTED_EDGE('',*,*,#89151,.F.); #119624=ORIENTED_EDGE('',*,*,#89152,.T.); #119625=ORIENTED_EDGE('',*,*,#89153,.T.); #119626=ORIENTED_EDGE('',*,*,#89149,.F.); #119627=ORIENTED_EDGE('',*,*,#89154,.F.); #119628=ORIENTED_EDGE('',*,*,#89155,.T.); #119629=ORIENTED_EDGE('',*,*,#89156,.T.); #119630=ORIENTED_EDGE('',*,*,#89152,.F.); #119631=ORIENTED_EDGE('',*,*,#89157,.F.); #119632=ORIENTED_EDGE('',*,*,#89158,.T.); #119633=ORIENTED_EDGE('',*,*,#89159,.T.); #119634=ORIENTED_EDGE('',*,*,#89155,.F.); #119635=ORIENTED_EDGE('',*,*,#89160,.F.); #119636=ORIENTED_EDGE('',*,*,#89161,.T.); #119637=ORIENTED_EDGE('',*,*,#89162,.T.); #119638=ORIENTED_EDGE('',*,*,#89158,.F.); #119639=ORIENTED_EDGE('',*,*,#89163,.F.); #119640=ORIENTED_EDGE('',*,*,#89164,.T.); #119641=ORIENTED_EDGE('',*,*,#89165,.T.); #119642=ORIENTED_EDGE('',*,*,#89161,.F.); #119643=ORIENTED_EDGE('',*,*,#89166,.F.); #119644=ORIENTED_EDGE('',*,*,#89167,.T.); #119645=ORIENTED_EDGE('',*,*,#89168,.T.); #119646=ORIENTED_EDGE('',*,*,#89164,.F.); #119647=ORIENTED_EDGE('',*,*,#89169,.F.); #119648=ORIENTED_EDGE('',*,*,#89170,.T.); #119649=ORIENTED_EDGE('',*,*,#89171,.T.); #119650=ORIENTED_EDGE('',*,*,#89167,.F.); #119651=ORIENTED_EDGE('',*,*,#89172,.F.); #119652=ORIENTED_EDGE('',*,*,#89173,.T.); #119653=ORIENTED_EDGE('',*,*,#89174,.T.); #119654=ORIENTED_EDGE('',*,*,#89170,.F.); #119655=ORIENTED_EDGE('',*,*,#89175,.F.); #119656=ORIENTED_EDGE('',*,*,#89176,.T.); #119657=ORIENTED_EDGE('',*,*,#89177,.T.); #119658=ORIENTED_EDGE('',*,*,#89173,.F.); #119659=ORIENTED_EDGE('',*,*,#89178,.F.); #119660=ORIENTED_EDGE('',*,*,#89179,.T.); #119661=ORIENTED_EDGE('',*,*,#89180,.T.); #119662=ORIENTED_EDGE('',*,*,#89176,.F.); #119663=ORIENTED_EDGE('',*,*,#89181,.F.); #119664=ORIENTED_EDGE('',*,*,#89182,.T.); #119665=ORIENTED_EDGE('',*,*,#89183,.T.); #119666=ORIENTED_EDGE('',*,*,#89179,.F.); #119667=ORIENTED_EDGE('',*,*,#89184,.F.); #119668=ORIENTED_EDGE('',*,*,#89185,.T.); #119669=ORIENTED_EDGE('',*,*,#89186,.T.); #119670=ORIENTED_EDGE('',*,*,#89182,.F.); #119671=ORIENTED_EDGE('',*,*,#89187,.F.); #119672=ORIENTED_EDGE('',*,*,#89188,.T.); #119673=ORIENTED_EDGE('',*,*,#89189,.T.); #119674=ORIENTED_EDGE('',*,*,#89185,.F.); #119675=ORIENTED_EDGE('',*,*,#89190,.F.); #119676=ORIENTED_EDGE('',*,*,#89191,.T.); #119677=ORIENTED_EDGE('',*,*,#89192,.T.); #119678=ORIENTED_EDGE('',*,*,#89188,.F.); #119679=ORIENTED_EDGE('',*,*,#89193,.F.); #119680=ORIENTED_EDGE('',*,*,#89194,.T.); #119681=ORIENTED_EDGE('',*,*,#89195,.T.); #119682=ORIENTED_EDGE('',*,*,#89191,.F.); #119683=ORIENTED_EDGE('',*,*,#89196,.F.); #119684=ORIENTED_EDGE('',*,*,#89197,.T.); #119685=ORIENTED_EDGE('',*,*,#89198,.T.); #119686=ORIENTED_EDGE('',*,*,#89194,.F.); #119687=ORIENTED_EDGE('',*,*,#89199,.F.); #119688=ORIENTED_EDGE('',*,*,#89200,.T.); #119689=ORIENTED_EDGE('',*,*,#89201,.T.); #119690=ORIENTED_EDGE('',*,*,#89197,.F.); #119691=ORIENTED_EDGE('',*,*,#89202,.F.); #119692=ORIENTED_EDGE('',*,*,#89203,.T.); #119693=ORIENTED_EDGE('',*,*,#89204,.T.); #119694=ORIENTED_EDGE('',*,*,#89200,.F.); #119695=ORIENTED_EDGE('',*,*,#89205,.F.); #119696=ORIENTED_EDGE('',*,*,#89206,.T.); #119697=ORIENTED_EDGE('',*,*,#89207,.T.); #119698=ORIENTED_EDGE('',*,*,#89203,.F.); #119699=ORIENTED_EDGE('',*,*,#89208,.F.); #119700=ORIENTED_EDGE('',*,*,#89209,.T.); #119701=ORIENTED_EDGE('',*,*,#89210,.T.); #119702=ORIENTED_EDGE('',*,*,#89206,.F.); #119703=ORIENTED_EDGE('',*,*,#89211,.F.); #119704=ORIENTED_EDGE('',*,*,#89212,.T.); #119705=ORIENTED_EDGE('',*,*,#89213,.T.); #119706=ORIENTED_EDGE('',*,*,#89209,.F.); #119707=ORIENTED_EDGE('',*,*,#89214,.F.); #119708=ORIENTED_EDGE('',*,*,#89215,.T.); #119709=ORIENTED_EDGE('',*,*,#89216,.T.); #119710=ORIENTED_EDGE('',*,*,#89212,.F.); #119711=ORIENTED_EDGE('',*,*,#89217,.F.); #119712=ORIENTED_EDGE('',*,*,#89218,.T.); #119713=ORIENTED_EDGE('',*,*,#89219,.T.); #119714=ORIENTED_EDGE('',*,*,#89215,.F.); #119715=ORIENTED_EDGE('',*,*,#89220,.F.); #119716=ORIENTED_EDGE('',*,*,#89221,.T.); #119717=ORIENTED_EDGE('',*,*,#89222,.T.); #119718=ORIENTED_EDGE('',*,*,#89218,.F.); #119719=ORIENTED_EDGE('',*,*,#89223,.F.); #119720=ORIENTED_EDGE('',*,*,#89224,.T.); #119721=ORIENTED_EDGE('',*,*,#89225,.T.); #119722=ORIENTED_EDGE('',*,*,#89221,.F.); #119723=ORIENTED_EDGE('',*,*,#89226,.F.); #119724=ORIENTED_EDGE('',*,*,#89227,.T.); #119725=ORIENTED_EDGE('',*,*,#89228,.T.); #119726=ORIENTED_EDGE('',*,*,#89224,.F.); #119727=ORIENTED_EDGE('',*,*,#89229,.F.); #119728=ORIENTED_EDGE('',*,*,#89230,.T.); #119729=ORIENTED_EDGE('',*,*,#89231,.T.); #119730=ORIENTED_EDGE('',*,*,#89227,.F.); #119731=ORIENTED_EDGE('',*,*,#89232,.F.); #119732=ORIENTED_EDGE('',*,*,#89233,.T.); #119733=ORIENTED_EDGE('',*,*,#89234,.T.); #119734=ORIENTED_EDGE('',*,*,#89230,.F.); #119735=ORIENTED_EDGE('',*,*,#89235,.F.); #119736=ORIENTED_EDGE('',*,*,#89236,.T.); #119737=ORIENTED_EDGE('',*,*,#89237,.T.); #119738=ORIENTED_EDGE('',*,*,#89233,.F.); #119739=ORIENTED_EDGE('',*,*,#89238,.F.); #119740=ORIENTED_EDGE('',*,*,#89239,.T.); #119741=ORIENTED_EDGE('',*,*,#89240,.T.); #119742=ORIENTED_EDGE('',*,*,#89236,.F.); #119743=ORIENTED_EDGE('',*,*,#89241,.F.); #119744=ORIENTED_EDGE('',*,*,#89242,.T.); #119745=ORIENTED_EDGE('',*,*,#89243,.T.); #119746=ORIENTED_EDGE('',*,*,#89239,.F.); #119747=ORIENTED_EDGE('',*,*,#89244,.F.); #119748=ORIENTED_EDGE('',*,*,#89245,.T.); #119749=ORIENTED_EDGE('',*,*,#89246,.T.); #119750=ORIENTED_EDGE('',*,*,#89242,.F.); #119751=ORIENTED_EDGE('',*,*,#89247,.F.); #119752=ORIENTED_EDGE('',*,*,#89248,.T.); #119753=ORIENTED_EDGE('',*,*,#89249,.T.); #119754=ORIENTED_EDGE('',*,*,#89245,.F.); #119755=ORIENTED_EDGE('',*,*,#89250,.F.); #119756=ORIENTED_EDGE('',*,*,#89251,.T.); #119757=ORIENTED_EDGE('',*,*,#89252,.T.); #119758=ORIENTED_EDGE('',*,*,#89248,.F.); #119759=ORIENTED_EDGE('',*,*,#89253,.F.); #119760=ORIENTED_EDGE('',*,*,#89254,.T.); #119761=ORIENTED_EDGE('',*,*,#89255,.T.); #119762=ORIENTED_EDGE('',*,*,#89251,.F.); #119763=ORIENTED_EDGE('',*,*,#89256,.F.); #119764=ORIENTED_EDGE('',*,*,#89257,.T.); #119765=ORIENTED_EDGE('',*,*,#89258,.T.); #119766=ORIENTED_EDGE('',*,*,#89254,.F.); #119767=ORIENTED_EDGE('',*,*,#89259,.F.); #119768=ORIENTED_EDGE('',*,*,#89260,.T.); #119769=ORIENTED_EDGE('',*,*,#89261,.T.); #119770=ORIENTED_EDGE('',*,*,#89257,.F.); #119771=ORIENTED_EDGE('',*,*,#89262,.F.); #119772=ORIENTED_EDGE('',*,*,#89263,.T.); #119773=ORIENTED_EDGE('',*,*,#89264,.T.); #119774=ORIENTED_EDGE('',*,*,#89260,.F.); #119775=ORIENTED_EDGE('',*,*,#89265,.F.); #119776=ORIENTED_EDGE('',*,*,#89266,.T.); #119777=ORIENTED_EDGE('',*,*,#89267,.T.); #119778=ORIENTED_EDGE('',*,*,#89263,.F.); #119779=ORIENTED_EDGE('',*,*,#89268,.F.); #119780=ORIENTED_EDGE('',*,*,#89269,.T.); #119781=ORIENTED_EDGE('',*,*,#89270,.T.); #119782=ORIENTED_EDGE('',*,*,#89266,.F.); #119783=ORIENTED_EDGE('',*,*,#89271,.F.); #119784=ORIENTED_EDGE('',*,*,#89272,.T.); #119785=ORIENTED_EDGE('',*,*,#89273,.T.); #119786=ORIENTED_EDGE('',*,*,#89269,.F.); #119787=ORIENTED_EDGE('',*,*,#89274,.F.); #119788=ORIENTED_EDGE('',*,*,#89275,.T.); #119789=ORIENTED_EDGE('',*,*,#89276,.T.); #119790=ORIENTED_EDGE('',*,*,#89272,.F.); #119791=ORIENTED_EDGE('',*,*,#89277,.F.); #119792=ORIENTED_EDGE('',*,*,#89278,.T.); #119793=ORIENTED_EDGE('',*,*,#89279,.T.); #119794=ORIENTED_EDGE('',*,*,#89275,.F.); #119795=ORIENTED_EDGE('',*,*,#89280,.F.); #119796=ORIENTED_EDGE('',*,*,#89281,.T.); #119797=ORIENTED_EDGE('',*,*,#89282,.T.); #119798=ORIENTED_EDGE('',*,*,#89278,.F.); #119799=ORIENTED_EDGE('',*,*,#89283,.F.); #119800=ORIENTED_EDGE('',*,*,#89284,.T.); #119801=ORIENTED_EDGE('',*,*,#89285,.T.); #119802=ORIENTED_EDGE('',*,*,#89281,.F.); #119803=ORIENTED_EDGE('',*,*,#89286,.F.); #119804=ORIENTED_EDGE('',*,*,#89287,.T.); #119805=ORIENTED_EDGE('',*,*,#89288,.T.); #119806=ORIENTED_EDGE('',*,*,#89284,.F.); #119807=ORIENTED_EDGE('',*,*,#89289,.F.); #119808=ORIENTED_EDGE('',*,*,#89290,.T.); #119809=ORIENTED_EDGE('',*,*,#89291,.T.); #119810=ORIENTED_EDGE('',*,*,#89287,.F.); #119811=ORIENTED_EDGE('',*,*,#89292,.F.); #119812=ORIENTED_EDGE('',*,*,#89293,.T.); #119813=ORIENTED_EDGE('',*,*,#89294,.T.); #119814=ORIENTED_EDGE('',*,*,#89290,.F.); #119815=ORIENTED_EDGE('',*,*,#89295,.F.); #119816=ORIENTED_EDGE('',*,*,#89296,.T.); #119817=ORIENTED_EDGE('',*,*,#89297,.T.); #119818=ORIENTED_EDGE('',*,*,#89293,.F.); #119819=ORIENTED_EDGE('',*,*,#89298,.F.); #119820=ORIENTED_EDGE('',*,*,#89299,.T.); #119821=ORIENTED_EDGE('',*,*,#89300,.T.); #119822=ORIENTED_EDGE('',*,*,#89296,.F.); #119823=ORIENTED_EDGE('',*,*,#89301,.F.); #119824=ORIENTED_EDGE('',*,*,#89302,.T.); #119825=ORIENTED_EDGE('',*,*,#89303,.T.); #119826=ORIENTED_EDGE('',*,*,#89299,.F.); #119827=ORIENTED_EDGE('',*,*,#89304,.F.); #119828=ORIENTED_EDGE('',*,*,#89305,.T.); #119829=ORIENTED_EDGE('',*,*,#89306,.T.); #119830=ORIENTED_EDGE('',*,*,#89302,.F.); #119831=ORIENTED_EDGE('',*,*,#89307,.F.); #119832=ORIENTED_EDGE('',*,*,#89308,.T.); #119833=ORIENTED_EDGE('',*,*,#89309,.T.); #119834=ORIENTED_EDGE('',*,*,#89305,.F.); #119835=ORIENTED_EDGE('',*,*,#89310,.F.); #119836=ORIENTED_EDGE('',*,*,#89311,.T.); #119837=ORIENTED_EDGE('',*,*,#89312,.T.); #119838=ORIENTED_EDGE('',*,*,#89308,.F.); #119839=ORIENTED_EDGE('',*,*,#89313,.F.); #119840=ORIENTED_EDGE('',*,*,#89314,.T.); #119841=ORIENTED_EDGE('',*,*,#89315,.T.); #119842=ORIENTED_EDGE('',*,*,#89311,.F.); #119843=ORIENTED_EDGE('',*,*,#89316,.F.); #119844=ORIENTED_EDGE('',*,*,#89317,.T.); #119845=ORIENTED_EDGE('',*,*,#89318,.T.); #119846=ORIENTED_EDGE('',*,*,#89314,.F.); #119847=ORIENTED_EDGE('',*,*,#89319,.F.); #119848=ORIENTED_EDGE('',*,*,#89320,.T.); #119849=ORIENTED_EDGE('',*,*,#89321,.T.); #119850=ORIENTED_EDGE('',*,*,#89317,.F.); #119851=ORIENTED_EDGE('',*,*,#89322,.F.); #119852=ORIENTED_EDGE('',*,*,#89323,.T.); #119853=ORIENTED_EDGE('',*,*,#89324,.T.); #119854=ORIENTED_EDGE('',*,*,#89320,.F.); #119855=ORIENTED_EDGE('',*,*,#89325,.F.); #119856=ORIENTED_EDGE('',*,*,#89326,.T.); #119857=ORIENTED_EDGE('',*,*,#89327,.T.); #119858=ORIENTED_EDGE('',*,*,#89323,.F.); #119859=ORIENTED_EDGE('',*,*,#89328,.F.); #119860=ORIENTED_EDGE('',*,*,#89329,.T.); #119861=ORIENTED_EDGE('',*,*,#89330,.T.); #119862=ORIENTED_EDGE('',*,*,#89326,.F.); #119863=ORIENTED_EDGE('',*,*,#89331,.F.); #119864=ORIENTED_EDGE('',*,*,#89332,.T.); #119865=ORIENTED_EDGE('',*,*,#89333,.T.); #119866=ORIENTED_EDGE('',*,*,#89329,.F.); #119867=ORIENTED_EDGE('',*,*,#89334,.F.); #119868=ORIENTED_EDGE('',*,*,#89335,.T.); #119869=ORIENTED_EDGE('',*,*,#89336,.T.); #119870=ORIENTED_EDGE('',*,*,#89332,.F.); #119871=ORIENTED_EDGE('',*,*,#89337,.F.); #119872=ORIENTED_EDGE('',*,*,#89338,.T.); #119873=ORIENTED_EDGE('',*,*,#89339,.T.); #119874=ORIENTED_EDGE('',*,*,#89335,.F.); #119875=ORIENTED_EDGE('',*,*,#89340,.F.); #119876=ORIENTED_EDGE('',*,*,#89341,.T.); #119877=ORIENTED_EDGE('',*,*,#89342,.T.); #119878=ORIENTED_EDGE('',*,*,#89338,.F.); #119879=ORIENTED_EDGE('',*,*,#89343,.F.); #119880=ORIENTED_EDGE('',*,*,#89344,.T.); #119881=ORIENTED_EDGE('',*,*,#89345,.T.); #119882=ORIENTED_EDGE('',*,*,#89341,.F.); #119883=ORIENTED_EDGE('',*,*,#89346,.F.); #119884=ORIENTED_EDGE('',*,*,#89347,.T.); #119885=ORIENTED_EDGE('',*,*,#89348,.T.); #119886=ORIENTED_EDGE('',*,*,#89344,.F.); #119887=ORIENTED_EDGE('',*,*,#89349,.F.); #119888=ORIENTED_EDGE('',*,*,#89350,.T.); #119889=ORIENTED_EDGE('',*,*,#89351,.T.); #119890=ORIENTED_EDGE('',*,*,#89347,.F.); #119891=ORIENTED_EDGE('',*,*,#89352,.F.); #119892=ORIENTED_EDGE('',*,*,#89353,.T.); #119893=ORIENTED_EDGE('',*,*,#89354,.T.); #119894=ORIENTED_EDGE('',*,*,#89350,.F.); #119895=ORIENTED_EDGE('',*,*,#89355,.F.); #119896=ORIENTED_EDGE('',*,*,#89356,.T.); #119897=ORIENTED_EDGE('',*,*,#89357,.T.); #119898=ORIENTED_EDGE('',*,*,#89353,.F.); #119899=ORIENTED_EDGE('',*,*,#89358,.F.); #119900=ORIENTED_EDGE('',*,*,#89359,.T.); #119901=ORIENTED_EDGE('',*,*,#89360,.T.); #119902=ORIENTED_EDGE('',*,*,#89356,.F.); #119903=ORIENTED_EDGE('',*,*,#89361,.F.); #119904=ORIENTED_EDGE('',*,*,#89027,.T.); #119905=ORIENTED_EDGE('',*,*,#89362,.T.); #119906=ORIENTED_EDGE('',*,*,#89359,.F.); #119907=ORIENTED_EDGE('',*,*,#89362,.F.); #119908=ORIENTED_EDGE('',*,*,#89026,.F.); #119909=ORIENTED_EDGE('',*,*,#89030,.F.); #119910=ORIENTED_EDGE('',*,*,#89033,.F.); #119911=ORIENTED_EDGE('',*,*,#89036,.F.); #119912=ORIENTED_EDGE('',*,*,#89039,.F.); #119913=ORIENTED_EDGE('',*,*,#89042,.F.); #119914=ORIENTED_EDGE('',*,*,#89045,.F.); #119915=ORIENTED_EDGE('',*,*,#89048,.F.); #119916=ORIENTED_EDGE('',*,*,#89051,.F.); #119917=ORIENTED_EDGE('',*,*,#89054,.F.); #119918=ORIENTED_EDGE('',*,*,#89057,.F.); #119919=ORIENTED_EDGE('',*,*,#89060,.F.); #119920=ORIENTED_EDGE('',*,*,#89063,.F.); #119921=ORIENTED_EDGE('',*,*,#89066,.F.); #119922=ORIENTED_EDGE('',*,*,#89069,.F.); #119923=ORIENTED_EDGE('',*,*,#89072,.F.); #119924=ORIENTED_EDGE('',*,*,#89075,.F.); #119925=ORIENTED_EDGE('',*,*,#89078,.F.); #119926=ORIENTED_EDGE('',*,*,#89081,.F.); #119927=ORIENTED_EDGE('',*,*,#89084,.F.); #119928=ORIENTED_EDGE('',*,*,#89087,.F.); #119929=ORIENTED_EDGE('',*,*,#89090,.F.); #119930=ORIENTED_EDGE('',*,*,#89093,.F.); #119931=ORIENTED_EDGE('',*,*,#89096,.F.); #119932=ORIENTED_EDGE('',*,*,#89099,.F.); #119933=ORIENTED_EDGE('',*,*,#89102,.F.); #119934=ORIENTED_EDGE('',*,*,#89105,.F.); #119935=ORIENTED_EDGE('',*,*,#89108,.F.); #119936=ORIENTED_EDGE('',*,*,#89111,.F.); #119937=ORIENTED_EDGE('',*,*,#89114,.F.); #119938=ORIENTED_EDGE('',*,*,#89117,.F.); #119939=ORIENTED_EDGE('',*,*,#89120,.F.); #119940=ORIENTED_EDGE('',*,*,#89123,.F.); #119941=ORIENTED_EDGE('',*,*,#89126,.F.); #119942=ORIENTED_EDGE('',*,*,#89129,.F.); #119943=ORIENTED_EDGE('',*,*,#89132,.F.); #119944=ORIENTED_EDGE('',*,*,#89135,.F.); #119945=ORIENTED_EDGE('',*,*,#89138,.F.); #119946=ORIENTED_EDGE('',*,*,#89141,.F.); #119947=ORIENTED_EDGE('',*,*,#89144,.F.); #119948=ORIENTED_EDGE('',*,*,#89147,.F.); #119949=ORIENTED_EDGE('',*,*,#89150,.F.); #119950=ORIENTED_EDGE('',*,*,#89153,.F.); #119951=ORIENTED_EDGE('',*,*,#89156,.F.); #119952=ORIENTED_EDGE('',*,*,#89159,.F.); #119953=ORIENTED_EDGE('',*,*,#89162,.F.); #119954=ORIENTED_EDGE('',*,*,#89165,.F.); #119955=ORIENTED_EDGE('',*,*,#89168,.F.); #119956=ORIENTED_EDGE('',*,*,#89171,.F.); #119957=ORIENTED_EDGE('',*,*,#89174,.F.); #119958=ORIENTED_EDGE('',*,*,#89177,.F.); #119959=ORIENTED_EDGE('',*,*,#89180,.F.); #119960=ORIENTED_EDGE('',*,*,#89183,.F.); #119961=ORIENTED_EDGE('',*,*,#89186,.F.); #119962=ORIENTED_EDGE('',*,*,#89189,.F.); #119963=ORIENTED_EDGE('',*,*,#89192,.F.); #119964=ORIENTED_EDGE('',*,*,#89195,.F.); #119965=ORIENTED_EDGE('',*,*,#89198,.F.); #119966=ORIENTED_EDGE('',*,*,#89201,.F.); #119967=ORIENTED_EDGE('',*,*,#89204,.F.); #119968=ORIENTED_EDGE('',*,*,#89207,.F.); #119969=ORIENTED_EDGE('',*,*,#89210,.F.); #119970=ORIENTED_EDGE('',*,*,#89213,.F.); #119971=ORIENTED_EDGE('',*,*,#89216,.F.); #119972=ORIENTED_EDGE('',*,*,#89219,.F.); #119973=ORIENTED_EDGE('',*,*,#89222,.F.); #119974=ORIENTED_EDGE('',*,*,#89225,.F.); #119975=ORIENTED_EDGE('',*,*,#89228,.F.); #119976=ORIENTED_EDGE('',*,*,#89231,.F.); #119977=ORIENTED_EDGE('',*,*,#89234,.F.); #119978=ORIENTED_EDGE('',*,*,#89237,.F.); #119979=ORIENTED_EDGE('',*,*,#89240,.F.); #119980=ORIENTED_EDGE('',*,*,#89243,.F.); #119981=ORIENTED_EDGE('',*,*,#89246,.F.); #119982=ORIENTED_EDGE('',*,*,#89249,.F.); #119983=ORIENTED_EDGE('',*,*,#89252,.F.); #119984=ORIENTED_EDGE('',*,*,#89255,.F.); #119985=ORIENTED_EDGE('',*,*,#89258,.F.); #119986=ORIENTED_EDGE('',*,*,#89261,.F.); #119987=ORIENTED_EDGE('',*,*,#89264,.F.); #119988=ORIENTED_EDGE('',*,*,#89267,.F.); #119989=ORIENTED_EDGE('',*,*,#89270,.F.); #119990=ORIENTED_EDGE('',*,*,#89273,.F.); #119991=ORIENTED_EDGE('',*,*,#89276,.F.); #119992=ORIENTED_EDGE('',*,*,#89279,.F.); #119993=ORIENTED_EDGE('',*,*,#89282,.F.); #119994=ORIENTED_EDGE('',*,*,#89285,.F.); #119995=ORIENTED_EDGE('',*,*,#89288,.F.); #119996=ORIENTED_EDGE('',*,*,#89291,.F.); #119997=ORIENTED_EDGE('',*,*,#89294,.F.); #119998=ORIENTED_EDGE('',*,*,#89297,.F.); #119999=ORIENTED_EDGE('',*,*,#89300,.F.); #120000=ORIENTED_EDGE('',*,*,#89303,.F.); #120001=ORIENTED_EDGE('',*,*,#89306,.F.); #120002=ORIENTED_EDGE('',*,*,#89309,.F.); #120003=ORIENTED_EDGE('',*,*,#89312,.F.); #120004=ORIENTED_EDGE('',*,*,#89315,.F.); #120005=ORIENTED_EDGE('',*,*,#89318,.F.); #120006=ORIENTED_EDGE('',*,*,#89321,.F.); #120007=ORIENTED_EDGE('',*,*,#89324,.F.); #120008=ORIENTED_EDGE('',*,*,#89327,.F.); #120009=ORIENTED_EDGE('',*,*,#89330,.F.); #120010=ORIENTED_EDGE('',*,*,#89333,.F.); #120011=ORIENTED_EDGE('',*,*,#89336,.F.); #120012=ORIENTED_EDGE('',*,*,#89339,.F.); #120013=ORIENTED_EDGE('',*,*,#89342,.F.); #120014=ORIENTED_EDGE('',*,*,#89345,.F.); #120015=ORIENTED_EDGE('',*,*,#89348,.F.); #120016=ORIENTED_EDGE('',*,*,#89351,.F.); #120017=ORIENTED_EDGE('',*,*,#89354,.F.); #120018=ORIENTED_EDGE('',*,*,#89357,.F.); #120019=ORIENTED_EDGE('',*,*,#89360,.F.); #120020=ORIENTED_EDGE('',*,*,#89363,.F.); #120021=ORIENTED_EDGE('',*,*,#89364,.T.); #120022=ORIENTED_EDGE('',*,*,#89365,.T.); #120023=ORIENTED_EDGE('',*,*,#89366,.F.); #120024=ORIENTED_EDGE('',*,*,#89367,.F.); #120025=ORIENTED_EDGE('',*,*,#89368,.T.); #120026=ORIENTED_EDGE('',*,*,#89369,.T.); #120027=ORIENTED_EDGE('',*,*,#89364,.F.); #120028=ORIENTED_EDGE('',*,*,#89370,.F.); #120029=ORIENTED_EDGE('',*,*,#89371,.T.); #120030=ORIENTED_EDGE('',*,*,#89372,.T.); #120031=ORIENTED_EDGE('',*,*,#89368,.F.); #120032=ORIENTED_EDGE('',*,*,#89373,.F.); #120033=ORIENTED_EDGE('',*,*,#89374,.T.); #120034=ORIENTED_EDGE('',*,*,#89375,.T.); #120035=ORIENTED_EDGE('',*,*,#89371,.F.); #120036=ORIENTED_EDGE('',*,*,#89376,.F.); #120037=ORIENTED_EDGE('',*,*,#89377,.T.); #120038=ORIENTED_EDGE('',*,*,#89378,.T.); #120039=ORIENTED_EDGE('',*,*,#89374,.F.); #120040=ORIENTED_EDGE('',*,*,#89379,.F.); #120041=ORIENTED_EDGE('',*,*,#89380,.T.); #120042=ORIENTED_EDGE('',*,*,#89381,.T.); #120043=ORIENTED_EDGE('',*,*,#89377,.F.); #120044=ORIENTED_EDGE('',*,*,#89382,.F.); #120045=ORIENTED_EDGE('',*,*,#89383,.T.); #120046=ORIENTED_EDGE('',*,*,#89384,.T.); #120047=ORIENTED_EDGE('',*,*,#89380,.F.); #120048=ORIENTED_EDGE('',*,*,#89385,.F.); #120049=ORIENTED_EDGE('',*,*,#89366,.T.); #120050=ORIENTED_EDGE('',*,*,#89386,.T.); #120051=ORIENTED_EDGE('',*,*,#89383,.F.); #120052=ORIENTED_EDGE('',*,*,#89387,.F.); #120053=ORIENTED_EDGE('',*,*,#89388,.T.); #120054=ORIENTED_EDGE('',*,*,#89389,.T.); #120055=ORIENTED_EDGE('',*,*,#89390,.F.); #120056=ORIENTED_EDGE('',*,*,#89391,.F.); #120057=ORIENTED_EDGE('',*,*,#89392,.T.); #120058=ORIENTED_EDGE('',*,*,#89393,.T.); #120059=ORIENTED_EDGE('',*,*,#89388,.F.); #120060=ORIENTED_EDGE('',*,*,#89394,.F.); #120061=ORIENTED_EDGE('',*,*,#89395,.T.); #120062=ORIENTED_EDGE('',*,*,#89396,.T.); #120063=ORIENTED_EDGE('',*,*,#89392,.F.); #120064=ORIENTED_EDGE('',*,*,#89397,.F.); #120065=ORIENTED_EDGE('',*,*,#89398,.T.); #120066=ORIENTED_EDGE('',*,*,#89399,.T.); #120067=ORIENTED_EDGE('',*,*,#89395,.F.); #120068=ORIENTED_EDGE('',*,*,#89400,.F.); #120069=ORIENTED_EDGE('',*,*,#89401,.T.); #120070=ORIENTED_EDGE('',*,*,#89402,.T.); #120071=ORIENTED_EDGE('',*,*,#89398,.F.); #120072=ORIENTED_EDGE('',*,*,#89403,.F.); #120073=ORIENTED_EDGE('',*,*,#89404,.T.); #120074=ORIENTED_EDGE('',*,*,#89405,.T.); #120075=ORIENTED_EDGE('',*,*,#89401,.F.); #120076=ORIENTED_EDGE('',*,*,#89406,.F.); #120077=ORIENTED_EDGE('',*,*,#89390,.T.); #120078=ORIENTED_EDGE('',*,*,#89407,.T.); #120079=ORIENTED_EDGE('',*,*,#89404,.F.); #120080=ORIENTED_EDGE('',*,*,#89408,.F.); #120081=ORIENTED_EDGE('',*,*,#89409,.T.); #120082=ORIENTED_EDGE('',*,*,#89410,.T.); #120083=ORIENTED_EDGE('',*,*,#89411,.F.); #120084=ORIENTED_EDGE('',*,*,#89412,.F.); #120085=ORIENTED_EDGE('',*,*,#89413,.T.); #120086=ORIENTED_EDGE('',*,*,#89414,.T.); #120087=ORIENTED_EDGE('',*,*,#89409,.F.); #120088=ORIENTED_EDGE('',*,*,#89415,.F.); #120089=ORIENTED_EDGE('',*,*,#89416,.T.); #120090=ORIENTED_EDGE('',*,*,#89417,.T.); #120091=ORIENTED_EDGE('',*,*,#89413,.F.); #120092=ORIENTED_EDGE('',*,*,#89418,.F.); #120093=ORIENTED_EDGE('',*,*,#89419,.T.); #120094=ORIENTED_EDGE('',*,*,#89420,.T.); #120095=ORIENTED_EDGE('',*,*,#89416,.F.); #120096=ORIENTED_EDGE('',*,*,#89421,.F.); #120097=ORIENTED_EDGE('',*,*,#89422,.T.); #120098=ORIENTED_EDGE('',*,*,#89423,.T.); #120099=ORIENTED_EDGE('',*,*,#89419,.F.); #120100=ORIENTED_EDGE('',*,*,#89424,.F.); #120101=ORIENTED_EDGE('',*,*,#89425,.T.); #120102=ORIENTED_EDGE('',*,*,#89426,.T.); #120103=ORIENTED_EDGE('',*,*,#89422,.F.); #120104=ORIENTED_EDGE('',*,*,#89427,.F.); #120105=ORIENTED_EDGE('',*,*,#89428,.T.); #120106=ORIENTED_EDGE('',*,*,#89429,.T.); #120107=ORIENTED_EDGE('',*,*,#89425,.F.); #120108=ORIENTED_EDGE('',*,*,#89430,.F.); #120109=ORIENTED_EDGE('',*,*,#89431,.T.); #120110=ORIENTED_EDGE('',*,*,#89432,.T.); #120111=ORIENTED_EDGE('',*,*,#89428,.F.); #120112=ORIENTED_EDGE('',*,*,#89433,.F.); #120113=ORIENTED_EDGE('',*,*,#89434,.T.); #120114=ORIENTED_EDGE('',*,*,#89435,.T.); #120115=ORIENTED_EDGE('',*,*,#89431,.F.); #120116=ORIENTED_EDGE('',*,*,#89436,.F.); #120117=ORIENTED_EDGE('',*,*,#89437,.T.); #120118=ORIENTED_EDGE('',*,*,#89438,.T.); #120119=ORIENTED_EDGE('',*,*,#89434,.F.); #120120=ORIENTED_EDGE('',*,*,#89439,.F.); #120121=ORIENTED_EDGE('',*,*,#89440,.T.); #120122=ORIENTED_EDGE('',*,*,#89441,.T.); #120123=ORIENTED_EDGE('',*,*,#89437,.F.); #120124=ORIENTED_EDGE('',*,*,#89442,.F.); #120125=ORIENTED_EDGE('',*,*,#89443,.T.); #120126=ORIENTED_EDGE('',*,*,#89444,.T.); #120127=ORIENTED_EDGE('',*,*,#89440,.F.); #120128=ORIENTED_EDGE('',*,*,#89445,.F.); #120129=ORIENTED_EDGE('',*,*,#89446,.T.); #120130=ORIENTED_EDGE('',*,*,#89447,.T.); #120131=ORIENTED_EDGE('',*,*,#89443,.F.); #120132=ORIENTED_EDGE('',*,*,#89448,.F.); #120133=ORIENTED_EDGE('',*,*,#89449,.T.); #120134=ORIENTED_EDGE('',*,*,#89450,.T.); #120135=ORIENTED_EDGE('',*,*,#89446,.F.); #120136=ORIENTED_EDGE('',*,*,#89451,.F.); #120137=ORIENTED_EDGE('',*,*,#89452,.T.); #120138=ORIENTED_EDGE('',*,*,#89453,.T.); #120139=ORIENTED_EDGE('',*,*,#89449,.F.); #120140=ORIENTED_EDGE('',*,*,#89454,.F.); #120141=ORIENTED_EDGE('',*,*,#89455,.T.); #120142=ORIENTED_EDGE('',*,*,#89456,.T.); #120143=ORIENTED_EDGE('',*,*,#89452,.F.); #120144=ORIENTED_EDGE('',*,*,#89457,.F.); #120145=ORIENTED_EDGE('',*,*,#89458,.T.); #120146=ORIENTED_EDGE('',*,*,#89459,.T.); #120147=ORIENTED_EDGE('',*,*,#89455,.F.); #120148=ORIENTED_EDGE('',*,*,#89460,.F.); #120149=ORIENTED_EDGE('',*,*,#89461,.T.); #120150=ORIENTED_EDGE('',*,*,#89462,.T.); #120151=ORIENTED_EDGE('',*,*,#89458,.F.); #120152=ORIENTED_EDGE('',*,*,#89463,.F.); #120153=ORIENTED_EDGE('',*,*,#89464,.T.); #120154=ORIENTED_EDGE('',*,*,#89465,.T.); #120155=ORIENTED_EDGE('',*,*,#89461,.F.); #120156=ORIENTED_EDGE('',*,*,#89466,.F.); #120157=ORIENTED_EDGE('',*,*,#89467,.T.); #120158=ORIENTED_EDGE('',*,*,#89468,.T.); #120159=ORIENTED_EDGE('',*,*,#89464,.F.); #120160=ORIENTED_EDGE('',*,*,#89469,.F.); #120161=ORIENTED_EDGE('',*,*,#89470,.T.); #120162=ORIENTED_EDGE('',*,*,#89471,.T.); #120163=ORIENTED_EDGE('',*,*,#89467,.F.); #120164=ORIENTED_EDGE('',*,*,#89472,.F.); #120165=ORIENTED_EDGE('',*,*,#89473,.T.); #120166=ORIENTED_EDGE('',*,*,#89474,.T.); #120167=ORIENTED_EDGE('',*,*,#89470,.F.); #120168=ORIENTED_EDGE('',*,*,#89475,.F.); #120169=ORIENTED_EDGE('',*,*,#89476,.T.); #120170=ORIENTED_EDGE('',*,*,#89477,.T.); #120171=ORIENTED_EDGE('',*,*,#89473,.F.); #120172=ORIENTED_EDGE('',*,*,#89478,.F.); #120173=ORIENTED_EDGE('',*,*,#89479,.T.); #120174=ORIENTED_EDGE('',*,*,#89480,.T.); #120175=ORIENTED_EDGE('',*,*,#89476,.F.); #120176=ORIENTED_EDGE('',*,*,#89481,.F.); #120177=ORIENTED_EDGE('',*,*,#89482,.T.); #120178=ORIENTED_EDGE('',*,*,#89483,.T.); #120179=ORIENTED_EDGE('',*,*,#89479,.F.); #120180=ORIENTED_EDGE('',*,*,#89484,.F.); #120181=ORIENTED_EDGE('',*,*,#89485,.T.); #120182=ORIENTED_EDGE('',*,*,#89486,.T.); #120183=ORIENTED_EDGE('',*,*,#89482,.F.); #120184=ORIENTED_EDGE('',*,*,#89487,.F.); #120185=ORIENTED_EDGE('',*,*,#89488,.T.); #120186=ORIENTED_EDGE('',*,*,#89489,.T.); #120187=ORIENTED_EDGE('',*,*,#89485,.F.); #120188=ORIENTED_EDGE('',*,*,#89490,.F.); #120189=ORIENTED_EDGE('',*,*,#89491,.T.); #120190=ORIENTED_EDGE('',*,*,#89492,.T.); #120191=ORIENTED_EDGE('',*,*,#89488,.F.); #120192=ORIENTED_EDGE('',*,*,#89493,.F.); #120193=ORIENTED_EDGE('',*,*,#89494,.T.); #120194=ORIENTED_EDGE('',*,*,#89495,.T.); #120195=ORIENTED_EDGE('',*,*,#89491,.F.); #120196=ORIENTED_EDGE('',*,*,#89496,.F.); #120197=ORIENTED_EDGE('',*,*,#89497,.T.); #120198=ORIENTED_EDGE('',*,*,#89498,.T.); #120199=ORIENTED_EDGE('',*,*,#89494,.F.); #120200=ORIENTED_EDGE('',*,*,#89499,.F.); #120201=ORIENTED_EDGE('',*,*,#89500,.T.); #120202=ORIENTED_EDGE('',*,*,#89501,.T.); #120203=ORIENTED_EDGE('',*,*,#89497,.F.); #120204=ORIENTED_EDGE('',*,*,#89502,.F.); #120205=ORIENTED_EDGE('',*,*,#89503,.T.); #120206=ORIENTED_EDGE('',*,*,#89504,.T.); #120207=ORIENTED_EDGE('',*,*,#89500,.F.); #120208=ORIENTED_EDGE('',*,*,#89505,.F.); #120209=ORIENTED_EDGE('',*,*,#89506,.T.); #120210=ORIENTED_EDGE('',*,*,#89507,.T.); #120211=ORIENTED_EDGE('',*,*,#89503,.F.); #120212=ORIENTED_EDGE('',*,*,#89508,.F.); #120213=ORIENTED_EDGE('',*,*,#89509,.T.); #120214=ORIENTED_EDGE('',*,*,#89510,.T.); #120215=ORIENTED_EDGE('',*,*,#89506,.F.); #120216=ORIENTED_EDGE('',*,*,#89511,.F.); #120217=ORIENTED_EDGE('',*,*,#89512,.T.); #120218=ORIENTED_EDGE('',*,*,#89513,.T.); #120219=ORIENTED_EDGE('',*,*,#89509,.F.); #120220=ORIENTED_EDGE('',*,*,#89514,.F.); #120221=ORIENTED_EDGE('',*,*,#89515,.T.); #120222=ORIENTED_EDGE('',*,*,#89516,.T.); #120223=ORIENTED_EDGE('',*,*,#89512,.F.); #120224=ORIENTED_EDGE('',*,*,#89517,.F.); #120225=ORIENTED_EDGE('',*,*,#89518,.T.); #120226=ORIENTED_EDGE('',*,*,#89519,.T.); #120227=ORIENTED_EDGE('',*,*,#89515,.F.); #120228=ORIENTED_EDGE('',*,*,#89520,.F.); #120229=ORIENTED_EDGE('',*,*,#89521,.T.); #120230=ORIENTED_EDGE('',*,*,#89522,.T.); #120231=ORIENTED_EDGE('',*,*,#89518,.F.); #120232=ORIENTED_EDGE('',*,*,#89523,.F.); #120233=ORIENTED_EDGE('',*,*,#89524,.T.); #120234=ORIENTED_EDGE('',*,*,#89525,.T.); #120235=ORIENTED_EDGE('',*,*,#89521,.F.); #120236=ORIENTED_EDGE('',*,*,#89526,.F.); #120237=ORIENTED_EDGE('',*,*,#89527,.T.); #120238=ORIENTED_EDGE('',*,*,#89528,.T.); #120239=ORIENTED_EDGE('',*,*,#89524,.F.); #120240=ORIENTED_EDGE('',*,*,#89529,.F.); #120241=ORIENTED_EDGE('',*,*,#89530,.T.); #120242=ORIENTED_EDGE('',*,*,#89531,.T.); #120243=ORIENTED_EDGE('',*,*,#89527,.F.); #120244=ORIENTED_EDGE('',*,*,#89532,.F.); #120245=ORIENTED_EDGE('',*,*,#89533,.T.); #120246=ORIENTED_EDGE('',*,*,#89534,.T.); #120247=ORIENTED_EDGE('',*,*,#89530,.F.); #120248=ORIENTED_EDGE('',*,*,#89535,.F.); #120249=ORIENTED_EDGE('',*,*,#89536,.T.); #120250=ORIENTED_EDGE('',*,*,#89537,.T.); #120251=ORIENTED_EDGE('',*,*,#89533,.F.); #120252=ORIENTED_EDGE('',*,*,#89538,.F.); #120253=ORIENTED_EDGE('',*,*,#89539,.T.); #120254=ORIENTED_EDGE('',*,*,#89540,.T.); #120255=ORIENTED_EDGE('',*,*,#89536,.F.); #120256=ORIENTED_EDGE('',*,*,#89541,.F.); #120257=ORIENTED_EDGE('',*,*,#89542,.T.); #120258=ORIENTED_EDGE('',*,*,#89543,.T.); #120259=ORIENTED_EDGE('',*,*,#89539,.F.); #120260=ORIENTED_EDGE('',*,*,#89544,.F.); #120261=ORIENTED_EDGE('',*,*,#89545,.T.); #120262=ORIENTED_EDGE('',*,*,#89546,.T.); #120263=ORIENTED_EDGE('',*,*,#89542,.F.); #120264=ORIENTED_EDGE('',*,*,#89547,.F.); #120265=ORIENTED_EDGE('',*,*,#89548,.T.); #120266=ORIENTED_EDGE('',*,*,#89549,.T.); #120267=ORIENTED_EDGE('',*,*,#89545,.F.); #120268=ORIENTED_EDGE('',*,*,#89550,.F.); #120269=ORIENTED_EDGE('',*,*,#89551,.T.); #120270=ORIENTED_EDGE('',*,*,#89552,.T.); #120271=ORIENTED_EDGE('',*,*,#89548,.F.); #120272=ORIENTED_EDGE('',*,*,#89553,.F.); #120273=ORIENTED_EDGE('',*,*,#89554,.T.); #120274=ORIENTED_EDGE('',*,*,#89555,.T.); #120275=ORIENTED_EDGE('',*,*,#89551,.F.); #120276=ORIENTED_EDGE('',*,*,#89556,.F.); #120277=ORIENTED_EDGE('',*,*,#89557,.T.); #120278=ORIENTED_EDGE('',*,*,#89558,.T.); #120279=ORIENTED_EDGE('',*,*,#89554,.F.); #120280=ORIENTED_EDGE('',*,*,#89559,.F.); #120281=ORIENTED_EDGE('',*,*,#89560,.T.); #120282=ORIENTED_EDGE('',*,*,#89561,.T.); #120283=ORIENTED_EDGE('',*,*,#89557,.F.); #120284=ORIENTED_EDGE('',*,*,#89562,.F.); #120285=ORIENTED_EDGE('',*,*,#89563,.T.); #120286=ORIENTED_EDGE('',*,*,#89564,.T.); #120287=ORIENTED_EDGE('',*,*,#89560,.F.); #120288=ORIENTED_EDGE('',*,*,#89565,.F.); #120289=ORIENTED_EDGE('',*,*,#89566,.T.); #120290=ORIENTED_EDGE('',*,*,#89567,.T.); #120291=ORIENTED_EDGE('',*,*,#89563,.F.); #120292=ORIENTED_EDGE('',*,*,#89568,.F.); #120293=ORIENTED_EDGE('',*,*,#89569,.T.); #120294=ORIENTED_EDGE('',*,*,#89570,.T.); #120295=ORIENTED_EDGE('',*,*,#89566,.F.); #120296=ORIENTED_EDGE('',*,*,#89571,.F.); #120297=ORIENTED_EDGE('',*,*,#89572,.T.); #120298=ORIENTED_EDGE('',*,*,#89573,.T.); #120299=ORIENTED_EDGE('',*,*,#89569,.F.); #120300=ORIENTED_EDGE('',*,*,#89574,.F.); #120301=ORIENTED_EDGE('',*,*,#89575,.T.); #120302=ORIENTED_EDGE('',*,*,#89576,.T.); #120303=ORIENTED_EDGE('',*,*,#89572,.F.); #120304=ORIENTED_EDGE('',*,*,#89577,.F.); #120305=ORIENTED_EDGE('',*,*,#89578,.T.); #120306=ORIENTED_EDGE('',*,*,#89579,.T.); #120307=ORIENTED_EDGE('',*,*,#89575,.F.); #120308=ORIENTED_EDGE('',*,*,#89580,.F.); #120309=ORIENTED_EDGE('',*,*,#89581,.T.); #120310=ORIENTED_EDGE('',*,*,#89582,.T.); #120311=ORIENTED_EDGE('',*,*,#89578,.F.); #120312=ORIENTED_EDGE('',*,*,#89583,.F.); #120313=ORIENTED_EDGE('',*,*,#89584,.T.); #120314=ORIENTED_EDGE('',*,*,#89585,.T.); #120315=ORIENTED_EDGE('',*,*,#89581,.F.); #120316=ORIENTED_EDGE('',*,*,#89586,.F.); #120317=ORIENTED_EDGE('',*,*,#89587,.T.); #120318=ORIENTED_EDGE('',*,*,#89588,.T.); #120319=ORIENTED_EDGE('',*,*,#89584,.F.); #120320=ORIENTED_EDGE('',*,*,#89589,.F.); #120321=ORIENTED_EDGE('',*,*,#89590,.T.); #120322=ORIENTED_EDGE('',*,*,#89591,.T.); #120323=ORIENTED_EDGE('',*,*,#89587,.F.); #120324=ORIENTED_EDGE('',*,*,#89592,.F.); #120325=ORIENTED_EDGE('',*,*,#89593,.T.); #120326=ORIENTED_EDGE('',*,*,#89594,.T.); #120327=ORIENTED_EDGE('',*,*,#89590,.F.); #120328=ORIENTED_EDGE('',*,*,#89595,.F.); #120329=ORIENTED_EDGE('',*,*,#89596,.T.); #120330=ORIENTED_EDGE('',*,*,#89597,.T.); #120331=ORIENTED_EDGE('',*,*,#89593,.F.); #120332=ORIENTED_EDGE('',*,*,#89598,.F.); #120333=ORIENTED_EDGE('',*,*,#89599,.T.); #120334=ORIENTED_EDGE('',*,*,#89600,.T.); #120335=ORIENTED_EDGE('',*,*,#89596,.F.); #120336=ORIENTED_EDGE('',*,*,#89601,.F.); #120337=ORIENTED_EDGE('',*,*,#89602,.T.); #120338=ORIENTED_EDGE('',*,*,#89603,.T.); #120339=ORIENTED_EDGE('',*,*,#89599,.F.); #120340=ORIENTED_EDGE('',*,*,#89604,.F.); #120341=ORIENTED_EDGE('',*,*,#89411,.T.); #120342=ORIENTED_EDGE('',*,*,#89605,.T.); #120343=ORIENTED_EDGE('',*,*,#89602,.F.); #120344=ORIENTED_EDGE('',*,*,#89605,.F.); #120345=ORIENTED_EDGE('',*,*,#89410,.F.); #120346=ORIENTED_EDGE('',*,*,#89414,.F.); #120347=ORIENTED_EDGE('',*,*,#89417,.F.); #120348=ORIENTED_EDGE('',*,*,#89420,.F.); #120349=ORIENTED_EDGE('',*,*,#89423,.F.); #120350=ORIENTED_EDGE('',*,*,#89426,.F.); #120351=ORIENTED_EDGE('',*,*,#89429,.F.); #120352=ORIENTED_EDGE('',*,*,#89432,.F.); #120353=ORIENTED_EDGE('',*,*,#89435,.F.); #120354=ORIENTED_EDGE('',*,*,#89438,.F.); #120355=ORIENTED_EDGE('',*,*,#89441,.F.); #120356=ORIENTED_EDGE('',*,*,#89444,.F.); #120357=ORIENTED_EDGE('',*,*,#89447,.F.); #120358=ORIENTED_EDGE('',*,*,#89450,.F.); #120359=ORIENTED_EDGE('',*,*,#89453,.F.); #120360=ORIENTED_EDGE('',*,*,#89456,.F.); #120361=ORIENTED_EDGE('',*,*,#89459,.F.); #120362=ORIENTED_EDGE('',*,*,#89462,.F.); #120363=ORIENTED_EDGE('',*,*,#89465,.F.); #120364=ORIENTED_EDGE('',*,*,#89468,.F.); #120365=ORIENTED_EDGE('',*,*,#89471,.F.); #120366=ORIENTED_EDGE('',*,*,#89474,.F.); #120367=ORIENTED_EDGE('',*,*,#89477,.F.); #120368=ORIENTED_EDGE('',*,*,#89480,.F.); #120369=ORIENTED_EDGE('',*,*,#89483,.F.); #120370=ORIENTED_EDGE('',*,*,#89486,.F.); #120371=ORIENTED_EDGE('',*,*,#89489,.F.); #120372=ORIENTED_EDGE('',*,*,#89492,.F.); #120373=ORIENTED_EDGE('',*,*,#89495,.F.); #120374=ORIENTED_EDGE('',*,*,#89498,.F.); #120375=ORIENTED_EDGE('',*,*,#89501,.F.); #120376=ORIENTED_EDGE('',*,*,#89504,.F.); #120377=ORIENTED_EDGE('',*,*,#89507,.F.); #120378=ORIENTED_EDGE('',*,*,#89510,.F.); #120379=ORIENTED_EDGE('',*,*,#89513,.F.); #120380=ORIENTED_EDGE('',*,*,#89516,.F.); #120381=ORIENTED_EDGE('',*,*,#89519,.F.); #120382=ORIENTED_EDGE('',*,*,#89522,.F.); #120383=ORIENTED_EDGE('',*,*,#89525,.F.); #120384=ORIENTED_EDGE('',*,*,#89528,.F.); #120385=ORIENTED_EDGE('',*,*,#89531,.F.); #120386=ORIENTED_EDGE('',*,*,#89534,.F.); #120387=ORIENTED_EDGE('',*,*,#89537,.F.); #120388=ORIENTED_EDGE('',*,*,#89540,.F.); #120389=ORIENTED_EDGE('',*,*,#89543,.F.); #120390=ORIENTED_EDGE('',*,*,#89546,.F.); #120391=ORIENTED_EDGE('',*,*,#89549,.F.); #120392=ORIENTED_EDGE('',*,*,#89552,.F.); #120393=ORIENTED_EDGE('',*,*,#89555,.F.); #120394=ORIENTED_EDGE('',*,*,#89558,.F.); #120395=ORIENTED_EDGE('',*,*,#89561,.F.); #120396=ORIENTED_EDGE('',*,*,#89564,.F.); #120397=ORIENTED_EDGE('',*,*,#89567,.F.); #120398=ORIENTED_EDGE('',*,*,#89570,.F.); #120399=ORIENTED_EDGE('',*,*,#89573,.F.); #120400=ORIENTED_EDGE('',*,*,#89576,.F.); #120401=ORIENTED_EDGE('',*,*,#89579,.F.); #120402=ORIENTED_EDGE('',*,*,#89582,.F.); #120403=ORIENTED_EDGE('',*,*,#89585,.F.); #120404=ORIENTED_EDGE('',*,*,#89588,.F.); #120405=ORIENTED_EDGE('',*,*,#89591,.F.); #120406=ORIENTED_EDGE('',*,*,#89594,.F.); #120407=ORIENTED_EDGE('',*,*,#89597,.F.); #120408=ORIENTED_EDGE('',*,*,#89600,.F.); #120409=ORIENTED_EDGE('',*,*,#89603,.F.); #120410=ORIENTED_EDGE('',*,*,#89407,.F.); #120411=ORIENTED_EDGE('',*,*,#89389,.F.); #120412=ORIENTED_EDGE('',*,*,#89393,.F.); #120413=ORIENTED_EDGE('',*,*,#89396,.F.); #120414=ORIENTED_EDGE('',*,*,#89399,.F.); #120415=ORIENTED_EDGE('',*,*,#89402,.F.); #120416=ORIENTED_EDGE('',*,*,#89405,.F.); #120417=ORIENTED_EDGE('',*,*,#89386,.F.); #120418=ORIENTED_EDGE('',*,*,#89365,.F.); #120419=ORIENTED_EDGE('',*,*,#89369,.F.); #120420=ORIENTED_EDGE('',*,*,#89372,.F.); #120421=ORIENTED_EDGE('',*,*,#89375,.F.); #120422=ORIENTED_EDGE('',*,*,#89378,.F.); #120423=ORIENTED_EDGE('',*,*,#89381,.F.); #120424=ORIENTED_EDGE('',*,*,#89384,.F.); #120425=ORIENTED_EDGE('',*,*,#89606,.F.); #120426=ORIENTED_EDGE('',*,*,#89607,.T.); #120427=ORIENTED_EDGE('',*,*,#89608,.T.); #120428=ORIENTED_EDGE('',*,*,#89609,.F.); #120429=ORIENTED_EDGE('',*,*,#89610,.F.); #120430=ORIENTED_EDGE('',*,*,#89611,.T.); #120431=ORIENTED_EDGE('',*,*,#89612,.T.); #120432=ORIENTED_EDGE('',*,*,#89607,.F.); #120433=ORIENTED_EDGE('',*,*,#89613,.F.); #120434=ORIENTED_EDGE('',*,*,#89614,.T.); #120435=ORIENTED_EDGE('',*,*,#89615,.T.); #120436=ORIENTED_EDGE('',*,*,#89611,.F.); #120437=ORIENTED_EDGE('',*,*,#89616,.F.); #120438=ORIENTED_EDGE('',*,*,#89617,.T.); #120439=ORIENTED_EDGE('',*,*,#89618,.T.); #120440=ORIENTED_EDGE('',*,*,#89614,.F.); #120441=ORIENTED_EDGE('',*,*,#89619,.F.); #120442=ORIENTED_EDGE('',*,*,#89620,.T.); #120443=ORIENTED_EDGE('',*,*,#89621,.T.); #120444=ORIENTED_EDGE('',*,*,#89617,.F.); #120445=ORIENTED_EDGE('',*,*,#89622,.F.); #120446=ORIENTED_EDGE('',*,*,#89623,.T.); #120447=ORIENTED_EDGE('',*,*,#89624,.T.); #120448=ORIENTED_EDGE('',*,*,#89620,.F.); #120449=ORIENTED_EDGE('',*,*,#89625,.F.); #120450=ORIENTED_EDGE('',*,*,#89626,.T.); #120451=ORIENTED_EDGE('',*,*,#89627,.T.); #120452=ORIENTED_EDGE('',*,*,#89623,.F.); #120453=ORIENTED_EDGE('',*,*,#89628,.F.); #120454=ORIENTED_EDGE('',*,*,#89629,.T.); #120455=ORIENTED_EDGE('',*,*,#89630,.T.); #120456=ORIENTED_EDGE('',*,*,#89626,.F.); #120457=ORIENTED_EDGE('',*,*,#89631,.F.); #120458=ORIENTED_EDGE('',*,*,#89632,.T.); #120459=ORIENTED_EDGE('',*,*,#89633,.T.); #120460=ORIENTED_EDGE('',*,*,#89629,.F.); #120461=ORIENTED_EDGE('',*,*,#89634,.F.); #120462=ORIENTED_EDGE('',*,*,#89635,.T.); #120463=ORIENTED_EDGE('',*,*,#89636,.T.); #120464=ORIENTED_EDGE('',*,*,#89632,.F.); #120465=ORIENTED_EDGE('',*,*,#89637,.F.); #120466=ORIENTED_EDGE('',*,*,#89638,.T.); #120467=ORIENTED_EDGE('',*,*,#89639,.T.); #120468=ORIENTED_EDGE('',*,*,#89635,.F.); #120469=ORIENTED_EDGE('',*,*,#89640,.F.); #120470=ORIENTED_EDGE('',*,*,#89641,.T.); #120471=ORIENTED_EDGE('',*,*,#89642,.T.); #120472=ORIENTED_EDGE('',*,*,#89638,.F.); #120473=ORIENTED_EDGE('',*,*,#89643,.F.); #120474=ORIENTED_EDGE('',*,*,#89644,.T.); #120475=ORIENTED_EDGE('',*,*,#89645,.T.); #120476=ORIENTED_EDGE('',*,*,#89641,.F.); #120477=ORIENTED_EDGE('',*,*,#89646,.F.); #120478=ORIENTED_EDGE('',*,*,#89647,.T.); #120479=ORIENTED_EDGE('',*,*,#89648,.T.); #120480=ORIENTED_EDGE('',*,*,#89644,.F.); #120481=ORIENTED_EDGE('',*,*,#89649,.F.); #120482=ORIENTED_EDGE('',*,*,#89650,.T.); #120483=ORIENTED_EDGE('',*,*,#89651,.T.); #120484=ORIENTED_EDGE('',*,*,#89647,.F.); #120485=ORIENTED_EDGE('',*,*,#89652,.F.); #120486=ORIENTED_EDGE('',*,*,#89653,.T.); #120487=ORIENTED_EDGE('',*,*,#89654,.T.); #120488=ORIENTED_EDGE('',*,*,#89650,.F.); #120489=ORIENTED_EDGE('',*,*,#89655,.F.); #120490=ORIENTED_EDGE('',*,*,#89656,.T.); #120491=ORIENTED_EDGE('',*,*,#89657,.T.); #120492=ORIENTED_EDGE('',*,*,#89653,.F.); #120493=ORIENTED_EDGE('',*,*,#89658,.F.); #120494=ORIENTED_EDGE('',*,*,#89659,.T.); #120495=ORIENTED_EDGE('',*,*,#89660,.T.); #120496=ORIENTED_EDGE('',*,*,#89656,.F.); #120497=ORIENTED_EDGE('',*,*,#89661,.F.); #120498=ORIENTED_EDGE('',*,*,#89662,.T.); #120499=ORIENTED_EDGE('',*,*,#89663,.T.); #120500=ORIENTED_EDGE('',*,*,#89659,.F.); #120501=ORIENTED_EDGE('',*,*,#89664,.F.); #120502=ORIENTED_EDGE('',*,*,#89665,.T.); #120503=ORIENTED_EDGE('',*,*,#89666,.T.); #120504=ORIENTED_EDGE('',*,*,#89662,.F.); #120505=ORIENTED_EDGE('',*,*,#89667,.F.); #120506=ORIENTED_EDGE('',*,*,#89668,.T.); #120507=ORIENTED_EDGE('',*,*,#89669,.T.); #120508=ORIENTED_EDGE('',*,*,#89665,.F.); #120509=ORIENTED_EDGE('',*,*,#89670,.F.); #120510=ORIENTED_EDGE('',*,*,#89671,.T.); #120511=ORIENTED_EDGE('',*,*,#89672,.T.); #120512=ORIENTED_EDGE('',*,*,#89668,.F.); #120513=ORIENTED_EDGE('',*,*,#89673,.F.); #120514=ORIENTED_EDGE('',*,*,#89674,.T.); #120515=ORIENTED_EDGE('',*,*,#89675,.T.); #120516=ORIENTED_EDGE('',*,*,#89671,.F.); #120517=ORIENTED_EDGE('',*,*,#89676,.F.); #120518=ORIENTED_EDGE('',*,*,#89677,.T.); #120519=ORIENTED_EDGE('',*,*,#89678,.T.); #120520=ORIENTED_EDGE('',*,*,#89674,.F.); #120521=ORIENTED_EDGE('',*,*,#89679,.F.); #120522=ORIENTED_EDGE('',*,*,#89680,.T.); #120523=ORIENTED_EDGE('',*,*,#89681,.T.); #120524=ORIENTED_EDGE('',*,*,#89677,.F.); #120525=ORIENTED_EDGE('',*,*,#89682,.F.); #120526=ORIENTED_EDGE('',*,*,#89683,.T.); #120527=ORIENTED_EDGE('',*,*,#89684,.T.); #120528=ORIENTED_EDGE('',*,*,#89680,.F.); #120529=ORIENTED_EDGE('',*,*,#89685,.F.); #120530=ORIENTED_EDGE('',*,*,#89686,.T.); #120531=ORIENTED_EDGE('',*,*,#89687,.T.); #120532=ORIENTED_EDGE('',*,*,#89683,.F.); #120533=ORIENTED_EDGE('',*,*,#89688,.F.); #120534=ORIENTED_EDGE('',*,*,#89689,.T.); #120535=ORIENTED_EDGE('',*,*,#89690,.T.); #120536=ORIENTED_EDGE('',*,*,#89686,.F.); #120537=ORIENTED_EDGE('',*,*,#89691,.F.); #120538=ORIENTED_EDGE('',*,*,#89692,.T.); #120539=ORIENTED_EDGE('',*,*,#89693,.T.); #120540=ORIENTED_EDGE('',*,*,#89689,.F.); #120541=ORIENTED_EDGE('',*,*,#89694,.F.); #120542=ORIENTED_EDGE('',*,*,#89695,.T.); #120543=ORIENTED_EDGE('',*,*,#89696,.T.); #120544=ORIENTED_EDGE('',*,*,#89692,.F.); #120545=ORIENTED_EDGE('',*,*,#89697,.F.); #120546=ORIENTED_EDGE('',*,*,#89698,.T.); #120547=ORIENTED_EDGE('',*,*,#89699,.T.); #120548=ORIENTED_EDGE('',*,*,#89695,.F.); #120549=ORIENTED_EDGE('',*,*,#89700,.F.); #120550=ORIENTED_EDGE('',*,*,#89701,.T.); #120551=ORIENTED_EDGE('',*,*,#89702,.T.); #120552=ORIENTED_EDGE('',*,*,#89698,.F.); #120553=ORIENTED_EDGE('',*,*,#89703,.F.); #120554=ORIENTED_EDGE('',*,*,#89704,.T.); #120555=ORIENTED_EDGE('',*,*,#89705,.T.); #120556=ORIENTED_EDGE('',*,*,#89701,.F.); #120557=ORIENTED_EDGE('',*,*,#89706,.F.); #120558=ORIENTED_EDGE('',*,*,#89707,.T.); #120559=ORIENTED_EDGE('',*,*,#89708,.T.); #120560=ORIENTED_EDGE('',*,*,#89704,.F.); #120561=ORIENTED_EDGE('',*,*,#89709,.F.); #120562=ORIENTED_EDGE('',*,*,#89710,.T.); #120563=ORIENTED_EDGE('',*,*,#89711,.T.); #120564=ORIENTED_EDGE('',*,*,#89707,.F.); #120565=ORIENTED_EDGE('',*,*,#89712,.F.); #120566=ORIENTED_EDGE('',*,*,#89713,.T.); #120567=ORIENTED_EDGE('',*,*,#89714,.T.); #120568=ORIENTED_EDGE('',*,*,#89710,.F.); #120569=ORIENTED_EDGE('',*,*,#89715,.F.); #120570=ORIENTED_EDGE('',*,*,#89716,.T.); #120571=ORIENTED_EDGE('',*,*,#89717,.T.); #120572=ORIENTED_EDGE('',*,*,#89713,.F.); #120573=ORIENTED_EDGE('',*,*,#89718,.F.); #120574=ORIENTED_EDGE('',*,*,#89719,.T.); #120575=ORIENTED_EDGE('',*,*,#89720,.T.); #120576=ORIENTED_EDGE('',*,*,#89716,.F.); #120577=ORIENTED_EDGE('',*,*,#89721,.F.); #120578=ORIENTED_EDGE('',*,*,#89722,.T.); #120579=ORIENTED_EDGE('',*,*,#89723,.T.); #120580=ORIENTED_EDGE('',*,*,#89719,.F.); #120581=ORIENTED_EDGE('',*,*,#89724,.F.); #120582=ORIENTED_EDGE('',*,*,#89725,.T.); #120583=ORIENTED_EDGE('',*,*,#89726,.T.); #120584=ORIENTED_EDGE('',*,*,#89722,.F.); #120585=ORIENTED_EDGE('',*,*,#89727,.F.); #120586=ORIENTED_EDGE('',*,*,#89728,.T.); #120587=ORIENTED_EDGE('',*,*,#89729,.T.); #120588=ORIENTED_EDGE('',*,*,#89725,.F.); #120589=ORIENTED_EDGE('',*,*,#89730,.F.); #120590=ORIENTED_EDGE('',*,*,#89731,.T.); #120591=ORIENTED_EDGE('',*,*,#89732,.T.); #120592=ORIENTED_EDGE('',*,*,#89728,.F.); #120593=ORIENTED_EDGE('',*,*,#89733,.F.); #120594=ORIENTED_EDGE('',*,*,#89734,.T.); #120595=ORIENTED_EDGE('',*,*,#89735,.T.); #120596=ORIENTED_EDGE('',*,*,#89731,.F.); #120597=ORIENTED_EDGE('',*,*,#89736,.F.); #120598=ORIENTED_EDGE('',*,*,#89737,.T.); #120599=ORIENTED_EDGE('',*,*,#89738,.T.); #120600=ORIENTED_EDGE('',*,*,#89734,.F.); #120601=ORIENTED_EDGE('',*,*,#89739,.F.); #120602=ORIENTED_EDGE('',*,*,#89740,.T.); #120603=ORIENTED_EDGE('',*,*,#89741,.T.); #120604=ORIENTED_EDGE('',*,*,#89737,.F.); #120605=ORIENTED_EDGE('',*,*,#89742,.F.); #120606=ORIENTED_EDGE('',*,*,#89743,.T.); #120607=ORIENTED_EDGE('',*,*,#89744,.T.); #120608=ORIENTED_EDGE('',*,*,#89740,.F.); #120609=ORIENTED_EDGE('',*,*,#89745,.F.); #120610=ORIENTED_EDGE('',*,*,#89746,.T.); #120611=ORIENTED_EDGE('',*,*,#89747,.T.); #120612=ORIENTED_EDGE('',*,*,#89743,.F.); #120613=ORIENTED_EDGE('',*,*,#89748,.F.); #120614=ORIENTED_EDGE('',*,*,#89749,.T.); #120615=ORIENTED_EDGE('',*,*,#89750,.T.); #120616=ORIENTED_EDGE('',*,*,#89746,.F.); #120617=ORIENTED_EDGE('',*,*,#89751,.F.); #120618=ORIENTED_EDGE('',*,*,#89752,.T.); #120619=ORIENTED_EDGE('',*,*,#89753,.T.); #120620=ORIENTED_EDGE('',*,*,#89749,.F.); #120621=ORIENTED_EDGE('',*,*,#89754,.F.); #120622=ORIENTED_EDGE('',*,*,#89755,.T.); #120623=ORIENTED_EDGE('',*,*,#89756,.T.); #120624=ORIENTED_EDGE('',*,*,#89752,.F.); #120625=ORIENTED_EDGE('',*,*,#89757,.F.); #120626=ORIENTED_EDGE('',*,*,#89758,.T.); #120627=ORIENTED_EDGE('',*,*,#89759,.T.); #120628=ORIENTED_EDGE('',*,*,#89755,.F.); #120629=ORIENTED_EDGE('',*,*,#89760,.F.); #120630=ORIENTED_EDGE('',*,*,#89761,.T.); #120631=ORIENTED_EDGE('',*,*,#89762,.T.); #120632=ORIENTED_EDGE('',*,*,#89758,.F.); #120633=ORIENTED_EDGE('',*,*,#89763,.F.); #120634=ORIENTED_EDGE('',*,*,#89764,.T.); #120635=ORIENTED_EDGE('',*,*,#89765,.T.); #120636=ORIENTED_EDGE('',*,*,#89761,.F.); #120637=ORIENTED_EDGE('',*,*,#89766,.F.); #120638=ORIENTED_EDGE('',*,*,#89767,.T.); #120639=ORIENTED_EDGE('',*,*,#89768,.T.); #120640=ORIENTED_EDGE('',*,*,#89764,.F.); #120641=ORIENTED_EDGE('',*,*,#89769,.F.); #120642=ORIENTED_EDGE('',*,*,#89770,.T.); #120643=ORIENTED_EDGE('',*,*,#89771,.T.); #120644=ORIENTED_EDGE('',*,*,#89767,.F.); #120645=ORIENTED_EDGE('',*,*,#89772,.F.); #120646=ORIENTED_EDGE('',*,*,#89773,.T.); #120647=ORIENTED_EDGE('',*,*,#89774,.T.); #120648=ORIENTED_EDGE('',*,*,#89770,.F.); #120649=ORIENTED_EDGE('',*,*,#89775,.F.); #120650=ORIENTED_EDGE('',*,*,#89776,.T.); #120651=ORIENTED_EDGE('',*,*,#89777,.T.); #120652=ORIENTED_EDGE('',*,*,#89773,.F.); #120653=ORIENTED_EDGE('',*,*,#89778,.F.); #120654=ORIENTED_EDGE('',*,*,#89779,.T.); #120655=ORIENTED_EDGE('',*,*,#89780,.T.); #120656=ORIENTED_EDGE('',*,*,#89776,.F.); #120657=ORIENTED_EDGE('',*,*,#89781,.F.); #120658=ORIENTED_EDGE('',*,*,#89782,.T.); #120659=ORIENTED_EDGE('',*,*,#89783,.T.); #120660=ORIENTED_EDGE('',*,*,#89779,.F.); #120661=ORIENTED_EDGE('',*,*,#89784,.F.); #120662=ORIENTED_EDGE('',*,*,#89785,.T.); #120663=ORIENTED_EDGE('',*,*,#89786,.T.); #120664=ORIENTED_EDGE('',*,*,#89782,.F.); #120665=ORIENTED_EDGE('',*,*,#89787,.F.); #120666=ORIENTED_EDGE('',*,*,#89788,.T.); #120667=ORIENTED_EDGE('',*,*,#89789,.T.); #120668=ORIENTED_EDGE('',*,*,#89785,.F.); #120669=ORIENTED_EDGE('',*,*,#89790,.F.); #120670=ORIENTED_EDGE('',*,*,#89791,.T.); #120671=ORIENTED_EDGE('',*,*,#89792,.T.); #120672=ORIENTED_EDGE('',*,*,#89788,.F.); #120673=ORIENTED_EDGE('',*,*,#89793,.F.); #120674=ORIENTED_EDGE('',*,*,#89794,.T.); #120675=ORIENTED_EDGE('',*,*,#89795,.T.); #120676=ORIENTED_EDGE('',*,*,#89791,.F.); #120677=ORIENTED_EDGE('',*,*,#89796,.F.); #120678=ORIENTED_EDGE('',*,*,#89797,.T.); #120679=ORIENTED_EDGE('',*,*,#89798,.T.); #120680=ORIENTED_EDGE('',*,*,#89794,.F.); #120681=ORIENTED_EDGE('',*,*,#89799,.F.); #120682=ORIENTED_EDGE('',*,*,#89800,.T.); #120683=ORIENTED_EDGE('',*,*,#89801,.T.); #120684=ORIENTED_EDGE('',*,*,#89797,.F.); #120685=ORIENTED_EDGE('',*,*,#89802,.F.); #120686=ORIENTED_EDGE('',*,*,#89803,.T.); #120687=ORIENTED_EDGE('',*,*,#89804,.T.); #120688=ORIENTED_EDGE('',*,*,#89800,.F.); #120689=ORIENTED_EDGE('',*,*,#89805,.F.); #120690=ORIENTED_EDGE('',*,*,#89806,.T.); #120691=ORIENTED_EDGE('',*,*,#89807,.T.); #120692=ORIENTED_EDGE('',*,*,#89803,.F.); #120693=ORIENTED_EDGE('',*,*,#89808,.F.); #120694=ORIENTED_EDGE('',*,*,#89809,.T.); #120695=ORIENTED_EDGE('',*,*,#89810,.T.); #120696=ORIENTED_EDGE('',*,*,#89806,.F.); #120697=ORIENTED_EDGE('',*,*,#89811,.F.); #120698=ORIENTED_EDGE('',*,*,#89812,.T.); #120699=ORIENTED_EDGE('',*,*,#89813,.T.); #120700=ORIENTED_EDGE('',*,*,#89809,.F.); #120701=ORIENTED_EDGE('',*,*,#89814,.F.); #120702=ORIENTED_EDGE('',*,*,#89815,.T.); #120703=ORIENTED_EDGE('',*,*,#89816,.T.); #120704=ORIENTED_EDGE('',*,*,#89812,.F.); #120705=ORIENTED_EDGE('',*,*,#89817,.F.); #120706=ORIENTED_EDGE('',*,*,#89818,.T.); #120707=ORIENTED_EDGE('',*,*,#89819,.T.); #120708=ORIENTED_EDGE('',*,*,#89815,.F.); #120709=ORIENTED_EDGE('',*,*,#89820,.F.); #120710=ORIENTED_EDGE('',*,*,#89821,.T.); #120711=ORIENTED_EDGE('',*,*,#89822,.T.); #120712=ORIENTED_EDGE('',*,*,#89818,.F.); #120713=ORIENTED_EDGE('',*,*,#89823,.F.); #120714=ORIENTED_EDGE('',*,*,#89824,.T.); #120715=ORIENTED_EDGE('',*,*,#89825,.T.); #120716=ORIENTED_EDGE('',*,*,#89821,.F.); #120717=ORIENTED_EDGE('',*,*,#89826,.F.); #120718=ORIENTED_EDGE('',*,*,#89827,.T.); #120719=ORIENTED_EDGE('',*,*,#89828,.T.); #120720=ORIENTED_EDGE('',*,*,#89824,.F.); #120721=ORIENTED_EDGE('',*,*,#89829,.F.); #120722=ORIENTED_EDGE('',*,*,#89830,.T.); #120723=ORIENTED_EDGE('',*,*,#89831,.T.); #120724=ORIENTED_EDGE('',*,*,#89827,.F.); #120725=ORIENTED_EDGE('',*,*,#89832,.F.); #120726=ORIENTED_EDGE('',*,*,#89833,.T.); #120727=ORIENTED_EDGE('',*,*,#89834,.T.); #120728=ORIENTED_EDGE('',*,*,#89830,.F.); #120729=ORIENTED_EDGE('',*,*,#89835,.F.); #120730=ORIENTED_EDGE('',*,*,#89836,.T.); #120731=ORIENTED_EDGE('',*,*,#89837,.T.); #120732=ORIENTED_EDGE('',*,*,#89833,.F.); #120733=ORIENTED_EDGE('',*,*,#89838,.F.); #120734=ORIENTED_EDGE('',*,*,#89839,.T.); #120735=ORIENTED_EDGE('',*,*,#89840,.T.); #120736=ORIENTED_EDGE('',*,*,#89836,.F.); #120737=ORIENTED_EDGE('',*,*,#89841,.F.); #120738=ORIENTED_EDGE('',*,*,#89842,.T.); #120739=ORIENTED_EDGE('',*,*,#89843,.T.); #120740=ORIENTED_EDGE('',*,*,#89839,.F.); #120741=ORIENTED_EDGE('',*,*,#89844,.F.); #120742=ORIENTED_EDGE('',*,*,#89845,.T.); #120743=ORIENTED_EDGE('',*,*,#89846,.T.); #120744=ORIENTED_EDGE('',*,*,#89842,.F.); #120745=ORIENTED_EDGE('',*,*,#89847,.F.); #120746=ORIENTED_EDGE('',*,*,#89848,.T.); #120747=ORIENTED_EDGE('',*,*,#89849,.T.); #120748=ORIENTED_EDGE('',*,*,#89845,.F.); #120749=ORIENTED_EDGE('',*,*,#89850,.F.); #120750=ORIENTED_EDGE('',*,*,#89851,.T.); #120751=ORIENTED_EDGE('',*,*,#89852,.T.); #120752=ORIENTED_EDGE('',*,*,#89848,.F.); #120753=ORIENTED_EDGE('',*,*,#89853,.F.); #120754=ORIENTED_EDGE('',*,*,#89854,.T.); #120755=ORIENTED_EDGE('',*,*,#89855,.T.); #120756=ORIENTED_EDGE('',*,*,#89851,.F.); #120757=ORIENTED_EDGE('',*,*,#89856,.F.); #120758=ORIENTED_EDGE('',*,*,#89857,.T.); #120759=ORIENTED_EDGE('',*,*,#89858,.T.); #120760=ORIENTED_EDGE('',*,*,#89854,.F.); #120761=ORIENTED_EDGE('',*,*,#89859,.F.); #120762=ORIENTED_EDGE('',*,*,#89860,.T.); #120763=ORIENTED_EDGE('',*,*,#89861,.T.); #120764=ORIENTED_EDGE('',*,*,#89857,.F.); #120765=ORIENTED_EDGE('',*,*,#89862,.F.); #120766=ORIENTED_EDGE('',*,*,#89863,.T.); #120767=ORIENTED_EDGE('',*,*,#89864,.T.); #120768=ORIENTED_EDGE('',*,*,#89860,.F.); #120769=ORIENTED_EDGE('',*,*,#89865,.F.); #120770=ORIENTED_EDGE('',*,*,#89866,.T.); #120771=ORIENTED_EDGE('',*,*,#89867,.T.); #120772=ORIENTED_EDGE('',*,*,#89863,.F.); #120773=ORIENTED_EDGE('',*,*,#89868,.F.); #120774=ORIENTED_EDGE('',*,*,#89869,.T.); #120775=ORIENTED_EDGE('',*,*,#89870,.T.); #120776=ORIENTED_EDGE('',*,*,#89866,.F.); #120777=ORIENTED_EDGE('',*,*,#89871,.F.); #120778=ORIENTED_EDGE('',*,*,#89872,.T.); #120779=ORIENTED_EDGE('',*,*,#89873,.T.); #120780=ORIENTED_EDGE('',*,*,#89869,.F.); #120781=ORIENTED_EDGE('',*,*,#89874,.F.); #120782=ORIENTED_EDGE('',*,*,#89875,.T.); #120783=ORIENTED_EDGE('',*,*,#89876,.T.); #120784=ORIENTED_EDGE('',*,*,#89872,.F.); #120785=ORIENTED_EDGE('',*,*,#89877,.F.); #120786=ORIENTED_EDGE('',*,*,#89878,.T.); #120787=ORIENTED_EDGE('',*,*,#89879,.T.); #120788=ORIENTED_EDGE('',*,*,#89875,.F.); #120789=ORIENTED_EDGE('',*,*,#89880,.F.); #120790=ORIENTED_EDGE('',*,*,#89881,.T.); #120791=ORIENTED_EDGE('',*,*,#89882,.T.); #120792=ORIENTED_EDGE('',*,*,#89878,.F.); #120793=ORIENTED_EDGE('',*,*,#89883,.F.); #120794=ORIENTED_EDGE('',*,*,#89884,.T.); #120795=ORIENTED_EDGE('',*,*,#89885,.T.); #120796=ORIENTED_EDGE('',*,*,#89881,.F.); #120797=ORIENTED_EDGE('',*,*,#89886,.F.); #120798=ORIENTED_EDGE('',*,*,#89887,.T.); #120799=ORIENTED_EDGE('',*,*,#89888,.T.); #120800=ORIENTED_EDGE('',*,*,#89884,.F.); #120801=ORIENTED_EDGE('',*,*,#89889,.F.); #120802=ORIENTED_EDGE('',*,*,#89890,.T.); #120803=ORIENTED_EDGE('',*,*,#89891,.T.); #120804=ORIENTED_EDGE('',*,*,#89887,.F.); #120805=ORIENTED_EDGE('',*,*,#89892,.F.); #120806=ORIENTED_EDGE('',*,*,#89893,.T.); #120807=ORIENTED_EDGE('',*,*,#89894,.T.); #120808=ORIENTED_EDGE('',*,*,#89890,.F.); #120809=ORIENTED_EDGE('',*,*,#89895,.F.); #120810=ORIENTED_EDGE('',*,*,#89896,.T.); #120811=ORIENTED_EDGE('',*,*,#89897,.T.); #120812=ORIENTED_EDGE('',*,*,#89893,.F.); #120813=ORIENTED_EDGE('',*,*,#89898,.F.); #120814=ORIENTED_EDGE('',*,*,#89899,.T.); #120815=ORIENTED_EDGE('',*,*,#89900,.T.); #120816=ORIENTED_EDGE('',*,*,#89896,.F.); #120817=ORIENTED_EDGE('',*,*,#89901,.F.); #120818=ORIENTED_EDGE('',*,*,#89902,.T.); #120819=ORIENTED_EDGE('',*,*,#89903,.T.); #120820=ORIENTED_EDGE('',*,*,#89899,.F.); #120821=ORIENTED_EDGE('',*,*,#89904,.F.); #120822=ORIENTED_EDGE('',*,*,#89905,.T.); #120823=ORIENTED_EDGE('',*,*,#89906,.T.); #120824=ORIENTED_EDGE('',*,*,#89902,.F.); #120825=ORIENTED_EDGE('',*,*,#89907,.F.); #120826=ORIENTED_EDGE('',*,*,#89908,.T.); #120827=ORIENTED_EDGE('',*,*,#89909,.T.); #120828=ORIENTED_EDGE('',*,*,#89905,.F.); #120829=ORIENTED_EDGE('',*,*,#89910,.F.); #120830=ORIENTED_EDGE('',*,*,#89911,.T.); #120831=ORIENTED_EDGE('',*,*,#89912,.T.); #120832=ORIENTED_EDGE('',*,*,#89908,.F.); #120833=ORIENTED_EDGE('',*,*,#89913,.F.); #120834=ORIENTED_EDGE('',*,*,#89914,.T.); #120835=ORIENTED_EDGE('',*,*,#89915,.T.); #120836=ORIENTED_EDGE('',*,*,#89911,.F.); #120837=ORIENTED_EDGE('',*,*,#89916,.F.); #120838=ORIENTED_EDGE('',*,*,#89917,.T.); #120839=ORIENTED_EDGE('',*,*,#89918,.T.); #120840=ORIENTED_EDGE('',*,*,#89914,.F.); #120841=ORIENTED_EDGE('',*,*,#89919,.F.); #120842=ORIENTED_EDGE('',*,*,#89920,.T.); #120843=ORIENTED_EDGE('',*,*,#89921,.T.); #120844=ORIENTED_EDGE('',*,*,#89917,.F.); #120845=ORIENTED_EDGE('',*,*,#89922,.F.); #120846=ORIENTED_EDGE('',*,*,#89923,.T.); #120847=ORIENTED_EDGE('',*,*,#89924,.T.); #120848=ORIENTED_EDGE('',*,*,#89920,.F.); #120849=ORIENTED_EDGE('',*,*,#89925,.F.); #120850=ORIENTED_EDGE('',*,*,#89926,.T.); #120851=ORIENTED_EDGE('',*,*,#89927,.T.); #120852=ORIENTED_EDGE('',*,*,#89923,.F.); #120853=ORIENTED_EDGE('',*,*,#89928,.F.); #120854=ORIENTED_EDGE('',*,*,#89929,.T.); #120855=ORIENTED_EDGE('',*,*,#89930,.T.); #120856=ORIENTED_EDGE('',*,*,#89926,.F.); #120857=ORIENTED_EDGE('',*,*,#89931,.F.); #120858=ORIENTED_EDGE('',*,*,#89932,.T.); #120859=ORIENTED_EDGE('',*,*,#89933,.T.); #120860=ORIENTED_EDGE('',*,*,#89929,.F.); #120861=ORIENTED_EDGE('',*,*,#89934,.F.); #120862=ORIENTED_EDGE('',*,*,#89935,.T.); #120863=ORIENTED_EDGE('',*,*,#89936,.T.); #120864=ORIENTED_EDGE('',*,*,#89932,.F.); #120865=ORIENTED_EDGE('',*,*,#89937,.F.); #120866=ORIENTED_EDGE('',*,*,#89938,.T.); #120867=ORIENTED_EDGE('',*,*,#89939,.T.); #120868=ORIENTED_EDGE('',*,*,#89935,.F.); #120869=ORIENTED_EDGE('',*,*,#89940,.F.); #120870=ORIENTED_EDGE('',*,*,#89941,.T.); #120871=ORIENTED_EDGE('',*,*,#89942,.T.); #120872=ORIENTED_EDGE('',*,*,#89938,.F.); #120873=ORIENTED_EDGE('',*,*,#89943,.F.); #120874=ORIENTED_EDGE('',*,*,#89944,.T.); #120875=ORIENTED_EDGE('',*,*,#89945,.T.); #120876=ORIENTED_EDGE('',*,*,#89941,.F.); #120877=ORIENTED_EDGE('',*,*,#89946,.F.); #120878=ORIENTED_EDGE('',*,*,#89947,.T.); #120879=ORIENTED_EDGE('',*,*,#89948,.T.); #120880=ORIENTED_EDGE('',*,*,#89944,.F.); #120881=ORIENTED_EDGE('',*,*,#89949,.F.); #120882=ORIENTED_EDGE('',*,*,#89950,.T.); #120883=ORIENTED_EDGE('',*,*,#89951,.T.); #120884=ORIENTED_EDGE('',*,*,#89947,.F.); #120885=ORIENTED_EDGE('',*,*,#89952,.F.); #120886=ORIENTED_EDGE('',*,*,#89953,.T.); #120887=ORIENTED_EDGE('',*,*,#89954,.T.); #120888=ORIENTED_EDGE('',*,*,#89950,.F.); #120889=ORIENTED_EDGE('',*,*,#89955,.F.); #120890=ORIENTED_EDGE('',*,*,#89956,.T.); #120891=ORIENTED_EDGE('',*,*,#89957,.T.); #120892=ORIENTED_EDGE('',*,*,#89953,.F.); #120893=ORIENTED_EDGE('',*,*,#89958,.F.); #120894=ORIENTED_EDGE('',*,*,#89959,.T.); #120895=ORIENTED_EDGE('',*,*,#89960,.T.); #120896=ORIENTED_EDGE('',*,*,#89956,.F.); #120897=ORIENTED_EDGE('',*,*,#89961,.F.); #120898=ORIENTED_EDGE('',*,*,#89962,.T.); #120899=ORIENTED_EDGE('',*,*,#89963,.T.); #120900=ORIENTED_EDGE('',*,*,#89959,.F.); #120901=ORIENTED_EDGE('',*,*,#89964,.F.); #120902=ORIENTED_EDGE('',*,*,#89965,.T.); #120903=ORIENTED_EDGE('',*,*,#89966,.T.); #120904=ORIENTED_EDGE('',*,*,#89962,.F.); #120905=ORIENTED_EDGE('',*,*,#89967,.F.); #120906=ORIENTED_EDGE('',*,*,#89968,.T.); #120907=ORIENTED_EDGE('',*,*,#89969,.T.); #120908=ORIENTED_EDGE('',*,*,#89965,.F.); #120909=ORIENTED_EDGE('',*,*,#89970,.F.); #120910=ORIENTED_EDGE('',*,*,#89971,.T.); #120911=ORIENTED_EDGE('',*,*,#89972,.T.); #120912=ORIENTED_EDGE('',*,*,#89968,.F.); #120913=ORIENTED_EDGE('',*,*,#89973,.F.); #120914=ORIENTED_EDGE('',*,*,#89974,.T.); #120915=ORIENTED_EDGE('',*,*,#89975,.T.); #120916=ORIENTED_EDGE('',*,*,#89971,.F.); #120917=ORIENTED_EDGE('',*,*,#89976,.F.); #120918=ORIENTED_EDGE('',*,*,#89977,.T.); #120919=ORIENTED_EDGE('',*,*,#89978,.T.); #120920=ORIENTED_EDGE('',*,*,#89974,.F.); #120921=ORIENTED_EDGE('',*,*,#89979,.F.); #120922=ORIENTED_EDGE('',*,*,#89980,.T.); #120923=ORIENTED_EDGE('',*,*,#89981,.T.); #120924=ORIENTED_EDGE('',*,*,#89977,.F.); #120925=ORIENTED_EDGE('',*,*,#89982,.F.); #120926=ORIENTED_EDGE('',*,*,#89983,.T.); #120927=ORIENTED_EDGE('',*,*,#89984,.T.); #120928=ORIENTED_EDGE('',*,*,#89980,.F.); #120929=ORIENTED_EDGE('',*,*,#89985,.F.); #120930=ORIENTED_EDGE('',*,*,#89986,.T.); #120931=ORIENTED_EDGE('',*,*,#89987,.T.); #120932=ORIENTED_EDGE('',*,*,#89983,.F.); #120933=ORIENTED_EDGE('',*,*,#89988,.F.); #120934=ORIENTED_EDGE('',*,*,#89989,.T.); #120935=ORIENTED_EDGE('',*,*,#89990,.T.); #120936=ORIENTED_EDGE('',*,*,#89986,.F.); #120937=ORIENTED_EDGE('',*,*,#89991,.F.); #120938=ORIENTED_EDGE('',*,*,#89992,.T.); #120939=ORIENTED_EDGE('',*,*,#89993,.T.); #120940=ORIENTED_EDGE('',*,*,#89989,.F.); #120941=ORIENTED_EDGE('',*,*,#89994,.F.); #120942=ORIENTED_EDGE('',*,*,#89995,.T.); #120943=ORIENTED_EDGE('',*,*,#89996,.T.); #120944=ORIENTED_EDGE('',*,*,#89992,.F.); #120945=ORIENTED_EDGE('',*,*,#89997,.F.); #120946=ORIENTED_EDGE('',*,*,#89998,.T.); #120947=ORIENTED_EDGE('',*,*,#89999,.T.); #120948=ORIENTED_EDGE('',*,*,#89995,.F.); #120949=ORIENTED_EDGE('',*,*,#90000,.F.); #120950=ORIENTED_EDGE('',*,*,#90001,.T.); #120951=ORIENTED_EDGE('',*,*,#90002,.T.); #120952=ORIENTED_EDGE('',*,*,#89998,.F.); #120953=ORIENTED_EDGE('',*,*,#90003,.F.); #120954=ORIENTED_EDGE('',*,*,#90004,.T.); #120955=ORIENTED_EDGE('',*,*,#90005,.T.); #120956=ORIENTED_EDGE('',*,*,#90001,.F.); #120957=ORIENTED_EDGE('',*,*,#90006,.F.); #120958=ORIENTED_EDGE('',*,*,#90007,.T.); #120959=ORIENTED_EDGE('',*,*,#90008,.T.); #120960=ORIENTED_EDGE('',*,*,#90004,.F.); #120961=ORIENTED_EDGE('',*,*,#90009,.F.); #120962=ORIENTED_EDGE('',*,*,#90010,.T.); #120963=ORIENTED_EDGE('',*,*,#90011,.T.); #120964=ORIENTED_EDGE('',*,*,#90007,.F.); #120965=ORIENTED_EDGE('',*,*,#90012,.F.); #120966=ORIENTED_EDGE('',*,*,#90013,.T.); #120967=ORIENTED_EDGE('',*,*,#90014,.T.); #120968=ORIENTED_EDGE('',*,*,#90010,.F.); #120969=ORIENTED_EDGE('',*,*,#90015,.F.); #120970=ORIENTED_EDGE('',*,*,#90016,.T.); #120971=ORIENTED_EDGE('',*,*,#90017,.T.); #120972=ORIENTED_EDGE('',*,*,#90013,.F.); #120973=ORIENTED_EDGE('',*,*,#90018,.F.); #120974=ORIENTED_EDGE('',*,*,#90019,.T.); #120975=ORIENTED_EDGE('',*,*,#90020,.T.); #120976=ORIENTED_EDGE('',*,*,#90016,.F.); #120977=ORIENTED_EDGE('',*,*,#90021,.F.); #120978=ORIENTED_EDGE('',*,*,#90022,.T.); #120979=ORIENTED_EDGE('',*,*,#90023,.T.); #120980=ORIENTED_EDGE('',*,*,#90019,.F.); #120981=ORIENTED_EDGE('',*,*,#90024,.F.); #120982=ORIENTED_EDGE('',*,*,#90025,.T.); #120983=ORIENTED_EDGE('',*,*,#90026,.T.); #120984=ORIENTED_EDGE('',*,*,#90022,.F.); #120985=ORIENTED_EDGE('',*,*,#90027,.F.); #120986=ORIENTED_EDGE('',*,*,#90028,.T.); #120987=ORIENTED_EDGE('',*,*,#90029,.T.); #120988=ORIENTED_EDGE('',*,*,#90025,.F.); #120989=ORIENTED_EDGE('',*,*,#90030,.F.); #120990=ORIENTED_EDGE('',*,*,#90031,.T.); #120991=ORIENTED_EDGE('',*,*,#90032,.T.); #120992=ORIENTED_EDGE('',*,*,#90028,.F.); #120993=ORIENTED_EDGE('',*,*,#90033,.F.); #120994=ORIENTED_EDGE('',*,*,#90034,.T.); #120995=ORIENTED_EDGE('',*,*,#90035,.T.); #120996=ORIENTED_EDGE('',*,*,#90031,.F.); #120997=ORIENTED_EDGE('',*,*,#90036,.F.); #120998=ORIENTED_EDGE('',*,*,#90037,.T.); #120999=ORIENTED_EDGE('',*,*,#90038,.T.); #121000=ORIENTED_EDGE('',*,*,#90034,.F.); #121001=ORIENTED_EDGE('',*,*,#90039,.F.); #121002=ORIENTED_EDGE('',*,*,#90040,.T.); #121003=ORIENTED_EDGE('',*,*,#90041,.T.); #121004=ORIENTED_EDGE('',*,*,#90037,.F.); #121005=ORIENTED_EDGE('',*,*,#90042,.F.); #121006=ORIENTED_EDGE('',*,*,#90043,.T.); #121007=ORIENTED_EDGE('',*,*,#90044,.T.); #121008=ORIENTED_EDGE('',*,*,#90040,.F.); #121009=ORIENTED_EDGE('',*,*,#90045,.F.); #121010=ORIENTED_EDGE('',*,*,#90046,.T.); #121011=ORIENTED_EDGE('',*,*,#90047,.T.); #121012=ORIENTED_EDGE('',*,*,#90043,.F.); #121013=ORIENTED_EDGE('',*,*,#90048,.F.); #121014=ORIENTED_EDGE('',*,*,#90049,.T.); #121015=ORIENTED_EDGE('',*,*,#90050,.T.); #121016=ORIENTED_EDGE('',*,*,#90046,.F.); #121017=ORIENTED_EDGE('',*,*,#90051,.F.); #121018=ORIENTED_EDGE('',*,*,#90052,.T.); #121019=ORIENTED_EDGE('',*,*,#90053,.T.); #121020=ORIENTED_EDGE('',*,*,#90049,.F.); #121021=ORIENTED_EDGE('',*,*,#90054,.F.); #121022=ORIENTED_EDGE('',*,*,#90055,.T.); #121023=ORIENTED_EDGE('',*,*,#90056,.T.); #121024=ORIENTED_EDGE('',*,*,#90052,.F.); #121025=ORIENTED_EDGE('',*,*,#90057,.F.); #121026=ORIENTED_EDGE('',*,*,#90058,.T.); #121027=ORIENTED_EDGE('',*,*,#90059,.T.); #121028=ORIENTED_EDGE('',*,*,#90055,.F.); #121029=ORIENTED_EDGE('',*,*,#90060,.F.); #121030=ORIENTED_EDGE('',*,*,#90061,.T.); #121031=ORIENTED_EDGE('',*,*,#90062,.T.); #121032=ORIENTED_EDGE('',*,*,#90058,.F.); #121033=ORIENTED_EDGE('',*,*,#90063,.F.); #121034=ORIENTED_EDGE('',*,*,#90064,.T.); #121035=ORIENTED_EDGE('',*,*,#90065,.T.); #121036=ORIENTED_EDGE('',*,*,#90061,.F.); #121037=ORIENTED_EDGE('',*,*,#90066,.F.); #121038=ORIENTED_EDGE('',*,*,#90067,.T.); #121039=ORIENTED_EDGE('',*,*,#90068,.T.); #121040=ORIENTED_EDGE('',*,*,#90064,.F.); #121041=ORIENTED_EDGE('',*,*,#90069,.F.); #121042=ORIENTED_EDGE('',*,*,#90070,.T.); #121043=ORIENTED_EDGE('',*,*,#90071,.T.); #121044=ORIENTED_EDGE('',*,*,#90067,.F.); #121045=ORIENTED_EDGE('',*,*,#90072,.F.); #121046=ORIENTED_EDGE('',*,*,#90073,.T.); #121047=ORIENTED_EDGE('',*,*,#90074,.T.); #121048=ORIENTED_EDGE('',*,*,#90070,.F.); #121049=ORIENTED_EDGE('',*,*,#90075,.F.); #121050=ORIENTED_EDGE('',*,*,#90076,.T.); #121051=ORIENTED_EDGE('',*,*,#90077,.T.); #121052=ORIENTED_EDGE('',*,*,#90073,.F.); #121053=ORIENTED_EDGE('',*,*,#90078,.F.); #121054=ORIENTED_EDGE('',*,*,#90079,.T.); #121055=ORIENTED_EDGE('',*,*,#90080,.T.); #121056=ORIENTED_EDGE('',*,*,#90076,.F.); #121057=ORIENTED_EDGE('',*,*,#90081,.F.); #121058=ORIENTED_EDGE('',*,*,#90082,.T.); #121059=ORIENTED_EDGE('',*,*,#90083,.T.); #121060=ORIENTED_EDGE('',*,*,#90079,.F.); #121061=ORIENTED_EDGE('',*,*,#90084,.F.); #121062=ORIENTED_EDGE('',*,*,#90085,.T.); #121063=ORIENTED_EDGE('',*,*,#90086,.T.); #121064=ORIENTED_EDGE('',*,*,#90082,.F.); #121065=ORIENTED_EDGE('',*,*,#90087,.F.); #121066=ORIENTED_EDGE('',*,*,#90088,.T.); #121067=ORIENTED_EDGE('',*,*,#90089,.T.); #121068=ORIENTED_EDGE('',*,*,#90085,.F.); #121069=ORIENTED_EDGE('',*,*,#90090,.F.); #121070=ORIENTED_EDGE('',*,*,#90091,.T.); #121071=ORIENTED_EDGE('',*,*,#90092,.T.); #121072=ORIENTED_EDGE('',*,*,#90088,.F.); #121073=ORIENTED_EDGE('',*,*,#90093,.F.); #121074=ORIENTED_EDGE('',*,*,#90094,.T.); #121075=ORIENTED_EDGE('',*,*,#90095,.T.); #121076=ORIENTED_EDGE('',*,*,#90091,.F.); #121077=ORIENTED_EDGE('',*,*,#90096,.F.); #121078=ORIENTED_EDGE('',*,*,#90097,.T.); #121079=ORIENTED_EDGE('',*,*,#90098,.T.); #121080=ORIENTED_EDGE('',*,*,#90094,.F.); #121081=ORIENTED_EDGE('',*,*,#90099,.F.); #121082=ORIENTED_EDGE('',*,*,#90100,.T.); #121083=ORIENTED_EDGE('',*,*,#90101,.T.); #121084=ORIENTED_EDGE('',*,*,#90097,.F.); #121085=ORIENTED_EDGE('',*,*,#90102,.F.); #121086=ORIENTED_EDGE('',*,*,#90103,.T.); #121087=ORIENTED_EDGE('',*,*,#90104,.T.); #121088=ORIENTED_EDGE('',*,*,#90100,.F.); #121089=ORIENTED_EDGE('',*,*,#90105,.F.); #121090=ORIENTED_EDGE('',*,*,#90106,.T.); #121091=ORIENTED_EDGE('',*,*,#90107,.T.); #121092=ORIENTED_EDGE('',*,*,#90103,.F.); #121093=ORIENTED_EDGE('',*,*,#90108,.F.); #121094=ORIENTED_EDGE('',*,*,#90109,.T.); #121095=ORIENTED_EDGE('',*,*,#90110,.T.); #121096=ORIENTED_EDGE('',*,*,#90106,.F.); #121097=ORIENTED_EDGE('',*,*,#90111,.F.); #121098=ORIENTED_EDGE('',*,*,#90112,.T.); #121099=ORIENTED_EDGE('',*,*,#90113,.T.); #121100=ORIENTED_EDGE('',*,*,#90109,.F.); #121101=ORIENTED_EDGE('',*,*,#90114,.F.); #121102=ORIENTED_EDGE('',*,*,#90115,.T.); #121103=ORIENTED_EDGE('',*,*,#90116,.T.); #121104=ORIENTED_EDGE('',*,*,#90112,.F.); #121105=ORIENTED_EDGE('',*,*,#90117,.F.); #121106=ORIENTED_EDGE('',*,*,#90118,.T.); #121107=ORIENTED_EDGE('',*,*,#90119,.T.); #121108=ORIENTED_EDGE('',*,*,#90115,.F.); #121109=ORIENTED_EDGE('',*,*,#90120,.F.); #121110=ORIENTED_EDGE('',*,*,#90121,.T.); #121111=ORIENTED_EDGE('',*,*,#90122,.T.); #121112=ORIENTED_EDGE('',*,*,#90118,.F.); #121113=ORIENTED_EDGE('',*,*,#90123,.F.); #121114=ORIENTED_EDGE('',*,*,#90124,.T.); #121115=ORIENTED_EDGE('',*,*,#90125,.T.); #121116=ORIENTED_EDGE('',*,*,#90121,.F.); #121117=ORIENTED_EDGE('',*,*,#90126,.F.); #121118=ORIENTED_EDGE('',*,*,#90127,.T.); #121119=ORIENTED_EDGE('',*,*,#90128,.T.); #121120=ORIENTED_EDGE('',*,*,#90124,.F.); #121121=ORIENTED_EDGE('',*,*,#90129,.F.); #121122=ORIENTED_EDGE('',*,*,#90130,.T.); #121123=ORIENTED_EDGE('',*,*,#90131,.T.); #121124=ORIENTED_EDGE('',*,*,#90127,.F.); #121125=ORIENTED_EDGE('',*,*,#90132,.F.); #121126=ORIENTED_EDGE('',*,*,#90133,.T.); #121127=ORIENTED_EDGE('',*,*,#90134,.T.); #121128=ORIENTED_EDGE('',*,*,#90130,.F.); #121129=ORIENTED_EDGE('',*,*,#90135,.F.); #121130=ORIENTED_EDGE('',*,*,#90136,.T.); #121131=ORIENTED_EDGE('',*,*,#90137,.T.); #121132=ORIENTED_EDGE('',*,*,#90133,.F.); #121133=ORIENTED_EDGE('',*,*,#90138,.F.); #121134=ORIENTED_EDGE('',*,*,#90139,.T.); #121135=ORIENTED_EDGE('',*,*,#90140,.T.); #121136=ORIENTED_EDGE('',*,*,#90136,.F.); #121137=ORIENTED_EDGE('',*,*,#90141,.F.); #121138=ORIENTED_EDGE('',*,*,#89609,.T.); #121139=ORIENTED_EDGE('',*,*,#90142,.T.); #121140=ORIENTED_EDGE('',*,*,#90139,.F.); #121141=ORIENTED_EDGE('',*,*,#90142,.F.); #121142=ORIENTED_EDGE('',*,*,#89608,.F.); #121143=ORIENTED_EDGE('',*,*,#89612,.F.); #121144=ORIENTED_EDGE('',*,*,#89615,.F.); #121145=ORIENTED_EDGE('',*,*,#89618,.F.); #121146=ORIENTED_EDGE('',*,*,#89621,.F.); #121147=ORIENTED_EDGE('',*,*,#89624,.F.); #121148=ORIENTED_EDGE('',*,*,#89627,.F.); #121149=ORIENTED_EDGE('',*,*,#89630,.F.); #121150=ORIENTED_EDGE('',*,*,#89633,.F.); #121151=ORIENTED_EDGE('',*,*,#89636,.F.); #121152=ORIENTED_EDGE('',*,*,#89639,.F.); #121153=ORIENTED_EDGE('',*,*,#89642,.F.); #121154=ORIENTED_EDGE('',*,*,#89645,.F.); #121155=ORIENTED_EDGE('',*,*,#89648,.F.); #121156=ORIENTED_EDGE('',*,*,#89651,.F.); #121157=ORIENTED_EDGE('',*,*,#89654,.F.); #121158=ORIENTED_EDGE('',*,*,#89657,.F.); #121159=ORIENTED_EDGE('',*,*,#89660,.F.); #121160=ORIENTED_EDGE('',*,*,#89663,.F.); #121161=ORIENTED_EDGE('',*,*,#89666,.F.); #121162=ORIENTED_EDGE('',*,*,#89669,.F.); #121163=ORIENTED_EDGE('',*,*,#89672,.F.); #121164=ORIENTED_EDGE('',*,*,#89675,.F.); #121165=ORIENTED_EDGE('',*,*,#89678,.F.); #121166=ORIENTED_EDGE('',*,*,#89681,.F.); #121167=ORIENTED_EDGE('',*,*,#89684,.F.); #121168=ORIENTED_EDGE('',*,*,#89687,.F.); #121169=ORIENTED_EDGE('',*,*,#89690,.F.); #121170=ORIENTED_EDGE('',*,*,#89693,.F.); #121171=ORIENTED_EDGE('',*,*,#89696,.F.); #121172=ORIENTED_EDGE('',*,*,#89699,.F.); #121173=ORIENTED_EDGE('',*,*,#89702,.F.); #121174=ORIENTED_EDGE('',*,*,#89705,.F.); #121175=ORIENTED_EDGE('',*,*,#89708,.F.); #121176=ORIENTED_EDGE('',*,*,#89711,.F.); #121177=ORIENTED_EDGE('',*,*,#89714,.F.); #121178=ORIENTED_EDGE('',*,*,#89717,.F.); #121179=ORIENTED_EDGE('',*,*,#89720,.F.); #121180=ORIENTED_EDGE('',*,*,#89723,.F.); #121181=ORIENTED_EDGE('',*,*,#89726,.F.); #121182=ORIENTED_EDGE('',*,*,#89729,.F.); #121183=ORIENTED_EDGE('',*,*,#89732,.F.); #121184=ORIENTED_EDGE('',*,*,#89735,.F.); #121185=ORIENTED_EDGE('',*,*,#89738,.F.); #121186=ORIENTED_EDGE('',*,*,#89741,.F.); #121187=ORIENTED_EDGE('',*,*,#89744,.F.); #121188=ORIENTED_EDGE('',*,*,#89747,.F.); #121189=ORIENTED_EDGE('',*,*,#89750,.F.); #121190=ORIENTED_EDGE('',*,*,#89753,.F.); #121191=ORIENTED_EDGE('',*,*,#89756,.F.); #121192=ORIENTED_EDGE('',*,*,#89759,.F.); #121193=ORIENTED_EDGE('',*,*,#89762,.F.); #121194=ORIENTED_EDGE('',*,*,#89765,.F.); #121195=ORIENTED_EDGE('',*,*,#89768,.F.); #121196=ORIENTED_EDGE('',*,*,#89771,.F.); #121197=ORIENTED_EDGE('',*,*,#89774,.F.); #121198=ORIENTED_EDGE('',*,*,#89777,.F.); #121199=ORIENTED_EDGE('',*,*,#89780,.F.); #121200=ORIENTED_EDGE('',*,*,#89783,.F.); #121201=ORIENTED_EDGE('',*,*,#89786,.F.); #121202=ORIENTED_EDGE('',*,*,#89789,.F.); #121203=ORIENTED_EDGE('',*,*,#89792,.F.); #121204=ORIENTED_EDGE('',*,*,#89795,.F.); #121205=ORIENTED_EDGE('',*,*,#89798,.F.); #121206=ORIENTED_EDGE('',*,*,#89801,.F.); #121207=ORIENTED_EDGE('',*,*,#89804,.F.); #121208=ORIENTED_EDGE('',*,*,#89807,.F.); #121209=ORIENTED_EDGE('',*,*,#89810,.F.); #121210=ORIENTED_EDGE('',*,*,#89813,.F.); #121211=ORIENTED_EDGE('',*,*,#89816,.F.); #121212=ORIENTED_EDGE('',*,*,#89819,.F.); #121213=ORIENTED_EDGE('',*,*,#89822,.F.); #121214=ORIENTED_EDGE('',*,*,#89825,.F.); #121215=ORIENTED_EDGE('',*,*,#89828,.F.); #121216=ORIENTED_EDGE('',*,*,#89831,.F.); #121217=ORIENTED_EDGE('',*,*,#89834,.F.); #121218=ORIENTED_EDGE('',*,*,#89837,.F.); #121219=ORIENTED_EDGE('',*,*,#89840,.F.); #121220=ORIENTED_EDGE('',*,*,#89843,.F.); #121221=ORIENTED_EDGE('',*,*,#89846,.F.); #121222=ORIENTED_EDGE('',*,*,#89849,.F.); #121223=ORIENTED_EDGE('',*,*,#89852,.F.); #121224=ORIENTED_EDGE('',*,*,#89855,.F.); #121225=ORIENTED_EDGE('',*,*,#89858,.F.); #121226=ORIENTED_EDGE('',*,*,#89861,.F.); #121227=ORIENTED_EDGE('',*,*,#89864,.F.); #121228=ORIENTED_EDGE('',*,*,#89867,.F.); #121229=ORIENTED_EDGE('',*,*,#89870,.F.); #121230=ORIENTED_EDGE('',*,*,#89873,.F.); #121231=ORIENTED_EDGE('',*,*,#89876,.F.); #121232=ORIENTED_EDGE('',*,*,#89879,.F.); #121233=ORIENTED_EDGE('',*,*,#89882,.F.); #121234=ORIENTED_EDGE('',*,*,#89885,.F.); #121235=ORIENTED_EDGE('',*,*,#89888,.F.); #121236=ORIENTED_EDGE('',*,*,#89891,.F.); #121237=ORIENTED_EDGE('',*,*,#89894,.F.); #121238=ORIENTED_EDGE('',*,*,#89897,.F.); #121239=ORIENTED_EDGE('',*,*,#89900,.F.); #121240=ORIENTED_EDGE('',*,*,#89903,.F.); #121241=ORIENTED_EDGE('',*,*,#89906,.F.); #121242=ORIENTED_EDGE('',*,*,#89909,.F.); #121243=ORIENTED_EDGE('',*,*,#89912,.F.); #121244=ORIENTED_EDGE('',*,*,#89915,.F.); #121245=ORIENTED_EDGE('',*,*,#89918,.F.); #121246=ORIENTED_EDGE('',*,*,#89921,.F.); #121247=ORIENTED_EDGE('',*,*,#89924,.F.); #121248=ORIENTED_EDGE('',*,*,#89927,.F.); #121249=ORIENTED_EDGE('',*,*,#89930,.F.); #121250=ORIENTED_EDGE('',*,*,#89933,.F.); #121251=ORIENTED_EDGE('',*,*,#89936,.F.); #121252=ORIENTED_EDGE('',*,*,#89939,.F.); #121253=ORIENTED_EDGE('',*,*,#89942,.F.); #121254=ORIENTED_EDGE('',*,*,#89945,.F.); #121255=ORIENTED_EDGE('',*,*,#89948,.F.); #121256=ORIENTED_EDGE('',*,*,#89951,.F.); #121257=ORIENTED_EDGE('',*,*,#89954,.F.); #121258=ORIENTED_EDGE('',*,*,#89957,.F.); #121259=ORIENTED_EDGE('',*,*,#89960,.F.); #121260=ORIENTED_EDGE('',*,*,#89963,.F.); #121261=ORIENTED_EDGE('',*,*,#89966,.F.); #121262=ORIENTED_EDGE('',*,*,#89969,.F.); #121263=ORIENTED_EDGE('',*,*,#89972,.F.); #121264=ORIENTED_EDGE('',*,*,#89975,.F.); #121265=ORIENTED_EDGE('',*,*,#89978,.F.); #121266=ORIENTED_EDGE('',*,*,#89981,.F.); #121267=ORIENTED_EDGE('',*,*,#89984,.F.); #121268=ORIENTED_EDGE('',*,*,#89987,.F.); #121269=ORIENTED_EDGE('',*,*,#89990,.F.); #121270=ORIENTED_EDGE('',*,*,#89993,.F.); #121271=ORIENTED_EDGE('',*,*,#89996,.F.); #121272=ORIENTED_EDGE('',*,*,#89999,.F.); #121273=ORIENTED_EDGE('',*,*,#90002,.F.); #121274=ORIENTED_EDGE('',*,*,#90005,.F.); #121275=ORIENTED_EDGE('',*,*,#90008,.F.); #121276=ORIENTED_EDGE('',*,*,#90011,.F.); #121277=ORIENTED_EDGE('',*,*,#90014,.F.); #121278=ORIENTED_EDGE('',*,*,#90017,.F.); #121279=ORIENTED_EDGE('',*,*,#90020,.F.); #121280=ORIENTED_EDGE('',*,*,#90023,.F.); #121281=ORIENTED_EDGE('',*,*,#90026,.F.); #121282=ORIENTED_EDGE('',*,*,#90029,.F.); #121283=ORIENTED_EDGE('',*,*,#90032,.F.); #121284=ORIENTED_EDGE('',*,*,#90035,.F.); #121285=ORIENTED_EDGE('',*,*,#90038,.F.); #121286=ORIENTED_EDGE('',*,*,#90041,.F.); #121287=ORIENTED_EDGE('',*,*,#90044,.F.); #121288=ORIENTED_EDGE('',*,*,#90047,.F.); #121289=ORIENTED_EDGE('',*,*,#90050,.F.); #121290=ORIENTED_EDGE('',*,*,#90053,.F.); #121291=ORIENTED_EDGE('',*,*,#90056,.F.); #121292=ORIENTED_EDGE('',*,*,#90059,.F.); #121293=ORIENTED_EDGE('',*,*,#90062,.F.); #121294=ORIENTED_EDGE('',*,*,#90065,.F.); #121295=ORIENTED_EDGE('',*,*,#90068,.F.); #121296=ORIENTED_EDGE('',*,*,#90071,.F.); #121297=ORIENTED_EDGE('',*,*,#90074,.F.); #121298=ORIENTED_EDGE('',*,*,#90077,.F.); #121299=ORIENTED_EDGE('',*,*,#90080,.F.); #121300=ORIENTED_EDGE('',*,*,#90083,.F.); #121301=ORIENTED_EDGE('',*,*,#90086,.F.); #121302=ORIENTED_EDGE('',*,*,#90089,.F.); #121303=ORIENTED_EDGE('',*,*,#90092,.F.); #121304=ORIENTED_EDGE('',*,*,#90095,.F.); #121305=ORIENTED_EDGE('',*,*,#90098,.F.); #121306=ORIENTED_EDGE('',*,*,#90101,.F.); #121307=ORIENTED_EDGE('',*,*,#90104,.F.); #121308=ORIENTED_EDGE('',*,*,#90107,.F.); #121309=ORIENTED_EDGE('',*,*,#90110,.F.); #121310=ORIENTED_EDGE('',*,*,#90113,.F.); #121311=ORIENTED_EDGE('',*,*,#90116,.F.); #121312=ORIENTED_EDGE('',*,*,#90119,.F.); #121313=ORIENTED_EDGE('',*,*,#90122,.F.); #121314=ORIENTED_EDGE('',*,*,#90125,.F.); #121315=ORIENTED_EDGE('',*,*,#90128,.F.); #121316=ORIENTED_EDGE('',*,*,#90131,.F.); #121317=ORIENTED_EDGE('',*,*,#90134,.F.); #121318=ORIENTED_EDGE('',*,*,#90137,.F.); #121319=ORIENTED_EDGE('',*,*,#90140,.F.); #121320=ORIENTED_EDGE('',*,*,#90143,.F.); #121321=ORIENTED_EDGE('',*,*,#90144,.T.); #121322=ORIENTED_EDGE('',*,*,#90145,.T.); #121323=ORIENTED_EDGE('',*,*,#90146,.F.); #121324=ORIENTED_EDGE('',*,*,#90147,.F.); #121325=ORIENTED_EDGE('',*,*,#90148,.T.); #121326=ORIENTED_EDGE('',*,*,#90149,.T.); #121327=ORIENTED_EDGE('',*,*,#90144,.F.); #121328=ORIENTED_EDGE('',*,*,#90150,.F.); #121329=ORIENTED_EDGE('',*,*,#90151,.T.); #121330=ORIENTED_EDGE('',*,*,#90152,.T.); #121331=ORIENTED_EDGE('',*,*,#90148,.F.); #121332=ORIENTED_EDGE('',*,*,#90153,.F.); #121333=ORIENTED_EDGE('',*,*,#90154,.T.); #121334=ORIENTED_EDGE('',*,*,#90155,.T.); #121335=ORIENTED_EDGE('',*,*,#90151,.F.); #121336=ORIENTED_EDGE('',*,*,#90156,.F.); #121337=ORIENTED_EDGE('',*,*,#90157,.T.); #121338=ORIENTED_EDGE('',*,*,#90158,.T.); #121339=ORIENTED_EDGE('',*,*,#90154,.F.); #121340=ORIENTED_EDGE('',*,*,#90159,.F.); #121341=ORIENTED_EDGE('',*,*,#90160,.T.); #121342=ORIENTED_EDGE('',*,*,#90161,.T.); #121343=ORIENTED_EDGE('',*,*,#90157,.F.); #121344=ORIENTED_EDGE('',*,*,#90162,.F.); #121345=ORIENTED_EDGE('',*,*,#90163,.T.); #121346=ORIENTED_EDGE('',*,*,#90164,.T.); #121347=ORIENTED_EDGE('',*,*,#90160,.F.); #121348=ORIENTED_EDGE('',*,*,#90165,.F.); #121349=ORIENTED_EDGE('',*,*,#90166,.T.); #121350=ORIENTED_EDGE('',*,*,#90167,.T.); #121351=ORIENTED_EDGE('',*,*,#90163,.F.); #121352=ORIENTED_EDGE('',*,*,#90168,.F.); #121353=ORIENTED_EDGE('',*,*,#90169,.T.); #121354=ORIENTED_EDGE('',*,*,#90170,.T.); #121355=ORIENTED_EDGE('',*,*,#90166,.F.); #121356=ORIENTED_EDGE('',*,*,#90171,.F.); #121357=ORIENTED_EDGE('',*,*,#90172,.T.); #121358=ORIENTED_EDGE('',*,*,#90173,.T.); #121359=ORIENTED_EDGE('',*,*,#90169,.F.); #121360=ORIENTED_EDGE('',*,*,#90174,.F.); #121361=ORIENTED_EDGE('',*,*,#90146,.T.); #121362=ORIENTED_EDGE('',*,*,#90175,.T.); #121363=ORIENTED_EDGE('',*,*,#90172,.F.); #121364=ORIENTED_EDGE('',*,*,#90176,.F.); #121365=ORIENTED_EDGE('',*,*,#90177,.T.); #121366=ORIENTED_EDGE('',*,*,#90178,.T.); #121367=ORIENTED_EDGE('',*,*,#90179,.F.); #121368=ORIENTED_EDGE('',*,*,#90180,.F.); #121369=ORIENTED_EDGE('',*,*,#90181,.T.); #121370=ORIENTED_EDGE('',*,*,#90182,.T.); #121371=ORIENTED_EDGE('',*,*,#90177,.F.); #121372=ORIENTED_EDGE('',*,*,#90183,.F.); #121373=ORIENTED_EDGE('',*,*,#90184,.T.); #121374=ORIENTED_EDGE('',*,*,#90185,.T.); #121375=ORIENTED_EDGE('',*,*,#90181,.F.); #121376=ORIENTED_EDGE('',*,*,#90186,.F.); #121377=ORIENTED_EDGE('',*,*,#90187,.T.); #121378=ORIENTED_EDGE('',*,*,#90188,.T.); #121379=ORIENTED_EDGE('',*,*,#90184,.F.); #121380=ORIENTED_EDGE('',*,*,#90189,.F.); #121381=ORIENTED_EDGE('',*,*,#90190,.T.); #121382=ORIENTED_EDGE('',*,*,#90191,.T.); #121383=ORIENTED_EDGE('',*,*,#90187,.F.); #121384=ORIENTED_EDGE('',*,*,#90192,.F.); #121385=ORIENTED_EDGE('',*,*,#90193,.T.); #121386=ORIENTED_EDGE('',*,*,#90194,.T.); #121387=ORIENTED_EDGE('',*,*,#90190,.F.); #121388=ORIENTED_EDGE('',*,*,#90195,.F.); #121389=ORIENTED_EDGE('',*,*,#90196,.T.); #121390=ORIENTED_EDGE('',*,*,#90197,.T.); #121391=ORIENTED_EDGE('',*,*,#90193,.F.); #121392=ORIENTED_EDGE('',*,*,#90198,.F.); #121393=ORIENTED_EDGE('',*,*,#90199,.T.); #121394=ORIENTED_EDGE('',*,*,#90200,.T.); #121395=ORIENTED_EDGE('',*,*,#90196,.F.); #121396=ORIENTED_EDGE('',*,*,#90201,.F.); #121397=ORIENTED_EDGE('',*,*,#90202,.T.); #121398=ORIENTED_EDGE('',*,*,#90203,.T.); #121399=ORIENTED_EDGE('',*,*,#90199,.F.); #121400=ORIENTED_EDGE('',*,*,#90204,.F.); #121401=ORIENTED_EDGE('',*,*,#90179,.T.); #121402=ORIENTED_EDGE('',*,*,#90205,.T.); #121403=ORIENTED_EDGE('',*,*,#90202,.F.); #121404=ORIENTED_EDGE('',*,*,#90205,.F.); #121405=ORIENTED_EDGE('',*,*,#90178,.F.); #121406=ORIENTED_EDGE('',*,*,#90182,.F.); #121407=ORIENTED_EDGE('',*,*,#90185,.F.); #121408=ORIENTED_EDGE('',*,*,#90188,.F.); #121409=ORIENTED_EDGE('',*,*,#90191,.F.); #121410=ORIENTED_EDGE('',*,*,#90194,.F.); #121411=ORIENTED_EDGE('',*,*,#90197,.F.); #121412=ORIENTED_EDGE('',*,*,#90200,.F.); #121413=ORIENTED_EDGE('',*,*,#90203,.F.); #121414=ORIENTED_EDGE('',*,*,#90175,.F.); #121415=ORIENTED_EDGE('',*,*,#90145,.F.); #121416=ORIENTED_EDGE('',*,*,#90149,.F.); #121417=ORIENTED_EDGE('',*,*,#90152,.F.); #121418=ORIENTED_EDGE('',*,*,#90155,.F.); #121419=ORIENTED_EDGE('',*,*,#90158,.F.); #121420=ORIENTED_EDGE('',*,*,#90161,.F.); #121421=ORIENTED_EDGE('',*,*,#90164,.F.); #121422=ORIENTED_EDGE('',*,*,#90167,.F.); #121423=ORIENTED_EDGE('',*,*,#90170,.F.); #121424=ORIENTED_EDGE('',*,*,#90173,.F.); #121425=ORIENTED_EDGE('',*,*,#90206,.F.); #121426=ORIENTED_EDGE('',*,*,#90207,.T.); #121427=ORIENTED_EDGE('',*,*,#90208,.T.); #121428=ORIENTED_EDGE('',*,*,#90209,.F.); #121429=ORIENTED_EDGE('',*,*,#90210,.F.); #121430=ORIENTED_EDGE('',*,*,#90211,.T.); #121431=ORIENTED_EDGE('',*,*,#90212,.T.); #121432=ORIENTED_EDGE('',*,*,#90207,.F.); #121433=ORIENTED_EDGE('',*,*,#90213,.F.); #121434=ORIENTED_EDGE('',*,*,#90214,.T.); #121435=ORIENTED_EDGE('',*,*,#90215,.T.); #121436=ORIENTED_EDGE('',*,*,#90211,.F.); #121437=ORIENTED_EDGE('',*,*,#90216,.F.); #121438=ORIENTED_EDGE('',*,*,#90217,.T.); #121439=ORIENTED_EDGE('',*,*,#90218,.T.); #121440=ORIENTED_EDGE('',*,*,#90214,.F.); #121441=ORIENTED_EDGE('',*,*,#90219,.F.); #121442=ORIENTED_EDGE('',*,*,#90220,.T.); #121443=ORIENTED_EDGE('',*,*,#90221,.T.); #121444=ORIENTED_EDGE('',*,*,#90217,.F.); #121445=ORIENTED_EDGE('',*,*,#90222,.F.); #121446=ORIENTED_EDGE('',*,*,#90223,.T.); #121447=ORIENTED_EDGE('',*,*,#90224,.T.); #121448=ORIENTED_EDGE('',*,*,#90220,.F.); #121449=ORIENTED_EDGE('',*,*,#90225,.F.); #121450=ORIENTED_EDGE('',*,*,#90226,.T.); #121451=ORIENTED_EDGE('',*,*,#90227,.T.); #121452=ORIENTED_EDGE('',*,*,#90223,.F.); #121453=ORIENTED_EDGE('',*,*,#90228,.F.); #121454=ORIENTED_EDGE('',*,*,#90229,.T.); #121455=ORIENTED_EDGE('',*,*,#90230,.T.); #121456=ORIENTED_EDGE('',*,*,#90226,.F.); #121457=ORIENTED_EDGE('',*,*,#90231,.F.); #121458=ORIENTED_EDGE('',*,*,#90232,.T.); #121459=ORIENTED_EDGE('',*,*,#90233,.T.); #121460=ORIENTED_EDGE('',*,*,#90229,.F.); #121461=ORIENTED_EDGE('',*,*,#90234,.F.); #121462=ORIENTED_EDGE('',*,*,#90235,.T.); #121463=ORIENTED_EDGE('',*,*,#90236,.T.); #121464=ORIENTED_EDGE('',*,*,#90232,.F.); #121465=ORIENTED_EDGE('',*,*,#90237,.F.); #121466=ORIENTED_EDGE('',*,*,#90238,.T.); #121467=ORIENTED_EDGE('',*,*,#90239,.T.); #121468=ORIENTED_EDGE('',*,*,#90235,.F.); #121469=ORIENTED_EDGE('',*,*,#90240,.F.); #121470=ORIENTED_EDGE('',*,*,#90241,.T.); #121471=ORIENTED_EDGE('',*,*,#90242,.T.); #121472=ORIENTED_EDGE('',*,*,#90238,.F.); #121473=ORIENTED_EDGE('',*,*,#90243,.F.); #121474=ORIENTED_EDGE('',*,*,#90244,.T.); #121475=ORIENTED_EDGE('',*,*,#90245,.T.); #121476=ORIENTED_EDGE('',*,*,#90241,.F.); #121477=ORIENTED_EDGE('',*,*,#90246,.F.); #121478=ORIENTED_EDGE('',*,*,#90247,.T.); #121479=ORIENTED_EDGE('',*,*,#90248,.T.); #121480=ORIENTED_EDGE('',*,*,#90244,.F.); #121481=ORIENTED_EDGE('',*,*,#90249,.F.); #121482=ORIENTED_EDGE('',*,*,#90250,.T.); #121483=ORIENTED_EDGE('',*,*,#90251,.T.); #121484=ORIENTED_EDGE('',*,*,#90247,.F.); #121485=ORIENTED_EDGE('',*,*,#90252,.F.); #121486=ORIENTED_EDGE('',*,*,#90253,.T.); #121487=ORIENTED_EDGE('',*,*,#90254,.T.); #121488=ORIENTED_EDGE('',*,*,#90250,.F.); #121489=ORIENTED_EDGE('',*,*,#90255,.F.); #121490=ORIENTED_EDGE('',*,*,#90256,.T.); #121491=ORIENTED_EDGE('',*,*,#90257,.T.); #121492=ORIENTED_EDGE('',*,*,#90253,.F.); #121493=ORIENTED_EDGE('',*,*,#90258,.F.); #121494=ORIENTED_EDGE('',*,*,#90259,.T.); #121495=ORIENTED_EDGE('',*,*,#90260,.T.); #121496=ORIENTED_EDGE('',*,*,#90256,.F.); #121497=ORIENTED_EDGE('',*,*,#90261,.F.); #121498=ORIENTED_EDGE('',*,*,#90262,.T.); #121499=ORIENTED_EDGE('',*,*,#90263,.T.); #121500=ORIENTED_EDGE('',*,*,#90259,.F.); #121501=ORIENTED_EDGE('',*,*,#90264,.F.); #121502=ORIENTED_EDGE('',*,*,#90265,.T.); #121503=ORIENTED_EDGE('',*,*,#90266,.T.); #121504=ORIENTED_EDGE('',*,*,#90262,.F.); #121505=ORIENTED_EDGE('',*,*,#90267,.F.); #121506=ORIENTED_EDGE('',*,*,#90268,.T.); #121507=ORIENTED_EDGE('',*,*,#90269,.T.); #121508=ORIENTED_EDGE('',*,*,#90265,.F.); #121509=ORIENTED_EDGE('',*,*,#90270,.F.); #121510=ORIENTED_EDGE('',*,*,#90271,.T.); #121511=ORIENTED_EDGE('',*,*,#90272,.T.); #121512=ORIENTED_EDGE('',*,*,#90268,.F.); #121513=ORIENTED_EDGE('',*,*,#90273,.F.); #121514=ORIENTED_EDGE('',*,*,#90274,.T.); #121515=ORIENTED_EDGE('',*,*,#90275,.T.); #121516=ORIENTED_EDGE('',*,*,#90271,.F.); #121517=ORIENTED_EDGE('',*,*,#90276,.F.); #121518=ORIENTED_EDGE('',*,*,#90277,.T.); #121519=ORIENTED_EDGE('',*,*,#90278,.T.); #121520=ORIENTED_EDGE('',*,*,#90274,.F.); #121521=ORIENTED_EDGE('',*,*,#90279,.F.); #121522=ORIENTED_EDGE('',*,*,#90280,.T.); #121523=ORIENTED_EDGE('',*,*,#90281,.T.); #121524=ORIENTED_EDGE('',*,*,#90277,.F.); #121525=ORIENTED_EDGE('',*,*,#90282,.F.); #121526=ORIENTED_EDGE('',*,*,#90283,.T.); #121527=ORIENTED_EDGE('',*,*,#90284,.T.); #121528=ORIENTED_EDGE('',*,*,#90280,.F.); #121529=ORIENTED_EDGE('',*,*,#90285,.F.); #121530=ORIENTED_EDGE('',*,*,#90286,.T.); #121531=ORIENTED_EDGE('',*,*,#90287,.T.); #121532=ORIENTED_EDGE('',*,*,#90283,.F.); #121533=ORIENTED_EDGE('',*,*,#90288,.F.); #121534=ORIENTED_EDGE('',*,*,#90289,.T.); #121535=ORIENTED_EDGE('',*,*,#90290,.T.); #121536=ORIENTED_EDGE('',*,*,#90286,.F.); #121537=ORIENTED_EDGE('',*,*,#90291,.F.); #121538=ORIENTED_EDGE('',*,*,#90292,.T.); #121539=ORIENTED_EDGE('',*,*,#90293,.T.); #121540=ORIENTED_EDGE('',*,*,#90289,.F.); #121541=ORIENTED_EDGE('',*,*,#90294,.F.); #121542=ORIENTED_EDGE('',*,*,#90295,.T.); #121543=ORIENTED_EDGE('',*,*,#90296,.T.); #121544=ORIENTED_EDGE('',*,*,#90292,.F.); #121545=ORIENTED_EDGE('',*,*,#90297,.F.); #121546=ORIENTED_EDGE('',*,*,#90298,.T.); #121547=ORIENTED_EDGE('',*,*,#90299,.T.); #121548=ORIENTED_EDGE('',*,*,#90295,.F.); #121549=ORIENTED_EDGE('',*,*,#90300,.F.); #121550=ORIENTED_EDGE('',*,*,#90301,.T.); #121551=ORIENTED_EDGE('',*,*,#90302,.T.); #121552=ORIENTED_EDGE('',*,*,#90298,.F.); #121553=ORIENTED_EDGE('',*,*,#90303,.F.); #121554=ORIENTED_EDGE('',*,*,#90304,.T.); #121555=ORIENTED_EDGE('',*,*,#90305,.T.); #121556=ORIENTED_EDGE('',*,*,#90301,.F.); #121557=ORIENTED_EDGE('',*,*,#90306,.F.); #121558=ORIENTED_EDGE('',*,*,#90307,.T.); #121559=ORIENTED_EDGE('',*,*,#90308,.T.); #121560=ORIENTED_EDGE('',*,*,#90304,.F.); #121561=ORIENTED_EDGE('',*,*,#90309,.F.); #121562=ORIENTED_EDGE('',*,*,#90310,.T.); #121563=ORIENTED_EDGE('',*,*,#90311,.T.); #121564=ORIENTED_EDGE('',*,*,#90307,.F.); #121565=ORIENTED_EDGE('',*,*,#90312,.F.); #121566=ORIENTED_EDGE('',*,*,#90313,.T.); #121567=ORIENTED_EDGE('',*,*,#90314,.T.); #121568=ORIENTED_EDGE('',*,*,#90310,.F.); #121569=ORIENTED_EDGE('',*,*,#90315,.F.); #121570=ORIENTED_EDGE('',*,*,#90316,.T.); #121571=ORIENTED_EDGE('',*,*,#90317,.T.); #121572=ORIENTED_EDGE('',*,*,#90313,.F.); #121573=ORIENTED_EDGE('',*,*,#90318,.F.); #121574=ORIENTED_EDGE('',*,*,#90319,.T.); #121575=ORIENTED_EDGE('',*,*,#90320,.T.); #121576=ORIENTED_EDGE('',*,*,#90316,.F.); #121577=ORIENTED_EDGE('',*,*,#90321,.F.); #121578=ORIENTED_EDGE('',*,*,#90322,.T.); #121579=ORIENTED_EDGE('',*,*,#90323,.T.); #121580=ORIENTED_EDGE('',*,*,#90319,.F.); #121581=ORIENTED_EDGE('',*,*,#90324,.F.); #121582=ORIENTED_EDGE('',*,*,#90325,.T.); #121583=ORIENTED_EDGE('',*,*,#90326,.T.); #121584=ORIENTED_EDGE('',*,*,#90322,.F.); #121585=ORIENTED_EDGE('',*,*,#90327,.F.); #121586=ORIENTED_EDGE('',*,*,#90328,.T.); #121587=ORIENTED_EDGE('',*,*,#90329,.T.); #121588=ORIENTED_EDGE('',*,*,#90325,.F.); #121589=ORIENTED_EDGE('',*,*,#90330,.F.); #121590=ORIENTED_EDGE('',*,*,#90331,.T.); #121591=ORIENTED_EDGE('',*,*,#90332,.T.); #121592=ORIENTED_EDGE('',*,*,#90328,.F.); #121593=ORIENTED_EDGE('',*,*,#90333,.F.); #121594=ORIENTED_EDGE('',*,*,#90334,.T.); #121595=ORIENTED_EDGE('',*,*,#90335,.T.); #121596=ORIENTED_EDGE('',*,*,#90331,.F.); #121597=ORIENTED_EDGE('',*,*,#90336,.F.); #121598=ORIENTED_EDGE('',*,*,#90209,.T.); #121599=ORIENTED_EDGE('',*,*,#90337,.T.); #121600=ORIENTED_EDGE('',*,*,#90334,.F.); #121601=ORIENTED_EDGE('',*,*,#90337,.F.); #121602=ORIENTED_EDGE('',*,*,#90208,.F.); #121603=ORIENTED_EDGE('',*,*,#90212,.F.); #121604=ORIENTED_EDGE('',*,*,#90215,.F.); #121605=ORIENTED_EDGE('',*,*,#90218,.F.); #121606=ORIENTED_EDGE('',*,*,#90221,.F.); #121607=ORIENTED_EDGE('',*,*,#90224,.F.); #121608=ORIENTED_EDGE('',*,*,#90227,.F.); #121609=ORIENTED_EDGE('',*,*,#90230,.F.); #121610=ORIENTED_EDGE('',*,*,#90233,.F.); #121611=ORIENTED_EDGE('',*,*,#90236,.F.); #121612=ORIENTED_EDGE('',*,*,#90239,.F.); #121613=ORIENTED_EDGE('',*,*,#90242,.F.); #121614=ORIENTED_EDGE('',*,*,#90245,.F.); #121615=ORIENTED_EDGE('',*,*,#90248,.F.); #121616=ORIENTED_EDGE('',*,*,#90251,.F.); #121617=ORIENTED_EDGE('',*,*,#90254,.F.); #121618=ORIENTED_EDGE('',*,*,#90257,.F.); #121619=ORIENTED_EDGE('',*,*,#90260,.F.); #121620=ORIENTED_EDGE('',*,*,#90263,.F.); #121621=ORIENTED_EDGE('',*,*,#90266,.F.); #121622=ORIENTED_EDGE('',*,*,#90269,.F.); #121623=ORIENTED_EDGE('',*,*,#90272,.F.); #121624=ORIENTED_EDGE('',*,*,#90275,.F.); #121625=ORIENTED_EDGE('',*,*,#90278,.F.); #121626=ORIENTED_EDGE('',*,*,#90281,.F.); #121627=ORIENTED_EDGE('',*,*,#90284,.F.); #121628=ORIENTED_EDGE('',*,*,#90287,.F.); #121629=ORIENTED_EDGE('',*,*,#90290,.F.); #121630=ORIENTED_EDGE('',*,*,#90293,.F.); #121631=ORIENTED_EDGE('',*,*,#90296,.F.); #121632=ORIENTED_EDGE('',*,*,#90299,.F.); #121633=ORIENTED_EDGE('',*,*,#90302,.F.); #121634=ORIENTED_EDGE('',*,*,#90305,.F.); #121635=ORIENTED_EDGE('',*,*,#90308,.F.); #121636=ORIENTED_EDGE('',*,*,#90311,.F.); #121637=ORIENTED_EDGE('',*,*,#90314,.F.); #121638=ORIENTED_EDGE('',*,*,#90317,.F.); #121639=ORIENTED_EDGE('',*,*,#90320,.F.); #121640=ORIENTED_EDGE('',*,*,#90323,.F.); #121641=ORIENTED_EDGE('',*,*,#90326,.F.); #121642=ORIENTED_EDGE('',*,*,#90329,.F.); #121643=ORIENTED_EDGE('',*,*,#90332,.F.); #121644=ORIENTED_EDGE('',*,*,#90335,.F.); #121645=ORIENTED_EDGE('',*,*,#90338,.F.); #121646=ORIENTED_EDGE('',*,*,#90339,.T.); #121647=ORIENTED_EDGE('',*,*,#90340,.T.); #121648=ORIENTED_EDGE('',*,*,#90341,.F.); #121649=ORIENTED_EDGE('',*,*,#90342,.F.); #121650=ORIENTED_EDGE('',*,*,#90343,.T.); #121651=ORIENTED_EDGE('',*,*,#90344,.T.); #121652=ORIENTED_EDGE('',*,*,#90339,.F.); #121653=ORIENTED_EDGE('',*,*,#90345,.F.); #121654=ORIENTED_EDGE('',*,*,#90346,.T.); #121655=ORIENTED_EDGE('',*,*,#90347,.T.); #121656=ORIENTED_EDGE('',*,*,#90343,.F.); #121657=ORIENTED_EDGE('',*,*,#90348,.F.); #121658=ORIENTED_EDGE('',*,*,#90349,.T.); #121659=ORIENTED_EDGE('',*,*,#90350,.T.); #121660=ORIENTED_EDGE('',*,*,#90346,.F.); #121661=ORIENTED_EDGE('',*,*,#90351,.F.); #121662=ORIENTED_EDGE('',*,*,#90352,.T.); #121663=ORIENTED_EDGE('',*,*,#90353,.T.); #121664=ORIENTED_EDGE('',*,*,#90349,.F.); #121665=ORIENTED_EDGE('',*,*,#90354,.F.); #121666=ORIENTED_EDGE('',*,*,#90355,.T.); #121667=ORIENTED_EDGE('',*,*,#90356,.T.); #121668=ORIENTED_EDGE('',*,*,#90352,.F.); #121669=ORIENTED_EDGE('',*,*,#90357,.F.); #121670=ORIENTED_EDGE('',*,*,#90358,.T.); #121671=ORIENTED_EDGE('',*,*,#90359,.T.); #121672=ORIENTED_EDGE('',*,*,#90355,.F.); #121673=ORIENTED_EDGE('',*,*,#90360,.F.); #121674=ORIENTED_EDGE('',*,*,#90361,.T.); #121675=ORIENTED_EDGE('',*,*,#90362,.T.); #121676=ORIENTED_EDGE('',*,*,#90358,.F.); #121677=ORIENTED_EDGE('',*,*,#90363,.F.); #121678=ORIENTED_EDGE('',*,*,#90364,.T.); #121679=ORIENTED_EDGE('',*,*,#90365,.T.); #121680=ORIENTED_EDGE('',*,*,#90361,.F.); #121681=ORIENTED_EDGE('',*,*,#90366,.F.); #121682=ORIENTED_EDGE('',*,*,#90367,.T.); #121683=ORIENTED_EDGE('',*,*,#90368,.T.); #121684=ORIENTED_EDGE('',*,*,#90364,.F.); #121685=ORIENTED_EDGE('',*,*,#90369,.F.); #121686=ORIENTED_EDGE('',*,*,#90370,.T.); #121687=ORIENTED_EDGE('',*,*,#90371,.T.); #121688=ORIENTED_EDGE('',*,*,#90367,.F.); #121689=ORIENTED_EDGE('',*,*,#90372,.F.); #121690=ORIENTED_EDGE('',*,*,#90373,.T.); #121691=ORIENTED_EDGE('',*,*,#90374,.T.); #121692=ORIENTED_EDGE('',*,*,#90370,.F.); #121693=ORIENTED_EDGE('',*,*,#90375,.F.); #121694=ORIENTED_EDGE('',*,*,#90376,.T.); #121695=ORIENTED_EDGE('',*,*,#90377,.T.); #121696=ORIENTED_EDGE('',*,*,#90373,.F.); #121697=ORIENTED_EDGE('',*,*,#90378,.F.); #121698=ORIENTED_EDGE('',*,*,#90379,.T.); #121699=ORIENTED_EDGE('',*,*,#90380,.T.); #121700=ORIENTED_EDGE('',*,*,#90376,.F.); #121701=ORIENTED_EDGE('',*,*,#90381,.F.); #121702=ORIENTED_EDGE('',*,*,#90382,.T.); #121703=ORIENTED_EDGE('',*,*,#90383,.T.); #121704=ORIENTED_EDGE('',*,*,#90379,.F.); #121705=ORIENTED_EDGE('',*,*,#90384,.F.); #121706=ORIENTED_EDGE('',*,*,#90385,.T.); #121707=ORIENTED_EDGE('',*,*,#90386,.T.); #121708=ORIENTED_EDGE('',*,*,#90382,.F.); #121709=ORIENTED_EDGE('',*,*,#90387,.F.); #121710=ORIENTED_EDGE('',*,*,#90388,.T.); #121711=ORIENTED_EDGE('',*,*,#90389,.T.); #121712=ORIENTED_EDGE('',*,*,#90385,.F.); #121713=ORIENTED_EDGE('',*,*,#90390,.F.); #121714=ORIENTED_EDGE('',*,*,#90391,.T.); #121715=ORIENTED_EDGE('',*,*,#90392,.T.); #121716=ORIENTED_EDGE('',*,*,#90388,.F.); #121717=ORIENTED_EDGE('',*,*,#90393,.F.); #121718=ORIENTED_EDGE('',*,*,#90394,.T.); #121719=ORIENTED_EDGE('',*,*,#90395,.T.); #121720=ORIENTED_EDGE('',*,*,#90391,.F.); #121721=ORIENTED_EDGE('',*,*,#90396,.F.); #121722=ORIENTED_EDGE('',*,*,#90397,.T.); #121723=ORIENTED_EDGE('',*,*,#90398,.T.); #121724=ORIENTED_EDGE('',*,*,#90394,.F.); #121725=ORIENTED_EDGE('',*,*,#90399,.F.); #121726=ORIENTED_EDGE('',*,*,#90400,.T.); #121727=ORIENTED_EDGE('',*,*,#90401,.T.); #121728=ORIENTED_EDGE('',*,*,#90397,.F.); #121729=ORIENTED_EDGE('',*,*,#90402,.F.); #121730=ORIENTED_EDGE('',*,*,#90403,.T.); #121731=ORIENTED_EDGE('',*,*,#90404,.T.); #121732=ORIENTED_EDGE('',*,*,#90400,.F.); #121733=ORIENTED_EDGE('',*,*,#90405,.F.); #121734=ORIENTED_EDGE('',*,*,#90406,.T.); #121735=ORIENTED_EDGE('',*,*,#90407,.T.); #121736=ORIENTED_EDGE('',*,*,#90403,.F.); #121737=ORIENTED_EDGE('',*,*,#90408,.F.); #121738=ORIENTED_EDGE('',*,*,#90409,.T.); #121739=ORIENTED_EDGE('',*,*,#90410,.T.); #121740=ORIENTED_EDGE('',*,*,#90406,.F.); #121741=ORIENTED_EDGE('',*,*,#90411,.F.); #121742=ORIENTED_EDGE('',*,*,#90412,.T.); #121743=ORIENTED_EDGE('',*,*,#90413,.T.); #121744=ORIENTED_EDGE('',*,*,#90409,.F.); #121745=ORIENTED_EDGE('',*,*,#90414,.F.); #121746=ORIENTED_EDGE('',*,*,#90415,.T.); #121747=ORIENTED_EDGE('',*,*,#90416,.T.); #121748=ORIENTED_EDGE('',*,*,#90412,.F.); #121749=ORIENTED_EDGE('',*,*,#90417,.F.); #121750=ORIENTED_EDGE('',*,*,#90418,.T.); #121751=ORIENTED_EDGE('',*,*,#90419,.T.); #121752=ORIENTED_EDGE('',*,*,#90415,.F.); #121753=ORIENTED_EDGE('',*,*,#90420,.F.); #121754=ORIENTED_EDGE('',*,*,#90421,.T.); #121755=ORIENTED_EDGE('',*,*,#90422,.T.); #121756=ORIENTED_EDGE('',*,*,#90418,.F.); #121757=ORIENTED_EDGE('',*,*,#90423,.F.); #121758=ORIENTED_EDGE('',*,*,#90424,.T.); #121759=ORIENTED_EDGE('',*,*,#90425,.T.); #121760=ORIENTED_EDGE('',*,*,#90421,.F.); #121761=ORIENTED_EDGE('',*,*,#90426,.F.); #121762=ORIENTED_EDGE('',*,*,#90427,.T.); #121763=ORIENTED_EDGE('',*,*,#90428,.T.); #121764=ORIENTED_EDGE('',*,*,#90424,.F.); #121765=ORIENTED_EDGE('',*,*,#90429,.F.); #121766=ORIENTED_EDGE('',*,*,#90430,.T.); #121767=ORIENTED_EDGE('',*,*,#90431,.T.); #121768=ORIENTED_EDGE('',*,*,#90427,.F.); #121769=ORIENTED_EDGE('',*,*,#90432,.F.); #121770=ORIENTED_EDGE('',*,*,#90433,.T.); #121771=ORIENTED_EDGE('',*,*,#90434,.T.); #121772=ORIENTED_EDGE('',*,*,#90430,.F.); #121773=ORIENTED_EDGE('',*,*,#90435,.F.); #121774=ORIENTED_EDGE('',*,*,#90436,.T.); #121775=ORIENTED_EDGE('',*,*,#90437,.T.); #121776=ORIENTED_EDGE('',*,*,#90433,.F.); #121777=ORIENTED_EDGE('',*,*,#90438,.F.); #121778=ORIENTED_EDGE('',*,*,#90439,.T.); #121779=ORIENTED_EDGE('',*,*,#90440,.T.); #121780=ORIENTED_EDGE('',*,*,#90436,.F.); #121781=ORIENTED_EDGE('',*,*,#90441,.F.); #121782=ORIENTED_EDGE('',*,*,#90442,.T.); #121783=ORIENTED_EDGE('',*,*,#90443,.T.); #121784=ORIENTED_EDGE('',*,*,#90439,.F.); #121785=ORIENTED_EDGE('',*,*,#90444,.F.); #121786=ORIENTED_EDGE('',*,*,#90445,.T.); #121787=ORIENTED_EDGE('',*,*,#90446,.T.); #121788=ORIENTED_EDGE('',*,*,#90442,.F.); #121789=ORIENTED_EDGE('',*,*,#90447,.F.); #121790=ORIENTED_EDGE('',*,*,#90448,.T.); #121791=ORIENTED_EDGE('',*,*,#90449,.T.); #121792=ORIENTED_EDGE('',*,*,#90445,.F.); #121793=ORIENTED_EDGE('',*,*,#90450,.F.); #121794=ORIENTED_EDGE('',*,*,#90451,.T.); #121795=ORIENTED_EDGE('',*,*,#90452,.T.); #121796=ORIENTED_EDGE('',*,*,#90448,.F.); #121797=ORIENTED_EDGE('',*,*,#90453,.F.); #121798=ORIENTED_EDGE('',*,*,#90454,.T.); #121799=ORIENTED_EDGE('',*,*,#90455,.T.); #121800=ORIENTED_EDGE('',*,*,#90451,.F.); #121801=ORIENTED_EDGE('',*,*,#90456,.F.); #121802=ORIENTED_EDGE('',*,*,#90457,.T.); #121803=ORIENTED_EDGE('',*,*,#90458,.T.); #121804=ORIENTED_EDGE('',*,*,#90454,.F.); #121805=ORIENTED_EDGE('',*,*,#90459,.F.); #121806=ORIENTED_EDGE('',*,*,#90460,.T.); #121807=ORIENTED_EDGE('',*,*,#90461,.T.); #121808=ORIENTED_EDGE('',*,*,#90457,.F.); #121809=ORIENTED_EDGE('',*,*,#90462,.F.); #121810=ORIENTED_EDGE('',*,*,#90463,.T.); #121811=ORIENTED_EDGE('',*,*,#90464,.T.); #121812=ORIENTED_EDGE('',*,*,#90460,.F.); #121813=ORIENTED_EDGE('',*,*,#90465,.F.); #121814=ORIENTED_EDGE('',*,*,#90466,.T.); #121815=ORIENTED_EDGE('',*,*,#90467,.T.); #121816=ORIENTED_EDGE('',*,*,#90463,.F.); #121817=ORIENTED_EDGE('',*,*,#90468,.F.); #121818=ORIENTED_EDGE('',*,*,#90469,.T.); #121819=ORIENTED_EDGE('',*,*,#90470,.T.); #121820=ORIENTED_EDGE('',*,*,#90466,.F.); #121821=ORIENTED_EDGE('',*,*,#90471,.F.); #121822=ORIENTED_EDGE('',*,*,#90472,.T.); #121823=ORIENTED_EDGE('',*,*,#90473,.T.); #121824=ORIENTED_EDGE('',*,*,#90469,.F.); #121825=ORIENTED_EDGE('',*,*,#90474,.F.); #121826=ORIENTED_EDGE('',*,*,#90475,.T.); #121827=ORIENTED_EDGE('',*,*,#90476,.T.); #121828=ORIENTED_EDGE('',*,*,#90472,.F.); #121829=ORIENTED_EDGE('',*,*,#90477,.F.); #121830=ORIENTED_EDGE('',*,*,#90478,.T.); #121831=ORIENTED_EDGE('',*,*,#90479,.T.); #121832=ORIENTED_EDGE('',*,*,#90475,.F.); #121833=ORIENTED_EDGE('',*,*,#90480,.F.); #121834=ORIENTED_EDGE('',*,*,#90481,.T.); #121835=ORIENTED_EDGE('',*,*,#90482,.T.); #121836=ORIENTED_EDGE('',*,*,#90478,.F.); #121837=ORIENTED_EDGE('',*,*,#90483,.F.); #121838=ORIENTED_EDGE('',*,*,#90484,.T.); #121839=ORIENTED_EDGE('',*,*,#90485,.T.); #121840=ORIENTED_EDGE('',*,*,#90481,.F.); #121841=ORIENTED_EDGE('',*,*,#90486,.F.); #121842=ORIENTED_EDGE('',*,*,#90487,.T.); #121843=ORIENTED_EDGE('',*,*,#90488,.T.); #121844=ORIENTED_EDGE('',*,*,#90484,.F.); #121845=ORIENTED_EDGE('',*,*,#90489,.F.); #121846=ORIENTED_EDGE('',*,*,#90490,.T.); #121847=ORIENTED_EDGE('',*,*,#90491,.T.); #121848=ORIENTED_EDGE('',*,*,#90487,.F.); #121849=ORIENTED_EDGE('',*,*,#90492,.F.); #121850=ORIENTED_EDGE('',*,*,#90493,.T.); #121851=ORIENTED_EDGE('',*,*,#90494,.T.); #121852=ORIENTED_EDGE('',*,*,#90490,.F.); #121853=ORIENTED_EDGE('',*,*,#90495,.F.); #121854=ORIENTED_EDGE('',*,*,#90496,.T.); #121855=ORIENTED_EDGE('',*,*,#90497,.T.); #121856=ORIENTED_EDGE('',*,*,#90493,.F.); #121857=ORIENTED_EDGE('',*,*,#90498,.F.); #121858=ORIENTED_EDGE('',*,*,#90499,.T.); #121859=ORIENTED_EDGE('',*,*,#90500,.T.); #121860=ORIENTED_EDGE('',*,*,#90496,.F.); #121861=ORIENTED_EDGE('',*,*,#90501,.F.); #121862=ORIENTED_EDGE('',*,*,#90502,.T.); #121863=ORIENTED_EDGE('',*,*,#90503,.T.); #121864=ORIENTED_EDGE('',*,*,#90499,.F.); #121865=ORIENTED_EDGE('',*,*,#90504,.F.); #121866=ORIENTED_EDGE('',*,*,#90505,.T.); #121867=ORIENTED_EDGE('',*,*,#90506,.T.); #121868=ORIENTED_EDGE('',*,*,#90502,.F.); #121869=ORIENTED_EDGE('',*,*,#90507,.F.); #121870=ORIENTED_EDGE('',*,*,#90508,.T.); #121871=ORIENTED_EDGE('',*,*,#90509,.T.); #121872=ORIENTED_EDGE('',*,*,#90505,.F.); #121873=ORIENTED_EDGE('',*,*,#90510,.F.); #121874=ORIENTED_EDGE('',*,*,#90511,.T.); #121875=ORIENTED_EDGE('',*,*,#90512,.T.); #121876=ORIENTED_EDGE('',*,*,#90508,.F.); #121877=ORIENTED_EDGE('',*,*,#90513,.F.); #121878=ORIENTED_EDGE('',*,*,#90514,.T.); #121879=ORIENTED_EDGE('',*,*,#90515,.T.); #121880=ORIENTED_EDGE('',*,*,#90511,.F.); #121881=ORIENTED_EDGE('',*,*,#90516,.F.); #121882=ORIENTED_EDGE('',*,*,#90517,.T.); #121883=ORIENTED_EDGE('',*,*,#90518,.T.); #121884=ORIENTED_EDGE('',*,*,#90514,.F.); #121885=ORIENTED_EDGE('',*,*,#90519,.F.); #121886=ORIENTED_EDGE('',*,*,#90520,.T.); #121887=ORIENTED_EDGE('',*,*,#90521,.T.); #121888=ORIENTED_EDGE('',*,*,#90517,.F.); #121889=ORIENTED_EDGE('',*,*,#90522,.F.); #121890=ORIENTED_EDGE('',*,*,#90523,.T.); #121891=ORIENTED_EDGE('',*,*,#90524,.T.); #121892=ORIENTED_EDGE('',*,*,#90520,.F.); #121893=ORIENTED_EDGE('',*,*,#90525,.F.); #121894=ORIENTED_EDGE('',*,*,#90526,.T.); #121895=ORIENTED_EDGE('',*,*,#90527,.T.); #121896=ORIENTED_EDGE('',*,*,#90523,.F.); #121897=ORIENTED_EDGE('',*,*,#90528,.F.); #121898=ORIENTED_EDGE('',*,*,#90529,.T.); #121899=ORIENTED_EDGE('',*,*,#90530,.T.); #121900=ORIENTED_EDGE('',*,*,#90526,.F.); #121901=ORIENTED_EDGE('',*,*,#90531,.F.); #121902=ORIENTED_EDGE('',*,*,#90532,.T.); #121903=ORIENTED_EDGE('',*,*,#90533,.T.); #121904=ORIENTED_EDGE('',*,*,#90529,.F.); #121905=ORIENTED_EDGE('',*,*,#90534,.F.); #121906=ORIENTED_EDGE('',*,*,#90535,.T.); #121907=ORIENTED_EDGE('',*,*,#90536,.T.); #121908=ORIENTED_EDGE('',*,*,#90532,.F.); #121909=ORIENTED_EDGE('',*,*,#90537,.F.); #121910=ORIENTED_EDGE('',*,*,#90538,.T.); #121911=ORIENTED_EDGE('',*,*,#90539,.T.); #121912=ORIENTED_EDGE('',*,*,#90535,.F.); #121913=ORIENTED_EDGE('',*,*,#90540,.F.); #121914=ORIENTED_EDGE('',*,*,#90541,.T.); #121915=ORIENTED_EDGE('',*,*,#90542,.T.); #121916=ORIENTED_EDGE('',*,*,#90538,.F.); #121917=ORIENTED_EDGE('',*,*,#90543,.F.); #121918=ORIENTED_EDGE('',*,*,#90544,.T.); #121919=ORIENTED_EDGE('',*,*,#90545,.T.); #121920=ORIENTED_EDGE('',*,*,#90541,.F.); #121921=ORIENTED_EDGE('',*,*,#90546,.F.); #121922=ORIENTED_EDGE('',*,*,#90547,.T.); #121923=ORIENTED_EDGE('',*,*,#90548,.T.); #121924=ORIENTED_EDGE('',*,*,#90544,.F.); #121925=ORIENTED_EDGE('',*,*,#90549,.F.); #121926=ORIENTED_EDGE('',*,*,#90550,.T.); #121927=ORIENTED_EDGE('',*,*,#90551,.T.); #121928=ORIENTED_EDGE('',*,*,#90547,.F.); #121929=ORIENTED_EDGE('',*,*,#90552,.F.); #121930=ORIENTED_EDGE('',*,*,#90553,.T.); #121931=ORIENTED_EDGE('',*,*,#90554,.T.); #121932=ORIENTED_EDGE('',*,*,#90550,.F.); #121933=ORIENTED_EDGE('',*,*,#90555,.F.); #121934=ORIENTED_EDGE('',*,*,#90556,.T.); #121935=ORIENTED_EDGE('',*,*,#90557,.T.); #121936=ORIENTED_EDGE('',*,*,#90553,.F.); #121937=ORIENTED_EDGE('',*,*,#90558,.F.); #121938=ORIENTED_EDGE('',*,*,#90559,.T.); #121939=ORIENTED_EDGE('',*,*,#90560,.T.); #121940=ORIENTED_EDGE('',*,*,#90556,.F.); #121941=ORIENTED_EDGE('',*,*,#90561,.F.); #121942=ORIENTED_EDGE('',*,*,#90562,.T.); #121943=ORIENTED_EDGE('',*,*,#90563,.T.); #121944=ORIENTED_EDGE('',*,*,#90559,.F.); #121945=ORIENTED_EDGE('',*,*,#90564,.F.); #121946=ORIENTED_EDGE('',*,*,#90565,.T.); #121947=ORIENTED_EDGE('',*,*,#90566,.T.); #121948=ORIENTED_EDGE('',*,*,#90562,.F.); #121949=ORIENTED_EDGE('',*,*,#90567,.F.); #121950=ORIENTED_EDGE('',*,*,#90568,.T.); #121951=ORIENTED_EDGE('',*,*,#90569,.T.); #121952=ORIENTED_EDGE('',*,*,#90565,.F.); #121953=ORIENTED_EDGE('',*,*,#90570,.F.); #121954=ORIENTED_EDGE('',*,*,#90571,.T.); #121955=ORIENTED_EDGE('',*,*,#90572,.T.); #121956=ORIENTED_EDGE('',*,*,#90568,.F.); #121957=ORIENTED_EDGE('',*,*,#90573,.F.); #121958=ORIENTED_EDGE('',*,*,#90574,.T.); #121959=ORIENTED_EDGE('',*,*,#90575,.T.); #121960=ORIENTED_EDGE('',*,*,#90571,.F.); #121961=ORIENTED_EDGE('',*,*,#90576,.F.); #121962=ORIENTED_EDGE('',*,*,#90577,.T.); #121963=ORIENTED_EDGE('',*,*,#90578,.T.); #121964=ORIENTED_EDGE('',*,*,#90574,.F.); #121965=ORIENTED_EDGE('',*,*,#90579,.F.); #121966=ORIENTED_EDGE('',*,*,#90580,.T.); #121967=ORIENTED_EDGE('',*,*,#90581,.T.); #121968=ORIENTED_EDGE('',*,*,#90577,.F.); #121969=ORIENTED_EDGE('',*,*,#90582,.F.); #121970=ORIENTED_EDGE('',*,*,#90583,.T.); #121971=ORIENTED_EDGE('',*,*,#90584,.T.); #121972=ORIENTED_EDGE('',*,*,#90580,.F.); #121973=ORIENTED_EDGE('',*,*,#90585,.F.); #121974=ORIENTED_EDGE('',*,*,#90586,.T.); #121975=ORIENTED_EDGE('',*,*,#90587,.T.); #121976=ORIENTED_EDGE('',*,*,#90583,.F.); #121977=ORIENTED_EDGE('',*,*,#90588,.F.); #121978=ORIENTED_EDGE('',*,*,#90589,.T.); #121979=ORIENTED_EDGE('',*,*,#90590,.T.); #121980=ORIENTED_EDGE('',*,*,#90586,.F.); #121981=ORIENTED_EDGE('',*,*,#90591,.F.); #121982=ORIENTED_EDGE('',*,*,#90592,.T.); #121983=ORIENTED_EDGE('',*,*,#90593,.T.); #121984=ORIENTED_EDGE('',*,*,#90589,.F.); #121985=ORIENTED_EDGE('',*,*,#90594,.F.); #121986=ORIENTED_EDGE('',*,*,#90595,.T.); #121987=ORIENTED_EDGE('',*,*,#90596,.T.); #121988=ORIENTED_EDGE('',*,*,#90592,.F.); #121989=ORIENTED_EDGE('',*,*,#90597,.F.); #121990=ORIENTED_EDGE('',*,*,#90598,.T.); #121991=ORIENTED_EDGE('',*,*,#90599,.T.); #121992=ORIENTED_EDGE('',*,*,#90595,.F.); #121993=ORIENTED_EDGE('',*,*,#90600,.F.); #121994=ORIENTED_EDGE('',*,*,#90601,.T.); #121995=ORIENTED_EDGE('',*,*,#90602,.T.); #121996=ORIENTED_EDGE('',*,*,#90598,.F.); #121997=ORIENTED_EDGE('',*,*,#90603,.F.); #121998=ORIENTED_EDGE('',*,*,#90604,.T.); #121999=ORIENTED_EDGE('',*,*,#90605,.T.); #122000=ORIENTED_EDGE('',*,*,#90601,.F.); #122001=ORIENTED_EDGE('',*,*,#90606,.F.); #122002=ORIENTED_EDGE('',*,*,#90607,.T.); #122003=ORIENTED_EDGE('',*,*,#90608,.T.); #122004=ORIENTED_EDGE('',*,*,#90604,.F.); #122005=ORIENTED_EDGE('',*,*,#90609,.F.); #122006=ORIENTED_EDGE('',*,*,#90610,.T.); #122007=ORIENTED_EDGE('',*,*,#90611,.T.); #122008=ORIENTED_EDGE('',*,*,#90607,.F.); #122009=ORIENTED_EDGE('',*,*,#90612,.F.); #122010=ORIENTED_EDGE('',*,*,#90613,.T.); #122011=ORIENTED_EDGE('',*,*,#90614,.T.); #122012=ORIENTED_EDGE('',*,*,#90610,.F.); #122013=ORIENTED_EDGE('',*,*,#90615,.F.); #122014=ORIENTED_EDGE('',*,*,#90616,.T.); #122015=ORIENTED_EDGE('',*,*,#90617,.T.); #122016=ORIENTED_EDGE('',*,*,#90613,.F.); #122017=ORIENTED_EDGE('',*,*,#90618,.F.); #122018=ORIENTED_EDGE('',*,*,#90619,.T.); #122019=ORIENTED_EDGE('',*,*,#90620,.T.); #122020=ORIENTED_EDGE('',*,*,#90616,.F.); #122021=ORIENTED_EDGE('',*,*,#90621,.F.); #122022=ORIENTED_EDGE('',*,*,#90622,.T.); #122023=ORIENTED_EDGE('',*,*,#90623,.T.); #122024=ORIENTED_EDGE('',*,*,#90619,.F.); #122025=ORIENTED_EDGE('',*,*,#90624,.F.); #122026=ORIENTED_EDGE('',*,*,#90625,.T.); #122027=ORIENTED_EDGE('',*,*,#90626,.T.); #122028=ORIENTED_EDGE('',*,*,#90622,.F.); #122029=ORIENTED_EDGE('',*,*,#90627,.F.); #122030=ORIENTED_EDGE('',*,*,#90628,.T.); #122031=ORIENTED_EDGE('',*,*,#90629,.T.); #122032=ORIENTED_EDGE('',*,*,#90625,.F.); #122033=ORIENTED_EDGE('',*,*,#90630,.F.); #122034=ORIENTED_EDGE('',*,*,#90631,.T.); #122035=ORIENTED_EDGE('',*,*,#90632,.T.); #122036=ORIENTED_EDGE('',*,*,#90628,.F.); #122037=ORIENTED_EDGE('',*,*,#90633,.F.); #122038=ORIENTED_EDGE('',*,*,#90634,.T.); #122039=ORIENTED_EDGE('',*,*,#90635,.T.); #122040=ORIENTED_EDGE('',*,*,#90631,.F.); #122041=ORIENTED_EDGE('',*,*,#90636,.F.); #122042=ORIENTED_EDGE('',*,*,#90637,.T.); #122043=ORIENTED_EDGE('',*,*,#90638,.T.); #122044=ORIENTED_EDGE('',*,*,#90634,.F.); #122045=ORIENTED_EDGE('',*,*,#90639,.F.); #122046=ORIENTED_EDGE('',*,*,#90640,.T.); #122047=ORIENTED_EDGE('',*,*,#90641,.T.); #122048=ORIENTED_EDGE('',*,*,#90637,.F.); #122049=ORIENTED_EDGE('',*,*,#90642,.F.); #122050=ORIENTED_EDGE('',*,*,#90643,.T.); #122051=ORIENTED_EDGE('',*,*,#90644,.T.); #122052=ORIENTED_EDGE('',*,*,#90640,.F.); #122053=ORIENTED_EDGE('',*,*,#90645,.F.); #122054=ORIENTED_EDGE('',*,*,#90646,.T.); #122055=ORIENTED_EDGE('',*,*,#90647,.T.); #122056=ORIENTED_EDGE('',*,*,#90643,.F.); #122057=ORIENTED_EDGE('',*,*,#90648,.F.); #122058=ORIENTED_EDGE('',*,*,#90649,.T.); #122059=ORIENTED_EDGE('',*,*,#90650,.T.); #122060=ORIENTED_EDGE('',*,*,#90646,.F.); #122061=ORIENTED_EDGE('',*,*,#90651,.F.); #122062=ORIENTED_EDGE('',*,*,#90652,.T.); #122063=ORIENTED_EDGE('',*,*,#90653,.T.); #122064=ORIENTED_EDGE('',*,*,#90649,.F.); #122065=ORIENTED_EDGE('',*,*,#90654,.F.); #122066=ORIENTED_EDGE('',*,*,#90655,.T.); #122067=ORIENTED_EDGE('',*,*,#90656,.T.); #122068=ORIENTED_EDGE('',*,*,#90652,.F.); #122069=ORIENTED_EDGE('',*,*,#90657,.F.); #122070=ORIENTED_EDGE('',*,*,#90658,.T.); #122071=ORIENTED_EDGE('',*,*,#90659,.T.); #122072=ORIENTED_EDGE('',*,*,#90655,.F.); #122073=ORIENTED_EDGE('',*,*,#90660,.F.); #122074=ORIENTED_EDGE('',*,*,#90661,.T.); #122075=ORIENTED_EDGE('',*,*,#90662,.T.); #122076=ORIENTED_EDGE('',*,*,#90658,.F.); #122077=ORIENTED_EDGE('',*,*,#90663,.F.); #122078=ORIENTED_EDGE('',*,*,#90664,.T.); #122079=ORIENTED_EDGE('',*,*,#90665,.T.); #122080=ORIENTED_EDGE('',*,*,#90661,.F.); #122081=ORIENTED_EDGE('',*,*,#90666,.F.); #122082=ORIENTED_EDGE('',*,*,#90667,.T.); #122083=ORIENTED_EDGE('',*,*,#90668,.T.); #122084=ORIENTED_EDGE('',*,*,#90664,.F.); #122085=ORIENTED_EDGE('',*,*,#90669,.F.); #122086=ORIENTED_EDGE('',*,*,#90670,.T.); #122087=ORIENTED_EDGE('',*,*,#90671,.T.); #122088=ORIENTED_EDGE('',*,*,#90667,.F.); #122089=ORIENTED_EDGE('',*,*,#90672,.F.); #122090=ORIENTED_EDGE('',*,*,#90673,.T.); #122091=ORIENTED_EDGE('',*,*,#90674,.T.); #122092=ORIENTED_EDGE('',*,*,#90670,.F.); #122093=ORIENTED_EDGE('',*,*,#90675,.F.); #122094=ORIENTED_EDGE('',*,*,#90676,.T.); #122095=ORIENTED_EDGE('',*,*,#90677,.T.); #122096=ORIENTED_EDGE('',*,*,#90673,.F.); #122097=ORIENTED_EDGE('',*,*,#90678,.F.); #122098=ORIENTED_EDGE('',*,*,#90679,.T.); #122099=ORIENTED_EDGE('',*,*,#90680,.T.); #122100=ORIENTED_EDGE('',*,*,#90676,.F.); #122101=ORIENTED_EDGE('',*,*,#90681,.F.); #122102=ORIENTED_EDGE('',*,*,#90682,.T.); #122103=ORIENTED_EDGE('',*,*,#90683,.T.); #122104=ORIENTED_EDGE('',*,*,#90679,.F.); #122105=ORIENTED_EDGE('',*,*,#90684,.F.); #122106=ORIENTED_EDGE('',*,*,#90341,.T.); #122107=ORIENTED_EDGE('',*,*,#90685,.T.); #122108=ORIENTED_EDGE('',*,*,#90682,.F.); #122109=ORIENTED_EDGE('',*,*,#90685,.F.); #122110=ORIENTED_EDGE('',*,*,#90340,.F.); #122111=ORIENTED_EDGE('',*,*,#90344,.F.); #122112=ORIENTED_EDGE('',*,*,#90347,.F.); #122113=ORIENTED_EDGE('',*,*,#90350,.F.); #122114=ORIENTED_EDGE('',*,*,#90353,.F.); #122115=ORIENTED_EDGE('',*,*,#90356,.F.); #122116=ORIENTED_EDGE('',*,*,#90359,.F.); #122117=ORIENTED_EDGE('',*,*,#90362,.F.); #122118=ORIENTED_EDGE('',*,*,#90365,.F.); #122119=ORIENTED_EDGE('',*,*,#90368,.F.); #122120=ORIENTED_EDGE('',*,*,#90371,.F.); #122121=ORIENTED_EDGE('',*,*,#90374,.F.); #122122=ORIENTED_EDGE('',*,*,#90377,.F.); #122123=ORIENTED_EDGE('',*,*,#90380,.F.); #122124=ORIENTED_EDGE('',*,*,#90383,.F.); #122125=ORIENTED_EDGE('',*,*,#90386,.F.); #122126=ORIENTED_EDGE('',*,*,#90389,.F.); #122127=ORIENTED_EDGE('',*,*,#90392,.F.); #122128=ORIENTED_EDGE('',*,*,#90395,.F.); #122129=ORIENTED_EDGE('',*,*,#90398,.F.); #122130=ORIENTED_EDGE('',*,*,#90401,.F.); #122131=ORIENTED_EDGE('',*,*,#90404,.F.); #122132=ORIENTED_EDGE('',*,*,#90407,.F.); #122133=ORIENTED_EDGE('',*,*,#90410,.F.); #122134=ORIENTED_EDGE('',*,*,#90413,.F.); #122135=ORIENTED_EDGE('',*,*,#90416,.F.); #122136=ORIENTED_EDGE('',*,*,#90419,.F.); #122137=ORIENTED_EDGE('',*,*,#90422,.F.); #122138=ORIENTED_EDGE('',*,*,#90425,.F.); #122139=ORIENTED_EDGE('',*,*,#90428,.F.); #122140=ORIENTED_EDGE('',*,*,#90431,.F.); #122141=ORIENTED_EDGE('',*,*,#90434,.F.); #122142=ORIENTED_EDGE('',*,*,#90437,.F.); #122143=ORIENTED_EDGE('',*,*,#90440,.F.); #122144=ORIENTED_EDGE('',*,*,#90443,.F.); #122145=ORIENTED_EDGE('',*,*,#90446,.F.); #122146=ORIENTED_EDGE('',*,*,#90449,.F.); #122147=ORIENTED_EDGE('',*,*,#90452,.F.); #122148=ORIENTED_EDGE('',*,*,#90455,.F.); #122149=ORIENTED_EDGE('',*,*,#90458,.F.); #122150=ORIENTED_EDGE('',*,*,#90461,.F.); #122151=ORIENTED_EDGE('',*,*,#90464,.F.); #122152=ORIENTED_EDGE('',*,*,#90467,.F.); #122153=ORIENTED_EDGE('',*,*,#90470,.F.); #122154=ORIENTED_EDGE('',*,*,#90473,.F.); #122155=ORIENTED_EDGE('',*,*,#90476,.F.); #122156=ORIENTED_EDGE('',*,*,#90479,.F.); #122157=ORIENTED_EDGE('',*,*,#90482,.F.); #122158=ORIENTED_EDGE('',*,*,#90485,.F.); #122159=ORIENTED_EDGE('',*,*,#90488,.F.); #122160=ORIENTED_EDGE('',*,*,#90491,.F.); #122161=ORIENTED_EDGE('',*,*,#90494,.F.); #122162=ORIENTED_EDGE('',*,*,#90497,.F.); #122163=ORIENTED_EDGE('',*,*,#90500,.F.); #122164=ORIENTED_EDGE('',*,*,#90503,.F.); #122165=ORIENTED_EDGE('',*,*,#90506,.F.); #122166=ORIENTED_EDGE('',*,*,#90509,.F.); #122167=ORIENTED_EDGE('',*,*,#90512,.F.); #122168=ORIENTED_EDGE('',*,*,#90515,.F.); #122169=ORIENTED_EDGE('',*,*,#90518,.F.); #122170=ORIENTED_EDGE('',*,*,#90521,.F.); #122171=ORIENTED_EDGE('',*,*,#90524,.F.); #122172=ORIENTED_EDGE('',*,*,#90527,.F.); #122173=ORIENTED_EDGE('',*,*,#90530,.F.); #122174=ORIENTED_EDGE('',*,*,#90533,.F.); #122175=ORIENTED_EDGE('',*,*,#90536,.F.); #122176=ORIENTED_EDGE('',*,*,#90539,.F.); #122177=ORIENTED_EDGE('',*,*,#90542,.F.); #122178=ORIENTED_EDGE('',*,*,#90545,.F.); #122179=ORIENTED_EDGE('',*,*,#90548,.F.); #122180=ORIENTED_EDGE('',*,*,#90551,.F.); #122181=ORIENTED_EDGE('',*,*,#90554,.F.); #122182=ORIENTED_EDGE('',*,*,#90557,.F.); #122183=ORIENTED_EDGE('',*,*,#90560,.F.); #122184=ORIENTED_EDGE('',*,*,#90563,.F.); #122185=ORIENTED_EDGE('',*,*,#90566,.F.); #122186=ORIENTED_EDGE('',*,*,#90569,.F.); #122187=ORIENTED_EDGE('',*,*,#90572,.F.); #122188=ORIENTED_EDGE('',*,*,#90575,.F.); #122189=ORIENTED_EDGE('',*,*,#90578,.F.); #122190=ORIENTED_EDGE('',*,*,#90581,.F.); #122191=ORIENTED_EDGE('',*,*,#90584,.F.); #122192=ORIENTED_EDGE('',*,*,#90587,.F.); #122193=ORIENTED_EDGE('',*,*,#90590,.F.); #122194=ORIENTED_EDGE('',*,*,#90593,.F.); #122195=ORIENTED_EDGE('',*,*,#90596,.F.); #122196=ORIENTED_EDGE('',*,*,#90599,.F.); #122197=ORIENTED_EDGE('',*,*,#90602,.F.); #122198=ORIENTED_EDGE('',*,*,#90605,.F.); #122199=ORIENTED_EDGE('',*,*,#90608,.F.); #122200=ORIENTED_EDGE('',*,*,#90611,.F.); #122201=ORIENTED_EDGE('',*,*,#90614,.F.); #122202=ORIENTED_EDGE('',*,*,#90617,.F.); #122203=ORIENTED_EDGE('',*,*,#90620,.F.); #122204=ORIENTED_EDGE('',*,*,#90623,.F.); #122205=ORIENTED_EDGE('',*,*,#90626,.F.); #122206=ORIENTED_EDGE('',*,*,#90629,.F.); #122207=ORIENTED_EDGE('',*,*,#90632,.F.); #122208=ORIENTED_EDGE('',*,*,#90635,.F.); #122209=ORIENTED_EDGE('',*,*,#90638,.F.); #122210=ORIENTED_EDGE('',*,*,#90641,.F.); #122211=ORIENTED_EDGE('',*,*,#90644,.F.); #122212=ORIENTED_EDGE('',*,*,#90647,.F.); #122213=ORIENTED_EDGE('',*,*,#90650,.F.); #122214=ORIENTED_EDGE('',*,*,#90653,.F.); #122215=ORIENTED_EDGE('',*,*,#90656,.F.); #122216=ORIENTED_EDGE('',*,*,#90659,.F.); #122217=ORIENTED_EDGE('',*,*,#90662,.F.); #122218=ORIENTED_EDGE('',*,*,#90665,.F.); #122219=ORIENTED_EDGE('',*,*,#90668,.F.); #122220=ORIENTED_EDGE('',*,*,#90671,.F.); #122221=ORIENTED_EDGE('',*,*,#90674,.F.); #122222=ORIENTED_EDGE('',*,*,#90677,.F.); #122223=ORIENTED_EDGE('',*,*,#90680,.F.); #122224=ORIENTED_EDGE('',*,*,#90683,.F.); #122225=ORIENTED_EDGE('',*,*,#90686,.F.); #122226=ORIENTED_EDGE('',*,*,#90687,.F.); #122227=ORIENTED_EDGE('',*,*,#90688,.F.); #122228=ORIENTED_EDGE('',*,*,#90689,.F.); #122229=ORIENTED_EDGE('',*,*,#90690,.T.); #122230=ORIENTED_EDGE('',*,*,#90691,.T.); #122231=ORIENTED_EDGE('',*,*,#90692,.T.); #122232=ORIENTED_EDGE('',*,*,#90693,.T.); #122233=ORIENTED_EDGE('',*,*,#90694,.T.); #122234=ORIENTED_EDGE('',*,*,#90695,.F.); #122235=ORIENTED_EDGE('',*,*,#90696,.T.); #122236=ORIENTED_EDGE('',*,*,#90697,.T.); #122237=ORIENTED_EDGE('',*,*,#90698,.T.); #122238=ORIENTED_EDGE('',*,*,#90699,.T.); #122239=ORIENTED_EDGE('',*,*,#90700,.T.); #122240=ORIENTED_EDGE('',*,*,#90701,.F.); #122241=ORIENTED_EDGE('',*,*,#90702,.T.); #122242=ORIENTED_EDGE('',*,*,#90703,.T.); #122243=ORIENTED_EDGE('',*,*,#90704,.T.); #122244=ORIENTED_EDGE('',*,*,#90705,.T.); #122245=ORIENTED_EDGE('',*,*,#90706,.T.); #122246=ORIENTED_EDGE('',*,*,#90707,.F.); #122247=ORIENTED_EDGE('',*,*,#90708,.T.); #122248=ORIENTED_EDGE('',*,*,#90709,.T.); #122249=ORIENTED_EDGE('',*,*,#90710,.T.); #122250=ORIENTED_EDGE('',*,*,#90711,.T.); #122251=ORIENTED_EDGE('',*,*,#90712,.T.); #122252=ORIENTED_EDGE('',*,*,#90713,.T.); #122253=ORIENTED_EDGE('',*,*,#90714,.T.); #122254=ORIENTED_EDGE('',*,*,#90715,.F.); #122255=ORIENTED_EDGE('',*,*,#90716,.T.); #122256=ORIENTED_EDGE('',*,*,#90717,.T.); #122257=ORIENTED_EDGE('',*,*,#90718,.T.); #122258=ORIENTED_EDGE('',*,*,#90719,.T.); #122259=ORIENTED_EDGE('',*,*,#90720,.T.); #122260=ORIENTED_EDGE('',*,*,#90721,.F.); #122261=ORIENTED_EDGE('',*,*,#90722,.T.); #122262=ORIENTED_EDGE('',*,*,#90723,.T.); #122263=ORIENTED_EDGE('',*,*,#90724,.T.); #122264=ORIENTED_EDGE('',*,*,#90725,.T.); #122265=ORIENTED_EDGE('',*,*,#90726,.T.); #122266=ORIENTED_EDGE('',*,*,#90727,.F.); #122267=ORIENTED_EDGE('',*,*,#90728,.T.); #122268=ORIENTED_EDGE('',*,*,#90729,.T.); #122269=ORIENTED_EDGE('',*,*,#90730,.T.); #122270=ORIENTED_EDGE('',*,*,#90731,.T.); #122271=ORIENTED_EDGE('',*,*,#90732,.T.); #122272=ORIENTED_EDGE('',*,*,#90733,.F.); #122273=ORIENTED_EDGE('',*,*,#77876,.T.); #122274=ORIENTED_EDGE('',*,*,#78210,.T.); #122275=ORIENTED_EDGE('',*,*,#78207,.T.); #122276=ORIENTED_EDGE('',*,*,#78204,.T.); #122277=ORIENTED_EDGE('',*,*,#78201,.T.); #122278=ORIENTED_EDGE('',*,*,#78198,.T.); #122279=ORIENTED_EDGE('',*,*,#78195,.T.); #122280=ORIENTED_EDGE('',*,*,#78192,.T.); #122281=ORIENTED_EDGE('',*,*,#78189,.T.); #122282=ORIENTED_EDGE('',*,*,#78186,.T.); #122283=ORIENTED_EDGE('',*,*,#78183,.T.); #122284=ORIENTED_EDGE('',*,*,#78180,.T.); #122285=ORIENTED_EDGE('',*,*,#78177,.T.); #122286=ORIENTED_EDGE('',*,*,#78174,.T.); #122287=ORIENTED_EDGE('',*,*,#78171,.T.); #122288=ORIENTED_EDGE('',*,*,#78168,.T.); #122289=ORIENTED_EDGE('',*,*,#78165,.T.); #122290=ORIENTED_EDGE('',*,*,#78162,.T.); #122291=ORIENTED_EDGE('',*,*,#78159,.T.); #122292=ORIENTED_EDGE('',*,*,#78156,.T.); #122293=ORIENTED_EDGE('',*,*,#78153,.T.); #122294=ORIENTED_EDGE('',*,*,#78150,.T.); #122295=ORIENTED_EDGE('',*,*,#78147,.T.); #122296=ORIENTED_EDGE('',*,*,#78144,.T.); #122297=ORIENTED_EDGE('',*,*,#78141,.T.); #122298=ORIENTED_EDGE('',*,*,#78138,.T.); #122299=ORIENTED_EDGE('',*,*,#78135,.T.); #122300=ORIENTED_EDGE('',*,*,#78132,.T.); #122301=ORIENTED_EDGE('',*,*,#78129,.T.); #122302=ORIENTED_EDGE('',*,*,#78126,.T.); #122303=ORIENTED_EDGE('',*,*,#78123,.T.); #122304=ORIENTED_EDGE('',*,*,#78120,.T.); #122305=ORIENTED_EDGE('',*,*,#78117,.T.); #122306=ORIENTED_EDGE('',*,*,#78114,.T.); #122307=ORIENTED_EDGE('',*,*,#78111,.T.); #122308=ORIENTED_EDGE('',*,*,#78108,.T.); #122309=ORIENTED_EDGE('',*,*,#78105,.T.); #122310=ORIENTED_EDGE('',*,*,#78102,.T.); #122311=ORIENTED_EDGE('',*,*,#78099,.T.); #122312=ORIENTED_EDGE('',*,*,#78096,.T.); #122313=ORIENTED_EDGE('',*,*,#78093,.T.); #122314=ORIENTED_EDGE('',*,*,#78090,.T.); #122315=ORIENTED_EDGE('',*,*,#78087,.T.); #122316=ORIENTED_EDGE('',*,*,#78084,.T.); #122317=ORIENTED_EDGE('',*,*,#78081,.T.); #122318=ORIENTED_EDGE('',*,*,#78078,.T.); #122319=ORIENTED_EDGE('',*,*,#78075,.T.); #122320=ORIENTED_EDGE('',*,*,#78072,.T.); #122321=ORIENTED_EDGE('',*,*,#78069,.T.); #122322=ORIENTED_EDGE('',*,*,#78066,.T.); #122323=ORIENTED_EDGE('',*,*,#78063,.T.); #122324=ORIENTED_EDGE('',*,*,#78060,.T.); #122325=ORIENTED_EDGE('',*,*,#78057,.T.); #122326=ORIENTED_EDGE('',*,*,#78054,.T.); #122327=ORIENTED_EDGE('',*,*,#78051,.T.); #122328=ORIENTED_EDGE('',*,*,#78048,.T.); #122329=ORIENTED_EDGE('',*,*,#78045,.T.); #122330=ORIENTED_EDGE('',*,*,#78042,.T.); #122331=ORIENTED_EDGE('',*,*,#78039,.T.); #122332=ORIENTED_EDGE('',*,*,#78036,.T.); #122333=ORIENTED_EDGE('',*,*,#78033,.T.); #122334=ORIENTED_EDGE('',*,*,#78030,.T.); #122335=ORIENTED_EDGE('',*,*,#78027,.T.); #122336=ORIENTED_EDGE('',*,*,#78024,.T.); #122337=ORIENTED_EDGE('',*,*,#78021,.T.); #122338=ORIENTED_EDGE('',*,*,#78018,.T.); #122339=ORIENTED_EDGE('',*,*,#78015,.T.); #122340=ORIENTED_EDGE('',*,*,#78012,.T.); #122341=ORIENTED_EDGE('',*,*,#78009,.T.); #122342=ORIENTED_EDGE('',*,*,#78006,.T.); #122343=ORIENTED_EDGE('',*,*,#78003,.T.); #122344=ORIENTED_EDGE('',*,*,#78000,.T.); #122345=ORIENTED_EDGE('',*,*,#77997,.T.); #122346=ORIENTED_EDGE('',*,*,#77994,.T.); #122347=ORIENTED_EDGE('',*,*,#77991,.T.); #122348=ORIENTED_EDGE('',*,*,#77988,.T.); #122349=ORIENTED_EDGE('',*,*,#77985,.T.); #122350=ORIENTED_EDGE('',*,*,#77982,.T.); #122351=ORIENTED_EDGE('',*,*,#77979,.T.); #122352=ORIENTED_EDGE('',*,*,#77976,.T.); #122353=ORIENTED_EDGE('',*,*,#77973,.T.); #122354=ORIENTED_EDGE('',*,*,#77970,.T.); #122355=ORIENTED_EDGE('',*,*,#77967,.T.); #122356=ORIENTED_EDGE('',*,*,#77964,.T.); #122357=ORIENTED_EDGE('',*,*,#77961,.T.); #122358=ORIENTED_EDGE('',*,*,#77958,.T.); #122359=ORIENTED_EDGE('',*,*,#77955,.T.); #122360=ORIENTED_EDGE('',*,*,#77952,.T.); #122361=ORIENTED_EDGE('',*,*,#77949,.T.); #122362=ORIENTED_EDGE('',*,*,#77946,.T.); #122363=ORIENTED_EDGE('',*,*,#77943,.T.); #122364=ORIENTED_EDGE('',*,*,#77940,.T.); #122365=ORIENTED_EDGE('',*,*,#77937,.T.); #122366=ORIENTED_EDGE('',*,*,#77934,.T.); #122367=ORIENTED_EDGE('',*,*,#77931,.T.); #122368=ORIENTED_EDGE('',*,*,#77928,.T.); #122369=ORIENTED_EDGE('',*,*,#77925,.T.); #122370=ORIENTED_EDGE('',*,*,#77922,.T.); #122371=ORIENTED_EDGE('',*,*,#77919,.T.); #122372=ORIENTED_EDGE('',*,*,#77916,.T.); #122373=ORIENTED_EDGE('',*,*,#77913,.T.); #122374=ORIENTED_EDGE('',*,*,#77910,.T.); #122375=ORIENTED_EDGE('',*,*,#77907,.T.); #122376=ORIENTED_EDGE('',*,*,#77904,.T.); #122377=ORIENTED_EDGE('',*,*,#77901,.T.); #122378=ORIENTED_EDGE('',*,*,#77898,.T.); #122379=ORIENTED_EDGE('',*,*,#77895,.T.); #122380=ORIENTED_EDGE('',*,*,#77892,.T.); #122381=ORIENTED_EDGE('',*,*,#77889,.T.); #122382=ORIENTED_EDGE('',*,*,#77886,.T.); #122383=ORIENTED_EDGE('',*,*,#77883,.T.); #122384=ORIENTED_EDGE('',*,*,#77880,.T.); #122385=ORIENTED_EDGE('',*,*,#78245,.T.); #122386=ORIENTED_EDGE('',*,*,#78516,.T.); #122387=ORIENTED_EDGE('',*,*,#78513,.T.); #122388=ORIENTED_EDGE('',*,*,#78510,.T.); #122389=ORIENTED_EDGE('',*,*,#78507,.T.); #122390=ORIENTED_EDGE('',*,*,#78504,.T.); #122391=ORIENTED_EDGE('',*,*,#78501,.T.); #122392=ORIENTED_EDGE('',*,*,#78498,.T.); #122393=ORIENTED_EDGE('',*,*,#78495,.T.); #122394=ORIENTED_EDGE('',*,*,#78492,.T.); #122395=ORIENTED_EDGE('',*,*,#78489,.T.); #122396=ORIENTED_EDGE('',*,*,#78486,.T.); #122397=ORIENTED_EDGE('',*,*,#78483,.T.); #122398=ORIENTED_EDGE('',*,*,#78480,.T.); #122399=ORIENTED_EDGE('',*,*,#78477,.T.); #122400=ORIENTED_EDGE('',*,*,#78474,.T.); #122401=ORIENTED_EDGE('',*,*,#78471,.T.); #122402=ORIENTED_EDGE('',*,*,#78468,.T.); #122403=ORIENTED_EDGE('',*,*,#78465,.T.); #122404=ORIENTED_EDGE('',*,*,#78462,.T.); #122405=ORIENTED_EDGE('',*,*,#78459,.T.); #122406=ORIENTED_EDGE('',*,*,#78456,.T.); #122407=ORIENTED_EDGE('',*,*,#78453,.T.); #122408=ORIENTED_EDGE('',*,*,#78450,.T.); #122409=ORIENTED_EDGE('',*,*,#78447,.T.); #122410=ORIENTED_EDGE('',*,*,#78444,.T.); #122411=ORIENTED_EDGE('',*,*,#78441,.T.); #122412=ORIENTED_EDGE('',*,*,#78438,.T.); #122413=ORIENTED_EDGE('',*,*,#78435,.T.); #122414=ORIENTED_EDGE('',*,*,#78432,.T.); #122415=ORIENTED_EDGE('',*,*,#78429,.T.); #122416=ORIENTED_EDGE('',*,*,#78426,.T.); #122417=ORIENTED_EDGE('',*,*,#78423,.T.); #122418=ORIENTED_EDGE('',*,*,#78420,.T.); #122419=ORIENTED_EDGE('',*,*,#78417,.T.); #122420=ORIENTED_EDGE('',*,*,#78414,.T.); #122421=ORIENTED_EDGE('',*,*,#78411,.T.); #122422=ORIENTED_EDGE('',*,*,#78408,.T.); #122423=ORIENTED_EDGE('',*,*,#78405,.T.); #122424=ORIENTED_EDGE('',*,*,#78402,.T.); #122425=ORIENTED_EDGE('',*,*,#78399,.T.); #122426=ORIENTED_EDGE('',*,*,#78396,.T.); #122427=ORIENTED_EDGE('',*,*,#78393,.T.); #122428=ORIENTED_EDGE('',*,*,#78390,.T.); #122429=ORIENTED_EDGE('',*,*,#78387,.T.); #122430=ORIENTED_EDGE('',*,*,#78384,.T.); #122431=ORIENTED_EDGE('',*,*,#78381,.T.); #122432=ORIENTED_EDGE('',*,*,#78378,.T.); #122433=ORIENTED_EDGE('',*,*,#78375,.T.); #122434=ORIENTED_EDGE('',*,*,#78372,.T.); #122435=ORIENTED_EDGE('',*,*,#78369,.T.); #122436=ORIENTED_EDGE('',*,*,#78366,.T.); #122437=ORIENTED_EDGE('',*,*,#78363,.T.); #122438=ORIENTED_EDGE('',*,*,#78360,.T.); #122439=ORIENTED_EDGE('',*,*,#78357,.T.); #122440=ORIENTED_EDGE('',*,*,#78354,.T.); #122441=ORIENTED_EDGE('',*,*,#78351,.T.); #122442=ORIENTED_EDGE('',*,*,#78348,.T.); #122443=ORIENTED_EDGE('',*,*,#78345,.T.); #122444=ORIENTED_EDGE('',*,*,#78342,.T.); #122445=ORIENTED_EDGE('',*,*,#78339,.T.); #122446=ORIENTED_EDGE('',*,*,#78336,.T.); #122447=ORIENTED_EDGE('',*,*,#78333,.T.); #122448=ORIENTED_EDGE('',*,*,#78330,.T.); #122449=ORIENTED_EDGE('',*,*,#78327,.T.); #122450=ORIENTED_EDGE('',*,*,#78324,.T.); #122451=ORIENTED_EDGE('',*,*,#78321,.T.); #122452=ORIENTED_EDGE('',*,*,#78318,.T.); #122453=ORIENTED_EDGE('',*,*,#78315,.T.); #122454=ORIENTED_EDGE('',*,*,#78312,.T.); #122455=ORIENTED_EDGE('',*,*,#78309,.T.); #122456=ORIENTED_EDGE('',*,*,#78306,.T.); #122457=ORIENTED_EDGE('',*,*,#78303,.T.); #122458=ORIENTED_EDGE('',*,*,#78300,.T.); #122459=ORIENTED_EDGE('',*,*,#78297,.T.); #122460=ORIENTED_EDGE('',*,*,#78294,.T.); #122461=ORIENTED_EDGE('',*,*,#78291,.T.); #122462=ORIENTED_EDGE('',*,*,#78288,.T.); #122463=ORIENTED_EDGE('',*,*,#78285,.T.); #122464=ORIENTED_EDGE('',*,*,#78282,.T.); #122465=ORIENTED_EDGE('',*,*,#78279,.T.); #122466=ORIENTED_EDGE('',*,*,#78276,.T.); #122467=ORIENTED_EDGE('',*,*,#78273,.T.); #122468=ORIENTED_EDGE('',*,*,#78270,.T.); #122469=ORIENTED_EDGE('',*,*,#78267,.T.); #122470=ORIENTED_EDGE('',*,*,#78264,.T.); #122471=ORIENTED_EDGE('',*,*,#78261,.T.); #122472=ORIENTED_EDGE('',*,*,#78258,.T.); #122473=ORIENTED_EDGE('',*,*,#78255,.T.); #122474=ORIENTED_EDGE('',*,*,#78252,.T.); #122475=ORIENTED_EDGE('',*,*,#78249,.T.); #122476=ORIENTED_EDGE('',*,*,#78518,.T.); #122477=ORIENTED_EDGE('',*,*,#78531,.T.); #122478=ORIENTED_EDGE('',*,*,#78528,.T.); #122479=ORIENTED_EDGE('',*,*,#78525,.T.); #122480=ORIENTED_EDGE('',*,*,#78522,.T.); #122481=ORIENTED_EDGE('',*,*,#78533,.T.); #122482=ORIENTED_EDGE('',*,*,#78813,.T.); #122483=ORIENTED_EDGE('',*,*,#78810,.T.); #122484=ORIENTED_EDGE('',*,*,#78807,.T.); #122485=ORIENTED_EDGE('',*,*,#78804,.T.); #122486=ORIENTED_EDGE('',*,*,#78801,.T.); #122487=ORIENTED_EDGE('',*,*,#78798,.T.); #122488=ORIENTED_EDGE('',*,*,#78795,.T.); #122489=ORIENTED_EDGE('',*,*,#78792,.T.); #122490=ORIENTED_EDGE('',*,*,#78789,.T.); #122491=ORIENTED_EDGE('',*,*,#78786,.T.); #122492=ORIENTED_EDGE('',*,*,#78783,.T.); #122493=ORIENTED_EDGE('',*,*,#78780,.T.); #122494=ORIENTED_EDGE('',*,*,#78777,.T.); #122495=ORIENTED_EDGE('',*,*,#78774,.T.); #122496=ORIENTED_EDGE('',*,*,#78771,.T.); #122497=ORIENTED_EDGE('',*,*,#78768,.T.); #122498=ORIENTED_EDGE('',*,*,#78765,.T.); #122499=ORIENTED_EDGE('',*,*,#78762,.T.); #122500=ORIENTED_EDGE('',*,*,#78759,.T.); #122501=ORIENTED_EDGE('',*,*,#78756,.T.); #122502=ORIENTED_EDGE('',*,*,#78753,.T.); #122503=ORIENTED_EDGE('',*,*,#78750,.T.); #122504=ORIENTED_EDGE('',*,*,#78747,.T.); #122505=ORIENTED_EDGE('',*,*,#78744,.T.); #122506=ORIENTED_EDGE('',*,*,#78741,.T.); #122507=ORIENTED_EDGE('',*,*,#78738,.T.); #122508=ORIENTED_EDGE('',*,*,#78735,.T.); #122509=ORIENTED_EDGE('',*,*,#78732,.T.); #122510=ORIENTED_EDGE('',*,*,#78729,.T.); #122511=ORIENTED_EDGE('',*,*,#78726,.T.); #122512=ORIENTED_EDGE('',*,*,#78723,.T.); #122513=ORIENTED_EDGE('',*,*,#78720,.T.); #122514=ORIENTED_EDGE('',*,*,#78717,.T.); #122515=ORIENTED_EDGE('',*,*,#78714,.T.); #122516=ORIENTED_EDGE('',*,*,#78711,.T.); #122517=ORIENTED_EDGE('',*,*,#78708,.T.); #122518=ORIENTED_EDGE('',*,*,#78705,.T.); #122519=ORIENTED_EDGE('',*,*,#78702,.T.); #122520=ORIENTED_EDGE('',*,*,#78699,.T.); #122521=ORIENTED_EDGE('',*,*,#78696,.T.); #122522=ORIENTED_EDGE('',*,*,#78693,.T.); #122523=ORIENTED_EDGE('',*,*,#78690,.T.); #122524=ORIENTED_EDGE('',*,*,#78687,.T.); #122525=ORIENTED_EDGE('',*,*,#78684,.T.); #122526=ORIENTED_EDGE('',*,*,#78681,.T.); #122527=ORIENTED_EDGE('',*,*,#78678,.T.); #122528=ORIENTED_EDGE('',*,*,#78675,.T.); #122529=ORIENTED_EDGE('',*,*,#78672,.T.); #122530=ORIENTED_EDGE('',*,*,#78669,.T.); #122531=ORIENTED_EDGE('',*,*,#78666,.T.); #122532=ORIENTED_EDGE('',*,*,#78663,.T.); #122533=ORIENTED_EDGE('',*,*,#78660,.T.); #122534=ORIENTED_EDGE('',*,*,#78657,.T.); #122535=ORIENTED_EDGE('',*,*,#78654,.T.); #122536=ORIENTED_EDGE('',*,*,#78651,.T.); #122537=ORIENTED_EDGE('',*,*,#78648,.T.); #122538=ORIENTED_EDGE('',*,*,#78645,.T.); #122539=ORIENTED_EDGE('',*,*,#78642,.T.); #122540=ORIENTED_EDGE('',*,*,#78639,.T.); #122541=ORIENTED_EDGE('',*,*,#78636,.T.); #122542=ORIENTED_EDGE('',*,*,#78633,.T.); #122543=ORIENTED_EDGE('',*,*,#78630,.T.); #122544=ORIENTED_EDGE('',*,*,#78627,.T.); #122545=ORIENTED_EDGE('',*,*,#78624,.T.); #122546=ORIENTED_EDGE('',*,*,#78621,.T.); #122547=ORIENTED_EDGE('',*,*,#78618,.T.); #122548=ORIENTED_EDGE('',*,*,#78615,.T.); #122549=ORIENTED_EDGE('',*,*,#78612,.T.); #122550=ORIENTED_EDGE('',*,*,#78609,.T.); #122551=ORIENTED_EDGE('',*,*,#78606,.T.); #122552=ORIENTED_EDGE('',*,*,#78603,.T.); #122553=ORIENTED_EDGE('',*,*,#78600,.T.); #122554=ORIENTED_EDGE('',*,*,#78597,.T.); #122555=ORIENTED_EDGE('',*,*,#78594,.T.); #122556=ORIENTED_EDGE('',*,*,#78591,.T.); #122557=ORIENTED_EDGE('',*,*,#78588,.T.); #122558=ORIENTED_EDGE('',*,*,#78585,.T.); #122559=ORIENTED_EDGE('',*,*,#78582,.T.); #122560=ORIENTED_EDGE('',*,*,#78579,.T.); #122561=ORIENTED_EDGE('',*,*,#78576,.T.); #122562=ORIENTED_EDGE('',*,*,#78573,.T.); #122563=ORIENTED_EDGE('',*,*,#78570,.T.); #122564=ORIENTED_EDGE('',*,*,#78567,.T.); #122565=ORIENTED_EDGE('',*,*,#78564,.T.); #122566=ORIENTED_EDGE('',*,*,#78561,.T.); #122567=ORIENTED_EDGE('',*,*,#78558,.T.); #122568=ORIENTED_EDGE('',*,*,#78555,.T.); #122569=ORIENTED_EDGE('',*,*,#78552,.T.); #122570=ORIENTED_EDGE('',*,*,#78549,.T.); #122571=ORIENTED_EDGE('',*,*,#78546,.T.); #122572=ORIENTED_EDGE('',*,*,#78543,.T.); #122573=ORIENTED_EDGE('',*,*,#78540,.T.); #122574=ORIENTED_EDGE('',*,*,#78537,.T.); #122575=ORIENTED_EDGE('',*,*,#78815,.T.); #122576=ORIENTED_EDGE('',*,*,#79140,.T.); #122577=ORIENTED_EDGE('',*,*,#79137,.T.); #122578=ORIENTED_EDGE('',*,*,#79134,.T.); #122579=ORIENTED_EDGE('',*,*,#79131,.T.); #122580=ORIENTED_EDGE('',*,*,#79128,.T.); #122581=ORIENTED_EDGE('',*,*,#79125,.T.); #122582=ORIENTED_EDGE('',*,*,#79122,.T.); #122583=ORIENTED_EDGE('',*,*,#79119,.T.); #122584=ORIENTED_EDGE('',*,*,#79116,.T.); #122585=ORIENTED_EDGE('',*,*,#79113,.T.); #122586=ORIENTED_EDGE('',*,*,#79110,.T.); #122587=ORIENTED_EDGE('',*,*,#79107,.T.); #122588=ORIENTED_EDGE('',*,*,#79104,.T.); #122589=ORIENTED_EDGE('',*,*,#79101,.T.); #122590=ORIENTED_EDGE('',*,*,#79098,.T.); #122591=ORIENTED_EDGE('',*,*,#79095,.T.); #122592=ORIENTED_EDGE('',*,*,#79092,.T.); #122593=ORIENTED_EDGE('',*,*,#79089,.T.); #122594=ORIENTED_EDGE('',*,*,#79086,.T.); #122595=ORIENTED_EDGE('',*,*,#79083,.T.); #122596=ORIENTED_EDGE('',*,*,#79080,.T.); #122597=ORIENTED_EDGE('',*,*,#79077,.T.); #122598=ORIENTED_EDGE('',*,*,#79074,.T.); #122599=ORIENTED_EDGE('',*,*,#79071,.T.); #122600=ORIENTED_EDGE('',*,*,#79068,.T.); #122601=ORIENTED_EDGE('',*,*,#79065,.T.); #122602=ORIENTED_EDGE('',*,*,#79062,.T.); #122603=ORIENTED_EDGE('',*,*,#79059,.T.); #122604=ORIENTED_EDGE('',*,*,#79056,.T.); #122605=ORIENTED_EDGE('',*,*,#79053,.T.); #122606=ORIENTED_EDGE('',*,*,#79050,.T.); #122607=ORIENTED_EDGE('',*,*,#79047,.T.); #122608=ORIENTED_EDGE('',*,*,#79044,.T.); #122609=ORIENTED_EDGE('',*,*,#79041,.T.); #122610=ORIENTED_EDGE('',*,*,#79038,.T.); #122611=ORIENTED_EDGE('',*,*,#79035,.T.); #122612=ORIENTED_EDGE('',*,*,#79032,.T.); #122613=ORIENTED_EDGE('',*,*,#79029,.T.); #122614=ORIENTED_EDGE('',*,*,#79026,.T.); #122615=ORIENTED_EDGE('',*,*,#79023,.T.); #122616=ORIENTED_EDGE('',*,*,#79020,.T.); #122617=ORIENTED_EDGE('',*,*,#79017,.T.); #122618=ORIENTED_EDGE('',*,*,#79014,.T.); #122619=ORIENTED_EDGE('',*,*,#79011,.T.); #122620=ORIENTED_EDGE('',*,*,#79008,.T.); #122621=ORIENTED_EDGE('',*,*,#79005,.T.); #122622=ORIENTED_EDGE('',*,*,#79002,.T.); #122623=ORIENTED_EDGE('',*,*,#78999,.T.); #122624=ORIENTED_EDGE('',*,*,#78996,.T.); #122625=ORIENTED_EDGE('',*,*,#78993,.T.); #122626=ORIENTED_EDGE('',*,*,#78990,.T.); #122627=ORIENTED_EDGE('',*,*,#78987,.T.); #122628=ORIENTED_EDGE('',*,*,#78984,.T.); #122629=ORIENTED_EDGE('',*,*,#78981,.T.); #122630=ORIENTED_EDGE('',*,*,#78978,.T.); #122631=ORIENTED_EDGE('',*,*,#78975,.T.); #122632=ORIENTED_EDGE('',*,*,#78972,.T.); #122633=ORIENTED_EDGE('',*,*,#78969,.T.); #122634=ORIENTED_EDGE('',*,*,#78966,.T.); #122635=ORIENTED_EDGE('',*,*,#78963,.T.); #122636=ORIENTED_EDGE('',*,*,#78960,.T.); #122637=ORIENTED_EDGE('',*,*,#78957,.T.); #122638=ORIENTED_EDGE('',*,*,#78954,.T.); #122639=ORIENTED_EDGE('',*,*,#78951,.T.); #122640=ORIENTED_EDGE('',*,*,#78948,.T.); #122641=ORIENTED_EDGE('',*,*,#78945,.T.); #122642=ORIENTED_EDGE('',*,*,#78942,.T.); #122643=ORIENTED_EDGE('',*,*,#78939,.T.); #122644=ORIENTED_EDGE('',*,*,#78936,.T.); #122645=ORIENTED_EDGE('',*,*,#78933,.T.); #122646=ORIENTED_EDGE('',*,*,#78930,.T.); #122647=ORIENTED_EDGE('',*,*,#78927,.T.); #122648=ORIENTED_EDGE('',*,*,#78924,.T.); #122649=ORIENTED_EDGE('',*,*,#78921,.T.); #122650=ORIENTED_EDGE('',*,*,#78918,.T.); #122651=ORIENTED_EDGE('',*,*,#78915,.T.); #122652=ORIENTED_EDGE('',*,*,#78912,.T.); #122653=ORIENTED_EDGE('',*,*,#78909,.T.); #122654=ORIENTED_EDGE('',*,*,#78906,.T.); #122655=ORIENTED_EDGE('',*,*,#78903,.T.); #122656=ORIENTED_EDGE('',*,*,#78900,.T.); #122657=ORIENTED_EDGE('',*,*,#78897,.T.); #122658=ORIENTED_EDGE('',*,*,#78894,.T.); #122659=ORIENTED_EDGE('',*,*,#78891,.T.); #122660=ORIENTED_EDGE('',*,*,#78888,.T.); #122661=ORIENTED_EDGE('',*,*,#78885,.T.); #122662=ORIENTED_EDGE('',*,*,#78882,.T.); #122663=ORIENTED_EDGE('',*,*,#78879,.T.); #122664=ORIENTED_EDGE('',*,*,#78876,.T.); #122665=ORIENTED_EDGE('',*,*,#78873,.T.); #122666=ORIENTED_EDGE('',*,*,#78870,.T.); #122667=ORIENTED_EDGE('',*,*,#78867,.T.); #122668=ORIENTED_EDGE('',*,*,#78864,.T.); #122669=ORIENTED_EDGE('',*,*,#78861,.T.); #122670=ORIENTED_EDGE('',*,*,#78858,.T.); #122671=ORIENTED_EDGE('',*,*,#78855,.T.); #122672=ORIENTED_EDGE('',*,*,#78852,.T.); #122673=ORIENTED_EDGE('',*,*,#78849,.T.); #122674=ORIENTED_EDGE('',*,*,#78846,.T.); #122675=ORIENTED_EDGE('',*,*,#78843,.T.); #122676=ORIENTED_EDGE('',*,*,#78840,.T.); #122677=ORIENTED_EDGE('',*,*,#78837,.T.); #122678=ORIENTED_EDGE('',*,*,#78834,.T.); #122679=ORIENTED_EDGE('',*,*,#78831,.T.); #122680=ORIENTED_EDGE('',*,*,#78828,.T.); #122681=ORIENTED_EDGE('',*,*,#78825,.T.); #122682=ORIENTED_EDGE('',*,*,#78822,.T.); #122683=ORIENTED_EDGE('',*,*,#78819,.T.); #122684=ORIENTED_EDGE('',*,*,#79142,.T.); #122685=ORIENTED_EDGE('',*,*,#79512,.T.); #122686=ORIENTED_EDGE('',*,*,#79509,.T.); #122687=ORIENTED_EDGE('',*,*,#79506,.T.); #122688=ORIENTED_EDGE('',*,*,#79503,.T.); #122689=ORIENTED_EDGE('',*,*,#79500,.T.); #122690=ORIENTED_EDGE('',*,*,#79497,.T.); #122691=ORIENTED_EDGE('',*,*,#79494,.T.); #122692=ORIENTED_EDGE('',*,*,#79491,.T.); #122693=ORIENTED_EDGE('',*,*,#79488,.T.); #122694=ORIENTED_EDGE('',*,*,#79485,.T.); #122695=ORIENTED_EDGE('',*,*,#79482,.T.); #122696=ORIENTED_EDGE('',*,*,#79479,.T.); #122697=ORIENTED_EDGE('',*,*,#79476,.T.); #122698=ORIENTED_EDGE('',*,*,#79473,.T.); #122699=ORIENTED_EDGE('',*,*,#79470,.T.); #122700=ORIENTED_EDGE('',*,*,#79467,.T.); #122701=ORIENTED_EDGE('',*,*,#79464,.T.); #122702=ORIENTED_EDGE('',*,*,#79461,.T.); #122703=ORIENTED_EDGE('',*,*,#79458,.T.); #122704=ORIENTED_EDGE('',*,*,#79455,.T.); #122705=ORIENTED_EDGE('',*,*,#79452,.T.); #122706=ORIENTED_EDGE('',*,*,#79449,.T.); #122707=ORIENTED_EDGE('',*,*,#79446,.T.); #122708=ORIENTED_EDGE('',*,*,#79443,.T.); #122709=ORIENTED_EDGE('',*,*,#79440,.T.); #122710=ORIENTED_EDGE('',*,*,#79437,.T.); #122711=ORIENTED_EDGE('',*,*,#79434,.T.); #122712=ORIENTED_EDGE('',*,*,#79431,.T.); #122713=ORIENTED_EDGE('',*,*,#79428,.T.); #122714=ORIENTED_EDGE('',*,*,#79425,.T.); #122715=ORIENTED_EDGE('',*,*,#79422,.T.); #122716=ORIENTED_EDGE('',*,*,#79419,.T.); #122717=ORIENTED_EDGE('',*,*,#79416,.T.); #122718=ORIENTED_EDGE('',*,*,#79413,.T.); #122719=ORIENTED_EDGE('',*,*,#79410,.T.); #122720=ORIENTED_EDGE('',*,*,#79407,.T.); #122721=ORIENTED_EDGE('',*,*,#79404,.T.); #122722=ORIENTED_EDGE('',*,*,#79401,.T.); #122723=ORIENTED_EDGE('',*,*,#79398,.T.); #122724=ORIENTED_EDGE('',*,*,#79395,.T.); #122725=ORIENTED_EDGE('',*,*,#79392,.T.); #122726=ORIENTED_EDGE('',*,*,#79389,.T.); #122727=ORIENTED_EDGE('',*,*,#79386,.T.); #122728=ORIENTED_EDGE('',*,*,#79383,.T.); #122729=ORIENTED_EDGE('',*,*,#79380,.T.); #122730=ORIENTED_EDGE('',*,*,#79377,.T.); #122731=ORIENTED_EDGE('',*,*,#79374,.T.); #122732=ORIENTED_EDGE('',*,*,#79371,.T.); #122733=ORIENTED_EDGE('',*,*,#79368,.T.); #122734=ORIENTED_EDGE('',*,*,#79365,.T.); #122735=ORIENTED_EDGE('',*,*,#79362,.T.); #122736=ORIENTED_EDGE('',*,*,#79359,.T.); #122737=ORIENTED_EDGE('',*,*,#79356,.T.); #122738=ORIENTED_EDGE('',*,*,#79353,.T.); #122739=ORIENTED_EDGE('',*,*,#79350,.T.); #122740=ORIENTED_EDGE('',*,*,#79347,.T.); #122741=ORIENTED_EDGE('',*,*,#79344,.T.); #122742=ORIENTED_EDGE('',*,*,#79341,.T.); #122743=ORIENTED_EDGE('',*,*,#79338,.T.); #122744=ORIENTED_EDGE('',*,*,#79335,.T.); #122745=ORIENTED_EDGE('',*,*,#79332,.T.); #122746=ORIENTED_EDGE('',*,*,#79329,.T.); #122747=ORIENTED_EDGE('',*,*,#79326,.T.); #122748=ORIENTED_EDGE('',*,*,#79323,.T.); #122749=ORIENTED_EDGE('',*,*,#79320,.T.); #122750=ORIENTED_EDGE('',*,*,#79317,.T.); #122751=ORIENTED_EDGE('',*,*,#79314,.T.); #122752=ORIENTED_EDGE('',*,*,#79311,.T.); #122753=ORIENTED_EDGE('',*,*,#79308,.T.); #122754=ORIENTED_EDGE('',*,*,#79305,.T.); #122755=ORIENTED_EDGE('',*,*,#79302,.T.); #122756=ORIENTED_EDGE('',*,*,#79299,.T.); #122757=ORIENTED_EDGE('',*,*,#79296,.T.); #122758=ORIENTED_EDGE('',*,*,#79293,.T.); #122759=ORIENTED_EDGE('',*,*,#79290,.T.); #122760=ORIENTED_EDGE('',*,*,#79287,.T.); #122761=ORIENTED_EDGE('',*,*,#79284,.T.); #122762=ORIENTED_EDGE('',*,*,#79281,.T.); #122763=ORIENTED_EDGE('',*,*,#79278,.T.); #122764=ORIENTED_EDGE('',*,*,#79275,.T.); #122765=ORIENTED_EDGE('',*,*,#79272,.T.); #122766=ORIENTED_EDGE('',*,*,#79269,.T.); #122767=ORIENTED_EDGE('',*,*,#79266,.T.); #122768=ORIENTED_EDGE('',*,*,#79263,.T.); #122769=ORIENTED_EDGE('',*,*,#79260,.T.); #122770=ORIENTED_EDGE('',*,*,#79257,.T.); #122771=ORIENTED_EDGE('',*,*,#79254,.T.); #122772=ORIENTED_EDGE('',*,*,#79251,.T.); #122773=ORIENTED_EDGE('',*,*,#79248,.T.); #122774=ORIENTED_EDGE('',*,*,#79245,.T.); #122775=ORIENTED_EDGE('',*,*,#79242,.T.); #122776=ORIENTED_EDGE('',*,*,#79239,.T.); #122777=ORIENTED_EDGE('',*,*,#79236,.T.); #122778=ORIENTED_EDGE('',*,*,#79233,.T.); #122779=ORIENTED_EDGE('',*,*,#79230,.T.); #122780=ORIENTED_EDGE('',*,*,#79227,.T.); #122781=ORIENTED_EDGE('',*,*,#79224,.T.); #122782=ORIENTED_EDGE('',*,*,#79221,.T.); #122783=ORIENTED_EDGE('',*,*,#79218,.T.); #122784=ORIENTED_EDGE('',*,*,#79215,.T.); #122785=ORIENTED_EDGE('',*,*,#79212,.T.); #122786=ORIENTED_EDGE('',*,*,#79209,.T.); #122787=ORIENTED_EDGE('',*,*,#79206,.T.); #122788=ORIENTED_EDGE('',*,*,#79203,.T.); #122789=ORIENTED_EDGE('',*,*,#79200,.T.); #122790=ORIENTED_EDGE('',*,*,#79197,.T.); #122791=ORIENTED_EDGE('',*,*,#79194,.T.); #122792=ORIENTED_EDGE('',*,*,#79191,.T.); #122793=ORIENTED_EDGE('',*,*,#79188,.T.); #122794=ORIENTED_EDGE('',*,*,#79185,.T.); #122795=ORIENTED_EDGE('',*,*,#79182,.T.); #122796=ORIENTED_EDGE('',*,*,#79179,.T.); #122797=ORIENTED_EDGE('',*,*,#79176,.T.); #122798=ORIENTED_EDGE('',*,*,#79173,.T.); #122799=ORIENTED_EDGE('',*,*,#79170,.T.); #122800=ORIENTED_EDGE('',*,*,#79167,.T.); #122801=ORIENTED_EDGE('',*,*,#79164,.T.); #122802=ORIENTED_EDGE('',*,*,#79161,.T.); #122803=ORIENTED_EDGE('',*,*,#79158,.T.); #122804=ORIENTED_EDGE('',*,*,#79155,.T.); #122805=ORIENTED_EDGE('',*,*,#79152,.T.); #122806=ORIENTED_EDGE('',*,*,#79149,.T.); #122807=ORIENTED_EDGE('',*,*,#79146,.T.); #122808=ORIENTED_EDGE('',*,*,#79514,.T.); #122809=ORIENTED_EDGE('',*,*,#79701,.T.); #122810=ORIENTED_EDGE('',*,*,#79698,.T.); #122811=ORIENTED_EDGE('',*,*,#79695,.T.); #122812=ORIENTED_EDGE('',*,*,#79692,.T.); #122813=ORIENTED_EDGE('',*,*,#79689,.T.); #122814=ORIENTED_EDGE('',*,*,#79686,.T.); #122815=ORIENTED_EDGE('',*,*,#79683,.T.); #122816=ORIENTED_EDGE('',*,*,#79680,.T.); #122817=ORIENTED_EDGE('',*,*,#79677,.T.); #122818=ORIENTED_EDGE('',*,*,#79674,.T.); #122819=ORIENTED_EDGE('',*,*,#79671,.T.); #122820=ORIENTED_EDGE('',*,*,#79668,.T.); #122821=ORIENTED_EDGE('',*,*,#79665,.T.); #122822=ORIENTED_EDGE('',*,*,#79662,.T.); #122823=ORIENTED_EDGE('',*,*,#79659,.T.); #122824=ORIENTED_EDGE('',*,*,#79656,.T.); #122825=ORIENTED_EDGE('',*,*,#79653,.T.); #122826=ORIENTED_EDGE('',*,*,#79650,.T.); #122827=ORIENTED_EDGE('',*,*,#79647,.T.); #122828=ORIENTED_EDGE('',*,*,#79644,.T.); #122829=ORIENTED_EDGE('',*,*,#79641,.T.); #122830=ORIENTED_EDGE('',*,*,#79638,.T.); #122831=ORIENTED_EDGE('',*,*,#79635,.T.); #122832=ORIENTED_EDGE('',*,*,#79632,.T.); #122833=ORIENTED_EDGE('',*,*,#79629,.T.); #122834=ORIENTED_EDGE('',*,*,#79626,.T.); #122835=ORIENTED_EDGE('',*,*,#79623,.T.); #122836=ORIENTED_EDGE('',*,*,#79620,.T.); #122837=ORIENTED_EDGE('',*,*,#79617,.T.); #122838=ORIENTED_EDGE('',*,*,#79614,.T.); #122839=ORIENTED_EDGE('',*,*,#79611,.T.); #122840=ORIENTED_EDGE('',*,*,#79608,.T.); #122841=ORIENTED_EDGE('',*,*,#79605,.T.); #122842=ORIENTED_EDGE('',*,*,#79602,.T.); #122843=ORIENTED_EDGE('',*,*,#79599,.T.); #122844=ORIENTED_EDGE('',*,*,#79596,.T.); #122845=ORIENTED_EDGE('',*,*,#79593,.T.); #122846=ORIENTED_EDGE('',*,*,#79590,.T.); #122847=ORIENTED_EDGE('',*,*,#79587,.T.); #122848=ORIENTED_EDGE('',*,*,#79584,.T.); #122849=ORIENTED_EDGE('',*,*,#79581,.T.); #122850=ORIENTED_EDGE('',*,*,#79578,.T.); #122851=ORIENTED_EDGE('',*,*,#79575,.T.); #122852=ORIENTED_EDGE('',*,*,#79572,.T.); #122853=ORIENTED_EDGE('',*,*,#79569,.T.); #122854=ORIENTED_EDGE('',*,*,#79566,.T.); #122855=ORIENTED_EDGE('',*,*,#79563,.T.); #122856=ORIENTED_EDGE('',*,*,#79560,.T.); #122857=ORIENTED_EDGE('',*,*,#79557,.T.); #122858=ORIENTED_EDGE('',*,*,#79554,.T.); #122859=ORIENTED_EDGE('',*,*,#79551,.T.); #122860=ORIENTED_EDGE('',*,*,#79548,.T.); #122861=ORIENTED_EDGE('',*,*,#79545,.T.); #122862=ORIENTED_EDGE('',*,*,#79542,.T.); #122863=ORIENTED_EDGE('',*,*,#79539,.T.); #122864=ORIENTED_EDGE('',*,*,#79536,.T.); #122865=ORIENTED_EDGE('',*,*,#79533,.T.); #122866=ORIENTED_EDGE('',*,*,#79530,.T.); #122867=ORIENTED_EDGE('',*,*,#79527,.T.); #122868=ORIENTED_EDGE('',*,*,#79524,.T.); #122869=ORIENTED_EDGE('',*,*,#79521,.T.); #122870=ORIENTED_EDGE('',*,*,#79518,.T.); #122871=ORIENTED_EDGE('',*,*,#79703,.T.); #122872=ORIENTED_EDGE('',*,*,#80157,.T.); #122873=ORIENTED_EDGE('',*,*,#80154,.T.); #122874=ORIENTED_EDGE('',*,*,#80151,.T.); #122875=ORIENTED_EDGE('',*,*,#80148,.T.); #122876=ORIENTED_EDGE('',*,*,#80145,.T.); #122877=ORIENTED_EDGE('',*,*,#80142,.T.); #122878=ORIENTED_EDGE('',*,*,#80139,.T.); #122879=ORIENTED_EDGE('',*,*,#80136,.T.); #122880=ORIENTED_EDGE('',*,*,#80133,.T.); #122881=ORIENTED_EDGE('',*,*,#80130,.T.); #122882=ORIENTED_EDGE('',*,*,#80127,.T.); #122883=ORIENTED_EDGE('',*,*,#80124,.T.); #122884=ORIENTED_EDGE('',*,*,#80121,.T.); #122885=ORIENTED_EDGE('',*,*,#80118,.T.); #122886=ORIENTED_EDGE('',*,*,#80115,.T.); #122887=ORIENTED_EDGE('',*,*,#80112,.T.); #122888=ORIENTED_EDGE('',*,*,#80109,.T.); #122889=ORIENTED_EDGE('',*,*,#80106,.T.); #122890=ORIENTED_EDGE('',*,*,#80103,.T.); #122891=ORIENTED_EDGE('',*,*,#80100,.T.); #122892=ORIENTED_EDGE('',*,*,#80097,.T.); #122893=ORIENTED_EDGE('',*,*,#80094,.T.); #122894=ORIENTED_EDGE('',*,*,#80091,.T.); #122895=ORIENTED_EDGE('',*,*,#80088,.T.); #122896=ORIENTED_EDGE('',*,*,#80085,.T.); #122897=ORIENTED_EDGE('',*,*,#80082,.T.); #122898=ORIENTED_EDGE('',*,*,#80079,.T.); #122899=ORIENTED_EDGE('',*,*,#80076,.T.); #122900=ORIENTED_EDGE('',*,*,#80073,.T.); #122901=ORIENTED_EDGE('',*,*,#80070,.T.); #122902=ORIENTED_EDGE('',*,*,#80067,.T.); #122903=ORIENTED_EDGE('',*,*,#80064,.T.); #122904=ORIENTED_EDGE('',*,*,#80061,.T.); #122905=ORIENTED_EDGE('',*,*,#80058,.T.); #122906=ORIENTED_EDGE('',*,*,#80055,.T.); #122907=ORIENTED_EDGE('',*,*,#80052,.T.); #122908=ORIENTED_EDGE('',*,*,#80049,.T.); #122909=ORIENTED_EDGE('',*,*,#80046,.T.); #122910=ORIENTED_EDGE('',*,*,#80043,.T.); #122911=ORIENTED_EDGE('',*,*,#80040,.T.); #122912=ORIENTED_EDGE('',*,*,#80037,.T.); #122913=ORIENTED_EDGE('',*,*,#80034,.T.); #122914=ORIENTED_EDGE('',*,*,#80031,.T.); #122915=ORIENTED_EDGE('',*,*,#80028,.T.); #122916=ORIENTED_EDGE('',*,*,#80025,.T.); #122917=ORIENTED_EDGE('',*,*,#80022,.T.); #122918=ORIENTED_EDGE('',*,*,#80019,.T.); #122919=ORIENTED_EDGE('',*,*,#80016,.T.); #122920=ORIENTED_EDGE('',*,*,#80013,.T.); #122921=ORIENTED_EDGE('',*,*,#80010,.T.); #122922=ORIENTED_EDGE('',*,*,#80007,.T.); #122923=ORIENTED_EDGE('',*,*,#80004,.T.); #122924=ORIENTED_EDGE('',*,*,#80001,.T.); #122925=ORIENTED_EDGE('',*,*,#79998,.T.); #122926=ORIENTED_EDGE('',*,*,#79995,.T.); #122927=ORIENTED_EDGE('',*,*,#79992,.T.); #122928=ORIENTED_EDGE('',*,*,#79989,.T.); #122929=ORIENTED_EDGE('',*,*,#79986,.T.); #122930=ORIENTED_EDGE('',*,*,#79983,.T.); #122931=ORIENTED_EDGE('',*,*,#79980,.T.); #122932=ORIENTED_EDGE('',*,*,#79977,.T.); #122933=ORIENTED_EDGE('',*,*,#79974,.T.); #122934=ORIENTED_EDGE('',*,*,#79971,.T.); #122935=ORIENTED_EDGE('',*,*,#79968,.T.); #122936=ORIENTED_EDGE('',*,*,#79965,.T.); #122937=ORIENTED_EDGE('',*,*,#79962,.T.); #122938=ORIENTED_EDGE('',*,*,#79959,.T.); #122939=ORIENTED_EDGE('',*,*,#79956,.T.); #122940=ORIENTED_EDGE('',*,*,#79953,.T.); #122941=ORIENTED_EDGE('',*,*,#79950,.T.); #122942=ORIENTED_EDGE('',*,*,#79947,.T.); #122943=ORIENTED_EDGE('',*,*,#79944,.T.); #122944=ORIENTED_EDGE('',*,*,#79941,.T.); #122945=ORIENTED_EDGE('',*,*,#79938,.T.); #122946=ORIENTED_EDGE('',*,*,#79935,.T.); #122947=ORIENTED_EDGE('',*,*,#79932,.T.); #122948=ORIENTED_EDGE('',*,*,#79929,.T.); #122949=ORIENTED_EDGE('',*,*,#79926,.T.); #122950=ORIENTED_EDGE('',*,*,#79923,.T.); #122951=ORIENTED_EDGE('',*,*,#79920,.T.); #122952=ORIENTED_EDGE('',*,*,#79917,.T.); #122953=ORIENTED_EDGE('',*,*,#79914,.T.); #122954=ORIENTED_EDGE('',*,*,#79911,.T.); #122955=ORIENTED_EDGE('',*,*,#79908,.T.); #122956=ORIENTED_EDGE('',*,*,#79905,.T.); #122957=ORIENTED_EDGE('',*,*,#79902,.T.); #122958=ORIENTED_EDGE('',*,*,#79899,.T.); #122959=ORIENTED_EDGE('',*,*,#79896,.T.); #122960=ORIENTED_EDGE('',*,*,#79893,.T.); #122961=ORIENTED_EDGE('',*,*,#79890,.T.); #122962=ORIENTED_EDGE('',*,*,#79887,.T.); #122963=ORIENTED_EDGE('',*,*,#79884,.T.); #122964=ORIENTED_EDGE('',*,*,#79881,.T.); #122965=ORIENTED_EDGE('',*,*,#79878,.T.); #122966=ORIENTED_EDGE('',*,*,#79875,.T.); #122967=ORIENTED_EDGE('',*,*,#79872,.T.); #122968=ORIENTED_EDGE('',*,*,#79869,.T.); #122969=ORIENTED_EDGE('',*,*,#79866,.T.); #122970=ORIENTED_EDGE('',*,*,#79863,.T.); #122971=ORIENTED_EDGE('',*,*,#79860,.T.); #122972=ORIENTED_EDGE('',*,*,#79857,.T.); #122973=ORIENTED_EDGE('',*,*,#79854,.T.); #122974=ORIENTED_EDGE('',*,*,#79851,.T.); #122975=ORIENTED_EDGE('',*,*,#79848,.T.); #122976=ORIENTED_EDGE('',*,*,#79845,.T.); #122977=ORIENTED_EDGE('',*,*,#79842,.T.); #122978=ORIENTED_EDGE('',*,*,#79839,.T.); #122979=ORIENTED_EDGE('',*,*,#79836,.T.); #122980=ORIENTED_EDGE('',*,*,#79833,.T.); #122981=ORIENTED_EDGE('',*,*,#79830,.T.); #122982=ORIENTED_EDGE('',*,*,#79827,.T.); #122983=ORIENTED_EDGE('',*,*,#79824,.T.); #122984=ORIENTED_EDGE('',*,*,#79821,.T.); #122985=ORIENTED_EDGE('',*,*,#79818,.T.); #122986=ORIENTED_EDGE('',*,*,#79815,.T.); #122987=ORIENTED_EDGE('',*,*,#79812,.T.); #122988=ORIENTED_EDGE('',*,*,#79809,.T.); #122989=ORIENTED_EDGE('',*,*,#79806,.T.); #122990=ORIENTED_EDGE('',*,*,#79803,.T.); #122991=ORIENTED_EDGE('',*,*,#79800,.T.); #122992=ORIENTED_EDGE('',*,*,#79797,.T.); #122993=ORIENTED_EDGE('',*,*,#79794,.T.); #122994=ORIENTED_EDGE('',*,*,#79791,.T.); #122995=ORIENTED_EDGE('',*,*,#79788,.T.); #122996=ORIENTED_EDGE('',*,*,#79785,.T.); #122997=ORIENTED_EDGE('',*,*,#79782,.T.); #122998=ORIENTED_EDGE('',*,*,#79779,.T.); #122999=ORIENTED_EDGE('',*,*,#79776,.T.); #123000=ORIENTED_EDGE('',*,*,#79773,.T.); #123001=ORIENTED_EDGE('',*,*,#79770,.T.); #123002=ORIENTED_EDGE('',*,*,#79767,.T.); #123003=ORIENTED_EDGE('',*,*,#79764,.T.); #123004=ORIENTED_EDGE('',*,*,#79761,.T.); #123005=ORIENTED_EDGE('',*,*,#79758,.T.); #123006=ORIENTED_EDGE('',*,*,#79755,.T.); #123007=ORIENTED_EDGE('',*,*,#79752,.T.); #123008=ORIENTED_EDGE('',*,*,#79749,.T.); #123009=ORIENTED_EDGE('',*,*,#79746,.T.); #123010=ORIENTED_EDGE('',*,*,#79743,.T.); #123011=ORIENTED_EDGE('',*,*,#79740,.T.); #123012=ORIENTED_EDGE('',*,*,#79737,.T.); #123013=ORIENTED_EDGE('',*,*,#79734,.T.); #123014=ORIENTED_EDGE('',*,*,#79731,.T.); #123015=ORIENTED_EDGE('',*,*,#79728,.T.); #123016=ORIENTED_EDGE('',*,*,#79725,.T.); #123017=ORIENTED_EDGE('',*,*,#79722,.T.); #123018=ORIENTED_EDGE('',*,*,#79719,.T.); #123019=ORIENTED_EDGE('',*,*,#79716,.T.); #123020=ORIENTED_EDGE('',*,*,#79713,.T.); #123021=ORIENTED_EDGE('',*,*,#79710,.T.); #123022=ORIENTED_EDGE('',*,*,#79707,.T.); #123023=ORIENTED_EDGE('',*,*,#80207,.T.); #123024=ORIENTED_EDGE('',*,*,#80391,.T.); #123025=ORIENTED_EDGE('',*,*,#80388,.T.); #123026=ORIENTED_EDGE('',*,*,#80385,.T.); #123027=ORIENTED_EDGE('',*,*,#80382,.T.); #123028=ORIENTED_EDGE('',*,*,#80379,.T.); #123029=ORIENTED_EDGE('',*,*,#80376,.T.); #123030=ORIENTED_EDGE('',*,*,#80373,.T.); #123031=ORIENTED_EDGE('',*,*,#80370,.T.); #123032=ORIENTED_EDGE('',*,*,#80367,.T.); #123033=ORIENTED_EDGE('',*,*,#80364,.T.); #123034=ORIENTED_EDGE('',*,*,#80361,.T.); #123035=ORIENTED_EDGE('',*,*,#80358,.T.); #123036=ORIENTED_EDGE('',*,*,#80355,.T.); #123037=ORIENTED_EDGE('',*,*,#80352,.T.); #123038=ORIENTED_EDGE('',*,*,#80349,.T.); #123039=ORIENTED_EDGE('',*,*,#80346,.T.); #123040=ORIENTED_EDGE('',*,*,#80343,.T.); #123041=ORIENTED_EDGE('',*,*,#80340,.T.); #123042=ORIENTED_EDGE('',*,*,#80337,.T.); #123043=ORIENTED_EDGE('',*,*,#80334,.T.); #123044=ORIENTED_EDGE('',*,*,#80331,.T.); #123045=ORIENTED_EDGE('',*,*,#80328,.T.); #123046=ORIENTED_EDGE('',*,*,#80325,.T.); #123047=ORIENTED_EDGE('',*,*,#80322,.T.); #123048=ORIENTED_EDGE('',*,*,#80319,.T.); #123049=ORIENTED_EDGE('',*,*,#80316,.T.); #123050=ORIENTED_EDGE('',*,*,#80313,.T.); #123051=ORIENTED_EDGE('',*,*,#80310,.T.); #123052=ORIENTED_EDGE('',*,*,#80307,.T.); #123053=ORIENTED_EDGE('',*,*,#80304,.T.); #123054=ORIENTED_EDGE('',*,*,#80301,.T.); #123055=ORIENTED_EDGE('',*,*,#80298,.T.); #123056=ORIENTED_EDGE('',*,*,#80295,.T.); #123057=ORIENTED_EDGE('',*,*,#80292,.T.); #123058=ORIENTED_EDGE('',*,*,#80289,.T.); #123059=ORIENTED_EDGE('',*,*,#80286,.T.); #123060=ORIENTED_EDGE('',*,*,#80283,.T.); #123061=ORIENTED_EDGE('',*,*,#80280,.T.); #123062=ORIENTED_EDGE('',*,*,#80277,.T.); #123063=ORIENTED_EDGE('',*,*,#80274,.T.); #123064=ORIENTED_EDGE('',*,*,#80271,.T.); #123065=ORIENTED_EDGE('',*,*,#80268,.T.); #123066=ORIENTED_EDGE('',*,*,#80265,.T.); #123067=ORIENTED_EDGE('',*,*,#80262,.T.); #123068=ORIENTED_EDGE('',*,*,#80259,.T.); #123069=ORIENTED_EDGE('',*,*,#80256,.T.); #123070=ORIENTED_EDGE('',*,*,#80253,.T.); #123071=ORIENTED_EDGE('',*,*,#80250,.T.); #123072=ORIENTED_EDGE('',*,*,#80247,.T.); #123073=ORIENTED_EDGE('',*,*,#80244,.T.); #123074=ORIENTED_EDGE('',*,*,#80241,.T.); #123075=ORIENTED_EDGE('',*,*,#80238,.T.); #123076=ORIENTED_EDGE('',*,*,#80235,.T.); #123077=ORIENTED_EDGE('',*,*,#80232,.T.); #123078=ORIENTED_EDGE('',*,*,#80229,.T.); #123079=ORIENTED_EDGE('',*,*,#80226,.T.); #123080=ORIENTED_EDGE('',*,*,#80223,.T.); #123081=ORIENTED_EDGE('',*,*,#80220,.T.); #123082=ORIENTED_EDGE('',*,*,#80217,.T.); #123083=ORIENTED_EDGE('',*,*,#80214,.T.); #123084=ORIENTED_EDGE('',*,*,#80211,.T.); #123085=ORIENTED_EDGE('',*,*,#80393,.T.); #123086=ORIENTED_EDGE('',*,*,#80427,.T.); #123087=ORIENTED_EDGE('',*,*,#80424,.T.); #123088=ORIENTED_EDGE('',*,*,#80421,.T.); #123089=ORIENTED_EDGE('',*,*,#80418,.T.); #123090=ORIENTED_EDGE('',*,*,#80415,.T.); #123091=ORIENTED_EDGE('',*,*,#80412,.T.); #123092=ORIENTED_EDGE('',*,*,#80409,.T.); #123093=ORIENTED_EDGE('',*,*,#80406,.T.); #123094=ORIENTED_EDGE('',*,*,#80403,.T.); #123095=ORIENTED_EDGE('',*,*,#80400,.T.); #123096=ORIENTED_EDGE('',*,*,#80397,.T.); #123097=ORIENTED_EDGE('',*,*,#80429,.T.); #123098=ORIENTED_EDGE('',*,*,#80439,.T.); #123099=ORIENTED_EDGE('',*,*,#80436,.T.); #123100=ORIENTED_EDGE('',*,*,#80433,.T.); #123101=ORIENTED_EDGE('',*,*,#80474,.T.); #123102=ORIENTED_EDGE('',*,*,#80577,.T.); #123103=ORIENTED_EDGE('',*,*,#80574,.T.); #123104=ORIENTED_EDGE('',*,*,#80571,.T.); #123105=ORIENTED_EDGE('',*,*,#80568,.T.); #123106=ORIENTED_EDGE('',*,*,#80565,.T.); #123107=ORIENTED_EDGE('',*,*,#80562,.T.); #123108=ORIENTED_EDGE('',*,*,#80559,.T.); #123109=ORIENTED_EDGE('',*,*,#80556,.T.); #123110=ORIENTED_EDGE('',*,*,#80553,.T.); #123111=ORIENTED_EDGE('',*,*,#80550,.T.); #123112=ORIENTED_EDGE('',*,*,#80547,.T.); #123113=ORIENTED_EDGE('',*,*,#80544,.T.); #123114=ORIENTED_EDGE('',*,*,#80541,.T.); #123115=ORIENTED_EDGE('',*,*,#80538,.T.); #123116=ORIENTED_EDGE('',*,*,#80535,.T.); #123117=ORIENTED_EDGE('',*,*,#80532,.T.); #123118=ORIENTED_EDGE('',*,*,#80529,.T.); #123119=ORIENTED_EDGE('',*,*,#80526,.T.); #123120=ORIENTED_EDGE('',*,*,#80523,.T.); #123121=ORIENTED_EDGE('',*,*,#80520,.T.); #123122=ORIENTED_EDGE('',*,*,#80517,.T.); #123123=ORIENTED_EDGE('',*,*,#80514,.T.); #123124=ORIENTED_EDGE('',*,*,#80511,.T.); #123125=ORIENTED_EDGE('',*,*,#80508,.T.); #123126=ORIENTED_EDGE('',*,*,#80505,.T.); #123127=ORIENTED_EDGE('',*,*,#80502,.T.); #123128=ORIENTED_EDGE('',*,*,#80499,.T.); #123129=ORIENTED_EDGE('',*,*,#80496,.T.); #123130=ORIENTED_EDGE('',*,*,#80493,.T.); #123131=ORIENTED_EDGE('',*,*,#80490,.T.); #123132=ORIENTED_EDGE('',*,*,#80487,.T.); #123133=ORIENTED_EDGE('',*,*,#80484,.T.); #123134=ORIENTED_EDGE('',*,*,#80481,.T.); #123135=ORIENTED_EDGE('',*,*,#80478,.T.); #123136=ORIENTED_EDGE('',*,*,#80579,.T.); #123137=ORIENTED_EDGE('',*,*,#80601,.T.); #123138=ORIENTED_EDGE('',*,*,#80598,.T.); #123139=ORIENTED_EDGE('',*,*,#80595,.T.); #123140=ORIENTED_EDGE('',*,*,#80592,.T.); #123141=ORIENTED_EDGE('',*,*,#80589,.T.); #123142=ORIENTED_EDGE('',*,*,#80586,.T.); #123143=ORIENTED_EDGE('',*,*,#80583,.T.); #123144=ORIENTED_EDGE('',*,*,#80603,.T.); #123145=ORIENTED_EDGE('',*,*,#80646,.T.); #123146=ORIENTED_EDGE('',*,*,#80643,.T.); #123147=ORIENTED_EDGE('',*,*,#80640,.T.); #123148=ORIENTED_EDGE('',*,*,#80637,.T.); #123149=ORIENTED_EDGE('',*,*,#80634,.T.); #123150=ORIENTED_EDGE('',*,*,#80631,.T.); #123151=ORIENTED_EDGE('',*,*,#80628,.T.); #123152=ORIENTED_EDGE('',*,*,#80625,.T.); #123153=ORIENTED_EDGE('',*,*,#80622,.T.); #123154=ORIENTED_EDGE('',*,*,#80619,.T.); #123155=ORIENTED_EDGE('',*,*,#80616,.T.); #123156=ORIENTED_EDGE('',*,*,#80613,.T.); #123157=ORIENTED_EDGE('',*,*,#80610,.T.); #123158=ORIENTED_EDGE('',*,*,#80607,.T.); #123159=ORIENTED_EDGE('',*,*,#80648,.T.); #123160=ORIENTED_EDGE('',*,*,#81156,.T.); #123161=ORIENTED_EDGE('',*,*,#81153,.T.); #123162=ORIENTED_EDGE('',*,*,#81150,.T.); #123163=ORIENTED_EDGE('',*,*,#81147,.T.); #123164=ORIENTED_EDGE('',*,*,#81144,.T.); #123165=ORIENTED_EDGE('',*,*,#81141,.T.); #123166=ORIENTED_EDGE('',*,*,#81138,.T.); #123167=ORIENTED_EDGE('',*,*,#81135,.T.); #123168=ORIENTED_EDGE('',*,*,#81132,.T.); #123169=ORIENTED_EDGE('',*,*,#81129,.T.); #123170=ORIENTED_EDGE('',*,*,#81126,.T.); #123171=ORIENTED_EDGE('',*,*,#81123,.T.); #123172=ORIENTED_EDGE('',*,*,#81120,.T.); #123173=ORIENTED_EDGE('',*,*,#81117,.T.); #123174=ORIENTED_EDGE('',*,*,#81114,.T.); #123175=ORIENTED_EDGE('',*,*,#81111,.T.); #123176=ORIENTED_EDGE('',*,*,#81108,.T.); #123177=ORIENTED_EDGE('',*,*,#81105,.T.); #123178=ORIENTED_EDGE('',*,*,#81102,.T.); #123179=ORIENTED_EDGE('',*,*,#81099,.T.); #123180=ORIENTED_EDGE('',*,*,#81096,.T.); #123181=ORIENTED_EDGE('',*,*,#81093,.T.); #123182=ORIENTED_EDGE('',*,*,#81090,.T.); #123183=ORIENTED_EDGE('',*,*,#81087,.T.); #123184=ORIENTED_EDGE('',*,*,#81084,.T.); #123185=ORIENTED_EDGE('',*,*,#81081,.T.); #123186=ORIENTED_EDGE('',*,*,#81078,.T.); #123187=ORIENTED_EDGE('',*,*,#81075,.T.); #123188=ORIENTED_EDGE('',*,*,#81072,.T.); #123189=ORIENTED_EDGE('',*,*,#81069,.T.); #123190=ORIENTED_EDGE('',*,*,#81066,.T.); #123191=ORIENTED_EDGE('',*,*,#81063,.T.); #123192=ORIENTED_EDGE('',*,*,#81060,.T.); #123193=ORIENTED_EDGE('',*,*,#81057,.T.); #123194=ORIENTED_EDGE('',*,*,#81054,.T.); #123195=ORIENTED_EDGE('',*,*,#81051,.T.); #123196=ORIENTED_EDGE('',*,*,#81048,.T.); #123197=ORIENTED_EDGE('',*,*,#81045,.T.); #123198=ORIENTED_EDGE('',*,*,#81042,.T.); #123199=ORIENTED_EDGE('',*,*,#81039,.T.); #123200=ORIENTED_EDGE('',*,*,#81036,.T.); #123201=ORIENTED_EDGE('',*,*,#81033,.T.); #123202=ORIENTED_EDGE('',*,*,#81030,.T.); #123203=ORIENTED_EDGE('',*,*,#81027,.T.); #123204=ORIENTED_EDGE('',*,*,#81024,.T.); #123205=ORIENTED_EDGE('',*,*,#81021,.T.); #123206=ORIENTED_EDGE('',*,*,#81018,.T.); #123207=ORIENTED_EDGE('',*,*,#81015,.T.); #123208=ORIENTED_EDGE('',*,*,#81012,.T.); #123209=ORIENTED_EDGE('',*,*,#81009,.T.); #123210=ORIENTED_EDGE('',*,*,#81006,.T.); #123211=ORIENTED_EDGE('',*,*,#81003,.T.); #123212=ORIENTED_EDGE('',*,*,#81000,.T.); #123213=ORIENTED_EDGE('',*,*,#80997,.T.); #123214=ORIENTED_EDGE('',*,*,#80994,.T.); #123215=ORIENTED_EDGE('',*,*,#80991,.T.); #123216=ORIENTED_EDGE('',*,*,#80988,.T.); #123217=ORIENTED_EDGE('',*,*,#80985,.T.); #123218=ORIENTED_EDGE('',*,*,#80982,.T.); #123219=ORIENTED_EDGE('',*,*,#80979,.T.); #123220=ORIENTED_EDGE('',*,*,#80976,.T.); #123221=ORIENTED_EDGE('',*,*,#80973,.T.); #123222=ORIENTED_EDGE('',*,*,#80970,.T.); #123223=ORIENTED_EDGE('',*,*,#80967,.T.); #123224=ORIENTED_EDGE('',*,*,#80964,.T.); #123225=ORIENTED_EDGE('',*,*,#80961,.T.); #123226=ORIENTED_EDGE('',*,*,#80958,.T.); #123227=ORIENTED_EDGE('',*,*,#80955,.T.); #123228=ORIENTED_EDGE('',*,*,#80952,.T.); #123229=ORIENTED_EDGE('',*,*,#80949,.T.); #123230=ORIENTED_EDGE('',*,*,#80946,.T.); #123231=ORIENTED_EDGE('',*,*,#80943,.T.); #123232=ORIENTED_EDGE('',*,*,#80940,.T.); #123233=ORIENTED_EDGE('',*,*,#80937,.T.); #123234=ORIENTED_EDGE('',*,*,#80934,.T.); #123235=ORIENTED_EDGE('',*,*,#80931,.T.); #123236=ORIENTED_EDGE('',*,*,#80928,.T.); #123237=ORIENTED_EDGE('',*,*,#80925,.T.); #123238=ORIENTED_EDGE('',*,*,#80922,.T.); #123239=ORIENTED_EDGE('',*,*,#80919,.T.); #123240=ORIENTED_EDGE('',*,*,#80916,.T.); #123241=ORIENTED_EDGE('',*,*,#80913,.T.); #123242=ORIENTED_EDGE('',*,*,#80910,.T.); #123243=ORIENTED_EDGE('',*,*,#80907,.T.); #123244=ORIENTED_EDGE('',*,*,#80904,.T.); #123245=ORIENTED_EDGE('',*,*,#80901,.T.); #123246=ORIENTED_EDGE('',*,*,#80898,.T.); #123247=ORIENTED_EDGE('',*,*,#80895,.T.); #123248=ORIENTED_EDGE('',*,*,#80892,.T.); #123249=ORIENTED_EDGE('',*,*,#80889,.T.); #123250=ORIENTED_EDGE('',*,*,#80886,.T.); #123251=ORIENTED_EDGE('',*,*,#80883,.T.); #123252=ORIENTED_EDGE('',*,*,#80880,.T.); #123253=ORIENTED_EDGE('',*,*,#80877,.T.); #123254=ORIENTED_EDGE('',*,*,#80874,.T.); #123255=ORIENTED_EDGE('',*,*,#80871,.T.); #123256=ORIENTED_EDGE('',*,*,#80868,.T.); #123257=ORIENTED_EDGE('',*,*,#80865,.T.); #123258=ORIENTED_EDGE('',*,*,#80862,.T.); #123259=ORIENTED_EDGE('',*,*,#80859,.T.); #123260=ORIENTED_EDGE('',*,*,#80856,.T.); #123261=ORIENTED_EDGE('',*,*,#80853,.T.); #123262=ORIENTED_EDGE('',*,*,#80850,.T.); #123263=ORIENTED_EDGE('',*,*,#80847,.T.); #123264=ORIENTED_EDGE('',*,*,#80844,.T.); #123265=ORIENTED_EDGE('',*,*,#80841,.T.); #123266=ORIENTED_EDGE('',*,*,#80838,.T.); #123267=ORIENTED_EDGE('',*,*,#80835,.T.); #123268=ORIENTED_EDGE('',*,*,#80832,.T.); #123269=ORIENTED_EDGE('',*,*,#80829,.T.); #123270=ORIENTED_EDGE('',*,*,#80826,.T.); #123271=ORIENTED_EDGE('',*,*,#80823,.T.); #123272=ORIENTED_EDGE('',*,*,#80820,.T.); #123273=ORIENTED_EDGE('',*,*,#80817,.T.); #123274=ORIENTED_EDGE('',*,*,#80814,.T.); #123275=ORIENTED_EDGE('',*,*,#80811,.T.); #123276=ORIENTED_EDGE('',*,*,#80808,.T.); #123277=ORIENTED_EDGE('',*,*,#80805,.T.); #123278=ORIENTED_EDGE('',*,*,#80802,.T.); #123279=ORIENTED_EDGE('',*,*,#80799,.T.); #123280=ORIENTED_EDGE('',*,*,#80796,.T.); #123281=ORIENTED_EDGE('',*,*,#80793,.T.); #123282=ORIENTED_EDGE('',*,*,#80790,.T.); #123283=ORIENTED_EDGE('',*,*,#80787,.T.); #123284=ORIENTED_EDGE('',*,*,#80784,.T.); #123285=ORIENTED_EDGE('',*,*,#80781,.T.); #123286=ORIENTED_EDGE('',*,*,#80778,.T.); #123287=ORIENTED_EDGE('',*,*,#80775,.T.); #123288=ORIENTED_EDGE('',*,*,#80772,.T.); #123289=ORIENTED_EDGE('',*,*,#80769,.T.); #123290=ORIENTED_EDGE('',*,*,#80766,.T.); #123291=ORIENTED_EDGE('',*,*,#80763,.T.); #123292=ORIENTED_EDGE('',*,*,#80760,.T.); #123293=ORIENTED_EDGE('',*,*,#80757,.T.); #123294=ORIENTED_EDGE('',*,*,#80754,.T.); #123295=ORIENTED_EDGE('',*,*,#80751,.T.); #123296=ORIENTED_EDGE('',*,*,#80748,.T.); #123297=ORIENTED_EDGE('',*,*,#80745,.T.); #123298=ORIENTED_EDGE('',*,*,#80742,.T.); #123299=ORIENTED_EDGE('',*,*,#80739,.T.); #123300=ORIENTED_EDGE('',*,*,#80736,.T.); #123301=ORIENTED_EDGE('',*,*,#80733,.T.); #123302=ORIENTED_EDGE('',*,*,#80730,.T.); #123303=ORIENTED_EDGE('',*,*,#80727,.T.); #123304=ORIENTED_EDGE('',*,*,#80724,.T.); #123305=ORIENTED_EDGE('',*,*,#80721,.T.); #123306=ORIENTED_EDGE('',*,*,#80718,.T.); #123307=ORIENTED_EDGE('',*,*,#80715,.T.); #123308=ORIENTED_EDGE('',*,*,#80712,.T.); #123309=ORIENTED_EDGE('',*,*,#80709,.T.); #123310=ORIENTED_EDGE('',*,*,#80706,.T.); #123311=ORIENTED_EDGE('',*,*,#80703,.T.); #123312=ORIENTED_EDGE('',*,*,#80700,.T.); #123313=ORIENTED_EDGE('',*,*,#80697,.T.); #123314=ORIENTED_EDGE('',*,*,#80694,.T.); #123315=ORIENTED_EDGE('',*,*,#80691,.T.); #123316=ORIENTED_EDGE('',*,*,#80688,.T.); #123317=ORIENTED_EDGE('',*,*,#80685,.T.); #123318=ORIENTED_EDGE('',*,*,#80682,.T.); #123319=ORIENTED_EDGE('',*,*,#80679,.T.); #123320=ORIENTED_EDGE('',*,*,#80676,.T.); #123321=ORIENTED_EDGE('',*,*,#80673,.T.); #123322=ORIENTED_EDGE('',*,*,#80670,.T.); #123323=ORIENTED_EDGE('',*,*,#80667,.T.); #123324=ORIENTED_EDGE('',*,*,#80664,.T.); #123325=ORIENTED_EDGE('',*,*,#80661,.T.); #123326=ORIENTED_EDGE('',*,*,#80658,.T.); #123327=ORIENTED_EDGE('',*,*,#80655,.T.); #123328=ORIENTED_EDGE('',*,*,#80652,.T.); #123329=ORIENTED_EDGE('',*,*,#81158,.T.); #123330=ORIENTED_EDGE('',*,*,#81693,.T.); #123331=ORIENTED_EDGE('',*,*,#81690,.T.); #123332=ORIENTED_EDGE('',*,*,#81687,.T.); #123333=ORIENTED_EDGE('',*,*,#81684,.T.); #123334=ORIENTED_EDGE('',*,*,#81681,.T.); #123335=ORIENTED_EDGE('',*,*,#81678,.T.); #123336=ORIENTED_EDGE('',*,*,#81675,.T.); #123337=ORIENTED_EDGE('',*,*,#81672,.T.); #123338=ORIENTED_EDGE('',*,*,#81669,.T.); #123339=ORIENTED_EDGE('',*,*,#81666,.T.); #123340=ORIENTED_EDGE('',*,*,#81663,.T.); #123341=ORIENTED_EDGE('',*,*,#81660,.T.); #123342=ORIENTED_EDGE('',*,*,#81657,.T.); #123343=ORIENTED_EDGE('',*,*,#81654,.T.); #123344=ORIENTED_EDGE('',*,*,#81651,.T.); #123345=ORIENTED_EDGE('',*,*,#81648,.T.); #123346=ORIENTED_EDGE('',*,*,#81645,.T.); #123347=ORIENTED_EDGE('',*,*,#81642,.T.); #123348=ORIENTED_EDGE('',*,*,#81639,.T.); #123349=ORIENTED_EDGE('',*,*,#81636,.T.); #123350=ORIENTED_EDGE('',*,*,#81633,.T.); #123351=ORIENTED_EDGE('',*,*,#81630,.T.); #123352=ORIENTED_EDGE('',*,*,#81627,.T.); #123353=ORIENTED_EDGE('',*,*,#81624,.T.); #123354=ORIENTED_EDGE('',*,*,#81621,.T.); #123355=ORIENTED_EDGE('',*,*,#81618,.T.); #123356=ORIENTED_EDGE('',*,*,#81615,.T.); #123357=ORIENTED_EDGE('',*,*,#81612,.T.); #123358=ORIENTED_EDGE('',*,*,#81609,.T.); #123359=ORIENTED_EDGE('',*,*,#81606,.T.); #123360=ORIENTED_EDGE('',*,*,#81603,.T.); #123361=ORIENTED_EDGE('',*,*,#81600,.T.); #123362=ORIENTED_EDGE('',*,*,#81597,.T.); #123363=ORIENTED_EDGE('',*,*,#81594,.T.); #123364=ORIENTED_EDGE('',*,*,#81591,.T.); #123365=ORIENTED_EDGE('',*,*,#81588,.T.); #123366=ORIENTED_EDGE('',*,*,#81585,.T.); #123367=ORIENTED_EDGE('',*,*,#81582,.T.); #123368=ORIENTED_EDGE('',*,*,#81579,.T.); #123369=ORIENTED_EDGE('',*,*,#81576,.T.); #123370=ORIENTED_EDGE('',*,*,#81573,.T.); #123371=ORIENTED_EDGE('',*,*,#81570,.T.); #123372=ORIENTED_EDGE('',*,*,#81567,.T.); #123373=ORIENTED_EDGE('',*,*,#81564,.T.); #123374=ORIENTED_EDGE('',*,*,#81561,.T.); #123375=ORIENTED_EDGE('',*,*,#81558,.T.); #123376=ORIENTED_EDGE('',*,*,#81555,.T.); #123377=ORIENTED_EDGE('',*,*,#81552,.T.); #123378=ORIENTED_EDGE('',*,*,#81549,.T.); #123379=ORIENTED_EDGE('',*,*,#81546,.T.); #123380=ORIENTED_EDGE('',*,*,#81543,.T.); #123381=ORIENTED_EDGE('',*,*,#81540,.T.); #123382=ORIENTED_EDGE('',*,*,#81537,.T.); #123383=ORIENTED_EDGE('',*,*,#81534,.T.); #123384=ORIENTED_EDGE('',*,*,#81531,.T.); #123385=ORIENTED_EDGE('',*,*,#81528,.T.); #123386=ORIENTED_EDGE('',*,*,#81525,.T.); #123387=ORIENTED_EDGE('',*,*,#81522,.T.); #123388=ORIENTED_EDGE('',*,*,#81519,.T.); #123389=ORIENTED_EDGE('',*,*,#81516,.T.); #123390=ORIENTED_EDGE('',*,*,#81513,.T.); #123391=ORIENTED_EDGE('',*,*,#81510,.T.); #123392=ORIENTED_EDGE('',*,*,#81507,.T.); #123393=ORIENTED_EDGE('',*,*,#81504,.T.); #123394=ORIENTED_EDGE('',*,*,#81501,.T.); #123395=ORIENTED_EDGE('',*,*,#81498,.T.); #123396=ORIENTED_EDGE('',*,*,#81495,.T.); #123397=ORIENTED_EDGE('',*,*,#81492,.T.); #123398=ORIENTED_EDGE('',*,*,#81489,.T.); #123399=ORIENTED_EDGE('',*,*,#81486,.T.); #123400=ORIENTED_EDGE('',*,*,#81483,.T.); #123401=ORIENTED_EDGE('',*,*,#81480,.T.); #123402=ORIENTED_EDGE('',*,*,#81477,.T.); #123403=ORIENTED_EDGE('',*,*,#81474,.T.); #123404=ORIENTED_EDGE('',*,*,#81471,.T.); #123405=ORIENTED_EDGE('',*,*,#81468,.T.); #123406=ORIENTED_EDGE('',*,*,#81465,.T.); #123407=ORIENTED_EDGE('',*,*,#81462,.T.); #123408=ORIENTED_EDGE('',*,*,#81459,.T.); #123409=ORIENTED_EDGE('',*,*,#81456,.T.); #123410=ORIENTED_EDGE('',*,*,#81453,.T.); #123411=ORIENTED_EDGE('',*,*,#81450,.T.); #123412=ORIENTED_EDGE('',*,*,#81447,.T.); #123413=ORIENTED_EDGE('',*,*,#81444,.T.); #123414=ORIENTED_EDGE('',*,*,#81441,.T.); #123415=ORIENTED_EDGE('',*,*,#81438,.T.); #123416=ORIENTED_EDGE('',*,*,#81435,.T.); #123417=ORIENTED_EDGE('',*,*,#81432,.T.); #123418=ORIENTED_EDGE('',*,*,#81429,.T.); #123419=ORIENTED_EDGE('',*,*,#81426,.T.); #123420=ORIENTED_EDGE('',*,*,#81423,.T.); #123421=ORIENTED_EDGE('',*,*,#81420,.T.); #123422=ORIENTED_EDGE('',*,*,#81417,.T.); #123423=ORIENTED_EDGE('',*,*,#81414,.T.); #123424=ORIENTED_EDGE('',*,*,#81411,.T.); #123425=ORIENTED_EDGE('',*,*,#81408,.T.); #123426=ORIENTED_EDGE('',*,*,#81405,.T.); #123427=ORIENTED_EDGE('',*,*,#81402,.T.); #123428=ORIENTED_EDGE('',*,*,#81399,.T.); #123429=ORIENTED_EDGE('',*,*,#81396,.T.); #123430=ORIENTED_EDGE('',*,*,#81393,.T.); #123431=ORIENTED_EDGE('',*,*,#81390,.T.); #123432=ORIENTED_EDGE('',*,*,#81387,.T.); #123433=ORIENTED_EDGE('',*,*,#81384,.T.); #123434=ORIENTED_EDGE('',*,*,#81381,.T.); #123435=ORIENTED_EDGE('',*,*,#81378,.T.); #123436=ORIENTED_EDGE('',*,*,#81375,.T.); #123437=ORIENTED_EDGE('',*,*,#81372,.T.); #123438=ORIENTED_EDGE('',*,*,#81369,.T.); #123439=ORIENTED_EDGE('',*,*,#81366,.T.); #123440=ORIENTED_EDGE('',*,*,#81363,.T.); #123441=ORIENTED_EDGE('',*,*,#81360,.T.); #123442=ORIENTED_EDGE('',*,*,#81357,.T.); #123443=ORIENTED_EDGE('',*,*,#81354,.T.); #123444=ORIENTED_EDGE('',*,*,#81351,.T.); #123445=ORIENTED_EDGE('',*,*,#81348,.T.); #123446=ORIENTED_EDGE('',*,*,#81345,.T.); #123447=ORIENTED_EDGE('',*,*,#81342,.T.); #123448=ORIENTED_EDGE('',*,*,#81339,.T.); #123449=ORIENTED_EDGE('',*,*,#81336,.T.); #123450=ORIENTED_EDGE('',*,*,#81333,.T.); #123451=ORIENTED_EDGE('',*,*,#81330,.T.); #123452=ORIENTED_EDGE('',*,*,#81327,.T.); #123453=ORIENTED_EDGE('',*,*,#81324,.T.); #123454=ORIENTED_EDGE('',*,*,#81321,.T.); #123455=ORIENTED_EDGE('',*,*,#81318,.T.); #123456=ORIENTED_EDGE('',*,*,#81315,.T.); #123457=ORIENTED_EDGE('',*,*,#81312,.T.); #123458=ORIENTED_EDGE('',*,*,#81309,.T.); #123459=ORIENTED_EDGE('',*,*,#81306,.T.); #123460=ORIENTED_EDGE('',*,*,#81303,.T.); #123461=ORIENTED_EDGE('',*,*,#81300,.T.); #123462=ORIENTED_EDGE('',*,*,#81297,.T.); #123463=ORIENTED_EDGE('',*,*,#81294,.T.); #123464=ORIENTED_EDGE('',*,*,#81291,.T.); #123465=ORIENTED_EDGE('',*,*,#81288,.T.); #123466=ORIENTED_EDGE('',*,*,#81285,.T.); #123467=ORIENTED_EDGE('',*,*,#81282,.T.); #123468=ORIENTED_EDGE('',*,*,#81279,.T.); #123469=ORIENTED_EDGE('',*,*,#81276,.T.); #123470=ORIENTED_EDGE('',*,*,#81273,.T.); #123471=ORIENTED_EDGE('',*,*,#81270,.T.); #123472=ORIENTED_EDGE('',*,*,#81267,.T.); #123473=ORIENTED_EDGE('',*,*,#81264,.T.); #123474=ORIENTED_EDGE('',*,*,#81261,.T.); #123475=ORIENTED_EDGE('',*,*,#81258,.T.); #123476=ORIENTED_EDGE('',*,*,#81255,.T.); #123477=ORIENTED_EDGE('',*,*,#81252,.T.); #123478=ORIENTED_EDGE('',*,*,#81249,.T.); #123479=ORIENTED_EDGE('',*,*,#81246,.T.); #123480=ORIENTED_EDGE('',*,*,#81243,.T.); #123481=ORIENTED_EDGE('',*,*,#81240,.T.); #123482=ORIENTED_EDGE('',*,*,#81237,.T.); #123483=ORIENTED_EDGE('',*,*,#81234,.T.); #123484=ORIENTED_EDGE('',*,*,#81231,.T.); #123485=ORIENTED_EDGE('',*,*,#81228,.T.); #123486=ORIENTED_EDGE('',*,*,#81225,.T.); #123487=ORIENTED_EDGE('',*,*,#81222,.T.); #123488=ORIENTED_EDGE('',*,*,#81219,.T.); #123489=ORIENTED_EDGE('',*,*,#81216,.T.); #123490=ORIENTED_EDGE('',*,*,#81213,.T.); #123491=ORIENTED_EDGE('',*,*,#81210,.T.); #123492=ORIENTED_EDGE('',*,*,#81207,.T.); #123493=ORIENTED_EDGE('',*,*,#81204,.T.); #123494=ORIENTED_EDGE('',*,*,#81201,.T.); #123495=ORIENTED_EDGE('',*,*,#81198,.T.); #123496=ORIENTED_EDGE('',*,*,#81195,.T.); #123497=ORIENTED_EDGE('',*,*,#81192,.T.); #123498=ORIENTED_EDGE('',*,*,#81189,.T.); #123499=ORIENTED_EDGE('',*,*,#81186,.T.); #123500=ORIENTED_EDGE('',*,*,#81183,.T.); #123501=ORIENTED_EDGE('',*,*,#81180,.T.); #123502=ORIENTED_EDGE('',*,*,#81177,.T.); #123503=ORIENTED_EDGE('',*,*,#81174,.T.); #123504=ORIENTED_EDGE('',*,*,#81171,.T.); #123505=ORIENTED_EDGE('',*,*,#81168,.T.); #123506=ORIENTED_EDGE('',*,*,#81165,.T.); #123507=ORIENTED_EDGE('',*,*,#81162,.T.); #123508=ORIENTED_EDGE('',*,*,#81695,.T.); #123509=ORIENTED_EDGE('',*,*,#82068,.T.); #123510=ORIENTED_EDGE('',*,*,#82065,.T.); #123511=ORIENTED_EDGE('',*,*,#82062,.T.); #123512=ORIENTED_EDGE('',*,*,#82059,.T.); #123513=ORIENTED_EDGE('',*,*,#82056,.T.); #123514=ORIENTED_EDGE('',*,*,#82053,.T.); #123515=ORIENTED_EDGE('',*,*,#82050,.T.); #123516=ORIENTED_EDGE('',*,*,#82047,.T.); #123517=ORIENTED_EDGE('',*,*,#82044,.T.); #123518=ORIENTED_EDGE('',*,*,#82041,.T.); #123519=ORIENTED_EDGE('',*,*,#82038,.T.); #123520=ORIENTED_EDGE('',*,*,#82035,.T.); #123521=ORIENTED_EDGE('',*,*,#82032,.T.); #123522=ORIENTED_EDGE('',*,*,#82029,.T.); #123523=ORIENTED_EDGE('',*,*,#82026,.T.); #123524=ORIENTED_EDGE('',*,*,#82023,.T.); #123525=ORIENTED_EDGE('',*,*,#82020,.T.); #123526=ORIENTED_EDGE('',*,*,#82017,.T.); #123527=ORIENTED_EDGE('',*,*,#82014,.T.); #123528=ORIENTED_EDGE('',*,*,#82011,.T.); #123529=ORIENTED_EDGE('',*,*,#82008,.T.); #123530=ORIENTED_EDGE('',*,*,#82005,.T.); #123531=ORIENTED_EDGE('',*,*,#82002,.T.); #123532=ORIENTED_EDGE('',*,*,#81999,.T.); #123533=ORIENTED_EDGE('',*,*,#81996,.T.); #123534=ORIENTED_EDGE('',*,*,#81993,.T.); #123535=ORIENTED_EDGE('',*,*,#81990,.T.); #123536=ORIENTED_EDGE('',*,*,#81987,.T.); #123537=ORIENTED_EDGE('',*,*,#81984,.T.); #123538=ORIENTED_EDGE('',*,*,#81981,.T.); #123539=ORIENTED_EDGE('',*,*,#81978,.T.); #123540=ORIENTED_EDGE('',*,*,#81975,.T.); #123541=ORIENTED_EDGE('',*,*,#81972,.T.); #123542=ORIENTED_EDGE('',*,*,#81969,.T.); #123543=ORIENTED_EDGE('',*,*,#81966,.T.); #123544=ORIENTED_EDGE('',*,*,#81963,.T.); #123545=ORIENTED_EDGE('',*,*,#81960,.T.); #123546=ORIENTED_EDGE('',*,*,#81957,.T.); #123547=ORIENTED_EDGE('',*,*,#81954,.T.); #123548=ORIENTED_EDGE('',*,*,#81951,.T.); #123549=ORIENTED_EDGE('',*,*,#81948,.T.); #123550=ORIENTED_EDGE('',*,*,#81945,.T.); #123551=ORIENTED_EDGE('',*,*,#81942,.T.); #123552=ORIENTED_EDGE('',*,*,#81939,.T.); #123553=ORIENTED_EDGE('',*,*,#81936,.T.); #123554=ORIENTED_EDGE('',*,*,#81933,.T.); #123555=ORIENTED_EDGE('',*,*,#81930,.T.); #123556=ORIENTED_EDGE('',*,*,#81927,.T.); #123557=ORIENTED_EDGE('',*,*,#81924,.T.); #123558=ORIENTED_EDGE('',*,*,#81921,.T.); #123559=ORIENTED_EDGE('',*,*,#81918,.T.); #123560=ORIENTED_EDGE('',*,*,#81915,.T.); #123561=ORIENTED_EDGE('',*,*,#81912,.T.); #123562=ORIENTED_EDGE('',*,*,#81909,.T.); #123563=ORIENTED_EDGE('',*,*,#81906,.T.); #123564=ORIENTED_EDGE('',*,*,#81903,.T.); #123565=ORIENTED_EDGE('',*,*,#81900,.T.); #123566=ORIENTED_EDGE('',*,*,#81897,.T.); #123567=ORIENTED_EDGE('',*,*,#81894,.T.); #123568=ORIENTED_EDGE('',*,*,#81891,.T.); #123569=ORIENTED_EDGE('',*,*,#81888,.T.); #123570=ORIENTED_EDGE('',*,*,#81885,.T.); #123571=ORIENTED_EDGE('',*,*,#81882,.T.); #123572=ORIENTED_EDGE('',*,*,#81879,.T.); #123573=ORIENTED_EDGE('',*,*,#81876,.T.); #123574=ORIENTED_EDGE('',*,*,#81873,.T.); #123575=ORIENTED_EDGE('',*,*,#81870,.T.); #123576=ORIENTED_EDGE('',*,*,#81867,.T.); #123577=ORIENTED_EDGE('',*,*,#81864,.T.); #123578=ORIENTED_EDGE('',*,*,#81861,.T.); #123579=ORIENTED_EDGE('',*,*,#81858,.T.); #123580=ORIENTED_EDGE('',*,*,#81855,.T.); #123581=ORIENTED_EDGE('',*,*,#81852,.T.); #123582=ORIENTED_EDGE('',*,*,#81849,.T.); #123583=ORIENTED_EDGE('',*,*,#81846,.T.); #123584=ORIENTED_EDGE('',*,*,#81843,.T.); #123585=ORIENTED_EDGE('',*,*,#81840,.T.); #123586=ORIENTED_EDGE('',*,*,#81837,.T.); #123587=ORIENTED_EDGE('',*,*,#81834,.T.); #123588=ORIENTED_EDGE('',*,*,#81831,.T.); #123589=ORIENTED_EDGE('',*,*,#81828,.T.); #123590=ORIENTED_EDGE('',*,*,#81825,.T.); #123591=ORIENTED_EDGE('',*,*,#81822,.T.); #123592=ORIENTED_EDGE('',*,*,#81819,.T.); #123593=ORIENTED_EDGE('',*,*,#81816,.T.); #123594=ORIENTED_EDGE('',*,*,#81813,.T.); #123595=ORIENTED_EDGE('',*,*,#81810,.T.); #123596=ORIENTED_EDGE('',*,*,#81807,.T.); #123597=ORIENTED_EDGE('',*,*,#81804,.T.); #123598=ORIENTED_EDGE('',*,*,#81801,.T.); #123599=ORIENTED_EDGE('',*,*,#81798,.T.); #123600=ORIENTED_EDGE('',*,*,#81795,.T.); #123601=ORIENTED_EDGE('',*,*,#81792,.T.); #123602=ORIENTED_EDGE('',*,*,#81789,.T.); #123603=ORIENTED_EDGE('',*,*,#81786,.T.); #123604=ORIENTED_EDGE('',*,*,#81783,.T.); #123605=ORIENTED_EDGE('',*,*,#81780,.T.); #123606=ORIENTED_EDGE('',*,*,#81777,.T.); #123607=ORIENTED_EDGE('',*,*,#81774,.T.); #123608=ORIENTED_EDGE('',*,*,#81771,.T.); #123609=ORIENTED_EDGE('',*,*,#81768,.T.); #123610=ORIENTED_EDGE('',*,*,#81765,.T.); #123611=ORIENTED_EDGE('',*,*,#81762,.T.); #123612=ORIENTED_EDGE('',*,*,#81759,.T.); #123613=ORIENTED_EDGE('',*,*,#81756,.T.); #123614=ORIENTED_EDGE('',*,*,#81753,.T.); #123615=ORIENTED_EDGE('',*,*,#81750,.T.); #123616=ORIENTED_EDGE('',*,*,#81747,.T.); #123617=ORIENTED_EDGE('',*,*,#81744,.T.); #123618=ORIENTED_EDGE('',*,*,#81741,.T.); #123619=ORIENTED_EDGE('',*,*,#81738,.T.); #123620=ORIENTED_EDGE('',*,*,#81735,.T.); #123621=ORIENTED_EDGE('',*,*,#81732,.T.); #123622=ORIENTED_EDGE('',*,*,#81729,.T.); #123623=ORIENTED_EDGE('',*,*,#81726,.T.); #123624=ORIENTED_EDGE('',*,*,#81723,.T.); #123625=ORIENTED_EDGE('',*,*,#81720,.T.); #123626=ORIENTED_EDGE('',*,*,#81717,.T.); #123627=ORIENTED_EDGE('',*,*,#81714,.T.); #123628=ORIENTED_EDGE('',*,*,#81711,.T.); #123629=ORIENTED_EDGE('',*,*,#81708,.T.); #123630=ORIENTED_EDGE('',*,*,#81705,.T.); #123631=ORIENTED_EDGE('',*,*,#81702,.T.); #123632=ORIENTED_EDGE('',*,*,#81699,.T.); #123633=ORIENTED_EDGE('',*,*,#82070,.T.); #123634=ORIENTED_EDGE('',*,*,#82458,.T.); #123635=ORIENTED_EDGE('',*,*,#82455,.T.); #123636=ORIENTED_EDGE('',*,*,#82452,.T.); #123637=ORIENTED_EDGE('',*,*,#82449,.T.); #123638=ORIENTED_EDGE('',*,*,#82446,.T.); #123639=ORIENTED_EDGE('',*,*,#82443,.T.); #123640=ORIENTED_EDGE('',*,*,#82440,.T.); #123641=ORIENTED_EDGE('',*,*,#82437,.T.); #123642=ORIENTED_EDGE('',*,*,#82434,.T.); #123643=ORIENTED_EDGE('',*,*,#82431,.T.); #123644=ORIENTED_EDGE('',*,*,#82428,.T.); #123645=ORIENTED_EDGE('',*,*,#82425,.T.); #123646=ORIENTED_EDGE('',*,*,#82422,.T.); #123647=ORIENTED_EDGE('',*,*,#82419,.T.); #123648=ORIENTED_EDGE('',*,*,#82416,.T.); #123649=ORIENTED_EDGE('',*,*,#82413,.T.); #123650=ORIENTED_EDGE('',*,*,#82410,.T.); #123651=ORIENTED_EDGE('',*,*,#82407,.T.); #123652=ORIENTED_EDGE('',*,*,#82404,.T.); #123653=ORIENTED_EDGE('',*,*,#82401,.T.); #123654=ORIENTED_EDGE('',*,*,#82398,.T.); #123655=ORIENTED_EDGE('',*,*,#82395,.T.); #123656=ORIENTED_EDGE('',*,*,#82392,.T.); #123657=ORIENTED_EDGE('',*,*,#82389,.T.); #123658=ORIENTED_EDGE('',*,*,#82386,.T.); #123659=ORIENTED_EDGE('',*,*,#82383,.T.); #123660=ORIENTED_EDGE('',*,*,#82380,.T.); #123661=ORIENTED_EDGE('',*,*,#82377,.T.); #123662=ORIENTED_EDGE('',*,*,#82374,.T.); #123663=ORIENTED_EDGE('',*,*,#82371,.T.); #123664=ORIENTED_EDGE('',*,*,#82368,.T.); #123665=ORIENTED_EDGE('',*,*,#82365,.T.); #123666=ORIENTED_EDGE('',*,*,#82362,.T.); #123667=ORIENTED_EDGE('',*,*,#82359,.T.); #123668=ORIENTED_EDGE('',*,*,#82356,.T.); #123669=ORIENTED_EDGE('',*,*,#82353,.T.); #123670=ORIENTED_EDGE('',*,*,#82350,.T.); #123671=ORIENTED_EDGE('',*,*,#82347,.T.); #123672=ORIENTED_EDGE('',*,*,#82344,.T.); #123673=ORIENTED_EDGE('',*,*,#82341,.T.); #123674=ORIENTED_EDGE('',*,*,#82338,.T.); #123675=ORIENTED_EDGE('',*,*,#82335,.T.); #123676=ORIENTED_EDGE('',*,*,#82332,.T.); #123677=ORIENTED_EDGE('',*,*,#82329,.T.); #123678=ORIENTED_EDGE('',*,*,#82326,.T.); #123679=ORIENTED_EDGE('',*,*,#82323,.T.); #123680=ORIENTED_EDGE('',*,*,#82320,.T.); #123681=ORIENTED_EDGE('',*,*,#82317,.T.); #123682=ORIENTED_EDGE('',*,*,#82314,.T.); #123683=ORIENTED_EDGE('',*,*,#82311,.T.); #123684=ORIENTED_EDGE('',*,*,#82308,.T.); #123685=ORIENTED_EDGE('',*,*,#82305,.T.); #123686=ORIENTED_EDGE('',*,*,#82302,.T.); #123687=ORIENTED_EDGE('',*,*,#82299,.T.); #123688=ORIENTED_EDGE('',*,*,#82296,.T.); #123689=ORIENTED_EDGE('',*,*,#82293,.T.); #123690=ORIENTED_EDGE('',*,*,#82290,.T.); #123691=ORIENTED_EDGE('',*,*,#82287,.T.); #123692=ORIENTED_EDGE('',*,*,#82284,.T.); #123693=ORIENTED_EDGE('',*,*,#82281,.T.); #123694=ORIENTED_EDGE('',*,*,#82278,.T.); #123695=ORIENTED_EDGE('',*,*,#82275,.T.); #123696=ORIENTED_EDGE('',*,*,#82272,.T.); #123697=ORIENTED_EDGE('',*,*,#82269,.T.); #123698=ORIENTED_EDGE('',*,*,#82266,.T.); #123699=ORIENTED_EDGE('',*,*,#82263,.T.); #123700=ORIENTED_EDGE('',*,*,#82260,.T.); #123701=ORIENTED_EDGE('',*,*,#82257,.T.); #123702=ORIENTED_EDGE('',*,*,#82254,.T.); #123703=ORIENTED_EDGE('',*,*,#82251,.T.); #123704=ORIENTED_EDGE('',*,*,#82248,.T.); #123705=ORIENTED_EDGE('',*,*,#82245,.T.); #123706=ORIENTED_EDGE('',*,*,#82242,.T.); #123707=ORIENTED_EDGE('',*,*,#82239,.T.); #123708=ORIENTED_EDGE('',*,*,#82236,.T.); #123709=ORIENTED_EDGE('',*,*,#82233,.T.); #123710=ORIENTED_EDGE('',*,*,#82230,.T.); #123711=ORIENTED_EDGE('',*,*,#82227,.T.); #123712=ORIENTED_EDGE('',*,*,#82224,.T.); #123713=ORIENTED_EDGE('',*,*,#82221,.T.); #123714=ORIENTED_EDGE('',*,*,#82218,.T.); #123715=ORIENTED_EDGE('',*,*,#82215,.T.); #123716=ORIENTED_EDGE('',*,*,#82212,.T.); #123717=ORIENTED_EDGE('',*,*,#82209,.T.); #123718=ORIENTED_EDGE('',*,*,#82206,.T.); #123719=ORIENTED_EDGE('',*,*,#82203,.T.); #123720=ORIENTED_EDGE('',*,*,#82200,.T.); #123721=ORIENTED_EDGE('',*,*,#82197,.T.); #123722=ORIENTED_EDGE('',*,*,#82194,.T.); #123723=ORIENTED_EDGE('',*,*,#82191,.T.); #123724=ORIENTED_EDGE('',*,*,#82188,.T.); #123725=ORIENTED_EDGE('',*,*,#82185,.T.); #123726=ORIENTED_EDGE('',*,*,#82182,.T.); #123727=ORIENTED_EDGE('',*,*,#82179,.T.); #123728=ORIENTED_EDGE('',*,*,#82176,.T.); #123729=ORIENTED_EDGE('',*,*,#82173,.T.); #123730=ORIENTED_EDGE('',*,*,#82170,.T.); #123731=ORIENTED_EDGE('',*,*,#82167,.T.); #123732=ORIENTED_EDGE('',*,*,#82164,.T.); #123733=ORIENTED_EDGE('',*,*,#82161,.T.); #123734=ORIENTED_EDGE('',*,*,#82158,.T.); #123735=ORIENTED_EDGE('',*,*,#82155,.T.); #123736=ORIENTED_EDGE('',*,*,#82152,.T.); #123737=ORIENTED_EDGE('',*,*,#82149,.T.); #123738=ORIENTED_EDGE('',*,*,#82146,.T.); #123739=ORIENTED_EDGE('',*,*,#82143,.T.); #123740=ORIENTED_EDGE('',*,*,#82140,.T.); #123741=ORIENTED_EDGE('',*,*,#82137,.T.); #123742=ORIENTED_EDGE('',*,*,#82134,.T.); #123743=ORIENTED_EDGE('',*,*,#82131,.T.); #123744=ORIENTED_EDGE('',*,*,#82128,.T.); #123745=ORIENTED_EDGE('',*,*,#82125,.T.); #123746=ORIENTED_EDGE('',*,*,#82122,.T.); #123747=ORIENTED_EDGE('',*,*,#82119,.T.); #123748=ORIENTED_EDGE('',*,*,#82116,.T.); #123749=ORIENTED_EDGE('',*,*,#82113,.T.); #123750=ORIENTED_EDGE('',*,*,#82110,.T.); #123751=ORIENTED_EDGE('',*,*,#82107,.T.); #123752=ORIENTED_EDGE('',*,*,#82104,.T.); #123753=ORIENTED_EDGE('',*,*,#82101,.T.); #123754=ORIENTED_EDGE('',*,*,#82098,.T.); #123755=ORIENTED_EDGE('',*,*,#82095,.T.); #123756=ORIENTED_EDGE('',*,*,#82092,.T.); #123757=ORIENTED_EDGE('',*,*,#82089,.T.); #123758=ORIENTED_EDGE('',*,*,#82086,.T.); #123759=ORIENTED_EDGE('',*,*,#82083,.T.); #123760=ORIENTED_EDGE('',*,*,#82080,.T.); #123761=ORIENTED_EDGE('',*,*,#82077,.T.); #123762=ORIENTED_EDGE('',*,*,#82074,.T.); #123763=ORIENTED_EDGE('',*,*,#82460,.T.); #123764=ORIENTED_EDGE('',*,*,#82947,.T.); #123765=ORIENTED_EDGE('',*,*,#82944,.T.); #123766=ORIENTED_EDGE('',*,*,#82941,.T.); #123767=ORIENTED_EDGE('',*,*,#82938,.T.); #123768=ORIENTED_EDGE('',*,*,#82935,.T.); #123769=ORIENTED_EDGE('',*,*,#82932,.T.); #123770=ORIENTED_EDGE('',*,*,#82929,.T.); #123771=ORIENTED_EDGE('',*,*,#82926,.T.); #123772=ORIENTED_EDGE('',*,*,#82923,.T.); #123773=ORIENTED_EDGE('',*,*,#82920,.T.); #123774=ORIENTED_EDGE('',*,*,#82917,.T.); #123775=ORIENTED_EDGE('',*,*,#82914,.T.); #123776=ORIENTED_EDGE('',*,*,#82911,.T.); #123777=ORIENTED_EDGE('',*,*,#82908,.T.); #123778=ORIENTED_EDGE('',*,*,#82905,.T.); #123779=ORIENTED_EDGE('',*,*,#82902,.T.); #123780=ORIENTED_EDGE('',*,*,#82899,.T.); #123781=ORIENTED_EDGE('',*,*,#82896,.T.); #123782=ORIENTED_EDGE('',*,*,#82893,.T.); #123783=ORIENTED_EDGE('',*,*,#82890,.T.); #123784=ORIENTED_EDGE('',*,*,#82887,.T.); #123785=ORIENTED_EDGE('',*,*,#82884,.T.); #123786=ORIENTED_EDGE('',*,*,#82881,.T.); #123787=ORIENTED_EDGE('',*,*,#82878,.T.); #123788=ORIENTED_EDGE('',*,*,#82875,.T.); #123789=ORIENTED_EDGE('',*,*,#82872,.T.); #123790=ORIENTED_EDGE('',*,*,#82869,.T.); #123791=ORIENTED_EDGE('',*,*,#82866,.T.); #123792=ORIENTED_EDGE('',*,*,#82863,.T.); #123793=ORIENTED_EDGE('',*,*,#82860,.T.); #123794=ORIENTED_EDGE('',*,*,#82857,.T.); #123795=ORIENTED_EDGE('',*,*,#82854,.T.); #123796=ORIENTED_EDGE('',*,*,#82851,.T.); #123797=ORIENTED_EDGE('',*,*,#82848,.T.); #123798=ORIENTED_EDGE('',*,*,#82845,.T.); #123799=ORIENTED_EDGE('',*,*,#82842,.T.); #123800=ORIENTED_EDGE('',*,*,#82839,.T.); #123801=ORIENTED_EDGE('',*,*,#82836,.T.); #123802=ORIENTED_EDGE('',*,*,#82833,.T.); #123803=ORIENTED_EDGE('',*,*,#82830,.T.); #123804=ORIENTED_EDGE('',*,*,#82827,.T.); #123805=ORIENTED_EDGE('',*,*,#82824,.T.); #123806=ORIENTED_EDGE('',*,*,#82821,.T.); #123807=ORIENTED_EDGE('',*,*,#82818,.T.); #123808=ORIENTED_EDGE('',*,*,#82815,.T.); #123809=ORIENTED_EDGE('',*,*,#82812,.T.); #123810=ORIENTED_EDGE('',*,*,#82809,.T.); #123811=ORIENTED_EDGE('',*,*,#82806,.T.); #123812=ORIENTED_EDGE('',*,*,#82803,.T.); #123813=ORIENTED_EDGE('',*,*,#82800,.T.); #123814=ORIENTED_EDGE('',*,*,#82797,.T.); #123815=ORIENTED_EDGE('',*,*,#82794,.T.); #123816=ORIENTED_EDGE('',*,*,#82791,.T.); #123817=ORIENTED_EDGE('',*,*,#82788,.T.); #123818=ORIENTED_EDGE('',*,*,#82785,.T.); #123819=ORIENTED_EDGE('',*,*,#82782,.T.); #123820=ORIENTED_EDGE('',*,*,#82779,.T.); #123821=ORIENTED_EDGE('',*,*,#82776,.T.); #123822=ORIENTED_EDGE('',*,*,#82773,.T.); #123823=ORIENTED_EDGE('',*,*,#82770,.T.); #123824=ORIENTED_EDGE('',*,*,#82767,.T.); #123825=ORIENTED_EDGE('',*,*,#82764,.T.); #123826=ORIENTED_EDGE('',*,*,#82761,.T.); #123827=ORIENTED_EDGE('',*,*,#82758,.T.); #123828=ORIENTED_EDGE('',*,*,#82755,.T.); #123829=ORIENTED_EDGE('',*,*,#82752,.T.); #123830=ORIENTED_EDGE('',*,*,#82749,.T.); #123831=ORIENTED_EDGE('',*,*,#82746,.T.); #123832=ORIENTED_EDGE('',*,*,#82743,.T.); #123833=ORIENTED_EDGE('',*,*,#82740,.T.); #123834=ORIENTED_EDGE('',*,*,#82737,.T.); #123835=ORIENTED_EDGE('',*,*,#82734,.T.); #123836=ORIENTED_EDGE('',*,*,#82731,.T.); #123837=ORIENTED_EDGE('',*,*,#82728,.T.); #123838=ORIENTED_EDGE('',*,*,#82725,.T.); #123839=ORIENTED_EDGE('',*,*,#82722,.T.); #123840=ORIENTED_EDGE('',*,*,#82719,.T.); #123841=ORIENTED_EDGE('',*,*,#82716,.T.); #123842=ORIENTED_EDGE('',*,*,#82713,.T.); #123843=ORIENTED_EDGE('',*,*,#82710,.T.); #123844=ORIENTED_EDGE('',*,*,#82707,.T.); #123845=ORIENTED_EDGE('',*,*,#82704,.T.); #123846=ORIENTED_EDGE('',*,*,#82701,.T.); #123847=ORIENTED_EDGE('',*,*,#82698,.T.); #123848=ORIENTED_EDGE('',*,*,#82695,.T.); #123849=ORIENTED_EDGE('',*,*,#82692,.T.); #123850=ORIENTED_EDGE('',*,*,#82689,.T.); #123851=ORIENTED_EDGE('',*,*,#82686,.T.); #123852=ORIENTED_EDGE('',*,*,#82683,.T.); #123853=ORIENTED_EDGE('',*,*,#82680,.T.); #123854=ORIENTED_EDGE('',*,*,#82677,.T.); #123855=ORIENTED_EDGE('',*,*,#82674,.T.); #123856=ORIENTED_EDGE('',*,*,#82671,.T.); #123857=ORIENTED_EDGE('',*,*,#82668,.T.); #123858=ORIENTED_EDGE('',*,*,#82665,.T.); #123859=ORIENTED_EDGE('',*,*,#82662,.T.); #123860=ORIENTED_EDGE('',*,*,#82659,.T.); #123861=ORIENTED_EDGE('',*,*,#82656,.T.); #123862=ORIENTED_EDGE('',*,*,#82653,.T.); #123863=ORIENTED_EDGE('',*,*,#82650,.T.); #123864=ORIENTED_EDGE('',*,*,#82647,.T.); #123865=ORIENTED_EDGE('',*,*,#82644,.T.); #123866=ORIENTED_EDGE('',*,*,#82641,.T.); #123867=ORIENTED_EDGE('',*,*,#82638,.T.); #123868=ORIENTED_EDGE('',*,*,#82635,.T.); #123869=ORIENTED_EDGE('',*,*,#82632,.T.); #123870=ORIENTED_EDGE('',*,*,#82629,.T.); #123871=ORIENTED_EDGE('',*,*,#82626,.T.); #123872=ORIENTED_EDGE('',*,*,#82623,.T.); #123873=ORIENTED_EDGE('',*,*,#82620,.T.); #123874=ORIENTED_EDGE('',*,*,#82617,.T.); #123875=ORIENTED_EDGE('',*,*,#82614,.T.); #123876=ORIENTED_EDGE('',*,*,#82611,.T.); #123877=ORIENTED_EDGE('',*,*,#82608,.T.); #123878=ORIENTED_EDGE('',*,*,#82605,.T.); #123879=ORIENTED_EDGE('',*,*,#82602,.T.); #123880=ORIENTED_EDGE('',*,*,#82599,.T.); #123881=ORIENTED_EDGE('',*,*,#82596,.T.); #123882=ORIENTED_EDGE('',*,*,#82593,.T.); #123883=ORIENTED_EDGE('',*,*,#82590,.T.); #123884=ORIENTED_EDGE('',*,*,#82587,.T.); #123885=ORIENTED_EDGE('',*,*,#82584,.T.); #123886=ORIENTED_EDGE('',*,*,#82581,.T.); #123887=ORIENTED_EDGE('',*,*,#82578,.T.); #123888=ORIENTED_EDGE('',*,*,#82575,.T.); #123889=ORIENTED_EDGE('',*,*,#82572,.T.); #123890=ORIENTED_EDGE('',*,*,#82569,.T.); #123891=ORIENTED_EDGE('',*,*,#82566,.T.); #123892=ORIENTED_EDGE('',*,*,#82563,.T.); #123893=ORIENTED_EDGE('',*,*,#82560,.T.); #123894=ORIENTED_EDGE('',*,*,#82557,.T.); #123895=ORIENTED_EDGE('',*,*,#82554,.T.); #123896=ORIENTED_EDGE('',*,*,#82551,.T.); #123897=ORIENTED_EDGE('',*,*,#82548,.T.); #123898=ORIENTED_EDGE('',*,*,#82545,.T.); #123899=ORIENTED_EDGE('',*,*,#82542,.T.); #123900=ORIENTED_EDGE('',*,*,#82539,.T.); #123901=ORIENTED_EDGE('',*,*,#82536,.T.); #123902=ORIENTED_EDGE('',*,*,#82533,.T.); #123903=ORIENTED_EDGE('',*,*,#82530,.T.); #123904=ORIENTED_EDGE('',*,*,#82527,.T.); #123905=ORIENTED_EDGE('',*,*,#82524,.T.); #123906=ORIENTED_EDGE('',*,*,#82521,.T.); #123907=ORIENTED_EDGE('',*,*,#82518,.T.); #123908=ORIENTED_EDGE('',*,*,#82515,.T.); #123909=ORIENTED_EDGE('',*,*,#82512,.T.); #123910=ORIENTED_EDGE('',*,*,#82509,.T.); #123911=ORIENTED_EDGE('',*,*,#82506,.T.); #123912=ORIENTED_EDGE('',*,*,#82503,.T.); #123913=ORIENTED_EDGE('',*,*,#82500,.T.); #123914=ORIENTED_EDGE('',*,*,#82497,.T.); #123915=ORIENTED_EDGE('',*,*,#82494,.T.); #123916=ORIENTED_EDGE('',*,*,#82491,.T.); #123917=ORIENTED_EDGE('',*,*,#82488,.T.); #123918=ORIENTED_EDGE('',*,*,#82485,.T.); #123919=ORIENTED_EDGE('',*,*,#82482,.T.); #123920=ORIENTED_EDGE('',*,*,#82479,.T.); #123921=ORIENTED_EDGE('',*,*,#82476,.T.); #123922=ORIENTED_EDGE('',*,*,#82473,.T.); #123923=ORIENTED_EDGE('',*,*,#82470,.T.); #123924=ORIENTED_EDGE('',*,*,#82467,.T.); #123925=ORIENTED_EDGE('',*,*,#82464,.T.); #123926=ORIENTED_EDGE('',*,*,#82949,.T.); #123927=ORIENTED_EDGE('',*,*,#83292,.T.); #123928=ORIENTED_EDGE('',*,*,#83289,.T.); #123929=ORIENTED_EDGE('',*,*,#83286,.T.); #123930=ORIENTED_EDGE('',*,*,#83283,.T.); #123931=ORIENTED_EDGE('',*,*,#83280,.T.); #123932=ORIENTED_EDGE('',*,*,#83277,.T.); #123933=ORIENTED_EDGE('',*,*,#83274,.T.); #123934=ORIENTED_EDGE('',*,*,#83271,.T.); #123935=ORIENTED_EDGE('',*,*,#83268,.T.); #123936=ORIENTED_EDGE('',*,*,#83265,.T.); #123937=ORIENTED_EDGE('',*,*,#83262,.T.); #123938=ORIENTED_EDGE('',*,*,#83259,.T.); #123939=ORIENTED_EDGE('',*,*,#83256,.T.); #123940=ORIENTED_EDGE('',*,*,#83253,.T.); #123941=ORIENTED_EDGE('',*,*,#83250,.T.); #123942=ORIENTED_EDGE('',*,*,#83247,.T.); #123943=ORIENTED_EDGE('',*,*,#83244,.T.); #123944=ORIENTED_EDGE('',*,*,#83241,.T.); #123945=ORIENTED_EDGE('',*,*,#83238,.T.); #123946=ORIENTED_EDGE('',*,*,#83235,.T.); #123947=ORIENTED_EDGE('',*,*,#83232,.T.); #123948=ORIENTED_EDGE('',*,*,#83229,.T.); #123949=ORIENTED_EDGE('',*,*,#83226,.T.); #123950=ORIENTED_EDGE('',*,*,#83223,.T.); #123951=ORIENTED_EDGE('',*,*,#83220,.T.); #123952=ORIENTED_EDGE('',*,*,#83217,.T.); #123953=ORIENTED_EDGE('',*,*,#83214,.T.); #123954=ORIENTED_EDGE('',*,*,#83211,.T.); #123955=ORIENTED_EDGE('',*,*,#83208,.T.); #123956=ORIENTED_EDGE('',*,*,#83205,.T.); #123957=ORIENTED_EDGE('',*,*,#83202,.T.); #123958=ORIENTED_EDGE('',*,*,#83199,.T.); #123959=ORIENTED_EDGE('',*,*,#83196,.T.); #123960=ORIENTED_EDGE('',*,*,#83193,.T.); #123961=ORIENTED_EDGE('',*,*,#83190,.T.); #123962=ORIENTED_EDGE('',*,*,#83187,.T.); #123963=ORIENTED_EDGE('',*,*,#83184,.T.); #123964=ORIENTED_EDGE('',*,*,#83181,.T.); #123965=ORIENTED_EDGE('',*,*,#83178,.T.); #123966=ORIENTED_EDGE('',*,*,#83175,.T.); #123967=ORIENTED_EDGE('',*,*,#83172,.T.); #123968=ORIENTED_EDGE('',*,*,#83169,.T.); #123969=ORIENTED_EDGE('',*,*,#83166,.T.); #123970=ORIENTED_EDGE('',*,*,#83163,.T.); #123971=ORIENTED_EDGE('',*,*,#83160,.T.); #123972=ORIENTED_EDGE('',*,*,#83157,.T.); #123973=ORIENTED_EDGE('',*,*,#83154,.T.); #123974=ORIENTED_EDGE('',*,*,#83151,.T.); #123975=ORIENTED_EDGE('',*,*,#83148,.T.); #123976=ORIENTED_EDGE('',*,*,#83145,.T.); #123977=ORIENTED_EDGE('',*,*,#83142,.T.); #123978=ORIENTED_EDGE('',*,*,#83139,.T.); #123979=ORIENTED_EDGE('',*,*,#83136,.T.); #123980=ORIENTED_EDGE('',*,*,#83133,.T.); #123981=ORIENTED_EDGE('',*,*,#83130,.T.); #123982=ORIENTED_EDGE('',*,*,#83127,.T.); #123983=ORIENTED_EDGE('',*,*,#83124,.T.); #123984=ORIENTED_EDGE('',*,*,#83121,.T.); #123985=ORIENTED_EDGE('',*,*,#83118,.T.); #123986=ORIENTED_EDGE('',*,*,#83115,.T.); #123987=ORIENTED_EDGE('',*,*,#83112,.T.); #123988=ORIENTED_EDGE('',*,*,#83109,.T.); #123989=ORIENTED_EDGE('',*,*,#83106,.T.); #123990=ORIENTED_EDGE('',*,*,#83103,.T.); #123991=ORIENTED_EDGE('',*,*,#83100,.T.); #123992=ORIENTED_EDGE('',*,*,#83097,.T.); #123993=ORIENTED_EDGE('',*,*,#83094,.T.); #123994=ORIENTED_EDGE('',*,*,#83091,.T.); #123995=ORIENTED_EDGE('',*,*,#83088,.T.); #123996=ORIENTED_EDGE('',*,*,#83085,.T.); #123997=ORIENTED_EDGE('',*,*,#83082,.T.); #123998=ORIENTED_EDGE('',*,*,#83079,.T.); #123999=ORIENTED_EDGE('',*,*,#83076,.T.); #124000=ORIENTED_EDGE('',*,*,#83073,.T.); #124001=ORIENTED_EDGE('',*,*,#83070,.T.); #124002=ORIENTED_EDGE('',*,*,#83067,.T.); #124003=ORIENTED_EDGE('',*,*,#83064,.T.); #124004=ORIENTED_EDGE('',*,*,#83061,.T.); #124005=ORIENTED_EDGE('',*,*,#83058,.T.); #124006=ORIENTED_EDGE('',*,*,#83055,.T.); #124007=ORIENTED_EDGE('',*,*,#83052,.T.); #124008=ORIENTED_EDGE('',*,*,#83049,.T.); #124009=ORIENTED_EDGE('',*,*,#83046,.T.); #124010=ORIENTED_EDGE('',*,*,#83043,.T.); #124011=ORIENTED_EDGE('',*,*,#83040,.T.); #124012=ORIENTED_EDGE('',*,*,#83037,.T.); #124013=ORIENTED_EDGE('',*,*,#83034,.T.); #124014=ORIENTED_EDGE('',*,*,#83031,.T.); #124015=ORIENTED_EDGE('',*,*,#83028,.T.); #124016=ORIENTED_EDGE('',*,*,#83025,.T.); #124017=ORIENTED_EDGE('',*,*,#83022,.T.); #124018=ORIENTED_EDGE('',*,*,#83019,.T.); #124019=ORIENTED_EDGE('',*,*,#83016,.T.); #124020=ORIENTED_EDGE('',*,*,#83013,.T.); #124021=ORIENTED_EDGE('',*,*,#83010,.T.); #124022=ORIENTED_EDGE('',*,*,#83007,.T.); #124023=ORIENTED_EDGE('',*,*,#83004,.T.); #124024=ORIENTED_EDGE('',*,*,#83001,.T.); #124025=ORIENTED_EDGE('',*,*,#82998,.T.); #124026=ORIENTED_EDGE('',*,*,#82995,.T.); #124027=ORIENTED_EDGE('',*,*,#82992,.T.); #124028=ORIENTED_EDGE('',*,*,#82989,.T.); #124029=ORIENTED_EDGE('',*,*,#82986,.T.); #124030=ORIENTED_EDGE('',*,*,#82983,.T.); #124031=ORIENTED_EDGE('',*,*,#82980,.T.); #124032=ORIENTED_EDGE('',*,*,#82977,.T.); #124033=ORIENTED_EDGE('',*,*,#82974,.T.); #124034=ORIENTED_EDGE('',*,*,#82971,.T.); #124035=ORIENTED_EDGE('',*,*,#82968,.T.); #124036=ORIENTED_EDGE('',*,*,#82965,.T.); #124037=ORIENTED_EDGE('',*,*,#82962,.T.); #124038=ORIENTED_EDGE('',*,*,#82959,.T.); #124039=ORIENTED_EDGE('',*,*,#82956,.T.); #124040=ORIENTED_EDGE('',*,*,#82953,.T.); #124041=ORIENTED_EDGE('',*,*,#83312,.T.); #124042=ORIENTED_EDGE('',*,*,#83553,.T.); #124043=ORIENTED_EDGE('',*,*,#83550,.T.); #124044=ORIENTED_EDGE('',*,*,#83547,.T.); #124045=ORIENTED_EDGE('',*,*,#83544,.T.); #124046=ORIENTED_EDGE('',*,*,#83541,.T.); #124047=ORIENTED_EDGE('',*,*,#83538,.T.); #124048=ORIENTED_EDGE('',*,*,#83535,.T.); #124049=ORIENTED_EDGE('',*,*,#83532,.T.); #124050=ORIENTED_EDGE('',*,*,#83529,.T.); #124051=ORIENTED_EDGE('',*,*,#83526,.T.); #124052=ORIENTED_EDGE('',*,*,#83523,.T.); #124053=ORIENTED_EDGE('',*,*,#83520,.T.); #124054=ORIENTED_EDGE('',*,*,#83517,.T.); #124055=ORIENTED_EDGE('',*,*,#83514,.T.); #124056=ORIENTED_EDGE('',*,*,#83511,.T.); #124057=ORIENTED_EDGE('',*,*,#83508,.T.); #124058=ORIENTED_EDGE('',*,*,#83505,.T.); #124059=ORIENTED_EDGE('',*,*,#83502,.T.); #124060=ORIENTED_EDGE('',*,*,#83499,.T.); #124061=ORIENTED_EDGE('',*,*,#83496,.T.); #124062=ORIENTED_EDGE('',*,*,#83493,.T.); #124063=ORIENTED_EDGE('',*,*,#83490,.T.); #124064=ORIENTED_EDGE('',*,*,#83487,.T.); #124065=ORIENTED_EDGE('',*,*,#83484,.T.); #124066=ORIENTED_EDGE('',*,*,#83481,.T.); #124067=ORIENTED_EDGE('',*,*,#83478,.T.); #124068=ORIENTED_EDGE('',*,*,#83475,.T.); #124069=ORIENTED_EDGE('',*,*,#83472,.T.); #124070=ORIENTED_EDGE('',*,*,#83469,.T.); #124071=ORIENTED_EDGE('',*,*,#83466,.T.); #124072=ORIENTED_EDGE('',*,*,#83463,.T.); #124073=ORIENTED_EDGE('',*,*,#83460,.T.); #124074=ORIENTED_EDGE('',*,*,#83457,.T.); #124075=ORIENTED_EDGE('',*,*,#83454,.T.); #124076=ORIENTED_EDGE('',*,*,#83451,.T.); #124077=ORIENTED_EDGE('',*,*,#83448,.T.); #124078=ORIENTED_EDGE('',*,*,#83445,.T.); #124079=ORIENTED_EDGE('',*,*,#83442,.T.); #124080=ORIENTED_EDGE('',*,*,#83439,.T.); #124081=ORIENTED_EDGE('',*,*,#83436,.T.); #124082=ORIENTED_EDGE('',*,*,#83433,.T.); #124083=ORIENTED_EDGE('',*,*,#83430,.T.); #124084=ORIENTED_EDGE('',*,*,#83427,.T.); #124085=ORIENTED_EDGE('',*,*,#83424,.T.); #124086=ORIENTED_EDGE('',*,*,#83421,.T.); #124087=ORIENTED_EDGE('',*,*,#83418,.T.); #124088=ORIENTED_EDGE('',*,*,#83415,.T.); #124089=ORIENTED_EDGE('',*,*,#83412,.T.); #124090=ORIENTED_EDGE('',*,*,#83409,.T.); #124091=ORIENTED_EDGE('',*,*,#83406,.T.); #124092=ORIENTED_EDGE('',*,*,#83403,.T.); #124093=ORIENTED_EDGE('',*,*,#83400,.T.); #124094=ORIENTED_EDGE('',*,*,#83397,.T.); #124095=ORIENTED_EDGE('',*,*,#83394,.T.); #124096=ORIENTED_EDGE('',*,*,#83391,.T.); #124097=ORIENTED_EDGE('',*,*,#83388,.T.); #124098=ORIENTED_EDGE('',*,*,#83385,.T.); #124099=ORIENTED_EDGE('',*,*,#83382,.T.); #124100=ORIENTED_EDGE('',*,*,#83379,.T.); #124101=ORIENTED_EDGE('',*,*,#83376,.T.); #124102=ORIENTED_EDGE('',*,*,#83373,.T.); #124103=ORIENTED_EDGE('',*,*,#83370,.T.); #124104=ORIENTED_EDGE('',*,*,#83367,.T.); #124105=ORIENTED_EDGE('',*,*,#83364,.T.); #124106=ORIENTED_EDGE('',*,*,#83361,.T.); #124107=ORIENTED_EDGE('',*,*,#83358,.T.); #124108=ORIENTED_EDGE('',*,*,#83355,.T.); #124109=ORIENTED_EDGE('',*,*,#83352,.T.); #124110=ORIENTED_EDGE('',*,*,#83349,.T.); #124111=ORIENTED_EDGE('',*,*,#83346,.T.); #124112=ORIENTED_EDGE('',*,*,#83343,.T.); #124113=ORIENTED_EDGE('',*,*,#83340,.T.); #124114=ORIENTED_EDGE('',*,*,#83337,.T.); #124115=ORIENTED_EDGE('',*,*,#83334,.T.); #124116=ORIENTED_EDGE('',*,*,#83331,.T.); #124117=ORIENTED_EDGE('',*,*,#83328,.T.); #124118=ORIENTED_EDGE('',*,*,#83325,.T.); #124119=ORIENTED_EDGE('',*,*,#83322,.T.); #124120=ORIENTED_EDGE('',*,*,#83319,.T.); #124121=ORIENTED_EDGE('',*,*,#83316,.T.); #124122=ORIENTED_EDGE('',*,*,#83555,.T.); #124123=ORIENTED_EDGE('',*,*,#83589,.T.); #124124=ORIENTED_EDGE('',*,*,#83586,.T.); #124125=ORIENTED_EDGE('',*,*,#83583,.T.); #124126=ORIENTED_EDGE('',*,*,#83580,.T.); #124127=ORIENTED_EDGE('',*,*,#83577,.T.); #124128=ORIENTED_EDGE('',*,*,#83574,.T.); #124129=ORIENTED_EDGE('',*,*,#83571,.T.); #124130=ORIENTED_EDGE('',*,*,#83568,.T.); #124131=ORIENTED_EDGE('',*,*,#83565,.T.); #124132=ORIENTED_EDGE('',*,*,#83562,.T.); #124133=ORIENTED_EDGE('',*,*,#83559,.T.); #124134=ORIENTED_EDGE('',*,*,#83615,.T.); #124135=ORIENTED_EDGE('',*,*,#84030,.T.); #124136=ORIENTED_EDGE('',*,*,#84027,.T.); #124137=ORIENTED_EDGE('',*,*,#84024,.T.); #124138=ORIENTED_EDGE('',*,*,#84021,.T.); #124139=ORIENTED_EDGE('',*,*,#84018,.T.); #124140=ORIENTED_EDGE('',*,*,#84015,.T.); #124141=ORIENTED_EDGE('',*,*,#84012,.T.); #124142=ORIENTED_EDGE('',*,*,#84009,.T.); #124143=ORIENTED_EDGE('',*,*,#84006,.T.); #124144=ORIENTED_EDGE('',*,*,#84003,.T.); #124145=ORIENTED_EDGE('',*,*,#84000,.T.); #124146=ORIENTED_EDGE('',*,*,#83997,.T.); #124147=ORIENTED_EDGE('',*,*,#83994,.T.); #124148=ORIENTED_EDGE('',*,*,#83991,.T.); #124149=ORIENTED_EDGE('',*,*,#83988,.T.); #124150=ORIENTED_EDGE('',*,*,#83985,.T.); #124151=ORIENTED_EDGE('',*,*,#83982,.T.); #124152=ORIENTED_EDGE('',*,*,#83979,.T.); #124153=ORIENTED_EDGE('',*,*,#83976,.T.); #124154=ORIENTED_EDGE('',*,*,#83973,.T.); #124155=ORIENTED_EDGE('',*,*,#83970,.T.); #124156=ORIENTED_EDGE('',*,*,#83967,.T.); #124157=ORIENTED_EDGE('',*,*,#83964,.T.); #124158=ORIENTED_EDGE('',*,*,#83961,.T.); #124159=ORIENTED_EDGE('',*,*,#83958,.T.); #124160=ORIENTED_EDGE('',*,*,#83955,.T.); #124161=ORIENTED_EDGE('',*,*,#83952,.T.); #124162=ORIENTED_EDGE('',*,*,#83949,.T.); #124163=ORIENTED_EDGE('',*,*,#83946,.T.); #124164=ORIENTED_EDGE('',*,*,#83943,.T.); #124165=ORIENTED_EDGE('',*,*,#83940,.T.); #124166=ORIENTED_EDGE('',*,*,#83937,.T.); #124167=ORIENTED_EDGE('',*,*,#83934,.T.); #124168=ORIENTED_EDGE('',*,*,#83931,.T.); #124169=ORIENTED_EDGE('',*,*,#83928,.T.); #124170=ORIENTED_EDGE('',*,*,#83925,.T.); #124171=ORIENTED_EDGE('',*,*,#83922,.T.); #124172=ORIENTED_EDGE('',*,*,#83919,.T.); #124173=ORIENTED_EDGE('',*,*,#83916,.T.); #124174=ORIENTED_EDGE('',*,*,#83913,.T.); #124175=ORIENTED_EDGE('',*,*,#83910,.T.); #124176=ORIENTED_EDGE('',*,*,#83907,.T.); #124177=ORIENTED_EDGE('',*,*,#83904,.T.); #124178=ORIENTED_EDGE('',*,*,#83901,.T.); #124179=ORIENTED_EDGE('',*,*,#83898,.T.); #124180=ORIENTED_EDGE('',*,*,#83895,.T.); #124181=ORIENTED_EDGE('',*,*,#83892,.T.); #124182=ORIENTED_EDGE('',*,*,#83889,.T.); #124183=ORIENTED_EDGE('',*,*,#83886,.T.); #124184=ORIENTED_EDGE('',*,*,#83883,.T.); #124185=ORIENTED_EDGE('',*,*,#83880,.T.); #124186=ORIENTED_EDGE('',*,*,#83877,.T.); #124187=ORIENTED_EDGE('',*,*,#83874,.T.); #124188=ORIENTED_EDGE('',*,*,#83871,.T.); #124189=ORIENTED_EDGE('',*,*,#83868,.T.); #124190=ORIENTED_EDGE('',*,*,#83865,.T.); #124191=ORIENTED_EDGE('',*,*,#83862,.T.); #124192=ORIENTED_EDGE('',*,*,#83859,.T.); #124193=ORIENTED_EDGE('',*,*,#83856,.T.); #124194=ORIENTED_EDGE('',*,*,#83853,.T.); #124195=ORIENTED_EDGE('',*,*,#83850,.T.); #124196=ORIENTED_EDGE('',*,*,#83847,.T.); #124197=ORIENTED_EDGE('',*,*,#83844,.T.); #124198=ORIENTED_EDGE('',*,*,#83841,.T.); #124199=ORIENTED_EDGE('',*,*,#83838,.T.); #124200=ORIENTED_EDGE('',*,*,#83835,.T.); #124201=ORIENTED_EDGE('',*,*,#83832,.T.); #124202=ORIENTED_EDGE('',*,*,#83829,.T.); #124203=ORIENTED_EDGE('',*,*,#83826,.T.); #124204=ORIENTED_EDGE('',*,*,#83823,.T.); #124205=ORIENTED_EDGE('',*,*,#83820,.T.); #124206=ORIENTED_EDGE('',*,*,#83817,.T.); #124207=ORIENTED_EDGE('',*,*,#83814,.T.); #124208=ORIENTED_EDGE('',*,*,#83811,.T.); #124209=ORIENTED_EDGE('',*,*,#83808,.T.); #124210=ORIENTED_EDGE('',*,*,#83805,.T.); #124211=ORIENTED_EDGE('',*,*,#83802,.T.); #124212=ORIENTED_EDGE('',*,*,#83799,.T.); #124213=ORIENTED_EDGE('',*,*,#83796,.T.); #124214=ORIENTED_EDGE('',*,*,#83793,.T.); #124215=ORIENTED_EDGE('',*,*,#83790,.T.); #124216=ORIENTED_EDGE('',*,*,#83787,.T.); #124217=ORIENTED_EDGE('',*,*,#83784,.T.); #124218=ORIENTED_EDGE('',*,*,#83781,.T.); #124219=ORIENTED_EDGE('',*,*,#83778,.T.); #124220=ORIENTED_EDGE('',*,*,#83775,.T.); #124221=ORIENTED_EDGE('',*,*,#83772,.T.); #124222=ORIENTED_EDGE('',*,*,#83769,.T.); #124223=ORIENTED_EDGE('',*,*,#83766,.T.); #124224=ORIENTED_EDGE('',*,*,#83763,.T.); #124225=ORIENTED_EDGE('',*,*,#83760,.T.); #124226=ORIENTED_EDGE('',*,*,#83757,.T.); #124227=ORIENTED_EDGE('',*,*,#83754,.T.); #124228=ORIENTED_EDGE('',*,*,#83751,.T.); #124229=ORIENTED_EDGE('',*,*,#83748,.T.); #124230=ORIENTED_EDGE('',*,*,#83745,.T.); #124231=ORIENTED_EDGE('',*,*,#83742,.T.); #124232=ORIENTED_EDGE('',*,*,#83739,.T.); #124233=ORIENTED_EDGE('',*,*,#83736,.T.); #124234=ORIENTED_EDGE('',*,*,#83733,.T.); #124235=ORIENTED_EDGE('',*,*,#83730,.T.); #124236=ORIENTED_EDGE('',*,*,#83727,.T.); #124237=ORIENTED_EDGE('',*,*,#83724,.T.); #124238=ORIENTED_EDGE('',*,*,#83721,.T.); #124239=ORIENTED_EDGE('',*,*,#83718,.T.); #124240=ORIENTED_EDGE('',*,*,#83715,.T.); #124241=ORIENTED_EDGE('',*,*,#83712,.T.); #124242=ORIENTED_EDGE('',*,*,#83709,.T.); #124243=ORIENTED_EDGE('',*,*,#83706,.T.); #124244=ORIENTED_EDGE('',*,*,#83703,.T.); #124245=ORIENTED_EDGE('',*,*,#83700,.T.); #124246=ORIENTED_EDGE('',*,*,#83697,.T.); #124247=ORIENTED_EDGE('',*,*,#83694,.T.); #124248=ORIENTED_EDGE('',*,*,#83691,.T.); #124249=ORIENTED_EDGE('',*,*,#83688,.T.); #124250=ORIENTED_EDGE('',*,*,#83685,.T.); #124251=ORIENTED_EDGE('',*,*,#83682,.T.); #124252=ORIENTED_EDGE('',*,*,#83679,.T.); #124253=ORIENTED_EDGE('',*,*,#83676,.T.); #124254=ORIENTED_EDGE('',*,*,#83673,.T.); #124255=ORIENTED_EDGE('',*,*,#83670,.T.); #124256=ORIENTED_EDGE('',*,*,#83667,.T.); #124257=ORIENTED_EDGE('',*,*,#83664,.T.); #124258=ORIENTED_EDGE('',*,*,#83661,.T.); #124259=ORIENTED_EDGE('',*,*,#83658,.T.); #124260=ORIENTED_EDGE('',*,*,#83655,.T.); #124261=ORIENTED_EDGE('',*,*,#83652,.T.); #124262=ORIENTED_EDGE('',*,*,#83649,.T.); #124263=ORIENTED_EDGE('',*,*,#83646,.T.); #124264=ORIENTED_EDGE('',*,*,#83643,.T.); #124265=ORIENTED_EDGE('',*,*,#83640,.T.); #124266=ORIENTED_EDGE('',*,*,#83637,.T.); #124267=ORIENTED_EDGE('',*,*,#83634,.T.); #124268=ORIENTED_EDGE('',*,*,#83631,.T.); #124269=ORIENTED_EDGE('',*,*,#83628,.T.); #124270=ORIENTED_EDGE('',*,*,#83625,.T.); #124271=ORIENTED_EDGE('',*,*,#83622,.T.); #124272=ORIENTED_EDGE('',*,*,#83619,.T.); #124273=ORIENTED_EDGE('',*,*,#84059,.T.); #124274=ORIENTED_EDGE('',*,*,#84144,.T.); #124275=ORIENTED_EDGE('',*,*,#84141,.T.); #124276=ORIENTED_EDGE('',*,*,#84138,.T.); #124277=ORIENTED_EDGE('',*,*,#84135,.T.); #124278=ORIENTED_EDGE('',*,*,#84132,.T.); #124279=ORIENTED_EDGE('',*,*,#84129,.T.); #124280=ORIENTED_EDGE('',*,*,#84126,.T.); #124281=ORIENTED_EDGE('',*,*,#84123,.T.); #124282=ORIENTED_EDGE('',*,*,#84120,.T.); #124283=ORIENTED_EDGE('',*,*,#84117,.T.); #124284=ORIENTED_EDGE('',*,*,#84114,.T.); #124285=ORIENTED_EDGE('',*,*,#84111,.T.); #124286=ORIENTED_EDGE('',*,*,#84108,.T.); #124287=ORIENTED_EDGE('',*,*,#84105,.T.); #124288=ORIENTED_EDGE('',*,*,#84102,.T.); #124289=ORIENTED_EDGE('',*,*,#84099,.T.); #124290=ORIENTED_EDGE('',*,*,#84096,.T.); #124291=ORIENTED_EDGE('',*,*,#84093,.T.); #124292=ORIENTED_EDGE('',*,*,#84090,.T.); #124293=ORIENTED_EDGE('',*,*,#84087,.T.); #124294=ORIENTED_EDGE('',*,*,#84084,.T.); #124295=ORIENTED_EDGE('',*,*,#84081,.T.); #124296=ORIENTED_EDGE('',*,*,#84078,.T.); #124297=ORIENTED_EDGE('',*,*,#84075,.T.); #124298=ORIENTED_EDGE('',*,*,#84072,.T.); #124299=ORIENTED_EDGE('',*,*,#84069,.T.); #124300=ORIENTED_EDGE('',*,*,#84066,.T.); #124301=ORIENTED_EDGE('',*,*,#84063,.T.); #124302=ORIENTED_EDGE('',*,*,#84161,.T.); #124303=ORIENTED_EDGE('',*,*,#84327,.T.); #124304=ORIENTED_EDGE('',*,*,#84324,.T.); #124305=ORIENTED_EDGE('',*,*,#84321,.T.); #124306=ORIENTED_EDGE('',*,*,#84318,.T.); #124307=ORIENTED_EDGE('',*,*,#84315,.T.); #124308=ORIENTED_EDGE('',*,*,#84312,.T.); #124309=ORIENTED_EDGE('',*,*,#84309,.T.); #124310=ORIENTED_EDGE('',*,*,#84306,.T.); #124311=ORIENTED_EDGE('',*,*,#84303,.T.); #124312=ORIENTED_EDGE('',*,*,#84300,.T.); #124313=ORIENTED_EDGE('',*,*,#84297,.T.); #124314=ORIENTED_EDGE('',*,*,#84294,.T.); #124315=ORIENTED_EDGE('',*,*,#84291,.T.); #124316=ORIENTED_EDGE('',*,*,#84288,.T.); #124317=ORIENTED_EDGE('',*,*,#84285,.T.); #124318=ORIENTED_EDGE('',*,*,#84282,.T.); #124319=ORIENTED_EDGE('',*,*,#84279,.T.); #124320=ORIENTED_EDGE('',*,*,#84276,.T.); #124321=ORIENTED_EDGE('',*,*,#84273,.T.); #124322=ORIENTED_EDGE('',*,*,#84270,.T.); #124323=ORIENTED_EDGE('',*,*,#84267,.T.); #124324=ORIENTED_EDGE('',*,*,#84264,.T.); #124325=ORIENTED_EDGE('',*,*,#84261,.T.); #124326=ORIENTED_EDGE('',*,*,#84258,.T.); #124327=ORIENTED_EDGE('',*,*,#84255,.T.); #124328=ORIENTED_EDGE('',*,*,#84252,.T.); #124329=ORIENTED_EDGE('',*,*,#84249,.T.); #124330=ORIENTED_EDGE('',*,*,#84246,.T.); #124331=ORIENTED_EDGE('',*,*,#84243,.T.); #124332=ORIENTED_EDGE('',*,*,#84240,.T.); #124333=ORIENTED_EDGE('',*,*,#84237,.T.); #124334=ORIENTED_EDGE('',*,*,#84234,.T.); #124335=ORIENTED_EDGE('',*,*,#84231,.T.); #124336=ORIENTED_EDGE('',*,*,#84228,.T.); #124337=ORIENTED_EDGE('',*,*,#84225,.T.); #124338=ORIENTED_EDGE('',*,*,#84222,.T.); #124339=ORIENTED_EDGE('',*,*,#84219,.T.); #124340=ORIENTED_EDGE('',*,*,#84216,.T.); #124341=ORIENTED_EDGE('',*,*,#84213,.T.); #124342=ORIENTED_EDGE('',*,*,#84210,.T.); #124343=ORIENTED_EDGE('',*,*,#84207,.T.); #124344=ORIENTED_EDGE('',*,*,#84204,.T.); #124345=ORIENTED_EDGE('',*,*,#84201,.T.); #124346=ORIENTED_EDGE('',*,*,#84198,.T.); #124347=ORIENTED_EDGE('',*,*,#84195,.T.); #124348=ORIENTED_EDGE('',*,*,#84192,.T.); #124349=ORIENTED_EDGE('',*,*,#84189,.T.); #124350=ORIENTED_EDGE('',*,*,#84186,.T.); #124351=ORIENTED_EDGE('',*,*,#84183,.T.); #124352=ORIENTED_EDGE('',*,*,#84180,.T.); #124353=ORIENTED_EDGE('',*,*,#84177,.T.); #124354=ORIENTED_EDGE('',*,*,#84174,.T.); #124355=ORIENTED_EDGE('',*,*,#84171,.T.); #124356=ORIENTED_EDGE('',*,*,#84168,.T.); #124357=ORIENTED_EDGE('',*,*,#84165,.T.); #124358=ORIENTED_EDGE('',*,*,#84329,.T.); #124359=ORIENTED_EDGE('',*,*,#84693,.T.); #124360=ORIENTED_EDGE('',*,*,#84690,.T.); #124361=ORIENTED_EDGE('',*,*,#84687,.T.); #124362=ORIENTED_EDGE('',*,*,#84684,.T.); #124363=ORIENTED_EDGE('',*,*,#84681,.T.); #124364=ORIENTED_EDGE('',*,*,#84678,.T.); #124365=ORIENTED_EDGE('',*,*,#84675,.T.); #124366=ORIENTED_EDGE('',*,*,#84672,.T.); #124367=ORIENTED_EDGE('',*,*,#84669,.T.); #124368=ORIENTED_EDGE('',*,*,#84666,.T.); #124369=ORIENTED_EDGE('',*,*,#84663,.T.); #124370=ORIENTED_EDGE('',*,*,#84660,.T.); #124371=ORIENTED_EDGE('',*,*,#84657,.T.); #124372=ORIENTED_EDGE('',*,*,#84654,.T.); #124373=ORIENTED_EDGE('',*,*,#84651,.T.); #124374=ORIENTED_EDGE('',*,*,#84648,.T.); #124375=ORIENTED_EDGE('',*,*,#84645,.T.); #124376=ORIENTED_EDGE('',*,*,#84642,.T.); #124377=ORIENTED_EDGE('',*,*,#84639,.T.); #124378=ORIENTED_EDGE('',*,*,#84636,.T.); #124379=ORIENTED_EDGE('',*,*,#84633,.T.); #124380=ORIENTED_EDGE('',*,*,#84630,.T.); #124381=ORIENTED_EDGE('',*,*,#84627,.T.); #124382=ORIENTED_EDGE('',*,*,#84624,.T.); #124383=ORIENTED_EDGE('',*,*,#84621,.T.); #124384=ORIENTED_EDGE('',*,*,#84618,.T.); #124385=ORIENTED_EDGE('',*,*,#84615,.T.); #124386=ORIENTED_EDGE('',*,*,#84612,.T.); #124387=ORIENTED_EDGE('',*,*,#84609,.T.); #124388=ORIENTED_EDGE('',*,*,#84606,.T.); #124389=ORIENTED_EDGE('',*,*,#84603,.T.); #124390=ORIENTED_EDGE('',*,*,#84600,.T.); #124391=ORIENTED_EDGE('',*,*,#84597,.T.); #124392=ORIENTED_EDGE('',*,*,#84594,.T.); #124393=ORIENTED_EDGE('',*,*,#84591,.T.); #124394=ORIENTED_EDGE('',*,*,#84588,.T.); #124395=ORIENTED_EDGE('',*,*,#84585,.T.); #124396=ORIENTED_EDGE('',*,*,#84582,.T.); #124397=ORIENTED_EDGE('',*,*,#84579,.T.); #124398=ORIENTED_EDGE('',*,*,#84576,.T.); #124399=ORIENTED_EDGE('',*,*,#84573,.T.); #124400=ORIENTED_EDGE('',*,*,#84570,.T.); #124401=ORIENTED_EDGE('',*,*,#84567,.T.); #124402=ORIENTED_EDGE('',*,*,#84564,.T.); #124403=ORIENTED_EDGE('',*,*,#84561,.T.); #124404=ORIENTED_EDGE('',*,*,#84558,.T.); #124405=ORIENTED_EDGE('',*,*,#84555,.T.); #124406=ORIENTED_EDGE('',*,*,#84552,.T.); #124407=ORIENTED_EDGE('',*,*,#84549,.T.); #124408=ORIENTED_EDGE('',*,*,#84546,.T.); #124409=ORIENTED_EDGE('',*,*,#84543,.T.); #124410=ORIENTED_EDGE('',*,*,#84540,.T.); #124411=ORIENTED_EDGE('',*,*,#84537,.T.); #124412=ORIENTED_EDGE('',*,*,#84534,.T.); #124413=ORIENTED_EDGE('',*,*,#84531,.T.); #124414=ORIENTED_EDGE('',*,*,#84528,.T.); #124415=ORIENTED_EDGE('',*,*,#84525,.T.); #124416=ORIENTED_EDGE('',*,*,#84522,.T.); #124417=ORIENTED_EDGE('',*,*,#84519,.T.); #124418=ORIENTED_EDGE('',*,*,#84516,.T.); #124419=ORIENTED_EDGE('',*,*,#84513,.T.); #124420=ORIENTED_EDGE('',*,*,#84510,.T.); #124421=ORIENTED_EDGE('',*,*,#84507,.T.); #124422=ORIENTED_EDGE('',*,*,#84504,.T.); #124423=ORIENTED_EDGE('',*,*,#84501,.T.); #124424=ORIENTED_EDGE('',*,*,#84498,.T.); #124425=ORIENTED_EDGE('',*,*,#84495,.T.); #124426=ORIENTED_EDGE('',*,*,#84492,.T.); #124427=ORIENTED_EDGE('',*,*,#84489,.T.); #124428=ORIENTED_EDGE('',*,*,#84486,.T.); #124429=ORIENTED_EDGE('',*,*,#84483,.T.); #124430=ORIENTED_EDGE('',*,*,#84480,.T.); #124431=ORIENTED_EDGE('',*,*,#84477,.T.); #124432=ORIENTED_EDGE('',*,*,#84474,.T.); #124433=ORIENTED_EDGE('',*,*,#84471,.T.); #124434=ORIENTED_EDGE('',*,*,#84468,.T.); #124435=ORIENTED_EDGE('',*,*,#84465,.T.); #124436=ORIENTED_EDGE('',*,*,#84462,.T.); #124437=ORIENTED_EDGE('',*,*,#84459,.T.); #124438=ORIENTED_EDGE('',*,*,#84456,.T.); #124439=ORIENTED_EDGE('',*,*,#84453,.T.); #124440=ORIENTED_EDGE('',*,*,#84450,.T.); #124441=ORIENTED_EDGE('',*,*,#84447,.T.); #124442=ORIENTED_EDGE('',*,*,#84444,.T.); #124443=ORIENTED_EDGE('',*,*,#84441,.T.); #124444=ORIENTED_EDGE('',*,*,#84438,.T.); #124445=ORIENTED_EDGE('',*,*,#84435,.T.); #124446=ORIENTED_EDGE('',*,*,#84432,.T.); #124447=ORIENTED_EDGE('',*,*,#84429,.T.); #124448=ORIENTED_EDGE('',*,*,#84426,.T.); #124449=ORIENTED_EDGE('',*,*,#84423,.T.); #124450=ORIENTED_EDGE('',*,*,#84420,.T.); #124451=ORIENTED_EDGE('',*,*,#84417,.T.); #124452=ORIENTED_EDGE('',*,*,#84414,.T.); #124453=ORIENTED_EDGE('',*,*,#84411,.T.); #124454=ORIENTED_EDGE('',*,*,#84408,.T.); #124455=ORIENTED_EDGE('',*,*,#84405,.T.); #124456=ORIENTED_EDGE('',*,*,#84402,.T.); #124457=ORIENTED_EDGE('',*,*,#84399,.T.); #124458=ORIENTED_EDGE('',*,*,#84396,.T.); #124459=ORIENTED_EDGE('',*,*,#84393,.T.); #124460=ORIENTED_EDGE('',*,*,#84390,.T.); #124461=ORIENTED_EDGE('',*,*,#84387,.T.); #124462=ORIENTED_EDGE('',*,*,#84384,.T.); #124463=ORIENTED_EDGE('',*,*,#84381,.T.); #124464=ORIENTED_EDGE('',*,*,#84378,.T.); #124465=ORIENTED_EDGE('',*,*,#84375,.T.); #124466=ORIENTED_EDGE('',*,*,#84372,.T.); #124467=ORIENTED_EDGE('',*,*,#84369,.T.); #124468=ORIENTED_EDGE('',*,*,#84366,.T.); #124469=ORIENTED_EDGE('',*,*,#84363,.T.); #124470=ORIENTED_EDGE('',*,*,#84360,.T.); #124471=ORIENTED_EDGE('',*,*,#84357,.T.); #124472=ORIENTED_EDGE('',*,*,#84354,.T.); #124473=ORIENTED_EDGE('',*,*,#84351,.T.); #124474=ORIENTED_EDGE('',*,*,#84348,.T.); #124475=ORIENTED_EDGE('',*,*,#84345,.T.); #124476=ORIENTED_EDGE('',*,*,#84342,.T.); #124477=ORIENTED_EDGE('',*,*,#84339,.T.); #124478=ORIENTED_EDGE('',*,*,#84336,.T.); #124479=ORIENTED_EDGE('',*,*,#84333,.T.); #124480=ORIENTED_EDGE('',*,*,#84716,.T.); #124481=ORIENTED_EDGE('',*,*,#84732,.T.); #124482=ORIENTED_EDGE('',*,*,#84729,.T.); #124483=ORIENTED_EDGE('',*,*,#84726,.T.); #124484=ORIENTED_EDGE('',*,*,#84723,.T.); #124485=ORIENTED_EDGE('',*,*,#84720,.T.); #124486=ORIENTED_EDGE('',*,*,#84734,.T.); #124487=ORIENTED_EDGE('',*,*,#84819,.T.); #124488=ORIENTED_EDGE('',*,*,#84816,.T.); #124489=ORIENTED_EDGE('',*,*,#84813,.T.); #124490=ORIENTED_EDGE('',*,*,#84810,.T.); #124491=ORIENTED_EDGE('',*,*,#84807,.T.); #124492=ORIENTED_EDGE('',*,*,#84804,.T.); #124493=ORIENTED_EDGE('',*,*,#84801,.T.); #124494=ORIENTED_EDGE('',*,*,#84798,.T.); #124495=ORIENTED_EDGE('',*,*,#84795,.T.); #124496=ORIENTED_EDGE('',*,*,#84792,.T.); #124497=ORIENTED_EDGE('',*,*,#84789,.T.); #124498=ORIENTED_EDGE('',*,*,#84786,.T.); #124499=ORIENTED_EDGE('',*,*,#84783,.T.); #124500=ORIENTED_EDGE('',*,*,#84780,.T.); #124501=ORIENTED_EDGE('',*,*,#84777,.T.); #124502=ORIENTED_EDGE('',*,*,#84774,.T.); #124503=ORIENTED_EDGE('',*,*,#84771,.T.); #124504=ORIENTED_EDGE('',*,*,#84768,.T.); #124505=ORIENTED_EDGE('',*,*,#84765,.T.); #124506=ORIENTED_EDGE('',*,*,#84762,.T.); #124507=ORIENTED_EDGE('',*,*,#84759,.T.); #124508=ORIENTED_EDGE('',*,*,#84756,.T.); #124509=ORIENTED_EDGE('',*,*,#84753,.T.); #124510=ORIENTED_EDGE('',*,*,#84750,.T.); #124511=ORIENTED_EDGE('',*,*,#84747,.T.); #124512=ORIENTED_EDGE('',*,*,#84744,.T.); #124513=ORIENTED_EDGE('',*,*,#84741,.T.); #124514=ORIENTED_EDGE('',*,*,#84738,.T.); #124515=ORIENTED_EDGE('',*,*,#84860,.T.); #124516=ORIENTED_EDGE('',*,*,#85287,.T.); #124517=ORIENTED_EDGE('',*,*,#85284,.T.); #124518=ORIENTED_EDGE('',*,*,#85281,.T.); #124519=ORIENTED_EDGE('',*,*,#85278,.T.); #124520=ORIENTED_EDGE('',*,*,#85275,.T.); #124521=ORIENTED_EDGE('',*,*,#85272,.T.); #124522=ORIENTED_EDGE('',*,*,#85269,.T.); #124523=ORIENTED_EDGE('',*,*,#85266,.T.); #124524=ORIENTED_EDGE('',*,*,#85263,.T.); #124525=ORIENTED_EDGE('',*,*,#85260,.T.); #124526=ORIENTED_EDGE('',*,*,#85257,.T.); #124527=ORIENTED_EDGE('',*,*,#85254,.T.); #124528=ORIENTED_EDGE('',*,*,#85251,.T.); #124529=ORIENTED_EDGE('',*,*,#85248,.T.); #124530=ORIENTED_EDGE('',*,*,#85245,.T.); #124531=ORIENTED_EDGE('',*,*,#85242,.T.); #124532=ORIENTED_EDGE('',*,*,#85239,.T.); #124533=ORIENTED_EDGE('',*,*,#85236,.T.); #124534=ORIENTED_EDGE('',*,*,#85233,.T.); #124535=ORIENTED_EDGE('',*,*,#85230,.T.); #124536=ORIENTED_EDGE('',*,*,#85227,.T.); #124537=ORIENTED_EDGE('',*,*,#85224,.T.); #124538=ORIENTED_EDGE('',*,*,#85221,.T.); #124539=ORIENTED_EDGE('',*,*,#85218,.T.); #124540=ORIENTED_EDGE('',*,*,#85215,.T.); #124541=ORIENTED_EDGE('',*,*,#85212,.T.); #124542=ORIENTED_EDGE('',*,*,#85209,.T.); #124543=ORIENTED_EDGE('',*,*,#85206,.T.); #124544=ORIENTED_EDGE('',*,*,#85203,.T.); #124545=ORIENTED_EDGE('',*,*,#85200,.T.); #124546=ORIENTED_EDGE('',*,*,#85197,.T.); #124547=ORIENTED_EDGE('',*,*,#85194,.T.); #124548=ORIENTED_EDGE('',*,*,#85191,.T.); #124549=ORIENTED_EDGE('',*,*,#85188,.T.); #124550=ORIENTED_EDGE('',*,*,#85185,.T.); #124551=ORIENTED_EDGE('',*,*,#85182,.T.); #124552=ORIENTED_EDGE('',*,*,#85179,.T.); #124553=ORIENTED_EDGE('',*,*,#85176,.T.); #124554=ORIENTED_EDGE('',*,*,#85173,.T.); #124555=ORIENTED_EDGE('',*,*,#85170,.T.); #124556=ORIENTED_EDGE('',*,*,#85167,.T.); #124557=ORIENTED_EDGE('',*,*,#85164,.T.); #124558=ORIENTED_EDGE('',*,*,#85161,.T.); #124559=ORIENTED_EDGE('',*,*,#85158,.T.); #124560=ORIENTED_EDGE('',*,*,#85155,.T.); #124561=ORIENTED_EDGE('',*,*,#85152,.T.); #124562=ORIENTED_EDGE('',*,*,#85149,.T.); #124563=ORIENTED_EDGE('',*,*,#85146,.T.); #124564=ORIENTED_EDGE('',*,*,#85143,.T.); #124565=ORIENTED_EDGE('',*,*,#85140,.T.); #124566=ORIENTED_EDGE('',*,*,#85137,.T.); #124567=ORIENTED_EDGE('',*,*,#85134,.T.); #124568=ORIENTED_EDGE('',*,*,#85131,.T.); #124569=ORIENTED_EDGE('',*,*,#85128,.T.); #124570=ORIENTED_EDGE('',*,*,#85125,.T.); #124571=ORIENTED_EDGE('',*,*,#85122,.T.); #124572=ORIENTED_EDGE('',*,*,#85119,.T.); #124573=ORIENTED_EDGE('',*,*,#85116,.T.); #124574=ORIENTED_EDGE('',*,*,#85113,.T.); #124575=ORIENTED_EDGE('',*,*,#85110,.T.); #124576=ORIENTED_EDGE('',*,*,#85107,.T.); #124577=ORIENTED_EDGE('',*,*,#85104,.T.); #124578=ORIENTED_EDGE('',*,*,#85101,.T.); #124579=ORIENTED_EDGE('',*,*,#85098,.T.); #124580=ORIENTED_EDGE('',*,*,#85095,.T.); #124581=ORIENTED_EDGE('',*,*,#85092,.T.); #124582=ORIENTED_EDGE('',*,*,#85089,.T.); #124583=ORIENTED_EDGE('',*,*,#85086,.T.); #124584=ORIENTED_EDGE('',*,*,#85083,.T.); #124585=ORIENTED_EDGE('',*,*,#85080,.T.); #124586=ORIENTED_EDGE('',*,*,#85077,.T.); #124587=ORIENTED_EDGE('',*,*,#85074,.T.); #124588=ORIENTED_EDGE('',*,*,#85071,.T.); #124589=ORIENTED_EDGE('',*,*,#85068,.T.); #124590=ORIENTED_EDGE('',*,*,#85065,.T.); #124591=ORIENTED_EDGE('',*,*,#85062,.T.); #124592=ORIENTED_EDGE('',*,*,#85059,.T.); #124593=ORIENTED_EDGE('',*,*,#85056,.T.); #124594=ORIENTED_EDGE('',*,*,#85053,.T.); #124595=ORIENTED_EDGE('',*,*,#85050,.T.); #124596=ORIENTED_EDGE('',*,*,#85047,.T.); #124597=ORIENTED_EDGE('',*,*,#85044,.T.); #124598=ORIENTED_EDGE('',*,*,#85041,.T.); #124599=ORIENTED_EDGE('',*,*,#85038,.T.); #124600=ORIENTED_EDGE('',*,*,#85035,.T.); #124601=ORIENTED_EDGE('',*,*,#85032,.T.); #124602=ORIENTED_EDGE('',*,*,#85029,.T.); #124603=ORIENTED_EDGE('',*,*,#85026,.T.); #124604=ORIENTED_EDGE('',*,*,#85023,.T.); #124605=ORIENTED_EDGE('',*,*,#85020,.T.); #124606=ORIENTED_EDGE('',*,*,#85017,.T.); #124607=ORIENTED_EDGE('',*,*,#85014,.T.); #124608=ORIENTED_EDGE('',*,*,#85011,.T.); #124609=ORIENTED_EDGE('',*,*,#85008,.T.); #124610=ORIENTED_EDGE('',*,*,#85005,.T.); #124611=ORIENTED_EDGE('',*,*,#85002,.T.); #124612=ORIENTED_EDGE('',*,*,#84999,.T.); #124613=ORIENTED_EDGE('',*,*,#84996,.T.); #124614=ORIENTED_EDGE('',*,*,#84993,.T.); #124615=ORIENTED_EDGE('',*,*,#84990,.T.); #124616=ORIENTED_EDGE('',*,*,#84987,.T.); #124617=ORIENTED_EDGE('',*,*,#84984,.T.); #124618=ORIENTED_EDGE('',*,*,#84981,.T.); #124619=ORIENTED_EDGE('',*,*,#84978,.T.); #124620=ORIENTED_EDGE('',*,*,#84975,.T.); #124621=ORIENTED_EDGE('',*,*,#84972,.T.); #124622=ORIENTED_EDGE('',*,*,#84969,.T.); #124623=ORIENTED_EDGE('',*,*,#84966,.T.); #124624=ORIENTED_EDGE('',*,*,#84963,.T.); #124625=ORIENTED_EDGE('',*,*,#84960,.T.); #124626=ORIENTED_EDGE('',*,*,#84957,.T.); #124627=ORIENTED_EDGE('',*,*,#84954,.T.); #124628=ORIENTED_EDGE('',*,*,#84951,.T.); #124629=ORIENTED_EDGE('',*,*,#84948,.T.); #124630=ORIENTED_EDGE('',*,*,#84945,.T.); #124631=ORIENTED_EDGE('',*,*,#84942,.T.); #124632=ORIENTED_EDGE('',*,*,#84939,.T.); #124633=ORIENTED_EDGE('',*,*,#84936,.T.); #124634=ORIENTED_EDGE('',*,*,#84933,.T.); #124635=ORIENTED_EDGE('',*,*,#84930,.T.); #124636=ORIENTED_EDGE('',*,*,#84927,.T.); #124637=ORIENTED_EDGE('',*,*,#84924,.T.); #124638=ORIENTED_EDGE('',*,*,#84921,.T.); #124639=ORIENTED_EDGE('',*,*,#84918,.T.); #124640=ORIENTED_EDGE('',*,*,#84915,.T.); #124641=ORIENTED_EDGE('',*,*,#84912,.T.); #124642=ORIENTED_EDGE('',*,*,#84909,.T.); #124643=ORIENTED_EDGE('',*,*,#84906,.T.); #124644=ORIENTED_EDGE('',*,*,#84903,.T.); #124645=ORIENTED_EDGE('',*,*,#84900,.T.); #124646=ORIENTED_EDGE('',*,*,#84897,.T.); #124647=ORIENTED_EDGE('',*,*,#84894,.T.); #124648=ORIENTED_EDGE('',*,*,#84891,.T.); #124649=ORIENTED_EDGE('',*,*,#84888,.T.); #124650=ORIENTED_EDGE('',*,*,#84885,.T.); #124651=ORIENTED_EDGE('',*,*,#84882,.T.); #124652=ORIENTED_EDGE('',*,*,#84879,.T.); #124653=ORIENTED_EDGE('',*,*,#84876,.T.); #124654=ORIENTED_EDGE('',*,*,#84873,.T.); #124655=ORIENTED_EDGE('',*,*,#84870,.T.); #124656=ORIENTED_EDGE('',*,*,#84867,.T.); #124657=ORIENTED_EDGE('',*,*,#84864,.T.); #124658=ORIENTED_EDGE('',*,*,#85289,.T.); #124659=ORIENTED_EDGE('',*,*,#85683,.T.); #124660=ORIENTED_EDGE('',*,*,#85680,.T.); #124661=ORIENTED_EDGE('',*,*,#85677,.T.); #124662=ORIENTED_EDGE('',*,*,#85674,.T.); #124663=ORIENTED_EDGE('',*,*,#85671,.T.); #124664=ORIENTED_EDGE('',*,*,#85668,.T.); #124665=ORIENTED_EDGE('',*,*,#85665,.T.); #124666=ORIENTED_EDGE('',*,*,#85662,.T.); #124667=ORIENTED_EDGE('',*,*,#85659,.T.); #124668=ORIENTED_EDGE('',*,*,#85656,.T.); #124669=ORIENTED_EDGE('',*,*,#85653,.T.); #124670=ORIENTED_EDGE('',*,*,#85650,.T.); #124671=ORIENTED_EDGE('',*,*,#85647,.T.); #124672=ORIENTED_EDGE('',*,*,#85644,.T.); #124673=ORIENTED_EDGE('',*,*,#85641,.T.); #124674=ORIENTED_EDGE('',*,*,#85638,.T.); #124675=ORIENTED_EDGE('',*,*,#85635,.T.); #124676=ORIENTED_EDGE('',*,*,#85632,.T.); #124677=ORIENTED_EDGE('',*,*,#85629,.T.); #124678=ORIENTED_EDGE('',*,*,#85626,.T.); #124679=ORIENTED_EDGE('',*,*,#85623,.T.); #124680=ORIENTED_EDGE('',*,*,#85620,.T.); #124681=ORIENTED_EDGE('',*,*,#85617,.T.); #124682=ORIENTED_EDGE('',*,*,#85614,.T.); #124683=ORIENTED_EDGE('',*,*,#85611,.T.); #124684=ORIENTED_EDGE('',*,*,#85608,.T.); #124685=ORIENTED_EDGE('',*,*,#85605,.T.); #124686=ORIENTED_EDGE('',*,*,#85602,.T.); #124687=ORIENTED_EDGE('',*,*,#85599,.T.); #124688=ORIENTED_EDGE('',*,*,#85596,.T.); #124689=ORIENTED_EDGE('',*,*,#85593,.T.); #124690=ORIENTED_EDGE('',*,*,#85590,.T.); #124691=ORIENTED_EDGE('',*,*,#85587,.T.); #124692=ORIENTED_EDGE('',*,*,#85584,.T.); #124693=ORIENTED_EDGE('',*,*,#85581,.T.); #124694=ORIENTED_EDGE('',*,*,#85578,.T.); #124695=ORIENTED_EDGE('',*,*,#85575,.T.); #124696=ORIENTED_EDGE('',*,*,#85572,.T.); #124697=ORIENTED_EDGE('',*,*,#85569,.T.); #124698=ORIENTED_EDGE('',*,*,#85566,.T.); #124699=ORIENTED_EDGE('',*,*,#85563,.T.); #124700=ORIENTED_EDGE('',*,*,#85560,.T.); #124701=ORIENTED_EDGE('',*,*,#85557,.T.); #124702=ORIENTED_EDGE('',*,*,#85554,.T.); #124703=ORIENTED_EDGE('',*,*,#85551,.T.); #124704=ORIENTED_EDGE('',*,*,#85548,.T.); #124705=ORIENTED_EDGE('',*,*,#85545,.T.); #124706=ORIENTED_EDGE('',*,*,#85542,.T.); #124707=ORIENTED_EDGE('',*,*,#85539,.T.); #124708=ORIENTED_EDGE('',*,*,#85536,.T.); #124709=ORIENTED_EDGE('',*,*,#85533,.T.); #124710=ORIENTED_EDGE('',*,*,#85530,.T.); #124711=ORIENTED_EDGE('',*,*,#85527,.T.); #124712=ORIENTED_EDGE('',*,*,#85524,.T.); #124713=ORIENTED_EDGE('',*,*,#85521,.T.); #124714=ORIENTED_EDGE('',*,*,#85518,.T.); #124715=ORIENTED_EDGE('',*,*,#85515,.T.); #124716=ORIENTED_EDGE('',*,*,#85512,.T.); #124717=ORIENTED_EDGE('',*,*,#85509,.T.); #124718=ORIENTED_EDGE('',*,*,#85506,.T.); #124719=ORIENTED_EDGE('',*,*,#85503,.T.); #124720=ORIENTED_EDGE('',*,*,#85500,.T.); #124721=ORIENTED_EDGE('',*,*,#85497,.T.); #124722=ORIENTED_EDGE('',*,*,#85494,.T.); #124723=ORIENTED_EDGE('',*,*,#85491,.T.); #124724=ORIENTED_EDGE('',*,*,#85488,.T.); #124725=ORIENTED_EDGE('',*,*,#85485,.T.); #124726=ORIENTED_EDGE('',*,*,#85482,.T.); #124727=ORIENTED_EDGE('',*,*,#85479,.T.); #124728=ORIENTED_EDGE('',*,*,#85476,.T.); #124729=ORIENTED_EDGE('',*,*,#85473,.T.); #124730=ORIENTED_EDGE('',*,*,#85470,.T.); #124731=ORIENTED_EDGE('',*,*,#85467,.T.); #124732=ORIENTED_EDGE('',*,*,#85464,.T.); #124733=ORIENTED_EDGE('',*,*,#85461,.T.); #124734=ORIENTED_EDGE('',*,*,#85458,.T.); #124735=ORIENTED_EDGE('',*,*,#85455,.T.); #124736=ORIENTED_EDGE('',*,*,#85452,.T.); #124737=ORIENTED_EDGE('',*,*,#85449,.T.); #124738=ORIENTED_EDGE('',*,*,#85446,.T.); #124739=ORIENTED_EDGE('',*,*,#85443,.T.); #124740=ORIENTED_EDGE('',*,*,#85440,.T.); #124741=ORIENTED_EDGE('',*,*,#85437,.T.); #124742=ORIENTED_EDGE('',*,*,#85434,.T.); #124743=ORIENTED_EDGE('',*,*,#85431,.T.); #124744=ORIENTED_EDGE('',*,*,#85428,.T.); #124745=ORIENTED_EDGE('',*,*,#85425,.T.); #124746=ORIENTED_EDGE('',*,*,#85422,.T.); #124747=ORIENTED_EDGE('',*,*,#85419,.T.); #124748=ORIENTED_EDGE('',*,*,#85416,.T.); #124749=ORIENTED_EDGE('',*,*,#85413,.T.); #124750=ORIENTED_EDGE('',*,*,#85410,.T.); #124751=ORIENTED_EDGE('',*,*,#85407,.T.); #124752=ORIENTED_EDGE('',*,*,#85404,.T.); #124753=ORIENTED_EDGE('',*,*,#85401,.T.); #124754=ORIENTED_EDGE('',*,*,#85398,.T.); #124755=ORIENTED_EDGE('',*,*,#85395,.T.); #124756=ORIENTED_EDGE('',*,*,#85392,.T.); #124757=ORIENTED_EDGE('',*,*,#85389,.T.); #124758=ORIENTED_EDGE('',*,*,#85386,.T.); #124759=ORIENTED_EDGE('',*,*,#85383,.T.); #124760=ORIENTED_EDGE('',*,*,#85380,.T.); #124761=ORIENTED_EDGE('',*,*,#85377,.T.); #124762=ORIENTED_EDGE('',*,*,#85374,.T.); #124763=ORIENTED_EDGE('',*,*,#85371,.T.); #124764=ORIENTED_EDGE('',*,*,#85368,.T.); #124765=ORIENTED_EDGE('',*,*,#85365,.T.); #124766=ORIENTED_EDGE('',*,*,#85362,.T.); #124767=ORIENTED_EDGE('',*,*,#85359,.T.); #124768=ORIENTED_EDGE('',*,*,#85356,.T.); #124769=ORIENTED_EDGE('',*,*,#85353,.T.); #124770=ORIENTED_EDGE('',*,*,#85350,.T.); #124771=ORIENTED_EDGE('',*,*,#85347,.T.); #124772=ORIENTED_EDGE('',*,*,#85344,.T.); #124773=ORIENTED_EDGE('',*,*,#85341,.T.); #124774=ORIENTED_EDGE('',*,*,#85338,.T.); #124775=ORIENTED_EDGE('',*,*,#85335,.T.); #124776=ORIENTED_EDGE('',*,*,#85332,.T.); #124777=ORIENTED_EDGE('',*,*,#85329,.T.); #124778=ORIENTED_EDGE('',*,*,#85326,.T.); #124779=ORIENTED_EDGE('',*,*,#85323,.T.); #124780=ORIENTED_EDGE('',*,*,#85320,.T.); #124781=ORIENTED_EDGE('',*,*,#85317,.T.); #124782=ORIENTED_EDGE('',*,*,#85314,.T.); #124783=ORIENTED_EDGE('',*,*,#85311,.T.); #124784=ORIENTED_EDGE('',*,*,#85308,.T.); #124785=ORIENTED_EDGE('',*,*,#85305,.T.); #124786=ORIENTED_EDGE('',*,*,#85302,.T.); #124787=ORIENTED_EDGE('',*,*,#85299,.T.); #124788=ORIENTED_EDGE('',*,*,#85296,.T.); #124789=ORIENTED_EDGE('',*,*,#85293,.T.); #124790=ORIENTED_EDGE('',*,*,#85685,.T.); #124791=ORIENTED_EDGE('',*,*,#85797,.T.); #124792=ORIENTED_EDGE('',*,*,#85794,.T.); #124793=ORIENTED_EDGE('',*,*,#85791,.T.); #124794=ORIENTED_EDGE('',*,*,#85788,.T.); #124795=ORIENTED_EDGE('',*,*,#85785,.T.); #124796=ORIENTED_EDGE('',*,*,#85782,.T.); #124797=ORIENTED_EDGE('',*,*,#85779,.T.); #124798=ORIENTED_EDGE('',*,*,#85776,.T.); #124799=ORIENTED_EDGE('',*,*,#85773,.T.); #124800=ORIENTED_EDGE('',*,*,#85770,.T.); #124801=ORIENTED_EDGE('',*,*,#85767,.T.); #124802=ORIENTED_EDGE('',*,*,#85764,.T.); #124803=ORIENTED_EDGE('',*,*,#85761,.T.); #124804=ORIENTED_EDGE('',*,*,#85758,.T.); #124805=ORIENTED_EDGE('',*,*,#85755,.T.); #124806=ORIENTED_EDGE('',*,*,#85752,.T.); #124807=ORIENTED_EDGE('',*,*,#85749,.T.); #124808=ORIENTED_EDGE('',*,*,#85746,.T.); #124809=ORIENTED_EDGE('',*,*,#85743,.T.); #124810=ORIENTED_EDGE('',*,*,#85740,.T.); #124811=ORIENTED_EDGE('',*,*,#85737,.T.); #124812=ORIENTED_EDGE('',*,*,#85734,.T.); #124813=ORIENTED_EDGE('',*,*,#85731,.T.); #124814=ORIENTED_EDGE('',*,*,#85728,.T.); #124815=ORIENTED_EDGE('',*,*,#85725,.T.); #124816=ORIENTED_EDGE('',*,*,#85722,.T.); #124817=ORIENTED_EDGE('',*,*,#85719,.T.); #124818=ORIENTED_EDGE('',*,*,#85716,.T.); #124819=ORIENTED_EDGE('',*,*,#85713,.T.); #124820=ORIENTED_EDGE('',*,*,#85710,.T.); #124821=ORIENTED_EDGE('',*,*,#85707,.T.); #124822=ORIENTED_EDGE('',*,*,#85704,.T.); #124823=ORIENTED_EDGE('',*,*,#85701,.T.); #124824=ORIENTED_EDGE('',*,*,#85698,.T.); #124825=ORIENTED_EDGE('',*,*,#85695,.T.); #124826=ORIENTED_EDGE('',*,*,#85692,.T.); #124827=ORIENTED_EDGE('',*,*,#85689,.T.); #124828=ORIENTED_EDGE('',*,*,#85868,.T.); #124829=ORIENTED_EDGE('',*,*,#86232,.T.); #124830=ORIENTED_EDGE('',*,*,#86229,.T.); #124831=ORIENTED_EDGE('',*,*,#86226,.T.); #124832=ORIENTED_EDGE('',*,*,#86223,.T.); #124833=ORIENTED_EDGE('',*,*,#86220,.T.); #124834=ORIENTED_EDGE('',*,*,#86217,.T.); #124835=ORIENTED_EDGE('',*,*,#86214,.T.); #124836=ORIENTED_EDGE('',*,*,#86211,.T.); #124837=ORIENTED_EDGE('',*,*,#86208,.T.); #124838=ORIENTED_EDGE('',*,*,#86205,.T.); #124839=ORIENTED_EDGE('',*,*,#86202,.T.); #124840=ORIENTED_EDGE('',*,*,#86199,.T.); #124841=ORIENTED_EDGE('',*,*,#86196,.T.); #124842=ORIENTED_EDGE('',*,*,#86193,.T.); #124843=ORIENTED_EDGE('',*,*,#86190,.T.); #124844=ORIENTED_EDGE('',*,*,#86187,.T.); #124845=ORIENTED_EDGE('',*,*,#86184,.T.); #124846=ORIENTED_EDGE('',*,*,#86181,.T.); #124847=ORIENTED_EDGE('',*,*,#86178,.T.); #124848=ORIENTED_EDGE('',*,*,#86175,.T.); #124849=ORIENTED_EDGE('',*,*,#86172,.T.); #124850=ORIENTED_EDGE('',*,*,#86169,.T.); #124851=ORIENTED_EDGE('',*,*,#86166,.T.); #124852=ORIENTED_EDGE('',*,*,#86163,.T.); #124853=ORIENTED_EDGE('',*,*,#86160,.T.); #124854=ORIENTED_EDGE('',*,*,#86157,.T.); #124855=ORIENTED_EDGE('',*,*,#86154,.T.); #124856=ORIENTED_EDGE('',*,*,#86151,.T.); #124857=ORIENTED_EDGE('',*,*,#86148,.T.); #124858=ORIENTED_EDGE('',*,*,#86145,.T.); #124859=ORIENTED_EDGE('',*,*,#86142,.T.); #124860=ORIENTED_EDGE('',*,*,#86139,.T.); #124861=ORIENTED_EDGE('',*,*,#86136,.T.); #124862=ORIENTED_EDGE('',*,*,#86133,.T.); #124863=ORIENTED_EDGE('',*,*,#86130,.T.); #124864=ORIENTED_EDGE('',*,*,#86127,.T.); #124865=ORIENTED_EDGE('',*,*,#86124,.T.); #124866=ORIENTED_EDGE('',*,*,#86121,.T.); #124867=ORIENTED_EDGE('',*,*,#86118,.T.); #124868=ORIENTED_EDGE('',*,*,#86115,.T.); #124869=ORIENTED_EDGE('',*,*,#86112,.T.); #124870=ORIENTED_EDGE('',*,*,#86109,.T.); #124871=ORIENTED_EDGE('',*,*,#86106,.T.); #124872=ORIENTED_EDGE('',*,*,#86103,.T.); #124873=ORIENTED_EDGE('',*,*,#86100,.T.); #124874=ORIENTED_EDGE('',*,*,#86097,.T.); #124875=ORIENTED_EDGE('',*,*,#86094,.T.); #124876=ORIENTED_EDGE('',*,*,#86091,.T.); #124877=ORIENTED_EDGE('',*,*,#86088,.T.); #124878=ORIENTED_EDGE('',*,*,#86085,.T.); #124879=ORIENTED_EDGE('',*,*,#86082,.T.); #124880=ORIENTED_EDGE('',*,*,#86079,.T.); #124881=ORIENTED_EDGE('',*,*,#86076,.T.); #124882=ORIENTED_EDGE('',*,*,#86073,.T.); #124883=ORIENTED_EDGE('',*,*,#86070,.T.); #124884=ORIENTED_EDGE('',*,*,#86067,.T.); #124885=ORIENTED_EDGE('',*,*,#86064,.T.); #124886=ORIENTED_EDGE('',*,*,#86061,.T.); #124887=ORIENTED_EDGE('',*,*,#86058,.T.); #124888=ORIENTED_EDGE('',*,*,#86055,.T.); #124889=ORIENTED_EDGE('',*,*,#86052,.T.); #124890=ORIENTED_EDGE('',*,*,#86049,.T.); #124891=ORIENTED_EDGE('',*,*,#86046,.T.); #124892=ORIENTED_EDGE('',*,*,#86043,.T.); #124893=ORIENTED_EDGE('',*,*,#86040,.T.); #124894=ORIENTED_EDGE('',*,*,#86037,.T.); #124895=ORIENTED_EDGE('',*,*,#86034,.T.); #124896=ORIENTED_EDGE('',*,*,#86031,.T.); #124897=ORIENTED_EDGE('',*,*,#86028,.T.); #124898=ORIENTED_EDGE('',*,*,#86025,.T.); #124899=ORIENTED_EDGE('',*,*,#86022,.T.); #124900=ORIENTED_EDGE('',*,*,#86019,.T.); #124901=ORIENTED_EDGE('',*,*,#86016,.T.); #124902=ORIENTED_EDGE('',*,*,#86013,.T.); #124903=ORIENTED_EDGE('',*,*,#86010,.T.); #124904=ORIENTED_EDGE('',*,*,#86007,.T.); #124905=ORIENTED_EDGE('',*,*,#86004,.T.); #124906=ORIENTED_EDGE('',*,*,#86001,.T.); #124907=ORIENTED_EDGE('',*,*,#85998,.T.); #124908=ORIENTED_EDGE('',*,*,#85995,.T.); #124909=ORIENTED_EDGE('',*,*,#85992,.T.); #124910=ORIENTED_EDGE('',*,*,#85989,.T.); #124911=ORIENTED_EDGE('',*,*,#85986,.T.); #124912=ORIENTED_EDGE('',*,*,#85983,.T.); #124913=ORIENTED_EDGE('',*,*,#85980,.T.); #124914=ORIENTED_EDGE('',*,*,#85977,.T.); #124915=ORIENTED_EDGE('',*,*,#85974,.T.); #124916=ORIENTED_EDGE('',*,*,#85971,.T.); #124917=ORIENTED_EDGE('',*,*,#85968,.T.); #124918=ORIENTED_EDGE('',*,*,#85965,.T.); #124919=ORIENTED_EDGE('',*,*,#85962,.T.); #124920=ORIENTED_EDGE('',*,*,#85959,.T.); #124921=ORIENTED_EDGE('',*,*,#85956,.T.); #124922=ORIENTED_EDGE('',*,*,#85953,.T.); #124923=ORIENTED_EDGE('',*,*,#85950,.T.); #124924=ORIENTED_EDGE('',*,*,#85947,.T.); #124925=ORIENTED_EDGE('',*,*,#85944,.T.); #124926=ORIENTED_EDGE('',*,*,#85941,.T.); #124927=ORIENTED_EDGE('',*,*,#85938,.T.); #124928=ORIENTED_EDGE('',*,*,#85935,.T.); #124929=ORIENTED_EDGE('',*,*,#85932,.T.); #124930=ORIENTED_EDGE('',*,*,#85929,.T.); #124931=ORIENTED_EDGE('',*,*,#85926,.T.); #124932=ORIENTED_EDGE('',*,*,#85923,.T.); #124933=ORIENTED_EDGE('',*,*,#85920,.T.); #124934=ORIENTED_EDGE('',*,*,#85917,.T.); #124935=ORIENTED_EDGE('',*,*,#85914,.T.); #124936=ORIENTED_EDGE('',*,*,#85911,.T.); #124937=ORIENTED_EDGE('',*,*,#85908,.T.); #124938=ORIENTED_EDGE('',*,*,#85905,.T.); #124939=ORIENTED_EDGE('',*,*,#85902,.T.); #124940=ORIENTED_EDGE('',*,*,#85899,.T.); #124941=ORIENTED_EDGE('',*,*,#85896,.T.); #124942=ORIENTED_EDGE('',*,*,#85893,.T.); #124943=ORIENTED_EDGE('',*,*,#85890,.T.); #124944=ORIENTED_EDGE('',*,*,#85887,.T.); #124945=ORIENTED_EDGE('',*,*,#85884,.T.); #124946=ORIENTED_EDGE('',*,*,#85881,.T.); #124947=ORIENTED_EDGE('',*,*,#85878,.T.); #124948=ORIENTED_EDGE('',*,*,#85875,.T.); #124949=ORIENTED_EDGE('',*,*,#85872,.T.); #124950=ORIENTED_EDGE('',*,*,#86249,.T.); #124951=ORIENTED_EDGE('',*,*,#86418,.T.); #124952=ORIENTED_EDGE('',*,*,#86415,.T.); #124953=ORIENTED_EDGE('',*,*,#86412,.T.); #124954=ORIENTED_EDGE('',*,*,#86409,.T.); #124955=ORIENTED_EDGE('',*,*,#86406,.T.); #124956=ORIENTED_EDGE('',*,*,#86403,.T.); #124957=ORIENTED_EDGE('',*,*,#86400,.T.); #124958=ORIENTED_EDGE('',*,*,#86397,.T.); #124959=ORIENTED_EDGE('',*,*,#86394,.T.); #124960=ORIENTED_EDGE('',*,*,#86391,.T.); #124961=ORIENTED_EDGE('',*,*,#86388,.T.); #124962=ORIENTED_EDGE('',*,*,#86385,.T.); #124963=ORIENTED_EDGE('',*,*,#86382,.T.); #124964=ORIENTED_EDGE('',*,*,#86379,.T.); #124965=ORIENTED_EDGE('',*,*,#86376,.T.); #124966=ORIENTED_EDGE('',*,*,#86373,.T.); #124967=ORIENTED_EDGE('',*,*,#86370,.T.); #124968=ORIENTED_EDGE('',*,*,#86367,.T.); #124969=ORIENTED_EDGE('',*,*,#86364,.T.); #124970=ORIENTED_EDGE('',*,*,#86361,.T.); #124971=ORIENTED_EDGE('',*,*,#86358,.T.); #124972=ORIENTED_EDGE('',*,*,#86355,.T.); #124973=ORIENTED_EDGE('',*,*,#86352,.T.); #124974=ORIENTED_EDGE('',*,*,#86349,.T.); #124975=ORIENTED_EDGE('',*,*,#86346,.T.); #124976=ORIENTED_EDGE('',*,*,#86343,.T.); #124977=ORIENTED_EDGE('',*,*,#86340,.T.); #124978=ORIENTED_EDGE('',*,*,#86337,.T.); #124979=ORIENTED_EDGE('',*,*,#86334,.T.); #124980=ORIENTED_EDGE('',*,*,#86331,.T.); #124981=ORIENTED_EDGE('',*,*,#86328,.T.); #124982=ORIENTED_EDGE('',*,*,#86325,.T.); #124983=ORIENTED_EDGE('',*,*,#86322,.T.); #124984=ORIENTED_EDGE('',*,*,#86319,.T.); #124985=ORIENTED_EDGE('',*,*,#86316,.T.); #124986=ORIENTED_EDGE('',*,*,#86313,.T.); #124987=ORIENTED_EDGE('',*,*,#86310,.T.); #124988=ORIENTED_EDGE('',*,*,#86307,.T.); #124989=ORIENTED_EDGE('',*,*,#86304,.T.); #124990=ORIENTED_EDGE('',*,*,#86301,.T.); #124991=ORIENTED_EDGE('',*,*,#86298,.T.); #124992=ORIENTED_EDGE('',*,*,#86295,.T.); #124993=ORIENTED_EDGE('',*,*,#86292,.T.); #124994=ORIENTED_EDGE('',*,*,#86289,.T.); #124995=ORIENTED_EDGE('',*,*,#86286,.T.); #124996=ORIENTED_EDGE('',*,*,#86283,.T.); #124997=ORIENTED_EDGE('',*,*,#86280,.T.); #124998=ORIENTED_EDGE('',*,*,#86277,.T.); #124999=ORIENTED_EDGE('',*,*,#86274,.T.); #125000=ORIENTED_EDGE('',*,*,#86271,.T.); #125001=ORIENTED_EDGE('',*,*,#86268,.T.); #125002=ORIENTED_EDGE('',*,*,#86265,.T.); #125003=ORIENTED_EDGE('',*,*,#86262,.T.); #125004=ORIENTED_EDGE('',*,*,#86259,.T.); #125005=ORIENTED_EDGE('',*,*,#86256,.T.); #125006=ORIENTED_EDGE('',*,*,#86253,.T.); #125007=ORIENTED_EDGE('',*,*,#86420,.T.); #125008=ORIENTED_EDGE('',*,*,#86439,.T.); #125009=ORIENTED_EDGE('',*,*,#86436,.T.); #125010=ORIENTED_EDGE('',*,*,#86433,.T.); #125011=ORIENTED_EDGE('',*,*,#86430,.T.); #125012=ORIENTED_EDGE('',*,*,#86427,.T.); #125013=ORIENTED_EDGE('',*,*,#86424,.T.); #125014=ORIENTED_EDGE('',*,*,#86441,.T.); #125015=ORIENTED_EDGE('',*,*,#86796,.T.); #125016=ORIENTED_EDGE('',*,*,#86793,.T.); #125017=ORIENTED_EDGE('',*,*,#86790,.T.); #125018=ORIENTED_EDGE('',*,*,#86787,.T.); #125019=ORIENTED_EDGE('',*,*,#86784,.T.); #125020=ORIENTED_EDGE('',*,*,#86781,.T.); #125021=ORIENTED_EDGE('',*,*,#86778,.T.); #125022=ORIENTED_EDGE('',*,*,#86775,.T.); #125023=ORIENTED_EDGE('',*,*,#86772,.T.); #125024=ORIENTED_EDGE('',*,*,#86769,.T.); #125025=ORIENTED_EDGE('',*,*,#86766,.T.); #125026=ORIENTED_EDGE('',*,*,#86763,.T.); #125027=ORIENTED_EDGE('',*,*,#86760,.T.); #125028=ORIENTED_EDGE('',*,*,#86757,.T.); #125029=ORIENTED_EDGE('',*,*,#86754,.T.); #125030=ORIENTED_EDGE('',*,*,#86751,.T.); #125031=ORIENTED_EDGE('',*,*,#86748,.T.); #125032=ORIENTED_EDGE('',*,*,#86745,.T.); #125033=ORIENTED_EDGE('',*,*,#86742,.T.); #125034=ORIENTED_EDGE('',*,*,#86739,.T.); #125035=ORIENTED_EDGE('',*,*,#86736,.T.); #125036=ORIENTED_EDGE('',*,*,#86733,.T.); #125037=ORIENTED_EDGE('',*,*,#86730,.T.); #125038=ORIENTED_EDGE('',*,*,#86727,.T.); #125039=ORIENTED_EDGE('',*,*,#86724,.T.); #125040=ORIENTED_EDGE('',*,*,#86721,.T.); #125041=ORIENTED_EDGE('',*,*,#86718,.T.); #125042=ORIENTED_EDGE('',*,*,#86715,.T.); #125043=ORIENTED_EDGE('',*,*,#86712,.T.); #125044=ORIENTED_EDGE('',*,*,#86709,.T.); #125045=ORIENTED_EDGE('',*,*,#86706,.T.); #125046=ORIENTED_EDGE('',*,*,#86703,.T.); #125047=ORIENTED_EDGE('',*,*,#86700,.T.); #125048=ORIENTED_EDGE('',*,*,#86697,.T.); #125049=ORIENTED_EDGE('',*,*,#86694,.T.); #125050=ORIENTED_EDGE('',*,*,#86691,.T.); #125051=ORIENTED_EDGE('',*,*,#86688,.T.); #125052=ORIENTED_EDGE('',*,*,#86685,.T.); #125053=ORIENTED_EDGE('',*,*,#86682,.T.); #125054=ORIENTED_EDGE('',*,*,#86679,.T.); #125055=ORIENTED_EDGE('',*,*,#86676,.T.); #125056=ORIENTED_EDGE('',*,*,#86673,.T.); #125057=ORIENTED_EDGE('',*,*,#86670,.T.); #125058=ORIENTED_EDGE('',*,*,#86667,.T.); #125059=ORIENTED_EDGE('',*,*,#86664,.T.); #125060=ORIENTED_EDGE('',*,*,#86661,.T.); #125061=ORIENTED_EDGE('',*,*,#86658,.T.); #125062=ORIENTED_EDGE('',*,*,#86655,.T.); #125063=ORIENTED_EDGE('',*,*,#86652,.T.); #125064=ORIENTED_EDGE('',*,*,#86649,.T.); #125065=ORIENTED_EDGE('',*,*,#86646,.T.); #125066=ORIENTED_EDGE('',*,*,#86643,.T.); #125067=ORIENTED_EDGE('',*,*,#86640,.T.); #125068=ORIENTED_EDGE('',*,*,#86637,.T.); #125069=ORIENTED_EDGE('',*,*,#86634,.T.); #125070=ORIENTED_EDGE('',*,*,#86631,.T.); #125071=ORIENTED_EDGE('',*,*,#86628,.T.); #125072=ORIENTED_EDGE('',*,*,#86625,.T.); #125073=ORIENTED_EDGE('',*,*,#86622,.T.); #125074=ORIENTED_EDGE('',*,*,#86619,.T.); #125075=ORIENTED_EDGE('',*,*,#86616,.T.); #125076=ORIENTED_EDGE('',*,*,#86613,.T.); #125077=ORIENTED_EDGE('',*,*,#86610,.T.); #125078=ORIENTED_EDGE('',*,*,#86607,.T.); #125079=ORIENTED_EDGE('',*,*,#86604,.T.); #125080=ORIENTED_EDGE('',*,*,#86601,.T.); #125081=ORIENTED_EDGE('',*,*,#86598,.T.); #125082=ORIENTED_EDGE('',*,*,#86595,.T.); #125083=ORIENTED_EDGE('',*,*,#86592,.T.); #125084=ORIENTED_EDGE('',*,*,#86589,.T.); #125085=ORIENTED_EDGE('',*,*,#86586,.T.); #125086=ORIENTED_EDGE('',*,*,#86583,.T.); #125087=ORIENTED_EDGE('',*,*,#86580,.T.); #125088=ORIENTED_EDGE('',*,*,#86577,.T.); #125089=ORIENTED_EDGE('',*,*,#86574,.T.); #125090=ORIENTED_EDGE('',*,*,#86571,.T.); #125091=ORIENTED_EDGE('',*,*,#86568,.T.); #125092=ORIENTED_EDGE('',*,*,#86565,.T.); #125093=ORIENTED_EDGE('',*,*,#86562,.T.); #125094=ORIENTED_EDGE('',*,*,#86559,.T.); #125095=ORIENTED_EDGE('',*,*,#86556,.T.); #125096=ORIENTED_EDGE('',*,*,#86553,.T.); #125097=ORIENTED_EDGE('',*,*,#86550,.T.); #125098=ORIENTED_EDGE('',*,*,#86547,.T.); #125099=ORIENTED_EDGE('',*,*,#86544,.T.); #125100=ORIENTED_EDGE('',*,*,#86541,.T.); #125101=ORIENTED_EDGE('',*,*,#86538,.T.); #125102=ORIENTED_EDGE('',*,*,#86535,.T.); #125103=ORIENTED_EDGE('',*,*,#86532,.T.); #125104=ORIENTED_EDGE('',*,*,#86529,.T.); #125105=ORIENTED_EDGE('',*,*,#86526,.T.); #125106=ORIENTED_EDGE('',*,*,#86523,.T.); #125107=ORIENTED_EDGE('',*,*,#86520,.T.); #125108=ORIENTED_EDGE('',*,*,#86517,.T.); #125109=ORIENTED_EDGE('',*,*,#86514,.T.); #125110=ORIENTED_EDGE('',*,*,#86511,.T.); #125111=ORIENTED_EDGE('',*,*,#86508,.T.); #125112=ORIENTED_EDGE('',*,*,#86505,.T.); #125113=ORIENTED_EDGE('',*,*,#86502,.T.); #125114=ORIENTED_EDGE('',*,*,#86499,.T.); #125115=ORIENTED_EDGE('',*,*,#86496,.T.); #125116=ORIENTED_EDGE('',*,*,#86493,.T.); #125117=ORIENTED_EDGE('',*,*,#86490,.T.); #125118=ORIENTED_EDGE('',*,*,#86487,.T.); #125119=ORIENTED_EDGE('',*,*,#86484,.T.); #125120=ORIENTED_EDGE('',*,*,#86481,.T.); #125121=ORIENTED_EDGE('',*,*,#86478,.T.); #125122=ORIENTED_EDGE('',*,*,#86475,.T.); #125123=ORIENTED_EDGE('',*,*,#86472,.T.); #125124=ORIENTED_EDGE('',*,*,#86469,.T.); #125125=ORIENTED_EDGE('',*,*,#86466,.T.); #125126=ORIENTED_EDGE('',*,*,#86463,.T.); #125127=ORIENTED_EDGE('',*,*,#86460,.T.); #125128=ORIENTED_EDGE('',*,*,#86457,.T.); #125129=ORIENTED_EDGE('',*,*,#86454,.T.); #125130=ORIENTED_EDGE('',*,*,#86451,.T.); #125131=ORIENTED_EDGE('',*,*,#86448,.T.); #125132=ORIENTED_EDGE('',*,*,#86445,.T.); #125133=ORIENTED_EDGE('',*,*,#86834,.T.); #125134=ORIENTED_EDGE('',*,*,#87243,.T.); #125135=ORIENTED_EDGE('',*,*,#87240,.T.); #125136=ORIENTED_EDGE('',*,*,#87237,.T.); #125137=ORIENTED_EDGE('',*,*,#87234,.T.); #125138=ORIENTED_EDGE('',*,*,#87231,.T.); #125139=ORIENTED_EDGE('',*,*,#87228,.T.); #125140=ORIENTED_EDGE('',*,*,#87225,.T.); #125141=ORIENTED_EDGE('',*,*,#87222,.T.); #125142=ORIENTED_EDGE('',*,*,#87219,.T.); #125143=ORIENTED_EDGE('',*,*,#87216,.T.); #125144=ORIENTED_EDGE('',*,*,#87213,.T.); #125145=ORIENTED_EDGE('',*,*,#87210,.T.); #125146=ORIENTED_EDGE('',*,*,#87207,.T.); #125147=ORIENTED_EDGE('',*,*,#87204,.T.); #125148=ORIENTED_EDGE('',*,*,#87201,.T.); #125149=ORIENTED_EDGE('',*,*,#87198,.T.); #125150=ORIENTED_EDGE('',*,*,#87195,.T.); #125151=ORIENTED_EDGE('',*,*,#87192,.T.); #125152=ORIENTED_EDGE('',*,*,#87189,.T.); #125153=ORIENTED_EDGE('',*,*,#87186,.T.); #125154=ORIENTED_EDGE('',*,*,#87183,.T.); #125155=ORIENTED_EDGE('',*,*,#87180,.T.); #125156=ORIENTED_EDGE('',*,*,#87177,.T.); #125157=ORIENTED_EDGE('',*,*,#87174,.T.); #125158=ORIENTED_EDGE('',*,*,#87171,.T.); #125159=ORIENTED_EDGE('',*,*,#87168,.T.); #125160=ORIENTED_EDGE('',*,*,#87165,.T.); #125161=ORIENTED_EDGE('',*,*,#87162,.T.); #125162=ORIENTED_EDGE('',*,*,#87159,.T.); #125163=ORIENTED_EDGE('',*,*,#87156,.T.); #125164=ORIENTED_EDGE('',*,*,#87153,.T.); #125165=ORIENTED_EDGE('',*,*,#87150,.T.); #125166=ORIENTED_EDGE('',*,*,#87147,.T.); #125167=ORIENTED_EDGE('',*,*,#87144,.T.); #125168=ORIENTED_EDGE('',*,*,#87141,.T.); #125169=ORIENTED_EDGE('',*,*,#87138,.T.); #125170=ORIENTED_EDGE('',*,*,#87135,.T.); #125171=ORIENTED_EDGE('',*,*,#87132,.T.); #125172=ORIENTED_EDGE('',*,*,#87129,.T.); #125173=ORIENTED_EDGE('',*,*,#87126,.T.); #125174=ORIENTED_EDGE('',*,*,#87123,.T.); #125175=ORIENTED_EDGE('',*,*,#87120,.T.); #125176=ORIENTED_EDGE('',*,*,#87117,.T.); #125177=ORIENTED_EDGE('',*,*,#87114,.T.); #125178=ORIENTED_EDGE('',*,*,#87111,.T.); #125179=ORIENTED_EDGE('',*,*,#87108,.T.); #125180=ORIENTED_EDGE('',*,*,#87105,.T.); #125181=ORIENTED_EDGE('',*,*,#87102,.T.); #125182=ORIENTED_EDGE('',*,*,#87099,.T.); #125183=ORIENTED_EDGE('',*,*,#87096,.T.); #125184=ORIENTED_EDGE('',*,*,#87093,.T.); #125185=ORIENTED_EDGE('',*,*,#87090,.T.); #125186=ORIENTED_EDGE('',*,*,#87087,.T.); #125187=ORIENTED_EDGE('',*,*,#87084,.T.); #125188=ORIENTED_EDGE('',*,*,#87081,.T.); #125189=ORIENTED_EDGE('',*,*,#87078,.T.); #125190=ORIENTED_EDGE('',*,*,#87075,.T.); #125191=ORIENTED_EDGE('',*,*,#87072,.T.); #125192=ORIENTED_EDGE('',*,*,#87069,.T.); #125193=ORIENTED_EDGE('',*,*,#87066,.T.); #125194=ORIENTED_EDGE('',*,*,#87063,.T.); #125195=ORIENTED_EDGE('',*,*,#87060,.T.); #125196=ORIENTED_EDGE('',*,*,#87057,.T.); #125197=ORIENTED_EDGE('',*,*,#87054,.T.); #125198=ORIENTED_EDGE('',*,*,#87051,.T.); #125199=ORIENTED_EDGE('',*,*,#87048,.T.); #125200=ORIENTED_EDGE('',*,*,#87045,.T.); #125201=ORIENTED_EDGE('',*,*,#87042,.T.); #125202=ORIENTED_EDGE('',*,*,#87039,.T.); #125203=ORIENTED_EDGE('',*,*,#87036,.T.); #125204=ORIENTED_EDGE('',*,*,#87033,.T.); #125205=ORIENTED_EDGE('',*,*,#87030,.T.); #125206=ORIENTED_EDGE('',*,*,#87027,.T.); #125207=ORIENTED_EDGE('',*,*,#87024,.T.); #125208=ORIENTED_EDGE('',*,*,#87021,.T.); #125209=ORIENTED_EDGE('',*,*,#87018,.T.); #125210=ORIENTED_EDGE('',*,*,#87015,.T.); #125211=ORIENTED_EDGE('',*,*,#87012,.T.); #125212=ORIENTED_EDGE('',*,*,#87009,.T.); #125213=ORIENTED_EDGE('',*,*,#87006,.T.); #125214=ORIENTED_EDGE('',*,*,#87003,.T.); #125215=ORIENTED_EDGE('',*,*,#87000,.T.); #125216=ORIENTED_EDGE('',*,*,#86997,.T.); #125217=ORIENTED_EDGE('',*,*,#86994,.T.); #125218=ORIENTED_EDGE('',*,*,#86991,.T.); #125219=ORIENTED_EDGE('',*,*,#86988,.T.); #125220=ORIENTED_EDGE('',*,*,#86985,.T.); #125221=ORIENTED_EDGE('',*,*,#86982,.T.); #125222=ORIENTED_EDGE('',*,*,#86979,.T.); #125223=ORIENTED_EDGE('',*,*,#86976,.T.); #125224=ORIENTED_EDGE('',*,*,#86973,.T.); #125225=ORIENTED_EDGE('',*,*,#86970,.T.); #125226=ORIENTED_EDGE('',*,*,#86967,.T.); #125227=ORIENTED_EDGE('',*,*,#86964,.T.); #125228=ORIENTED_EDGE('',*,*,#86961,.T.); #125229=ORIENTED_EDGE('',*,*,#86958,.T.); #125230=ORIENTED_EDGE('',*,*,#86955,.T.); #125231=ORIENTED_EDGE('',*,*,#86952,.T.); #125232=ORIENTED_EDGE('',*,*,#86949,.T.); #125233=ORIENTED_EDGE('',*,*,#86946,.T.); #125234=ORIENTED_EDGE('',*,*,#86943,.T.); #125235=ORIENTED_EDGE('',*,*,#86940,.T.); #125236=ORIENTED_EDGE('',*,*,#86937,.T.); #125237=ORIENTED_EDGE('',*,*,#86934,.T.); #125238=ORIENTED_EDGE('',*,*,#86931,.T.); #125239=ORIENTED_EDGE('',*,*,#86928,.T.); #125240=ORIENTED_EDGE('',*,*,#86925,.T.); #125241=ORIENTED_EDGE('',*,*,#86922,.T.); #125242=ORIENTED_EDGE('',*,*,#86919,.T.); #125243=ORIENTED_EDGE('',*,*,#86916,.T.); #125244=ORIENTED_EDGE('',*,*,#86913,.T.); #125245=ORIENTED_EDGE('',*,*,#86910,.T.); #125246=ORIENTED_EDGE('',*,*,#86907,.T.); #125247=ORIENTED_EDGE('',*,*,#86904,.T.); #125248=ORIENTED_EDGE('',*,*,#86901,.T.); #125249=ORIENTED_EDGE('',*,*,#86898,.T.); #125250=ORIENTED_EDGE('',*,*,#86895,.T.); #125251=ORIENTED_EDGE('',*,*,#86892,.T.); #125252=ORIENTED_EDGE('',*,*,#86889,.T.); #125253=ORIENTED_EDGE('',*,*,#86886,.T.); #125254=ORIENTED_EDGE('',*,*,#86883,.T.); #125255=ORIENTED_EDGE('',*,*,#86880,.T.); #125256=ORIENTED_EDGE('',*,*,#86877,.T.); #125257=ORIENTED_EDGE('',*,*,#86874,.T.); #125258=ORIENTED_EDGE('',*,*,#86871,.T.); #125259=ORIENTED_EDGE('',*,*,#86868,.T.); #125260=ORIENTED_EDGE('',*,*,#86865,.T.); #125261=ORIENTED_EDGE('',*,*,#86862,.T.); #125262=ORIENTED_EDGE('',*,*,#86859,.T.); #125263=ORIENTED_EDGE('',*,*,#86856,.T.); #125264=ORIENTED_EDGE('',*,*,#86853,.T.); #125265=ORIENTED_EDGE('',*,*,#86850,.T.); #125266=ORIENTED_EDGE('',*,*,#86847,.T.); #125267=ORIENTED_EDGE('',*,*,#86844,.T.); #125268=ORIENTED_EDGE('',*,*,#86841,.T.); #125269=ORIENTED_EDGE('',*,*,#86838,.T.); #125270=ORIENTED_EDGE('',*,*,#87290,.T.); #125271=ORIENTED_EDGE('',*,*,#87468,.T.); #125272=ORIENTED_EDGE('',*,*,#87465,.T.); #125273=ORIENTED_EDGE('',*,*,#87462,.T.); #125274=ORIENTED_EDGE('',*,*,#87459,.T.); #125275=ORIENTED_EDGE('',*,*,#87456,.T.); #125276=ORIENTED_EDGE('',*,*,#87453,.T.); #125277=ORIENTED_EDGE('',*,*,#87450,.T.); #125278=ORIENTED_EDGE('',*,*,#87447,.T.); #125279=ORIENTED_EDGE('',*,*,#87444,.T.); #125280=ORIENTED_EDGE('',*,*,#87441,.T.); #125281=ORIENTED_EDGE('',*,*,#87438,.T.); #125282=ORIENTED_EDGE('',*,*,#87435,.T.); #125283=ORIENTED_EDGE('',*,*,#87432,.T.); #125284=ORIENTED_EDGE('',*,*,#87429,.T.); #125285=ORIENTED_EDGE('',*,*,#87426,.T.); #125286=ORIENTED_EDGE('',*,*,#87423,.T.); #125287=ORIENTED_EDGE('',*,*,#87420,.T.); #125288=ORIENTED_EDGE('',*,*,#87417,.T.); #125289=ORIENTED_EDGE('',*,*,#87414,.T.); #125290=ORIENTED_EDGE('',*,*,#87411,.T.); #125291=ORIENTED_EDGE('',*,*,#87408,.T.); #125292=ORIENTED_EDGE('',*,*,#87405,.T.); #125293=ORIENTED_EDGE('',*,*,#87402,.T.); #125294=ORIENTED_EDGE('',*,*,#87399,.T.); #125295=ORIENTED_EDGE('',*,*,#87396,.T.); #125296=ORIENTED_EDGE('',*,*,#87393,.T.); #125297=ORIENTED_EDGE('',*,*,#87390,.T.); #125298=ORIENTED_EDGE('',*,*,#87387,.T.); #125299=ORIENTED_EDGE('',*,*,#87384,.T.); #125300=ORIENTED_EDGE('',*,*,#87381,.T.); #125301=ORIENTED_EDGE('',*,*,#87378,.T.); #125302=ORIENTED_EDGE('',*,*,#87375,.T.); #125303=ORIENTED_EDGE('',*,*,#87372,.T.); #125304=ORIENTED_EDGE('',*,*,#87369,.T.); #125305=ORIENTED_EDGE('',*,*,#87366,.T.); #125306=ORIENTED_EDGE('',*,*,#87363,.T.); #125307=ORIENTED_EDGE('',*,*,#87360,.T.); #125308=ORIENTED_EDGE('',*,*,#87357,.T.); #125309=ORIENTED_EDGE('',*,*,#87354,.T.); #125310=ORIENTED_EDGE('',*,*,#87351,.T.); #125311=ORIENTED_EDGE('',*,*,#87348,.T.); #125312=ORIENTED_EDGE('',*,*,#87345,.T.); #125313=ORIENTED_EDGE('',*,*,#87342,.T.); #125314=ORIENTED_EDGE('',*,*,#87339,.T.); #125315=ORIENTED_EDGE('',*,*,#87336,.T.); #125316=ORIENTED_EDGE('',*,*,#87333,.T.); #125317=ORIENTED_EDGE('',*,*,#87330,.T.); #125318=ORIENTED_EDGE('',*,*,#87327,.T.); #125319=ORIENTED_EDGE('',*,*,#87324,.T.); #125320=ORIENTED_EDGE('',*,*,#87321,.T.); #125321=ORIENTED_EDGE('',*,*,#87318,.T.); #125322=ORIENTED_EDGE('',*,*,#87315,.T.); #125323=ORIENTED_EDGE('',*,*,#87312,.T.); #125324=ORIENTED_EDGE('',*,*,#87309,.T.); #125325=ORIENTED_EDGE('',*,*,#87306,.T.); #125326=ORIENTED_EDGE('',*,*,#87303,.T.); #125327=ORIENTED_EDGE('',*,*,#87300,.T.); #125328=ORIENTED_EDGE('',*,*,#87297,.T.); #125329=ORIENTED_EDGE('',*,*,#87294,.T.); #125330=ORIENTED_EDGE('',*,*,#87470,.T.); #125331=ORIENTED_EDGE('',*,*,#87780,.T.); #125332=ORIENTED_EDGE('',*,*,#87777,.T.); #125333=ORIENTED_EDGE('',*,*,#87774,.T.); #125334=ORIENTED_EDGE('',*,*,#87771,.T.); #125335=ORIENTED_EDGE('',*,*,#87768,.T.); #125336=ORIENTED_EDGE('',*,*,#87765,.T.); #125337=ORIENTED_EDGE('',*,*,#87762,.T.); #125338=ORIENTED_EDGE('',*,*,#87759,.T.); #125339=ORIENTED_EDGE('',*,*,#87756,.T.); #125340=ORIENTED_EDGE('',*,*,#87753,.T.); #125341=ORIENTED_EDGE('',*,*,#87750,.T.); #125342=ORIENTED_EDGE('',*,*,#87747,.T.); #125343=ORIENTED_EDGE('',*,*,#87744,.T.); #125344=ORIENTED_EDGE('',*,*,#87741,.T.); #125345=ORIENTED_EDGE('',*,*,#87738,.T.); #125346=ORIENTED_EDGE('',*,*,#87735,.T.); #125347=ORIENTED_EDGE('',*,*,#87732,.T.); #125348=ORIENTED_EDGE('',*,*,#87729,.T.); #125349=ORIENTED_EDGE('',*,*,#87726,.T.); #125350=ORIENTED_EDGE('',*,*,#87723,.T.); #125351=ORIENTED_EDGE('',*,*,#87720,.T.); #125352=ORIENTED_EDGE('',*,*,#87717,.T.); #125353=ORIENTED_EDGE('',*,*,#87714,.T.); #125354=ORIENTED_EDGE('',*,*,#87711,.T.); #125355=ORIENTED_EDGE('',*,*,#87708,.T.); #125356=ORIENTED_EDGE('',*,*,#87705,.T.); #125357=ORIENTED_EDGE('',*,*,#87702,.T.); #125358=ORIENTED_EDGE('',*,*,#87699,.T.); #125359=ORIENTED_EDGE('',*,*,#87696,.T.); #125360=ORIENTED_EDGE('',*,*,#87693,.T.); #125361=ORIENTED_EDGE('',*,*,#87690,.T.); #125362=ORIENTED_EDGE('',*,*,#87687,.T.); #125363=ORIENTED_EDGE('',*,*,#87684,.T.); #125364=ORIENTED_EDGE('',*,*,#87681,.T.); #125365=ORIENTED_EDGE('',*,*,#87678,.T.); #125366=ORIENTED_EDGE('',*,*,#87675,.T.); #125367=ORIENTED_EDGE('',*,*,#87672,.T.); #125368=ORIENTED_EDGE('',*,*,#87669,.T.); #125369=ORIENTED_EDGE('',*,*,#87666,.T.); #125370=ORIENTED_EDGE('',*,*,#87663,.T.); #125371=ORIENTED_EDGE('',*,*,#87660,.T.); #125372=ORIENTED_EDGE('',*,*,#87657,.T.); #125373=ORIENTED_EDGE('',*,*,#87654,.T.); #125374=ORIENTED_EDGE('',*,*,#87651,.T.); #125375=ORIENTED_EDGE('',*,*,#87648,.T.); #125376=ORIENTED_EDGE('',*,*,#87645,.T.); #125377=ORIENTED_EDGE('',*,*,#87642,.T.); #125378=ORIENTED_EDGE('',*,*,#87639,.T.); #125379=ORIENTED_EDGE('',*,*,#87636,.T.); #125380=ORIENTED_EDGE('',*,*,#87633,.T.); #125381=ORIENTED_EDGE('',*,*,#87630,.T.); #125382=ORIENTED_EDGE('',*,*,#87627,.T.); #125383=ORIENTED_EDGE('',*,*,#87624,.T.); #125384=ORIENTED_EDGE('',*,*,#87621,.T.); #125385=ORIENTED_EDGE('',*,*,#87618,.T.); #125386=ORIENTED_EDGE('',*,*,#87615,.T.); #125387=ORIENTED_EDGE('',*,*,#87612,.T.); #125388=ORIENTED_EDGE('',*,*,#87609,.T.); #125389=ORIENTED_EDGE('',*,*,#87606,.T.); #125390=ORIENTED_EDGE('',*,*,#87603,.T.); #125391=ORIENTED_EDGE('',*,*,#87600,.T.); #125392=ORIENTED_EDGE('',*,*,#87597,.T.); #125393=ORIENTED_EDGE('',*,*,#87594,.T.); #125394=ORIENTED_EDGE('',*,*,#87591,.T.); #125395=ORIENTED_EDGE('',*,*,#87588,.T.); #125396=ORIENTED_EDGE('',*,*,#87585,.T.); #125397=ORIENTED_EDGE('',*,*,#87582,.T.); #125398=ORIENTED_EDGE('',*,*,#87579,.T.); #125399=ORIENTED_EDGE('',*,*,#87576,.T.); #125400=ORIENTED_EDGE('',*,*,#87573,.T.); #125401=ORIENTED_EDGE('',*,*,#87570,.T.); #125402=ORIENTED_EDGE('',*,*,#87567,.T.); #125403=ORIENTED_EDGE('',*,*,#87564,.T.); #125404=ORIENTED_EDGE('',*,*,#87561,.T.); #125405=ORIENTED_EDGE('',*,*,#87558,.T.); #125406=ORIENTED_EDGE('',*,*,#87555,.T.); #125407=ORIENTED_EDGE('',*,*,#87552,.T.); #125408=ORIENTED_EDGE('',*,*,#87549,.T.); #125409=ORIENTED_EDGE('',*,*,#87546,.T.); #125410=ORIENTED_EDGE('',*,*,#87543,.T.); #125411=ORIENTED_EDGE('',*,*,#87540,.T.); #125412=ORIENTED_EDGE('',*,*,#87537,.T.); #125413=ORIENTED_EDGE('',*,*,#87534,.T.); #125414=ORIENTED_EDGE('',*,*,#87531,.T.); #125415=ORIENTED_EDGE('',*,*,#87528,.T.); #125416=ORIENTED_EDGE('',*,*,#87525,.T.); #125417=ORIENTED_EDGE('',*,*,#87522,.T.); #125418=ORIENTED_EDGE('',*,*,#87519,.T.); #125419=ORIENTED_EDGE('',*,*,#87516,.T.); #125420=ORIENTED_EDGE('',*,*,#87513,.T.); #125421=ORIENTED_EDGE('',*,*,#87510,.T.); #125422=ORIENTED_EDGE('',*,*,#87507,.T.); #125423=ORIENTED_EDGE('',*,*,#87504,.T.); #125424=ORIENTED_EDGE('',*,*,#87501,.T.); #125425=ORIENTED_EDGE('',*,*,#87498,.T.); #125426=ORIENTED_EDGE('',*,*,#87495,.T.); #125427=ORIENTED_EDGE('',*,*,#87492,.T.); #125428=ORIENTED_EDGE('',*,*,#87489,.T.); #125429=ORIENTED_EDGE('',*,*,#87486,.T.); #125430=ORIENTED_EDGE('',*,*,#87483,.T.); #125431=ORIENTED_EDGE('',*,*,#87480,.T.); #125432=ORIENTED_EDGE('',*,*,#87477,.T.); #125433=ORIENTED_EDGE('',*,*,#87474,.T.); #125434=ORIENTED_EDGE('',*,*,#87782,.T.); #125435=ORIENTED_EDGE('',*,*,#87792,.T.); #125436=ORIENTED_EDGE('',*,*,#87789,.T.); #125437=ORIENTED_EDGE('',*,*,#87786,.T.); #125438=ORIENTED_EDGE('',*,*,#87824,.T.); #125439=ORIENTED_EDGE('',*,*,#88149,.T.); #125440=ORIENTED_EDGE('',*,*,#88146,.T.); #125441=ORIENTED_EDGE('',*,*,#88143,.T.); #125442=ORIENTED_EDGE('',*,*,#88140,.T.); #125443=ORIENTED_EDGE('',*,*,#88137,.T.); #125444=ORIENTED_EDGE('',*,*,#88134,.T.); #125445=ORIENTED_EDGE('',*,*,#88131,.T.); #125446=ORIENTED_EDGE('',*,*,#88128,.T.); #125447=ORIENTED_EDGE('',*,*,#88125,.T.); #125448=ORIENTED_EDGE('',*,*,#88122,.T.); #125449=ORIENTED_EDGE('',*,*,#88119,.T.); #125450=ORIENTED_EDGE('',*,*,#88116,.T.); #125451=ORIENTED_EDGE('',*,*,#88113,.T.); #125452=ORIENTED_EDGE('',*,*,#88110,.T.); #125453=ORIENTED_EDGE('',*,*,#88107,.T.); #125454=ORIENTED_EDGE('',*,*,#88104,.T.); #125455=ORIENTED_EDGE('',*,*,#88101,.T.); #125456=ORIENTED_EDGE('',*,*,#88098,.T.); #125457=ORIENTED_EDGE('',*,*,#88095,.T.); #125458=ORIENTED_EDGE('',*,*,#88092,.T.); #125459=ORIENTED_EDGE('',*,*,#88089,.T.); #125460=ORIENTED_EDGE('',*,*,#88086,.T.); #125461=ORIENTED_EDGE('',*,*,#88083,.T.); #125462=ORIENTED_EDGE('',*,*,#88080,.T.); #125463=ORIENTED_EDGE('',*,*,#88077,.T.); #125464=ORIENTED_EDGE('',*,*,#88074,.T.); #125465=ORIENTED_EDGE('',*,*,#88071,.T.); #125466=ORIENTED_EDGE('',*,*,#88068,.T.); #125467=ORIENTED_EDGE('',*,*,#88065,.T.); #125468=ORIENTED_EDGE('',*,*,#88062,.T.); #125469=ORIENTED_EDGE('',*,*,#88059,.T.); #125470=ORIENTED_EDGE('',*,*,#88056,.T.); #125471=ORIENTED_EDGE('',*,*,#88053,.T.); #125472=ORIENTED_EDGE('',*,*,#88050,.T.); #125473=ORIENTED_EDGE('',*,*,#88047,.T.); #125474=ORIENTED_EDGE('',*,*,#88044,.T.); #125475=ORIENTED_EDGE('',*,*,#88041,.T.); #125476=ORIENTED_EDGE('',*,*,#88038,.T.); #125477=ORIENTED_EDGE('',*,*,#88035,.T.); #125478=ORIENTED_EDGE('',*,*,#88032,.T.); #125479=ORIENTED_EDGE('',*,*,#88029,.T.); #125480=ORIENTED_EDGE('',*,*,#88026,.T.); #125481=ORIENTED_EDGE('',*,*,#88023,.T.); #125482=ORIENTED_EDGE('',*,*,#88020,.T.); #125483=ORIENTED_EDGE('',*,*,#88017,.T.); #125484=ORIENTED_EDGE('',*,*,#88014,.T.); #125485=ORIENTED_EDGE('',*,*,#88011,.T.); #125486=ORIENTED_EDGE('',*,*,#88008,.T.); #125487=ORIENTED_EDGE('',*,*,#88005,.T.); #125488=ORIENTED_EDGE('',*,*,#88002,.T.); #125489=ORIENTED_EDGE('',*,*,#87999,.T.); #125490=ORIENTED_EDGE('',*,*,#87996,.T.); #125491=ORIENTED_EDGE('',*,*,#87993,.T.); #125492=ORIENTED_EDGE('',*,*,#87990,.T.); #125493=ORIENTED_EDGE('',*,*,#87987,.T.); #125494=ORIENTED_EDGE('',*,*,#87984,.T.); #125495=ORIENTED_EDGE('',*,*,#87981,.T.); #125496=ORIENTED_EDGE('',*,*,#87978,.T.); #125497=ORIENTED_EDGE('',*,*,#87975,.T.); #125498=ORIENTED_EDGE('',*,*,#87972,.T.); #125499=ORIENTED_EDGE('',*,*,#87969,.T.); #125500=ORIENTED_EDGE('',*,*,#87966,.T.); #125501=ORIENTED_EDGE('',*,*,#87963,.T.); #125502=ORIENTED_EDGE('',*,*,#87960,.T.); #125503=ORIENTED_EDGE('',*,*,#87957,.T.); #125504=ORIENTED_EDGE('',*,*,#87954,.T.); #125505=ORIENTED_EDGE('',*,*,#87951,.T.); #125506=ORIENTED_EDGE('',*,*,#87948,.T.); #125507=ORIENTED_EDGE('',*,*,#87945,.T.); #125508=ORIENTED_EDGE('',*,*,#87942,.T.); #125509=ORIENTED_EDGE('',*,*,#87939,.T.); #125510=ORIENTED_EDGE('',*,*,#87936,.T.); #125511=ORIENTED_EDGE('',*,*,#87933,.T.); #125512=ORIENTED_EDGE('',*,*,#87930,.T.); #125513=ORIENTED_EDGE('',*,*,#87927,.T.); #125514=ORIENTED_EDGE('',*,*,#87924,.T.); #125515=ORIENTED_EDGE('',*,*,#87921,.T.); #125516=ORIENTED_EDGE('',*,*,#87918,.T.); #125517=ORIENTED_EDGE('',*,*,#87915,.T.); #125518=ORIENTED_EDGE('',*,*,#87912,.T.); #125519=ORIENTED_EDGE('',*,*,#87909,.T.); #125520=ORIENTED_EDGE('',*,*,#87906,.T.); #125521=ORIENTED_EDGE('',*,*,#87903,.T.); #125522=ORIENTED_EDGE('',*,*,#87900,.T.); #125523=ORIENTED_EDGE('',*,*,#87897,.T.); #125524=ORIENTED_EDGE('',*,*,#87894,.T.); #125525=ORIENTED_EDGE('',*,*,#87891,.T.); #125526=ORIENTED_EDGE('',*,*,#87888,.T.); #125527=ORIENTED_EDGE('',*,*,#87885,.T.); #125528=ORIENTED_EDGE('',*,*,#87882,.T.); #125529=ORIENTED_EDGE('',*,*,#87879,.T.); #125530=ORIENTED_EDGE('',*,*,#87876,.T.); #125531=ORIENTED_EDGE('',*,*,#87873,.T.); #125532=ORIENTED_EDGE('',*,*,#87870,.T.); #125533=ORIENTED_EDGE('',*,*,#87867,.T.); #125534=ORIENTED_EDGE('',*,*,#87864,.T.); #125535=ORIENTED_EDGE('',*,*,#87861,.T.); #125536=ORIENTED_EDGE('',*,*,#87858,.T.); #125537=ORIENTED_EDGE('',*,*,#87855,.T.); #125538=ORIENTED_EDGE('',*,*,#87852,.T.); #125539=ORIENTED_EDGE('',*,*,#87849,.T.); #125540=ORIENTED_EDGE('',*,*,#87846,.T.); #125541=ORIENTED_EDGE('',*,*,#87843,.T.); #125542=ORIENTED_EDGE('',*,*,#87840,.T.); #125543=ORIENTED_EDGE('',*,*,#87837,.T.); #125544=ORIENTED_EDGE('',*,*,#87834,.T.); #125545=ORIENTED_EDGE('',*,*,#87831,.T.); #125546=ORIENTED_EDGE('',*,*,#87828,.T.); #125547=ORIENTED_EDGE('',*,*,#88151,.T.); #125548=ORIENTED_EDGE('',*,*,#88161,.T.); #125549=ORIENTED_EDGE('',*,*,#88158,.T.); #125550=ORIENTED_EDGE('',*,*,#88155,.T.); #125551=ORIENTED_EDGE('',*,*,#88211,.T.); #125552=ORIENTED_EDGE('',*,*,#88473,.T.); #125553=ORIENTED_EDGE('',*,*,#88470,.T.); #125554=ORIENTED_EDGE('',*,*,#88467,.T.); #125555=ORIENTED_EDGE('',*,*,#88464,.T.); #125556=ORIENTED_EDGE('',*,*,#88461,.T.); #125557=ORIENTED_EDGE('',*,*,#88458,.T.); #125558=ORIENTED_EDGE('',*,*,#88455,.T.); #125559=ORIENTED_EDGE('',*,*,#88452,.T.); #125560=ORIENTED_EDGE('',*,*,#88449,.T.); #125561=ORIENTED_EDGE('',*,*,#88446,.T.); #125562=ORIENTED_EDGE('',*,*,#88443,.T.); #125563=ORIENTED_EDGE('',*,*,#88440,.T.); #125564=ORIENTED_EDGE('',*,*,#88437,.T.); #125565=ORIENTED_EDGE('',*,*,#88434,.T.); #125566=ORIENTED_EDGE('',*,*,#88431,.T.); #125567=ORIENTED_EDGE('',*,*,#88428,.T.); #125568=ORIENTED_EDGE('',*,*,#88425,.T.); #125569=ORIENTED_EDGE('',*,*,#88422,.T.); #125570=ORIENTED_EDGE('',*,*,#88419,.T.); #125571=ORIENTED_EDGE('',*,*,#88416,.T.); #125572=ORIENTED_EDGE('',*,*,#88413,.T.); #125573=ORIENTED_EDGE('',*,*,#88410,.T.); #125574=ORIENTED_EDGE('',*,*,#88407,.T.); #125575=ORIENTED_EDGE('',*,*,#88404,.T.); #125576=ORIENTED_EDGE('',*,*,#88401,.T.); #125577=ORIENTED_EDGE('',*,*,#88398,.T.); #125578=ORIENTED_EDGE('',*,*,#88395,.T.); #125579=ORIENTED_EDGE('',*,*,#88392,.T.); #125580=ORIENTED_EDGE('',*,*,#88389,.T.); #125581=ORIENTED_EDGE('',*,*,#88386,.T.); #125582=ORIENTED_EDGE('',*,*,#88383,.T.); #125583=ORIENTED_EDGE('',*,*,#88380,.T.); #125584=ORIENTED_EDGE('',*,*,#88377,.T.); #125585=ORIENTED_EDGE('',*,*,#88374,.T.); #125586=ORIENTED_EDGE('',*,*,#88371,.T.); #125587=ORIENTED_EDGE('',*,*,#88368,.T.); #125588=ORIENTED_EDGE('',*,*,#88365,.T.); #125589=ORIENTED_EDGE('',*,*,#88362,.T.); #125590=ORIENTED_EDGE('',*,*,#88359,.T.); #125591=ORIENTED_EDGE('',*,*,#88356,.T.); #125592=ORIENTED_EDGE('',*,*,#88353,.T.); #125593=ORIENTED_EDGE('',*,*,#88350,.T.); #125594=ORIENTED_EDGE('',*,*,#88347,.T.); #125595=ORIENTED_EDGE('',*,*,#88344,.T.); #125596=ORIENTED_EDGE('',*,*,#88341,.T.); #125597=ORIENTED_EDGE('',*,*,#88338,.T.); #125598=ORIENTED_EDGE('',*,*,#88335,.T.); #125599=ORIENTED_EDGE('',*,*,#88332,.T.); #125600=ORIENTED_EDGE('',*,*,#88329,.T.); #125601=ORIENTED_EDGE('',*,*,#88326,.T.); #125602=ORIENTED_EDGE('',*,*,#88323,.T.); #125603=ORIENTED_EDGE('',*,*,#88320,.T.); #125604=ORIENTED_EDGE('',*,*,#88317,.T.); #125605=ORIENTED_EDGE('',*,*,#88314,.T.); #125606=ORIENTED_EDGE('',*,*,#88311,.T.); #125607=ORIENTED_EDGE('',*,*,#88308,.T.); #125608=ORIENTED_EDGE('',*,*,#88305,.T.); #125609=ORIENTED_EDGE('',*,*,#88302,.T.); #125610=ORIENTED_EDGE('',*,*,#88299,.T.); #125611=ORIENTED_EDGE('',*,*,#88296,.T.); #125612=ORIENTED_EDGE('',*,*,#88293,.T.); #125613=ORIENTED_EDGE('',*,*,#88290,.T.); #125614=ORIENTED_EDGE('',*,*,#88287,.T.); #125615=ORIENTED_EDGE('',*,*,#88284,.T.); #125616=ORIENTED_EDGE('',*,*,#88281,.T.); #125617=ORIENTED_EDGE('',*,*,#88278,.T.); #125618=ORIENTED_EDGE('',*,*,#88275,.T.); #125619=ORIENTED_EDGE('',*,*,#88272,.T.); #125620=ORIENTED_EDGE('',*,*,#88269,.T.); #125621=ORIENTED_EDGE('',*,*,#88266,.T.); #125622=ORIENTED_EDGE('',*,*,#88263,.T.); #125623=ORIENTED_EDGE('',*,*,#88260,.T.); #125624=ORIENTED_EDGE('',*,*,#88257,.T.); #125625=ORIENTED_EDGE('',*,*,#88254,.T.); #125626=ORIENTED_EDGE('',*,*,#88251,.T.); #125627=ORIENTED_EDGE('',*,*,#88248,.T.); #125628=ORIENTED_EDGE('',*,*,#88245,.T.); #125629=ORIENTED_EDGE('',*,*,#88242,.T.); #125630=ORIENTED_EDGE('',*,*,#88239,.T.); #125631=ORIENTED_EDGE('',*,*,#88236,.T.); #125632=ORIENTED_EDGE('',*,*,#88233,.T.); #125633=ORIENTED_EDGE('',*,*,#88230,.T.); #125634=ORIENTED_EDGE('',*,*,#88227,.T.); #125635=ORIENTED_EDGE('',*,*,#88224,.T.); #125636=ORIENTED_EDGE('',*,*,#88221,.T.); #125637=ORIENTED_EDGE('',*,*,#88218,.T.); #125638=ORIENTED_EDGE('',*,*,#88215,.T.); #125639=ORIENTED_EDGE('',*,*,#88490,.T.); #125640=ORIENTED_EDGE('',*,*,#88821,.T.); #125641=ORIENTED_EDGE('',*,*,#88818,.T.); #125642=ORIENTED_EDGE('',*,*,#88815,.T.); #125643=ORIENTED_EDGE('',*,*,#88812,.T.); #125644=ORIENTED_EDGE('',*,*,#88809,.T.); #125645=ORIENTED_EDGE('',*,*,#88806,.T.); #125646=ORIENTED_EDGE('',*,*,#88803,.T.); #125647=ORIENTED_EDGE('',*,*,#88800,.T.); #125648=ORIENTED_EDGE('',*,*,#88797,.T.); #125649=ORIENTED_EDGE('',*,*,#88794,.T.); #125650=ORIENTED_EDGE('',*,*,#88791,.T.); #125651=ORIENTED_EDGE('',*,*,#88788,.T.); #125652=ORIENTED_EDGE('',*,*,#88785,.T.); #125653=ORIENTED_EDGE('',*,*,#88782,.T.); #125654=ORIENTED_EDGE('',*,*,#88779,.T.); #125655=ORIENTED_EDGE('',*,*,#88776,.T.); #125656=ORIENTED_EDGE('',*,*,#88773,.T.); #125657=ORIENTED_EDGE('',*,*,#88770,.T.); #125658=ORIENTED_EDGE('',*,*,#88767,.T.); #125659=ORIENTED_EDGE('',*,*,#88764,.T.); #125660=ORIENTED_EDGE('',*,*,#88761,.T.); #125661=ORIENTED_EDGE('',*,*,#88758,.T.); #125662=ORIENTED_EDGE('',*,*,#88755,.T.); #125663=ORIENTED_EDGE('',*,*,#88752,.T.); #125664=ORIENTED_EDGE('',*,*,#88749,.T.); #125665=ORIENTED_EDGE('',*,*,#88746,.T.); #125666=ORIENTED_EDGE('',*,*,#88743,.T.); #125667=ORIENTED_EDGE('',*,*,#88740,.T.); #125668=ORIENTED_EDGE('',*,*,#88737,.T.); #125669=ORIENTED_EDGE('',*,*,#88734,.T.); #125670=ORIENTED_EDGE('',*,*,#88731,.T.); #125671=ORIENTED_EDGE('',*,*,#88728,.T.); #125672=ORIENTED_EDGE('',*,*,#88725,.T.); #125673=ORIENTED_EDGE('',*,*,#88722,.T.); #125674=ORIENTED_EDGE('',*,*,#88719,.T.); #125675=ORIENTED_EDGE('',*,*,#88716,.T.); #125676=ORIENTED_EDGE('',*,*,#88713,.T.); #125677=ORIENTED_EDGE('',*,*,#88710,.T.); #125678=ORIENTED_EDGE('',*,*,#88707,.T.); #125679=ORIENTED_EDGE('',*,*,#88704,.T.); #125680=ORIENTED_EDGE('',*,*,#88701,.T.); #125681=ORIENTED_EDGE('',*,*,#88698,.T.); #125682=ORIENTED_EDGE('',*,*,#88695,.T.); #125683=ORIENTED_EDGE('',*,*,#88692,.T.); #125684=ORIENTED_EDGE('',*,*,#88689,.T.); #125685=ORIENTED_EDGE('',*,*,#88686,.T.); #125686=ORIENTED_EDGE('',*,*,#88683,.T.); #125687=ORIENTED_EDGE('',*,*,#88680,.T.); #125688=ORIENTED_EDGE('',*,*,#88677,.T.); #125689=ORIENTED_EDGE('',*,*,#88674,.T.); #125690=ORIENTED_EDGE('',*,*,#88671,.T.); #125691=ORIENTED_EDGE('',*,*,#88668,.T.); #125692=ORIENTED_EDGE('',*,*,#88665,.T.); #125693=ORIENTED_EDGE('',*,*,#88662,.T.); #125694=ORIENTED_EDGE('',*,*,#88659,.T.); #125695=ORIENTED_EDGE('',*,*,#88656,.T.); #125696=ORIENTED_EDGE('',*,*,#88653,.T.); #125697=ORIENTED_EDGE('',*,*,#88650,.T.); #125698=ORIENTED_EDGE('',*,*,#88647,.T.); #125699=ORIENTED_EDGE('',*,*,#88644,.T.); #125700=ORIENTED_EDGE('',*,*,#88641,.T.); #125701=ORIENTED_EDGE('',*,*,#88638,.T.); #125702=ORIENTED_EDGE('',*,*,#88635,.T.); #125703=ORIENTED_EDGE('',*,*,#88632,.T.); #125704=ORIENTED_EDGE('',*,*,#88629,.T.); #125705=ORIENTED_EDGE('',*,*,#88626,.T.); #125706=ORIENTED_EDGE('',*,*,#88623,.T.); #125707=ORIENTED_EDGE('',*,*,#88620,.T.); #125708=ORIENTED_EDGE('',*,*,#88617,.T.); #125709=ORIENTED_EDGE('',*,*,#88614,.T.); #125710=ORIENTED_EDGE('',*,*,#88611,.T.); #125711=ORIENTED_EDGE('',*,*,#88608,.T.); #125712=ORIENTED_EDGE('',*,*,#88605,.T.); #125713=ORIENTED_EDGE('',*,*,#88602,.T.); #125714=ORIENTED_EDGE('',*,*,#88599,.T.); #125715=ORIENTED_EDGE('',*,*,#88596,.T.); #125716=ORIENTED_EDGE('',*,*,#88593,.T.); #125717=ORIENTED_EDGE('',*,*,#88590,.T.); #125718=ORIENTED_EDGE('',*,*,#88587,.T.); #125719=ORIENTED_EDGE('',*,*,#88584,.T.); #125720=ORIENTED_EDGE('',*,*,#88581,.T.); #125721=ORIENTED_EDGE('',*,*,#88578,.T.); #125722=ORIENTED_EDGE('',*,*,#88575,.T.); #125723=ORIENTED_EDGE('',*,*,#88572,.T.); #125724=ORIENTED_EDGE('',*,*,#88569,.T.); #125725=ORIENTED_EDGE('',*,*,#88566,.T.); #125726=ORIENTED_EDGE('',*,*,#88563,.T.); #125727=ORIENTED_EDGE('',*,*,#88560,.T.); #125728=ORIENTED_EDGE('',*,*,#88557,.T.); #125729=ORIENTED_EDGE('',*,*,#88554,.T.); #125730=ORIENTED_EDGE('',*,*,#88551,.T.); #125731=ORIENTED_EDGE('',*,*,#88548,.T.); #125732=ORIENTED_EDGE('',*,*,#88545,.T.); #125733=ORIENTED_EDGE('',*,*,#88542,.T.); #125734=ORIENTED_EDGE('',*,*,#88539,.T.); #125735=ORIENTED_EDGE('',*,*,#88536,.T.); #125736=ORIENTED_EDGE('',*,*,#88533,.T.); #125737=ORIENTED_EDGE('',*,*,#88530,.T.); #125738=ORIENTED_EDGE('',*,*,#88527,.T.); #125739=ORIENTED_EDGE('',*,*,#88524,.T.); #125740=ORIENTED_EDGE('',*,*,#88521,.T.); #125741=ORIENTED_EDGE('',*,*,#88518,.T.); #125742=ORIENTED_EDGE('',*,*,#88515,.T.); #125743=ORIENTED_EDGE('',*,*,#88512,.T.); #125744=ORIENTED_EDGE('',*,*,#88509,.T.); #125745=ORIENTED_EDGE('',*,*,#88506,.T.); #125746=ORIENTED_EDGE('',*,*,#88503,.T.); #125747=ORIENTED_EDGE('',*,*,#88500,.T.); #125748=ORIENTED_EDGE('',*,*,#88497,.T.); #125749=ORIENTED_EDGE('',*,*,#88494,.T.); #125750=ORIENTED_EDGE('',*,*,#88823,.T.); #125751=ORIENTED_EDGE('',*,*,#88956,.T.); #125752=ORIENTED_EDGE('',*,*,#88953,.T.); #125753=ORIENTED_EDGE('',*,*,#88950,.T.); #125754=ORIENTED_EDGE('',*,*,#88947,.T.); #125755=ORIENTED_EDGE('',*,*,#88944,.T.); #125756=ORIENTED_EDGE('',*,*,#88941,.T.); #125757=ORIENTED_EDGE('',*,*,#88938,.T.); #125758=ORIENTED_EDGE('',*,*,#88935,.T.); #125759=ORIENTED_EDGE('',*,*,#88932,.T.); #125760=ORIENTED_EDGE('',*,*,#88929,.T.); #125761=ORIENTED_EDGE('',*,*,#88926,.T.); #125762=ORIENTED_EDGE('',*,*,#88923,.T.); #125763=ORIENTED_EDGE('',*,*,#88920,.T.); #125764=ORIENTED_EDGE('',*,*,#88917,.T.); #125765=ORIENTED_EDGE('',*,*,#88914,.T.); #125766=ORIENTED_EDGE('',*,*,#88911,.T.); #125767=ORIENTED_EDGE('',*,*,#88908,.T.); #125768=ORIENTED_EDGE('',*,*,#88905,.T.); #125769=ORIENTED_EDGE('',*,*,#88902,.T.); #125770=ORIENTED_EDGE('',*,*,#88899,.T.); #125771=ORIENTED_EDGE('',*,*,#88896,.T.); #125772=ORIENTED_EDGE('',*,*,#88893,.T.); #125773=ORIENTED_EDGE('',*,*,#88890,.T.); #125774=ORIENTED_EDGE('',*,*,#88887,.T.); #125775=ORIENTED_EDGE('',*,*,#88884,.T.); #125776=ORIENTED_EDGE('',*,*,#88881,.T.); #125777=ORIENTED_EDGE('',*,*,#88878,.T.); #125778=ORIENTED_EDGE('',*,*,#88875,.T.); #125779=ORIENTED_EDGE('',*,*,#88872,.T.); #125780=ORIENTED_EDGE('',*,*,#88869,.T.); #125781=ORIENTED_EDGE('',*,*,#88866,.T.); #125782=ORIENTED_EDGE('',*,*,#88863,.T.); #125783=ORIENTED_EDGE('',*,*,#88860,.T.); #125784=ORIENTED_EDGE('',*,*,#88857,.T.); #125785=ORIENTED_EDGE('',*,*,#88854,.T.); #125786=ORIENTED_EDGE('',*,*,#88851,.T.); #125787=ORIENTED_EDGE('',*,*,#88848,.T.); #125788=ORIENTED_EDGE('',*,*,#88845,.T.); #125789=ORIENTED_EDGE('',*,*,#88842,.T.); #125790=ORIENTED_EDGE('',*,*,#88839,.T.); #125791=ORIENTED_EDGE('',*,*,#88836,.T.); #125792=ORIENTED_EDGE('',*,*,#88833,.T.); #125793=ORIENTED_EDGE('',*,*,#88830,.T.); #125794=ORIENTED_EDGE('',*,*,#88827,.T.); #125795=ORIENTED_EDGE('',*,*,#88958,.T.); #125796=ORIENTED_EDGE('',*,*,#89022,.T.); #125797=ORIENTED_EDGE('',*,*,#89019,.T.); #125798=ORIENTED_EDGE('',*,*,#89016,.T.); #125799=ORIENTED_EDGE('',*,*,#89013,.T.); #125800=ORIENTED_EDGE('',*,*,#89010,.T.); #125801=ORIENTED_EDGE('',*,*,#89007,.T.); #125802=ORIENTED_EDGE('',*,*,#89004,.T.); #125803=ORIENTED_EDGE('',*,*,#89001,.T.); #125804=ORIENTED_EDGE('',*,*,#88998,.T.); #125805=ORIENTED_EDGE('',*,*,#88995,.T.); #125806=ORIENTED_EDGE('',*,*,#88992,.T.); #125807=ORIENTED_EDGE('',*,*,#88989,.T.); #125808=ORIENTED_EDGE('',*,*,#88986,.T.); #125809=ORIENTED_EDGE('',*,*,#88983,.T.); #125810=ORIENTED_EDGE('',*,*,#88980,.T.); #125811=ORIENTED_EDGE('',*,*,#88977,.T.); #125812=ORIENTED_EDGE('',*,*,#88974,.T.); #125813=ORIENTED_EDGE('',*,*,#88971,.T.); #125814=ORIENTED_EDGE('',*,*,#88968,.T.); #125815=ORIENTED_EDGE('',*,*,#88965,.T.); #125816=ORIENTED_EDGE('',*,*,#88962,.T.); #125817=ORIENTED_EDGE('',*,*,#89024,.T.); #125818=ORIENTED_EDGE('',*,*,#89361,.T.); #125819=ORIENTED_EDGE('',*,*,#89358,.T.); #125820=ORIENTED_EDGE('',*,*,#89355,.T.); #125821=ORIENTED_EDGE('',*,*,#89352,.T.); #125822=ORIENTED_EDGE('',*,*,#89349,.T.); #125823=ORIENTED_EDGE('',*,*,#89346,.T.); #125824=ORIENTED_EDGE('',*,*,#89343,.T.); #125825=ORIENTED_EDGE('',*,*,#89340,.T.); #125826=ORIENTED_EDGE('',*,*,#89337,.T.); #125827=ORIENTED_EDGE('',*,*,#89334,.T.); #125828=ORIENTED_EDGE('',*,*,#89331,.T.); #125829=ORIENTED_EDGE('',*,*,#89328,.T.); #125830=ORIENTED_EDGE('',*,*,#89325,.T.); #125831=ORIENTED_EDGE('',*,*,#89322,.T.); #125832=ORIENTED_EDGE('',*,*,#89319,.T.); #125833=ORIENTED_EDGE('',*,*,#89316,.T.); #125834=ORIENTED_EDGE('',*,*,#89313,.T.); #125835=ORIENTED_EDGE('',*,*,#89310,.T.); #125836=ORIENTED_EDGE('',*,*,#89307,.T.); #125837=ORIENTED_EDGE('',*,*,#89304,.T.); #125838=ORIENTED_EDGE('',*,*,#89301,.T.); #125839=ORIENTED_EDGE('',*,*,#89298,.T.); #125840=ORIENTED_EDGE('',*,*,#89295,.T.); #125841=ORIENTED_EDGE('',*,*,#89292,.T.); #125842=ORIENTED_EDGE('',*,*,#89289,.T.); #125843=ORIENTED_EDGE('',*,*,#89286,.T.); #125844=ORIENTED_EDGE('',*,*,#89283,.T.); #125845=ORIENTED_EDGE('',*,*,#89280,.T.); #125846=ORIENTED_EDGE('',*,*,#89277,.T.); #125847=ORIENTED_EDGE('',*,*,#89274,.T.); #125848=ORIENTED_EDGE('',*,*,#89271,.T.); #125849=ORIENTED_EDGE('',*,*,#89268,.T.); #125850=ORIENTED_EDGE('',*,*,#89265,.T.); #125851=ORIENTED_EDGE('',*,*,#89262,.T.); #125852=ORIENTED_EDGE('',*,*,#89259,.T.); #125853=ORIENTED_EDGE('',*,*,#89256,.T.); #125854=ORIENTED_EDGE('',*,*,#89253,.T.); #125855=ORIENTED_EDGE('',*,*,#89250,.T.); #125856=ORIENTED_EDGE('',*,*,#89247,.T.); #125857=ORIENTED_EDGE('',*,*,#89244,.T.); #125858=ORIENTED_EDGE('',*,*,#89241,.T.); #125859=ORIENTED_EDGE('',*,*,#89238,.T.); #125860=ORIENTED_EDGE('',*,*,#89235,.T.); #125861=ORIENTED_EDGE('',*,*,#89232,.T.); #125862=ORIENTED_EDGE('',*,*,#89229,.T.); #125863=ORIENTED_EDGE('',*,*,#89226,.T.); #125864=ORIENTED_EDGE('',*,*,#89223,.T.); #125865=ORIENTED_EDGE('',*,*,#89220,.T.); #125866=ORIENTED_EDGE('',*,*,#89217,.T.); #125867=ORIENTED_EDGE('',*,*,#89214,.T.); #125868=ORIENTED_EDGE('',*,*,#89211,.T.); #125869=ORIENTED_EDGE('',*,*,#89208,.T.); #125870=ORIENTED_EDGE('',*,*,#89205,.T.); #125871=ORIENTED_EDGE('',*,*,#89202,.T.); #125872=ORIENTED_EDGE('',*,*,#89199,.T.); #125873=ORIENTED_EDGE('',*,*,#89196,.T.); #125874=ORIENTED_EDGE('',*,*,#89193,.T.); #125875=ORIENTED_EDGE('',*,*,#89190,.T.); #125876=ORIENTED_EDGE('',*,*,#89187,.T.); #125877=ORIENTED_EDGE('',*,*,#89184,.T.); #125878=ORIENTED_EDGE('',*,*,#89181,.T.); #125879=ORIENTED_EDGE('',*,*,#89178,.T.); #125880=ORIENTED_EDGE('',*,*,#89175,.T.); #125881=ORIENTED_EDGE('',*,*,#89172,.T.); #125882=ORIENTED_EDGE('',*,*,#89169,.T.); #125883=ORIENTED_EDGE('',*,*,#89166,.T.); #125884=ORIENTED_EDGE('',*,*,#89163,.T.); #125885=ORIENTED_EDGE('',*,*,#89160,.T.); #125886=ORIENTED_EDGE('',*,*,#89157,.T.); #125887=ORIENTED_EDGE('',*,*,#89154,.T.); #125888=ORIENTED_EDGE('',*,*,#89151,.T.); #125889=ORIENTED_EDGE('',*,*,#89148,.T.); #125890=ORIENTED_EDGE('',*,*,#89145,.T.); #125891=ORIENTED_EDGE('',*,*,#89142,.T.); #125892=ORIENTED_EDGE('',*,*,#89139,.T.); #125893=ORIENTED_EDGE('',*,*,#89136,.T.); #125894=ORIENTED_EDGE('',*,*,#89133,.T.); #125895=ORIENTED_EDGE('',*,*,#89130,.T.); #125896=ORIENTED_EDGE('',*,*,#89127,.T.); #125897=ORIENTED_EDGE('',*,*,#89124,.T.); #125898=ORIENTED_EDGE('',*,*,#89121,.T.); #125899=ORIENTED_EDGE('',*,*,#89118,.T.); #125900=ORIENTED_EDGE('',*,*,#89115,.T.); #125901=ORIENTED_EDGE('',*,*,#89112,.T.); #125902=ORIENTED_EDGE('',*,*,#89109,.T.); #125903=ORIENTED_EDGE('',*,*,#89106,.T.); #125904=ORIENTED_EDGE('',*,*,#89103,.T.); #125905=ORIENTED_EDGE('',*,*,#89100,.T.); #125906=ORIENTED_EDGE('',*,*,#89097,.T.); #125907=ORIENTED_EDGE('',*,*,#89094,.T.); #125908=ORIENTED_EDGE('',*,*,#89091,.T.); #125909=ORIENTED_EDGE('',*,*,#89088,.T.); #125910=ORIENTED_EDGE('',*,*,#89085,.T.); #125911=ORIENTED_EDGE('',*,*,#89082,.T.); #125912=ORIENTED_EDGE('',*,*,#89079,.T.); #125913=ORIENTED_EDGE('',*,*,#89076,.T.); #125914=ORIENTED_EDGE('',*,*,#89073,.T.); #125915=ORIENTED_EDGE('',*,*,#89070,.T.); #125916=ORIENTED_EDGE('',*,*,#89067,.T.); #125917=ORIENTED_EDGE('',*,*,#89064,.T.); #125918=ORIENTED_EDGE('',*,*,#89061,.T.); #125919=ORIENTED_EDGE('',*,*,#89058,.T.); #125920=ORIENTED_EDGE('',*,*,#89055,.T.); #125921=ORIENTED_EDGE('',*,*,#89052,.T.); #125922=ORIENTED_EDGE('',*,*,#89049,.T.); #125923=ORIENTED_EDGE('',*,*,#89046,.T.); #125924=ORIENTED_EDGE('',*,*,#89043,.T.); #125925=ORIENTED_EDGE('',*,*,#89040,.T.); #125926=ORIENTED_EDGE('',*,*,#89037,.T.); #125927=ORIENTED_EDGE('',*,*,#89034,.T.); #125928=ORIENTED_EDGE('',*,*,#89031,.T.); #125929=ORIENTED_EDGE('',*,*,#89028,.T.); #125930=ORIENTED_EDGE('',*,*,#89408,.T.); #125931=ORIENTED_EDGE('',*,*,#89604,.T.); #125932=ORIENTED_EDGE('',*,*,#89601,.T.); #125933=ORIENTED_EDGE('',*,*,#89598,.T.); #125934=ORIENTED_EDGE('',*,*,#89595,.T.); #125935=ORIENTED_EDGE('',*,*,#89592,.T.); #125936=ORIENTED_EDGE('',*,*,#89589,.T.); #125937=ORIENTED_EDGE('',*,*,#89586,.T.); #125938=ORIENTED_EDGE('',*,*,#89583,.T.); #125939=ORIENTED_EDGE('',*,*,#89580,.T.); #125940=ORIENTED_EDGE('',*,*,#89577,.T.); #125941=ORIENTED_EDGE('',*,*,#89574,.T.); #125942=ORIENTED_EDGE('',*,*,#89571,.T.); #125943=ORIENTED_EDGE('',*,*,#89568,.T.); #125944=ORIENTED_EDGE('',*,*,#89565,.T.); #125945=ORIENTED_EDGE('',*,*,#89562,.T.); #125946=ORIENTED_EDGE('',*,*,#89559,.T.); #125947=ORIENTED_EDGE('',*,*,#89556,.T.); #125948=ORIENTED_EDGE('',*,*,#89553,.T.); #125949=ORIENTED_EDGE('',*,*,#89550,.T.); #125950=ORIENTED_EDGE('',*,*,#89547,.T.); #125951=ORIENTED_EDGE('',*,*,#89544,.T.); #125952=ORIENTED_EDGE('',*,*,#89541,.T.); #125953=ORIENTED_EDGE('',*,*,#89538,.T.); #125954=ORIENTED_EDGE('',*,*,#89535,.T.); #125955=ORIENTED_EDGE('',*,*,#89532,.T.); #125956=ORIENTED_EDGE('',*,*,#89529,.T.); #125957=ORIENTED_EDGE('',*,*,#89526,.T.); #125958=ORIENTED_EDGE('',*,*,#89523,.T.); #125959=ORIENTED_EDGE('',*,*,#89520,.T.); #125960=ORIENTED_EDGE('',*,*,#89517,.T.); #125961=ORIENTED_EDGE('',*,*,#89514,.T.); #125962=ORIENTED_EDGE('',*,*,#89511,.T.); #125963=ORIENTED_EDGE('',*,*,#89508,.T.); #125964=ORIENTED_EDGE('',*,*,#89505,.T.); #125965=ORIENTED_EDGE('',*,*,#89502,.T.); #125966=ORIENTED_EDGE('',*,*,#89499,.T.); #125967=ORIENTED_EDGE('',*,*,#89496,.T.); #125968=ORIENTED_EDGE('',*,*,#89493,.T.); #125969=ORIENTED_EDGE('',*,*,#89490,.T.); #125970=ORIENTED_EDGE('',*,*,#89487,.T.); #125971=ORIENTED_EDGE('',*,*,#89484,.T.); #125972=ORIENTED_EDGE('',*,*,#89481,.T.); #125973=ORIENTED_EDGE('',*,*,#89478,.T.); #125974=ORIENTED_EDGE('',*,*,#89475,.T.); #125975=ORIENTED_EDGE('',*,*,#89472,.T.); #125976=ORIENTED_EDGE('',*,*,#89469,.T.); #125977=ORIENTED_EDGE('',*,*,#89466,.T.); #125978=ORIENTED_EDGE('',*,*,#89463,.T.); #125979=ORIENTED_EDGE('',*,*,#89460,.T.); #125980=ORIENTED_EDGE('',*,*,#89457,.T.); #125981=ORIENTED_EDGE('',*,*,#89454,.T.); #125982=ORIENTED_EDGE('',*,*,#89451,.T.); #125983=ORIENTED_EDGE('',*,*,#89448,.T.); #125984=ORIENTED_EDGE('',*,*,#89445,.T.); #125985=ORIENTED_EDGE('',*,*,#89442,.T.); #125986=ORIENTED_EDGE('',*,*,#89439,.T.); #125987=ORIENTED_EDGE('',*,*,#89436,.T.); #125988=ORIENTED_EDGE('',*,*,#89433,.T.); #125989=ORIENTED_EDGE('',*,*,#89430,.T.); #125990=ORIENTED_EDGE('',*,*,#89427,.T.); #125991=ORIENTED_EDGE('',*,*,#89424,.T.); #125992=ORIENTED_EDGE('',*,*,#89421,.T.); #125993=ORIENTED_EDGE('',*,*,#89418,.T.); #125994=ORIENTED_EDGE('',*,*,#89415,.T.); #125995=ORIENTED_EDGE('',*,*,#89412,.T.); #125996=ORIENTED_EDGE('',*,*,#89606,.T.); #125997=ORIENTED_EDGE('',*,*,#90141,.T.); #125998=ORIENTED_EDGE('',*,*,#90138,.T.); #125999=ORIENTED_EDGE('',*,*,#90135,.T.); #126000=ORIENTED_EDGE('',*,*,#90132,.T.); #126001=ORIENTED_EDGE('',*,*,#90129,.T.); #126002=ORIENTED_EDGE('',*,*,#90126,.T.); #126003=ORIENTED_EDGE('',*,*,#90123,.T.); #126004=ORIENTED_EDGE('',*,*,#90120,.T.); #126005=ORIENTED_EDGE('',*,*,#90117,.T.); #126006=ORIENTED_EDGE('',*,*,#90114,.T.); #126007=ORIENTED_EDGE('',*,*,#90111,.T.); #126008=ORIENTED_EDGE('',*,*,#90108,.T.); #126009=ORIENTED_EDGE('',*,*,#90105,.T.); #126010=ORIENTED_EDGE('',*,*,#90102,.T.); #126011=ORIENTED_EDGE('',*,*,#90099,.T.); #126012=ORIENTED_EDGE('',*,*,#90096,.T.); #126013=ORIENTED_EDGE('',*,*,#90093,.T.); #126014=ORIENTED_EDGE('',*,*,#90090,.T.); #126015=ORIENTED_EDGE('',*,*,#90087,.T.); #126016=ORIENTED_EDGE('',*,*,#90084,.T.); #126017=ORIENTED_EDGE('',*,*,#90081,.T.); #126018=ORIENTED_EDGE('',*,*,#90078,.T.); #126019=ORIENTED_EDGE('',*,*,#90075,.T.); #126020=ORIENTED_EDGE('',*,*,#90072,.T.); #126021=ORIENTED_EDGE('',*,*,#90069,.T.); #126022=ORIENTED_EDGE('',*,*,#90066,.T.); #126023=ORIENTED_EDGE('',*,*,#90063,.T.); #126024=ORIENTED_EDGE('',*,*,#90060,.T.); #126025=ORIENTED_EDGE('',*,*,#90057,.T.); #126026=ORIENTED_EDGE('',*,*,#90054,.T.); #126027=ORIENTED_EDGE('',*,*,#90051,.T.); #126028=ORIENTED_EDGE('',*,*,#90048,.T.); #126029=ORIENTED_EDGE('',*,*,#90045,.T.); #126030=ORIENTED_EDGE('',*,*,#90042,.T.); #126031=ORIENTED_EDGE('',*,*,#90039,.T.); #126032=ORIENTED_EDGE('',*,*,#90036,.T.); #126033=ORIENTED_EDGE('',*,*,#90033,.T.); #126034=ORIENTED_EDGE('',*,*,#90030,.T.); #126035=ORIENTED_EDGE('',*,*,#90027,.T.); #126036=ORIENTED_EDGE('',*,*,#90024,.T.); #126037=ORIENTED_EDGE('',*,*,#90021,.T.); #126038=ORIENTED_EDGE('',*,*,#90018,.T.); #126039=ORIENTED_EDGE('',*,*,#90015,.T.); #126040=ORIENTED_EDGE('',*,*,#90012,.T.); #126041=ORIENTED_EDGE('',*,*,#90009,.T.); #126042=ORIENTED_EDGE('',*,*,#90006,.T.); #126043=ORIENTED_EDGE('',*,*,#90003,.T.); #126044=ORIENTED_EDGE('',*,*,#90000,.T.); #126045=ORIENTED_EDGE('',*,*,#89997,.T.); #126046=ORIENTED_EDGE('',*,*,#89994,.T.); #126047=ORIENTED_EDGE('',*,*,#89991,.T.); #126048=ORIENTED_EDGE('',*,*,#89988,.T.); #126049=ORIENTED_EDGE('',*,*,#89985,.T.); #126050=ORIENTED_EDGE('',*,*,#89982,.T.); #126051=ORIENTED_EDGE('',*,*,#89979,.T.); #126052=ORIENTED_EDGE('',*,*,#89976,.T.); #126053=ORIENTED_EDGE('',*,*,#89973,.T.); #126054=ORIENTED_EDGE('',*,*,#89970,.T.); #126055=ORIENTED_EDGE('',*,*,#89967,.T.); #126056=ORIENTED_EDGE('',*,*,#89964,.T.); #126057=ORIENTED_EDGE('',*,*,#89961,.T.); #126058=ORIENTED_EDGE('',*,*,#89958,.T.); #126059=ORIENTED_EDGE('',*,*,#89955,.T.); #126060=ORIENTED_EDGE('',*,*,#89952,.T.); #126061=ORIENTED_EDGE('',*,*,#89949,.T.); #126062=ORIENTED_EDGE('',*,*,#89946,.T.); #126063=ORIENTED_EDGE('',*,*,#89943,.T.); #126064=ORIENTED_EDGE('',*,*,#89940,.T.); #126065=ORIENTED_EDGE('',*,*,#89937,.T.); #126066=ORIENTED_EDGE('',*,*,#89934,.T.); #126067=ORIENTED_EDGE('',*,*,#89931,.T.); #126068=ORIENTED_EDGE('',*,*,#89928,.T.); #126069=ORIENTED_EDGE('',*,*,#89925,.T.); #126070=ORIENTED_EDGE('',*,*,#89922,.T.); #126071=ORIENTED_EDGE('',*,*,#89919,.T.); #126072=ORIENTED_EDGE('',*,*,#89916,.T.); #126073=ORIENTED_EDGE('',*,*,#89913,.T.); #126074=ORIENTED_EDGE('',*,*,#89910,.T.); #126075=ORIENTED_EDGE('',*,*,#89907,.T.); #126076=ORIENTED_EDGE('',*,*,#89904,.T.); #126077=ORIENTED_EDGE('',*,*,#89901,.T.); #126078=ORIENTED_EDGE('',*,*,#89898,.T.); #126079=ORIENTED_EDGE('',*,*,#89895,.T.); #126080=ORIENTED_EDGE('',*,*,#89892,.T.); #126081=ORIENTED_EDGE('',*,*,#89889,.T.); #126082=ORIENTED_EDGE('',*,*,#89886,.T.); #126083=ORIENTED_EDGE('',*,*,#89883,.T.); #126084=ORIENTED_EDGE('',*,*,#89880,.T.); #126085=ORIENTED_EDGE('',*,*,#89877,.T.); #126086=ORIENTED_EDGE('',*,*,#89874,.T.); #126087=ORIENTED_EDGE('',*,*,#89871,.T.); #126088=ORIENTED_EDGE('',*,*,#89868,.T.); #126089=ORIENTED_EDGE('',*,*,#89865,.T.); #126090=ORIENTED_EDGE('',*,*,#89862,.T.); #126091=ORIENTED_EDGE('',*,*,#89859,.T.); #126092=ORIENTED_EDGE('',*,*,#89856,.T.); #126093=ORIENTED_EDGE('',*,*,#89853,.T.); #126094=ORIENTED_EDGE('',*,*,#89850,.T.); #126095=ORIENTED_EDGE('',*,*,#89847,.T.); #126096=ORIENTED_EDGE('',*,*,#89844,.T.); #126097=ORIENTED_EDGE('',*,*,#89841,.T.); #126098=ORIENTED_EDGE('',*,*,#89838,.T.); #126099=ORIENTED_EDGE('',*,*,#89835,.T.); #126100=ORIENTED_EDGE('',*,*,#89832,.T.); #126101=ORIENTED_EDGE('',*,*,#89829,.T.); #126102=ORIENTED_EDGE('',*,*,#89826,.T.); #126103=ORIENTED_EDGE('',*,*,#89823,.T.); #126104=ORIENTED_EDGE('',*,*,#89820,.T.); #126105=ORIENTED_EDGE('',*,*,#89817,.T.); #126106=ORIENTED_EDGE('',*,*,#89814,.T.); #126107=ORIENTED_EDGE('',*,*,#89811,.T.); #126108=ORIENTED_EDGE('',*,*,#89808,.T.); #126109=ORIENTED_EDGE('',*,*,#89805,.T.); #126110=ORIENTED_EDGE('',*,*,#89802,.T.); #126111=ORIENTED_EDGE('',*,*,#89799,.T.); #126112=ORIENTED_EDGE('',*,*,#89796,.T.); #126113=ORIENTED_EDGE('',*,*,#89793,.T.); #126114=ORIENTED_EDGE('',*,*,#89790,.T.); #126115=ORIENTED_EDGE('',*,*,#89787,.T.); #126116=ORIENTED_EDGE('',*,*,#89784,.T.); #126117=ORIENTED_EDGE('',*,*,#89781,.T.); #126118=ORIENTED_EDGE('',*,*,#89778,.T.); #126119=ORIENTED_EDGE('',*,*,#89775,.T.); #126120=ORIENTED_EDGE('',*,*,#89772,.T.); #126121=ORIENTED_EDGE('',*,*,#89769,.T.); #126122=ORIENTED_EDGE('',*,*,#89766,.T.); #126123=ORIENTED_EDGE('',*,*,#89763,.T.); #126124=ORIENTED_EDGE('',*,*,#89760,.T.); #126125=ORIENTED_EDGE('',*,*,#89757,.T.); #126126=ORIENTED_EDGE('',*,*,#89754,.T.); #126127=ORIENTED_EDGE('',*,*,#89751,.T.); #126128=ORIENTED_EDGE('',*,*,#89748,.T.); #126129=ORIENTED_EDGE('',*,*,#89745,.T.); #126130=ORIENTED_EDGE('',*,*,#89742,.T.); #126131=ORIENTED_EDGE('',*,*,#89739,.T.); #126132=ORIENTED_EDGE('',*,*,#89736,.T.); #126133=ORIENTED_EDGE('',*,*,#89733,.T.); #126134=ORIENTED_EDGE('',*,*,#89730,.T.); #126135=ORIENTED_EDGE('',*,*,#89727,.T.); #126136=ORIENTED_EDGE('',*,*,#89724,.T.); #126137=ORIENTED_EDGE('',*,*,#89721,.T.); #126138=ORIENTED_EDGE('',*,*,#89718,.T.); #126139=ORIENTED_EDGE('',*,*,#89715,.T.); #126140=ORIENTED_EDGE('',*,*,#89712,.T.); #126141=ORIENTED_EDGE('',*,*,#89709,.T.); #126142=ORIENTED_EDGE('',*,*,#89706,.T.); #126143=ORIENTED_EDGE('',*,*,#89703,.T.); #126144=ORIENTED_EDGE('',*,*,#89700,.T.); #126145=ORIENTED_EDGE('',*,*,#89697,.T.); #126146=ORIENTED_EDGE('',*,*,#89694,.T.); #126147=ORIENTED_EDGE('',*,*,#89691,.T.); #126148=ORIENTED_EDGE('',*,*,#89688,.T.); #126149=ORIENTED_EDGE('',*,*,#89685,.T.); #126150=ORIENTED_EDGE('',*,*,#89682,.T.); #126151=ORIENTED_EDGE('',*,*,#89679,.T.); #126152=ORIENTED_EDGE('',*,*,#89676,.T.); #126153=ORIENTED_EDGE('',*,*,#89673,.T.); #126154=ORIENTED_EDGE('',*,*,#89670,.T.); #126155=ORIENTED_EDGE('',*,*,#89667,.T.); #126156=ORIENTED_EDGE('',*,*,#89664,.T.); #126157=ORIENTED_EDGE('',*,*,#89661,.T.); #126158=ORIENTED_EDGE('',*,*,#89658,.T.); #126159=ORIENTED_EDGE('',*,*,#89655,.T.); #126160=ORIENTED_EDGE('',*,*,#89652,.T.); #126161=ORIENTED_EDGE('',*,*,#89649,.T.); #126162=ORIENTED_EDGE('',*,*,#89646,.T.); #126163=ORIENTED_EDGE('',*,*,#89643,.T.); #126164=ORIENTED_EDGE('',*,*,#89640,.T.); #126165=ORIENTED_EDGE('',*,*,#89637,.T.); #126166=ORIENTED_EDGE('',*,*,#89634,.T.); #126167=ORIENTED_EDGE('',*,*,#89631,.T.); #126168=ORIENTED_EDGE('',*,*,#89628,.T.); #126169=ORIENTED_EDGE('',*,*,#89625,.T.); #126170=ORIENTED_EDGE('',*,*,#89622,.T.); #126171=ORIENTED_EDGE('',*,*,#89619,.T.); #126172=ORIENTED_EDGE('',*,*,#89616,.T.); #126173=ORIENTED_EDGE('',*,*,#89613,.T.); #126174=ORIENTED_EDGE('',*,*,#89610,.T.); #126175=ORIENTED_EDGE('',*,*,#90176,.T.); #126176=ORIENTED_EDGE('',*,*,#90204,.T.); #126177=ORIENTED_EDGE('',*,*,#90201,.T.); #126178=ORIENTED_EDGE('',*,*,#90198,.T.); #126179=ORIENTED_EDGE('',*,*,#90195,.T.); #126180=ORIENTED_EDGE('',*,*,#90192,.T.); #126181=ORIENTED_EDGE('',*,*,#90189,.T.); #126182=ORIENTED_EDGE('',*,*,#90186,.T.); #126183=ORIENTED_EDGE('',*,*,#90183,.T.); #126184=ORIENTED_EDGE('',*,*,#90180,.T.); #126185=ORIENTED_EDGE('',*,*,#90206,.T.); #126186=ORIENTED_EDGE('',*,*,#90336,.T.); #126187=ORIENTED_EDGE('',*,*,#90333,.T.); #126188=ORIENTED_EDGE('',*,*,#90330,.T.); #126189=ORIENTED_EDGE('',*,*,#90327,.T.); #126190=ORIENTED_EDGE('',*,*,#90324,.T.); #126191=ORIENTED_EDGE('',*,*,#90321,.T.); #126192=ORIENTED_EDGE('',*,*,#90318,.T.); #126193=ORIENTED_EDGE('',*,*,#90315,.T.); #126194=ORIENTED_EDGE('',*,*,#90312,.T.); #126195=ORIENTED_EDGE('',*,*,#90309,.T.); #126196=ORIENTED_EDGE('',*,*,#90306,.T.); #126197=ORIENTED_EDGE('',*,*,#90303,.T.); #126198=ORIENTED_EDGE('',*,*,#90300,.T.); #126199=ORIENTED_EDGE('',*,*,#90297,.T.); #126200=ORIENTED_EDGE('',*,*,#90294,.T.); #126201=ORIENTED_EDGE('',*,*,#90291,.T.); #126202=ORIENTED_EDGE('',*,*,#90288,.T.); #126203=ORIENTED_EDGE('',*,*,#90285,.T.); #126204=ORIENTED_EDGE('',*,*,#90282,.T.); #126205=ORIENTED_EDGE('',*,*,#90279,.T.); #126206=ORIENTED_EDGE('',*,*,#90276,.T.); #126207=ORIENTED_EDGE('',*,*,#90273,.T.); #126208=ORIENTED_EDGE('',*,*,#90270,.T.); #126209=ORIENTED_EDGE('',*,*,#90267,.T.); #126210=ORIENTED_EDGE('',*,*,#90264,.T.); #126211=ORIENTED_EDGE('',*,*,#90261,.T.); #126212=ORIENTED_EDGE('',*,*,#90258,.T.); #126213=ORIENTED_EDGE('',*,*,#90255,.T.); #126214=ORIENTED_EDGE('',*,*,#90252,.T.); #126215=ORIENTED_EDGE('',*,*,#90249,.T.); #126216=ORIENTED_EDGE('',*,*,#90246,.T.); #126217=ORIENTED_EDGE('',*,*,#90243,.T.); #126218=ORIENTED_EDGE('',*,*,#90240,.T.); #126219=ORIENTED_EDGE('',*,*,#90237,.T.); #126220=ORIENTED_EDGE('',*,*,#90234,.T.); #126221=ORIENTED_EDGE('',*,*,#90231,.T.); #126222=ORIENTED_EDGE('',*,*,#90228,.T.); #126223=ORIENTED_EDGE('',*,*,#90225,.T.); #126224=ORIENTED_EDGE('',*,*,#90222,.T.); #126225=ORIENTED_EDGE('',*,*,#90219,.T.); #126226=ORIENTED_EDGE('',*,*,#90216,.T.); #126227=ORIENTED_EDGE('',*,*,#90213,.T.); #126228=ORIENTED_EDGE('',*,*,#90210,.T.); #126229=ORIENTED_EDGE('',*,*,#90338,.T.); #126230=ORIENTED_EDGE('',*,*,#90684,.T.); #126231=ORIENTED_EDGE('',*,*,#90681,.T.); #126232=ORIENTED_EDGE('',*,*,#90678,.T.); #126233=ORIENTED_EDGE('',*,*,#90675,.T.); #126234=ORIENTED_EDGE('',*,*,#90672,.T.); #126235=ORIENTED_EDGE('',*,*,#90669,.T.); #126236=ORIENTED_EDGE('',*,*,#90666,.T.); #126237=ORIENTED_EDGE('',*,*,#90663,.T.); #126238=ORIENTED_EDGE('',*,*,#90660,.T.); #126239=ORIENTED_EDGE('',*,*,#90657,.T.); #126240=ORIENTED_EDGE('',*,*,#90654,.T.); #126241=ORIENTED_EDGE('',*,*,#90651,.T.); #126242=ORIENTED_EDGE('',*,*,#90648,.T.); #126243=ORIENTED_EDGE('',*,*,#90645,.T.); #126244=ORIENTED_EDGE('',*,*,#90642,.T.); #126245=ORIENTED_EDGE('',*,*,#90639,.T.); #126246=ORIENTED_EDGE('',*,*,#90636,.T.); #126247=ORIENTED_EDGE('',*,*,#90633,.T.); #126248=ORIENTED_EDGE('',*,*,#90630,.T.); #126249=ORIENTED_EDGE('',*,*,#90627,.T.); #126250=ORIENTED_EDGE('',*,*,#90624,.T.); #126251=ORIENTED_EDGE('',*,*,#90621,.T.); #126252=ORIENTED_EDGE('',*,*,#90618,.T.); #126253=ORIENTED_EDGE('',*,*,#90615,.T.); #126254=ORIENTED_EDGE('',*,*,#90612,.T.); #126255=ORIENTED_EDGE('',*,*,#90609,.T.); #126256=ORIENTED_EDGE('',*,*,#90606,.T.); #126257=ORIENTED_EDGE('',*,*,#90603,.T.); #126258=ORIENTED_EDGE('',*,*,#90600,.T.); #126259=ORIENTED_EDGE('',*,*,#90597,.T.); #126260=ORIENTED_EDGE('',*,*,#90594,.T.); #126261=ORIENTED_EDGE('',*,*,#90591,.T.); #126262=ORIENTED_EDGE('',*,*,#90588,.T.); #126263=ORIENTED_EDGE('',*,*,#90585,.T.); #126264=ORIENTED_EDGE('',*,*,#90582,.T.); #126265=ORIENTED_EDGE('',*,*,#90579,.T.); #126266=ORIENTED_EDGE('',*,*,#90576,.T.); #126267=ORIENTED_EDGE('',*,*,#90573,.T.); #126268=ORIENTED_EDGE('',*,*,#90570,.T.); #126269=ORIENTED_EDGE('',*,*,#90567,.T.); #126270=ORIENTED_EDGE('',*,*,#90564,.T.); #126271=ORIENTED_EDGE('',*,*,#90561,.T.); #126272=ORIENTED_EDGE('',*,*,#90558,.T.); #126273=ORIENTED_EDGE('',*,*,#90555,.T.); #126274=ORIENTED_EDGE('',*,*,#90552,.T.); #126275=ORIENTED_EDGE('',*,*,#90549,.T.); #126276=ORIENTED_EDGE('',*,*,#90546,.T.); #126277=ORIENTED_EDGE('',*,*,#90543,.T.); #126278=ORIENTED_EDGE('',*,*,#90540,.T.); #126279=ORIENTED_EDGE('',*,*,#90537,.T.); #126280=ORIENTED_EDGE('',*,*,#90534,.T.); #126281=ORIENTED_EDGE('',*,*,#90531,.T.); #126282=ORIENTED_EDGE('',*,*,#90528,.T.); #126283=ORIENTED_EDGE('',*,*,#90525,.T.); #126284=ORIENTED_EDGE('',*,*,#90522,.T.); #126285=ORIENTED_EDGE('',*,*,#90519,.T.); #126286=ORIENTED_EDGE('',*,*,#90516,.T.); #126287=ORIENTED_EDGE('',*,*,#90513,.T.); #126288=ORIENTED_EDGE('',*,*,#90510,.T.); #126289=ORIENTED_EDGE('',*,*,#90507,.T.); #126290=ORIENTED_EDGE('',*,*,#90504,.T.); #126291=ORIENTED_EDGE('',*,*,#90501,.T.); #126292=ORIENTED_EDGE('',*,*,#90498,.T.); #126293=ORIENTED_EDGE('',*,*,#90495,.T.); #126294=ORIENTED_EDGE('',*,*,#90492,.T.); #126295=ORIENTED_EDGE('',*,*,#90489,.T.); #126296=ORIENTED_EDGE('',*,*,#90486,.T.); #126297=ORIENTED_EDGE('',*,*,#90483,.T.); #126298=ORIENTED_EDGE('',*,*,#90480,.T.); #126299=ORIENTED_EDGE('',*,*,#90477,.T.); #126300=ORIENTED_EDGE('',*,*,#90474,.T.); #126301=ORIENTED_EDGE('',*,*,#90471,.T.); #126302=ORIENTED_EDGE('',*,*,#90468,.T.); #126303=ORIENTED_EDGE('',*,*,#90465,.T.); #126304=ORIENTED_EDGE('',*,*,#90462,.T.); #126305=ORIENTED_EDGE('',*,*,#90459,.T.); #126306=ORIENTED_EDGE('',*,*,#90456,.T.); #126307=ORIENTED_EDGE('',*,*,#90453,.T.); #126308=ORIENTED_EDGE('',*,*,#90450,.T.); #126309=ORIENTED_EDGE('',*,*,#90447,.T.); #126310=ORIENTED_EDGE('',*,*,#90444,.T.); #126311=ORIENTED_EDGE('',*,*,#90441,.T.); #126312=ORIENTED_EDGE('',*,*,#90438,.T.); #126313=ORIENTED_EDGE('',*,*,#90435,.T.); #126314=ORIENTED_EDGE('',*,*,#90432,.T.); #126315=ORIENTED_EDGE('',*,*,#90429,.T.); #126316=ORIENTED_EDGE('',*,*,#90426,.T.); #126317=ORIENTED_EDGE('',*,*,#90423,.T.); #126318=ORIENTED_EDGE('',*,*,#90420,.T.); #126319=ORIENTED_EDGE('',*,*,#90417,.T.); #126320=ORIENTED_EDGE('',*,*,#90414,.T.); #126321=ORIENTED_EDGE('',*,*,#90411,.T.); #126322=ORIENTED_EDGE('',*,*,#90408,.T.); #126323=ORIENTED_EDGE('',*,*,#90405,.T.); #126324=ORIENTED_EDGE('',*,*,#90402,.T.); #126325=ORIENTED_EDGE('',*,*,#90399,.T.); #126326=ORIENTED_EDGE('',*,*,#90396,.T.); #126327=ORIENTED_EDGE('',*,*,#90393,.T.); #126328=ORIENTED_EDGE('',*,*,#90390,.T.); #126329=ORIENTED_EDGE('',*,*,#90387,.T.); #126330=ORIENTED_EDGE('',*,*,#90384,.T.); #126331=ORIENTED_EDGE('',*,*,#90381,.T.); #126332=ORIENTED_EDGE('',*,*,#90378,.T.); #126333=ORIENTED_EDGE('',*,*,#90375,.T.); #126334=ORIENTED_EDGE('',*,*,#90372,.T.); #126335=ORIENTED_EDGE('',*,*,#90369,.T.); #126336=ORIENTED_EDGE('',*,*,#90366,.T.); #126337=ORIENTED_EDGE('',*,*,#90363,.T.); #126338=ORIENTED_EDGE('',*,*,#90360,.T.); #126339=ORIENTED_EDGE('',*,*,#90357,.T.); #126340=ORIENTED_EDGE('',*,*,#90354,.T.); #126341=ORIENTED_EDGE('',*,*,#90351,.T.); #126342=ORIENTED_EDGE('',*,*,#90348,.T.); #126343=ORIENTED_EDGE('',*,*,#90345,.T.); #126344=ORIENTED_EDGE('',*,*,#90342,.T.); #126345=ORIENTED_EDGE('',*,*,#90734,.F.); #126346=ORIENTED_EDGE('',*,*,#90735,.F.); #126347=ORIENTED_EDGE('',*,*,#90736,.F.); #126348=ORIENTED_EDGE('',*,*,#90737,.F.); #126349=ORIENTED_EDGE('',*,*,#90143,.T.); #126350=ORIENTED_EDGE('',*,*,#90174,.T.); #126351=ORIENTED_EDGE('',*,*,#90171,.T.); #126352=ORIENTED_EDGE('',*,*,#90168,.T.); #126353=ORIENTED_EDGE('',*,*,#90165,.T.); #126354=ORIENTED_EDGE('',*,*,#90162,.T.); #126355=ORIENTED_EDGE('',*,*,#90159,.T.); #126356=ORIENTED_EDGE('',*,*,#90156,.T.); #126357=ORIENTED_EDGE('',*,*,#90153,.T.); #126358=ORIENTED_EDGE('',*,*,#90150,.T.); #126359=ORIENTED_EDGE('',*,*,#90147,.T.); #126360=ORIENTED_EDGE('',*,*,#89387,.T.); #126361=ORIENTED_EDGE('',*,*,#89406,.T.); #126362=ORIENTED_EDGE('',*,*,#89403,.T.); #126363=ORIENTED_EDGE('',*,*,#89400,.T.); #126364=ORIENTED_EDGE('',*,*,#89397,.T.); #126365=ORIENTED_EDGE('',*,*,#89394,.T.); #126366=ORIENTED_EDGE('',*,*,#89391,.T.); #126367=ORIENTED_EDGE('',*,*,#89363,.T.); #126368=ORIENTED_EDGE('',*,*,#89385,.T.); #126369=ORIENTED_EDGE('',*,*,#89382,.T.); #126370=ORIENTED_EDGE('',*,*,#89379,.T.); #126371=ORIENTED_EDGE('',*,*,#89376,.T.); #126372=ORIENTED_EDGE('',*,*,#89373,.T.); #126373=ORIENTED_EDGE('',*,*,#89370,.T.); #126374=ORIENTED_EDGE('',*,*,#89367,.T.); #126375=ORIENTED_EDGE('',*,*,#88475,.T.); #126376=ORIENTED_EDGE('',*,*,#88488,.T.); #126377=ORIENTED_EDGE('',*,*,#88485,.T.); #126378=ORIENTED_EDGE('',*,*,#88482,.T.); #126379=ORIENTED_EDGE('',*,*,#88479,.T.); #126380=ORIENTED_EDGE('',*,*,#88163,.T.); #126381=ORIENTED_EDGE('',*,*,#88209,.T.); #126382=ORIENTED_EDGE('',*,*,#88206,.T.); #126383=ORIENTED_EDGE('',*,*,#88203,.T.); #126384=ORIENTED_EDGE('',*,*,#88200,.T.); #126385=ORIENTED_EDGE('',*,*,#88197,.T.); #126386=ORIENTED_EDGE('',*,*,#88194,.T.); #126387=ORIENTED_EDGE('',*,*,#88191,.T.); #126388=ORIENTED_EDGE('',*,*,#88188,.T.); #126389=ORIENTED_EDGE('',*,*,#88185,.T.); #126390=ORIENTED_EDGE('',*,*,#88182,.T.); #126391=ORIENTED_EDGE('',*,*,#88179,.T.); #126392=ORIENTED_EDGE('',*,*,#88176,.T.); #126393=ORIENTED_EDGE('',*,*,#88173,.T.); #126394=ORIENTED_EDGE('',*,*,#88170,.T.); #126395=ORIENTED_EDGE('',*,*,#88167,.T.); #126396=ORIENTED_EDGE('',*,*,#87794,.T.); #126397=ORIENTED_EDGE('',*,*,#87822,.T.); #126398=ORIENTED_EDGE('',*,*,#87819,.T.); #126399=ORIENTED_EDGE('',*,*,#87816,.T.); #126400=ORIENTED_EDGE('',*,*,#87813,.T.); #126401=ORIENTED_EDGE('',*,*,#87810,.T.); #126402=ORIENTED_EDGE('',*,*,#87807,.T.); #126403=ORIENTED_EDGE('',*,*,#87804,.T.); #126404=ORIENTED_EDGE('',*,*,#87801,.T.); #126405=ORIENTED_EDGE('',*,*,#87798,.T.); #126406=ORIENTED_EDGE('',*,*,#87266,.T.); #126407=ORIENTED_EDGE('',*,*,#87288,.T.); #126408=ORIENTED_EDGE('',*,*,#87285,.T.); #126409=ORIENTED_EDGE('',*,*,#87282,.T.); #126410=ORIENTED_EDGE('',*,*,#87279,.T.); #126411=ORIENTED_EDGE('',*,*,#87276,.T.); #126412=ORIENTED_EDGE('',*,*,#87273,.T.); #126413=ORIENTED_EDGE('',*,*,#87270,.T.); #126414=ORIENTED_EDGE('',*,*,#87245,.T.); #126415=ORIENTED_EDGE('',*,*,#87264,.T.); #126416=ORIENTED_EDGE('',*,*,#87261,.T.); #126417=ORIENTED_EDGE('',*,*,#87258,.T.); #126418=ORIENTED_EDGE('',*,*,#87255,.T.); #126419=ORIENTED_EDGE('',*,*,#87252,.T.); #126420=ORIENTED_EDGE('',*,*,#87249,.T.); #126421=ORIENTED_EDGE('',*,*,#86798,.T.); #126422=ORIENTED_EDGE('',*,*,#86832,.T.); #126423=ORIENTED_EDGE('',*,*,#86829,.T.); #126424=ORIENTED_EDGE('',*,*,#86826,.T.); #126425=ORIENTED_EDGE('',*,*,#86823,.T.); #126426=ORIENTED_EDGE('',*,*,#86820,.T.); #126427=ORIENTED_EDGE('',*,*,#86817,.T.); #126428=ORIENTED_EDGE('',*,*,#86814,.T.); #126429=ORIENTED_EDGE('',*,*,#86811,.T.); #126430=ORIENTED_EDGE('',*,*,#86808,.T.); #126431=ORIENTED_EDGE('',*,*,#86805,.T.); #126432=ORIENTED_EDGE('',*,*,#86802,.T.); #126433=ORIENTED_EDGE('',*,*,#86234,.T.); #126434=ORIENTED_EDGE('',*,*,#86247,.T.); #126435=ORIENTED_EDGE('',*,*,#86244,.T.); #126436=ORIENTED_EDGE('',*,*,#86241,.T.); #126437=ORIENTED_EDGE('',*,*,#86238,.T.); #126438=ORIENTED_EDGE('',*,*,#85799,.T.); #126439=ORIENTED_EDGE('',*,*,#85866,.T.); #126440=ORIENTED_EDGE('',*,*,#85863,.T.); #126441=ORIENTED_EDGE('',*,*,#85860,.T.); #126442=ORIENTED_EDGE('',*,*,#85857,.T.); #126443=ORIENTED_EDGE('',*,*,#85854,.T.); #126444=ORIENTED_EDGE('',*,*,#85851,.T.); #126445=ORIENTED_EDGE('',*,*,#85848,.T.); #126446=ORIENTED_EDGE('',*,*,#85845,.T.); #126447=ORIENTED_EDGE('',*,*,#85842,.T.); #126448=ORIENTED_EDGE('',*,*,#85839,.T.); #126449=ORIENTED_EDGE('',*,*,#85836,.T.); #126450=ORIENTED_EDGE('',*,*,#85833,.T.); #126451=ORIENTED_EDGE('',*,*,#85830,.T.); #126452=ORIENTED_EDGE('',*,*,#85827,.T.); #126453=ORIENTED_EDGE('',*,*,#85824,.T.); #126454=ORIENTED_EDGE('',*,*,#85821,.T.); #126455=ORIENTED_EDGE('',*,*,#85818,.T.); #126456=ORIENTED_EDGE('',*,*,#85815,.T.); #126457=ORIENTED_EDGE('',*,*,#85812,.T.); #126458=ORIENTED_EDGE('',*,*,#85809,.T.); #126459=ORIENTED_EDGE('',*,*,#85806,.T.); #126460=ORIENTED_EDGE('',*,*,#85803,.T.); #126461=ORIENTED_EDGE('',*,*,#84821,.T.); #126462=ORIENTED_EDGE('',*,*,#84858,.T.); #126463=ORIENTED_EDGE('',*,*,#84855,.T.); #126464=ORIENTED_EDGE('',*,*,#84852,.T.); #126465=ORIENTED_EDGE('',*,*,#84849,.T.); #126466=ORIENTED_EDGE('',*,*,#84846,.T.); #126467=ORIENTED_EDGE('',*,*,#84843,.T.); #126468=ORIENTED_EDGE('',*,*,#84840,.T.); #126469=ORIENTED_EDGE('',*,*,#84837,.T.); #126470=ORIENTED_EDGE('',*,*,#84834,.T.); #126471=ORIENTED_EDGE('',*,*,#84831,.T.); #126472=ORIENTED_EDGE('',*,*,#84828,.T.); #126473=ORIENTED_EDGE('',*,*,#84825,.T.); #126474=ORIENTED_EDGE('',*,*,#84695,.T.); #126475=ORIENTED_EDGE('',*,*,#84714,.T.); #126476=ORIENTED_EDGE('',*,*,#84711,.T.); #126477=ORIENTED_EDGE('',*,*,#84708,.T.); #126478=ORIENTED_EDGE('',*,*,#84705,.T.); #126479=ORIENTED_EDGE('',*,*,#84702,.T.); #126480=ORIENTED_EDGE('',*,*,#84699,.T.); #126481=ORIENTED_EDGE('',*,*,#84146,.T.); #126482=ORIENTED_EDGE('',*,*,#84159,.T.); #126483=ORIENTED_EDGE('',*,*,#84156,.T.); #126484=ORIENTED_EDGE('',*,*,#84153,.T.); #126485=ORIENTED_EDGE('',*,*,#84150,.T.); #126486=ORIENTED_EDGE('',*,*,#84032,.T.); #126487=ORIENTED_EDGE('',*,*,#84057,.T.); #126488=ORIENTED_EDGE('',*,*,#84054,.T.); #126489=ORIENTED_EDGE('',*,*,#84051,.T.); #126490=ORIENTED_EDGE('',*,*,#84048,.T.); #126491=ORIENTED_EDGE('',*,*,#84045,.T.); #126492=ORIENTED_EDGE('',*,*,#84042,.T.); #126493=ORIENTED_EDGE('',*,*,#84039,.T.); #126494=ORIENTED_EDGE('',*,*,#84036,.T.); #126495=ORIENTED_EDGE('',*,*,#83591,.T.); #126496=ORIENTED_EDGE('',*,*,#83613,.T.); #126497=ORIENTED_EDGE('',*,*,#83610,.T.); #126498=ORIENTED_EDGE('',*,*,#83607,.T.); #126499=ORIENTED_EDGE('',*,*,#83604,.T.); #126500=ORIENTED_EDGE('',*,*,#83601,.T.); #126501=ORIENTED_EDGE('',*,*,#83598,.T.); #126502=ORIENTED_EDGE('',*,*,#83595,.T.); #126503=ORIENTED_EDGE('',*,*,#83294,.T.); #126504=ORIENTED_EDGE('',*,*,#83310,.T.); #126505=ORIENTED_EDGE('',*,*,#83307,.T.); #126506=ORIENTED_EDGE('',*,*,#83304,.T.); #126507=ORIENTED_EDGE('',*,*,#83301,.T.); #126508=ORIENTED_EDGE('',*,*,#83298,.T.); #126509=ORIENTED_EDGE('',*,*,#80441,.T.); #126510=ORIENTED_EDGE('',*,*,#80472,.T.); #126511=ORIENTED_EDGE('',*,*,#80469,.T.); #126512=ORIENTED_EDGE('',*,*,#80466,.T.); #126513=ORIENTED_EDGE('',*,*,#80463,.T.); #126514=ORIENTED_EDGE('',*,*,#80460,.T.); #126515=ORIENTED_EDGE('',*,*,#80457,.T.); #126516=ORIENTED_EDGE('',*,*,#80454,.T.); #126517=ORIENTED_EDGE('',*,*,#80451,.T.); #126518=ORIENTED_EDGE('',*,*,#80448,.T.); #126519=ORIENTED_EDGE('',*,*,#80445,.T.); #126520=ORIENTED_EDGE('',*,*,#80180,.T.); #126521=ORIENTED_EDGE('',*,*,#80205,.T.); #126522=ORIENTED_EDGE('',*,*,#80202,.T.); #126523=ORIENTED_EDGE('',*,*,#80199,.T.); #126524=ORIENTED_EDGE('',*,*,#80196,.T.); #126525=ORIENTED_EDGE('',*,*,#80193,.T.); #126526=ORIENTED_EDGE('',*,*,#80190,.T.); #126527=ORIENTED_EDGE('',*,*,#80187,.T.); #126528=ORIENTED_EDGE('',*,*,#80184,.T.); #126529=ORIENTED_EDGE('',*,*,#80159,.T.); #126530=ORIENTED_EDGE('',*,*,#80178,.T.); #126531=ORIENTED_EDGE('',*,*,#80175,.T.); #126532=ORIENTED_EDGE('',*,*,#80172,.T.); #126533=ORIENTED_EDGE('',*,*,#80169,.T.); #126534=ORIENTED_EDGE('',*,*,#80166,.T.); #126535=ORIENTED_EDGE('',*,*,#80163,.T.); #126536=ORIENTED_EDGE('',*,*,#78212,.T.); #126537=ORIENTED_EDGE('',*,*,#78243,.T.); #126538=ORIENTED_EDGE('',*,*,#78240,.T.); #126539=ORIENTED_EDGE('',*,*,#78237,.T.); #126540=ORIENTED_EDGE('',*,*,#78234,.T.); #126541=ORIENTED_EDGE('',*,*,#78231,.T.); #126542=ORIENTED_EDGE('',*,*,#78228,.T.); #126543=ORIENTED_EDGE('',*,*,#78225,.T.); #126544=ORIENTED_EDGE('',*,*,#78222,.T.); #126545=ORIENTED_EDGE('',*,*,#78219,.T.); #126546=ORIENTED_EDGE('',*,*,#78216,.T.); #126547=ORIENTED_EDGE('',*,*,#90738,.F.); #126548=ORIENTED_EDGE('',*,*,#90739,.T.); #126549=ORIENTED_EDGE('',*,*,#90740,.T.); #126550=ORIENTED_EDGE('',*,*,#90741,.T.); #126551=ORIENTED_EDGE('',*,*,#90739,.F.); #126552=ORIENTED_EDGE('',*,*,#90742,.T.); #126553=ORIENTED_EDGE('',*,*,#90743,.F.); #126554=ORIENTED_EDGE('',*,*,#90744,.F.); #126555=ORIENTED_EDGE('',*,*,#90745,.F.); #126556=ORIENTED_EDGE('',*,*,#90746,.T.); #126557=ORIENTED_EDGE('',*,*,#90747,.T.); #126558=ORIENTED_EDGE('',*,*,#90748,.T.); #126559=ORIENTED_EDGE('',*,*,#90738,.T.); #126560=ORIENTED_EDGE('',*,*,#90749,.F.); #126561=ORIENTED_EDGE('',*,*,#90745,.T.); #126562=ORIENTED_EDGE('',*,*,#90750,.F.); #126563=ORIENTED_EDGE('',*,*,#90751,.F.); #126564=ORIENTED_EDGE('',*,*,#90752,.F.); #126565=ORIENTED_EDGE('',*,*,#90753,.F.); #126566=ORIENTED_EDGE('',*,*,#90754,.T.); #126567=ORIENTED_EDGE('',*,*,#90755,.F.); #126568=ORIENTED_EDGE('',*,*,#90756,.F.); #126569=ORIENTED_EDGE('',*,*,#90757,.F.); #126570=ORIENTED_EDGE('',*,*,#90742,.F.); #126571=ORIENTED_EDGE('',*,*,#90758,.F.); #126572=ORIENTED_EDGE('',*,*,#90759,.F.); #126573=ORIENTED_EDGE('',*,*,#90760,.F.); #126574=ORIENTED_EDGE('',*,*,#90748,.F.); #126575=ORIENTED_EDGE('',*,*,#90761,.F.); #126576=ORIENTED_EDGE('',*,*,#90762,.T.); #126577=ORIENTED_EDGE('',*,*,#90750,.T.); #126578=ORIENTED_EDGE('',*,*,#90763,.F.); #126579=ORIENTED_EDGE('',*,*,#90764,.F.); #126580=ORIENTED_EDGE('',*,*,#90765,.F.); #126581=ORIENTED_EDGE('',*,*,#90766,.F.); #126582=ORIENTED_EDGE('',*,*,#90765,.T.); #126583=ORIENTED_EDGE('',*,*,#90767,.F.); #126584=ORIENTED_EDGE('',*,*,#90768,.F.); #126585=ORIENTED_EDGE('',*,*,#90687,.T.); #126586=ORIENTED_EDGE('',*,*,#90769,.F.); #126587=ORIENTED_EDGE('',*,*,#90770,.F.); #126588=ORIENTED_EDGE('',*,*,#90771,.F.); #126589=ORIENTED_EDGE('',*,*,#90772,.T.); #126590=ORIENTED_EDGE('',*,*,#90773,.T.); #126591=ORIENTED_EDGE('',*,*,#90774,.T.); #126592=ORIENTED_EDGE('',*,*,#90771,.T.); #126593=ORIENTED_EDGE('',*,*,#90775,.F.); #126594=ORIENTED_EDGE('',*,*,#90776,.T.); #126595=ORIENTED_EDGE('',*,*,#90777,.F.); #126596=ORIENTED_EDGE('',*,*,#90778,.T.); #126597=ORIENTED_EDGE('',*,*,#90779,.F.); #126598=ORIENTED_EDGE('',*,*,#90780,.T.); #126599=ORIENTED_EDGE('',*,*,#90781,.F.); #126600=ORIENTED_EDGE('',*,*,#90774,.F.); #126601=ORIENTED_EDGE('',*,*,#90782,.F.); #126602=ORIENTED_EDGE('',*,*,#90783,.F.); #126603=ORIENTED_EDGE('',*,*,#90775,.T.); #126604=ORIENTED_EDGE('',*,*,#90776,.F.); #126605=ORIENTED_EDGE('',*,*,#90783,.T.); #126606=ORIENTED_EDGE('',*,*,#90784,.T.); #126607=ORIENTED_EDGE('',*,*,#90785,.F.); #126608=ORIENTED_EDGE('',*,*,#90786,.T.); #126609=ORIENTED_EDGE('',*,*,#90787,.T.); #126610=ORIENTED_EDGE('',*,*,#90778,.F.); #126611=ORIENTED_EDGE('',*,*,#90788,.T.); #126612=ORIENTED_EDGE('',*,*,#90789,.T.); #126613=ORIENTED_EDGE('',*,*,#90790,.T.); #126614=ORIENTED_EDGE('',*,*,#90790,.F.); #126615=ORIENTED_EDGE('',*,*,#90791,.F.); #126616=ORIENTED_EDGE('',*,*,#90792,.F.); #126617=ORIENTED_EDGE('',*,*,#90779,.T.); #126618=ORIENTED_EDGE('',*,*,#90780,.F.); #126619=ORIENTED_EDGE('',*,*,#90792,.T.); #126620=ORIENTED_EDGE('',*,*,#90793,.F.); #126621=ORIENTED_EDGE('',*,*,#90794,.T.); #126622=ORIENTED_EDGE('',*,*,#90795,.F.); #126623=ORIENTED_EDGE('',*,*,#90796,.T.); #126624=ORIENTED_EDGE('',*,*,#90763,.T.); #126625=ORIENTED_EDGE('',*,*,#90797,.F.); #126626=ORIENTED_EDGE('',*,*,#90772,.F.); #126627=ORIENTED_EDGE('',*,*,#90781,.T.); #126628=ORIENTED_EDGE('',*,*,#90796,.F.); #126629=ORIENTED_EDGE('',*,*,#90798,.T.); #126630=ORIENTED_EDGE('',*,*,#90799,.F.); #126631=ORIENTED_EDGE('',*,*,#90800,.F.); #126632=ORIENTED_EDGE('',*,*,#90787,.F.); #126633=ORIENTED_EDGE('',*,*,#90801,.F.); #126634=ORIENTED_EDGE('',*,*,#90802,.F.); #126635=ORIENTED_EDGE('',*,*,#90803,.F.); #126636=ORIENTED_EDGE('',*,*,#90788,.F.); #126637=ORIENTED_EDGE('',*,*,#90777,.T.); #126638=ORIENTED_EDGE('',*,*,#90801,.T.); #126639=ORIENTED_EDGE('',*,*,#90786,.F.); #126640=ORIENTED_EDGE('',*,*,#90804,.F.); #126641=ORIENTED_EDGE('',*,*,#90805,.T.); #126642=ORIENTED_EDGE('',*,*,#90806,.F.); #126643=ORIENTED_EDGE('',*,*,#90807,.F.); #126644=ORIENTED_EDGE('',*,*,#90808,.T.); #126645=ORIENTED_EDGE('',*,*,#90740,.F.); #126646=ORIENTED_EDGE('',*,*,#90744,.T.); #126647=ORIENTED_EDGE('',*,*,#90809,.T.); #126648=ORIENTED_EDGE('',*,*,#90810,.T.); #126649=ORIENTED_EDGE('',*,*,#90811,.T.); #126650=ORIENTED_EDGE('',*,*,#90812,.T.); #126651=ORIENTED_EDGE('',*,*,#90813,.T.); #126652=ORIENTED_EDGE('',*,*,#90814,.T.); #126653=ORIENTED_EDGE('',*,*,#90815,.T.); #126654=ORIENTED_EDGE('',*,*,#90816,.T.); #126655=ORIENTED_EDGE('',*,*,#90817,.T.); #126656=ORIENTED_EDGE('',*,*,#90761,.T.); #126657=ORIENTED_EDGE('',*,*,#90747,.F.); #126658=ORIENTED_EDGE('',*,*,#90818,.T.); #126659=ORIENTED_EDGE('',*,*,#90819,.F.); #126660=ORIENTED_EDGE('',*,*,#90820,.F.); #126661=ORIENTED_EDGE('',*,*,#90821,.T.); #126662=ORIENTED_EDGE('',*,*,#90822,.F.); #126663=ORIENTED_EDGE('',*,*,#90823,.T.); #126664=ORIENTED_EDGE('',*,*,#90824,.F.); #126665=ORIENTED_EDGE('',*,*,#90825,.F.); #126666=ORIENTED_EDGE('',*,*,#90826,.F.); #126667=ORIENTED_EDGE('',*,*,#90827,.F.); #126668=ORIENTED_EDGE('',*,*,#90798,.F.); #126669=ORIENTED_EDGE('',*,*,#90795,.T.); #126670=ORIENTED_EDGE('',*,*,#90828,.T.); #126671=ORIENTED_EDGE('',*,*,#90829,.F.); #126672=ORIENTED_EDGE('',*,*,#90811,.F.); #126673=ORIENTED_EDGE('',*,*,#90794,.F.); #126674=ORIENTED_EDGE('',*,*,#90791,.T.); #126675=ORIENTED_EDGE('',*,*,#90789,.F.); #126676=ORIENTED_EDGE('',*,*,#90803,.T.); #126677=ORIENTED_EDGE('',*,*,#90830,.F.); #126678=ORIENTED_EDGE('',*,*,#90831,.T.); #126679=ORIENTED_EDGE('',*,*,#90832,.F.); #126680=ORIENTED_EDGE('',*,*,#90833,.T.); #126681=ORIENTED_EDGE('',*,*,#90834,.F.); #126682=ORIENTED_EDGE('',*,*,#90835,.T.); #126683=ORIENTED_EDGE('',*,*,#90836,.T.); #126684=ORIENTED_EDGE('',*,*,#90837,.F.); #126685=ORIENTED_EDGE('',*,*,#90838,.T.); #126686=ORIENTED_EDGE('',*,*,#90839,.T.); #126687=ORIENTED_EDGE('',*,*,#90840,.F.); #126688=ORIENTED_EDGE('',*,*,#90841,.F.); #126689=ORIENTED_EDGE('',*,*,#90842,.F.); #126690=ORIENTED_EDGE('',*,*,#90843,.F.); #126691=ORIENTED_EDGE('',*,*,#90844,.F.); #126692=ORIENTED_EDGE('',*,*,#90828,.F.); #126693=ORIENTED_EDGE('',*,*,#90793,.T.); #126694=ORIENTED_EDGE('',*,*,#90845,.F.); #126695=ORIENTED_EDGE('',*,*,#90835,.F.); #126696=ORIENTED_EDGE('',*,*,#90846,.T.); #126697=ORIENTED_EDGE('',*,*,#90847,.T.); #126698=ORIENTED_EDGE('',*,*,#90848,.T.); #126699=ORIENTED_EDGE('',*,*,#90848,.F.); #126700=ORIENTED_EDGE('',*,*,#90849,.F.); #126701=ORIENTED_EDGE('',*,*,#90850,.F.); #126702=ORIENTED_EDGE('',*,*,#90836,.F.); #126703=ORIENTED_EDGE('',*,*,#90851,.T.); #126704=ORIENTED_EDGE('',*,*,#90852,.T.); #126705=ORIENTED_EDGE('',*,*,#90837,.T.); #126706=ORIENTED_EDGE('',*,*,#90850,.T.); #126707=ORIENTED_EDGE('',*,*,#90839,.F.); #126708=ORIENTED_EDGE('',*,*,#90853,.T.); #126709=ORIENTED_EDGE('',*,*,#90854,.T.); #126710=ORIENTED_EDGE('',*,*,#90855,.T.); #126711=ORIENTED_EDGE('',*,*,#90846,.F.); #126712=ORIENTED_EDGE('',*,*,#90834,.T.); #126713=ORIENTED_EDGE('',*,*,#90856,.F.); #126714=ORIENTED_EDGE('',*,*,#90752,.T.); #126715=ORIENTED_EDGE('',*,*,#90857,.F.); #126716=ORIENTED_EDGE('',*,*,#90816,.F.); #126717=ORIENTED_EDGE('',*,*,#90858,.T.); #126718=ORIENTED_EDGE('',*,*,#90840,.T.); #126719=ORIENTED_EDGE('',*,*,#90855,.F.); #126720=ORIENTED_EDGE('',*,*,#90859,.T.); #126721=ORIENTED_EDGE('',*,*,#90852,.F.); #126722=ORIENTED_EDGE('',*,*,#90860,.F.); #126723=ORIENTED_EDGE('',*,*,#90853,.F.); #126724=ORIENTED_EDGE('',*,*,#90838,.F.); #126725=ORIENTED_EDGE('',*,*,#90851,.F.); #126726=ORIENTED_EDGE('',*,*,#90849,.T.); #126727=ORIENTED_EDGE('',*,*,#90847,.F.); #126728=ORIENTED_EDGE('',*,*,#90859,.F.); #126729=ORIENTED_EDGE('',*,*,#90854,.F.); #126730=ORIENTED_EDGE('',*,*,#90860,.T.); #126731=ORIENTED_EDGE('',*,*,#90861,.T.); #126732=ORIENTED_EDGE('',*,*,#90862,.T.); #126733=ORIENTED_EDGE('',*,*,#90863,.T.); #126734=ORIENTED_EDGE('',*,*,#90831,.F.); #126735=ORIENTED_EDGE('',*,*,#90864,.T.); #126736=ORIENTED_EDGE('',*,*,#90755,.T.); #126737=ORIENTED_EDGE('',*,*,#90865,.T.); #126738=ORIENTED_EDGE('',*,*,#90865,.F.); #126739=ORIENTED_EDGE('',*,*,#90754,.F.); #126740=ORIENTED_EDGE('',*,*,#90866,.F.); #126741=ORIENTED_EDGE('',*,*,#90832,.T.); #126742=ORIENTED_EDGE('',*,*,#90833,.F.); #126743=ORIENTED_EDGE('',*,*,#90866,.T.); #126744=ORIENTED_EDGE('',*,*,#90753,.T.); #126745=ORIENTED_EDGE('',*,*,#90856,.T.); #126746=ORIENTED_EDGE('',*,*,#90809,.F.); #126747=ORIENTED_EDGE('',*,*,#90743,.T.); #126748=ORIENTED_EDGE('',*,*,#90757,.T.); #126749=ORIENTED_EDGE('',*,*,#90867,.F.); #126750=ORIENTED_EDGE('',*,*,#90817,.F.); #126751=ORIENTED_EDGE('',*,*,#90857,.T.); #126752=ORIENTED_EDGE('',*,*,#90751,.T.); #126753=ORIENTED_EDGE('',*,*,#90762,.F.); #126754=ORIENTED_EDGE('',*,*,#90815,.F.); #126755=ORIENTED_EDGE('',*,*,#90868,.T.); #126756=ORIENTED_EDGE('',*,*,#90841,.T.); #126757=ORIENTED_EDGE('',*,*,#90858,.F.); #126758=ORIENTED_EDGE('',*,*,#90814,.F.); #126759=ORIENTED_EDGE('',*,*,#90869,.T.); #126760=ORIENTED_EDGE('',*,*,#90842,.T.); #126761=ORIENTED_EDGE('',*,*,#90868,.F.); #126762=ORIENTED_EDGE('',*,*,#90813,.F.); #126763=ORIENTED_EDGE('',*,*,#90870,.T.); #126764=ORIENTED_EDGE('',*,*,#90843,.T.); #126765=ORIENTED_EDGE('',*,*,#90869,.F.); #126766=ORIENTED_EDGE('',*,*,#90812,.F.); #126767=ORIENTED_EDGE('',*,*,#90829,.T.); #126768=ORIENTED_EDGE('',*,*,#90844,.T.); #126769=ORIENTED_EDGE('',*,*,#90870,.F.); #126770=ORIENTED_EDGE('',*,*,#90802,.T.); #126771=ORIENTED_EDGE('',*,*,#90810,.F.); #126772=ORIENTED_EDGE('',*,*,#90867,.T.); #126773=ORIENTED_EDGE('',*,*,#90756,.T.); #126774=ORIENTED_EDGE('',*,*,#90864,.F.); #126775=ORIENTED_EDGE('',*,*,#90830,.T.); #126776=ORIENTED_EDGE('',*,*,#90871,.F.); #126777=ORIENTED_EDGE('',*,*,#90872,.F.); #126778=ORIENTED_EDGE('',*,*,#90804,.T.); #126779=ORIENTED_EDGE('',*,*,#90785,.T.); #126780=ORIENTED_EDGE('',*,*,#90805,.F.); #126781=ORIENTED_EDGE('',*,*,#90872,.T.); #126782=ORIENTED_EDGE('',*,*,#90873,.T.); #126783=ORIENTED_EDGE('',*,*,#90874,.T.); #126784=ORIENTED_EDGE('',*,*,#90874,.F.); #126785=ORIENTED_EDGE('',*,*,#90875,.F.); #126786=ORIENTED_EDGE('',*,*,#90876,.T.); #126787=ORIENTED_EDGE('',*,*,#90806,.T.); #126788=ORIENTED_EDGE('',*,*,#90821,.F.); #126789=ORIENTED_EDGE('',*,*,#90877,.T.); #126790=ORIENTED_EDGE('',*,*,#90878,.T.); #126791=ORIENTED_EDGE('',*,*,#90879,.T.); #126792=ORIENTED_EDGE('',*,*,#90879,.F.); #126793=ORIENTED_EDGE('',*,*,#90880,.F.); #126794=ORIENTED_EDGE('',*,*,#90881,.F.); #126795=ORIENTED_EDGE('',*,*,#90822,.T.); #126796=ORIENTED_EDGE('',*,*,#90823,.F.); #126797=ORIENTED_EDGE('',*,*,#90881,.T.); #126798=ORIENTED_EDGE('',*,*,#90882,.T.); #126799=ORIENTED_EDGE('',*,*,#90883,.T.); #126800=ORIENTED_EDGE('',*,*,#90883,.F.); #126801=ORIENTED_EDGE('',*,*,#90884,.F.); #126802=ORIENTED_EDGE('',*,*,#90885,.T.); #126803=ORIENTED_EDGE('',*,*,#90824,.T.); #126804=ORIENTED_EDGE('',*,*,#90741,.F.); #126805=ORIENTED_EDGE('',*,*,#90808,.F.); #126806=ORIENTED_EDGE('',*,*,#90886,.F.); #126807=ORIENTED_EDGE('',*,*,#90887,.F.); #126808=ORIENTED_EDGE('',*,*,#90888,.T.); #126809=ORIENTED_EDGE('',*,*,#90818,.F.); #126810=ORIENTED_EDGE('',*,*,#90746,.F.); #126811=ORIENTED_EDGE('',*,*,#90749,.T.); #126812=ORIENTED_EDGE('',*,*,#90889,.F.); #126813=ORIENTED_EDGE('',*,*,#90890,.T.); #126814=ORIENTED_EDGE('',*,*,#90819,.T.); #126815=ORIENTED_EDGE('',*,*,#90888,.F.); #126816=ORIENTED_EDGE('',*,*,#90877,.F.); #126817=ORIENTED_EDGE('',*,*,#90820,.T.); #126818=ORIENTED_EDGE('',*,*,#90890,.F.); #126819=ORIENTED_EDGE('',*,*,#90891,.F.); #126820=ORIENTED_EDGE('',*,*,#90892,.F.); #126821=ORIENTED_EDGE('',*,*,#90893,.T.); #126822=ORIENTED_EDGE('',*,*,#90825,.T.); #126823=ORIENTED_EDGE('',*,*,#90885,.F.); #126824=ORIENTED_EDGE('',*,*,#90894,.F.); #126825=ORIENTED_EDGE('',*,*,#90895,.T.); #126826=ORIENTED_EDGE('',*,*,#90826,.T.); #126827=ORIENTED_EDGE('',*,*,#90893,.F.); #126828=ORIENTED_EDGE('',*,*,#90896,.F.); #126829=ORIENTED_EDGE('',*,*,#90799,.T.); #126830=ORIENTED_EDGE('',*,*,#90827,.T.); #126831=ORIENTED_EDGE('',*,*,#90895,.F.); #126832=ORIENTED_EDGE('',*,*,#90897,.F.); #126833=ORIENTED_EDGE('',*,*,#90886,.T.); #126834=ORIENTED_EDGE('',*,*,#90807,.T.); #126835=ORIENTED_EDGE('',*,*,#90876,.F.); #126836=ORIENTED_EDGE('',*,*,#90898,.F.); #126837=ORIENTED_EDGE('',*,*,#90899,.T.); #126838=ORIENTED_EDGE('',*,*,#90900,.T.); #126839=ORIENTED_EDGE('',*,*,#90899,.F.); #126840=ORIENTED_EDGE('',*,*,#90900,.F.); #126841=ORIENTED_EDGE('',*,*,#90901,.F.); #126842=ORIENTED_EDGE('',*,*,#90902,.T.); #126843=ORIENTED_EDGE('',*,*,#90903,.T.); #126844=ORIENTED_EDGE('',*,*,#90902,.F.); #126845=ORIENTED_EDGE('',*,*,#90903,.F.); #126846=ORIENTED_EDGE('',*,*,#90737,.T.); #126847=ORIENTED_EDGE('',*,*,#90904,.T.); #126848=ORIENTED_EDGE('',*,*,#90905,.T.); #126849=ORIENTED_EDGE('',*,*,#90904,.F.); #126850=ORIENTED_EDGE('',*,*,#77855,.T.); #126851=ORIENTED_EDGE('',*,*,#77874,.T.); #126852=ORIENTED_EDGE('',*,*,#77871,.T.); #126853=ORIENTED_EDGE('',*,*,#77868,.T.); #126854=ORIENTED_EDGE('',*,*,#77865,.T.); #126855=ORIENTED_EDGE('',*,*,#77862,.T.); #126856=ORIENTED_EDGE('',*,*,#77859,.T.); #126857=ORIENTED_EDGE('',*,*,#90905,.F.); #126858=ORIENTED_EDGE('',*,*,#90906,.T.); #126859=ORIENTED_EDGE('',*,*,#90861,.F.); #126860=ORIENTED_EDGE('',*,*,#90906,.F.); #126861=ORIENTED_EDGE('',*,*,#90734,.T.); #126862=ORIENTED_EDGE('',*,*,#90907,.T.); #126863=ORIENTED_EDGE('',*,*,#90908,.T.); #126864=ORIENTED_EDGE('',*,*,#90907,.F.); #126865=ORIENTED_EDGE('',*,*,#90908,.F.); #126866=ORIENTED_EDGE('',*,*,#90909,.T.); #126867=ORIENTED_EDGE('',*,*,#90845,.T.); #126868=ORIENTED_EDGE('',*,*,#90909,.F.); #126869=ORIENTED_EDGE('',*,*,#90736,.T.); #126870=ORIENTED_EDGE('',*,*,#90910,.T.); #126871=ORIENTED_EDGE('',*,*,#90911,.T.); #126872=ORIENTED_EDGE('',*,*,#90910,.F.); #126873=ORIENTED_EDGE('',*,*,#90911,.F.); #126874=ORIENTED_EDGE('',*,*,#90912,.T.); #126875=ORIENTED_EDGE('',*,*,#90863,.F.); #126876=ORIENTED_EDGE('',*,*,#90912,.F.); #126877=ORIENTED_EDGE('',*,*,#90735,.T.); #126878=ORIENTED_EDGE('',*,*,#90913,.T.); #126879=ORIENTED_EDGE('',*,*,#90914,.T.); #126880=ORIENTED_EDGE('',*,*,#90913,.F.); #126881=ORIENTED_EDGE('',*,*,#90914,.F.); #126882=ORIENTED_EDGE('',*,*,#90915,.T.); #126883=ORIENTED_EDGE('',*,*,#90862,.F.); #126884=ORIENTED_EDGE('',*,*,#90915,.F.); #126885=ORIENTED_EDGE('',*,*,#90916,.T.); #126886=ORIENTED_EDGE('',*,*,#90917,.T.); #126887=ORIENTED_EDGE('',*,*,#90918,.T.); #126888=ORIENTED_EDGE('',*,*,#90917,.F.); #126889=ORIENTED_EDGE('',*,*,#90919,.T.); #126890=ORIENTED_EDGE('',*,*,#90920,.T.); #126891=ORIENTED_EDGE('',*,*,#90921,.T.); #126892=ORIENTED_EDGE('',*,*,#90922,.T.); #126893=ORIENTED_EDGE('',*,*,#90923,.F.); #126894=ORIENTED_EDGE('',*,*,#90924,.F.); #126895=ORIENTED_EDGE('',*,*,#90916,.F.); #126896=ORIENTED_EDGE('',*,*,#90918,.F.); #126897=ORIENTED_EDGE('',*,*,#90925,.T.); #126898=ORIENTED_EDGE('',*,*,#90760,.T.); #126899=ORIENTED_EDGE('',*,*,#90925,.F.); #126900=ORIENTED_EDGE('',*,*,#90923,.T.); #126901=ORIENTED_EDGE('',*,*,#90926,.T.); #126902=ORIENTED_EDGE('',*,*,#90927,.T.); #126903=ORIENTED_EDGE('',*,*,#90926,.F.); #126904=ORIENTED_EDGE('',*,*,#90927,.F.); #126905=ORIENTED_EDGE('',*,*,#90928,.T.); #126906=ORIENTED_EDGE('',*,*,#90758,.T.); #126907=ORIENTED_EDGE('',*,*,#90928,.F.); #126908=ORIENTED_EDGE('',*,*,#90924,.T.); #126909=ORIENTED_EDGE('',*,*,#90929,.T.); #126910=ORIENTED_EDGE('',*,*,#90930,.T.); #126911=ORIENTED_EDGE('',*,*,#90929,.F.); #126912=ORIENTED_EDGE('',*,*,#90930,.F.); #126913=ORIENTED_EDGE('',*,*,#90931,.T.); #126914=ORIENTED_EDGE('',*,*,#90759,.T.); #126915=ORIENTED_EDGE('',*,*,#90931,.F.); #126916=ORIENTED_EDGE('',*,*,#90686,.T.); #126917=ORIENTED_EDGE('',*,*,#90932,.T.); #126918=ORIENTED_EDGE('',*,*,#90933,.T.); #126919=ORIENTED_EDGE('',*,*,#90769,.T.); #126920=ORIENTED_EDGE('',*,*,#90932,.F.); #126921=ORIENTED_EDGE('',*,*,#90733,.T.); #126922=ORIENTED_EDGE('',*,*,#90934,.T.); #126923=ORIENTED_EDGE('',*,*,#90935,.F.); #126924=ORIENTED_EDGE('',*,*,#90936,.T.); #126925=ORIENTED_EDGE('',*,*,#90937,.T.); #126926=ORIENTED_EDGE('',*,*,#90938,.T.); #126927=ORIENTED_EDGE('',*,*,#90939,.T.); #126928=ORIENTED_EDGE('',*,*,#90939,.F.); #126929=ORIENTED_EDGE('',*,*,#90940,.F.); #126930=ORIENTED_EDGE('',*,*,#90941,.T.); #126931=ORIENTED_EDGE('',*,*,#90712,.F.); #126932=ORIENTED_EDGE('',*,*,#90942,.F.); #126933=ORIENTED_EDGE('',*,*,#90921,.F.); #126934=ORIENTED_EDGE('',*,*,#90943,.F.); #126935=ORIENTED_EDGE('',*,*,#90944,.F.); #126936=ORIENTED_EDGE('',*,*,#90766,.T.); #126937=ORIENTED_EDGE('',*,*,#90770,.T.); #126938=ORIENTED_EDGE('',*,*,#90933,.F.); #126939=ORIENTED_EDGE('',*,*,#90935,.T.); #126940=ORIENTED_EDGE('',*,*,#90945,.T.); #126941=ORIENTED_EDGE('',*,*,#90946,.T.); #126942=ORIENTED_EDGE('',*,*,#90947,.T.); #126943=ORIENTED_EDGE('',*,*,#90948,.T.); #126944=ORIENTED_EDGE('',*,*,#90949,.T.); #126945=ORIENTED_EDGE('',*,*,#90950,.T.); #126946=ORIENTED_EDGE('',*,*,#90951,.T.); #126947=ORIENTED_EDGE('',*,*,#90952,.T.); #126948=ORIENTED_EDGE('',*,*,#90953,.T.); #126949=ORIENTED_EDGE('',*,*,#90954,.T.); #126950=ORIENTED_EDGE('',*,*,#90955,.T.); #126951=ORIENTED_EDGE('',*,*,#90956,.T.); #126952=ORIENTED_EDGE('',*,*,#90957,.T.); #126953=ORIENTED_EDGE('',*,*,#90958,.T.); #126954=ORIENTED_EDGE('',*,*,#90959,.T.); #126955=ORIENTED_EDGE('',*,*,#90960,.T.); #126956=ORIENTED_EDGE('',*,*,#90961,.T.); #126957=ORIENTED_EDGE('',*,*,#90962,.T.); #126958=ORIENTED_EDGE('',*,*,#90963,.T.); #126959=ORIENTED_EDGE('',*,*,#90964,.T.); #126960=ORIENTED_EDGE('',*,*,#90940,.T.); #126961=ORIENTED_EDGE('',*,*,#90938,.F.); #126962=ORIENTED_EDGE('',*,*,#90965,.T.); #126963=ORIENTED_EDGE('',*,*,#90966,.F.); #126964=ORIENTED_EDGE('',*,*,#90967,.T.); #126965=ORIENTED_EDGE('',*,*,#90968,.T.); #126966=ORIENTED_EDGE('',*,*,#90969,.T.); #126967=ORIENTED_EDGE('',*,*,#90970,.T.); #126968=ORIENTED_EDGE('',*,*,#90971,.T.); #126969=ORIENTED_EDGE('',*,*,#90972,.T.); #126970=ORIENTED_EDGE('',*,*,#90973,.T.); #126971=ORIENTED_EDGE('',*,*,#90974,.T.); #126972=ORIENTED_EDGE('',*,*,#90975,.T.); #126973=ORIENTED_EDGE('',*,*,#90976,.T.); #126974=ORIENTED_EDGE('',*,*,#90977,.T.); #126975=ORIENTED_EDGE('',*,*,#90978,.T.); #126976=ORIENTED_EDGE('',*,*,#90979,.T.); #126977=ORIENTED_EDGE('',*,*,#90980,.T.); #126978=ORIENTED_EDGE('',*,*,#90981,.T.); #126979=ORIENTED_EDGE('',*,*,#90982,.T.); #126980=ORIENTED_EDGE('',*,*,#90983,.T.); #126981=ORIENTED_EDGE('',*,*,#90984,.T.); #126982=ORIENTED_EDGE('',*,*,#90985,.T.); #126983=ORIENTED_EDGE('',*,*,#90986,.T.); #126984=ORIENTED_EDGE('',*,*,#90987,.T.); #126985=ORIENTED_EDGE('',*,*,#90988,.T.); #126986=ORIENTED_EDGE('',*,*,#90989,.F.); #126987=ORIENTED_EDGE('',*,*,#90767,.T.); #126988=ORIENTED_EDGE('',*,*,#90764,.T.); #126989=ORIENTED_EDGE('',*,*,#90800,.T.); #126990=ORIENTED_EDGE('',*,*,#90896,.T.); #126991=ORIENTED_EDGE('',*,*,#90894,.T.); #126992=ORIENTED_EDGE('',*,*,#90892,.T.); #126993=ORIENTED_EDGE('',*,*,#90884,.T.); #126994=ORIENTED_EDGE('',*,*,#90882,.F.); #126995=ORIENTED_EDGE('',*,*,#90880,.T.); #126996=ORIENTED_EDGE('',*,*,#90878,.F.); #126997=ORIENTED_EDGE('',*,*,#90891,.T.); #126998=ORIENTED_EDGE('',*,*,#90889,.T.); #126999=ORIENTED_EDGE('',*,*,#90887,.T.); #127000=ORIENTED_EDGE('',*,*,#90897,.T.); #127001=ORIENTED_EDGE('',*,*,#90875,.T.); #127002=ORIENTED_EDGE('',*,*,#90873,.F.); #127003=ORIENTED_EDGE('',*,*,#90871,.T.); #127004=ORIENTED_EDGE('',*,*,#90784,.F.); #127005=ORIENTED_EDGE('',*,*,#90782,.T.); #127006=ORIENTED_EDGE('',*,*,#90773,.F.); #127007=ORIENTED_EDGE('',*,*,#90797,.T.); #127008=ORIENTED_EDGE('',*,*,#90898,.T.); #127009=ORIENTED_EDGE('',*,*,#90901,.T.); #127010=ORIENTED_EDGE('',*,*,#90966,.T.); #127011=ORIENTED_EDGE('',*,*,#90990,.T.); #127012=ORIENTED_EDGE('',*,*,#90991,.T.); #127013=ORIENTED_EDGE('',*,*,#90992,.T.); #127014=ORIENTED_EDGE('',*,*,#90992,.F.); #127015=ORIENTED_EDGE('',*,*,#90993,.F.); #127016=ORIENTED_EDGE('',*,*,#90994,.F.); #127017=ORIENTED_EDGE('',*,*,#90919,.F.); #127018=ORIENTED_EDGE('',*,*,#90995,.F.); #127019=ORIENTED_EDGE('',*,*,#90710,.F.); #127020=ORIENTED_EDGE('',*,*,#90996,.F.); #127021=ORIENTED_EDGE('',*,*,#90967,.F.); #127022=ORIENTED_EDGE('',*,*,#90688,.T.); #127023=ORIENTED_EDGE('',*,*,#90768,.T.); #127024=ORIENTED_EDGE('',*,*,#90989,.T.); #127025=ORIENTED_EDGE('',*,*,#90997,.T.); #127026=ORIENTED_EDGE('',*,*,#90997,.F.); #127027=ORIENTED_EDGE('',*,*,#90988,.F.); #127028=ORIENTED_EDGE('',*,*,#90998,.T.); #127029=ORIENTED_EDGE('',*,*,#90689,.T.); #127030=ORIENTED_EDGE('',*,*,#90709,.F.); #127031=ORIENTED_EDGE('',*,*,#90999,.F.); #127032=ORIENTED_EDGE('',*,*,#90968,.F.); #127033=ORIENTED_EDGE('',*,*,#90996,.T.); #127034=ORIENTED_EDGE('',*,*,#90708,.F.); #127035=ORIENTED_EDGE('',*,*,#91000,.F.); #127036=ORIENTED_EDGE('',*,*,#90969,.F.); #127037=ORIENTED_EDGE('',*,*,#90999,.T.); #127038=ORIENTED_EDGE('',*,*,#90699,.F.); #127039=ORIENTED_EDGE('',*,*,#91001,.F.); #127040=ORIENTED_EDGE('',*,*,#90978,.F.); #127041=ORIENTED_EDGE('',*,*,#91002,.T.); #127042=ORIENTED_EDGE('',*,*,#90700,.F.); #127043=ORIENTED_EDGE('',*,*,#91002,.F.); #127044=ORIENTED_EDGE('',*,*,#90977,.F.); #127045=ORIENTED_EDGE('',*,*,#91003,.F.); #127046=ORIENTED_EDGE('',*,*,#90696,.F.); #127047=ORIENTED_EDGE('',*,*,#91004,.F.); #127048=ORIENTED_EDGE('',*,*,#90981,.F.); #127049=ORIENTED_EDGE('',*,*,#91005,.T.); #127050=ORIENTED_EDGE('',*,*,#90697,.F.); #127051=ORIENTED_EDGE('',*,*,#91005,.F.); #127052=ORIENTED_EDGE('',*,*,#90980,.F.); #127053=ORIENTED_EDGE('',*,*,#91006,.T.); #127054=ORIENTED_EDGE('',*,*,#90698,.F.); #127055=ORIENTED_EDGE('',*,*,#91006,.F.); #127056=ORIENTED_EDGE('',*,*,#90979,.F.); #127057=ORIENTED_EDGE('',*,*,#91001,.T.); #127058=ORIENTED_EDGE('',*,*,#90714,.F.); #127059=ORIENTED_EDGE('',*,*,#91007,.F.); #127060=ORIENTED_EDGE('',*,*,#90963,.F.); #127061=ORIENTED_EDGE('',*,*,#91008,.F.); #127062=ORIENTED_EDGE('',*,*,#90713,.F.); #127063=ORIENTED_EDGE('',*,*,#90941,.F.); #127064=ORIENTED_EDGE('',*,*,#90964,.F.); #127065=ORIENTED_EDGE('',*,*,#91007,.T.); #127066=ORIENTED_EDGE('',*,*,#90731,.F.); #127067=ORIENTED_EDGE('',*,*,#91009,.F.); #127068=ORIENTED_EDGE('',*,*,#90946,.F.); #127069=ORIENTED_EDGE('',*,*,#91010,.T.); #127070=ORIENTED_EDGE('',*,*,#90732,.F.); #127071=ORIENTED_EDGE('',*,*,#91010,.F.); #127072=ORIENTED_EDGE('',*,*,#90945,.F.); #127073=ORIENTED_EDGE('',*,*,#90934,.F.); #127074=ORIENTED_EDGE('',*,*,#90729,.F.); #127075=ORIENTED_EDGE('',*,*,#91011,.F.); #127076=ORIENTED_EDGE('',*,*,#90948,.F.); #127077=ORIENTED_EDGE('',*,*,#91012,.T.); #127078=ORIENTED_EDGE('',*,*,#90730,.F.); #127079=ORIENTED_EDGE('',*,*,#91012,.F.); #127080=ORIENTED_EDGE('',*,*,#90947,.F.); #127081=ORIENTED_EDGE('',*,*,#91009,.T.); #127082=ORIENTED_EDGE('',*,*,#90728,.F.); #127083=ORIENTED_EDGE('',*,*,#91013,.F.); #127084=ORIENTED_EDGE('',*,*,#90949,.F.); #127085=ORIENTED_EDGE('',*,*,#91011,.T.); #127086=ORIENTED_EDGE('',*,*,#90693,.F.); #127087=ORIENTED_EDGE('',*,*,#91014,.F.); #127088=ORIENTED_EDGE('',*,*,#90984,.F.); #127089=ORIENTED_EDGE('',*,*,#91015,.T.); #127090=ORIENTED_EDGE('',*,*,#90694,.F.); #127091=ORIENTED_EDGE('',*,*,#91015,.F.); #127092=ORIENTED_EDGE('',*,*,#90983,.F.); #127093=ORIENTED_EDGE('',*,*,#91016,.F.); #127094=ORIENTED_EDGE('',*,*,#90691,.F.); #127095=ORIENTED_EDGE('',*,*,#91017,.F.); #127096=ORIENTED_EDGE('',*,*,#90986,.F.); #127097=ORIENTED_EDGE('',*,*,#91018,.T.); #127098=ORIENTED_EDGE('',*,*,#90692,.F.); #127099=ORIENTED_EDGE('',*,*,#91018,.F.); #127100=ORIENTED_EDGE('',*,*,#90985,.F.); #127101=ORIENTED_EDGE('',*,*,#91014,.T.); #127102=ORIENTED_EDGE('',*,*,#90690,.F.); #127103=ORIENTED_EDGE('',*,*,#90998,.F.); #127104=ORIENTED_EDGE('',*,*,#90987,.F.); #127105=ORIENTED_EDGE('',*,*,#91017,.T.); #127106=ORIENTED_EDGE('',*,*,#90705,.F.); #127107=ORIENTED_EDGE('',*,*,#91019,.F.); #127108=ORIENTED_EDGE('',*,*,#90972,.F.); #127109=ORIENTED_EDGE('',*,*,#91020,.T.); #127110=ORIENTED_EDGE('',*,*,#90706,.F.); #127111=ORIENTED_EDGE('',*,*,#91020,.F.); #127112=ORIENTED_EDGE('',*,*,#90971,.F.); #127113=ORIENTED_EDGE('',*,*,#91021,.F.); #127114=ORIENTED_EDGE('',*,*,#90702,.F.); #127115=ORIENTED_EDGE('',*,*,#91022,.F.); #127116=ORIENTED_EDGE('',*,*,#90975,.F.); #127117=ORIENTED_EDGE('',*,*,#91023,.T.); #127118=ORIENTED_EDGE('',*,*,#90703,.F.); #127119=ORIENTED_EDGE('',*,*,#91023,.F.); #127120=ORIENTED_EDGE('',*,*,#90974,.F.); #127121=ORIENTED_EDGE('',*,*,#91024,.T.); #127122=ORIENTED_EDGE('',*,*,#90704,.F.); #127123=ORIENTED_EDGE('',*,*,#91024,.F.); #127124=ORIENTED_EDGE('',*,*,#90973,.F.); #127125=ORIENTED_EDGE('',*,*,#91019,.T.); #127126=ORIENTED_EDGE('',*,*,#90724,.F.); #127127=ORIENTED_EDGE('',*,*,#91025,.F.); #127128=ORIENTED_EDGE('',*,*,#90953,.F.); #127129=ORIENTED_EDGE('',*,*,#91026,.T.); #127130=ORIENTED_EDGE('',*,*,#90725,.F.); #127131=ORIENTED_EDGE('',*,*,#91026,.F.); #127132=ORIENTED_EDGE('',*,*,#90952,.F.); #127133=ORIENTED_EDGE('',*,*,#91027,.T.); #127134=ORIENTED_EDGE('',*,*,#90726,.F.); #127135=ORIENTED_EDGE('',*,*,#91027,.F.); #127136=ORIENTED_EDGE('',*,*,#90951,.F.); #127137=ORIENTED_EDGE('',*,*,#91028,.F.); #127138=ORIENTED_EDGE('',*,*,#90722,.F.); #127139=ORIENTED_EDGE('',*,*,#91029,.F.); #127140=ORIENTED_EDGE('',*,*,#90955,.F.); #127141=ORIENTED_EDGE('',*,*,#91030,.T.); #127142=ORIENTED_EDGE('',*,*,#90723,.F.); #127143=ORIENTED_EDGE('',*,*,#91030,.F.); #127144=ORIENTED_EDGE('',*,*,#90954,.F.); #127145=ORIENTED_EDGE('',*,*,#91025,.T.); #127146=ORIENTED_EDGE('',*,*,#90718,.F.); #127147=ORIENTED_EDGE('',*,*,#91031,.F.); #127148=ORIENTED_EDGE('',*,*,#90959,.F.); #127149=ORIENTED_EDGE('',*,*,#91032,.T.); #127150=ORIENTED_EDGE('',*,*,#90719,.F.); #127151=ORIENTED_EDGE('',*,*,#91032,.F.); #127152=ORIENTED_EDGE('',*,*,#90958,.F.); #127153=ORIENTED_EDGE('',*,*,#91033,.T.); #127154=ORIENTED_EDGE('',*,*,#90720,.F.); #127155=ORIENTED_EDGE('',*,*,#91033,.F.); #127156=ORIENTED_EDGE('',*,*,#90957,.F.); #127157=ORIENTED_EDGE('',*,*,#91034,.F.); #127158=ORIENTED_EDGE('',*,*,#90716,.F.); #127159=ORIENTED_EDGE('',*,*,#91035,.F.); #127160=ORIENTED_EDGE('',*,*,#90961,.F.); #127161=ORIENTED_EDGE('',*,*,#91036,.T.); #127162=ORIENTED_EDGE('',*,*,#90717,.F.); #127163=ORIENTED_EDGE('',*,*,#91036,.F.); #127164=ORIENTED_EDGE('',*,*,#90960,.F.); #127165=ORIENTED_EDGE('',*,*,#91031,.T.); #127166=ORIENTED_EDGE('',*,*,#90995,.T.); #127167=ORIENTED_EDGE('',*,*,#90922,.F.); #127168=ORIENTED_EDGE('',*,*,#90942,.T.); #127169=ORIENTED_EDGE('',*,*,#90711,.F.); #127170=ORIENTED_EDGE('',*,*,#91004,.T.); #127171=ORIENTED_EDGE('',*,*,#90695,.T.); #127172=ORIENTED_EDGE('',*,*,#91016,.T.); #127173=ORIENTED_EDGE('',*,*,#90982,.F.); #127174=ORIENTED_EDGE('',*,*,#91003,.T.); #127175=ORIENTED_EDGE('',*,*,#90976,.F.); #127176=ORIENTED_EDGE('',*,*,#91022,.T.); #127177=ORIENTED_EDGE('',*,*,#90701,.T.); #127178=ORIENTED_EDGE('',*,*,#91000,.T.); #127179=ORIENTED_EDGE('',*,*,#90707,.T.); #127180=ORIENTED_EDGE('',*,*,#91021,.T.); #127181=ORIENTED_EDGE('',*,*,#90970,.F.); #127182=ORIENTED_EDGE('',*,*,#91029,.T.); #127183=ORIENTED_EDGE('',*,*,#90721,.T.); #127184=ORIENTED_EDGE('',*,*,#91034,.T.); #127185=ORIENTED_EDGE('',*,*,#90956,.F.); #127186=ORIENTED_EDGE('',*,*,#91013,.T.); #127187=ORIENTED_EDGE('',*,*,#90727,.T.); #127188=ORIENTED_EDGE('',*,*,#91028,.T.); #127189=ORIENTED_EDGE('',*,*,#90950,.F.); #127190=ORIENTED_EDGE('',*,*,#91008,.T.); #127191=ORIENTED_EDGE('',*,*,#90962,.F.); #127192=ORIENTED_EDGE('',*,*,#91035,.T.); #127193=ORIENTED_EDGE('',*,*,#90715,.T.); #127194=ORIENTED_EDGE('',*,*,#90994,.T.); #127195=ORIENTED_EDGE('',*,*,#91037,.T.); #127196=ORIENTED_EDGE('',*,*,#90943,.T.); #127197=ORIENTED_EDGE('',*,*,#90920,.F.); #127198=ORIENTED_EDGE('',*,*,#90936,.F.); #127199=ORIENTED_EDGE('',*,*,#90944,.T.); #127200=ORIENTED_EDGE('',*,*,#91037,.F.); #127201=ORIENTED_EDGE('',*,*,#90993,.T.); #127202=ORIENTED_EDGE('',*,*,#90991,.F.); #127203=ORIENTED_EDGE('',*,*,#91038,.F.); #127204=ORIENTED_EDGE('',*,*,#90937,.F.); #127205=ORIENTED_EDGE('',*,*,#91038,.T.); #127206=ORIENTED_EDGE('',*,*,#90990,.F.); #127207=ORIENTED_EDGE('',*,*,#90965,.F.); #127208=ORIENTED_EDGE('',*,*,#91039,.F.); #127209=ORIENTED_EDGE('',*,*,#91040,.F.); #127210=ORIENTED_EDGE('',*,*,#91041,.T.); #127211=ORIENTED_EDGE('',*,*,#91039,.T.); #127212=ORIENTED_EDGE('',*,*,#91041,.F.); #127213=ORIENTED_EDGE('',*,*,#91042,.F.); #127214=ORIENTED_EDGE('',*,*,#91043,.T.); #127215=ORIENTED_EDGE('',*,*,#91040,.T.); #127216=ORIENTED_EDGE('',*,*,#91043,.F.); #127217=ORIENTED_EDGE('',*,*,#91042,.T.); #127218=ORIENTED_EDGE('',*,*,#91044,.F.); #127219=ORIENTED_EDGE('',*,*,#91045,.T.); #127220=ORIENTED_EDGE('',*,*,#91046,.F.); #127221=ORIENTED_EDGE('',*,*,#91047,.F.); #127222=ORIENTED_EDGE('',*,*,#91048,.F.); #127223=ORIENTED_EDGE('',*,*,#91049,.T.); #127224=ORIENTED_EDGE('',*,*,#91044,.T.); #127225=ORIENTED_EDGE('',*,*,#91050,.F.); #127226=ORIENTED_EDGE('',*,*,#91051,.F.); #127227=ORIENTED_EDGE('',*,*,#91052,.T.); #127228=ORIENTED_EDGE('',*,*,#91048,.T.); #127229=ORIENTED_EDGE('',*,*,#91053,.F.); #127230=ORIENTED_EDGE('',*,*,#91054,.F.); #127231=ORIENTED_EDGE('',*,*,#91055,.T.); #127232=ORIENTED_EDGE('',*,*,#91051,.T.); #127233=ORIENTED_EDGE('',*,*,#91056,.F.); #127234=ORIENTED_EDGE('',*,*,#91057,.F.); #127235=ORIENTED_EDGE('',*,*,#91058,.T.); #127236=ORIENTED_EDGE('',*,*,#91054,.T.); #127237=ORIENTED_EDGE('',*,*,#91059,.F.); #127238=ORIENTED_EDGE('',*,*,#91060,.F.); #127239=ORIENTED_EDGE('',*,*,#91061,.T.); #127240=ORIENTED_EDGE('',*,*,#91057,.T.); #127241=ORIENTED_EDGE('',*,*,#91062,.F.); #127242=ORIENTED_EDGE('',*,*,#91063,.F.); #127243=ORIENTED_EDGE('',*,*,#91064,.T.); #127244=ORIENTED_EDGE('',*,*,#91060,.T.); #127245=ORIENTED_EDGE('',*,*,#91065,.F.); #127246=ORIENTED_EDGE('',*,*,#91066,.F.); #127247=ORIENTED_EDGE('',*,*,#91067,.T.); #127248=ORIENTED_EDGE('',*,*,#91063,.T.); #127249=ORIENTED_EDGE('',*,*,#91068,.F.); #127250=ORIENTED_EDGE('',*,*,#91069,.F.); #127251=ORIENTED_EDGE('',*,*,#91070,.T.); #127252=ORIENTED_EDGE('',*,*,#91066,.T.); #127253=ORIENTED_EDGE('',*,*,#91071,.F.); #127254=ORIENTED_EDGE('',*,*,#91072,.F.); #127255=ORIENTED_EDGE('',*,*,#91073,.T.); #127256=ORIENTED_EDGE('',*,*,#91069,.T.); #127257=ORIENTED_EDGE('',*,*,#91074,.F.); #127258=ORIENTED_EDGE('',*,*,#91075,.F.); #127259=ORIENTED_EDGE('',*,*,#91076,.T.); #127260=ORIENTED_EDGE('',*,*,#91072,.T.); #127261=ORIENTED_EDGE('',*,*,#91077,.F.); #127262=ORIENTED_EDGE('',*,*,#91078,.F.); #127263=ORIENTED_EDGE('',*,*,#91079,.T.); #127264=ORIENTED_EDGE('',*,*,#91075,.T.); #127265=ORIENTED_EDGE('',*,*,#91080,.F.); #127266=ORIENTED_EDGE('',*,*,#91081,.F.); #127267=ORIENTED_EDGE('',*,*,#91082,.T.); #127268=ORIENTED_EDGE('',*,*,#91078,.T.); #127269=ORIENTED_EDGE('',*,*,#91083,.F.); #127270=ORIENTED_EDGE('',*,*,#91084,.F.); #127271=ORIENTED_EDGE('',*,*,#91085,.T.); #127272=ORIENTED_EDGE('',*,*,#91081,.T.); #127273=ORIENTED_EDGE('',*,*,#91086,.F.); #127274=ORIENTED_EDGE('',*,*,#91087,.F.); #127275=ORIENTED_EDGE('',*,*,#91088,.T.); #127276=ORIENTED_EDGE('',*,*,#91084,.T.); #127277=ORIENTED_EDGE('',*,*,#91089,.F.); #127278=ORIENTED_EDGE('',*,*,#91090,.F.); #127279=ORIENTED_EDGE('',*,*,#91091,.T.); #127280=ORIENTED_EDGE('',*,*,#91087,.T.); #127281=ORIENTED_EDGE('',*,*,#91092,.F.); #127282=ORIENTED_EDGE('',*,*,#91093,.F.); #127283=ORIENTED_EDGE('',*,*,#91094,.T.); #127284=ORIENTED_EDGE('',*,*,#91095,.T.); #127285=ORIENTED_EDGE('',*,*,#91046,.T.); #127286=ORIENTED_EDGE('',*,*,#91096,.T.); #127287=ORIENTED_EDGE('',*,*,#91097,.F.); #127288=ORIENTED_EDGE('',*,*,#91098,.F.); #127289=ORIENTED_EDGE('',*,*,#91099,.T.); #127290=ORIENTED_EDGE('',*,*,#91100,.F.); #127291=ORIENTED_EDGE('',*,*,#91101,.F.); #127292=ORIENTED_EDGE('',*,*,#91102,.T.); #127293=ORIENTED_EDGE('',*,*,#91103,.T.); #127294=ORIENTED_EDGE('',*,*,#91104,.F.); #127295=ORIENTED_EDGE('',*,*,#91105,.F.); #127296=ORIENTED_EDGE('',*,*,#91100,.T.); #127297=ORIENTED_EDGE('',*,*,#91106,.T.); #127298=ORIENTED_EDGE('',*,*,#91107,.F.); #127299=ORIENTED_EDGE('',*,*,#91108,.F.); #127300=ORIENTED_EDGE('',*,*,#91104,.T.); #127301=ORIENTED_EDGE('',*,*,#91109,.T.); #127302=ORIENTED_EDGE('',*,*,#91110,.F.); #127303=ORIENTED_EDGE('',*,*,#91111,.F.); #127304=ORIENTED_EDGE('',*,*,#91107,.T.); #127305=ORIENTED_EDGE('',*,*,#91112,.T.); #127306=ORIENTED_EDGE('',*,*,#91113,.F.); #127307=ORIENTED_EDGE('',*,*,#91114,.F.); #127308=ORIENTED_EDGE('',*,*,#91110,.T.); #127309=ORIENTED_EDGE('',*,*,#91115,.T.); #127310=ORIENTED_EDGE('',*,*,#91116,.F.); #127311=ORIENTED_EDGE('',*,*,#91117,.F.); #127312=ORIENTED_EDGE('',*,*,#91113,.T.); #127313=ORIENTED_EDGE('',*,*,#91118,.T.); #127314=ORIENTED_EDGE('',*,*,#91119,.F.); #127315=ORIENTED_EDGE('',*,*,#91120,.F.); #127316=ORIENTED_EDGE('',*,*,#91116,.T.); #127317=ORIENTED_EDGE('',*,*,#91121,.T.); #127318=ORIENTED_EDGE('',*,*,#91122,.F.); #127319=ORIENTED_EDGE('',*,*,#91123,.F.); #127320=ORIENTED_EDGE('',*,*,#91119,.T.); #127321=ORIENTED_EDGE('',*,*,#91124,.T.); #127322=ORIENTED_EDGE('',*,*,#91125,.F.); #127323=ORIENTED_EDGE('',*,*,#91126,.F.); #127324=ORIENTED_EDGE('',*,*,#91122,.T.); #127325=ORIENTED_EDGE('',*,*,#91127,.T.); #127326=ORIENTED_EDGE('',*,*,#91128,.F.); #127327=ORIENTED_EDGE('',*,*,#91129,.F.); #127328=ORIENTED_EDGE('',*,*,#91125,.T.); #127329=ORIENTED_EDGE('',*,*,#91130,.T.); #127330=ORIENTED_EDGE('',*,*,#91131,.F.); #127331=ORIENTED_EDGE('',*,*,#91132,.F.); #127332=ORIENTED_EDGE('',*,*,#91128,.T.); #127333=ORIENTED_EDGE('',*,*,#91133,.T.); #127334=ORIENTED_EDGE('',*,*,#91134,.F.); #127335=ORIENTED_EDGE('',*,*,#91135,.F.); #127336=ORIENTED_EDGE('',*,*,#91131,.T.); #127337=ORIENTED_EDGE('',*,*,#91136,.T.); #127338=ORIENTED_EDGE('',*,*,#91137,.F.); #127339=ORIENTED_EDGE('',*,*,#91138,.F.); #127340=ORIENTED_EDGE('',*,*,#91134,.T.); #127341=ORIENTED_EDGE('',*,*,#91139,.T.); #127342=ORIENTED_EDGE('',*,*,#91140,.F.); #127343=ORIENTED_EDGE('',*,*,#91141,.F.); #127344=ORIENTED_EDGE('',*,*,#91137,.T.); #127345=ORIENTED_EDGE('',*,*,#91142,.T.); #127346=ORIENTED_EDGE('',*,*,#91143,.F.); #127347=ORIENTED_EDGE('',*,*,#91144,.F.); #127348=ORIENTED_EDGE('',*,*,#91140,.T.); #127349=ORIENTED_EDGE('',*,*,#91145,.T.); #127350=ORIENTED_EDGE('',*,*,#91146,.T.); #127351=ORIENTED_EDGE('',*,*,#91147,.T.); #127352=ORIENTED_EDGE('',*,*,#91148,.F.); #127353=ORIENTED_EDGE('',*,*,#91149,.F.); #127354=ORIENTED_EDGE('',*,*,#91150,.T.); #127355=ORIENTED_EDGE('',*,*,#91151,.T.); #127356=ORIENTED_EDGE('',*,*,#91094,.F.); #127357=ORIENTED_EDGE('',*,*,#91147,.F.); #127358=ORIENTED_EDGE('',*,*,#91152,.T.); #127359=ORIENTED_EDGE('',*,*,#91150,.F.); #127360=ORIENTED_EDGE('',*,*,#91153,.F.); #127361=ORIENTED_EDGE('',*,*,#91143,.T.); #127362=ORIENTED_EDGE('',*,*,#91154,.T.); #127363=ORIENTED_EDGE('',*,*,#91097,.T.); #127364=ORIENTED_EDGE('',*,*,#91155,.T.); #127365=ORIENTED_EDGE('',*,*,#91156,.F.); #127366=ORIENTED_EDGE('',*,*,#91102,.F.); #127367=ORIENTED_EDGE('',*,*,#91157,.F.); #127368=ORIENTED_EDGE('',*,*,#91093,.T.); #127369=ORIENTED_EDGE('',*,*,#91158,.T.); #127370=ORIENTED_EDGE('',*,*,#91148,.T.); #127371=ORIENTED_EDGE('',*,*,#91146,.F.); #127372=ORIENTED_EDGE('',*,*,#91159,.T.); #127373=ORIENTED_EDGE('',*,*,#91160,.T.); #127374=ORIENTED_EDGE('',*,*,#91159,.F.); #127375=ORIENTED_EDGE('',*,*,#91152,.F.); #127376=ORIENTED_EDGE('',*,*,#91161,.T.); #127377=ORIENTED_EDGE('',*,*,#91162,.F.); #127378=ORIENTED_EDGE('',*,*,#91163,.F.); #127379=ORIENTED_EDGE('',*,*,#91164,.F.); #127380=ORIENTED_EDGE('',*,*,#91165,.T.); #127381=ORIENTED_EDGE('',*,*,#91166,.F.); #127382=ORIENTED_EDGE('',*,*,#91167,.F.); #127383=ORIENTED_EDGE('',*,*,#91161,.F.); #127384=ORIENTED_EDGE('',*,*,#91168,.T.); #127385=ORIENTED_EDGE('',*,*,#91169,.T.); #127386=ORIENTED_EDGE('',*,*,#91170,.T.); #127387=ORIENTED_EDGE('',*,*,#91171,.T.); #127388=ORIENTED_EDGE('',*,*,#91172,.T.); #127389=ORIENTED_EDGE('',*,*,#91173,.T.); #127390=ORIENTED_EDGE('',*,*,#91174,.T.); #127391=ORIENTED_EDGE('',*,*,#91175,.T.); #127392=ORIENTED_EDGE('',*,*,#91176,.T.); #127393=ORIENTED_EDGE('',*,*,#91175,.F.); #127394=ORIENTED_EDGE('',*,*,#91177,.T.); #127395=ORIENTED_EDGE('',*,*,#91178,.T.); #127396=ORIENTED_EDGE('',*,*,#91179,.T.); #127397=ORIENTED_EDGE('',*,*,#91180,.T.); #127398=ORIENTED_EDGE('',*,*,#91181,.T.); #127399=ORIENTED_EDGE('',*,*,#91182,.T.); #127400=ORIENTED_EDGE('',*,*,#91183,.F.); #127401=ORIENTED_EDGE('',*,*,#91184,.T.); #127402=ORIENTED_EDGE('',*,*,#91185,.T.); #127403=ORIENTED_EDGE('',*,*,#91184,.F.); #127404=ORIENTED_EDGE('',*,*,#91186,.F.); #127405=ORIENTED_EDGE('',*,*,#91187,.T.); #127406=ORIENTED_EDGE('',*,*,#91183,.T.); #127407=ORIENTED_EDGE('',*,*,#91187,.F.); #127408=ORIENTED_EDGE('',*,*,#91188,.F.); #127409=ORIENTED_EDGE('',*,*,#91189,.T.); #127410=ORIENTED_EDGE('',*,*,#91186,.T.); #127411=ORIENTED_EDGE('',*,*,#91189,.F.); #127412=ORIENTED_EDGE('',*,*,#91190,.F.); #127413=ORIENTED_EDGE('',*,*,#91191,.T.); #127414=ORIENTED_EDGE('',*,*,#91188,.T.); #127415=ORIENTED_EDGE('',*,*,#91191,.F.); #127416=ORIENTED_EDGE('',*,*,#91192,.F.); #127417=ORIENTED_EDGE('',*,*,#91193,.F.); #127418=ORIENTED_EDGE('',*,*,#91194,.T.); #127419=ORIENTED_EDGE('',*,*,#91190,.T.); #127420=ORIENTED_EDGE('',*,*,#91194,.F.); #127421=ORIENTED_EDGE('',*,*,#91192,.T.); #127422=ORIENTED_EDGE('',*,*,#91193,.T.); #127423=ORIENTED_EDGE('',*,*,#91195,.T.); #127424=ORIENTED_EDGE('',*,*,#91196,.F.); #127425=ORIENTED_EDGE('',*,*,#91197,.F.); #127426=ORIENTED_EDGE('',*,*,#91195,.F.); #127427=ORIENTED_EDGE('',*,*,#91198,.F.); #127428=ORIENTED_EDGE('',*,*,#91199,.T.); #127429=ORIENTED_EDGE('',*,*,#91196,.T.); #127430=ORIENTED_EDGE('',*,*,#91197,.T.); #127431=ORIENTED_EDGE('',*,*,#91199,.F.); #127432=ORIENTED_EDGE('',*,*,#91185,.F.); #127433=ORIENTED_EDGE('',*,*,#91160,.F.); #127434=ORIENTED_EDGE('',*,*,#91200,.T.); #127435=ORIENTED_EDGE('',*,*,#91201,.T.); #127436=ORIENTED_EDGE('',*,*,#91202,.T.); #127437=ORIENTED_EDGE('',*,*,#91165,.F.); #127438=ORIENTED_EDGE('',*,*,#91203,.T.); #127439=ORIENTED_EDGE('',*,*,#91204,.T.); #127440=ORIENTED_EDGE('',*,*,#91205,.T.); #127441=ORIENTED_EDGE('',*,*,#91164,.T.); #127442=ORIENTED_EDGE('',*,*,#91206,.T.); #127443=ORIENTED_EDGE('',*,*,#91207,.T.); #127444=ORIENTED_EDGE('',*,*,#91208,.T.); #127445=ORIENTED_EDGE('',*,*,#91163,.T.); #127446=ORIENTED_EDGE('',*,*,#91209,.T.); #127447=ORIENTED_EDGE('',*,*,#91210,.T.); #127448=ORIENTED_EDGE('',*,*,#91211,.T.); #127449=ORIENTED_EDGE('',*,*,#91162,.T.); #127450=ORIENTED_EDGE('',*,*,#91212,.T.); #127451=ORIENTED_EDGE('',*,*,#91213,.T.); #127452=ORIENTED_EDGE('',*,*,#91214,.T.); #127453=ORIENTED_EDGE('',*,*,#91167,.T.); #127454=ORIENTED_EDGE('',*,*,#91198,.T.); #127455=ORIENTED_EDGE('',*,*,#91176,.F.); #127456=ORIENTED_EDGE('',*,*,#91181,.F.); #127457=ORIENTED_EDGE('',*,*,#91215,.T.); #127458=ORIENTED_EDGE('',*,*,#91216,.F.); #127459=ORIENTED_EDGE('',*,*,#91202,.F.); #127460=ORIENTED_EDGE('',*,*,#91217,.T.); #127461=ORIENTED_EDGE('',*,*,#91168,.F.); #127462=ORIENTED_EDGE('',*,*,#91218,.T.); #127463=ORIENTED_EDGE('',*,*,#91200,.F.); #127464=ORIENTED_EDGE('',*,*,#91205,.F.); #127465=ORIENTED_EDGE('',*,*,#91219,.T.); #127466=ORIENTED_EDGE('',*,*,#91170,.F.); #127467=ORIENTED_EDGE('',*,*,#91220,.T.); #127468=ORIENTED_EDGE('',*,*,#91203,.F.); #127469=ORIENTED_EDGE('',*,*,#91208,.F.); #127470=ORIENTED_EDGE('',*,*,#91221,.T.); #127471=ORIENTED_EDGE('',*,*,#91172,.F.); #127472=ORIENTED_EDGE('',*,*,#91222,.T.); #127473=ORIENTED_EDGE('',*,*,#91206,.F.); #127474=ORIENTED_EDGE('',*,*,#91211,.F.); #127475=ORIENTED_EDGE('',*,*,#91223,.T.); #127476=ORIENTED_EDGE('',*,*,#91214,.F.); #127477=ORIENTED_EDGE('',*,*,#91224,.T.); #127478=ORIENTED_EDGE('',*,*,#91177,.F.); #127479=ORIENTED_EDGE('',*,*,#91174,.F.); #127480=ORIENTED_EDGE('',*,*,#91225,.T.); #127481=ORIENTED_EDGE('',*,*,#91209,.F.); #127482=ORIENTED_EDGE('',*,*,#91179,.F.); #127483=ORIENTED_EDGE('',*,*,#91226,.T.); #127484=ORIENTED_EDGE('',*,*,#91212,.F.); #127485=ORIENTED_EDGE('',*,*,#91227,.F.); #127486=ORIENTED_EDGE('',*,*,#91228,.T.); #127487=ORIENTED_EDGE('',*,*,#91216,.T.); #127488=ORIENTED_EDGE('',*,*,#91229,.T.); #127489=ORIENTED_EDGE('',*,*,#91227,.T.); #127490=ORIENTED_EDGE('',*,*,#91166,.T.); #127491=ORIENTED_EDGE('',*,*,#91213,.F.); #127492=ORIENTED_EDGE('',*,*,#91226,.F.); #127493=ORIENTED_EDGE('',*,*,#91178,.F.); #127494=ORIENTED_EDGE('',*,*,#91224,.F.); #127495=ORIENTED_EDGE('',*,*,#91229,.F.); #127496=ORIENTED_EDGE('',*,*,#91215,.F.); #127497=ORIENTED_EDGE('',*,*,#91180,.F.); #127498=ORIENTED_EDGE('',*,*,#91228,.F.); #127499=ORIENTED_EDGE('',*,*,#91201,.F.); #127500=ORIENTED_EDGE('',*,*,#91218,.F.); #127501=ORIENTED_EDGE('',*,*,#91182,.F.); #127502=ORIENTED_EDGE('',*,*,#91217,.F.); #127503=ORIENTED_EDGE('',*,*,#91204,.F.); #127504=ORIENTED_EDGE('',*,*,#91220,.F.); #127505=ORIENTED_EDGE('',*,*,#91169,.F.); #127506=ORIENTED_EDGE('',*,*,#91219,.F.); #127507=ORIENTED_EDGE('',*,*,#91207,.F.); #127508=ORIENTED_EDGE('',*,*,#91222,.F.); #127509=ORIENTED_EDGE('',*,*,#91171,.F.); #127510=ORIENTED_EDGE('',*,*,#91221,.F.); #127511=ORIENTED_EDGE('',*,*,#91210,.F.); #127512=ORIENTED_EDGE('',*,*,#91225,.F.); #127513=ORIENTED_EDGE('',*,*,#91173,.F.); #127514=ORIENTED_EDGE('',*,*,#91223,.F.); #127515=ORIENTED_EDGE('',*,*,#91099,.F.); #127516=ORIENTED_EDGE('',*,*,#91230,.F.); #127517=ORIENTED_EDGE('',*,*,#91155,.F.); #127518=ORIENTED_EDGE('',*,*,#91095,.F.); #127519=ORIENTED_EDGE('',*,*,#91151,.F.); #127520=ORIENTED_EDGE('',*,*,#91154,.F.); #127521=ORIENTED_EDGE('',*,*,#91145,.F.); #127522=ORIENTED_EDGE('',*,*,#91142,.F.); #127523=ORIENTED_EDGE('',*,*,#91139,.F.); #127524=ORIENTED_EDGE('',*,*,#91136,.F.); #127525=ORIENTED_EDGE('',*,*,#91133,.F.); #127526=ORIENTED_EDGE('',*,*,#91130,.F.); #127527=ORIENTED_EDGE('',*,*,#91127,.F.); #127528=ORIENTED_EDGE('',*,*,#91124,.F.); #127529=ORIENTED_EDGE('',*,*,#91121,.F.); #127530=ORIENTED_EDGE('',*,*,#91118,.F.); #127531=ORIENTED_EDGE('',*,*,#91115,.F.); #127532=ORIENTED_EDGE('',*,*,#91112,.F.); #127533=ORIENTED_EDGE('',*,*,#91109,.F.); #127534=ORIENTED_EDGE('',*,*,#91106,.F.); #127535=ORIENTED_EDGE('',*,*,#91103,.F.); #127536=ORIENTED_EDGE('',*,*,#91156,.T.); #127537=ORIENTED_EDGE('',*,*,#91231,.T.); #127538=ORIENTED_EDGE('',*,*,#91092,.T.); #127539=ORIENTED_EDGE('',*,*,#91089,.T.); #127540=ORIENTED_EDGE('',*,*,#91086,.T.); #127541=ORIENTED_EDGE('',*,*,#91083,.T.); #127542=ORIENTED_EDGE('',*,*,#91080,.T.); #127543=ORIENTED_EDGE('',*,*,#91077,.T.); #127544=ORIENTED_EDGE('',*,*,#91074,.T.); #127545=ORIENTED_EDGE('',*,*,#91071,.T.); #127546=ORIENTED_EDGE('',*,*,#91068,.T.); #127547=ORIENTED_EDGE('',*,*,#91065,.T.); #127548=ORIENTED_EDGE('',*,*,#91062,.T.); #127549=ORIENTED_EDGE('',*,*,#91059,.T.); #127550=ORIENTED_EDGE('',*,*,#91056,.T.); #127551=ORIENTED_EDGE('',*,*,#91053,.T.); #127552=ORIENTED_EDGE('',*,*,#91050,.T.); #127553=ORIENTED_EDGE('',*,*,#91047,.T.); #127554=ORIENTED_EDGE('',*,*,#91090,.T.); #127555=ORIENTED_EDGE('',*,*,#91231,.F.); #127556=ORIENTED_EDGE('',*,*,#91230,.T.); #127557=ORIENTED_EDGE('',*,*,#91096,.F.); #127558=ORIENTED_EDGE('',*,*,#91045,.F.); #127559=ORIENTED_EDGE('',*,*,#91049,.F.); #127560=ORIENTED_EDGE('',*,*,#91052,.F.); #127561=ORIENTED_EDGE('',*,*,#91055,.F.); #127562=ORIENTED_EDGE('',*,*,#91058,.F.); #127563=ORIENTED_EDGE('',*,*,#91061,.F.); #127564=ORIENTED_EDGE('',*,*,#91064,.F.); #127565=ORIENTED_EDGE('',*,*,#91067,.F.); #127566=ORIENTED_EDGE('',*,*,#91070,.F.); #127567=ORIENTED_EDGE('',*,*,#91073,.F.); #127568=ORIENTED_EDGE('',*,*,#91076,.F.); #127569=ORIENTED_EDGE('',*,*,#91079,.F.); #127570=ORIENTED_EDGE('',*,*,#91082,.F.); #127571=ORIENTED_EDGE('',*,*,#91085,.F.); #127572=ORIENTED_EDGE('',*,*,#91088,.F.); #127573=ORIENTED_EDGE('',*,*,#91091,.F.); #127574=ORIENTED_EDGE('',*,*,#91098,.T.); #127575=ORIENTED_EDGE('',*,*,#91157,.T.); #127576=ORIENTED_EDGE('',*,*,#91101,.T.); #127577=ORIENTED_EDGE('',*,*,#91105,.T.); #127578=ORIENTED_EDGE('',*,*,#91108,.T.); #127579=ORIENTED_EDGE('',*,*,#91111,.T.); #127580=ORIENTED_EDGE('',*,*,#91114,.T.); #127581=ORIENTED_EDGE('',*,*,#91117,.T.); #127582=ORIENTED_EDGE('',*,*,#91120,.T.); #127583=ORIENTED_EDGE('',*,*,#91123,.T.); #127584=ORIENTED_EDGE('',*,*,#91126,.T.); #127585=ORIENTED_EDGE('',*,*,#91129,.T.); #127586=ORIENTED_EDGE('',*,*,#91132,.T.); #127587=ORIENTED_EDGE('',*,*,#91135,.T.); #127588=ORIENTED_EDGE('',*,*,#91138,.T.); #127589=ORIENTED_EDGE('',*,*,#91141,.T.); #127590=ORIENTED_EDGE('',*,*,#91144,.T.); #127591=ORIENTED_EDGE('',*,*,#91153,.T.); #127592=ORIENTED_EDGE('',*,*,#91149,.T.); #127593=ORIENTED_EDGE('',*,*,#91158,.F.); #127594=ORIENTED_EDGE('',*,*,#91232,.F.); #127595=ORIENTED_EDGE('',*,*,#91233,.T.); #127596=ORIENTED_EDGE('',*,*,#91234,.T.); #127597=ORIENTED_EDGE('',*,*,#91235,.F.); #127598=ORIENTED_EDGE('',*,*,#91236,.F.); #127599=ORIENTED_EDGE('',*,*,#91237,.T.); #127600=ORIENTED_EDGE('',*,*,#91238,.T.); #127601=ORIENTED_EDGE('',*,*,#91233,.F.); #127602=ORIENTED_EDGE('',*,*,#91239,.F.); #127603=ORIENTED_EDGE('',*,*,#91240,.T.); #127604=ORIENTED_EDGE('',*,*,#91241,.T.); #127605=ORIENTED_EDGE('',*,*,#91237,.F.); #127606=ORIENTED_EDGE('',*,*,#91242,.F.); #127607=ORIENTED_EDGE('',*,*,#91243,.T.); #127608=ORIENTED_EDGE('',*,*,#91244,.T.); #127609=ORIENTED_EDGE('',*,*,#91240,.F.); #127610=ORIENTED_EDGE('',*,*,#91245,.F.); #127611=ORIENTED_EDGE('',*,*,#91246,.T.); #127612=ORIENTED_EDGE('',*,*,#91247,.T.); #127613=ORIENTED_EDGE('',*,*,#91243,.F.); #127614=ORIENTED_EDGE('',*,*,#91248,.F.); #127615=ORIENTED_EDGE('',*,*,#91249,.T.); #127616=ORIENTED_EDGE('',*,*,#91250,.T.); #127617=ORIENTED_EDGE('',*,*,#91246,.F.); #127618=ORIENTED_EDGE('',*,*,#91251,.F.); #127619=ORIENTED_EDGE('',*,*,#91252,.T.); #127620=ORIENTED_EDGE('',*,*,#91253,.T.); #127621=ORIENTED_EDGE('',*,*,#91249,.F.); #127622=ORIENTED_EDGE('',*,*,#91254,.F.); #127623=ORIENTED_EDGE('',*,*,#91255,.T.); #127624=ORIENTED_EDGE('',*,*,#91256,.T.); #127625=ORIENTED_EDGE('',*,*,#91252,.F.); #127626=ORIENTED_EDGE('',*,*,#91257,.F.); #127627=ORIENTED_EDGE('',*,*,#91258,.T.); #127628=ORIENTED_EDGE('',*,*,#91259,.T.); #127629=ORIENTED_EDGE('',*,*,#91255,.F.); #127630=ORIENTED_EDGE('',*,*,#91260,.F.); #127631=ORIENTED_EDGE('',*,*,#91261,.T.); #127632=ORIENTED_EDGE('',*,*,#91262,.T.); #127633=ORIENTED_EDGE('',*,*,#91258,.F.); #127634=ORIENTED_EDGE('',*,*,#91263,.F.); #127635=ORIENTED_EDGE('',*,*,#91264,.T.); #127636=ORIENTED_EDGE('',*,*,#91265,.T.); #127637=ORIENTED_EDGE('',*,*,#91261,.F.); #127638=ORIENTED_EDGE('',*,*,#91266,.F.); #127639=ORIENTED_EDGE('',*,*,#91267,.T.); #127640=ORIENTED_EDGE('',*,*,#91268,.T.); #127641=ORIENTED_EDGE('',*,*,#91264,.F.); #127642=ORIENTED_EDGE('',*,*,#91269,.F.); #127643=ORIENTED_EDGE('',*,*,#91270,.T.); #127644=ORIENTED_EDGE('',*,*,#91271,.T.); #127645=ORIENTED_EDGE('',*,*,#91267,.F.); #127646=ORIENTED_EDGE('',*,*,#91272,.F.); #127647=ORIENTED_EDGE('',*,*,#91235,.T.); #127648=ORIENTED_EDGE('',*,*,#91273,.T.); #127649=ORIENTED_EDGE('',*,*,#91270,.F.); #127650=ORIENTED_EDGE('',*,*,#91274,.F.); #127651=ORIENTED_EDGE('',*,*,#91275,.T.); #127652=ORIENTED_EDGE('',*,*,#91276,.T.); #127653=ORIENTED_EDGE('',*,*,#91277,.F.); #127654=ORIENTED_EDGE('',*,*,#91278,.F.); #127655=ORIENTED_EDGE('',*,*,#91279,.T.); #127656=ORIENTED_EDGE('',*,*,#91280,.T.); #127657=ORIENTED_EDGE('',*,*,#91275,.F.); #127658=ORIENTED_EDGE('',*,*,#91281,.F.); #127659=ORIENTED_EDGE('',*,*,#91282,.T.); #127660=ORIENTED_EDGE('',*,*,#91283,.T.); #127661=ORIENTED_EDGE('',*,*,#91279,.F.); #127662=ORIENTED_EDGE('',*,*,#91284,.F.); #127663=ORIENTED_EDGE('',*,*,#91285,.T.); #127664=ORIENTED_EDGE('',*,*,#91286,.T.); #127665=ORIENTED_EDGE('',*,*,#91282,.F.); #127666=ORIENTED_EDGE('',*,*,#91287,.F.); #127667=ORIENTED_EDGE('',*,*,#91288,.T.); #127668=ORIENTED_EDGE('',*,*,#91289,.T.); #127669=ORIENTED_EDGE('',*,*,#91285,.F.); #127670=ORIENTED_EDGE('',*,*,#91290,.F.); #127671=ORIENTED_EDGE('',*,*,#91291,.T.); #127672=ORIENTED_EDGE('',*,*,#91292,.T.); #127673=ORIENTED_EDGE('',*,*,#91288,.F.); #127674=ORIENTED_EDGE('',*,*,#91293,.F.); #127675=ORIENTED_EDGE('',*,*,#91294,.T.); #127676=ORIENTED_EDGE('',*,*,#91295,.T.); #127677=ORIENTED_EDGE('',*,*,#91291,.F.); #127678=ORIENTED_EDGE('',*,*,#91296,.F.); #127679=ORIENTED_EDGE('',*,*,#91297,.T.); #127680=ORIENTED_EDGE('',*,*,#91298,.T.); #127681=ORIENTED_EDGE('',*,*,#91294,.F.); #127682=ORIENTED_EDGE('',*,*,#91299,.F.); #127683=ORIENTED_EDGE('',*,*,#91300,.T.); #127684=ORIENTED_EDGE('',*,*,#91301,.T.); #127685=ORIENTED_EDGE('',*,*,#91297,.F.); #127686=ORIENTED_EDGE('',*,*,#91302,.F.); #127687=ORIENTED_EDGE('',*,*,#91303,.T.); #127688=ORIENTED_EDGE('',*,*,#91304,.T.); #127689=ORIENTED_EDGE('',*,*,#91300,.F.); #127690=ORIENTED_EDGE('',*,*,#91305,.F.); #127691=ORIENTED_EDGE('',*,*,#91306,.T.); #127692=ORIENTED_EDGE('',*,*,#91307,.T.); #127693=ORIENTED_EDGE('',*,*,#91303,.F.); #127694=ORIENTED_EDGE('',*,*,#91308,.F.); #127695=ORIENTED_EDGE('',*,*,#91309,.T.); #127696=ORIENTED_EDGE('',*,*,#91310,.T.); #127697=ORIENTED_EDGE('',*,*,#91306,.F.); #127698=ORIENTED_EDGE('',*,*,#91311,.F.); #127699=ORIENTED_EDGE('',*,*,#91312,.T.); #127700=ORIENTED_EDGE('',*,*,#91313,.T.); #127701=ORIENTED_EDGE('',*,*,#91309,.F.); #127702=ORIENTED_EDGE('',*,*,#91314,.F.); #127703=ORIENTED_EDGE('',*,*,#91315,.T.); #127704=ORIENTED_EDGE('',*,*,#91316,.T.); #127705=ORIENTED_EDGE('',*,*,#91312,.F.); #127706=ORIENTED_EDGE('',*,*,#91317,.F.); #127707=ORIENTED_EDGE('',*,*,#91318,.T.); #127708=ORIENTED_EDGE('',*,*,#91319,.T.); #127709=ORIENTED_EDGE('',*,*,#91315,.F.); #127710=ORIENTED_EDGE('',*,*,#91320,.F.); #127711=ORIENTED_EDGE('',*,*,#91277,.T.); #127712=ORIENTED_EDGE('',*,*,#91321,.T.); #127713=ORIENTED_EDGE('',*,*,#91318,.F.); #127714=ORIENTED_EDGE('',*,*,#91321,.F.); #127715=ORIENTED_EDGE('',*,*,#91276,.F.); #127716=ORIENTED_EDGE('',*,*,#91280,.F.); #127717=ORIENTED_EDGE('',*,*,#91283,.F.); #127718=ORIENTED_EDGE('',*,*,#91286,.F.); #127719=ORIENTED_EDGE('',*,*,#91289,.F.); #127720=ORIENTED_EDGE('',*,*,#91292,.F.); #127721=ORIENTED_EDGE('',*,*,#91295,.F.); #127722=ORIENTED_EDGE('',*,*,#91298,.F.); #127723=ORIENTED_EDGE('',*,*,#91301,.F.); #127724=ORIENTED_EDGE('',*,*,#91304,.F.); #127725=ORIENTED_EDGE('',*,*,#91307,.F.); #127726=ORIENTED_EDGE('',*,*,#91310,.F.); #127727=ORIENTED_EDGE('',*,*,#91313,.F.); #127728=ORIENTED_EDGE('',*,*,#91316,.F.); #127729=ORIENTED_EDGE('',*,*,#91319,.F.); #127730=ORIENTED_EDGE('',*,*,#91273,.F.); #127731=ORIENTED_EDGE('',*,*,#91234,.F.); #127732=ORIENTED_EDGE('',*,*,#91238,.F.); #127733=ORIENTED_EDGE('',*,*,#91241,.F.); #127734=ORIENTED_EDGE('',*,*,#91244,.F.); #127735=ORIENTED_EDGE('',*,*,#91247,.F.); #127736=ORIENTED_EDGE('',*,*,#91250,.F.); #127737=ORIENTED_EDGE('',*,*,#91253,.F.); #127738=ORIENTED_EDGE('',*,*,#91256,.F.); #127739=ORIENTED_EDGE('',*,*,#91259,.F.); #127740=ORIENTED_EDGE('',*,*,#91262,.F.); #127741=ORIENTED_EDGE('',*,*,#91265,.F.); #127742=ORIENTED_EDGE('',*,*,#91268,.F.); #127743=ORIENTED_EDGE('',*,*,#91271,.F.); #127744=ORIENTED_EDGE('',*,*,#91322,.F.); #127745=ORIENTED_EDGE('',*,*,#91323,.T.); #127746=ORIENTED_EDGE('',*,*,#91324,.T.); #127747=ORIENTED_EDGE('',*,*,#91325,.F.); #127748=ORIENTED_EDGE('',*,*,#91326,.F.); #127749=ORIENTED_EDGE('',*,*,#91327,.T.); #127750=ORIENTED_EDGE('',*,*,#91328,.T.); #127751=ORIENTED_EDGE('',*,*,#91323,.F.); #127752=ORIENTED_EDGE('',*,*,#91329,.F.); #127753=ORIENTED_EDGE('',*,*,#91330,.T.); #127754=ORIENTED_EDGE('',*,*,#91331,.T.); #127755=ORIENTED_EDGE('',*,*,#91327,.F.); #127756=ORIENTED_EDGE('',*,*,#91332,.F.); #127757=ORIENTED_EDGE('',*,*,#91325,.T.); #127758=ORIENTED_EDGE('',*,*,#91333,.T.); #127759=ORIENTED_EDGE('',*,*,#91330,.F.); #127760=ORIENTED_EDGE('',*,*,#91333,.F.); #127761=ORIENTED_EDGE('',*,*,#91324,.F.); #127762=ORIENTED_EDGE('',*,*,#91328,.F.); #127763=ORIENTED_EDGE('',*,*,#91331,.F.); #127764=ORIENTED_EDGE('',*,*,#91334,.F.); #127765=ORIENTED_EDGE('',*,*,#91335,.T.); #127766=ORIENTED_EDGE('',*,*,#91336,.T.); #127767=ORIENTED_EDGE('',*,*,#91337,.F.); #127768=ORIENTED_EDGE('',*,*,#91338,.F.); #127769=ORIENTED_EDGE('',*,*,#91339,.T.); #127770=ORIENTED_EDGE('',*,*,#91340,.T.); #127771=ORIENTED_EDGE('',*,*,#91335,.F.); #127772=ORIENTED_EDGE('',*,*,#91341,.F.); #127773=ORIENTED_EDGE('',*,*,#91342,.T.); #127774=ORIENTED_EDGE('',*,*,#91343,.T.); #127775=ORIENTED_EDGE('',*,*,#91339,.F.); #127776=ORIENTED_EDGE('',*,*,#91344,.F.); #127777=ORIENTED_EDGE('',*,*,#91337,.T.); #127778=ORIENTED_EDGE('',*,*,#91345,.T.); #127779=ORIENTED_EDGE('',*,*,#91342,.F.); #127780=ORIENTED_EDGE('',*,*,#91346,.F.); #127781=ORIENTED_EDGE('',*,*,#91347,.T.); #127782=ORIENTED_EDGE('',*,*,#91348,.T.); #127783=ORIENTED_EDGE('',*,*,#91349,.F.); #127784=ORIENTED_EDGE('',*,*,#91350,.F.); #127785=ORIENTED_EDGE('',*,*,#91351,.T.); #127786=ORIENTED_EDGE('',*,*,#91352,.T.); #127787=ORIENTED_EDGE('',*,*,#91347,.F.); #127788=ORIENTED_EDGE('',*,*,#91353,.F.); #127789=ORIENTED_EDGE('',*,*,#91354,.T.); #127790=ORIENTED_EDGE('',*,*,#91355,.T.); #127791=ORIENTED_EDGE('',*,*,#91351,.F.); #127792=ORIENTED_EDGE('',*,*,#91356,.F.); #127793=ORIENTED_EDGE('',*,*,#91357,.T.); #127794=ORIENTED_EDGE('',*,*,#91358,.T.); #127795=ORIENTED_EDGE('',*,*,#91354,.F.); #127796=ORIENTED_EDGE('',*,*,#91359,.F.); #127797=ORIENTED_EDGE('',*,*,#91360,.T.); #127798=ORIENTED_EDGE('',*,*,#91361,.T.); #127799=ORIENTED_EDGE('',*,*,#91357,.F.); #127800=ORIENTED_EDGE('',*,*,#91362,.F.); #127801=ORIENTED_EDGE('',*,*,#91363,.T.); #127802=ORIENTED_EDGE('',*,*,#91364,.T.); #127803=ORIENTED_EDGE('',*,*,#91360,.F.); #127804=ORIENTED_EDGE('',*,*,#91365,.F.); #127805=ORIENTED_EDGE('',*,*,#91366,.T.); #127806=ORIENTED_EDGE('',*,*,#91367,.T.); #127807=ORIENTED_EDGE('',*,*,#91363,.F.); #127808=ORIENTED_EDGE('',*,*,#91368,.F.); #127809=ORIENTED_EDGE('',*,*,#91369,.T.); #127810=ORIENTED_EDGE('',*,*,#91370,.T.); #127811=ORIENTED_EDGE('',*,*,#91366,.F.); #127812=ORIENTED_EDGE('',*,*,#91371,.F.); #127813=ORIENTED_EDGE('',*,*,#91372,.T.); #127814=ORIENTED_EDGE('',*,*,#91373,.T.); #127815=ORIENTED_EDGE('',*,*,#91369,.F.); #127816=ORIENTED_EDGE('',*,*,#91374,.F.); #127817=ORIENTED_EDGE('',*,*,#91375,.T.); #127818=ORIENTED_EDGE('',*,*,#91376,.T.); #127819=ORIENTED_EDGE('',*,*,#91372,.F.); #127820=ORIENTED_EDGE('',*,*,#91377,.F.); #127821=ORIENTED_EDGE('',*,*,#91378,.T.); #127822=ORIENTED_EDGE('',*,*,#91379,.T.); #127823=ORIENTED_EDGE('',*,*,#91375,.F.); #127824=ORIENTED_EDGE('',*,*,#91380,.F.); #127825=ORIENTED_EDGE('',*,*,#91381,.T.); #127826=ORIENTED_EDGE('',*,*,#91382,.T.); #127827=ORIENTED_EDGE('',*,*,#91378,.F.); #127828=ORIENTED_EDGE('',*,*,#91383,.F.); #127829=ORIENTED_EDGE('',*,*,#91384,.T.); #127830=ORIENTED_EDGE('',*,*,#91385,.T.); #127831=ORIENTED_EDGE('',*,*,#91381,.F.); #127832=ORIENTED_EDGE('',*,*,#91386,.F.); #127833=ORIENTED_EDGE('',*,*,#91387,.T.); #127834=ORIENTED_EDGE('',*,*,#91388,.T.); #127835=ORIENTED_EDGE('',*,*,#91384,.F.); #127836=ORIENTED_EDGE('',*,*,#91389,.F.); #127837=ORIENTED_EDGE('',*,*,#91390,.T.); #127838=ORIENTED_EDGE('',*,*,#91391,.T.); #127839=ORIENTED_EDGE('',*,*,#91387,.F.); #127840=ORIENTED_EDGE('',*,*,#91392,.F.); #127841=ORIENTED_EDGE('',*,*,#91393,.T.); #127842=ORIENTED_EDGE('',*,*,#91394,.T.); #127843=ORIENTED_EDGE('',*,*,#91390,.F.); #127844=ORIENTED_EDGE('',*,*,#91395,.F.); #127845=ORIENTED_EDGE('',*,*,#91396,.T.); #127846=ORIENTED_EDGE('',*,*,#91397,.T.); #127847=ORIENTED_EDGE('',*,*,#91393,.F.); #127848=ORIENTED_EDGE('',*,*,#91398,.F.); #127849=ORIENTED_EDGE('',*,*,#91399,.T.); #127850=ORIENTED_EDGE('',*,*,#91400,.T.); #127851=ORIENTED_EDGE('',*,*,#91396,.F.); #127852=ORIENTED_EDGE('',*,*,#91401,.F.); #127853=ORIENTED_EDGE('',*,*,#91402,.T.); #127854=ORIENTED_EDGE('',*,*,#91403,.T.); #127855=ORIENTED_EDGE('',*,*,#91399,.F.); #127856=ORIENTED_EDGE('',*,*,#91404,.F.); #127857=ORIENTED_EDGE('',*,*,#91405,.T.); #127858=ORIENTED_EDGE('',*,*,#91406,.T.); #127859=ORIENTED_EDGE('',*,*,#91402,.F.); #127860=ORIENTED_EDGE('',*,*,#91407,.F.); #127861=ORIENTED_EDGE('',*,*,#91408,.T.); #127862=ORIENTED_EDGE('',*,*,#91409,.T.); #127863=ORIENTED_EDGE('',*,*,#91405,.F.); #127864=ORIENTED_EDGE('',*,*,#91410,.F.); #127865=ORIENTED_EDGE('',*,*,#91411,.T.); #127866=ORIENTED_EDGE('',*,*,#91412,.T.); #127867=ORIENTED_EDGE('',*,*,#91408,.F.); #127868=ORIENTED_EDGE('',*,*,#91413,.F.); #127869=ORIENTED_EDGE('',*,*,#91414,.T.); #127870=ORIENTED_EDGE('',*,*,#91415,.T.); #127871=ORIENTED_EDGE('',*,*,#91411,.F.); #127872=ORIENTED_EDGE('',*,*,#91416,.F.); #127873=ORIENTED_EDGE('',*,*,#91417,.T.); #127874=ORIENTED_EDGE('',*,*,#91418,.T.); #127875=ORIENTED_EDGE('',*,*,#91414,.F.); #127876=ORIENTED_EDGE('',*,*,#91419,.F.); #127877=ORIENTED_EDGE('',*,*,#91420,.T.); #127878=ORIENTED_EDGE('',*,*,#91421,.T.); #127879=ORIENTED_EDGE('',*,*,#91417,.F.); #127880=ORIENTED_EDGE('',*,*,#91422,.F.); #127881=ORIENTED_EDGE('',*,*,#91423,.T.); #127882=ORIENTED_EDGE('',*,*,#91424,.T.); #127883=ORIENTED_EDGE('',*,*,#91420,.F.); #127884=ORIENTED_EDGE('',*,*,#91425,.F.); #127885=ORIENTED_EDGE('',*,*,#91426,.T.); #127886=ORIENTED_EDGE('',*,*,#91427,.T.); #127887=ORIENTED_EDGE('',*,*,#91423,.F.); #127888=ORIENTED_EDGE('',*,*,#91428,.F.); #127889=ORIENTED_EDGE('',*,*,#91429,.T.); #127890=ORIENTED_EDGE('',*,*,#91430,.T.); #127891=ORIENTED_EDGE('',*,*,#91426,.F.); #127892=ORIENTED_EDGE('',*,*,#91431,.F.); #127893=ORIENTED_EDGE('',*,*,#91432,.T.); #127894=ORIENTED_EDGE('',*,*,#91433,.T.); #127895=ORIENTED_EDGE('',*,*,#91429,.F.); #127896=ORIENTED_EDGE('',*,*,#91434,.F.); #127897=ORIENTED_EDGE('',*,*,#91435,.T.); #127898=ORIENTED_EDGE('',*,*,#91436,.T.); #127899=ORIENTED_EDGE('',*,*,#91432,.F.); #127900=ORIENTED_EDGE('',*,*,#91437,.F.); #127901=ORIENTED_EDGE('',*,*,#91438,.T.); #127902=ORIENTED_EDGE('',*,*,#91439,.T.); #127903=ORIENTED_EDGE('',*,*,#91435,.F.); #127904=ORIENTED_EDGE('',*,*,#91440,.F.); #127905=ORIENTED_EDGE('',*,*,#91441,.T.); #127906=ORIENTED_EDGE('',*,*,#91442,.T.); #127907=ORIENTED_EDGE('',*,*,#91438,.F.); #127908=ORIENTED_EDGE('',*,*,#91443,.F.); #127909=ORIENTED_EDGE('',*,*,#91349,.T.); #127910=ORIENTED_EDGE('',*,*,#91444,.T.); #127911=ORIENTED_EDGE('',*,*,#91441,.F.); #127912=ORIENTED_EDGE('',*,*,#91444,.F.); #127913=ORIENTED_EDGE('',*,*,#91348,.F.); #127914=ORIENTED_EDGE('',*,*,#91352,.F.); #127915=ORIENTED_EDGE('',*,*,#91355,.F.); #127916=ORIENTED_EDGE('',*,*,#91358,.F.); #127917=ORIENTED_EDGE('',*,*,#91361,.F.); #127918=ORIENTED_EDGE('',*,*,#91364,.F.); #127919=ORIENTED_EDGE('',*,*,#91367,.F.); #127920=ORIENTED_EDGE('',*,*,#91370,.F.); #127921=ORIENTED_EDGE('',*,*,#91373,.F.); #127922=ORIENTED_EDGE('',*,*,#91376,.F.); #127923=ORIENTED_EDGE('',*,*,#91379,.F.); #127924=ORIENTED_EDGE('',*,*,#91382,.F.); #127925=ORIENTED_EDGE('',*,*,#91385,.F.); #127926=ORIENTED_EDGE('',*,*,#91388,.F.); #127927=ORIENTED_EDGE('',*,*,#91391,.F.); #127928=ORIENTED_EDGE('',*,*,#91394,.F.); #127929=ORIENTED_EDGE('',*,*,#91397,.F.); #127930=ORIENTED_EDGE('',*,*,#91400,.F.); #127931=ORIENTED_EDGE('',*,*,#91403,.F.); #127932=ORIENTED_EDGE('',*,*,#91406,.F.); #127933=ORIENTED_EDGE('',*,*,#91409,.F.); #127934=ORIENTED_EDGE('',*,*,#91412,.F.); #127935=ORIENTED_EDGE('',*,*,#91415,.F.); #127936=ORIENTED_EDGE('',*,*,#91418,.F.); #127937=ORIENTED_EDGE('',*,*,#91421,.F.); #127938=ORIENTED_EDGE('',*,*,#91424,.F.); #127939=ORIENTED_EDGE('',*,*,#91427,.F.); #127940=ORIENTED_EDGE('',*,*,#91430,.F.); #127941=ORIENTED_EDGE('',*,*,#91433,.F.); #127942=ORIENTED_EDGE('',*,*,#91436,.F.); #127943=ORIENTED_EDGE('',*,*,#91439,.F.); #127944=ORIENTED_EDGE('',*,*,#91442,.F.); #127945=ORIENTED_EDGE('',*,*,#91345,.F.); #127946=ORIENTED_EDGE('',*,*,#91336,.F.); #127947=ORIENTED_EDGE('',*,*,#91340,.F.); #127948=ORIENTED_EDGE('',*,*,#91343,.F.); #127949=ORIENTED_EDGE('',*,*,#91445,.F.); #127950=ORIENTED_EDGE('',*,*,#91446,.T.); #127951=ORIENTED_EDGE('',*,*,#91447,.T.); #127952=ORIENTED_EDGE('',*,*,#91448,.F.); #127953=ORIENTED_EDGE('',*,*,#91449,.F.); #127954=ORIENTED_EDGE('',*,*,#91450,.T.); #127955=ORIENTED_EDGE('',*,*,#91451,.T.); #127956=ORIENTED_EDGE('',*,*,#91446,.F.); #127957=ORIENTED_EDGE('',*,*,#91452,.F.); #127958=ORIENTED_EDGE('',*,*,#91453,.T.); #127959=ORIENTED_EDGE('',*,*,#91454,.T.); #127960=ORIENTED_EDGE('',*,*,#91450,.F.); #127961=ORIENTED_EDGE('',*,*,#91455,.F.); #127962=ORIENTED_EDGE('',*,*,#91456,.T.); #127963=ORIENTED_EDGE('',*,*,#91457,.T.); #127964=ORIENTED_EDGE('',*,*,#91453,.F.); #127965=ORIENTED_EDGE('',*,*,#91458,.F.); #127966=ORIENTED_EDGE('',*,*,#91459,.T.); #127967=ORIENTED_EDGE('',*,*,#91460,.T.); #127968=ORIENTED_EDGE('',*,*,#91456,.F.); #127969=ORIENTED_EDGE('',*,*,#91461,.F.); #127970=ORIENTED_EDGE('',*,*,#91462,.T.); #127971=ORIENTED_EDGE('',*,*,#91463,.T.); #127972=ORIENTED_EDGE('',*,*,#91459,.F.); #127973=ORIENTED_EDGE('',*,*,#91464,.F.); #127974=ORIENTED_EDGE('',*,*,#91465,.T.); #127975=ORIENTED_EDGE('',*,*,#91466,.T.); #127976=ORIENTED_EDGE('',*,*,#91462,.F.); #127977=ORIENTED_EDGE('',*,*,#91467,.F.); #127978=ORIENTED_EDGE('',*,*,#91468,.T.); #127979=ORIENTED_EDGE('',*,*,#91469,.T.); #127980=ORIENTED_EDGE('',*,*,#91465,.F.); #127981=ORIENTED_EDGE('',*,*,#91470,.F.); #127982=ORIENTED_EDGE('',*,*,#91471,.T.); #127983=ORIENTED_EDGE('',*,*,#91472,.T.); #127984=ORIENTED_EDGE('',*,*,#91468,.F.); #127985=ORIENTED_EDGE('',*,*,#91473,.F.); #127986=ORIENTED_EDGE('',*,*,#91474,.T.); #127987=ORIENTED_EDGE('',*,*,#91475,.T.); #127988=ORIENTED_EDGE('',*,*,#91471,.F.); #127989=ORIENTED_EDGE('',*,*,#91476,.F.); #127990=ORIENTED_EDGE('',*,*,#91477,.T.); #127991=ORIENTED_EDGE('',*,*,#91478,.T.); #127992=ORIENTED_EDGE('',*,*,#91474,.F.); #127993=ORIENTED_EDGE('',*,*,#91479,.F.); #127994=ORIENTED_EDGE('',*,*,#91480,.T.); #127995=ORIENTED_EDGE('',*,*,#91481,.T.); #127996=ORIENTED_EDGE('',*,*,#91477,.F.); #127997=ORIENTED_EDGE('',*,*,#91482,.F.); #127998=ORIENTED_EDGE('',*,*,#91483,.T.); #127999=ORIENTED_EDGE('',*,*,#91484,.T.); #128000=ORIENTED_EDGE('',*,*,#91480,.F.); #128001=ORIENTED_EDGE('',*,*,#91485,.F.); #128002=ORIENTED_EDGE('',*,*,#91486,.T.); #128003=ORIENTED_EDGE('',*,*,#91487,.T.); #128004=ORIENTED_EDGE('',*,*,#91483,.F.); #128005=ORIENTED_EDGE('',*,*,#91488,.F.); #128006=ORIENTED_EDGE('',*,*,#91489,.T.); #128007=ORIENTED_EDGE('',*,*,#91490,.T.); #128008=ORIENTED_EDGE('',*,*,#91486,.F.); #128009=ORIENTED_EDGE('',*,*,#91491,.F.); #128010=ORIENTED_EDGE('',*,*,#91492,.T.); #128011=ORIENTED_EDGE('',*,*,#91493,.T.); #128012=ORIENTED_EDGE('',*,*,#91489,.F.); #128013=ORIENTED_EDGE('',*,*,#91494,.F.); #128014=ORIENTED_EDGE('',*,*,#91495,.T.); #128015=ORIENTED_EDGE('',*,*,#91496,.T.); #128016=ORIENTED_EDGE('',*,*,#91492,.F.); #128017=ORIENTED_EDGE('',*,*,#91497,.F.); #128018=ORIENTED_EDGE('',*,*,#91498,.T.); #128019=ORIENTED_EDGE('',*,*,#91499,.T.); #128020=ORIENTED_EDGE('',*,*,#91495,.F.); #128021=ORIENTED_EDGE('',*,*,#91500,.F.); #128022=ORIENTED_EDGE('',*,*,#91501,.T.); #128023=ORIENTED_EDGE('',*,*,#91502,.T.); #128024=ORIENTED_EDGE('',*,*,#91498,.F.); #128025=ORIENTED_EDGE('',*,*,#91503,.F.); #128026=ORIENTED_EDGE('',*,*,#91448,.T.); #128027=ORIENTED_EDGE('',*,*,#91504,.T.); #128028=ORIENTED_EDGE('',*,*,#91501,.F.); #128029=ORIENTED_EDGE('',*,*,#91504,.F.); #128030=ORIENTED_EDGE('',*,*,#91447,.F.); #128031=ORIENTED_EDGE('',*,*,#91451,.F.); #128032=ORIENTED_EDGE('',*,*,#91454,.F.); #128033=ORIENTED_EDGE('',*,*,#91457,.F.); #128034=ORIENTED_EDGE('',*,*,#91460,.F.); #128035=ORIENTED_EDGE('',*,*,#91463,.F.); #128036=ORIENTED_EDGE('',*,*,#91466,.F.); #128037=ORIENTED_EDGE('',*,*,#91469,.F.); #128038=ORIENTED_EDGE('',*,*,#91472,.F.); #128039=ORIENTED_EDGE('',*,*,#91475,.F.); #128040=ORIENTED_EDGE('',*,*,#91478,.F.); #128041=ORIENTED_EDGE('',*,*,#91481,.F.); #128042=ORIENTED_EDGE('',*,*,#91484,.F.); #128043=ORIENTED_EDGE('',*,*,#91487,.F.); #128044=ORIENTED_EDGE('',*,*,#91490,.F.); #128045=ORIENTED_EDGE('',*,*,#91493,.F.); #128046=ORIENTED_EDGE('',*,*,#91496,.F.); #128047=ORIENTED_EDGE('',*,*,#91499,.F.); #128048=ORIENTED_EDGE('',*,*,#91502,.F.); #128049=ORIENTED_EDGE('',*,*,#91505,.F.); #128050=ORIENTED_EDGE('',*,*,#91506,.T.); #128051=ORIENTED_EDGE('',*,*,#91507,.T.); #128052=ORIENTED_EDGE('',*,*,#91508,.F.); #128053=ORIENTED_EDGE('',*,*,#91509,.F.); #128054=ORIENTED_EDGE('',*,*,#91510,.T.); #128055=ORIENTED_EDGE('',*,*,#91511,.T.); #128056=ORIENTED_EDGE('',*,*,#91506,.F.); #128057=ORIENTED_EDGE('',*,*,#91512,.F.); #128058=ORIENTED_EDGE('',*,*,#91513,.T.); #128059=ORIENTED_EDGE('',*,*,#91514,.T.); #128060=ORIENTED_EDGE('',*,*,#91510,.F.); #128061=ORIENTED_EDGE('',*,*,#91515,.F.); #128062=ORIENTED_EDGE('',*,*,#91516,.T.); #128063=ORIENTED_EDGE('',*,*,#91517,.T.); #128064=ORIENTED_EDGE('',*,*,#91513,.F.); #128065=ORIENTED_EDGE('',*,*,#91518,.F.); #128066=ORIENTED_EDGE('',*,*,#91519,.T.); #128067=ORIENTED_EDGE('',*,*,#91520,.T.); #128068=ORIENTED_EDGE('',*,*,#91516,.F.); #128069=ORIENTED_EDGE('',*,*,#91521,.F.); #128070=ORIENTED_EDGE('',*,*,#91522,.T.); #128071=ORIENTED_EDGE('',*,*,#91523,.T.); #128072=ORIENTED_EDGE('',*,*,#91519,.F.); #128073=ORIENTED_EDGE('',*,*,#91524,.F.); #128074=ORIENTED_EDGE('',*,*,#91525,.T.); #128075=ORIENTED_EDGE('',*,*,#91526,.T.); #128076=ORIENTED_EDGE('',*,*,#91522,.F.); #128077=ORIENTED_EDGE('',*,*,#91527,.F.); #128078=ORIENTED_EDGE('',*,*,#91528,.T.); #128079=ORIENTED_EDGE('',*,*,#91529,.T.); #128080=ORIENTED_EDGE('',*,*,#91525,.F.); #128081=ORIENTED_EDGE('',*,*,#91530,.F.); #128082=ORIENTED_EDGE('',*,*,#91531,.T.); #128083=ORIENTED_EDGE('',*,*,#91532,.T.); #128084=ORIENTED_EDGE('',*,*,#91528,.F.); #128085=ORIENTED_EDGE('',*,*,#91533,.F.); #128086=ORIENTED_EDGE('',*,*,#91534,.T.); #128087=ORIENTED_EDGE('',*,*,#91535,.T.); #128088=ORIENTED_EDGE('',*,*,#91531,.F.); #128089=ORIENTED_EDGE('',*,*,#91536,.F.); #128090=ORIENTED_EDGE('',*,*,#91508,.T.); #128091=ORIENTED_EDGE('',*,*,#91537,.T.); #128092=ORIENTED_EDGE('',*,*,#91534,.F.); #128093=ORIENTED_EDGE('',*,*,#91538,.F.); #128094=ORIENTED_EDGE('',*,*,#91539,.T.); #128095=ORIENTED_EDGE('',*,*,#91540,.T.); #128096=ORIENTED_EDGE('',*,*,#91541,.F.); #128097=ORIENTED_EDGE('',*,*,#91542,.F.); #128098=ORIENTED_EDGE('',*,*,#91543,.T.); #128099=ORIENTED_EDGE('',*,*,#91544,.T.); #128100=ORIENTED_EDGE('',*,*,#91539,.F.); #128101=ORIENTED_EDGE('',*,*,#91545,.F.); #128102=ORIENTED_EDGE('',*,*,#91546,.T.); #128103=ORIENTED_EDGE('',*,*,#91547,.T.); #128104=ORIENTED_EDGE('',*,*,#91543,.F.); #128105=ORIENTED_EDGE('',*,*,#91548,.F.); #128106=ORIENTED_EDGE('',*,*,#91549,.T.); #128107=ORIENTED_EDGE('',*,*,#91550,.T.); #128108=ORIENTED_EDGE('',*,*,#91546,.F.); #128109=ORIENTED_EDGE('',*,*,#91551,.F.); #128110=ORIENTED_EDGE('',*,*,#91552,.T.); #128111=ORIENTED_EDGE('',*,*,#91553,.T.); #128112=ORIENTED_EDGE('',*,*,#91549,.F.); #128113=ORIENTED_EDGE('',*,*,#91554,.F.); #128114=ORIENTED_EDGE('',*,*,#91555,.T.); #128115=ORIENTED_EDGE('',*,*,#91556,.T.); #128116=ORIENTED_EDGE('',*,*,#91552,.F.); #128117=ORIENTED_EDGE('',*,*,#91557,.F.); #128118=ORIENTED_EDGE('',*,*,#91558,.T.); #128119=ORIENTED_EDGE('',*,*,#91559,.T.); #128120=ORIENTED_EDGE('',*,*,#91555,.F.); #128121=ORIENTED_EDGE('',*,*,#91560,.F.); #128122=ORIENTED_EDGE('',*,*,#91561,.T.); #128123=ORIENTED_EDGE('',*,*,#91562,.T.); #128124=ORIENTED_EDGE('',*,*,#91558,.F.); #128125=ORIENTED_EDGE('',*,*,#91563,.F.); #128126=ORIENTED_EDGE('',*,*,#91564,.T.); #128127=ORIENTED_EDGE('',*,*,#91565,.T.); #128128=ORIENTED_EDGE('',*,*,#91561,.F.); #128129=ORIENTED_EDGE('',*,*,#91566,.F.); #128130=ORIENTED_EDGE('',*,*,#91567,.T.); #128131=ORIENTED_EDGE('',*,*,#91568,.T.); #128132=ORIENTED_EDGE('',*,*,#91564,.F.); #128133=ORIENTED_EDGE('',*,*,#91569,.F.); #128134=ORIENTED_EDGE('',*,*,#91570,.T.); #128135=ORIENTED_EDGE('',*,*,#91571,.T.); #128136=ORIENTED_EDGE('',*,*,#91567,.F.); #128137=ORIENTED_EDGE('',*,*,#91572,.F.); #128138=ORIENTED_EDGE('',*,*,#91573,.T.); #128139=ORIENTED_EDGE('',*,*,#91574,.T.); #128140=ORIENTED_EDGE('',*,*,#91570,.F.); #128141=ORIENTED_EDGE('',*,*,#91575,.F.); #128142=ORIENTED_EDGE('',*,*,#91576,.T.); #128143=ORIENTED_EDGE('',*,*,#91577,.T.); #128144=ORIENTED_EDGE('',*,*,#91573,.F.); #128145=ORIENTED_EDGE('',*,*,#91578,.F.); #128146=ORIENTED_EDGE('',*,*,#91579,.T.); #128147=ORIENTED_EDGE('',*,*,#91580,.T.); #128148=ORIENTED_EDGE('',*,*,#91576,.F.); #128149=ORIENTED_EDGE('',*,*,#91581,.F.); #128150=ORIENTED_EDGE('',*,*,#91582,.T.); #128151=ORIENTED_EDGE('',*,*,#91583,.T.); #128152=ORIENTED_EDGE('',*,*,#91579,.F.); #128153=ORIENTED_EDGE('',*,*,#91584,.F.); #128154=ORIENTED_EDGE('',*,*,#91585,.T.); #128155=ORIENTED_EDGE('',*,*,#91586,.T.); #128156=ORIENTED_EDGE('',*,*,#91582,.F.); #128157=ORIENTED_EDGE('',*,*,#91587,.F.); #128158=ORIENTED_EDGE('',*,*,#91588,.T.); #128159=ORIENTED_EDGE('',*,*,#91589,.T.); #128160=ORIENTED_EDGE('',*,*,#91585,.F.); #128161=ORIENTED_EDGE('',*,*,#91590,.F.); #128162=ORIENTED_EDGE('',*,*,#91591,.T.); #128163=ORIENTED_EDGE('',*,*,#91592,.T.); #128164=ORIENTED_EDGE('',*,*,#91588,.F.); #128165=ORIENTED_EDGE('',*,*,#91593,.F.); #128166=ORIENTED_EDGE('',*,*,#91594,.T.); #128167=ORIENTED_EDGE('',*,*,#91595,.T.); #128168=ORIENTED_EDGE('',*,*,#91591,.F.); #128169=ORIENTED_EDGE('',*,*,#91596,.F.); #128170=ORIENTED_EDGE('',*,*,#91597,.T.); #128171=ORIENTED_EDGE('',*,*,#91598,.T.); #128172=ORIENTED_EDGE('',*,*,#91594,.F.); #128173=ORIENTED_EDGE('',*,*,#91599,.F.); #128174=ORIENTED_EDGE('',*,*,#91541,.T.); #128175=ORIENTED_EDGE('',*,*,#91600,.T.); #128176=ORIENTED_EDGE('',*,*,#91597,.F.); #128177=ORIENTED_EDGE('',*,*,#91600,.F.); #128178=ORIENTED_EDGE('',*,*,#91540,.F.); #128179=ORIENTED_EDGE('',*,*,#91544,.F.); #128180=ORIENTED_EDGE('',*,*,#91547,.F.); #128181=ORIENTED_EDGE('',*,*,#91550,.F.); #128182=ORIENTED_EDGE('',*,*,#91553,.F.); #128183=ORIENTED_EDGE('',*,*,#91556,.F.); #128184=ORIENTED_EDGE('',*,*,#91559,.F.); #128185=ORIENTED_EDGE('',*,*,#91562,.F.); #128186=ORIENTED_EDGE('',*,*,#91565,.F.); #128187=ORIENTED_EDGE('',*,*,#91568,.F.); #128188=ORIENTED_EDGE('',*,*,#91571,.F.); #128189=ORIENTED_EDGE('',*,*,#91574,.F.); #128190=ORIENTED_EDGE('',*,*,#91577,.F.); #128191=ORIENTED_EDGE('',*,*,#91580,.F.); #128192=ORIENTED_EDGE('',*,*,#91583,.F.); #128193=ORIENTED_EDGE('',*,*,#91586,.F.); #128194=ORIENTED_EDGE('',*,*,#91589,.F.); #128195=ORIENTED_EDGE('',*,*,#91592,.F.); #128196=ORIENTED_EDGE('',*,*,#91595,.F.); #128197=ORIENTED_EDGE('',*,*,#91598,.F.); #128198=ORIENTED_EDGE('',*,*,#91537,.F.); #128199=ORIENTED_EDGE('',*,*,#91507,.F.); #128200=ORIENTED_EDGE('',*,*,#91511,.F.); #128201=ORIENTED_EDGE('',*,*,#91514,.F.); #128202=ORIENTED_EDGE('',*,*,#91517,.F.); #128203=ORIENTED_EDGE('',*,*,#91520,.F.); #128204=ORIENTED_EDGE('',*,*,#91523,.F.); #128205=ORIENTED_EDGE('',*,*,#91526,.F.); #128206=ORIENTED_EDGE('',*,*,#91529,.F.); #128207=ORIENTED_EDGE('',*,*,#91532,.F.); #128208=ORIENTED_EDGE('',*,*,#91535,.F.); #128209=ORIENTED_EDGE('',*,*,#91601,.F.); #128210=ORIENTED_EDGE('',*,*,#91602,.T.); #128211=ORIENTED_EDGE('',*,*,#91603,.T.); #128212=ORIENTED_EDGE('',*,*,#91604,.F.); #128213=ORIENTED_EDGE('',*,*,#91605,.F.); #128214=ORIENTED_EDGE('',*,*,#91606,.T.); #128215=ORIENTED_EDGE('',*,*,#91607,.T.); #128216=ORIENTED_EDGE('',*,*,#91602,.F.); #128217=ORIENTED_EDGE('',*,*,#91608,.F.); #128218=ORIENTED_EDGE('',*,*,#91609,.T.); #128219=ORIENTED_EDGE('',*,*,#91610,.T.); #128220=ORIENTED_EDGE('',*,*,#91606,.F.); #128221=ORIENTED_EDGE('',*,*,#91611,.F.); #128222=ORIENTED_EDGE('',*,*,#91612,.T.); #128223=ORIENTED_EDGE('',*,*,#91613,.T.); #128224=ORIENTED_EDGE('',*,*,#91609,.F.); #128225=ORIENTED_EDGE('',*,*,#91614,.F.); #128226=ORIENTED_EDGE('',*,*,#91615,.T.); #128227=ORIENTED_EDGE('',*,*,#91616,.T.); #128228=ORIENTED_EDGE('',*,*,#91612,.F.); #128229=ORIENTED_EDGE('',*,*,#91617,.F.); #128230=ORIENTED_EDGE('',*,*,#91618,.T.); #128231=ORIENTED_EDGE('',*,*,#91619,.T.); #128232=ORIENTED_EDGE('',*,*,#91615,.F.); #128233=ORIENTED_EDGE('',*,*,#91620,.F.); #128234=ORIENTED_EDGE('',*,*,#91621,.T.); #128235=ORIENTED_EDGE('',*,*,#91622,.T.); #128236=ORIENTED_EDGE('',*,*,#91618,.F.); #128237=ORIENTED_EDGE('',*,*,#91623,.F.); #128238=ORIENTED_EDGE('',*,*,#91624,.T.); #128239=ORIENTED_EDGE('',*,*,#91625,.T.); #128240=ORIENTED_EDGE('',*,*,#91621,.F.); #128241=ORIENTED_EDGE('',*,*,#91626,.F.); #128242=ORIENTED_EDGE('',*,*,#91627,.T.); #128243=ORIENTED_EDGE('',*,*,#91628,.T.); #128244=ORIENTED_EDGE('',*,*,#91624,.F.); #128245=ORIENTED_EDGE('',*,*,#91629,.F.); #128246=ORIENTED_EDGE('',*,*,#91630,.T.); #128247=ORIENTED_EDGE('',*,*,#91631,.T.); #128248=ORIENTED_EDGE('',*,*,#91627,.F.); #128249=ORIENTED_EDGE('',*,*,#91632,.F.); #128250=ORIENTED_EDGE('',*,*,#91633,.T.); #128251=ORIENTED_EDGE('',*,*,#91634,.T.); #128252=ORIENTED_EDGE('',*,*,#91630,.F.); #128253=ORIENTED_EDGE('',*,*,#91635,.F.); #128254=ORIENTED_EDGE('',*,*,#91636,.T.); #128255=ORIENTED_EDGE('',*,*,#91637,.T.); #128256=ORIENTED_EDGE('',*,*,#91633,.F.); #128257=ORIENTED_EDGE('',*,*,#91638,.F.); #128258=ORIENTED_EDGE('',*,*,#91639,.T.); #128259=ORIENTED_EDGE('',*,*,#91640,.T.); #128260=ORIENTED_EDGE('',*,*,#91636,.F.); #128261=ORIENTED_EDGE('',*,*,#91641,.F.); #128262=ORIENTED_EDGE('',*,*,#91642,.T.); #128263=ORIENTED_EDGE('',*,*,#91643,.T.); #128264=ORIENTED_EDGE('',*,*,#91639,.F.); #128265=ORIENTED_EDGE('',*,*,#91644,.F.); #128266=ORIENTED_EDGE('',*,*,#91645,.T.); #128267=ORIENTED_EDGE('',*,*,#91646,.T.); #128268=ORIENTED_EDGE('',*,*,#91642,.F.); #128269=ORIENTED_EDGE('',*,*,#91647,.F.); #128270=ORIENTED_EDGE('',*,*,#91648,.T.); #128271=ORIENTED_EDGE('',*,*,#91649,.T.); #128272=ORIENTED_EDGE('',*,*,#91645,.F.); #128273=ORIENTED_EDGE('',*,*,#91650,.F.); #128274=ORIENTED_EDGE('',*,*,#91651,.T.); #128275=ORIENTED_EDGE('',*,*,#91652,.T.); #128276=ORIENTED_EDGE('',*,*,#91648,.F.); #128277=ORIENTED_EDGE('',*,*,#91653,.F.); #128278=ORIENTED_EDGE('',*,*,#91654,.T.); #128279=ORIENTED_EDGE('',*,*,#91655,.T.); #128280=ORIENTED_EDGE('',*,*,#91651,.F.); #128281=ORIENTED_EDGE('',*,*,#91656,.F.); #128282=ORIENTED_EDGE('',*,*,#91657,.T.); #128283=ORIENTED_EDGE('',*,*,#91658,.T.); #128284=ORIENTED_EDGE('',*,*,#91654,.F.); #128285=ORIENTED_EDGE('',*,*,#91659,.F.); #128286=ORIENTED_EDGE('',*,*,#91660,.T.); #128287=ORIENTED_EDGE('',*,*,#91661,.T.); #128288=ORIENTED_EDGE('',*,*,#91657,.F.); #128289=ORIENTED_EDGE('',*,*,#91662,.F.); #128290=ORIENTED_EDGE('',*,*,#91663,.T.); #128291=ORIENTED_EDGE('',*,*,#91664,.T.); #128292=ORIENTED_EDGE('',*,*,#91660,.F.); #128293=ORIENTED_EDGE('',*,*,#91665,.F.); #128294=ORIENTED_EDGE('',*,*,#91666,.T.); #128295=ORIENTED_EDGE('',*,*,#91667,.T.); #128296=ORIENTED_EDGE('',*,*,#91663,.F.); #128297=ORIENTED_EDGE('',*,*,#91668,.F.); #128298=ORIENTED_EDGE('',*,*,#91669,.T.); #128299=ORIENTED_EDGE('',*,*,#91670,.T.); #128300=ORIENTED_EDGE('',*,*,#91666,.F.); #128301=ORIENTED_EDGE('',*,*,#91671,.F.); #128302=ORIENTED_EDGE('',*,*,#91672,.T.); #128303=ORIENTED_EDGE('',*,*,#91673,.T.); #128304=ORIENTED_EDGE('',*,*,#91669,.F.); #128305=ORIENTED_EDGE('',*,*,#91674,.F.); #128306=ORIENTED_EDGE('',*,*,#91675,.T.); #128307=ORIENTED_EDGE('',*,*,#91676,.T.); #128308=ORIENTED_EDGE('',*,*,#91672,.F.); #128309=ORIENTED_EDGE('',*,*,#91677,.F.); #128310=ORIENTED_EDGE('',*,*,#91678,.T.); #128311=ORIENTED_EDGE('',*,*,#91679,.T.); #128312=ORIENTED_EDGE('',*,*,#91675,.F.); #128313=ORIENTED_EDGE('',*,*,#91680,.F.); #128314=ORIENTED_EDGE('',*,*,#91681,.T.); #128315=ORIENTED_EDGE('',*,*,#91682,.T.); #128316=ORIENTED_EDGE('',*,*,#91678,.F.); #128317=ORIENTED_EDGE('',*,*,#91683,.F.); #128318=ORIENTED_EDGE('',*,*,#91684,.T.); #128319=ORIENTED_EDGE('',*,*,#91685,.T.); #128320=ORIENTED_EDGE('',*,*,#91681,.F.); #128321=ORIENTED_EDGE('',*,*,#91686,.F.); #128322=ORIENTED_EDGE('',*,*,#91687,.T.); #128323=ORIENTED_EDGE('',*,*,#91688,.T.); #128324=ORIENTED_EDGE('',*,*,#91684,.F.); #128325=ORIENTED_EDGE('',*,*,#91689,.F.); #128326=ORIENTED_EDGE('',*,*,#91690,.T.); #128327=ORIENTED_EDGE('',*,*,#91691,.T.); #128328=ORIENTED_EDGE('',*,*,#91687,.F.); #128329=ORIENTED_EDGE('',*,*,#91692,.F.); #128330=ORIENTED_EDGE('',*,*,#91693,.T.); #128331=ORIENTED_EDGE('',*,*,#91694,.T.); #128332=ORIENTED_EDGE('',*,*,#91690,.F.); #128333=ORIENTED_EDGE('',*,*,#91695,.F.); #128334=ORIENTED_EDGE('',*,*,#91696,.T.); #128335=ORIENTED_EDGE('',*,*,#91697,.T.); #128336=ORIENTED_EDGE('',*,*,#91693,.F.); #128337=ORIENTED_EDGE('',*,*,#91698,.F.); #128338=ORIENTED_EDGE('',*,*,#91699,.T.); #128339=ORIENTED_EDGE('',*,*,#91700,.T.); #128340=ORIENTED_EDGE('',*,*,#91696,.F.); #128341=ORIENTED_EDGE('',*,*,#91701,.F.); #128342=ORIENTED_EDGE('',*,*,#91702,.T.); #128343=ORIENTED_EDGE('',*,*,#91703,.T.); #128344=ORIENTED_EDGE('',*,*,#91699,.F.); #128345=ORIENTED_EDGE('',*,*,#91704,.F.); #128346=ORIENTED_EDGE('',*,*,#91604,.T.); #128347=ORIENTED_EDGE('',*,*,#91705,.T.); #128348=ORIENTED_EDGE('',*,*,#91702,.F.); #128349=ORIENTED_EDGE('',*,*,#91705,.F.); #128350=ORIENTED_EDGE('',*,*,#91603,.F.); #128351=ORIENTED_EDGE('',*,*,#91607,.F.); #128352=ORIENTED_EDGE('',*,*,#91610,.F.); #128353=ORIENTED_EDGE('',*,*,#91613,.F.); #128354=ORIENTED_EDGE('',*,*,#91616,.F.); #128355=ORIENTED_EDGE('',*,*,#91619,.F.); #128356=ORIENTED_EDGE('',*,*,#91622,.F.); #128357=ORIENTED_EDGE('',*,*,#91625,.F.); #128358=ORIENTED_EDGE('',*,*,#91628,.F.); #128359=ORIENTED_EDGE('',*,*,#91631,.F.); #128360=ORIENTED_EDGE('',*,*,#91634,.F.); #128361=ORIENTED_EDGE('',*,*,#91637,.F.); #128362=ORIENTED_EDGE('',*,*,#91640,.F.); #128363=ORIENTED_EDGE('',*,*,#91643,.F.); #128364=ORIENTED_EDGE('',*,*,#91646,.F.); #128365=ORIENTED_EDGE('',*,*,#91649,.F.); #128366=ORIENTED_EDGE('',*,*,#91652,.F.); #128367=ORIENTED_EDGE('',*,*,#91655,.F.); #128368=ORIENTED_EDGE('',*,*,#91658,.F.); #128369=ORIENTED_EDGE('',*,*,#91661,.F.); #128370=ORIENTED_EDGE('',*,*,#91664,.F.); #128371=ORIENTED_EDGE('',*,*,#91667,.F.); #128372=ORIENTED_EDGE('',*,*,#91670,.F.); #128373=ORIENTED_EDGE('',*,*,#91673,.F.); #128374=ORIENTED_EDGE('',*,*,#91676,.F.); #128375=ORIENTED_EDGE('',*,*,#91679,.F.); #128376=ORIENTED_EDGE('',*,*,#91682,.F.); #128377=ORIENTED_EDGE('',*,*,#91685,.F.); #128378=ORIENTED_EDGE('',*,*,#91688,.F.); #128379=ORIENTED_EDGE('',*,*,#91691,.F.); #128380=ORIENTED_EDGE('',*,*,#91694,.F.); #128381=ORIENTED_EDGE('',*,*,#91697,.F.); #128382=ORIENTED_EDGE('',*,*,#91700,.F.); #128383=ORIENTED_EDGE('',*,*,#91703,.F.); #128384=ORIENTED_EDGE('',*,*,#91706,.F.); #128385=ORIENTED_EDGE('',*,*,#91707,.T.); #128386=ORIENTED_EDGE('',*,*,#91708,.T.); #128387=ORIENTED_EDGE('',*,*,#91709,.F.); #128388=ORIENTED_EDGE('',*,*,#91710,.F.); #128389=ORIENTED_EDGE('',*,*,#91711,.T.); #128390=ORIENTED_EDGE('',*,*,#91712,.T.); #128391=ORIENTED_EDGE('',*,*,#91707,.F.); #128392=ORIENTED_EDGE('',*,*,#91713,.F.); #128393=ORIENTED_EDGE('',*,*,#91714,.T.); #128394=ORIENTED_EDGE('',*,*,#91715,.T.); #128395=ORIENTED_EDGE('',*,*,#91711,.F.); #128396=ORIENTED_EDGE('',*,*,#91716,.F.); #128397=ORIENTED_EDGE('',*,*,#91709,.T.); #128398=ORIENTED_EDGE('',*,*,#91717,.T.); #128399=ORIENTED_EDGE('',*,*,#91714,.F.); #128400=ORIENTED_EDGE('',*,*,#91717,.F.); #128401=ORIENTED_EDGE('',*,*,#91708,.F.); #128402=ORIENTED_EDGE('',*,*,#91712,.F.); #128403=ORIENTED_EDGE('',*,*,#91715,.F.); #128404=ORIENTED_EDGE('',*,*,#91718,.F.); #128405=ORIENTED_EDGE('',*,*,#91719,.T.); #128406=ORIENTED_EDGE('',*,*,#91720,.T.); #128407=ORIENTED_EDGE('',*,*,#91721,.F.); #128408=ORIENTED_EDGE('',*,*,#91722,.F.); #128409=ORIENTED_EDGE('',*,*,#91723,.T.); #128410=ORIENTED_EDGE('',*,*,#91724,.T.); #128411=ORIENTED_EDGE('',*,*,#91719,.F.); #128412=ORIENTED_EDGE('',*,*,#91725,.F.); #128413=ORIENTED_EDGE('',*,*,#91726,.T.); #128414=ORIENTED_EDGE('',*,*,#91727,.T.); #128415=ORIENTED_EDGE('',*,*,#91723,.F.); #128416=ORIENTED_EDGE('',*,*,#91728,.F.); #128417=ORIENTED_EDGE('',*,*,#91729,.T.); #128418=ORIENTED_EDGE('',*,*,#91730,.T.); #128419=ORIENTED_EDGE('',*,*,#91726,.F.); #128420=ORIENTED_EDGE('',*,*,#91731,.F.); #128421=ORIENTED_EDGE('',*,*,#91732,.T.); #128422=ORIENTED_EDGE('',*,*,#91733,.T.); #128423=ORIENTED_EDGE('',*,*,#91729,.F.); #128424=ORIENTED_EDGE('',*,*,#91734,.F.); #128425=ORIENTED_EDGE('',*,*,#91735,.T.); #128426=ORIENTED_EDGE('',*,*,#91736,.T.); #128427=ORIENTED_EDGE('',*,*,#91732,.F.); #128428=ORIENTED_EDGE('',*,*,#91736,.F.); #128429=ORIENTED_EDGE('',*,*,#91720,.F.); #128430=ORIENTED_EDGE('',*,*,#91724,.F.); #128431=ORIENTED_EDGE('',*,*,#91727,.F.); #128432=ORIENTED_EDGE('',*,*,#91730,.F.); #128433=ORIENTED_EDGE('',*,*,#91733,.F.); #128434=ORIENTED_EDGE('',*,*,#91737,.F.); #128435=ORIENTED_EDGE('',*,*,#91738,.T.); #128436=ORIENTED_EDGE('',*,*,#91739,.T.); #128437=ORIENTED_EDGE('',*,*,#91740,.F.); #128438=ORIENTED_EDGE('',*,*,#91741,.F.); #128439=ORIENTED_EDGE('',*,*,#91742,.T.); #128440=ORIENTED_EDGE('',*,*,#91743,.T.); #128441=ORIENTED_EDGE('',*,*,#91738,.F.); #128442=ORIENTED_EDGE('',*,*,#91744,.F.); #128443=ORIENTED_EDGE('',*,*,#91745,.T.); #128444=ORIENTED_EDGE('',*,*,#91746,.T.); #128445=ORIENTED_EDGE('',*,*,#91742,.F.); #128446=ORIENTED_EDGE('',*,*,#91747,.F.); #128447=ORIENTED_EDGE('',*,*,#91740,.T.); #128448=ORIENTED_EDGE('',*,*,#91748,.T.); #128449=ORIENTED_EDGE('',*,*,#91749,.F.); #128450=ORIENTED_EDGE('',*,*,#91748,.F.); #128451=ORIENTED_EDGE('',*,*,#91739,.F.); #128452=ORIENTED_EDGE('',*,*,#91743,.F.); #128453=ORIENTED_EDGE('',*,*,#91746,.F.); #128454=ORIENTED_EDGE('',*,*,#91750,.F.); #128455=ORIENTED_EDGE('',*,*,#91751,.T.); #128456=ORIENTED_EDGE('',*,*,#91752,.T.); #128457=ORIENTED_EDGE('',*,*,#91753,.F.); #128458=ORIENTED_EDGE('',*,*,#91754,.F.); #128459=ORIENTED_EDGE('',*,*,#91755,.T.); #128460=ORIENTED_EDGE('',*,*,#91756,.T.); #128461=ORIENTED_EDGE('',*,*,#91751,.F.); #128462=ORIENTED_EDGE('',*,*,#91757,.F.); #128463=ORIENTED_EDGE('',*,*,#91758,.T.); #128464=ORIENTED_EDGE('',*,*,#91759,.T.); #128465=ORIENTED_EDGE('',*,*,#91755,.F.); #128466=ORIENTED_EDGE('',*,*,#91760,.F.); #128467=ORIENTED_EDGE('',*,*,#91761,.T.); #128468=ORIENTED_EDGE('',*,*,#91762,.T.); #128469=ORIENTED_EDGE('',*,*,#91758,.F.); #128470=ORIENTED_EDGE('',*,*,#91762,.F.); #128471=ORIENTED_EDGE('',*,*,#91752,.F.); #128472=ORIENTED_EDGE('',*,*,#91756,.F.); #128473=ORIENTED_EDGE('',*,*,#91759,.F.); #128474=ORIENTED_EDGE('',*,*,#91763,.F.); #128475=ORIENTED_EDGE('',*,*,#91764,.T.); #128476=ORIENTED_EDGE('',*,*,#91765,.T.); #128477=ORIENTED_EDGE('',*,*,#91766,.F.); #128478=ORIENTED_EDGE('',*,*,#91767,.F.); #128479=ORIENTED_EDGE('',*,*,#91768,.T.); #128480=ORIENTED_EDGE('',*,*,#91769,.T.); #128481=ORIENTED_EDGE('',*,*,#91764,.F.); #128482=ORIENTED_EDGE('',*,*,#91770,.F.); #128483=ORIENTED_EDGE('',*,*,#91771,.T.); #128484=ORIENTED_EDGE('',*,*,#91772,.T.); #128485=ORIENTED_EDGE('',*,*,#91773,.F.); #128486=ORIENTED_EDGE('',*,*,#91774,.F.); #128487=ORIENTED_EDGE('',*,*,#91749,.T.); #128488=ORIENTED_EDGE('',*,*,#91775,.T.); #128489=ORIENTED_EDGE('',*,*,#91771,.F.); #128490=ORIENTED_EDGE('',*,*,#91776,.F.); #128491=ORIENTED_EDGE('',*,*,#91777,.T.); #128492=ORIENTED_EDGE('',*,*,#91778,.T.); #128493=ORIENTED_EDGE('',*,*,#91745,.F.); #128494=ORIENTED_EDGE('',*,*,#91779,.F.); #128495=ORIENTED_EDGE('',*,*,#91780,.T.); #128496=ORIENTED_EDGE('',*,*,#91781,.T.); #128497=ORIENTED_EDGE('',*,*,#91777,.F.); #128498=ORIENTED_EDGE('',*,*,#91782,.F.); #128499=ORIENTED_EDGE('',*,*,#91783,.T.); #128500=ORIENTED_EDGE('',*,*,#91784,.T.); #128501=ORIENTED_EDGE('',*,*,#91780,.F.); #128502=ORIENTED_EDGE('',*,*,#91785,.F.); #128503=ORIENTED_EDGE('',*,*,#91786,.T.); #128504=ORIENTED_EDGE('',*,*,#91787,.T.); #128505=ORIENTED_EDGE('',*,*,#91783,.F.); #128506=ORIENTED_EDGE('',*,*,#91788,.F.); #128507=ORIENTED_EDGE('',*,*,#91789,.T.); #128508=ORIENTED_EDGE('',*,*,#91790,.T.); #128509=ORIENTED_EDGE('',*,*,#91786,.F.); #128510=ORIENTED_EDGE('',*,*,#91791,.F.); #128511=ORIENTED_EDGE('',*,*,#91753,.T.); #128512=ORIENTED_EDGE('',*,*,#91792,.T.); #128513=ORIENTED_EDGE('',*,*,#91789,.F.); #128514=ORIENTED_EDGE('',*,*,#91793,.F.); #128515=ORIENTED_EDGE('',*,*,#91794,.T.); #128516=ORIENTED_EDGE('',*,*,#91795,.T.); #128517=ORIENTED_EDGE('',*,*,#91761,.F.); #128518=ORIENTED_EDGE('',*,*,#91796,.F.); #128519=ORIENTED_EDGE('',*,*,#91797,.T.); #128520=ORIENTED_EDGE('',*,*,#91798,.T.); #128521=ORIENTED_EDGE('',*,*,#91794,.F.); #128522=ORIENTED_EDGE('',*,*,#91799,.F.); #128523=ORIENTED_EDGE('',*,*,#91800,.T.); #128524=ORIENTED_EDGE('',*,*,#91801,.T.); #128525=ORIENTED_EDGE('',*,*,#91797,.F.); #128526=ORIENTED_EDGE('',*,*,#91802,.F.); #128527=ORIENTED_EDGE('',*,*,#91766,.T.); #128528=ORIENTED_EDGE('',*,*,#91803,.T.); #128529=ORIENTED_EDGE('',*,*,#91800,.F.); #128530=ORIENTED_EDGE('',*,*,#91803,.F.); #128531=ORIENTED_EDGE('',*,*,#91765,.F.); #128532=ORIENTED_EDGE('',*,*,#91769,.F.); #128533=ORIENTED_EDGE('',*,*,#91772,.F.); #128534=ORIENTED_EDGE('',*,*,#91775,.F.); #128535=ORIENTED_EDGE('',*,*,#91778,.F.); #128536=ORIENTED_EDGE('',*,*,#91781,.F.); #128537=ORIENTED_EDGE('',*,*,#91784,.F.); #128538=ORIENTED_EDGE('',*,*,#91787,.F.); #128539=ORIENTED_EDGE('',*,*,#91790,.F.); #128540=ORIENTED_EDGE('',*,*,#91792,.F.); #128541=ORIENTED_EDGE('',*,*,#91795,.F.); #128542=ORIENTED_EDGE('',*,*,#91798,.F.); #128543=ORIENTED_EDGE('',*,*,#91801,.F.); #128544=ORIENTED_EDGE('',*,*,#91804,.F.); #128545=ORIENTED_EDGE('',*,*,#91805,.T.); #128546=ORIENTED_EDGE('',*,*,#91806,.T.); #128547=ORIENTED_EDGE('',*,*,#91807,.F.); #128548=ORIENTED_EDGE('',*,*,#91808,.F.); #128549=ORIENTED_EDGE('',*,*,#91809,.T.); #128550=ORIENTED_EDGE('',*,*,#91810,.T.); #128551=ORIENTED_EDGE('',*,*,#91805,.F.); #128552=ORIENTED_EDGE('',*,*,#91811,.F.); #128553=ORIENTED_EDGE('',*,*,#91812,.T.); #128554=ORIENTED_EDGE('',*,*,#91813,.T.); #128555=ORIENTED_EDGE('',*,*,#91814,.F.); #128556=ORIENTED_EDGE('',*,*,#91815,.F.); #128557=ORIENTED_EDGE('',*,*,#91816,.T.); #128558=ORIENTED_EDGE('',*,*,#91817,.T.); #128559=ORIENTED_EDGE('',*,*,#91812,.F.); #128560=ORIENTED_EDGE('',*,*,#91817,.F.); #128561=ORIENTED_EDGE('',*,*,#91806,.F.); #128562=ORIENTED_EDGE('',*,*,#91810,.F.); #128563=ORIENTED_EDGE('',*,*,#91813,.F.); #128564=ORIENTED_EDGE('',*,*,#91818,.F.); #128565=ORIENTED_EDGE('',*,*,#91819,.T.); #128566=ORIENTED_EDGE('',*,*,#91820,.T.); #128567=ORIENTED_EDGE('',*,*,#91821,.F.); #128568=ORIENTED_EDGE('',*,*,#91822,.F.); #128569=ORIENTED_EDGE('',*,*,#91823,.T.); #128570=ORIENTED_EDGE('',*,*,#91824,.T.); #128571=ORIENTED_EDGE('',*,*,#91819,.F.); #128572=ORIENTED_EDGE('',*,*,#91825,.F.); #128573=ORIENTED_EDGE('',*,*,#91826,.T.); #128574=ORIENTED_EDGE('',*,*,#91827,.T.); #128575=ORIENTED_EDGE('',*,*,#91823,.F.); #128576=ORIENTED_EDGE('',*,*,#91828,.F.); #128577=ORIENTED_EDGE('',*,*,#91821,.T.); #128578=ORIENTED_EDGE('',*,*,#91829,.T.); #128579=ORIENTED_EDGE('',*,*,#91830,.F.); #128580=ORIENTED_EDGE('',*,*,#91829,.F.); #128581=ORIENTED_EDGE('',*,*,#91820,.F.); #128582=ORIENTED_EDGE('',*,*,#91824,.F.); #128583=ORIENTED_EDGE('',*,*,#91827,.F.); #128584=ORIENTED_EDGE('',*,*,#91831,.F.); #128585=ORIENTED_EDGE('',*,*,#91832,.T.); #128586=ORIENTED_EDGE('',*,*,#91833,.T.); #128587=ORIENTED_EDGE('',*,*,#91834,.F.); #128588=ORIENTED_EDGE('',*,*,#91835,.F.); #128589=ORIENTED_EDGE('',*,*,#91836,.T.); #128590=ORIENTED_EDGE('',*,*,#91837,.T.); #128591=ORIENTED_EDGE('',*,*,#91832,.F.); #128592=ORIENTED_EDGE('',*,*,#91838,.F.); #128593=ORIENTED_EDGE('',*,*,#91839,.T.); #128594=ORIENTED_EDGE('',*,*,#91840,.T.); #128595=ORIENTED_EDGE('',*,*,#91836,.F.); #128596=ORIENTED_EDGE('',*,*,#91841,.F.); #128597=ORIENTED_EDGE('',*,*,#91842,.T.); #128598=ORIENTED_EDGE('',*,*,#91843,.T.); #128599=ORIENTED_EDGE('',*,*,#91844,.F.); #128600=ORIENTED_EDGE('',*,*,#91845,.F.); #128601=ORIENTED_EDGE('',*,*,#91846,.T.); #128602=ORIENTED_EDGE('',*,*,#91847,.T.); #128603=ORIENTED_EDGE('',*,*,#91842,.F.); #128604=ORIENTED_EDGE('',*,*,#91848,.F.); #128605=ORIENTED_EDGE('',*,*,#91807,.T.); #128606=ORIENTED_EDGE('',*,*,#91849,.T.); #128607=ORIENTED_EDGE('',*,*,#91846,.F.); #128608=ORIENTED_EDGE('',*,*,#91850,.F.); #128609=ORIENTED_EDGE('',*,*,#91851,.T.); #128610=ORIENTED_EDGE('',*,*,#91852,.T.); #128611=ORIENTED_EDGE('',*,*,#91816,.F.); #128612=ORIENTED_EDGE('',*,*,#91853,.F.); #128613=ORIENTED_EDGE('',*,*,#91854,.T.); #128614=ORIENTED_EDGE('',*,*,#91855,.T.); #128615=ORIENTED_EDGE('',*,*,#91851,.F.); #128616=ORIENTED_EDGE('',*,*,#91856,.F.); #128617=ORIENTED_EDGE('',*,*,#91830,.T.); #128618=ORIENTED_EDGE('',*,*,#91857,.T.); #128619=ORIENTED_EDGE('',*,*,#91854,.F.); #128620=ORIENTED_EDGE('',*,*,#91858,.F.); #128621=ORIENTED_EDGE('',*,*,#91859,.T.); #128622=ORIENTED_EDGE('',*,*,#91860,.T.); #128623=ORIENTED_EDGE('',*,*,#91826,.F.); #128624=ORIENTED_EDGE('',*,*,#91861,.F.); #128625=ORIENTED_EDGE('',*,*,#91862,.T.); #128626=ORIENTED_EDGE('',*,*,#91863,.T.); #128627=ORIENTED_EDGE('',*,*,#91859,.F.); #128628=ORIENTED_EDGE('',*,*,#91864,.F.); #128629=ORIENTED_EDGE('',*,*,#91834,.T.); #128630=ORIENTED_EDGE('',*,*,#91865,.T.); #128631=ORIENTED_EDGE('',*,*,#91862,.F.); #128632=ORIENTED_EDGE('',*,*,#91865,.F.); #128633=ORIENTED_EDGE('',*,*,#91833,.F.); #128634=ORIENTED_EDGE('',*,*,#91837,.F.); #128635=ORIENTED_EDGE('',*,*,#91840,.F.); #128636=ORIENTED_EDGE('',*,*,#91843,.F.); #128637=ORIENTED_EDGE('',*,*,#91847,.F.); #128638=ORIENTED_EDGE('',*,*,#91849,.F.); #128639=ORIENTED_EDGE('',*,*,#91852,.F.); #128640=ORIENTED_EDGE('',*,*,#91855,.F.); #128641=ORIENTED_EDGE('',*,*,#91857,.F.); #128642=ORIENTED_EDGE('',*,*,#91860,.F.); #128643=ORIENTED_EDGE('',*,*,#91863,.F.); #128644=ORIENTED_EDGE('',*,*,#91866,.F.); #128645=ORIENTED_EDGE('',*,*,#91867,.T.); #128646=ORIENTED_EDGE('',*,*,#91868,.T.); #128647=ORIENTED_EDGE('',*,*,#91869,.F.); #128648=ORIENTED_EDGE('',*,*,#91870,.F.); #128649=ORIENTED_EDGE('',*,*,#91844,.T.); #128650=ORIENTED_EDGE('',*,*,#91871,.T.); #128651=ORIENTED_EDGE('',*,*,#91867,.F.); #128652=ORIENTED_EDGE('',*,*,#91872,.F.); #128653=ORIENTED_EDGE('',*,*,#91873,.T.); #128654=ORIENTED_EDGE('',*,*,#91874,.T.); #128655=ORIENTED_EDGE('',*,*,#91839,.F.); #128656=ORIENTED_EDGE('',*,*,#91875,.F.); #128657=ORIENTED_EDGE('',*,*,#91876,.T.); #128658=ORIENTED_EDGE('',*,*,#91877,.T.); #128659=ORIENTED_EDGE('',*,*,#91873,.F.); #128660=ORIENTED_EDGE('',*,*,#91877,.F.); #128661=ORIENTED_EDGE('',*,*,#91868,.F.); #128662=ORIENTED_EDGE('',*,*,#91871,.F.); #128663=ORIENTED_EDGE('',*,*,#91874,.F.); #128664=ORIENTED_EDGE('',*,*,#91878,.F.); #128665=ORIENTED_EDGE('',*,*,#91879,.T.); #128666=ORIENTED_EDGE('',*,*,#91880,.T.); #128667=ORIENTED_EDGE('',*,*,#91881,.F.); #128668=ORIENTED_EDGE('',*,*,#91882,.F.); #128669=ORIENTED_EDGE('',*,*,#91883,.T.); #128670=ORIENTED_EDGE('',*,*,#91884,.T.); #128671=ORIENTED_EDGE('',*,*,#91879,.F.); #128672=ORIENTED_EDGE('',*,*,#91885,.F.); #128673=ORIENTED_EDGE('',*,*,#91886,.T.); #128674=ORIENTED_EDGE('',*,*,#91887,.T.); #128675=ORIENTED_EDGE('',*,*,#91883,.F.); #128676=ORIENTED_EDGE('',*,*,#91888,.F.); #128677=ORIENTED_EDGE('',*,*,#91889,.T.); #128678=ORIENTED_EDGE('',*,*,#91890,.T.); #128679=ORIENTED_EDGE('',*,*,#91886,.F.); #128680=ORIENTED_EDGE('',*,*,#91891,.F.); #128681=ORIENTED_EDGE('',*,*,#91892,.T.); #128682=ORIENTED_EDGE('',*,*,#91893,.T.); #128683=ORIENTED_EDGE('',*,*,#91889,.F.); #128684=ORIENTED_EDGE('',*,*,#91894,.F.); #128685=ORIENTED_EDGE('',*,*,#91869,.T.); #128686=ORIENTED_EDGE('',*,*,#91895,.T.); #128687=ORIENTED_EDGE('',*,*,#91896,.F.); #128688=ORIENTED_EDGE('',*,*,#91897,.F.); #128689=ORIENTED_EDGE('',*,*,#91898,.T.); #128690=ORIENTED_EDGE('',*,*,#91899,.T.); #128691=ORIENTED_EDGE('',*,*,#91876,.F.); #128692=ORIENTED_EDGE('',*,*,#91900,.F.); #128693=ORIENTED_EDGE('',*,*,#91881,.T.); #128694=ORIENTED_EDGE('',*,*,#91901,.T.); #128695=ORIENTED_EDGE('',*,*,#91898,.F.); #128696=ORIENTED_EDGE('',*,*,#91901,.F.); #128697=ORIENTED_EDGE('',*,*,#91880,.F.); #128698=ORIENTED_EDGE('',*,*,#91884,.F.); #128699=ORIENTED_EDGE('',*,*,#91887,.F.); #128700=ORIENTED_EDGE('',*,*,#91890,.F.); #128701=ORIENTED_EDGE('',*,*,#91893,.F.); #128702=ORIENTED_EDGE('',*,*,#91895,.F.); #128703=ORIENTED_EDGE('',*,*,#91899,.F.); #128704=ORIENTED_EDGE('',*,*,#91902,.F.); #128705=ORIENTED_EDGE('',*,*,#91903,.T.); #128706=ORIENTED_EDGE('',*,*,#91904,.T.); #128707=ORIENTED_EDGE('',*,*,#91905,.F.); #128708=ORIENTED_EDGE('',*,*,#91906,.F.); #128709=ORIENTED_EDGE('',*,*,#91896,.T.); #128710=ORIENTED_EDGE('',*,*,#91907,.T.); #128711=ORIENTED_EDGE('',*,*,#91903,.F.); #128712=ORIENTED_EDGE('',*,*,#91908,.F.); #128713=ORIENTED_EDGE('',*,*,#91909,.T.); #128714=ORIENTED_EDGE('',*,*,#91910,.T.); #128715=ORIENTED_EDGE('',*,*,#91892,.F.); #128716=ORIENTED_EDGE('',*,*,#91911,.F.); #128717=ORIENTED_EDGE('',*,*,#91912,.T.); #128718=ORIENTED_EDGE('',*,*,#91913,.T.); #128719=ORIENTED_EDGE('',*,*,#91909,.F.); #128720=ORIENTED_EDGE('',*,*,#91913,.F.); #128721=ORIENTED_EDGE('',*,*,#91904,.F.); #128722=ORIENTED_EDGE('',*,*,#91907,.F.); #128723=ORIENTED_EDGE('',*,*,#91910,.F.); #128724=ORIENTED_EDGE('',*,*,#91914,.F.); #128725=ORIENTED_EDGE('',*,*,#91915,.T.); #128726=ORIENTED_EDGE('',*,*,#91916,.T.); #128727=ORIENTED_EDGE('',*,*,#91917,.F.); #128728=ORIENTED_EDGE('',*,*,#91918,.F.); #128729=ORIENTED_EDGE('',*,*,#91919,.T.); #128730=ORIENTED_EDGE('',*,*,#91920,.T.); #128731=ORIENTED_EDGE('',*,*,#91915,.F.); #128732=ORIENTED_EDGE('',*,*,#91921,.F.); #128733=ORIENTED_EDGE('',*,*,#91922,.T.); #128734=ORIENTED_EDGE('',*,*,#91923,.T.); #128735=ORIENTED_EDGE('',*,*,#91924,.F.); #128736=ORIENTED_EDGE('',*,*,#91925,.F.); #128737=ORIENTED_EDGE('',*,*,#91926,.T.); #128738=ORIENTED_EDGE('',*,*,#91927,.T.); #128739=ORIENTED_EDGE('',*,*,#91922,.F.); #128740=ORIENTED_EDGE('',*,*,#91927,.F.); #128741=ORIENTED_EDGE('',*,*,#91916,.F.); #128742=ORIENTED_EDGE('',*,*,#91920,.F.); #128743=ORIENTED_EDGE('',*,*,#91923,.F.); #128744=ORIENTED_EDGE('',*,*,#91928,.F.); #128745=ORIENTED_EDGE('',*,*,#91814,.T.); #128746=ORIENTED_EDGE('',*,*,#91929,.T.); #128747=ORIENTED_EDGE('',*,*,#91930,.F.); #128748=ORIENTED_EDGE('',*,*,#91931,.F.); #128749=ORIENTED_EDGE('',*,*,#91924,.T.); #128750=ORIENTED_EDGE('',*,*,#91932,.T.); #128751=ORIENTED_EDGE('',*,*,#91809,.F.); #128752=ORIENTED_EDGE('',*,*,#91933,.F.); #128753=ORIENTED_EDGE('',*,*,#91934,.T.); #128754=ORIENTED_EDGE('',*,*,#91935,.T.); #128755=ORIENTED_EDGE('',*,*,#91919,.F.); #128756=ORIENTED_EDGE('',*,*,#91936,.F.); #128757=ORIENTED_EDGE('',*,*,#91937,.T.); #128758=ORIENTED_EDGE('',*,*,#91938,.T.); #128759=ORIENTED_EDGE('',*,*,#91934,.F.); #128760=ORIENTED_EDGE('',*,*,#91939,.F.); #128761=ORIENTED_EDGE('',*,*,#91940,.T.); #128762=ORIENTED_EDGE('',*,*,#91941,.T.); #128763=ORIENTED_EDGE('',*,*,#91937,.F.); #128764=ORIENTED_EDGE('',*,*,#91942,.F.); #128765=ORIENTED_EDGE('',*,*,#91943,.T.); #128766=ORIENTED_EDGE('',*,*,#91944,.T.); #128767=ORIENTED_EDGE('',*,*,#91945,.F.); #128768=ORIENTED_EDGE('',*,*,#91946,.F.); #128769=ORIENTED_EDGE('',*,*,#91947,.T.); #128770=ORIENTED_EDGE('',*,*,#91948,.T.); #128771=ORIENTED_EDGE('',*,*,#91943,.F.); #128772=ORIENTED_EDGE('',*,*,#91949,.F.); #128773=ORIENTED_EDGE('',*,*,#91950,.T.); #128774=ORIENTED_EDGE('',*,*,#91951,.T.); #128775=ORIENTED_EDGE('',*,*,#91952,.F.); #128776=ORIENTED_EDGE('',*,*,#91953,.F.); #128777=ORIENTED_EDGE('',*,*,#91954,.T.); #128778=ORIENTED_EDGE('',*,*,#91955,.T.); #128779=ORIENTED_EDGE('',*,*,#91950,.F.); #128780=ORIENTED_EDGE('',*,*,#91956,.F.); #128781=ORIENTED_EDGE('',*,*,#91773,.T.); #128782=ORIENTED_EDGE('',*,*,#91957,.T.); #128783=ORIENTED_EDGE('',*,*,#91954,.F.); #128784=ORIENTED_EDGE('',*,*,#91958,.F.); #128785=ORIENTED_EDGE('',*,*,#91959,.T.); #128786=ORIENTED_EDGE('',*,*,#91960,.T.); #128787=ORIENTED_EDGE('',*,*,#91768,.F.); #128788=ORIENTED_EDGE('',*,*,#91961,.F.); #128789=ORIENTED_EDGE('',*,*,#91930,.T.); #128790=ORIENTED_EDGE('',*,*,#91962,.T.); #128791=ORIENTED_EDGE('',*,*,#91959,.F.); #128792=ORIENTED_EDGE('',*,*,#91962,.F.); #128793=ORIENTED_EDGE('',*,*,#91929,.F.); #128794=ORIENTED_EDGE('',*,*,#91932,.F.); #128795=ORIENTED_EDGE('',*,*,#91935,.F.); #128796=ORIENTED_EDGE('',*,*,#91938,.F.); #128797=ORIENTED_EDGE('',*,*,#91941,.F.); #128798=ORIENTED_EDGE('',*,*,#91944,.F.); #128799=ORIENTED_EDGE('',*,*,#91948,.F.); #128800=ORIENTED_EDGE('',*,*,#91951,.F.); #128801=ORIENTED_EDGE('',*,*,#91955,.F.); #128802=ORIENTED_EDGE('',*,*,#91957,.F.); #128803=ORIENTED_EDGE('',*,*,#91960,.F.); #128804=ORIENTED_EDGE('',*,*,#91963,.F.); #128805=ORIENTED_EDGE('',*,*,#91964,.T.); #128806=ORIENTED_EDGE('',*,*,#91965,.T.); #128807=ORIENTED_EDGE('',*,*,#91966,.F.); #128808=ORIENTED_EDGE('',*,*,#91967,.F.); #128809=ORIENTED_EDGE('',*,*,#91945,.T.); #128810=ORIENTED_EDGE('',*,*,#91968,.T.); #128811=ORIENTED_EDGE('',*,*,#91969,.F.); #128812=ORIENTED_EDGE('',*,*,#91970,.F.); #128813=ORIENTED_EDGE('',*,*,#91971,.T.); #128814=ORIENTED_EDGE('',*,*,#91972,.T.); #128815=ORIENTED_EDGE('',*,*,#91940,.F.); #128816=ORIENTED_EDGE('',*,*,#91973,.F.); #128817=ORIENTED_EDGE('',*,*,#91966,.T.); #128818=ORIENTED_EDGE('',*,*,#91974,.T.); #128819=ORIENTED_EDGE('',*,*,#91971,.F.); #128820=ORIENTED_EDGE('',*,*,#91974,.F.); #128821=ORIENTED_EDGE('',*,*,#91965,.F.); #128822=ORIENTED_EDGE('',*,*,#91968,.F.); #128823=ORIENTED_EDGE('',*,*,#91972,.F.); #128824=ORIENTED_EDGE('',*,*,#91975,.F.); #128825=ORIENTED_EDGE('',*,*,#91976,.T.); #128826=ORIENTED_EDGE('',*,*,#91977,.T.); #128827=ORIENTED_EDGE('',*,*,#91978,.F.); #128828=ORIENTED_EDGE('',*,*,#91979,.F.); #128829=ORIENTED_EDGE('',*,*,#91980,.T.); #128830=ORIENTED_EDGE('',*,*,#91981,.T.); #128831=ORIENTED_EDGE('',*,*,#91976,.F.); #128832=ORIENTED_EDGE('',*,*,#91982,.F.); #128833=ORIENTED_EDGE('',*,*,#91983,.T.); #128834=ORIENTED_EDGE('',*,*,#91984,.T.); #128835=ORIENTED_EDGE('',*,*,#91980,.F.); #128836=ORIENTED_EDGE('',*,*,#91985,.F.); #128837=ORIENTED_EDGE('',*,*,#91986,.T.); #128838=ORIENTED_EDGE('',*,*,#91987,.T.); #128839=ORIENTED_EDGE('',*,*,#91983,.F.); #128840=ORIENTED_EDGE('',*,*,#91988,.F.); #128841=ORIENTED_EDGE('',*,*,#91952,.T.); #128842=ORIENTED_EDGE('',*,*,#91989,.T.); #128843=ORIENTED_EDGE('',*,*,#91986,.F.); #128844=ORIENTED_EDGE('',*,*,#91990,.F.); #128845=ORIENTED_EDGE('',*,*,#91969,.T.); #128846=ORIENTED_EDGE('',*,*,#91991,.T.); #128847=ORIENTED_EDGE('',*,*,#91947,.F.); #128848=ORIENTED_EDGE('',*,*,#91992,.F.); #128849=ORIENTED_EDGE('',*,*,#91993,.T.); #128850=ORIENTED_EDGE('',*,*,#91994,.T.); #128851=ORIENTED_EDGE('',*,*,#91964,.F.); #128852=ORIENTED_EDGE('',*,*,#91995,.F.); #128853=ORIENTED_EDGE('',*,*,#91996,.T.); #128854=ORIENTED_EDGE('',*,*,#91997,.T.); #128855=ORIENTED_EDGE('',*,*,#91993,.F.); #128856=ORIENTED_EDGE('',*,*,#91998,.F.); #128857=ORIENTED_EDGE('',*,*,#91999,.T.); #128858=ORIENTED_EDGE('',*,*,#92000,.T.); #128859=ORIENTED_EDGE('',*,*,#91996,.F.); #128860=ORIENTED_EDGE('',*,*,#92001,.F.); #128861=ORIENTED_EDGE('',*,*,#92002,.T.); #128862=ORIENTED_EDGE('',*,*,#92003,.T.); #128863=ORIENTED_EDGE('',*,*,#91999,.F.); #128864=ORIENTED_EDGE('',*,*,#92004,.F.); #128865=ORIENTED_EDGE('',*,*,#92005,.T.); #128866=ORIENTED_EDGE('',*,*,#92006,.T.); #128867=ORIENTED_EDGE('',*,*,#92007,.F.); #128868=ORIENTED_EDGE('',*,*,#92008,.F.); #128869=ORIENTED_EDGE('',*,*,#91978,.T.); #128870=ORIENTED_EDGE('',*,*,#92009,.T.); #128871=ORIENTED_EDGE('',*,*,#92010,.F.); #128872=ORIENTED_EDGE('',*,*,#92009,.F.); #128873=ORIENTED_EDGE('',*,*,#91977,.F.); #128874=ORIENTED_EDGE('',*,*,#91981,.F.); #128875=ORIENTED_EDGE('',*,*,#91984,.F.); #128876=ORIENTED_EDGE('',*,*,#91987,.F.); #128877=ORIENTED_EDGE('',*,*,#91989,.F.); #128878=ORIENTED_EDGE('',*,*,#91991,.F.); #128879=ORIENTED_EDGE('',*,*,#91994,.F.); #128880=ORIENTED_EDGE('',*,*,#91997,.F.); #128881=ORIENTED_EDGE('',*,*,#92000,.F.); #128882=ORIENTED_EDGE('',*,*,#92003,.F.); #128883=ORIENTED_EDGE('',*,*,#92006,.F.); #128884=ORIENTED_EDGE('',*,*,#92011,.F.); #128885=ORIENTED_EDGE('',*,*,#92012,.T.); #128886=ORIENTED_EDGE('',*,*,#92013,.T.); #128887=ORIENTED_EDGE('',*,*,#92014,.F.); #128888=ORIENTED_EDGE('',*,*,#92015,.F.); #128889=ORIENTED_EDGE('',*,*,#92016,.T.); #128890=ORIENTED_EDGE('',*,*,#92017,.T.); #128891=ORIENTED_EDGE('',*,*,#92018,.F.); #128892=ORIENTED_EDGE('',*,*,#92019,.F.); #128893=ORIENTED_EDGE('',*,*,#92020,.T.); #128894=ORIENTED_EDGE('',*,*,#92021,.T.); #128895=ORIENTED_EDGE('',*,*,#92016,.F.); #128896=ORIENTED_EDGE('',*,*,#92022,.F.); #128897=ORIENTED_EDGE('',*,*,#92014,.T.); #128898=ORIENTED_EDGE('',*,*,#92023,.T.); #128899=ORIENTED_EDGE('',*,*,#92020,.F.); #128900=ORIENTED_EDGE('',*,*,#92023,.F.); #128901=ORIENTED_EDGE('',*,*,#92013,.F.); #128902=ORIENTED_EDGE('',*,*,#92017,.F.); #128903=ORIENTED_EDGE('',*,*,#92021,.F.); #128904=ORIENTED_EDGE('',*,*,#92024,.F.); #128905=ORIENTED_EDGE('',*,*,#92025,.T.); #128906=ORIENTED_EDGE('',*,*,#92026,.T.); #128907=ORIENTED_EDGE('',*,*,#92027,.F.); #128908=ORIENTED_EDGE('',*,*,#92028,.F.); #128909=ORIENTED_EDGE('',*,*,#92029,.T.); #128910=ORIENTED_EDGE('',*,*,#92030,.T.); #128911=ORIENTED_EDGE('',*,*,#92025,.F.); #128912=ORIENTED_EDGE('',*,*,#92031,.F.); #128913=ORIENTED_EDGE('',*,*,#92032,.T.); #128914=ORIENTED_EDGE('',*,*,#92033,.T.); #128915=ORIENTED_EDGE('',*,*,#92029,.F.); #128916=ORIENTED_EDGE('',*,*,#92034,.F.); #128917=ORIENTED_EDGE('',*,*,#92027,.T.); #128918=ORIENTED_EDGE('',*,*,#92035,.T.); #128919=ORIENTED_EDGE('',*,*,#92032,.F.); #128920=ORIENTED_EDGE('',*,*,#92036,.F.); #128921=ORIENTED_EDGE('',*,*,#92037,.T.); #128922=ORIENTED_EDGE('',*,*,#92038,.T.); #128923=ORIENTED_EDGE('',*,*,#92039,.F.); #128924=ORIENTED_EDGE('',*,*,#92040,.F.); #128925=ORIENTED_EDGE('',*,*,#92041,.T.); #128926=ORIENTED_EDGE('',*,*,#92042,.T.); #128927=ORIENTED_EDGE('',*,*,#92037,.F.); #128928=ORIENTED_EDGE('',*,*,#92043,.F.); #128929=ORIENTED_EDGE('',*,*,#92044,.T.); #128930=ORIENTED_EDGE('',*,*,#92045,.T.); #128931=ORIENTED_EDGE('',*,*,#92041,.F.); #128932=ORIENTED_EDGE('',*,*,#92046,.F.); #128933=ORIENTED_EDGE('',*,*,#92047,.T.); #128934=ORIENTED_EDGE('',*,*,#92048,.T.); #128935=ORIENTED_EDGE('',*,*,#92044,.F.); #128936=ORIENTED_EDGE('',*,*,#92049,.F.); #128937=ORIENTED_EDGE('',*,*,#92050,.T.); #128938=ORIENTED_EDGE('',*,*,#92051,.T.); #128939=ORIENTED_EDGE('',*,*,#92047,.F.); #128940=ORIENTED_EDGE('',*,*,#92052,.F.); #128941=ORIENTED_EDGE('',*,*,#92053,.T.); #128942=ORIENTED_EDGE('',*,*,#92054,.T.); #128943=ORIENTED_EDGE('',*,*,#92050,.F.); #128944=ORIENTED_EDGE('',*,*,#92055,.F.); #128945=ORIENTED_EDGE('',*,*,#92056,.T.); #128946=ORIENTED_EDGE('',*,*,#92057,.T.); #128947=ORIENTED_EDGE('',*,*,#92053,.F.); #128948=ORIENTED_EDGE('',*,*,#92058,.F.); #128949=ORIENTED_EDGE('',*,*,#92059,.T.); #128950=ORIENTED_EDGE('',*,*,#92060,.T.); #128951=ORIENTED_EDGE('',*,*,#92056,.F.); #128952=ORIENTED_EDGE('',*,*,#92061,.F.); #128953=ORIENTED_EDGE('',*,*,#92062,.T.); #128954=ORIENTED_EDGE('',*,*,#92063,.T.); #128955=ORIENTED_EDGE('',*,*,#92064,.F.); #128956=ORIENTED_EDGE('',*,*,#92065,.F.); #128957=ORIENTED_EDGE('',*,*,#92066,.T.); #128958=ORIENTED_EDGE('',*,*,#92067,.T.); #128959=ORIENTED_EDGE('',*,*,#92062,.F.); #128960=ORIENTED_EDGE('',*,*,#92068,.F.); #128961=ORIENTED_EDGE('',*,*,#92069,.T.); #128962=ORIENTED_EDGE('',*,*,#92070,.T.); #128963=ORIENTED_EDGE('',*,*,#92066,.F.); #128964=ORIENTED_EDGE('',*,*,#92071,.F.); #128965=ORIENTED_EDGE('',*,*,#92064,.T.); #128966=ORIENTED_EDGE('',*,*,#92072,.T.); #128967=ORIENTED_EDGE('',*,*,#92069,.F.); #128968=ORIENTED_EDGE('',*,*,#92073,.F.); #128969=ORIENTED_EDGE('',*,*,#92074,.T.); #128970=ORIENTED_EDGE('',*,*,#92075,.T.); #128971=ORIENTED_EDGE('',*,*,#92059,.F.); #128972=ORIENTED_EDGE('',*,*,#92076,.F.); #128973=ORIENTED_EDGE('',*,*,#92077,.T.); #128974=ORIENTED_EDGE('',*,*,#92078,.T.); #128975=ORIENTED_EDGE('',*,*,#92074,.F.); #128976=ORIENTED_EDGE('',*,*,#92079,.F.); #128977=ORIENTED_EDGE('',*,*,#92080,.T.); #128978=ORIENTED_EDGE('',*,*,#92081,.T.); #128979=ORIENTED_EDGE('',*,*,#92077,.F.); #128980=ORIENTED_EDGE('',*,*,#92082,.F.); #128981=ORIENTED_EDGE('',*,*,#92083,.T.); #128982=ORIENTED_EDGE('',*,*,#92084,.T.); #128983=ORIENTED_EDGE('',*,*,#92080,.F.); #128984=ORIENTED_EDGE('',*,*,#92085,.F.); #128985=ORIENTED_EDGE('',*,*,#92086,.T.); #128986=ORIENTED_EDGE('',*,*,#92087,.T.); #128987=ORIENTED_EDGE('',*,*,#92083,.F.); #128988=ORIENTED_EDGE('',*,*,#92088,.F.); #128989=ORIENTED_EDGE('',*,*,#92018,.T.); #128990=ORIENTED_EDGE('',*,*,#92089,.T.); #128991=ORIENTED_EDGE('',*,*,#92086,.F.); #128992=ORIENTED_EDGE('',*,*,#92090,.F.); #128993=ORIENTED_EDGE('',*,*,#92091,.T.); #128994=ORIENTED_EDGE('',*,*,#92092,.T.); #128995=ORIENTED_EDGE('',*,*,#92012,.F.); #128996=ORIENTED_EDGE('',*,*,#92093,.F.); #128997=ORIENTED_EDGE('',*,*,#92094,.T.); #128998=ORIENTED_EDGE('',*,*,#92095,.T.); #128999=ORIENTED_EDGE('',*,*,#92091,.F.); #129000=ORIENTED_EDGE('',*,*,#92096,.F.); #129001=ORIENTED_EDGE('',*,*,#92007,.T.); #129002=ORIENTED_EDGE('',*,*,#92097,.T.); #129003=ORIENTED_EDGE('',*,*,#92094,.F.); #129004=ORIENTED_EDGE('',*,*,#92098,.F.); #129005=ORIENTED_EDGE('',*,*,#92099,.T.); #129006=ORIENTED_EDGE('',*,*,#92100,.T.); #129007=ORIENTED_EDGE('',*,*,#92002,.F.); #129008=ORIENTED_EDGE('',*,*,#92101,.F.); #129009=ORIENTED_EDGE('',*,*,#92102,.T.); #129010=ORIENTED_EDGE('',*,*,#92103,.T.); #129011=ORIENTED_EDGE('',*,*,#92099,.F.); #129012=ORIENTED_EDGE('',*,*,#92104,.F.); #129013=ORIENTED_EDGE('',*,*,#92105,.T.); #129014=ORIENTED_EDGE('',*,*,#92106,.T.); #129015=ORIENTED_EDGE('',*,*,#92102,.F.); #129016=ORIENTED_EDGE('',*,*,#92107,.F.); #129017=ORIENTED_EDGE('',*,*,#92108,.T.); #129018=ORIENTED_EDGE('',*,*,#92109,.T.); #129019=ORIENTED_EDGE('',*,*,#92105,.F.); #129020=ORIENTED_EDGE('',*,*,#92110,.F.); #129021=ORIENTED_EDGE('',*,*,#92039,.T.); #129022=ORIENTED_EDGE('',*,*,#92111,.T.); #129023=ORIENTED_EDGE('',*,*,#92108,.F.); #129024=ORIENTED_EDGE('',*,*,#92111,.F.); #129025=ORIENTED_EDGE('',*,*,#92026,.F.); #129026=ORIENTED_EDGE('',*,*,#92030,.F.); #129027=ORIENTED_EDGE('',*,*,#92033,.F.); #129028=ORIENTED_EDGE('',*,*,#92035,.F.); #129029=ORIENTED_EDGE('',*,*,#92038,.F.); #129030=ORIENTED_EDGE('',*,*,#92042,.F.); #129031=ORIENTED_EDGE('',*,*,#92045,.F.); #129032=ORIENTED_EDGE('',*,*,#92048,.F.); #129033=ORIENTED_EDGE('',*,*,#92051,.F.); #129034=ORIENTED_EDGE('',*,*,#92054,.F.); #129035=ORIENTED_EDGE('',*,*,#92057,.F.); #129036=ORIENTED_EDGE('',*,*,#92060,.F.); #129037=ORIENTED_EDGE('',*,*,#92063,.F.); #129038=ORIENTED_EDGE('',*,*,#92067,.F.); #129039=ORIENTED_EDGE('',*,*,#92070,.F.); #129040=ORIENTED_EDGE('',*,*,#92072,.F.); #129041=ORIENTED_EDGE('',*,*,#92075,.F.); #129042=ORIENTED_EDGE('',*,*,#92078,.F.); #129043=ORIENTED_EDGE('',*,*,#92081,.F.); #129044=ORIENTED_EDGE('',*,*,#92084,.F.); #129045=ORIENTED_EDGE('',*,*,#92087,.F.); #129046=ORIENTED_EDGE('',*,*,#92089,.F.); #129047=ORIENTED_EDGE('',*,*,#92092,.F.); #129048=ORIENTED_EDGE('',*,*,#92095,.F.); #129049=ORIENTED_EDGE('',*,*,#92097,.F.); #129050=ORIENTED_EDGE('',*,*,#92100,.F.); #129051=ORIENTED_EDGE('',*,*,#92103,.F.); #129052=ORIENTED_EDGE('',*,*,#92106,.F.); #129053=ORIENTED_EDGE('',*,*,#92109,.F.); #129054=ORIENTED_EDGE('',*,*,#92112,.F.); #129055=ORIENTED_EDGE('',*,*,#92113,.T.); #129056=ORIENTED_EDGE('',*,*,#92114,.T.); #129057=ORIENTED_EDGE('',*,*,#92115,.F.); #129058=ORIENTED_EDGE('',*,*,#92116,.F.); #129059=ORIENTED_EDGE('',*,*,#92117,.T.); #129060=ORIENTED_EDGE('',*,*,#92118,.T.); #129061=ORIENTED_EDGE('',*,*,#92113,.F.); #129062=ORIENTED_EDGE('',*,*,#92119,.F.); #129063=ORIENTED_EDGE('',*,*,#92010,.T.); #129064=ORIENTED_EDGE('',*,*,#92120,.T.); #129065=ORIENTED_EDGE('',*,*,#92117,.F.); #129066=ORIENTED_EDGE('',*,*,#92121,.F.); #129067=ORIENTED_EDGE('',*,*,#92115,.T.); #129068=ORIENTED_EDGE('',*,*,#92122,.T.); #129069=ORIENTED_EDGE('',*,*,#92005,.F.); #129070=ORIENTED_EDGE('',*,*,#92122,.F.); #129071=ORIENTED_EDGE('',*,*,#92114,.F.); #129072=ORIENTED_EDGE('',*,*,#92118,.F.); #129073=ORIENTED_EDGE('',*,*,#92120,.F.); #129074=ORIENTED_EDGE('',*,*,#92123,.F.); #129075=ORIENTED_EDGE('',*,*,#92124,.T.); #129076=ORIENTED_EDGE('',*,*,#92125,.T.); #129077=ORIENTED_EDGE('',*,*,#92126,.F.); #129078=ORIENTED_EDGE('',*,*,#92127,.F.); #129079=ORIENTED_EDGE('',*,*,#92128,.T.); #129080=ORIENTED_EDGE('',*,*,#92129,.T.); #129081=ORIENTED_EDGE('',*,*,#92130,.F.); #129082=ORIENTED_EDGE('',*,*,#92131,.F.); #129083=ORIENTED_EDGE('',*,*,#92132,.T.); #129084=ORIENTED_EDGE('',*,*,#92133,.T.); #129085=ORIENTED_EDGE('',*,*,#92128,.F.); #129086=ORIENTED_EDGE('',*,*,#92134,.F.); #129087=ORIENTED_EDGE('',*,*,#92126,.T.); #129088=ORIENTED_EDGE('',*,*,#92135,.T.); #129089=ORIENTED_EDGE('',*,*,#92132,.F.); #129090=ORIENTED_EDGE('',*,*,#92135,.F.); #129091=ORIENTED_EDGE('',*,*,#92125,.F.); #129092=ORIENTED_EDGE('',*,*,#92129,.F.); #129093=ORIENTED_EDGE('',*,*,#92133,.F.); #129094=ORIENTED_EDGE('',*,*,#92136,.F.); #129095=ORIENTED_EDGE('',*,*,#92137,.T.); #129096=ORIENTED_EDGE('',*,*,#92138,.T.); #129097=ORIENTED_EDGE('',*,*,#92139,.F.); #129098=ORIENTED_EDGE('',*,*,#92140,.F.); #129099=ORIENTED_EDGE('',*,*,#92141,.T.); #129100=ORIENTED_EDGE('',*,*,#92142,.T.); #129101=ORIENTED_EDGE('',*,*,#92137,.F.); #129102=ORIENTED_EDGE('',*,*,#92143,.F.); #129103=ORIENTED_EDGE('',*,*,#92144,.T.); #129104=ORIENTED_EDGE('',*,*,#92145,.T.); #129105=ORIENTED_EDGE('',*,*,#92146,.F.); #129106=ORIENTED_EDGE('',*,*,#92147,.F.); #129107=ORIENTED_EDGE('',*,*,#92130,.T.); #129108=ORIENTED_EDGE('',*,*,#92148,.T.); #129109=ORIENTED_EDGE('',*,*,#92144,.F.); #129110=ORIENTED_EDGE('',*,*,#92149,.F.); #129111=ORIENTED_EDGE('',*,*,#92150,.T.); #129112=ORIENTED_EDGE('',*,*,#92151,.T.); #129113=ORIENTED_EDGE('',*,*,#92124,.F.); #129114=ORIENTED_EDGE('',*,*,#92152,.F.); #129115=ORIENTED_EDGE('',*,*,#92153,.T.); #129116=ORIENTED_EDGE('',*,*,#92154,.T.); #129117=ORIENTED_EDGE('',*,*,#92150,.F.); #129118=ORIENTED_EDGE('',*,*,#92155,.F.); #129119=ORIENTED_EDGE('',*,*,#91721,.T.); #129120=ORIENTED_EDGE('',*,*,#92156,.T.); #129121=ORIENTED_EDGE('',*,*,#92153,.F.); #129122=ORIENTED_EDGE('',*,*,#92157,.F.); #129123=ORIENTED_EDGE('',*,*,#92158,.T.); #129124=ORIENTED_EDGE('',*,*,#92159,.T.); #129125=ORIENTED_EDGE('',*,*,#91735,.F.); #129126=ORIENTED_EDGE('',*,*,#92160,.F.); #129127=ORIENTED_EDGE('',*,*,#92161,.T.); #129128=ORIENTED_EDGE('',*,*,#92162,.T.); #129129=ORIENTED_EDGE('',*,*,#92158,.F.); #129130=ORIENTED_EDGE('',*,*,#92163,.F.); #129131=ORIENTED_EDGE('',*,*,#91917,.T.); #129132=ORIENTED_EDGE('',*,*,#92164,.T.); #129133=ORIENTED_EDGE('',*,*,#92161,.F.); #129134=ORIENTED_EDGE('',*,*,#92165,.F.); #129135=ORIENTED_EDGE('',*,*,#92166,.T.); #129136=ORIENTED_EDGE('',*,*,#92167,.T.); #129137=ORIENTED_EDGE('',*,*,#91926,.F.); #129138=ORIENTED_EDGE('',*,*,#92168,.F.); #129139=ORIENTED_EDGE('',*,*,#92169,.T.); #129140=ORIENTED_EDGE('',*,*,#92170,.T.); #129141=ORIENTED_EDGE('',*,*,#92166,.F.); #129142=ORIENTED_EDGE('',*,*,#92171,.F.); #129143=ORIENTED_EDGE('',*,*,#91905,.T.); #129144=ORIENTED_EDGE('',*,*,#92172,.T.); #129145=ORIENTED_EDGE('',*,*,#92169,.F.); #129146=ORIENTED_EDGE('',*,*,#92173,.F.); #129147=ORIENTED_EDGE('',*,*,#92139,.T.); #129148=ORIENTED_EDGE('',*,*,#92174,.T.); #129149=ORIENTED_EDGE('',*,*,#91912,.F.); #129150=ORIENTED_EDGE('',*,*,#92174,.F.); #129151=ORIENTED_EDGE('',*,*,#92138,.F.); #129152=ORIENTED_EDGE('',*,*,#92142,.F.); #129153=ORIENTED_EDGE('',*,*,#92145,.F.); #129154=ORIENTED_EDGE('',*,*,#92148,.F.); #129155=ORIENTED_EDGE('',*,*,#92151,.F.); #129156=ORIENTED_EDGE('',*,*,#92154,.F.); #129157=ORIENTED_EDGE('',*,*,#92156,.F.); #129158=ORIENTED_EDGE('',*,*,#92159,.F.); #129159=ORIENTED_EDGE('',*,*,#92162,.F.); #129160=ORIENTED_EDGE('',*,*,#92164,.F.); #129161=ORIENTED_EDGE('',*,*,#92167,.F.); #129162=ORIENTED_EDGE('',*,*,#92170,.F.); #129163=ORIENTED_EDGE('',*,*,#92172,.F.); #129164=ORIENTED_EDGE('',*,*,#92175,.F.); #129165=ORIENTED_EDGE('',*,*,#92176,.T.); #129166=ORIENTED_EDGE('',*,*,#92177,.T.); #129167=ORIENTED_EDGE('',*,*,#92178,.F.); #129168=ORIENTED_EDGE('',*,*,#92179,.F.); #129169=ORIENTED_EDGE('',*,*,#92180,.T.); #129170=ORIENTED_EDGE('',*,*,#92181,.T.); #129171=ORIENTED_EDGE('',*,*,#92176,.F.); #129172=ORIENTED_EDGE('',*,*,#92182,.F.); #129173=ORIENTED_EDGE('',*,*,#92183,.T.); #129174=ORIENTED_EDGE('',*,*,#92184,.T.); #129175=ORIENTED_EDGE('',*,*,#92180,.F.); #129176=ORIENTED_EDGE('',*,*,#92185,.F.); #129177=ORIENTED_EDGE('',*,*,#92186,.T.); #129178=ORIENTED_EDGE('',*,*,#92187,.T.); #129179=ORIENTED_EDGE('',*,*,#92183,.F.); #129180=ORIENTED_EDGE('',*,*,#92188,.F.); #129181=ORIENTED_EDGE('',*,*,#92146,.T.); #129182=ORIENTED_EDGE('',*,*,#92189,.T.); #129183=ORIENTED_EDGE('',*,*,#92186,.F.); #129184=ORIENTED_EDGE('',*,*,#92190,.F.); #129185=ORIENTED_EDGE('',*,*,#92178,.T.); #129186=ORIENTED_EDGE('',*,*,#92191,.T.); #129187=ORIENTED_EDGE('',*,*,#92141,.F.); #129188=ORIENTED_EDGE('',*,*,#92191,.F.); #129189=ORIENTED_EDGE('',*,*,#92177,.F.); #129190=ORIENTED_EDGE('',*,*,#92181,.F.); #129191=ORIENTED_EDGE('',*,*,#92184,.F.); #129192=ORIENTED_EDGE('',*,*,#92187,.F.); #129193=ORIENTED_EDGE('',*,*,#92189,.F.); #129194=ORIENTED_EDGE('',*,*,#92192,.F.); #129195=ORIENTED_EDGE('',*,*,#92193,.T.); #129196=ORIENTED_EDGE('',*,*,#92194,.T.); #129197=ORIENTED_EDGE('',*,*,#92195,.F.); #129198=ORIENTED_EDGE('',*,*,#92196,.F.); #129199=ORIENTED_EDGE('',*,*,#92197,.T.); #129200=ORIENTED_EDGE('',*,*,#92198,.T.); #129201=ORIENTED_EDGE('',*,*,#92193,.F.); #129202=ORIENTED_EDGE('',*,*,#92199,.F.); #129203=ORIENTED_EDGE('',*,*,#92200,.T.); #129204=ORIENTED_EDGE('',*,*,#92201,.T.); #129205=ORIENTED_EDGE('',*,*,#92197,.F.); #129206=ORIENTED_EDGE('',*,*,#92202,.F.); #129207=ORIENTED_EDGE('',*,*,#92203,.T.); #129208=ORIENTED_EDGE('',*,*,#92204,.T.); #129209=ORIENTED_EDGE('',*,*,#92200,.F.); #129210=ORIENTED_EDGE('',*,*,#92205,.F.); #129211=ORIENTED_EDGE('',*,*,#92206,.T.); #129212=ORIENTED_EDGE('',*,*,#92207,.T.); #129213=ORIENTED_EDGE('',*,*,#92203,.F.); #129214=ORIENTED_EDGE('',*,*,#92208,.F.); #129215=ORIENTED_EDGE('',*,*,#92209,.T.); #129216=ORIENTED_EDGE('',*,*,#92210,.T.); #129217=ORIENTED_EDGE('',*,*,#92206,.F.); #129218=ORIENTED_EDGE('',*,*,#92211,.F.); #129219=ORIENTED_EDGE('',*,*,#92212,.T.); #129220=ORIENTED_EDGE('',*,*,#92213,.T.); #129221=ORIENTED_EDGE('',*,*,#92209,.F.); #129222=ORIENTED_EDGE('',*,*,#92214,.F.); #129223=ORIENTED_EDGE('',*,*,#92215,.T.); #129224=ORIENTED_EDGE('',*,*,#92216,.T.); #129225=ORIENTED_EDGE('',*,*,#92212,.F.); #129226=ORIENTED_EDGE('',*,*,#92217,.F.); #129227=ORIENTED_EDGE('',*,*,#92218,.T.); #129228=ORIENTED_EDGE('',*,*,#92219,.T.); #129229=ORIENTED_EDGE('',*,*,#92215,.F.); #129230=ORIENTED_EDGE('',*,*,#92220,.F.); #129231=ORIENTED_EDGE('',*,*,#92221,.T.); #129232=ORIENTED_EDGE('',*,*,#92222,.T.); #129233=ORIENTED_EDGE('',*,*,#92218,.F.); #129234=ORIENTED_EDGE('',*,*,#92223,.F.); #129235=ORIENTED_EDGE('',*,*,#92224,.T.); #129236=ORIENTED_EDGE('',*,*,#92225,.T.); #129237=ORIENTED_EDGE('',*,*,#92221,.F.); #129238=ORIENTED_EDGE('',*,*,#92226,.F.); #129239=ORIENTED_EDGE('',*,*,#92227,.T.); #129240=ORIENTED_EDGE('',*,*,#92228,.T.); #129241=ORIENTED_EDGE('',*,*,#92224,.F.); #129242=ORIENTED_EDGE('',*,*,#92229,.F.); #129243=ORIENTED_EDGE('',*,*,#92230,.T.); #129244=ORIENTED_EDGE('',*,*,#92231,.T.); #129245=ORIENTED_EDGE('',*,*,#92227,.F.); #129246=ORIENTED_EDGE('',*,*,#92232,.F.); #129247=ORIENTED_EDGE('',*,*,#92233,.T.); #129248=ORIENTED_EDGE('',*,*,#92234,.T.); #129249=ORIENTED_EDGE('',*,*,#92230,.F.); #129250=ORIENTED_EDGE('',*,*,#92235,.F.); #129251=ORIENTED_EDGE('',*,*,#92236,.T.); #129252=ORIENTED_EDGE('',*,*,#92237,.T.); #129253=ORIENTED_EDGE('',*,*,#92233,.F.); #129254=ORIENTED_EDGE('',*,*,#92238,.F.); #129255=ORIENTED_EDGE('',*,*,#92239,.T.); #129256=ORIENTED_EDGE('',*,*,#92240,.T.); #129257=ORIENTED_EDGE('',*,*,#92236,.F.); #129258=ORIENTED_EDGE('',*,*,#92241,.F.); #129259=ORIENTED_EDGE('',*,*,#92242,.T.); #129260=ORIENTED_EDGE('',*,*,#92243,.T.); #129261=ORIENTED_EDGE('',*,*,#92239,.F.); #129262=ORIENTED_EDGE('',*,*,#92244,.F.); #129263=ORIENTED_EDGE('',*,*,#92245,.T.); #129264=ORIENTED_EDGE('',*,*,#92246,.T.); #129265=ORIENTED_EDGE('',*,*,#92247,.F.); #129266=ORIENTED_EDGE('',*,*,#92248,.F.); #129267=ORIENTED_EDGE('',*,*,#92249,.T.); #129268=ORIENTED_EDGE('',*,*,#92250,.T.); #129269=ORIENTED_EDGE('',*,*,#92251,.F.); #129270=ORIENTED_EDGE('',*,*,#92252,.F.); #129271=ORIENTED_EDGE('',*,*,#92253,.T.); #129272=ORIENTED_EDGE('',*,*,#92254,.T.); #129273=ORIENTED_EDGE('',*,*,#92249,.F.); #129274=ORIENTED_EDGE('',*,*,#92254,.F.); #129275=ORIENTED_EDGE('',*,*,#92194,.F.); #129276=ORIENTED_EDGE('',*,*,#92198,.F.); #129277=ORIENTED_EDGE('',*,*,#92201,.F.); #129278=ORIENTED_EDGE('',*,*,#92204,.F.); #129279=ORIENTED_EDGE('',*,*,#92207,.F.); #129280=ORIENTED_EDGE('',*,*,#92210,.F.); #129281=ORIENTED_EDGE('',*,*,#92213,.F.); #129282=ORIENTED_EDGE('',*,*,#92216,.F.); #129283=ORIENTED_EDGE('',*,*,#92219,.F.); #129284=ORIENTED_EDGE('',*,*,#92222,.F.); #129285=ORIENTED_EDGE('',*,*,#92225,.F.); #129286=ORIENTED_EDGE('',*,*,#92228,.F.); #129287=ORIENTED_EDGE('',*,*,#92231,.F.); #129288=ORIENTED_EDGE('',*,*,#92234,.F.); #129289=ORIENTED_EDGE('',*,*,#92237,.F.); #129290=ORIENTED_EDGE('',*,*,#92240,.F.); #129291=ORIENTED_EDGE('',*,*,#92243,.F.); #129292=ORIENTED_EDGE('',*,*,#92246,.F.); #129293=ORIENTED_EDGE('',*,*,#92250,.F.); #129294=ORIENTED_EDGE('',*,*,#92255,.F.); #129295=ORIENTED_EDGE('',*,*,#92256,.T.); #129296=ORIENTED_EDGE('',*,*,#92257,.T.); #129297=ORIENTED_EDGE('',*,*,#92258,.F.); #129298=ORIENTED_EDGE('',*,*,#92259,.F.); #129299=ORIENTED_EDGE('',*,*,#92195,.T.); #129300=ORIENTED_EDGE('',*,*,#92260,.T.); #129301=ORIENTED_EDGE('',*,*,#92256,.F.); #129302=ORIENTED_EDGE('',*,*,#92261,.F.); #129303=ORIENTED_EDGE('',*,*,#92262,.T.); #129304=ORIENTED_EDGE('',*,*,#92263,.T.); #129305=ORIENTED_EDGE('',*,*,#92253,.F.); #129306=ORIENTED_EDGE('',*,*,#92264,.F.); #129307=ORIENTED_EDGE('',*,*,#92265,.T.); #129308=ORIENTED_EDGE('',*,*,#92266,.T.); #129309=ORIENTED_EDGE('',*,*,#92262,.F.); #129310=ORIENTED_EDGE('',*,*,#92267,.F.); #129311=ORIENTED_EDGE('',*,*,#92268,.T.); #129312=ORIENTED_EDGE('',*,*,#92269,.T.); #129313=ORIENTED_EDGE('',*,*,#92265,.F.); #129314=ORIENTED_EDGE('',*,*,#92270,.F.); #129315=ORIENTED_EDGE('',*,*,#92258,.T.); #129316=ORIENTED_EDGE('',*,*,#92271,.T.); #129317=ORIENTED_EDGE('',*,*,#92268,.F.); #129318=ORIENTED_EDGE('',*,*,#92271,.F.); #129319=ORIENTED_EDGE('',*,*,#92257,.F.); #129320=ORIENTED_EDGE('',*,*,#92260,.F.); #129321=ORIENTED_EDGE('',*,*,#92263,.F.); #129322=ORIENTED_EDGE('',*,*,#92266,.F.); #129323=ORIENTED_EDGE('',*,*,#92269,.F.); #129324=ORIENTED_EDGE('',*,*,#92272,.F.); #129325=ORIENTED_EDGE('',*,*,#92247,.T.); #129326=ORIENTED_EDGE('',*,*,#92273,.T.); #129327=ORIENTED_EDGE('',*,*,#92274,.F.); #129328=ORIENTED_EDGE('',*,*,#92275,.F.); #129329=ORIENTED_EDGE('',*,*,#92276,.T.); #129330=ORIENTED_EDGE('',*,*,#92277,.T.); #129331=ORIENTED_EDGE('',*,*,#92242,.F.); #129332=ORIENTED_EDGE('',*,*,#92278,.F.); #129333=ORIENTED_EDGE('',*,*,#92279,.T.); #129334=ORIENTED_EDGE('',*,*,#92280,.T.); #129335=ORIENTED_EDGE('',*,*,#92276,.F.); #129336=ORIENTED_EDGE('',*,*,#92281,.F.); #129337=ORIENTED_EDGE('',*,*,#92274,.T.); #129338=ORIENTED_EDGE('',*,*,#92282,.T.); #129339=ORIENTED_EDGE('',*,*,#92279,.F.); #129340=ORIENTED_EDGE('',*,*,#92282,.F.); #129341=ORIENTED_EDGE('',*,*,#92273,.F.); #129342=ORIENTED_EDGE('',*,*,#92277,.F.); #129343=ORIENTED_EDGE('',*,*,#92280,.F.); #129344=ORIENTED_EDGE('',*,*,#92283,.F.); #129345=ORIENTED_EDGE('',*,*,#92284,.T.); #129346=ORIENTED_EDGE('',*,*,#92285,.T.); #129347=ORIENTED_EDGE('',*,*,#92286,.F.); #129348=ORIENTED_EDGE('',*,*,#92287,.F.); #129349=ORIENTED_EDGE('',*,*,#92251,.T.); #129350=ORIENTED_EDGE('',*,*,#92288,.T.); #129351=ORIENTED_EDGE('',*,*,#92284,.F.); #129352=ORIENTED_EDGE('',*,*,#92289,.F.); #129353=ORIENTED_EDGE('',*,*,#92290,.T.); #129354=ORIENTED_EDGE('',*,*,#92291,.T.); #129355=ORIENTED_EDGE('',*,*,#92245,.F.); #129356=ORIENTED_EDGE('',*,*,#92292,.F.); #129357=ORIENTED_EDGE('',*,*,#92286,.T.); #129358=ORIENTED_EDGE('',*,*,#92293,.T.); #129359=ORIENTED_EDGE('',*,*,#92290,.F.); #129360=ORIENTED_EDGE('',*,*,#92293,.F.); #129361=ORIENTED_EDGE('',*,*,#92285,.F.); #129362=ORIENTED_EDGE('',*,*,#92288,.F.); #129363=ORIENTED_EDGE('',*,*,#92291,.F.); #129364=ORIENTED_EDGE('',*,*,#92294,.F.); #129365=ORIENTED_EDGE('',*,*,#92295,.T.); #129366=ORIENTED_EDGE('',*,*,#92296,.T.); #129367=ORIENTED_EDGE('',*,*,#92297,.F.); #129368=ORIENTED_EDGE('',*,*,#92298,.F.); #129369=ORIENTED_EDGE('',*,*,#92299,.T.); #129370=ORIENTED_EDGE('',*,*,#92300,.T.); #129371=ORIENTED_EDGE('',*,*,#92295,.F.); #129372=ORIENTED_EDGE('',*,*,#92301,.F.); #129373=ORIENTED_EDGE('',*,*,#92302,.T.); #129374=ORIENTED_EDGE('',*,*,#92303,.T.); #129375=ORIENTED_EDGE('',*,*,#92299,.F.); #129376=ORIENTED_EDGE('',*,*,#92304,.F.); #129377=ORIENTED_EDGE('',*,*,#92297,.T.); #129378=ORIENTED_EDGE('',*,*,#92305,.T.); #129379=ORIENTED_EDGE('',*,*,#92302,.F.); #129380=ORIENTED_EDGE('',*,*,#92306,.F.); #129381=ORIENTED_EDGE('',*,*,#92307,.T.); #129382=ORIENTED_EDGE('',*,*,#92308,.T.); #129383=ORIENTED_EDGE('',*,*,#92309,.F.); #129384=ORIENTED_EDGE('',*,*,#92310,.F.); #129385=ORIENTED_EDGE('',*,*,#92311,.T.); #129386=ORIENTED_EDGE('',*,*,#92312,.T.); #129387=ORIENTED_EDGE('',*,*,#92307,.F.); #129388=ORIENTED_EDGE('',*,*,#92313,.F.); #129389=ORIENTED_EDGE('',*,*,#92314,.T.); #129390=ORIENTED_EDGE('',*,*,#92315,.T.); #129391=ORIENTED_EDGE('',*,*,#92311,.F.); #129392=ORIENTED_EDGE('',*,*,#92316,.F.); #129393=ORIENTED_EDGE('',*,*,#92309,.T.); #129394=ORIENTED_EDGE('',*,*,#92317,.T.); #129395=ORIENTED_EDGE('',*,*,#92314,.F.); #129396=ORIENTED_EDGE('',*,*,#92317,.F.); #129397=ORIENTED_EDGE('',*,*,#92308,.F.); #129398=ORIENTED_EDGE('',*,*,#92312,.F.); #129399=ORIENTED_EDGE('',*,*,#92315,.F.); #129400=ORIENTED_EDGE('',*,*,#92305,.F.); #129401=ORIENTED_EDGE('',*,*,#92296,.F.); #129402=ORIENTED_EDGE('',*,*,#92300,.F.); #129403=ORIENTED_EDGE('',*,*,#92303,.F.); #129404=ORIENTED_EDGE('',*,*,#92318,.F.); #129405=ORIENTED_EDGE('',*,*,#92319,.T.); #129406=ORIENTED_EDGE('',*,*,#92320,.T.); #129407=ORIENTED_EDGE('',*,*,#92321,.F.); #129408=ORIENTED_EDGE('',*,*,#92322,.F.); #129409=ORIENTED_EDGE('',*,*,#92323,.T.); #129410=ORIENTED_EDGE('',*,*,#92324,.T.); #129411=ORIENTED_EDGE('',*,*,#92319,.F.); #129412=ORIENTED_EDGE('',*,*,#92325,.F.); #129413=ORIENTED_EDGE('',*,*,#92326,.T.); #129414=ORIENTED_EDGE('',*,*,#92327,.T.); #129415=ORIENTED_EDGE('',*,*,#92323,.F.); #129416=ORIENTED_EDGE('',*,*,#92328,.F.); #129417=ORIENTED_EDGE('',*,*,#92329,.T.); #129418=ORIENTED_EDGE('',*,*,#92330,.T.); #129419=ORIENTED_EDGE('',*,*,#92326,.F.); #129420=ORIENTED_EDGE('',*,*,#92331,.F.); #129421=ORIENTED_EDGE('',*,*,#92332,.T.); #129422=ORIENTED_EDGE('',*,*,#92333,.T.); #129423=ORIENTED_EDGE('',*,*,#92329,.F.); #129424=ORIENTED_EDGE('',*,*,#92334,.F.); #129425=ORIENTED_EDGE('',*,*,#92335,.T.); #129426=ORIENTED_EDGE('',*,*,#92336,.T.); #129427=ORIENTED_EDGE('',*,*,#92332,.F.); #129428=ORIENTED_EDGE('',*,*,#92337,.F.); #129429=ORIENTED_EDGE('',*,*,#92338,.T.); #129430=ORIENTED_EDGE('',*,*,#92339,.T.); #129431=ORIENTED_EDGE('',*,*,#92335,.F.); #129432=ORIENTED_EDGE('',*,*,#92340,.F.); #129433=ORIENTED_EDGE('',*,*,#92341,.T.); #129434=ORIENTED_EDGE('',*,*,#92342,.T.); #129435=ORIENTED_EDGE('',*,*,#92338,.F.); #129436=ORIENTED_EDGE('',*,*,#92343,.F.); #129437=ORIENTED_EDGE('',*,*,#92344,.T.); #129438=ORIENTED_EDGE('',*,*,#92345,.T.); #129439=ORIENTED_EDGE('',*,*,#92341,.F.); #129440=ORIENTED_EDGE('',*,*,#92346,.F.); #129441=ORIENTED_EDGE('',*,*,#92347,.T.); #129442=ORIENTED_EDGE('',*,*,#92348,.T.); #129443=ORIENTED_EDGE('',*,*,#92344,.F.); #129444=ORIENTED_EDGE('',*,*,#92349,.F.); #129445=ORIENTED_EDGE('',*,*,#92350,.T.); #129446=ORIENTED_EDGE('',*,*,#92351,.T.); #129447=ORIENTED_EDGE('',*,*,#92347,.F.); #129448=ORIENTED_EDGE('',*,*,#92352,.F.); #129449=ORIENTED_EDGE('',*,*,#92353,.T.); #129450=ORIENTED_EDGE('',*,*,#92354,.T.); #129451=ORIENTED_EDGE('',*,*,#92350,.F.); #129452=ORIENTED_EDGE('',*,*,#92355,.F.); #129453=ORIENTED_EDGE('',*,*,#92356,.T.); #129454=ORIENTED_EDGE('',*,*,#92357,.T.); #129455=ORIENTED_EDGE('',*,*,#92353,.F.); #129456=ORIENTED_EDGE('',*,*,#92358,.F.); #129457=ORIENTED_EDGE('',*,*,#92359,.T.); #129458=ORIENTED_EDGE('',*,*,#92360,.T.); #129459=ORIENTED_EDGE('',*,*,#92356,.F.); #129460=ORIENTED_EDGE('',*,*,#92361,.F.); #129461=ORIENTED_EDGE('',*,*,#92362,.T.); #129462=ORIENTED_EDGE('',*,*,#92363,.T.); #129463=ORIENTED_EDGE('',*,*,#92359,.F.); #129464=ORIENTED_EDGE('',*,*,#92364,.F.); #129465=ORIENTED_EDGE('',*,*,#92365,.T.); #129466=ORIENTED_EDGE('',*,*,#92366,.T.); #129467=ORIENTED_EDGE('',*,*,#92362,.F.); #129468=ORIENTED_EDGE('',*,*,#92367,.F.); #129469=ORIENTED_EDGE('',*,*,#92368,.T.); #129470=ORIENTED_EDGE('',*,*,#92369,.T.); #129471=ORIENTED_EDGE('',*,*,#92365,.F.); #129472=ORIENTED_EDGE('',*,*,#92370,.F.); #129473=ORIENTED_EDGE('',*,*,#92371,.T.); #129474=ORIENTED_EDGE('',*,*,#92372,.T.); #129475=ORIENTED_EDGE('',*,*,#92368,.F.); #129476=ORIENTED_EDGE('',*,*,#92373,.F.); #129477=ORIENTED_EDGE('',*,*,#92374,.T.); #129478=ORIENTED_EDGE('',*,*,#92375,.T.); #129479=ORIENTED_EDGE('',*,*,#92371,.F.); #129480=ORIENTED_EDGE('',*,*,#92376,.F.); #129481=ORIENTED_EDGE('',*,*,#92377,.T.); #129482=ORIENTED_EDGE('',*,*,#92378,.T.); #129483=ORIENTED_EDGE('',*,*,#92374,.F.); #129484=ORIENTED_EDGE('',*,*,#92379,.F.); #129485=ORIENTED_EDGE('',*,*,#92380,.T.); #129486=ORIENTED_EDGE('',*,*,#92381,.T.); #129487=ORIENTED_EDGE('',*,*,#92377,.F.); #129488=ORIENTED_EDGE('',*,*,#92382,.F.); #129489=ORIENTED_EDGE('',*,*,#92383,.T.); #129490=ORIENTED_EDGE('',*,*,#92384,.T.); #129491=ORIENTED_EDGE('',*,*,#92380,.F.); #129492=ORIENTED_EDGE('',*,*,#92385,.F.); #129493=ORIENTED_EDGE('',*,*,#92386,.T.); #129494=ORIENTED_EDGE('',*,*,#92387,.T.); #129495=ORIENTED_EDGE('',*,*,#92383,.F.); #129496=ORIENTED_EDGE('',*,*,#92388,.F.); #129497=ORIENTED_EDGE('',*,*,#92321,.T.); #129498=ORIENTED_EDGE('',*,*,#92389,.T.); #129499=ORIENTED_EDGE('',*,*,#92386,.F.); #129500=ORIENTED_EDGE('',*,*,#92389,.F.); #129501=ORIENTED_EDGE('',*,*,#92320,.F.); #129502=ORIENTED_EDGE('',*,*,#92324,.F.); #129503=ORIENTED_EDGE('',*,*,#92327,.F.); #129504=ORIENTED_EDGE('',*,*,#92330,.F.); #129505=ORIENTED_EDGE('',*,*,#92333,.F.); #129506=ORIENTED_EDGE('',*,*,#92336,.F.); #129507=ORIENTED_EDGE('',*,*,#92339,.F.); #129508=ORIENTED_EDGE('',*,*,#92342,.F.); #129509=ORIENTED_EDGE('',*,*,#92345,.F.); #129510=ORIENTED_EDGE('',*,*,#92348,.F.); #129511=ORIENTED_EDGE('',*,*,#92351,.F.); #129512=ORIENTED_EDGE('',*,*,#92354,.F.); #129513=ORIENTED_EDGE('',*,*,#92357,.F.); #129514=ORIENTED_EDGE('',*,*,#92360,.F.); #129515=ORIENTED_EDGE('',*,*,#92363,.F.); #129516=ORIENTED_EDGE('',*,*,#92366,.F.); #129517=ORIENTED_EDGE('',*,*,#92369,.F.); #129518=ORIENTED_EDGE('',*,*,#92372,.F.); #129519=ORIENTED_EDGE('',*,*,#92375,.F.); #129520=ORIENTED_EDGE('',*,*,#92378,.F.); #129521=ORIENTED_EDGE('',*,*,#92381,.F.); #129522=ORIENTED_EDGE('',*,*,#92384,.F.); #129523=ORIENTED_EDGE('',*,*,#92387,.F.); #129524=ORIENTED_EDGE('',*,*,#92390,.F.); #129525=ORIENTED_EDGE('',*,*,#92391,.T.); #129526=ORIENTED_EDGE('',*,*,#92392,.T.); #129527=ORIENTED_EDGE('',*,*,#92393,.F.); #129528=ORIENTED_EDGE('',*,*,#92394,.F.); #129529=ORIENTED_EDGE('',*,*,#92395,.T.); #129530=ORIENTED_EDGE('',*,*,#92396,.T.); #129531=ORIENTED_EDGE('',*,*,#92391,.F.); #129532=ORIENTED_EDGE('',*,*,#92397,.F.); #129533=ORIENTED_EDGE('',*,*,#92398,.T.); #129534=ORIENTED_EDGE('',*,*,#92399,.T.); #129535=ORIENTED_EDGE('',*,*,#92395,.F.); #129536=ORIENTED_EDGE('',*,*,#92400,.F.); #129537=ORIENTED_EDGE('',*,*,#92401,.T.); #129538=ORIENTED_EDGE('',*,*,#92402,.T.); #129539=ORIENTED_EDGE('',*,*,#92398,.F.); #129540=ORIENTED_EDGE('',*,*,#92403,.F.); #129541=ORIENTED_EDGE('',*,*,#92404,.T.); #129542=ORIENTED_EDGE('',*,*,#92405,.T.); #129543=ORIENTED_EDGE('',*,*,#92401,.F.); #129544=ORIENTED_EDGE('',*,*,#92406,.F.); #129545=ORIENTED_EDGE('',*,*,#92407,.T.); #129546=ORIENTED_EDGE('',*,*,#92408,.T.); #129547=ORIENTED_EDGE('',*,*,#92404,.F.); #129548=ORIENTED_EDGE('',*,*,#92409,.F.); #129549=ORIENTED_EDGE('',*,*,#92410,.T.); #129550=ORIENTED_EDGE('',*,*,#92411,.T.); #129551=ORIENTED_EDGE('',*,*,#92407,.F.); #129552=ORIENTED_EDGE('',*,*,#92412,.F.); #129553=ORIENTED_EDGE('',*,*,#92413,.T.); #129554=ORIENTED_EDGE('',*,*,#92414,.T.); #129555=ORIENTED_EDGE('',*,*,#92410,.F.); #129556=ORIENTED_EDGE('',*,*,#92415,.F.); #129557=ORIENTED_EDGE('',*,*,#92393,.T.); #129558=ORIENTED_EDGE('',*,*,#92416,.T.); #129559=ORIENTED_EDGE('',*,*,#92413,.F.); #129560=ORIENTED_EDGE('',*,*,#92417,.F.); #129561=ORIENTED_EDGE('',*,*,#92418,.T.); #129562=ORIENTED_EDGE('',*,*,#92419,.T.); #129563=ORIENTED_EDGE('',*,*,#92420,.F.); #129564=ORIENTED_EDGE('',*,*,#92421,.F.); #129565=ORIENTED_EDGE('',*,*,#92422,.T.); #129566=ORIENTED_EDGE('',*,*,#92423,.T.); #129567=ORIENTED_EDGE('',*,*,#92418,.F.); #129568=ORIENTED_EDGE('',*,*,#92424,.F.); #129569=ORIENTED_EDGE('',*,*,#92425,.T.); #129570=ORIENTED_EDGE('',*,*,#92426,.T.); #129571=ORIENTED_EDGE('',*,*,#92422,.F.); #129572=ORIENTED_EDGE('',*,*,#92427,.F.); #129573=ORIENTED_EDGE('',*,*,#92428,.T.); #129574=ORIENTED_EDGE('',*,*,#92429,.T.); #129575=ORIENTED_EDGE('',*,*,#92425,.F.); #129576=ORIENTED_EDGE('',*,*,#92430,.F.); #129577=ORIENTED_EDGE('',*,*,#92431,.T.); #129578=ORIENTED_EDGE('',*,*,#92432,.T.); #129579=ORIENTED_EDGE('',*,*,#92428,.F.); #129580=ORIENTED_EDGE('',*,*,#92433,.F.); #129581=ORIENTED_EDGE('',*,*,#92434,.T.); #129582=ORIENTED_EDGE('',*,*,#92435,.T.); #129583=ORIENTED_EDGE('',*,*,#92431,.F.); #129584=ORIENTED_EDGE('',*,*,#92436,.F.); #129585=ORIENTED_EDGE('',*,*,#92437,.T.); #129586=ORIENTED_EDGE('',*,*,#92438,.T.); #129587=ORIENTED_EDGE('',*,*,#92434,.F.); #129588=ORIENTED_EDGE('',*,*,#92439,.F.); #129589=ORIENTED_EDGE('',*,*,#92440,.T.); #129590=ORIENTED_EDGE('',*,*,#92441,.T.); #129591=ORIENTED_EDGE('',*,*,#92437,.F.); #129592=ORIENTED_EDGE('',*,*,#92442,.F.); #129593=ORIENTED_EDGE('',*,*,#92443,.T.); #129594=ORIENTED_EDGE('',*,*,#92444,.T.); #129595=ORIENTED_EDGE('',*,*,#92440,.F.); #129596=ORIENTED_EDGE('',*,*,#92445,.F.); #129597=ORIENTED_EDGE('',*,*,#92446,.T.); #129598=ORIENTED_EDGE('',*,*,#92447,.T.); #129599=ORIENTED_EDGE('',*,*,#92443,.F.); #129600=ORIENTED_EDGE('',*,*,#92448,.F.); #129601=ORIENTED_EDGE('',*,*,#92449,.T.); #129602=ORIENTED_EDGE('',*,*,#92450,.T.); #129603=ORIENTED_EDGE('',*,*,#92446,.F.); #129604=ORIENTED_EDGE('',*,*,#92451,.F.); #129605=ORIENTED_EDGE('',*,*,#92452,.T.); #129606=ORIENTED_EDGE('',*,*,#92453,.T.); #129607=ORIENTED_EDGE('',*,*,#92449,.F.); #129608=ORIENTED_EDGE('',*,*,#92454,.F.); #129609=ORIENTED_EDGE('',*,*,#92455,.T.); #129610=ORIENTED_EDGE('',*,*,#92456,.T.); #129611=ORIENTED_EDGE('',*,*,#92452,.F.); #129612=ORIENTED_EDGE('',*,*,#92457,.F.); #129613=ORIENTED_EDGE('',*,*,#92458,.T.); #129614=ORIENTED_EDGE('',*,*,#92459,.T.); #129615=ORIENTED_EDGE('',*,*,#92455,.F.); #129616=ORIENTED_EDGE('',*,*,#92460,.F.); #129617=ORIENTED_EDGE('',*,*,#92461,.T.); #129618=ORIENTED_EDGE('',*,*,#92462,.T.); #129619=ORIENTED_EDGE('',*,*,#92458,.F.); #129620=ORIENTED_EDGE('',*,*,#92463,.F.); #129621=ORIENTED_EDGE('',*,*,#92464,.T.); #129622=ORIENTED_EDGE('',*,*,#92465,.T.); #129623=ORIENTED_EDGE('',*,*,#92461,.F.); #129624=ORIENTED_EDGE('',*,*,#92466,.F.); #129625=ORIENTED_EDGE('',*,*,#92467,.T.); #129626=ORIENTED_EDGE('',*,*,#92468,.T.); #129627=ORIENTED_EDGE('',*,*,#92464,.F.); #129628=ORIENTED_EDGE('',*,*,#92469,.F.); #129629=ORIENTED_EDGE('',*,*,#92470,.T.); #129630=ORIENTED_EDGE('',*,*,#92471,.T.); #129631=ORIENTED_EDGE('',*,*,#92467,.F.); #129632=ORIENTED_EDGE('',*,*,#92472,.F.); #129633=ORIENTED_EDGE('',*,*,#92473,.T.); #129634=ORIENTED_EDGE('',*,*,#92474,.T.); #129635=ORIENTED_EDGE('',*,*,#92470,.F.); #129636=ORIENTED_EDGE('',*,*,#92475,.F.); #129637=ORIENTED_EDGE('',*,*,#92476,.T.); #129638=ORIENTED_EDGE('',*,*,#92477,.T.); #129639=ORIENTED_EDGE('',*,*,#92473,.F.); #129640=ORIENTED_EDGE('',*,*,#92478,.F.); #129641=ORIENTED_EDGE('',*,*,#92479,.T.); #129642=ORIENTED_EDGE('',*,*,#92480,.T.); #129643=ORIENTED_EDGE('',*,*,#92476,.F.); #129644=ORIENTED_EDGE('',*,*,#92481,.F.); #129645=ORIENTED_EDGE('',*,*,#92482,.T.); #129646=ORIENTED_EDGE('',*,*,#92483,.T.); #129647=ORIENTED_EDGE('',*,*,#92479,.F.); #129648=ORIENTED_EDGE('',*,*,#92484,.F.); #129649=ORIENTED_EDGE('',*,*,#92485,.T.); #129650=ORIENTED_EDGE('',*,*,#92486,.T.); #129651=ORIENTED_EDGE('',*,*,#92482,.F.); #129652=ORIENTED_EDGE('',*,*,#92487,.F.); #129653=ORIENTED_EDGE('',*,*,#92488,.T.); #129654=ORIENTED_EDGE('',*,*,#92489,.T.); #129655=ORIENTED_EDGE('',*,*,#92485,.F.); #129656=ORIENTED_EDGE('',*,*,#92490,.F.); #129657=ORIENTED_EDGE('',*,*,#92491,.T.); #129658=ORIENTED_EDGE('',*,*,#92492,.T.); #129659=ORIENTED_EDGE('',*,*,#92488,.F.); #129660=ORIENTED_EDGE('',*,*,#92493,.F.); #129661=ORIENTED_EDGE('',*,*,#92494,.T.); #129662=ORIENTED_EDGE('',*,*,#92495,.T.); #129663=ORIENTED_EDGE('',*,*,#92491,.F.); #129664=ORIENTED_EDGE('',*,*,#92496,.F.); #129665=ORIENTED_EDGE('',*,*,#92497,.T.); #129666=ORIENTED_EDGE('',*,*,#92498,.T.); #129667=ORIENTED_EDGE('',*,*,#92494,.F.); #129668=ORIENTED_EDGE('',*,*,#92499,.F.); #129669=ORIENTED_EDGE('',*,*,#92500,.T.); #129670=ORIENTED_EDGE('',*,*,#92501,.T.); #129671=ORIENTED_EDGE('',*,*,#92497,.F.); #129672=ORIENTED_EDGE('',*,*,#92502,.F.); #129673=ORIENTED_EDGE('',*,*,#92503,.T.); #129674=ORIENTED_EDGE('',*,*,#92504,.T.); #129675=ORIENTED_EDGE('',*,*,#92500,.F.); #129676=ORIENTED_EDGE('',*,*,#92505,.F.); #129677=ORIENTED_EDGE('',*,*,#92506,.T.); #129678=ORIENTED_EDGE('',*,*,#92507,.T.); #129679=ORIENTED_EDGE('',*,*,#92503,.F.); #129680=ORIENTED_EDGE('',*,*,#92508,.F.); #129681=ORIENTED_EDGE('',*,*,#92420,.T.); #129682=ORIENTED_EDGE('',*,*,#92509,.T.); #129683=ORIENTED_EDGE('',*,*,#92506,.F.); #129684=ORIENTED_EDGE('',*,*,#92509,.F.); #129685=ORIENTED_EDGE('',*,*,#92419,.F.); #129686=ORIENTED_EDGE('',*,*,#92423,.F.); #129687=ORIENTED_EDGE('',*,*,#92426,.F.); #129688=ORIENTED_EDGE('',*,*,#92429,.F.); #129689=ORIENTED_EDGE('',*,*,#92432,.F.); #129690=ORIENTED_EDGE('',*,*,#92435,.F.); #129691=ORIENTED_EDGE('',*,*,#92438,.F.); #129692=ORIENTED_EDGE('',*,*,#92441,.F.); #129693=ORIENTED_EDGE('',*,*,#92444,.F.); #129694=ORIENTED_EDGE('',*,*,#92447,.F.); #129695=ORIENTED_EDGE('',*,*,#92450,.F.); #129696=ORIENTED_EDGE('',*,*,#92453,.F.); #129697=ORIENTED_EDGE('',*,*,#92456,.F.); #129698=ORIENTED_EDGE('',*,*,#92459,.F.); #129699=ORIENTED_EDGE('',*,*,#92462,.F.); #129700=ORIENTED_EDGE('',*,*,#92465,.F.); #129701=ORIENTED_EDGE('',*,*,#92468,.F.); #129702=ORIENTED_EDGE('',*,*,#92471,.F.); #129703=ORIENTED_EDGE('',*,*,#92474,.F.); #129704=ORIENTED_EDGE('',*,*,#92477,.F.); #129705=ORIENTED_EDGE('',*,*,#92480,.F.); #129706=ORIENTED_EDGE('',*,*,#92483,.F.); #129707=ORIENTED_EDGE('',*,*,#92486,.F.); #129708=ORIENTED_EDGE('',*,*,#92489,.F.); #129709=ORIENTED_EDGE('',*,*,#92492,.F.); #129710=ORIENTED_EDGE('',*,*,#92495,.F.); #129711=ORIENTED_EDGE('',*,*,#92498,.F.); #129712=ORIENTED_EDGE('',*,*,#92501,.F.); #129713=ORIENTED_EDGE('',*,*,#92504,.F.); #129714=ORIENTED_EDGE('',*,*,#92507,.F.); #129715=ORIENTED_EDGE('',*,*,#92416,.F.); #129716=ORIENTED_EDGE('',*,*,#92392,.F.); #129717=ORIENTED_EDGE('',*,*,#92396,.F.); #129718=ORIENTED_EDGE('',*,*,#92399,.F.); #129719=ORIENTED_EDGE('',*,*,#92402,.F.); #129720=ORIENTED_EDGE('',*,*,#92405,.F.); #129721=ORIENTED_EDGE('',*,*,#92408,.F.); #129722=ORIENTED_EDGE('',*,*,#92411,.F.); #129723=ORIENTED_EDGE('',*,*,#92414,.F.); #129724=ORIENTED_EDGE('',*,*,#92510,.F.); #129725=ORIENTED_EDGE('',*,*,#92511,.T.); #129726=ORIENTED_EDGE('',*,*,#92512,.T.); #129727=ORIENTED_EDGE('',*,*,#92513,.F.); #129728=ORIENTED_EDGE('',*,*,#92514,.F.); #129729=ORIENTED_EDGE('',*,*,#92515,.T.); #129730=ORIENTED_EDGE('',*,*,#92516,.T.); #129731=ORIENTED_EDGE('',*,*,#92511,.F.); #129732=ORIENTED_EDGE('',*,*,#92517,.F.); #129733=ORIENTED_EDGE('',*,*,#92518,.T.); #129734=ORIENTED_EDGE('',*,*,#92519,.T.); #129735=ORIENTED_EDGE('',*,*,#92515,.F.); #129736=ORIENTED_EDGE('',*,*,#92520,.F.); #129737=ORIENTED_EDGE('',*,*,#92521,.T.); #129738=ORIENTED_EDGE('',*,*,#92522,.T.); #129739=ORIENTED_EDGE('',*,*,#92518,.F.); #129740=ORIENTED_EDGE('',*,*,#92523,.F.); #129741=ORIENTED_EDGE('',*,*,#92524,.T.); #129742=ORIENTED_EDGE('',*,*,#92525,.T.); #129743=ORIENTED_EDGE('',*,*,#92521,.F.); #129744=ORIENTED_EDGE('',*,*,#92526,.F.); #129745=ORIENTED_EDGE('',*,*,#92527,.T.); #129746=ORIENTED_EDGE('',*,*,#92528,.T.); #129747=ORIENTED_EDGE('',*,*,#92524,.F.); #129748=ORIENTED_EDGE('',*,*,#92529,.F.); #129749=ORIENTED_EDGE('',*,*,#92530,.T.); #129750=ORIENTED_EDGE('',*,*,#92531,.T.); #129751=ORIENTED_EDGE('',*,*,#92527,.F.); #129752=ORIENTED_EDGE('',*,*,#92532,.F.); #129753=ORIENTED_EDGE('',*,*,#92533,.T.); #129754=ORIENTED_EDGE('',*,*,#92534,.T.); #129755=ORIENTED_EDGE('',*,*,#92530,.F.); #129756=ORIENTED_EDGE('',*,*,#92535,.F.); #129757=ORIENTED_EDGE('',*,*,#92536,.T.); #129758=ORIENTED_EDGE('',*,*,#92537,.T.); #129759=ORIENTED_EDGE('',*,*,#92533,.F.); #129760=ORIENTED_EDGE('',*,*,#92538,.F.); #129761=ORIENTED_EDGE('',*,*,#92539,.T.); #129762=ORIENTED_EDGE('',*,*,#92540,.T.); #129763=ORIENTED_EDGE('',*,*,#92536,.F.); #129764=ORIENTED_EDGE('',*,*,#92541,.F.); #129765=ORIENTED_EDGE('',*,*,#92513,.T.); #129766=ORIENTED_EDGE('',*,*,#92542,.T.); #129767=ORIENTED_EDGE('',*,*,#92539,.F.); #129768=ORIENTED_EDGE('',*,*,#92542,.F.); #129769=ORIENTED_EDGE('',*,*,#92512,.F.); #129770=ORIENTED_EDGE('',*,*,#92516,.F.); #129771=ORIENTED_EDGE('',*,*,#92519,.F.); #129772=ORIENTED_EDGE('',*,*,#92522,.F.); #129773=ORIENTED_EDGE('',*,*,#92525,.F.); #129774=ORIENTED_EDGE('',*,*,#92528,.F.); #129775=ORIENTED_EDGE('',*,*,#92531,.F.); #129776=ORIENTED_EDGE('',*,*,#92534,.F.); #129777=ORIENTED_EDGE('',*,*,#92537,.F.); #129778=ORIENTED_EDGE('',*,*,#92540,.F.); #129779=ORIENTED_EDGE('',*,*,#92543,.F.); #129780=ORIENTED_EDGE('',*,*,#92544,.T.); #129781=ORIENTED_EDGE('',*,*,#92545,.T.); #129782=ORIENTED_EDGE('',*,*,#92546,.F.); #129783=ORIENTED_EDGE('',*,*,#92547,.F.); #129784=ORIENTED_EDGE('',*,*,#92548,.T.); #129785=ORIENTED_EDGE('',*,*,#92549,.T.); #129786=ORIENTED_EDGE('',*,*,#92544,.F.); #129787=ORIENTED_EDGE('',*,*,#92550,.F.); #129788=ORIENTED_EDGE('',*,*,#92551,.T.); #129789=ORIENTED_EDGE('',*,*,#92552,.T.); #129790=ORIENTED_EDGE('',*,*,#92548,.F.); #129791=ORIENTED_EDGE('',*,*,#92553,.F.); #129792=ORIENTED_EDGE('',*,*,#92554,.T.); #129793=ORIENTED_EDGE('',*,*,#92555,.T.); #129794=ORIENTED_EDGE('',*,*,#92551,.F.); #129795=ORIENTED_EDGE('',*,*,#92556,.F.); #129796=ORIENTED_EDGE('',*,*,#92557,.T.); #129797=ORIENTED_EDGE('',*,*,#92558,.T.); #129798=ORIENTED_EDGE('',*,*,#92554,.F.); #129799=ORIENTED_EDGE('',*,*,#92559,.F.); #129800=ORIENTED_EDGE('',*,*,#92560,.T.); #129801=ORIENTED_EDGE('',*,*,#92561,.T.); #129802=ORIENTED_EDGE('',*,*,#92557,.F.); #129803=ORIENTED_EDGE('',*,*,#92562,.F.); #129804=ORIENTED_EDGE('',*,*,#92563,.T.); #129805=ORIENTED_EDGE('',*,*,#92564,.T.); #129806=ORIENTED_EDGE('',*,*,#92560,.F.); #129807=ORIENTED_EDGE('',*,*,#92565,.F.); #129808=ORIENTED_EDGE('',*,*,#92566,.T.); #129809=ORIENTED_EDGE('',*,*,#92567,.T.); #129810=ORIENTED_EDGE('',*,*,#92563,.F.); #129811=ORIENTED_EDGE('',*,*,#92568,.F.); #129812=ORIENTED_EDGE('',*,*,#92569,.T.); #129813=ORIENTED_EDGE('',*,*,#92570,.T.); #129814=ORIENTED_EDGE('',*,*,#92566,.F.); #129815=ORIENTED_EDGE('',*,*,#92571,.F.); #129816=ORIENTED_EDGE('',*,*,#92546,.T.); #129817=ORIENTED_EDGE('',*,*,#92572,.T.); #129818=ORIENTED_EDGE('',*,*,#92569,.F.); #129819=ORIENTED_EDGE('',*,*,#92573,.F.); #129820=ORIENTED_EDGE('',*,*,#92574,.T.); #129821=ORIENTED_EDGE('',*,*,#92575,.T.); #129822=ORIENTED_EDGE('',*,*,#92576,.F.); #129823=ORIENTED_EDGE('',*,*,#92577,.F.); #129824=ORIENTED_EDGE('',*,*,#92578,.T.); #129825=ORIENTED_EDGE('',*,*,#92579,.T.); #129826=ORIENTED_EDGE('',*,*,#92574,.F.); #129827=ORIENTED_EDGE('',*,*,#92580,.F.); #129828=ORIENTED_EDGE('',*,*,#92581,.T.); #129829=ORIENTED_EDGE('',*,*,#92582,.T.); #129830=ORIENTED_EDGE('',*,*,#92578,.F.); #129831=ORIENTED_EDGE('',*,*,#92583,.F.); #129832=ORIENTED_EDGE('',*,*,#92584,.T.); #129833=ORIENTED_EDGE('',*,*,#92585,.T.); #129834=ORIENTED_EDGE('',*,*,#92581,.F.); #129835=ORIENTED_EDGE('',*,*,#92586,.F.); #129836=ORIENTED_EDGE('',*,*,#92587,.T.); #129837=ORIENTED_EDGE('',*,*,#92588,.T.); #129838=ORIENTED_EDGE('',*,*,#92584,.F.); #129839=ORIENTED_EDGE('',*,*,#92589,.F.); #129840=ORIENTED_EDGE('',*,*,#92590,.T.); #129841=ORIENTED_EDGE('',*,*,#92591,.T.); #129842=ORIENTED_EDGE('',*,*,#92587,.F.); #129843=ORIENTED_EDGE('',*,*,#92592,.F.); #129844=ORIENTED_EDGE('',*,*,#92593,.T.); #129845=ORIENTED_EDGE('',*,*,#92594,.T.); #129846=ORIENTED_EDGE('',*,*,#92590,.F.); #129847=ORIENTED_EDGE('',*,*,#92595,.F.); #129848=ORIENTED_EDGE('',*,*,#92596,.T.); #129849=ORIENTED_EDGE('',*,*,#92597,.T.); #129850=ORIENTED_EDGE('',*,*,#92593,.F.); #129851=ORIENTED_EDGE('',*,*,#92598,.F.); #129852=ORIENTED_EDGE('',*,*,#92599,.T.); #129853=ORIENTED_EDGE('',*,*,#92600,.T.); #129854=ORIENTED_EDGE('',*,*,#92596,.F.); #129855=ORIENTED_EDGE('',*,*,#92601,.F.); #129856=ORIENTED_EDGE('',*,*,#92602,.T.); #129857=ORIENTED_EDGE('',*,*,#92603,.T.); #129858=ORIENTED_EDGE('',*,*,#92599,.F.); #129859=ORIENTED_EDGE('',*,*,#92604,.F.); #129860=ORIENTED_EDGE('',*,*,#92605,.T.); #129861=ORIENTED_EDGE('',*,*,#92606,.T.); #129862=ORIENTED_EDGE('',*,*,#92602,.F.); #129863=ORIENTED_EDGE('',*,*,#92607,.F.); #129864=ORIENTED_EDGE('',*,*,#92608,.T.); #129865=ORIENTED_EDGE('',*,*,#92609,.T.); #129866=ORIENTED_EDGE('',*,*,#92605,.F.); #129867=ORIENTED_EDGE('',*,*,#92610,.F.); #129868=ORIENTED_EDGE('',*,*,#92611,.T.); #129869=ORIENTED_EDGE('',*,*,#92612,.T.); #129870=ORIENTED_EDGE('',*,*,#92608,.F.); #129871=ORIENTED_EDGE('',*,*,#92613,.F.); #129872=ORIENTED_EDGE('',*,*,#92614,.T.); #129873=ORIENTED_EDGE('',*,*,#92615,.T.); #129874=ORIENTED_EDGE('',*,*,#92611,.F.); #129875=ORIENTED_EDGE('',*,*,#92616,.F.); #129876=ORIENTED_EDGE('',*,*,#92617,.T.); #129877=ORIENTED_EDGE('',*,*,#92618,.T.); #129878=ORIENTED_EDGE('',*,*,#92614,.F.); #129879=ORIENTED_EDGE('',*,*,#92619,.F.); #129880=ORIENTED_EDGE('',*,*,#92620,.T.); #129881=ORIENTED_EDGE('',*,*,#92621,.T.); #129882=ORIENTED_EDGE('',*,*,#92617,.F.); #129883=ORIENTED_EDGE('',*,*,#92622,.F.); #129884=ORIENTED_EDGE('',*,*,#92623,.T.); #129885=ORIENTED_EDGE('',*,*,#92624,.T.); #129886=ORIENTED_EDGE('',*,*,#92620,.F.); #129887=ORIENTED_EDGE('',*,*,#92625,.F.); #129888=ORIENTED_EDGE('',*,*,#92626,.T.); #129889=ORIENTED_EDGE('',*,*,#92627,.T.); #129890=ORIENTED_EDGE('',*,*,#92623,.F.); #129891=ORIENTED_EDGE('',*,*,#92628,.F.); #129892=ORIENTED_EDGE('',*,*,#92629,.T.); #129893=ORIENTED_EDGE('',*,*,#92630,.T.); #129894=ORIENTED_EDGE('',*,*,#92626,.F.); #129895=ORIENTED_EDGE('',*,*,#92631,.F.); #129896=ORIENTED_EDGE('',*,*,#92632,.T.); #129897=ORIENTED_EDGE('',*,*,#92633,.T.); #129898=ORIENTED_EDGE('',*,*,#92629,.F.); #129899=ORIENTED_EDGE('',*,*,#92634,.F.); #129900=ORIENTED_EDGE('',*,*,#92635,.T.); #129901=ORIENTED_EDGE('',*,*,#92636,.T.); #129902=ORIENTED_EDGE('',*,*,#92632,.F.); #129903=ORIENTED_EDGE('',*,*,#92637,.F.); #129904=ORIENTED_EDGE('',*,*,#92638,.T.); #129905=ORIENTED_EDGE('',*,*,#92639,.T.); #129906=ORIENTED_EDGE('',*,*,#92635,.F.); #129907=ORIENTED_EDGE('',*,*,#92640,.F.); #129908=ORIENTED_EDGE('',*,*,#92641,.T.); #129909=ORIENTED_EDGE('',*,*,#92642,.T.); #129910=ORIENTED_EDGE('',*,*,#92638,.F.); #129911=ORIENTED_EDGE('',*,*,#92643,.F.); #129912=ORIENTED_EDGE('',*,*,#92644,.T.); #129913=ORIENTED_EDGE('',*,*,#92645,.T.); #129914=ORIENTED_EDGE('',*,*,#92641,.F.); #129915=ORIENTED_EDGE('',*,*,#92646,.F.); #129916=ORIENTED_EDGE('',*,*,#92647,.T.); #129917=ORIENTED_EDGE('',*,*,#92648,.T.); #129918=ORIENTED_EDGE('',*,*,#92644,.F.); #129919=ORIENTED_EDGE('',*,*,#92649,.F.); #129920=ORIENTED_EDGE('',*,*,#92650,.T.); #129921=ORIENTED_EDGE('',*,*,#92651,.T.); #129922=ORIENTED_EDGE('',*,*,#92647,.F.); #129923=ORIENTED_EDGE('',*,*,#92652,.F.); #129924=ORIENTED_EDGE('',*,*,#92653,.T.); #129925=ORIENTED_EDGE('',*,*,#92654,.T.); #129926=ORIENTED_EDGE('',*,*,#92650,.F.); #129927=ORIENTED_EDGE('',*,*,#92655,.F.); #129928=ORIENTED_EDGE('',*,*,#92656,.T.); #129929=ORIENTED_EDGE('',*,*,#92657,.T.); #129930=ORIENTED_EDGE('',*,*,#92653,.F.); #129931=ORIENTED_EDGE('',*,*,#92658,.F.); #129932=ORIENTED_EDGE('',*,*,#92659,.T.); #129933=ORIENTED_EDGE('',*,*,#92660,.T.); #129934=ORIENTED_EDGE('',*,*,#92656,.F.); #129935=ORIENTED_EDGE('',*,*,#92661,.F.); #129936=ORIENTED_EDGE('',*,*,#92662,.T.); #129937=ORIENTED_EDGE('',*,*,#92663,.T.); #129938=ORIENTED_EDGE('',*,*,#92659,.F.); #129939=ORIENTED_EDGE('',*,*,#92664,.F.); #129940=ORIENTED_EDGE('',*,*,#92665,.T.); #129941=ORIENTED_EDGE('',*,*,#92666,.T.); #129942=ORIENTED_EDGE('',*,*,#92662,.F.); #129943=ORIENTED_EDGE('',*,*,#92667,.F.); #129944=ORIENTED_EDGE('',*,*,#92668,.T.); #129945=ORIENTED_EDGE('',*,*,#92669,.T.); #129946=ORIENTED_EDGE('',*,*,#92665,.F.); #129947=ORIENTED_EDGE('',*,*,#92670,.F.); #129948=ORIENTED_EDGE('',*,*,#92671,.T.); #129949=ORIENTED_EDGE('',*,*,#92672,.T.); #129950=ORIENTED_EDGE('',*,*,#92668,.F.); #129951=ORIENTED_EDGE('',*,*,#92673,.F.); #129952=ORIENTED_EDGE('',*,*,#92674,.T.); #129953=ORIENTED_EDGE('',*,*,#92675,.T.); #129954=ORIENTED_EDGE('',*,*,#92671,.F.); #129955=ORIENTED_EDGE('',*,*,#92676,.F.); #129956=ORIENTED_EDGE('',*,*,#92677,.T.); #129957=ORIENTED_EDGE('',*,*,#92678,.T.); #129958=ORIENTED_EDGE('',*,*,#92674,.F.); #129959=ORIENTED_EDGE('',*,*,#92679,.F.); #129960=ORIENTED_EDGE('',*,*,#92680,.T.); #129961=ORIENTED_EDGE('',*,*,#92681,.T.); #129962=ORIENTED_EDGE('',*,*,#92677,.F.); #129963=ORIENTED_EDGE('',*,*,#92682,.F.); #129964=ORIENTED_EDGE('',*,*,#92683,.T.); #129965=ORIENTED_EDGE('',*,*,#92684,.T.); #129966=ORIENTED_EDGE('',*,*,#92680,.F.); #129967=ORIENTED_EDGE('',*,*,#92685,.F.); #129968=ORIENTED_EDGE('',*,*,#92576,.T.); #129969=ORIENTED_EDGE('',*,*,#92686,.T.); #129970=ORIENTED_EDGE('',*,*,#92683,.F.); #129971=ORIENTED_EDGE('',*,*,#92686,.F.); #129972=ORIENTED_EDGE('',*,*,#92575,.F.); #129973=ORIENTED_EDGE('',*,*,#92579,.F.); #129974=ORIENTED_EDGE('',*,*,#92582,.F.); #129975=ORIENTED_EDGE('',*,*,#92585,.F.); #129976=ORIENTED_EDGE('',*,*,#92588,.F.); #129977=ORIENTED_EDGE('',*,*,#92591,.F.); #129978=ORIENTED_EDGE('',*,*,#92594,.F.); #129979=ORIENTED_EDGE('',*,*,#92597,.F.); #129980=ORIENTED_EDGE('',*,*,#92600,.F.); #129981=ORIENTED_EDGE('',*,*,#92603,.F.); #129982=ORIENTED_EDGE('',*,*,#92606,.F.); #129983=ORIENTED_EDGE('',*,*,#92609,.F.); #129984=ORIENTED_EDGE('',*,*,#92612,.F.); #129985=ORIENTED_EDGE('',*,*,#92615,.F.); #129986=ORIENTED_EDGE('',*,*,#92618,.F.); #129987=ORIENTED_EDGE('',*,*,#92621,.F.); #129988=ORIENTED_EDGE('',*,*,#92624,.F.); #129989=ORIENTED_EDGE('',*,*,#92627,.F.); #129990=ORIENTED_EDGE('',*,*,#92630,.F.); #129991=ORIENTED_EDGE('',*,*,#92633,.F.); #129992=ORIENTED_EDGE('',*,*,#92636,.F.); #129993=ORIENTED_EDGE('',*,*,#92639,.F.); #129994=ORIENTED_EDGE('',*,*,#92642,.F.); #129995=ORIENTED_EDGE('',*,*,#92645,.F.); #129996=ORIENTED_EDGE('',*,*,#92648,.F.); #129997=ORIENTED_EDGE('',*,*,#92651,.F.); #129998=ORIENTED_EDGE('',*,*,#92654,.F.); #129999=ORIENTED_EDGE('',*,*,#92657,.F.); #130000=ORIENTED_EDGE('',*,*,#92660,.F.); #130001=ORIENTED_EDGE('',*,*,#92663,.F.); #130002=ORIENTED_EDGE('',*,*,#92666,.F.); #130003=ORIENTED_EDGE('',*,*,#92669,.F.); #130004=ORIENTED_EDGE('',*,*,#92672,.F.); #130005=ORIENTED_EDGE('',*,*,#92675,.F.); #130006=ORIENTED_EDGE('',*,*,#92678,.F.); #130007=ORIENTED_EDGE('',*,*,#92681,.F.); #130008=ORIENTED_EDGE('',*,*,#92684,.F.); #130009=ORIENTED_EDGE('',*,*,#92572,.F.); #130010=ORIENTED_EDGE('',*,*,#92545,.F.); #130011=ORIENTED_EDGE('',*,*,#92549,.F.); #130012=ORIENTED_EDGE('',*,*,#92552,.F.); #130013=ORIENTED_EDGE('',*,*,#92555,.F.); #130014=ORIENTED_EDGE('',*,*,#92558,.F.); #130015=ORIENTED_EDGE('',*,*,#92561,.F.); #130016=ORIENTED_EDGE('',*,*,#92564,.F.); #130017=ORIENTED_EDGE('',*,*,#92567,.F.); #130018=ORIENTED_EDGE('',*,*,#92570,.F.); #130019=ORIENTED_EDGE('',*,*,#92687,.F.); #130020=ORIENTED_EDGE('',*,*,#92688,.T.); #130021=ORIENTED_EDGE('',*,*,#92689,.T.); #130022=ORIENTED_EDGE('',*,*,#92690,.F.); #130023=ORIENTED_EDGE('',*,*,#92691,.F.); #130024=ORIENTED_EDGE('',*,*,#92692,.T.); #130025=ORIENTED_EDGE('',*,*,#92693,.T.); #130026=ORIENTED_EDGE('',*,*,#92688,.F.); #130027=ORIENTED_EDGE('',*,*,#92694,.F.); #130028=ORIENTED_EDGE('',*,*,#92695,.T.); #130029=ORIENTED_EDGE('',*,*,#92696,.T.); #130030=ORIENTED_EDGE('',*,*,#92692,.F.); #130031=ORIENTED_EDGE('',*,*,#92697,.F.); #130032=ORIENTED_EDGE('',*,*,#92698,.T.); #130033=ORIENTED_EDGE('',*,*,#92699,.T.); #130034=ORIENTED_EDGE('',*,*,#92695,.F.); #130035=ORIENTED_EDGE('',*,*,#92700,.F.); #130036=ORIENTED_EDGE('',*,*,#92701,.T.); #130037=ORIENTED_EDGE('',*,*,#92702,.T.); #130038=ORIENTED_EDGE('',*,*,#92698,.F.); #130039=ORIENTED_EDGE('',*,*,#92703,.F.); #130040=ORIENTED_EDGE('',*,*,#92704,.T.); #130041=ORIENTED_EDGE('',*,*,#92705,.T.); #130042=ORIENTED_EDGE('',*,*,#92701,.F.); #130043=ORIENTED_EDGE('',*,*,#92706,.F.); #130044=ORIENTED_EDGE('',*,*,#92707,.T.); #130045=ORIENTED_EDGE('',*,*,#92708,.T.); #130046=ORIENTED_EDGE('',*,*,#92704,.F.); #130047=ORIENTED_EDGE('',*,*,#92709,.F.); #130048=ORIENTED_EDGE('',*,*,#92710,.T.); #130049=ORIENTED_EDGE('',*,*,#92711,.T.); #130050=ORIENTED_EDGE('',*,*,#92707,.F.); #130051=ORIENTED_EDGE('',*,*,#92712,.F.); #130052=ORIENTED_EDGE('',*,*,#92713,.T.); #130053=ORIENTED_EDGE('',*,*,#92714,.T.); #130054=ORIENTED_EDGE('',*,*,#92710,.F.); #130055=ORIENTED_EDGE('',*,*,#92715,.F.); #130056=ORIENTED_EDGE('',*,*,#92716,.T.); #130057=ORIENTED_EDGE('',*,*,#92717,.T.); #130058=ORIENTED_EDGE('',*,*,#92713,.F.); #130059=ORIENTED_EDGE('',*,*,#92718,.F.); #130060=ORIENTED_EDGE('',*,*,#92719,.T.); #130061=ORIENTED_EDGE('',*,*,#92720,.T.); #130062=ORIENTED_EDGE('',*,*,#92716,.F.); #130063=ORIENTED_EDGE('',*,*,#92721,.F.); #130064=ORIENTED_EDGE('',*,*,#92722,.T.); #130065=ORIENTED_EDGE('',*,*,#92723,.T.); #130066=ORIENTED_EDGE('',*,*,#92719,.F.); #130067=ORIENTED_EDGE('',*,*,#92724,.F.); #130068=ORIENTED_EDGE('',*,*,#92725,.T.); #130069=ORIENTED_EDGE('',*,*,#92726,.T.); #130070=ORIENTED_EDGE('',*,*,#92722,.F.); #130071=ORIENTED_EDGE('',*,*,#92727,.F.); #130072=ORIENTED_EDGE('',*,*,#92728,.T.); #130073=ORIENTED_EDGE('',*,*,#92729,.T.); #130074=ORIENTED_EDGE('',*,*,#92725,.F.); #130075=ORIENTED_EDGE('',*,*,#92730,.F.); #130076=ORIENTED_EDGE('',*,*,#92731,.T.); #130077=ORIENTED_EDGE('',*,*,#92732,.T.); #130078=ORIENTED_EDGE('',*,*,#92728,.F.); #130079=ORIENTED_EDGE('',*,*,#92733,.F.); #130080=ORIENTED_EDGE('',*,*,#92734,.T.); #130081=ORIENTED_EDGE('',*,*,#92735,.T.); #130082=ORIENTED_EDGE('',*,*,#92731,.F.); #130083=ORIENTED_EDGE('',*,*,#92736,.F.); #130084=ORIENTED_EDGE('',*,*,#92737,.T.); #130085=ORIENTED_EDGE('',*,*,#92738,.T.); #130086=ORIENTED_EDGE('',*,*,#92734,.F.); #130087=ORIENTED_EDGE('',*,*,#92739,.F.); #130088=ORIENTED_EDGE('',*,*,#92740,.T.); #130089=ORIENTED_EDGE('',*,*,#92741,.T.); #130090=ORIENTED_EDGE('',*,*,#92737,.F.); #130091=ORIENTED_EDGE('',*,*,#92742,.F.); #130092=ORIENTED_EDGE('',*,*,#92743,.T.); #130093=ORIENTED_EDGE('',*,*,#92744,.T.); #130094=ORIENTED_EDGE('',*,*,#92740,.F.); #130095=ORIENTED_EDGE('',*,*,#92745,.F.); #130096=ORIENTED_EDGE('',*,*,#92746,.T.); #130097=ORIENTED_EDGE('',*,*,#92747,.T.); #130098=ORIENTED_EDGE('',*,*,#92743,.F.); #130099=ORIENTED_EDGE('',*,*,#92748,.F.); #130100=ORIENTED_EDGE('',*,*,#92749,.T.); #130101=ORIENTED_EDGE('',*,*,#92750,.T.); #130102=ORIENTED_EDGE('',*,*,#92746,.F.); #130103=ORIENTED_EDGE('',*,*,#92751,.F.); #130104=ORIENTED_EDGE('',*,*,#92752,.T.); #130105=ORIENTED_EDGE('',*,*,#92753,.T.); #130106=ORIENTED_EDGE('',*,*,#92749,.F.); #130107=ORIENTED_EDGE('',*,*,#92754,.F.); #130108=ORIENTED_EDGE('',*,*,#92755,.T.); #130109=ORIENTED_EDGE('',*,*,#92756,.T.); #130110=ORIENTED_EDGE('',*,*,#92752,.F.); #130111=ORIENTED_EDGE('',*,*,#92757,.F.); #130112=ORIENTED_EDGE('',*,*,#92758,.T.); #130113=ORIENTED_EDGE('',*,*,#92759,.T.); #130114=ORIENTED_EDGE('',*,*,#92755,.F.); #130115=ORIENTED_EDGE('',*,*,#92760,.F.); #130116=ORIENTED_EDGE('',*,*,#92761,.T.); #130117=ORIENTED_EDGE('',*,*,#92762,.T.); #130118=ORIENTED_EDGE('',*,*,#92758,.F.); #130119=ORIENTED_EDGE('',*,*,#92763,.F.); #130120=ORIENTED_EDGE('',*,*,#92764,.T.); #130121=ORIENTED_EDGE('',*,*,#92765,.T.); #130122=ORIENTED_EDGE('',*,*,#92761,.F.); #130123=ORIENTED_EDGE('',*,*,#92766,.F.); #130124=ORIENTED_EDGE('',*,*,#92767,.T.); #130125=ORIENTED_EDGE('',*,*,#92768,.T.); #130126=ORIENTED_EDGE('',*,*,#92764,.F.); #130127=ORIENTED_EDGE('',*,*,#92769,.F.); #130128=ORIENTED_EDGE('',*,*,#92770,.T.); #130129=ORIENTED_EDGE('',*,*,#92771,.T.); #130130=ORIENTED_EDGE('',*,*,#92767,.F.); #130131=ORIENTED_EDGE('',*,*,#92772,.F.); #130132=ORIENTED_EDGE('',*,*,#92773,.T.); #130133=ORIENTED_EDGE('',*,*,#92774,.T.); #130134=ORIENTED_EDGE('',*,*,#92770,.F.); #130135=ORIENTED_EDGE('',*,*,#92775,.F.); #130136=ORIENTED_EDGE('',*,*,#92776,.T.); #130137=ORIENTED_EDGE('',*,*,#92777,.T.); #130138=ORIENTED_EDGE('',*,*,#92773,.F.); #130139=ORIENTED_EDGE('',*,*,#92778,.F.); #130140=ORIENTED_EDGE('',*,*,#92779,.T.); #130141=ORIENTED_EDGE('',*,*,#92780,.T.); #130142=ORIENTED_EDGE('',*,*,#92776,.F.); #130143=ORIENTED_EDGE('',*,*,#92781,.F.); #130144=ORIENTED_EDGE('',*,*,#92782,.T.); #130145=ORIENTED_EDGE('',*,*,#92783,.T.); #130146=ORIENTED_EDGE('',*,*,#92779,.F.); #130147=ORIENTED_EDGE('',*,*,#92784,.F.); #130148=ORIENTED_EDGE('',*,*,#92785,.T.); #130149=ORIENTED_EDGE('',*,*,#92786,.T.); #130150=ORIENTED_EDGE('',*,*,#92782,.F.); #130151=ORIENTED_EDGE('',*,*,#92787,.F.); #130152=ORIENTED_EDGE('',*,*,#92788,.T.); #130153=ORIENTED_EDGE('',*,*,#92789,.T.); #130154=ORIENTED_EDGE('',*,*,#92785,.F.); #130155=ORIENTED_EDGE('',*,*,#92790,.F.); #130156=ORIENTED_EDGE('',*,*,#92791,.T.); #130157=ORIENTED_EDGE('',*,*,#92792,.T.); #130158=ORIENTED_EDGE('',*,*,#92788,.F.); #130159=ORIENTED_EDGE('',*,*,#92793,.F.); #130160=ORIENTED_EDGE('',*,*,#92794,.T.); #130161=ORIENTED_EDGE('',*,*,#92795,.T.); #130162=ORIENTED_EDGE('',*,*,#92791,.F.); #130163=ORIENTED_EDGE('',*,*,#92796,.F.); #130164=ORIENTED_EDGE('',*,*,#92797,.T.); #130165=ORIENTED_EDGE('',*,*,#92798,.T.); #130166=ORIENTED_EDGE('',*,*,#92794,.F.); #130167=ORIENTED_EDGE('',*,*,#92799,.F.); #130168=ORIENTED_EDGE('',*,*,#92800,.T.); #130169=ORIENTED_EDGE('',*,*,#92801,.T.); #130170=ORIENTED_EDGE('',*,*,#92797,.F.); #130171=ORIENTED_EDGE('',*,*,#92802,.F.); #130172=ORIENTED_EDGE('',*,*,#92803,.T.); #130173=ORIENTED_EDGE('',*,*,#92804,.T.); #130174=ORIENTED_EDGE('',*,*,#92800,.F.); #130175=ORIENTED_EDGE('',*,*,#92805,.F.); #130176=ORIENTED_EDGE('',*,*,#92806,.T.); #130177=ORIENTED_EDGE('',*,*,#92807,.T.); #130178=ORIENTED_EDGE('',*,*,#92803,.F.); #130179=ORIENTED_EDGE('',*,*,#92808,.F.); #130180=ORIENTED_EDGE('',*,*,#92690,.T.); #130181=ORIENTED_EDGE('',*,*,#92809,.T.); #130182=ORIENTED_EDGE('',*,*,#92806,.F.); #130183=ORIENTED_EDGE('',*,*,#92809,.F.); #130184=ORIENTED_EDGE('',*,*,#92689,.F.); #130185=ORIENTED_EDGE('',*,*,#92693,.F.); #130186=ORIENTED_EDGE('',*,*,#92696,.F.); #130187=ORIENTED_EDGE('',*,*,#92699,.F.); #130188=ORIENTED_EDGE('',*,*,#92702,.F.); #130189=ORIENTED_EDGE('',*,*,#92705,.F.); #130190=ORIENTED_EDGE('',*,*,#92708,.F.); #130191=ORIENTED_EDGE('',*,*,#92711,.F.); #130192=ORIENTED_EDGE('',*,*,#92714,.F.); #130193=ORIENTED_EDGE('',*,*,#92717,.F.); #130194=ORIENTED_EDGE('',*,*,#92720,.F.); #130195=ORIENTED_EDGE('',*,*,#92723,.F.); #130196=ORIENTED_EDGE('',*,*,#92726,.F.); #130197=ORIENTED_EDGE('',*,*,#92729,.F.); #130198=ORIENTED_EDGE('',*,*,#92732,.F.); #130199=ORIENTED_EDGE('',*,*,#92735,.F.); #130200=ORIENTED_EDGE('',*,*,#92738,.F.); #130201=ORIENTED_EDGE('',*,*,#92741,.F.); #130202=ORIENTED_EDGE('',*,*,#92744,.F.); #130203=ORIENTED_EDGE('',*,*,#92747,.F.); #130204=ORIENTED_EDGE('',*,*,#92750,.F.); #130205=ORIENTED_EDGE('',*,*,#92753,.F.); #130206=ORIENTED_EDGE('',*,*,#92756,.F.); #130207=ORIENTED_EDGE('',*,*,#92759,.F.); #130208=ORIENTED_EDGE('',*,*,#92762,.F.); #130209=ORIENTED_EDGE('',*,*,#92765,.F.); #130210=ORIENTED_EDGE('',*,*,#92768,.F.); #130211=ORIENTED_EDGE('',*,*,#92771,.F.); #130212=ORIENTED_EDGE('',*,*,#92774,.F.); #130213=ORIENTED_EDGE('',*,*,#92777,.F.); #130214=ORIENTED_EDGE('',*,*,#92780,.F.); #130215=ORIENTED_EDGE('',*,*,#92783,.F.); #130216=ORIENTED_EDGE('',*,*,#92786,.F.); #130217=ORIENTED_EDGE('',*,*,#92789,.F.); #130218=ORIENTED_EDGE('',*,*,#92792,.F.); #130219=ORIENTED_EDGE('',*,*,#92795,.F.); #130220=ORIENTED_EDGE('',*,*,#92798,.F.); #130221=ORIENTED_EDGE('',*,*,#92801,.F.); #130222=ORIENTED_EDGE('',*,*,#92804,.F.); #130223=ORIENTED_EDGE('',*,*,#92807,.F.); #130224=ORIENTED_EDGE('',*,*,#92810,.F.); #130225=ORIENTED_EDGE('',*,*,#92811,.T.); #130226=ORIENTED_EDGE('',*,*,#92812,.T.); #130227=ORIENTED_EDGE('',*,*,#92813,.F.); #130228=ORIENTED_EDGE('',*,*,#92814,.F.); #130229=ORIENTED_EDGE('',*,*,#92815,.T.); #130230=ORIENTED_EDGE('',*,*,#92816,.T.); #130231=ORIENTED_EDGE('',*,*,#92811,.F.); #130232=ORIENTED_EDGE('',*,*,#92817,.F.); #130233=ORIENTED_EDGE('',*,*,#92818,.T.); #130234=ORIENTED_EDGE('',*,*,#92819,.T.); #130235=ORIENTED_EDGE('',*,*,#92815,.F.); #130236=ORIENTED_EDGE('',*,*,#92820,.F.); #130237=ORIENTED_EDGE('',*,*,#92813,.T.); #130238=ORIENTED_EDGE('',*,*,#92821,.T.); #130239=ORIENTED_EDGE('',*,*,#92818,.F.); #130240=ORIENTED_EDGE('',*,*,#92821,.F.); #130241=ORIENTED_EDGE('',*,*,#92812,.F.); #130242=ORIENTED_EDGE('',*,*,#92816,.F.); #130243=ORIENTED_EDGE('',*,*,#92819,.F.); #130244=ORIENTED_EDGE('',*,*,#92822,.F.); #130245=ORIENTED_EDGE('',*,*,#92823,.T.); #130246=ORIENTED_EDGE('',*,*,#92824,.T.); #130247=ORIENTED_EDGE('',*,*,#92825,.F.); #130248=ORIENTED_EDGE('',*,*,#92826,.F.); #130249=ORIENTED_EDGE('',*,*,#92827,.T.); #130250=ORIENTED_EDGE('',*,*,#92828,.T.); #130251=ORIENTED_EDGE('',*,*,#92823,.F.); #130252=ORIENTED_EDGE('',*,*,#92829,.F.); #130253=ORIENTED_EDGE('',*,*,#92830,.T.); #130254=ORIENTED_EDGE('',*,*,#92831,.T.); #130255=ORIENTED_EDGE('',*,*,#92827,.F.); #130256=ORIENTED_EDGE('',*,*,#92832,.F.); #130257=ORIENTED_EDGE('',*,*,#92825,.T.); #130258=ORIENTED_EDGE('',*,*,#92833,.T.); #130259=ORIENTED_EDGE('',*,*,#92830,.F.); #130260=ORIENTED_EDGE('',*,*,#92834,.F.); #130261=ORIENTED_EDGE('',*,*,#92835,.T.); #130262=ORIENTED_EDGE('',*,*,#92836,.T.); #130263=ORIENTED_EDGE('',*,*,#92837,.F.); #130264=ORIENTED_EDGE('',*,*,#92838,.F.); #130265=ORIENTED_EDGE('',*,*,#92839,.T.); #130266=ORIENTED_EDGE('',*,*,#92840,.T.); #130267=ORIENTED_EDGE('',*,*,#92835,.F.); #130268=ORIENTED_EDGE('',*,*,#92841,.F.); #130269=ORIENTED_EDGE('',*,*,#92842,.T.); #130270=ORIENTED_EDGE('',*,*,#92843,.T.); #130271=ORIENTED_EDGE('',*,*,#92839,.F.); #130272=ORIENTED_EDGE('',*,*,#92844,.F.); #130273=ORIENTED_EDGE('',*,*,#92837,.T.); #130274=ORIENTED_EDGE('',*,*,#92845,.T.); #130275=ORIENTED_EDGE('',*,*,#92842,.F.); #130276=ORIENTED_EDGE('',*,*,#92845,.F.); #130277=ORIENTED_EDGE('',*,*,#92836,.F.); #130278=ORIENTED_EDGE('',*,*,#92840,.F.); #130279=ORIENTED_EDGE('',*,*,#92843,.F.); #130280=ORIENTED_EDGE('',*,*,#92833,.F.); #130281=ORIENTED_EDGE('',*,*,#92824,.F.); #130282=ORIENTED_EDGE('',*,*,#92828,.F.); #130283=ORIENTED_EDGE('',*,*,#92831,.F.); #130284=ORIENTED_EDGE('',*,*,#92846,.F.); #130285=ORIENTED_EDGE('',*,*,#92847,.T.); #130286=ORIENTED_EDGE('',*,*,#92848,.T.); #130287=ORIENTED_EDGE('',*,*,#92849,.F.); #130288=ORIENTED_EDGE('',*,*,#92850,.F.); #130289=ORIENTED_EDGE('',*,*,#92851,.T.); #130290=ORIENTED_EDGE('',*,*,#92852,.T.); #130291=ORIENTED_EDGE('',*,*,#92847,.F.); #130292=ORIENTED_EDGE('',*,*,#92853,.F.); #130293=ORIENTED_EDGE('',*,*,#92854,.T.); #130294=ORIENTED_EDGE('',*,*,#92855,.T.); #130295=ORIENTED_EDGE('',*,*,#92851,.F.); #130296=ORIENTED_EDGE('',*,*,#92856,.F.); #130297=ORIENTED_EDGE('',*,*,#92857,.T.); #130298=ORIENTED_EDGE('',*,*,#92858,.T.); #130299=ORIENTED_EDGE('',*,*,#92854,.F.); #130300=ORIENTED_EDGE('',*,*,#92859,.F.); #130301=ORIENTED_EDGE('',*,*,#92860,.T.); #130302=ORIENTED_EDGE('',*,*,#92861,.T.); #130303=ORIENTED_EDGE('',*,*,#92857,.F.); #130304=ORIENTED_EDGE('',*,*,#92862,.F.); #130305=ORIENTED_EDGE('',*,*,#92863,.T.); #130306=ORIENTED_EDGE('',*,*,#92864,.T.); #130307=ORIENTED_EDGE('',*,*,#92860,.F.); #130308=ORIENTED_EDGE('',*,*,#92865,.F.); #130309=ORIENTED_EDGE('',*,*,#92866,.T.); #130310=ORIENTED_EDGE('',*,*,#92867,.T.); #130311=ORIENTED_EDGE('',*,*,#92863,.F.); #130312=ORIENTED_EDGE('',*,*,#92868,.F.); #130313=ORIENTED_EDGE('',*,*,#92849,.T.); #130314=ORIENTED_EDGE('',*,*,#92869,.T.); #130315=ORIENTED_EDGE('',*,*,#92866,.F.); #130316=ORIENTED_EDGE('',*,*,#92870,.F.); #130317=ORIENTED_EDGE('',*,*,#92871,.T.); #130318=ORIENTED_EDGE('',*,*,#92872,.T.); #130319=ORIENTED_EDGE('',*,*,#92873,.F.); #130320=ORIENTED_EDGE('',*,*,#92874,.F.); #130321=ORIENTED_EDGE('',*,*,#92875,.T.); #130322=ORIENTED_EDGE('',*,*,#92876,.T.); #130323=ORIENTED_EDGE('',*,*,#92871,.F.); #130324=ORIENTED_EDGE('',*,*,#92877,.F.); #130325=ORIENTED_EDGE('',*,*,#92878,.T.); #130326=ORIENTED_EDGE('',*,*,#92879,.T.); #130327=ORIENTED_EDGE('',*,*,#92875,.F.); #130328=ORIENTED_EDGE('',*,*,#92880,.F.); #130329=ORIENTED_EDGE('',*,*,#92881,.T.); #130330=ORIENTED_EDGE('',*,*,#92882,.T.); #130331=ORIENTED_EDGE('',*,*,#92878,.F.); #130332=ORIENTED_EDGE('',*,*,#92883,.F.); #130333=ORIENTED_EDGE('',*,*,#92884,.T.); #130334=ORIENTED_EDGE('',*,*,#92885,.T.); #130335=ORIENTED_EDGE('',*,*,#92881,.F.); #130336=ORIENTED_EDGE('',*,*,#92886,.F.); #130337=ORIENTED_EDGE('',*,*,#92887,.T.); #130338=ORIENTED_EDGE('',*,*,#92888,.T.); #130339=ORIENTED_EDGE('',*,*,#92884,.F.); #130340=ORIENTED_EDGE('',*,*,#92889,.F.); #130341=ORIENTED_EDGE('',*,*,#92890,.T.); #130342=ORIENTED_EDGE('',*,*,#92891,.T.); #130343=ORIENTED_EDGE('',*,*,#92887,.F.); #130344=ORIENTED_EDGE('',*,*,#92892,.F.); #130345=ORIENTED_EDGE('',*,*,#92893,.T.); #130346=ORIENTED_EDGE('',*,*,#92894,.T.); #130347=ORIENTED_EDGE('',*,*,#92890,.F.); #130348=ORIENTED_EDGE('',*,*,#92895,.F.); #130349=ORIENTED_EDGE('',*,*,#92896,.T.); #130350=ORIENTED_EDGE('',*,*,#92897,.T.); #130351=ORIENTED_EDGE('',*,*,#92893,.F.); #130352=ORIENTED_EDGE('',*,*,#92898,.F.); #130353=ORIENTED_EDGE('',*,*,#92899,.T.); #130354=ORIENTED_EDGE('',*,*,#92900,.T.); #130355=ORIENTED_EDGE('',*,*,#92896,.F.); #130356=ORIENTED_EDGE('',*,*,#92901,.F.); #130357=ORIENTED_EDGE('',*,*,#92902,.T.); #130358=ORIENTED_EDGE('',*,*,#92903,.T.); #130359=ORIENTED_EDGE('',*,*,#92899,.F.); #130360=ORIENTED_EDGE('',*,*,#92904,.F.); #130361=ORIENTED_EDGE('',*,*,#92905,.T.); #130362=ORIENTED_EDGE('',*,*,#92906,.T.); #130363=ORIENTED_EDGE('',*,*,#92902,.F.); #130364=ORIENTED_EDGE('',*,*,#92907,.F.); #130365=ORIENTED_EDGE('',*,*,#92908,.T.); #130366=ORIENTED_EDGE('',*,*,#92909,.T.); #130367=ORIENTED_EDGE('',*,*,#92905,.F.); #130368=ORIENTED_EDGE('',*,*,#92910,.F.); #130369=ORIENTED_EDGE('',*,*,#92911,.T.); #130370=ORIENTED_EDGE('',*,*,#92912,.T.); #130371=ORIENTED_EDGE('',*,*,#92908,.F.); #130372=ORIENTED_EDGE('',*,*,#92913,.F.); #130373=ORIENTED_EDGE('',*,*,#92914,.T.); #130374=ORIENTED_EDGE('',*,*,#92915,.T.); #130375=ORIENTED_EDGE('',*,*,#92911,.F.); #130376=ORIENTED_EDGE('',*,*,#92916,.F.); #130377=ORIENTED_EDGE('',*,*,#92917,.T.); #130378=ORIENTED_EDGE('',*,*,#92918,.T.); #130379=ORIENTED_EDGE('',*,*,#92914,.F.); #130380=ORIENTED_EDGE('',*,*,#92919,.F.); #130381=ORIENTED_EDGE('',*,*,#92920,.T.); #130382=ORIENTED_EDGE('',*,*,#92921,.T.); #130383=ORIENTED_EDGE('',*,*,#92917,.F.); #130384=ORIENTED_EDGE('',*,*,#92922,.F.); #130385=ORIENTED_EDGE('',*,*,#92923,.T.); #130386=ORIENTED_EDGE('',*,*,#92924,.T.); #130387=ORIENTED_EDGE('',*,*,#92920,.F.); #130388=ORIENTED_EDGE('',*,*,#92925,.F.); #130389=ORIENTED_EDGE('',*,*,#92926,.T.); #130390=ORIENTED_EDGE('',*,*,#92927,.T.); #130391=ORIENTED_EDGE('',*,*,#92923,.F.); #130392=ORIENTED_EDGE('',*,*,#92928,.F.); #130393=ORIENTED_EDGE('',*,*,#92929,.T.); #130394=ORIENTED_EDGE('',*,*,#92930,.T.); #130395=ORIENTED_EDGE('',*,*,#92926,.F.); #130396=ORIENTED_EDGE('',*,*,#92931,.F.); #130397=ORIENTED_EDGE('',*,*,#92932,.T.); #130398=ORIENTED_EDGE('',*,*,#92933,.T.); #130399=ORIENTED_EDGE('',*,*,#92929,.F.); #130400=ORIENTED_EDGE('',*,*,#92934,.F.); #130401=ORIENTED_EDGE('',*,*,#92935,.T.); #130402=ORIENTED_EDGE('',*,*,#92936,.T.); #130403=ORIENTED_EDGE('',*,*,#92932,.F.); #130404=ORIENTED_EDGE('',*,*,#92937,.F.); #130405=ORIENTED_EDGE('',*,*,#92938,.T.); #130406=ORIENTED_EDGE('',*,*,#92939,.T.); #130407=ORIENTED_EDGE('',*,*,#92935,.F.); #130408=ORIENTED_EDGE('',*,*,#92940,.F.); #130409=ORIENTED_EDGE('',*,*,#92941,.T.); #130410=ORIENTED_EDGE('',*,*,#92942,.T.); #130411=ORIENTED_EDGE('',*,*,#92938,.F.); #130412=ORIENTED_EDGE('',*,*,#92943,.F.); #130413=ORIENTED_EDGE('',*,*,#92944,.T.); #130414=ORIENTED_EDGE('',*,*,#92945,.T.); #130415=ORIENTED_EDGE('',*,*,#92941,.F.); #130416=ORIENTED_EDGE('',*,*,#92946,.F.); #130417=ORIENTED_EDGE('',*,*,#92947,.T.); #130418=ORIENTED_EDGE('',*,*,#92948,.T.); #130419=ORIENTED_EDGE('',*,*,#92944,.F.); #130420=ORIENTED_EDGE('',*,*,#92949,.F.); #130421=ORIENTED_EDGE('',*,*,#92950,.T.); #130422=ORIENTED_EDGE('',*,*,#92951,.T.); #130423=ORIENTED_EDGE('',*,*,#92947,.F.); #130424=ORIENTED_EDGE('',*,*,#92952,.F.); #130425=ORIENTED_EDGE('',*,*,#92953,.T.); #130426=ORIENTED_EDGE('',*,*,#92954,.T.); #130427=ORIENTED_EDGE('',*,*,#92950,.F.); #130428=ORIENTED_EDGE('',*,*,#92955,.F.); #130429=ORIENTED_EDGE('',*,*,#92956,.T.); #130430=ORIENTED_EDGE('',*,*,#92957,.T.); #130431=ORIENTED_EDGE('',*,*,#92953,.F.); #130432=ORIENTED_EDGE('',*,*,#92958,.F.); #130433=ORIENTED_EDGE('',*,*,#92959,.T.); #130434=ORIENTED_EDGE('',*,*,#92960,.T.); #130435=ORIENTED_EDGE('',*,*,#92956,.F.); #130436=ORIENTED_EDGE('',*,*,#92961,.F.); #130437=ORIENTED_EDGE('',*,*,#92962,.T.); #130438=ORIENTED_EDGE('',*,*,#92963,.T.); #130439=ORIENTED_EDGE('',*,*,#92959,.F.); #130440=ORIENTED_EDGE('',*,*,#92964,.F.); #130441=ORIENTED_EDGE('',*,*,#92965,.T.); #130442=ORIENTED_EDGE('',*,*,#92966,.T.); #130443=ORIENTED_EDGE('',*,*,#92962,.F.); #130444=ORIENTED_EDGE('',*,*,#92967,.F.); #130445=ORIENTED_EDGE('',*,*,#92968,.T.); #130446=ORIENTED_EDGE('',*,*,#92969,.T.); #130447=ORIENTED_EDGE('',*,*,#92965,.F.); #130448=ORIENTED_EDGE('',*,*,#92970,.F.); #130449=ORIENTED_EDGE('',*,*,#92971,.T.); #130450=ORIENTED_EDGE('',*,*,#92972,.T.); #130451=ORIENTED_EDGE('',*,*,#92968,.F.); #130452=ORIENTED_EDGE('',*,*,#92973,.F.); #130453=ORIENTED_EDGE('',*,*,#92873,.T.); #130454=ORIENTED_EDGE('',*,*,#92974,.T.); #130455=ORIENTED_EDGE('',*,*,#92971,.F.); #130456=ORIENTED_EDGE('',*,*,#92974,.F.); #130457=ORIENTED_EDGE('',*,*,#92872,.F.); #130458=ORIENTED_EDGE('',*,*,#92876,.F.); #130459=ORIENTED_EDGE('',*,*,#92879,.F.); #130460=ORIENTED_EDGE('',*,*,#92882,.F.); #130461=ORIENTED_EDGE('',*,*,#92885,.F.); #130462=ORIENTED_EDGE('',*,*,#92888,.F.); #130463=ORIENTED_EDGE('',*,*,#92891,.F.); #130464=ORIENTED_EDGE('',*,*,#92894,.F.); #130465=ORIENTED_EDGE('',*,*,#92897,.F.); #130466=ORIENTED_EDGE('',*,*,#92900,.F.); #130467=ORIENTED_EDGE('',*,*,#92903,.F.); #130468=ORIENTED_EDGE('',*,*,#92906,.F.); #130469=ORIENTED_EDGE('',*,*,#92909,.F.); #130470=ORIENTED_EDGE('',*,*,#92912,.F.); #130471=ORIENTED_EDGE('',*,*,#92915,.F.); #130472=ORIENTED_EDGE('',*,*,#92918,.F.); #130473=ORIENTED_EDGE('',*,*,#92921,.F.); #130474=ORIENTED_EDGE('',*,*,#92924,.F.); #130475=ORIENTED_EDGE('',*,*,#92927,.F.); #130476=ORIENTED_EDGE('',*,*,#92930,.F.); #130477=ORIENTED_EDGE('',*,*,#92933,.F.); #130478=ORIENTED_EDGE('',*,*,#92936,.F.); #130479=ORIENTED_EDGE('',*,*,#92939,.F.); #130480=ORIENTED_EDGE('',*,*,#92942,.F.); #130481=ORIENTED_EDGE('',*,*,#92945,.F.); #130482=ORIENTED_EDGE('',*,*,#92948,.F.); #130483=ORIENTED_EDGE('',*,*,#92951,.F.); #130484=ORIENTED_EDGE('',*,*,#92954,.F.); #130485=ORIENTED_EDGE('',*,*,#92957,.F.); #130486=ORIENTED_EDGE('',*,*,#92960,.F.); #130487=ORIENTED_EDGE('',*,*,#92963,.F.); #130488=ORIENTED_EDGE('',*,*,#92966,.F.); #130489=ORIENTED_EDGE('',*,*,#92969,.F.); #130490=ORIENTED_EDGE('',*,*,#92972,.F.); #130491=ORIENTED_EDGE('',*,*,#92869,.F.); #130492=ORIENTED_EDGE('',*,*,#92848,.F.); #130493=ORIENTED_EDGE('',*,*,#92852,.F.); #130494=ORIENTED_EDGE('',*,*,#92855,.F.); #130495=ORIENTED_EDGE('',*,*,#92858,.F.); #130496=ORIENTED_EDGE('',*,*,#92861,.F.); #130497=ORIENTED_EDGE('',*,*,#92864,.F.); #130498=ORIENTED_EDGE('',*,*,#92867,.F.); #130499=ORIENTED_EDGE('',*,*,#92975,.F.); #130500=ORIENTED_EDGE('',*,*,#92976,.T.); #130501=ORIENTED_EDGE('',*,*,#92977,.T.); #130502=ORIENTED_EDGE('',*,*,#92978,.F.); #130503=ORIENTED_EDGE('',*,*,#92979,.F.); #130504=ORIENTED_EDGE('',*,*,#92980,.T.); #130505=ORIENTED_EDGE('',*,*,#92981,.T.); #130506=ORIENTED_EDGE('',*,*,#92976,.F.); #130507=ORIENTED_EDGE('',*,*,#92982,.F.); #130508=ORIENTED_EDGE('',*,*,#92983,.T.); #130509=ORIENTED_EDGE('',*,*,#92984,.T.); #130510=ORIENTED_EDGE('',*,*,#92980,.F.); #130511=ORIENTED_EDGE('',*,*,#92985,.F.); #130512=ORIENTED_EDGE('',*,*,#92986,.T.); #130513=ORIENTED_EDGE('',*,*,#92987,.T.); #130514=ORIENTED_EDGE('',*,*,#92983,.F.); #130515=ORIENTED_EDGE('',*,*,#92988,.F.); #130516=ORIENTED_EDGE('',*,*,#92989,.T.); #130517=ORIENTED_EDGE('',*,*,#92990,.T.); #130518=ORIENTED_EDGE('',*,*,#92986,.F.); #130519=ORIENTED_EDGE('',*,*,#92991,.F.); #130520=ORIENTED_EDGE('',*,*,#92992,.T.); #130521=ORIENTED_EDGE('',*,*,#92993,.T.); #130522=ORIENTED_EDGE('',*,*,#92989,.F.); #130523=ORIENTED_EDGE('',*,*,#92994,.F.); #130524=ORIENTED_EDGE('',*,*,#92995,.T.); #130525=ORIENTED_EDGE('',*,*,#92996,.T.); #130526=ORIENTED_EDGE('',*,*,#92992,.F.); #130527=ORIENTED_EDGE('',*,*,#92997,.F.); #130528=ORIENTED_EDGE('',*,*,#92998,.T.); #130529=ORIENTED_EDGE('',*,*,#92999,.T.); #130530=ORIENTED_EDGE('',*,*,#92995,.F.); #130531=ORIENTED_EDGE('',*,*,#93000,.F.); #130532=ORIENTED_EDGE('',*,*,#93001,.T.); #130533=ORIENTED_EDGE('',*,*,#93002,.T.); #130534=ORIENTED_EDGE('',*,*,#92998,.F.); #130535=ORIENTED_EDGE('',*,*,#93003,.F.); #130536=ORIENTED_EDGE('',*,*,#93004,.T.); #130537=ORIENTED_EDGE('',*,*,#93005,.T.); #130538=ORIENTED_EDGE('',*,*,#93001,.F.); #130539=ORIENTED_EDGE('',*,*,#93006,.F.); #130540=ORIENTED_EDGE('',*,*,#93007,.T.); #130541=ORIENTED_EDGE('',*,*,#93008,.T.); #130542=ORIENTED_EDGE('',*,*,#93004,.F.); #130543=ORIENTED_EDGE('',*,*,#93009,.F.); #130544=ORIENTED_EDGE('',*,*,#93010,.T.); #130545=ORIENTED_EDGE('',*,*,#93011,.T.); #130546=ORIENTED_EDGE('',*,*,#93007,.F.); #130547=ORIENTED_EDGE('',*,*,#93012,.F.); #130548=ORIENTED_EDGE('',*,*,#93013,.T.); #130549=ORIENTED_EDGE('',*,*,#93014,.T.); #130550=ORIENTED_EDGE('',*,*,#93010,.F.); #130551=ORIENTED_EDGE('',*,*,#93015,.F.); #130552=ORIENTED_EDGE('',*,*,#93016,.T.); #130553=ORIENTED_EDGE('',*,*,#93017,.T.); #130554=ORIENTED_EDGE('',*,*,#93013,.F.); #130555=ORIENTED_EDGE('',*,*,#93018,.F.); #130556=ORIENTED_EDGE('',*,*,#93019,.T.); #130557=ORIENTED_EDGE('',*,*,#93020,.T.); #130558=ORIENTED_EDGE('',*,*,#93016,.F.); #130559=ORIENTED_EDGE('',*,*,#93021,.F.); #130560=ORIENTED_EDGE('',*,*,#93022,.T.); #130561=ORIENTED_EDGE('',*,*,#93023,.T.); #130562=ORIENTED_EDGE('',*,*,#93019,.F.); #130563=ORIENTED_EDGE('',*,*,#93024,.F.); #130564=ORIENTED_EDGE('',*,*,#93025,.T.); #130565=ORIENTED_EDGE('',*,*,#93026,.T.); #130566=ORIENTED_EDGE('',*,*,#93022,.F.); #130567=ORIENTED_EDGE('',*,*,#93027,.F.); #130568=ORIENTED_EDGE('',*,*,#93028,.T.); #130569=ORIENTED_EDGE('',*,*,#93029,.T.); #130570=ORIENTED_EDGE('',*,*,#93025,.F.); #130571=ORIENTED_EDGE('',*,*,#93030,.F.); #130572=ORIENTED_EDGE('',*,*,#93031,.T.); #130573=ORIENTED_EDGE('',*,*,#93032,.T.); #130574=ORIENTED_EDGE('',*,*,#93028,.F.); #130575=ORIENTED_EDGE('',*,*,#93033,.F.); #130576=ORIENTED_EDGE('',*,*,#93034,.T.); #130577=ORIENTED_EDGE('',*,*,#93035,.T.); #130578=ORIENTED_EDGE('',*,*,#93031,.F.); #130579=ORIENTED_EDGE('',*,*,#93036,.F.); #130580=ORIENTED_EDGE('',*,*,#93037,.T.); #130581=ORIENTED_EDGE('',*,*,#93038,.T.); #130582=ORIENTED_EDGE('',*,*,#93034,.F.); #130583=ORIENTED_EDGE('',*,*,#93039,.F.); #130584=ORIENTED_EDGE('',*,*,#93040,.T.); #130585=ORIENTED_EDGE('',*,*,#93041,.T.); #130586=ORIENTED_EDGE('',*,*,#93037,.F.); #130587=ORIENTED_EDGE('',*,*,#93042,.F.); #130588=ORIENTED_EDGE('',*,*,#93043,.T.); #130589=ORIENTED_EDGE('',*,*,#93044,.T.); #130590=ORIENTED_EDGE('',*,*,#93040,.F.); #130591=ORIENTED_EDGE('',*,*,#93045,.F.); #130592=ORIENTED_EDGE('',*,*,#93046,.T.); #130593=ORIENTED_EDGE('',*,*,#93047,.T.); #130594=ORIENTED_EDGE('',*,*,#93043,.F.); #130595=ORIENTED_EDGE('',*,*,#93048,.F.); #130596=ORIENTED_EDGE('',*,*,#93049,.T.); #130597=ORIENTED_EDGE('',*,*,#93050,.T.); #130598=ORIENTED_EDGE('',*,*,#93046,.F.); #130599=ORIENTED_EDGE('',*,*,#93051,.F.); #130600=ORIENTED_EDGE('',*,*,#93052,.T.); #130601=ORIENTED_EDGE('',*,*,#93053,.T.); #130602=ORIENTED_EDGE('',*,*,#93049,.F.); #130603=ORIENTED_EDGE('',*,*,#93054,.F.); #130604=ORIENTED_EDGE('',*,*,#93055,.T.); #130605=ORIENTED_EDGE('',*,*,#93056,.T.); #130606=ORIENTED_EDGE('',*,*,#93052,.F.); #130607=ORIENTED_EDGE('',*,*,#93057,.F.); #130608=ORIENTED_EDGE('',*,*,#93058,.T.); #130609=ORIENTED_EDGE('',*,*,#93059,.T.); #130610=ORIENTED_EDGE('',*,*,#93055,.F.); #130611=ORIENTED_EDGE('',*,*,#93060,.F.); #130612=ORIENTED_EDGE('',*,*,#93061,.T.); #130613=ORIENTED_EDGE('',*,*,#93062,.T.); #130614=ORIENTED_EDGE('',*,*,#93058,.F.); #130615=ORIENTED_EDGE('',*,*,#93063,.F.); #130616=ORIENTED_EDGE('',*,*,#93064,.T.); #130617=ORIENTED_EDGE('',*,*,#93065,.T.); #130618=ORIENTED_EDGE('',*,*,#93061,.F.); #130619=ORIENTED_EDGE('',*,*,#93066,.F.); #130620=ORIENTED_EDGE('',*,*,#93067,.T.); #130621=ORIENTED_EDGE('',*,*,#93068,.T.); #130622=ORIENTED_EDGE('',*,*,#93064,.F.); #130623=ORIENTED_EDGE('',*,*,#93069,.F.); #130624=ORIENTED_EDGE('',*,*,#93070,.T.); #130625=ORIENTED_EDGE('',*,*,#93071,.T.); #130626=ORIENTED_EDGE('',*,*,#93067,.F.); #130627=ORIENTED_EDGE('',*,*,#93072,.F.); #130628=ORIENTED_EDGE('',*,*,#93073,.T.); #130629=ORIENTED_EDGE('',*,*,#93074,.T.); #130630=ORIENTED_EDGE('',*,*,#93070,.F.); #130631=ORIENTED_EDGE('',*,*,#93075,.F.); #130632=ORIENTED_EDGE('',*,*,#93076,.T.); #130633=ORIENTED_EDGE('',*,*,#93077,.T.); #130634=ORIENTED_EDGE('',*,*,#93073,.F.); #130635=ORIENTED_EDGE('',*,*,#93078,.F.); #130636=ORIENTED_EDGE('',*,*,#93079,.T.); #130637=ORIENTED_EDGE('',*,*,#93080,.T.); #130638=ORIENTED_EDGE('',*,*,#93076,.F.); #130639=ORIENTED_EDGE('',*,*,#93081,.F.); #130640=ORIENTED_EDGE('',*,*,#93082,.T.); #130641=ORIENTED_EDGE('',*,*,#93083,.T.); #130642=ORIENTED_EDGE('',*,*,#93079,.F.); #130643=ORIENTED_EDGE('',*,*,#93084,.F.); #130644=ORIENTED_EDGE('',*,*,#93085,.T.); #130645=ORIENTED_EDGE('',*,*,#93086,.T.); #130646=ORIENTED_EDGE('',*,*,#93082,.F.); #130647=ORIENTED_EDGE('',*,*,#93087,.F.); #130648=ORIENTED_EDGE('',*,*,#93088,.T.); #130649=ORIENTED_EDGE('',*,*,#93089,.T.); #130650=ORIENTED_EDGE('',*,*,#93085,.F.); #130651=ORIENTED_EDGE('',*,*,#93090,.F.); #130652=ORIENTED_EDGE('',*,*,#93091,.T.); #130653=ORIENTED_EDGE('',*,*,#93092,.T.); #130654=ORIENTED_EDGE('',*,*,#93088,.F.); #130655=ORIENTED_EDGE('',*,*,#93093,.F.); #130656=ORIENTED_EDGE('',*,*,#93094,.T.); #130657=ORIENTED_EDGE('',*,*,#93095,.T.); #130658=ORIENTED_EDGE('',*,*,#93091,.F.); #130659=ORIENTED_EDGE('',*,*,#93096,.F.); #130660=ORIENTED_EDGE('',*,*,#93097,.T.); #130661=ORIENTED_EDGE('',*,*,#93098,.T.); #130662=ORIENTED_EDGE('',*,*,#93094,.F.); #130663=ORIENTED_EDGE('',*,*,#93099,.F.); #130664=ORIENTED_EDGE('',*,*,#93100,.T.); #130665=ORIENTED_EDGE('',*,*,#93101,.T.); #130666=ORIENTED_EDGE('',*,*,#93097,.F.); #130667=ORIENTED_EDGE('',*,*,#93102,.F.); #130668=ORIENTED_EDGE('',*,*,#93103,.T.); #130669=ORIENTED_EDGE('',*,*,#93104,.T.); #130670=ORIENTED_EDGE('',*,*,#93100,.F.); #130671=ORIENTED_EDGE('',*,*,#93105,.F.); #130672=ORIENTED_EDGE('',*,*,#93106,.T.); #130673=ORIENTED_EDGE('',*,*,#93107,.T.); #130674=ORIENTED_EDGE('',*,*,#93103,.F.); #130675=ORIENTED_EDGE('',*,*,#93108,.F.); #130676=ORIENTED_EDGE('',*,*,#93109,.T.); #130677=ORIENTED_EDGE('',*,*,#93110,.T.); #130678=ORIENTED_EDGE('',*,*,#93106,.F.); #130679=ORIENTED_EDGE('',*,*,#93111,.F.); #130680=ORIENTED_EDGE('',*,*,#92978,.T.); #130681=ORIENTED_EDGE('',*,*,#93112,.T.); #130682=ORIENTED_EDGE('',*,*,#93109,.F.); #130683=ORIENTED_EDGE('',*,*,#93112,.F.); #130684=ORIENTED_EDGE('',*,*,#92977,.F.); #130685=ORIENTED_EDGE('',*,*,#92981,.F.); #130686=ORIENTED_EDGE('',*,*,#92984,.F.); #130687=ORIENTED_EDGE('',*,*,#92987,.F.); #130688=ORIENTED_EDGE('',*,*,#92990,.F.); #130689=ORIENTED_EDGE('',*,*,#92993,.F.); #130690=ORIENTED_EDGE('',*,*,#92996,.F.); #130691=ORIENTED_EDGE('',*,*,#92999,.F.); #130692=ORIENTED_EDGE('',*,*,#93002,.F.); #130693=ORIENTED_EDGE('',*,*,#93005,.F.); #130694=ORIENTED_EDGE('',*,*,#93008,.F.); #130695=ORIENTED_EDGE('',*,*,#93011,.F.); #130696=ORIENTED_EDGE('',*,*,#93014,.F.); #130697=ORIENTED_EDGE('',*,*,#93017,.F.); #130698=ORIENTED_EDGE('',*,*,#93020,.F.); #130699=ORIENTED_EDGE('',*,*,#93023,.F.); #130700=ORIENTED_EDGE('',*,*,#93026,.F.); #130701=ORIENTED_EDGE('',*,*,#93029,.F.); #130702=ORIENTED_EDGE('',*,*,#93032,.F.); #130703=ORIENTED_EDGE('',*,*,#93035,.F.); #130704=ORIENTED_EDGE('',*,*,#93038,.F.); #130705=ORIENTED_EDGE('',*,*,#93041,.F.); #130706=ORIENTED_EDGE('',*,*,#93044,.F.); #130707=ORIENTED_EDGE('',*,*,#93047,.F.); #130708=ORIENTED_EDGE('',*,*,#93050,.F.); #130709=ORIENTED_EDGE('',*,*,#93053,.F.); #130710=ORIENTED_EDGE('',*,*,#93056,.F.); #130711=ORIENTED_EDGE('',*,*,#93059,.F.); #130712=ORIENTED_EDGE('',*,*,#93062,.F.); #130713=ORIENTED_EDGE('',*,*,#93065,.F.); #130714=ORIENTED_EDGE('',*,*,#93068,.F.); #130715=ORIENTED_EDGE('',*,*,#93071,.F.); #130716=ORIENTED_EDGE('',*,*,#93074,.F.); #130717=ORIENTED_EDGE('',*,*,#93077,.F.); #130718=ORIENTED_EDGE('',*,*,#93080,.F.); #130719=ORIENTED_EDGE('',*,*,#93083,.F.); #130720=ORIENTED_EDGE('',*,*,#93086,.F.); #130721=ORIENTED_EDGE('',*,*,#93089,.F.); #130722=ORIENTED_EDGE('',*,*,#93092,.F.); #130723=ORIENTED_EDGE('',*,*,#93095,.F.); #130724=ORIENTED_EDGE('',*,*,#93098,.F.); #130725=ORIENTED_EDGE('',*,*,#93101,.F.); #130726=ORIENTED_EDGE('',*,*,#93104,.F.); #130727=ORIENTED_EDGE('',*,*,#93107,.F.); #130728=ORIENTED_EDGE('',*,*,#93110,.F.); #130729=ORIENTED_EDGE('',*,*,#93113,.F.); #130730=ORIENTED_EDGE('',*,*,#93114,.T.); #130731=ORIENTED_EDGE('',*,*,#93115,.T.); #130732=ORIENTED_EDGE('',*,*,#93116,.F.); #130733=ORIENTED_EDGE('',*,*,#93117,.F.); #130734=ORIENTED_EDGE('',*,*,#93118,.T.); #130735=ORIENTED_EDGE('',*,*,#93119,.T.); #130736=ORIENTED_EDGE('',*,*,#93114,.F.); #130737=ORIENTED_EDGE('',*,*,#93120,.F.); #130738=ORIENTED_EDGE('',*,*,#93121,.T.); #130739=ORIENTED_EDGE('',*,*,#93122,.T.); #130740=ORIENTED_EDGE('',*,*,#93118,.F.); #130741=ORIENTED_EDGE('',*,*,#93123,.F.); #130742=ORIENTED_EDGE('',*,*,#93124,.T.); #130743=ORIENTED_EDGE('',*,*,#93125,.T.); #130744=ORIENTED_EDGE('',*,*,#93121,.F.); #130745=ORIENTED_EDGE('',*,*,#93126,.F.); #130746=ORIENTED_EDGE('',*,*,#93127,.T.); #130747=ORIENTED_EDGE('',*,*,#93128,.T.); #130748=ORIENTED_EDGE('',*,*,#93124,.F.); #130749=ORIENTED_EDGE('',*,*,#93129,.F.); #130750=ORIENTED_EDGE('',*,*,#93130,.T.); #130751=ORIENTED_EDGE('',*,*,#93131,.T.); #130752=ORIENTED_EDGE('',*,*,#93127,.F.); #130753=ORIENTED_EDGE('',*,*,#93132,.F.); #130754=ORIENTED_EDGE('',*,*,#93133,.T.); #130755=ORIENTED_EDGE('',*,*,#93134,.T.); #130756=ORIENTED_EDGE('',*,*,#93130,.F.); #130757=ORIENTED_EDGE('',*,*,#93135,.F.); #130758=ORIENTED_EDGE('',*,*,#93136,.T.); #130759=ORIENTED_EDGE('',*,*,#93137,.T.); #130760=ORIENTED_EDGE('',*,*,#93133,.F.); #130761=ORIENTED_EDGE('',*,*,#93138,.F.); #130762=ORIENTED_EDGE('',*,*,#93139,.T.); #130763=ORIENTED_EDGE('',*,*,#93140,.T.); #130764=ORIENTED_EDGE('',*,*,#93136,.F.); #130765=ORIENTED_EDGE('',*,*,#93141,.F.); #130766=ORIENTED_EDGE('',*,*,#93142,.T.); #130767=ORIENTED_EDGE('',*,*,#93143,.T.); #130768=ORIENTED_EDGE('',*,*,#93139,.F.); #130769=ORIENTED_EDGE('',*,*,#93144,.F.); #130770=ORIENTED_EDGE('',*,*,#93145,.T.); #130771=ORIENTED_EDGE('',*,*,#93146,.T.); #130772=ORIENTED_EDGE('',*,*,#93142,.F.); #130773=ORIENTED_EDGE('',*,*,#93147,.F.); #130774=ORIENTED_EDGE('',*,*,#93148,.T.); #130775=ORIENTED_EDGE('',*,*,#93149,.T.); #130776=ORIENTED_EDGE('',*,*,#93145,.F.); #130777=ORIENTED_EDGE('',*,*,#93150,.F.); #130778=ORIENTED_EDGE('',*,*,#93151,.T.); #130779=ORIENTED_EDGE('',*,*,#93152,.T.); #130780=ORIENTED_EDGE('',*,*,#93148,.F.); #130781=ORIENTED_EDGE('',*,*,#93153,.F.); #130782=ORIENTED_EDGE('',*,*,#93154,.T.); #130783=ORIENTED_EDGE('',*,*,#93155,.T.); #130784=ORIENTED_EDGE('',*,*,#93151,.F.); #130785=ORIENTED_EDGE('',*,*,#93156,.F.); #130786=ORIENTED_EDGE('',*,*,#93157,.T.); #130787=ORIENTED_EDGE('',*,*,#93158,.T.); #130788=ORIENTED_EDGE('',*,*,#93154,.F.); #130789=ORIENTED_EDGE('',*,*,#93159,.F.); #130790=ORIENTED_EDGE('',*,*,#93160,.T.); #130791=ORIENTED_EDGE('',*,*,#93161,.T.); #130792=ORIENTED_EDGE('',*,*,#93157,.F.); #130793=ORIENTED_EDGE('',*,*,#93162,.F.); #130794=ORIENTED_EDGE('',*,*,#93163,.T.); #130795=ORIENTED_EDGE('',*,*,#93164,.T.); #130796=ORIENTED_EDGE('',*,*,#93160,.F.); #130797=ORIENTED_EDGE('',*,*,#93165,.F.); #130798=ORIENTED_EDGE('',*,*,#93166,.T.); #130799=ORIENTED_EDGE('',*,*,#93167,.T.); #130800=ORIENTED_EDGE('',*,*,#93163,.F.); #130801=ORIENTED_EDGE('',*,*,#93168,.F.); #130802=ORIENTED_EDGE('',*,*,#93169,.T.); #130803=ORIENTED_EDGE('',*,*,#93170,.T.); #130804=ORIENTED_EDGE('',*,*,#93166,.F.); #130805=ORIENTED_EDGE('',*,*,#93171,.F.); #130806=ORIENTED_EDGE('',*,*,#93172,.T.); #130807=ORIENTED_EDGE('',*,*,#93173,.T.); #130808=ORIENTED_EDGE('',*,*,#93169,.F.); #130809=ORIENTED_EDGE('',*,*,#93174,.F.); #130810=ORIENTED_EDGE('',*,*,#93175,.T.); #130811=ORIENTED_EDGE('',*,*,#93176,.T.); #130812=ORIENTED_EDGE('',*,*,#93172,.F.); #130813=ORIENTED_EDGE('',*,*,#93177,.F.); #130814=ORIENTED_EDGE('',*,*,#93178,.T.); #130815=ORIENTED_EDGE('',*,*,#93179,.T.); #130816=ORIENTED_EDGE('',*,*,#93175,.F.); #130817=ORIENTED_EDGE('',*,*,#93180,.F.); #130818=ORIENTED_EDGE('',*,*,#93181,.T.); #130819=ORIENTED_EDGE('',*,*,#93182,.T.); #130820=ORIENTED_EDGE('',*,*,#93178,.F.); #130821=ORIENTED_EDGE('',*,*,#93183,.F.); #130822=ORIENTED_EDGE('',*,*,#93184,.T.); #130823=ORIENTED_EDGE('',*,*,#93185,.T.); #130824=ORIENTED_EDGE('',*,*,#93181,.F.); #130825=ORIENTED_EDGE('',*,*,#93186,.F.); #130826=ORIENTED_EDGE('',*,*,#93187,.T.); #130827=ORIENTED_EDGE('',*,*,#93188,.T.); #130828=ORIENTED_EDGE('',*,*,#93184,.F.); #130829=ORIENTED_EDGE('',*,*,#93189,.F.); #130830=ORIENTED_EDGE('',*,*,#93190,.T.); #130831=ORIENTED_EDGE('',*,*,#93191,.T.); #130832=ORIENTED_EDGE('',*,*,#93187,.F.); #130833=ORIENTED_EDGE('',*,*,#93192,.F.); #130834=ORIENTED_EDGE('',*,*,#93193,.T.); #130835=ORIENTED_EDGE('',*,*,#93194,.T.); #130836=ORIENTED_EDGE('',*,*,#93190,.F.); #130837=ORIENTED_EDGE('',*,*,#93195,.F.); #130838=ORIENTED_EDGE('',*,*,#93196,.T.); #130839=ORIENTED_EDGE('',*,*,#93197,.T.); #130840=ORIENTED_EDGE('',*,*,#93193,.F.); #130841=ORIENTED_EDGE('',*,*,#93198,.F.); #130842=ORIENTED_EDGE('',*,*,#93199,.T.); #130843=ORIENTED_EDGE('',*,*,#93200,.T.); #130844=ORIENTED_EDGE('',*,*,#93196,.F.); #130845=ORIENTED_EDGE('',*,*,#93201,.F.); #130846=ORIENTED_EDGE('',*,*,#93202,.T.); #130847=ORIENTED_EDGE('',*,*,#93203,.T.); #130848=ORIENTED_EDGE('',*,*,#93199,.F.); #130849=ORIENTED_EDGE('',*,*,#93204,.F.); #130850=ORIENTED_EDGE('',*,*,#93205,.T.); #130851=ORIENTED_EDGE('',*,*,#93206,.T.); #130852=ORIENTED_EDGE('',*,*,#93202,.F.); #130853=ORIENTED_EDGE('',*,*,#93207,.F.); #130854=ORIENTED_EDGE('',*,*,#93208,.T.); #130855=ORIENTED_EDGE('',*,*,#93209,.T.); #130856=ORIENTED_EDGE('',*,*,#93205,.F.); #130857=ORIENTED_EDGE('',*,*,#93210,.F.); #130858=ORIENTED_EDGE('',*,*,#93211,.T.); #130859=ORIENTED_EDGE('',*,*,#93212,.T.); #130860=ORIENTED_EDGE('',*,*,#93208,.F.); #130861=ORIENTED_EDGE('',*,*,#93213,.F.); #130862=ORIENTED_EDGE('',*,*,#93214,.T.); #130863=ORIENTED_EDGE('',*,*,#93215,.T.); #130864=ORIENTED_EDGE('',*,*,#93211,.F.); #130865=ORIENTED_EDGE('',*,*,#93216,.F.); #130866=ORIENTED_EDGE('',*,*,#93217,.T.); #130867=ORIENTED_EDGE('',*,*,#93218,.T.); #130868=ORIENTED_EDGE('',*,*,#93214,.F.); #130869=ORIENTED_EDGE('',*,*,#93219,.F.); #130870=ORIENTED_EDGE('',*,*,#93220,.T.); #130871=ORIENTED_EDGE('',*,*,#93221,.T.); #130872=ORIENTED_EDGE('',*,*,#93217,.F.); #130873=ORIENTED_EDGE('',*,*,#93222,.F.); #130874=ORIENTED_EDGE('',*,*,#93116,.T.); #130875=ORIENTED_EDGE('',*,*,#93223,.T.); #130876=ORIENTED_EDGE('',*,*,#93220,.F.); #130877=ORIENTED_EDGE('',*,*,#93223,.F.); #130878=ORIENTED_EDGE('',*,*,#93115,.F.); #130879=ORIENTED_EDGE('',*,*,#93119,.F.); #130880=ORIENTED_EDGE('',*,*,#93122,.F.); #130881=ORIENTED_EDGE('',*,*,#93125,.F.); #130882=ORIENTED_EDGE('',*,*,#93128,.F.); #130883=ORIENTED_EDGE('',*,*,#93131,.F.); #130884=ORIENTED_EDGE('',*,*,#93134,.F.); #130885=ORIENTED_EDGE('',*,*,#93137,.F.); #130886=ORIENTED_EDGE('',*,*,#93140,.F.); #130887=ORIENTED_EDGE('',*,*,#93143,.F.); #130888=ORIENTED_EDGE('',*,*,#93146,.F.); #130889=ORIENTED_EDGE('',*,*,#93149,.F.); #130890=ORIENTED_EDGE('',*,*,#93152,.F.); #130891=ORIENTED_EDGE('',*,*,#93155,.F.); #130892=ORIENTED_EDGE('',*,*,#93158,.F.); #130893=ORIENTED_EDGE('',*,*,#93161,.F.); #130894=ORIENTED_EDGE('',*,*,#93164,.F.); #130895=ORIENTED_EDGE('',*,*,#93167,.F.); #130896=ORIENTED_EDGE('',*,*,#93170,.F.); #130897=ORIENTED_EDGE('',*,*,#93173,.F.); #130898=ORIENTED_EDGE('',*,*,#93176,.F.); #130899=ORIENTED_EDGE('',*,*,#93179,.F.); #130900=ORIENTED_EDGE('',*,*,#93182,.F.); #130901=ORIENTED_EDGE('',*,*,#93185,.F.); #130902=ORIENTED_EDGE('',*,*,#93188,.F.); #130903=ORIENTED_EDGE('',*,*,#93191,.F.); #130904=ORIENTED_EDGE('',*,*,#93194,.F.); #130905=ORIENTED_EDGE('',*,*,#93197,.F.); #130906=ORIENTED_EDGE('',*,*,#93200,.F.); #130907=ORIENTED_EDGE('',*,*,#93203,.F.); #130908=ORIENTED_EDGE('',*,*,#93206,.F.); #130909=ORIENTED_EDGE('',*,*,#93209,.F.); #130910=ORIENTED_EDGE('',*,*,#93212,.F.); #130911=ORIENTED_EDGE('',*,*,#93215,.F.); #130912=ORIENTED_EDGE('',*,*,#93218,.F.); #130913=ORIENTED_EDGE('',*,*,#93221,.F.); #130914=ORIENTED_EDGE('',*,*,#93224,.F.); #130915=ORIENTED_EDGE('',*,*,#93225,.T.); #130916=ORIENTED_EDGE('',*,*,#93226,.T.); #130917=ORIENTED_EDGE('',*,*,#93227,.F.); #130918=ORIENTED_EDGE('',*,*,#93228,.F.); #130919=ORIENTED_EDGE('',*,*,#93229,.T.); #130920=ORIENTED_EDGE('',*,*,#93230,.T.); #130921=ORIENTED_EDGE('',*,*,#93225,.F.); #130922=ORIENTED_EDGE('',*,*,#93231,.F.); #130923=ORIENTED_EDGE('',*,*,#93232,.T.); #130924=ORIENTED_EDGE('',*,*,#93233,.T.); #130925=ORIENTED_EDGE('',*,*,#93229,.F.); #130926=ORIENTED_EDGE('',*,*,#93234,.F.); #130927=ORIENTED_EDGE('',*,*,#93235,.T.); #130928=ORIENTED_EDGE('',*,*,#93236,.T.); #130929=ORIENTED_EDGE('',*,*,#93232,.F.); #130930=ORIENTED_EDGE('',*,*,#93237,.F.); #130931=ORIENTED_EDGE('',*,*,#93238,.T.); #130932=ORIENTED_EDGE('',*,*,#93239,.T.); #130933=ORIENTED_EDGE('',*,*,#93235,.F.); #130934=ORIENTED_EDGE('',*,*,#93240,.F.); #130935=ORIENTED_EDGE('',*,*,#93241,.T.); #130936=ORIENTED_EDGE('',*,*,#93242,.T.); #130937=ORIENTED_EDGE('',*,*,#93238,.F.); #130938=ORIENTED_EDGE('',*,*,#93243,.F.); #130939=ORIENTED_EDGE('',*,*,#93244,.T.); #130940=ORIENTED_EDGE('',*,*,#93245,.T.); #130941=ORIENTED_EDGE('',*,*,#93241,.F.); #130942=ORIENTED_EDGE('',*,*,#93246,.F.); #130943=ORIENTED_EDGE('',*,*,#93247,.T.); #130944=ORIENTED_EDGE('',*,*,#93248,.T.); #130945=ORIENTED_EDGE('',*,*,#93244,.F.); #130946=ORIENTED_EDGE('',*,*,#93249,.F.); #130947=ORIENTED_EDGE('',*,*,#93250,.T.); #130948=ORIENTED_EDGE('',*,*,#93251,.T.); #130949=ORIENTED_EDGE('',*,*,#93247,.F.); #130950=ORIENTED_EDGE('',*,*,#93252,.F.); #130951=ORIENTED_EDGE('',*,*,#93253,.T.); #130952=ORIENTED_EDGE('',*,*,#93254,.T.); #130953=ORIENTED_EDGE('',*,*,#93250,.F.); #130954=ORIENTED_EDGE('',*,*,#93255,.F.); #130955=ORIENTED_EDGE('',*,*,#93227,.T.); #130956=ORIENTED_EDGE('',*,*,#93256,.T.); #130957=ORIENTED_EDGE('',*,*,#93253,.F.); #130958=ORIENTED_EDGE('',*,*,#93256,.F.); #130959=ORIENTED_EDGE('',*,*,#93226,.F.); #130960=ORIENTED_EDGE('',*,*,#93230,.F.); #130961=ORIENTED_EDGE('',*,*,#93233,.F.); #130962=ORIENTED_EDGE('',*,*,#93236,.F.); #130963=ORIENTED_EDGE('',*,*,#93239,.F.); #130964=ORIENTED_EDGE('',*,*,#93242,.F.); #130965=ORIENTED_EDGE('',*,*,#93245,.F.); #130966=ORIENTED_EDGE('',*,*,#93248,.F.); #130967=ORIENTED_EDGE('',*,*,#93251,.F.); #130968=ORIENTED_EDGE('',*,*,#93254,.F.); #130969=ORIENTED_EDGE('',*,*,#93257,.F.); #130970=ORIENTED_EDGE('',*,*,#93258,.T.); #130971=ORIENTED_EDGE('',*,*,#93259,.T.); #130972=ORIENTED_EDGE('',*,*,#93260,.F.); #130973=ORIENTED_EDGE('',*,*,#93261,.F.); #130974=ORIENTED_EDGE('',*,*,#93262,.T.); #130975=ORIENTED_EDGE('',*,*,#93263,.T.); #130976=ORIENTED_EDGE('',*,*,#93258,.F.); #130977=ORIENTED_EDGE('',*,*,#93264,.F.); #130978=ORIENTED_EDGE('',*,*,#93265,.T.); #130979=ORIENTED_EDGE('',*,*,#93266,.T.); #130980=ORIENTED_EDGE('',*,*,#93262,.F.); #130981=ORIENTED_EDGE('',*,*,#93267,.F.); #130982=ORIENTED_EDGE('',*,*,#93268,.T.); #130983=ORIENTED_EDGE('',*,*,#93269,.T.); #130984=ORIENTED_EDGE('',*,*,#93265,.F.); #130985=ORIENTED_EDGE('',*,*,#93270,.F.); #130986=ORIENTED_EDGE('',*,*,#93271,.T.); #130987=ORIENTED_EDGE('',*,*,#93272,.T.); #130988=ORIENTED_EDGE('',*,*,#93268,.F.); #130989=ORIENTED_EDGE('',*,*,#93273,.F.); #130990=ORIENTED_EDGE('',*,*,#93274,.T.); #130991=ORIENTED_EDGE('',*,*,#93275,.T.); #130992=ORIENTED_EDGE('',*,*,#93271,.F.); #130993=ORIENTED_EDGE('',*,*,#93276,.F.); #130994=ORIENTED_EDGE('',*,*,#93277,.T.); #130995=ORIENTED_EDGE('',*,*,#93278,.T.); #130996=ORIENTED_EDGE('',*,*,#93274,.F.); #130997=ORIENTED_EDGE('',*,*,#93279,.F.); #130998=ORIENTED_EDGE('',*,*,#93280,.T.); #130999=ORIENTED_EDGE('',*,*,#93281,.T.); #131000=ORIENTED_EDGE('',*,*,#93277,.F.); #131001=ORIENTED_EDGE('',*,*,#93282,.F.); #131002=ORIENTED_EDGE('',*,*,#93283,.T.); #131003=ORIENTED_EDGE('',*,*,#93284,.T.); #131004=ORIENTED_EDGE('',*,*,#93280,.F.); #131005=ORIENTED_EDGE('',*,*,#93285,.F.); #131006=ORIENTED_EDGE('',*,*,#93286,.T.); #131007=ORIENTED_EDGE('',*,*,#93287,.T.); #131008=ORIENTED_EDGE('',*,*,#93283,.F.); #131009=ORIENTED_EDGE('',*,*,#93288,.F.); #131010=ORIENTED_EDGE('',*,*,#93289,.T.); #131011=ORIENTED_EDGE('',*,*,#93290,.T.); #131012=ORIENTED_EDGE('',*,*,#93286,.F.); #131013=ORIENTED_EDGE('',*,*,#93291,.F.); #131014=ORIENTED_EDGE('',*,*,#93292,.T.); #131015=ORIENTED_EDGE('',*,*,#93293,.T.); #131016=ORIENTED_EDGE('',*,*,#93289,.F.); #131017=ORIENTED_EDGE('',*,*,#93294,.F.); #131018=ORIENTED_EDGE('',*,*,#93295,.T.); #131019=ORIENTED_EDGE('',*,*,#93296,.T.); #131020=ORIENTED_EDGE('',*,*,#93292,.F.); #131021=ORIENTED_EDGE('',*,*,#93297,.F.); #131022=ORIENTED_EDGE('',*,*,#93298,.T.); #131023=ORIENTED_EDGE('',*,*,#93299,.T.); #131024=ORIENTED_EDGE('',*,*,#93295,.F.); #131025=ORIENTED_EDGE('',*,*,#93300,.F.); #131026=ORIENTED_EDGE('',*,*,#93301,.T.); #131027=ORIENTED_EDGE('',*,*,#93302,.T.); #131028=ORIENTED_EDGE('',*,*,#93298,.F.); #131029=ORIENTED_EDGE('',*,*,#93303,.F.); #131030=ORIENTED_EDGE('',*,*,#93304,.T.); #131031=ORIENTED_EDGE('',*,*,#93305,.T.); #131032=ORIENTED_EDGE('',*,*,#93301,.F.); #131033=ORIENTED_EDGE('',*,*,#93306,.F.); #131034=ORIENTED_EDGE('',*,*,#93307,.T.); #131035=ORIENTED_EDGE('',*,*,#93308,.T.); #131036=ORIENTED_EDGE('',*,*,#93304,.F.); #131037=ORIENTED_EDGE('',*,*,#93309,.F.); #131038=ORIENTED_EDGE('',*,*,#93310,.T.); #131039=ORIENTED_EDGE('',*,*,#93311,.T.); #131040=ORIENTED_EDGE('',*,*,#93307,.F.); #131041=ORIENTED_EDGE('',*,*,#93312,.F.); #131042=ORIENTED_EDGE('',*,*,#93313,.T.); #131043=ORIENTED_EDGE('',*,*,#93314,.T.); #131044=ORIENTED_EDGE('',*,*,#93310,.F.); #131045=ORIENTED_EDGE('',*,*,#93315,.F.); #131046=ORIENTED_EDGE('',*,*,#93316,.T.); #131047=ORIENTED_EDGE('',*,*,#93317,.T.); #131048=ORIENTED_EDGE('',*,*,#93313,.F.); #131049=ORIENTED_EDGE('',*,*,#93318,.F.); #131050=ORIENTED_EDGE('',*,*,#93319,.T.); #131051=ORIENTED_EDGE('',*,*,#93320,.T.); #131052=ORIENTED_EDGE('',*,*,#93316,.F.); #131053=ORIENTED_EDGE('',*,*,#93321,.F.); #131054=ORIENTED_EDGE('',*,*,#93322,.T.); #131055=ORIENTED_EDGE('',*,*,#93323,.T.); #131056=ORIENTED_EDGE('',*,*,#93319,.F.); #131057=ORIENTED_EDGE('',*,*,#93324,.F.); #131058=ORIENTED_EDGE('',*,*,#93325,.T.); #131059=ORIENTED_EDGE('',*,*,#93326,.T.); #131060=ORIENTED_EDGE('',*,*,#93322,.F.); #131061=ORIENTED_EDGE('',*,*,#93327,.F.); #131062=ORIENTED_EDGE('',*,*,#93328,.T.); #131063=ORIENTED_EDGE('',*,*,#93329,.T.); #131064=ORIENTED_EDGE('',*,*,#93325,.F.); #131065=ORIENTED_EDGE('',*,*,#93330,.F.); #131066=ORIENTED_EDGE('',*,*,#93331,.T.); #131067=ORIENTED_EDGE('',*,*,#93332,.T.); #131068=ORIENTED_EDGE('',*,*,#93328,.F.); #131069=ORIENTED_EDGE('',*,*,#93333,.F.); #131070=ORIENTED_EDGE('',*,*,#93334,.T.); #131071=ORIENTED_EDGE('',*,*,#93335,.T.); #131072=ORIENTED_EDGE('',*,*,#93331,.F.); #131073=ORIENTED_EDGE('',*,*,#93336,.F.); #131074=ORIENTED_EDGE('',*,*,#93337,.T.); #131075=ORIENTED_EDGE('',*,*,#93338,.T.); #131076=ORIENTED_EDGE('',*,*,#93334,.F.); #131077=ORIENTED_EDGE('',*,*,#93339,.F.); #131078=ORIENTED_EDGE('',*,*,#93340,.T.); #131079=ORIENTED_EDGE('',*,*,#93341,.T.); #131080=ORIENTED_EDGE('',*,*,#93337,.F.); #131081=ORIENTED_EDGE('',*,*,#93342,.F.); #131082=ORIENTED_EDGE('',*,*,#93343,.T.); #131083=ORIENTED_EDGE('',*,*,#93344,.T.); #131084=ORIENTED_EDGE('',*,*,#93340,.F.); #131085=ORIENTED_EDGE('',*,*,#93345,.F.); #131086=ORIENTED_EDGE('',*,*,#93346,.T.); #131087=ORIENTED_EDGE('',*,*,#93347,.T.); #131088=ORIENTED_EDGE('',*,*,#93343,.F.); #131089=ORIENTED_EDGE('',*,*,#93348,.F.); #131090=ORIENTED_EDGE('',*,*,#93349,.T.); #131091=ORIENTED_EDGE('',*,*,#93350,.T.); #131092=ORIENTED_EDGE('',*,*,#93346,.F.); #131093=ORIENTED_EDGE('',*,*,#93351,.F.); #131094=ORIENTED_EDGE('',*,*,#93352,.T.); #131095=ORIENTED_EDGE('',*,*,#93353,.T.); #131096=ORIENTED_EDGE('',*,*,#93349,.F.); #131097=ORIENTED_EDGE('',*,*,#93354,.F.); #131098=ORIENTED_EDGE('',*,*,#93355,.T.); #131099=ORIENTED_EDGE('',*,*,#93356,.T.); #131100=ORIENTED_EDGE('',*,*,#93352,.F.); #131101=ORIENTED_EDGE('',*,*,#93357,.F.); #131102=ORIENTED_EDGE('',*,*,#93358,.T.); #131103=ORIENTED_EDGE('',*,*,#93359,.T.); #131104=ORIENTED_EDGE('',*,*,#93355,.F.); #131105=ORIENTED_EDGE('',*,*,#93360,.F.); #131106=ORIENTED_EDGE('',*,*,#93361,.T.); #131107=ORIENTED_EDGE('',*,*,#93362,.T.); #131108=ORIENTED_EDGE('',*,*,#93358,.F.); #131109=ORIENTED_EDGE('',*,*,#93363,.F.); #131110=ORIENTED_EDGE('',*,*,#93364,.T.); #131111=ORIENTED_EDGE('',*,*,#93365,.T.); #131112=ORIENTED_EDGE('',*,*,#93361,.F.); #131113=ORIENTED_EDGE('',*,*,#93366,.F.); #131114=ORIENTED_EDGE('',*,*,#93367,.T.); #131115=ORIENTED_EDGE('',*,*,#93368,.T.); #131116=ORIENTED_EDGE('',*,*,#93364,.F.); #131117=ORIENTED_EDGE('',*,*,#93369,.F.); #131118=ORIENTED_EDGE('',*,*,#93370,.T.); #131119=ORIENTED_EDGE('',*,*,#93371,.T.); #131120=ORIENTED_EDGE('',*,*,#93367,.F.); #131121=ORIENTED_EDGE('',*,*,#93372,.F.); #131122=ORIENTED_EDGE('',*,*,#93373,.T.); #131123=ORIENTED_EDGE('',*,*,#93374,.T.); #131124=ORIENTED_EDGE('',*,*,#93370,.F.); #131125=ORIENTED_EDGE('',*,*,#93375,.F.); #131126=ORIENTED_EDGE('',*,*,#93376,.T.); #131127=ORIENTED_EDGE('',*,*,#93377,.T.); #131128=ORIENTED_EDGE('',*,*,#93373,.F.); #131129=ORIENTED_EDGE('',*,*,#93378,.F.); #131130=ORIENTED_EDGE('',*,*,#93379,.T.); #131131=ORIENTED_EDGE('',*,*,#93380,.T.); #131132=ORIENTED_EDGE('',*,*,#93376,.F.); #131133=ORIENTED_EDGE('',*,*,#93381,.F.); #131134=ORIENTED_EDGE('',*,*,#93382,.T.); #131135=ORIENTED_EDGE('',*,*,#93383,.T.); #131136=ORIENTED_EDGE('',*,*,#93379,.F.); #131137=ORIENTED_EDGE('',*,*,#93384,.F.); #131138=ORIENTED_EDGE('',*,*,#93385,.T.); #131139=ORIENTED_EDGE('',*,*,#93386,.T.); #131140=ORIENTED_EDGE('',*,*,#93382,.F.); #131141=ORIENTED_EDGE('',*,*,#93387,.F.); #131142=ORIENTED_EDGE('',*,*,#93388,.T.); #131143=ORIENTED_EDGE('',*,*,#93389,.T.); #131144=ORIENTED_EDGE('',*,*,#93385,.F.); #131145=ORIENTED_EDGE('',*,*,#93390,.F.); #131146=ORIENTED_EDGE('',*,*,#93391,.T.); #131147=ORIENTED_EDGE('',*,*,#93392,.T.); #131148=ORIENTED_EDGE('',*,*,#93388,.F.); #131149=ORIENTED_EDGE('',*,*,#93393,.F.); #131150=ORIENTED_EDGE('',*,*,#93394,.T.); #131151=ORIENTED_EDGE('',*,*,#93395,.T.); #131152=ORIENTED_EDGE('',*,*,#93391,.F.); #131153=ORIENTED_EDGE('',*,*,#93396,.F.); #131154=ORIENTED_EDGE('',*,*,#93397,.T.); #131155=ORIENTED_EDGE('',*,*,#93398,.T.); #131156=ORIENTED_EDGE('',*,*,#93394,.F.); #131157=ORIENTED_EDGE('',*,*,#93399,.F.); #131158=ORIENTED_EDGE('',*,*,#93400,.T.); #131159=ORIENTED_EDGE('',*,*,#93401,.T.); #131160=ORIENTED_EDGE('',*,*,#93397,.F.); #131161=ORIENTED_EDGE('',*,*,#93402,.F.); #131162=ORIENTED_EDGE('',*,*,#93403,.T.); #131163=ORIENTED_EDGE('',*,*,#93404,.T.); #131164=ORIENTED_EDGE('',*,*,#93400,.F.); #131165=ORIENTED_EDGE('',*,*,#93405,.F.); #131166=ORIENTED_EDGE('',*,*,#93406,.T.); #131167=ORIENTED_EDGE('',*,*,#93407,.T.); #131168=ORIENTED_EDGE('',*,*,#93403,.F.); #131169=ORIENTED_EDGE('',*,*,#93408,.F.); #131170=ORIENTED_EDGE('',*,*,#93260,.T.); #131171=ORIENTED_EDGE('',*,*,#93409,.T.); #131172=ORIENTED_EDGE('',*,*,#93406,.F.); #131173=ORIENTED_EDGE('',*,*,#93409,.F.); #131174=ORIENTED_EDGE('',*,*,#93259,.F.); #131175=ORIENTED_EDGE('',*,*,#93263,.F.); #131176=ORIENTED_EDGE('',*,*,#93266,.F.); #131177=ORIENTED_EDGE('',*,*,#93269,.F.); #131178=ORIENTED_EDGE('',*,*,#93272,.F.); #131179=ORIENTED_EDGE('',*,*,#93275,.F.); #131180=ORIENTED_EDGE('',*,*,#93278,.F.); #131181=ORIENTED_EDGE('',*,*,#93281,.F.); #131182=ORIENTED_EDGE('',*,*,#93284,.F.); #131183=ORIENTED_EDGE('',*,*,#93287,.F.); #131184=ORIENTED_EDGE('',*,*,#93290,.F.); #131185=ORIENTED_EDGE('',*,*,#93293,.F.); #131186=ORIENTED_EDGE('',*,*,#93296,.F.); #131187=ORIENTED_EDGE('',*,*,#93299,.F.); #131188=ORIENTED_EDGE('',*,*,#93302,.F.); #131189=ORIENTED_EDGE('',*,*,#93305,.F.); #131190=ORIENTED_EDGE('',*,*,#93308,.F.); #131191=ORIENTED_EDGE('',*,*,#93311,.F.); #131192=ORIENTED_EDGE('',*,*,#93314,.F.); #131193=ORIENTED_EDGE('',*,*,#93317,.F.); #131194=ORIENTED_EDGE('',*,*,#93320,.F.); #131195=ORIENTED_EDGE('',*,*,#93323,.F.); #131196=ORIENTED_EDGE('',*,*,#93326,.F.); #131197=ORIENTED_EDGE('',*,*,#93329,.F.); #131198=ORIENTED_EDGE('',*,*,#93332,.F.); #131199=ORIENTED_EDGE('',*,*,#93335,.F.); #131200=ORIENTED_EDGE('',*,*,#93338,.F.); #131201=ORIENTED_EDGE('',*,*,#93341,.F.); #131202=ORIENTED_EDGE('',*,*,#93344,.F.); #131203=ORIENTED_EDGE('',*,*,#93347,.F.); #131204=ORIENTED_EDGE('',*,*,#93350,.F.); #131205=ORIENTED_EDGE('',*,*,#93353,.F.); #131206=ORIENTED_EDGE('',*,*,#93356,.F.); #131207=ORIENTED_EDGE('',*,*,#93359,.F.); #131208=ORIENTED_EDGE('',*,*,#93362,.F.); #131209=ORIENTED_EDGE('',*,*,#93365,.F.); #131210=ORIENTED_EDGE('',*,*,#93368,.F.); #131211=ORIENTED_EDGE('',*,*,#93371,.F.); #131212=ORIENTED_EDGE('',*,*,#93374,.F.); #131213=ORIENTED_EDGE('',*,*,#93377,.F.); #131214=ORIENTED_EDGE('',*,*,#93380,.F.); #131215=ORIENTED_EDGE('',*,*,#93383,.F.); #131216=ORIENTED_EDGE('',*,*,#93386,.F.); #131217=ORIENTED_EDGE('',*,*,#93389,.F.); #131218=ORIENTED_EDGE('',*,*,#93392,.F.); #131219=ORIENTED_EDGE('',*,*,#93395,.F.); #131220=ORIENTED_EDGE('',*,*,#93398,.F.); #131221=ORIENTED_EDGE('',*,*,#93401,.F.); #131222=ORIENTED_EDGE('',*,*,#93404,.F.); #131223=ORIENTED_EDGE('',*,*,#93407,.F.); #131224=ORIENTED_EDGE('',*,*,#93410,.F.); #131225=ORIENTED_EDGE('',*,*,#93411,.T.); #131226=ORIENTED_EDGE('',*,*,#93412,.T.); #131227=ORIENTED_EDGE('',*,*,#93413,.F.); #131228=ORIENTED_EDGE('',*,*,#93414,.F.); #131229=ORIENTED_EDGE('',*,*,#93415,.T.); #131230=ORIENTED_EDGE('',*,*,#93416,.T.); #131231=ORIENTED_EDGE('',*,*,#93411,.F.); #131232=ORIENTED_EDGE('',*,*,#93417,.F.); #131233=ORIENTED_EDGE('',*,*,#93418,.T.); #131234=ORIENTED_EDGE('',*,*,#93419,.T.); #131235=ORIENTED_EDGE('',*,*,#93415,.F.); #131236=ORIENTED_EDGE('',*,*,#93420,.F.); #131237=ORIENTED_EDGE('',*,*,#93421,.T.); #131238=ORIENTED_EDGE('',*,*,#93422,.T.); #131239=ORIENTED_EDGE('',*,*,#93418,.F.); #131240=ORIENTED_EDGE('',*,*,#93423,.F.); #131241=ORIENTED_EDGE('',*,*,#93424,.T.); #131242=ORIENTED_EDGE('',*,*,#93425,.T.); #131243=ORIENTED_EDGE('',*,*,#93421,.F.); #131244=ORIENTED_EDGE('',*,*,#93426,.F.); #131245=ORIENTED_EDGE('',*,*,#93427,.T.); #131246=ORIENTED_EDGE('',*,*,#93428,.T.); #131247=ORIENTED_EDGE('',*,*,#93424,.F.); #131248=ORIENTED_EDGE('',*,*,#93429,.F.); #131249=ORIENTED_EDGE('',*,*,#93430,.T.); #131250=ORIENTED_EDGE('',*,*,#93431,.T.); #131251=ORIENTED_EDGE('',*,*,#93427,.F.); #131252=ORIENTED_EDGE('',*,*,#93432,.F.); #131253=ORIENTED_EDGE('',*,*,#93433,.T.); #131254=ORIENTED_EDGE('',*,*,#93434,.T.); #131255=ORIENTED_EDGE('',*,*,#93430,.F.); #131256=ORIENTED_EDGE('',*,*,#93435,.F.); #131257=ORIENTED_EDGE('',*,*,#93436,.T.); #131258=ORIENTED_EDGE('',*,*,#93437,.T.); #131259=ORIENTED_EDGE('',*,*,#93433,.F.); #131260=ORIENTED_EDGE('',*,*,#93438,.F.); #131261=ORIENTED_EDGE('',*,*,#93439,.T.); #131262=ORIENTED_EDGE('',*,*,#93440,.T.); #131263=ORIENTED_EDGE('',*,*,#93436,.F.); #131264=ORIENTED_EDGE('',*,*,#93441,.F.); #131265=ORIENTED_EDGE('',*,*,#93442,.T.); #131266=ORIENTED_EDGE('',*,*,#93443,.T.); #131267=ORIENTED_EDGE('',*,*,#93439,.F.); #131268=ORIENTED_EDGE('',*,*,#93444,.F.); #131269=ORIENTED_EDGE('',*,*,#93445,.T.); #131270=ORIENTED_EDGE('',*,*,#93446,.T.); #131271=ORIENTED_EDGE('',*,*,#93442,.F.); #131272=ORIENTED_EDGE('',*,*,#93447,.F.); #131273=ORIENTED_EDGE('',*,*,#93448,.T.); #131274=ORIENTED_EDGE('',*,*,#93449,.T.); #131275=ORIENTED_EDGE('',*,*,#93445,.F.); #131276=ORIENTED_EDGE('',*,*,#93450,.F.); #131277=ORIENTED_EDGE('',*,*,#93413,.T.); #131278=ORIENTED_EDGE('',*,*,#93451,.T.); #131279=ORIENTED_EDGE('',*,*,#93448,.F.); #131280=ORIENTED_EDGE('',*,*,#93452,.F.); #131281=ORIENTED_EDGE('',*,*,#93453,.T.); #131282=ORIENTED_EDGE('',*,*,#93454,.T.); #131283=ORIENTED_EDGE('',*,*,#93455,.F.); #131284=ORIENTED_EDGE('',*,*,#93456,.F.); #131285=ORIENTED_EDGE('',*,*,#93457,.T.); #131286=ORIENTED_EDGE('',*,*,#93458,.T.); #131287=ORIENTED_EDGE('',*,*,#93453,.F.); #131288=ORIENTED_EDGE('',*,*,#93459,.F.); #131289=ORIENTED_EDGE('',*,*,#93460,.T.); #131290=ORIENTED_EDGE('',*,*,#93461,.T.); #131291=ORIENTED_EDGE('',*,*,#93457,.F.); #131292=ORIENTED_EDGE('',*,*,#93462,.F.); #131293=ORIENTED_EDGE('',*,*,#93463,.T.); #131294=ORIENTED_EDGE('',*,*,#93464,.T.); #131295=ORIENTED_EDGE('',*,*,#93460,.F.); #131296=ORIENTED_EDGE('',*,*,#93465,.F.); #131297=ORIENTED_EDGE('',*,*,#93466,.T.); #131298=ORIENTED_EDGE('',*,*,#93467,.T.); #131299=ORIENTED_EDGE('',*,*,#93463,.F.); #131300=ORIENTED_EDGE('',*,*,#93468,.F.); #131301=ORIENTED_EDGE('',*,*,#93469,.T.); #131302=ORIENTED_EDGE('',*,*,#93470,.T.); #131303=ORIENTED_EDGE('',*,*,#93466,.F.); #131304=ORIENTED_EDGE('',*,*,#93471,.F.); #131305=ORIENTED_EDGE('',*,*,#93472,.T.); #131306=ORIENTED_EDGE('',*,*,#93473,.T.); #131307=ORIENTED_EDGE('',*,*,#93469,.F.); #131308=ORIENTED_EDGE('',*,*,#93474,.F.); #131309=ORIENTED_EDGE('',*,*,#93475,.T.); #131310=ORIENTED_EDGE('',*,*,#93476,.T.); #131311=ORIENTED_EDGE('',*,*,#93472,.F.); #131312=ORIENTED_EDGE('',*,*,#93477,.F.); #131313=ORIENTED_EDGE('',*,*,#93478,.T.); #131314=ORIENTED_EDGE('',*,*,#93479,.T.); #131315=ORIENTED_EDGE('',*,*,#93475,.F.); #131316=ORIENTED_EDGE('',*,*,#93480,.F.); #131317=ORIENTED_EDGE('',*,*,#93481,.T.); #131318=ORIENTED_EDGE('',*,*,#93482,.T.); #131319=ORIENTED_EDGE('',*,*,#93478,.F.); #131320=ORIENTED_EDGE('',*,*,#93483,.F.); #131321=ORIENTED_EDGE('',*,*,#93484,.T.); #131322=ORIENTED_EDGE('',*,*,#93485,.T.); #131323=ORIENTED_EDGE('',*,*,#93481,.F.); #131324=ORIENTED_EDGE('',*,*,#93486,.F.); #131325=ORIENTED_EDGE('',*,*,#93487,.T.); #131326=ORIENTED_EDGE('',*,*,#93488,.T.); #131327=ORIENTED_EDGE('',*,*,#93484,.F.); #131328=ORIENTED_EDGE('',*,*,#93489,.F.); #131329=ORIENTED_EDGE('',*,*,#93490,.T.); #131330=ORIENTED_EDGE('',*,*,#93491,.T.); #131331=ORIENTED_EDGE('',*,*,#93487,.F.); #131332=ORIENTED_EDGE('',*,*,#93492,.F.); #131333=ORIENTED_EDGE('',*,*,#93493,.T.); #131334=ORIENTED_EDGE('',*,*,#93494,.T.); #131335=ORIENTED_EDGE('',*,*,#93490,.F.); #131336=ORIENTED_EDGE('',*,*,#93495,.F.); #131337=ORIENTED_EDGE('',*,*,#93496,.T.); #131338=ORIENTED_EDGE('',*,*,#93497,.T.); #131339=ORIENTED_EDGE('',*,*,#93493,.F.); #131340=ORIENTED_EDGE('',*,*,#93498,.F.); #131341=ORIENTED_EDGE('',*,*,#93455,.T.); #131342=ORIENTED_EDGE('',*,*,#93499,.T.); #131343=ORIENTED_EDGE('',*,*,#93496,.F.); #131344=ORIENTED_EDGE('',*,*,#93499,.F.); #131345=ORIENTED_EDGE('',*,*,#93454,.F.); #131346=ORIENTED_EDGE('',*,*,#93458,.F.); #131347=ORIENTED_EDGE('',*,*,#93461,.F.); #131348=ORIENTED_EDGE('',*,*,#93464,.F.); #131349=ORIENTED_EDGE('',*,*,#93467,.F.); #131350=ORIENTED_EDGE('',*,*,#93470,.F.); #131351=ORIENTED_EDGE('',*,*,#93473,.F.); #131352=ORIENTED_EDGE('',*,*,#93476,.F.); #131353=ORIENTED_EDGE('',*,*,#93479,.F.); #131354=ORIENTED_EDGE('',*,*,#93482,.F.); #131355=ORIENTED_EDGE('',*,*,#93485,.F.); #131356=ORIENTED_EDGE('',*,*,#93488,.F.); #131357=ORIENTED_EDGE('',*,*,#93491,.F.); #131358=ORIENTED_EDGE('',*,*,#93494,.F.); #131359=ORIENTED_EDGE('',*,*,#93497,.F.); #131360=ORIENTED_EDGE('',*,*,#93451,.F.); #131361=ORIENTED_EDGE('',*,*,#93412,.F.); #131362=ORIENTED_EDGE('',*,*,#93416,.F.); #131363=ORIENTED_EDGE('',*,*,#93419,.F.); #131364=ORIENTED_EDGE('',*,*,#93422,.F.); #131365=ORIENTED_EDGE('',*,*,#93425,.F.); #131366=ORIENTED_EDGE('',*,*,#93428,.F.); #131367=ORIENTED_EDGE('',*,*,#93431,.F.); #131368=ORIENTED_EDGE('',*,*,#93434,.F.); #131369=ORIENTED_EDGE('',*,*,#93437,.F.); #131370=ORIENTED_EDGE('',*,*,#93440,.F.); #131371=ORIENTED_EDGE('',*,*,#93443,.F.); #131372=ORIENTED_EDGE('',*,*,#93446,.F.); #131373=ORIENTED_EDGE('',*,*,#93449,.F.); #131374=ORIENTED_EDGE('',*,*,#93500,.F.); #131375=ORIENTED_EDGE('',*,*,#93501,.T.); #131376=ORIENTED_EDGE('',*,*,#93502,.T.); #131377=ORIENTED_EDGE('',*,*,#93503,.F.); #131378=ORIENTED_EDGE('',*,*,#93504,.F.); #131379=ORIENTED_EDGE('',*,*,#93505,.T.); #131380=ORIENTED_EDGE('',*,*,#93506,.T.); #131381=ORIENTED_EDGE('',*,*,#93501,.F.); #131382=ORIENTED_EDGE('',*,*,#93507,.F.); #131383=ORIENTED_EDGE('',*,*,#93508,.T.); #131384=ORIENTED_EDGE('',*,*,#93509,.T.); #131385=ORIENTED_EDGE('',*,*,#93505,.F.); #131386=ORIENTED_EDGE('',*,*,#93510,.F.); #131387=ORIENTED_EDGE('',*,*,#93511,.T.); #131388=ORIENTED_EDGE('',*,*,#93512,.T.); #131389=ORIENTED_EDGE('',*,*,#93508,.F.); #131390=ORIENTED_EDGE('',*,*,#93513,.F.); #131391=ORIENTED_EDGE('',*,*,#93514,.T.); #131392=ORIENTED_EDGE('',*,*,#93515,.T.); #131393=ORIENTED_EDGE('',*,*,#93511,.F.); #131394=ORIENTED_EDGE('',*,*,#93516,.F.); #131395=ORIENTED_EDGE('',*,*,#93517,.T.); #131396=ORIENTED_EDGE('',*,*,#93518,.T.); #131397=ORIENTED_EDGE('',*,*,#93514,.F.); #131398=ORIENTED_EDGE('',*,*,#93519,.F.); #131399=ORIENTED_EDGE('',*,*,#93520,.T.); #131400=ORIENTED_EDGE('',*,*,#93521,.T.); #131401=ORIENTED_EDGE('',*,*,#93517,.F.); #131402=ORIENTED_EDGE('',*,*,#93522,.F.); #131403=ORIENTED_EDGE('',*,*,#93523,.T.); #131404=ORIENTED_EDGE('',*,*,#93524,.T.); #131405=ORIENTED_EDGE('',*,*,#93520,.F.); #131406=ORIENTED_EDGE('',*,*,#93525,.F.); #131407=ORIENTED_EDGE('',*,*,#93526,.T.); #131408=ORIENTED_EDGE('',*,*,#93527,.T.); #131409=ORIENTED_EDGE('',*,*,#93523,.F.); #131410=ORIENTED_EDGE('',*,*,#93528,.F.); #131411=ORIENTED_EDGE('',*,*,#93529,.T.); #131412=ORIENTED_EDGE('',*,*,#93530,.T.); #131413=ORIENTED_EDGE('',*,*,#93526,.F.); #131414=ORIENTED_EDGE('',*,*,#93531,.F.); #131415=ORIENTED_EDGE('',*,*,#93532,.T.); #131416=ORIENTED_EDGE('',*,*,#93533,.T.); #131417=ORIENTED_EDGE('',*,*,#93529,.F.); #131418=ORIENTED_EDGE('',*,*,#93534,.F.); #131419=ORIENTED_EDGE('',*,*,#93535,.T.); #131420=ORIENTED_EDGE('',*,*,#93536,.T.); #131421=ORIENTED_EDGE('',*,*,#93532,.F.); #131422=ORIENTED_EDGE('',*,*,#93537,.F.); #131423=ORIENTED_EDGE('',*,*,#93538,.T.); #131424=ORIENTED_EDGE('',*,*,#93539,.T.); #131425=ORIENTED_EDGE('',*,*,#93535,.F.); #131426=ORIENTED_EDGE('',*,*,#93540,.F.); #131427=ORIENTED_EDGE('',*,*,#93503,.T.); #131428=ORIENTED_EDGE('',*,*,#93541,.T.); #131429=ORIENTED_EDGE('',*,*,#93538,.F.); #131430=ORIENTED_EDGE('',*,*,#93542,.F.); #131431=ORIENTED_EDGE('',*,*,#93543,.T.); #131432=ORIENTED_EDGE('',*,*,#93544,.T.); #131433=ORIENTED_EDGE('',*,*,#93545,.F.); #131434=ORIENTED_EDGE('',*,*,#93546,.F.); #131435=ORIENTED_EDGE('',*,*,#93547,.T.); #131436=ORIENTED_EDGE('',*,*,#93548,.T.); #131437=ORIENTED_EDGE('',*,*,#93543,.F.); #131438=ORIENTED_EDGE('',*,*,#93549,.F.); #131439=ORIENTED_EDGE('',*,*,#93550,.T.); #131440=ORIENTED_EDGE('',*,*,#93551,.T.); #131441=ORIENTED_EDGE('',*,*,#93547,.F.); #131442=ORIENTED_EDGE('',*,*,#93552,.F.); #131443=ORIENTED_EDGE('',*,*,#93553,.T.); #131444=ORIENTED_EDGE('',*,*,#93554,.T.); #131445=ORIENTED_EDGE('',*,*,#93550,.F.); #131446=ORIENTED_EDGE('',*,*,#93555,.F.); #131447=ORIENTED_EDGE('',*,*,#93556,.T.); #131448=ORIENTED_EDGE('',*,*,#93557,.T.); #131449=ORIENTED_EDGE('',*,*,#93553,.F.); #131450=ORIENTED_EDGE('',*,*,#93558,.F.); #131451=ORIENTED_EDGE('',*,*,#93559,.T.); #131452=ORIENTED_EDGE('',*,*,#93560,.T.); #131453=ORIENTED_EDGE('',*,*,#93556,.F.); #131454=ORIENTED_EDGE('',*,*,#93561,.F.); #131455=ORIENTED_EDGE('',*,*,#93562,.T.); #131456=ORIENTED_EDGE('',*,*,#93563,.T.); #131457=ORIENTED_EDGE('',*,*,#93559,.F.); #131458=ORIENTED_EDGE('',*,*,#93564,.F.); #131459=ORIENTED_EDGE('',*,*,#93565,.T.); #131460=ORIENTED_EDGE('',*,*,#93566,.T.); #131461=ORIENTED_EDGE('',*,*,#93562,.F.); #131462=ORIENTED_EDGE('',*,*,#93567,.F.); #131463=ORIENTED_EDGE('',*,*,#93568,.T.); #131464=ORIENTED_EDGE('',*,*,#93569,.T.); #131465=ORIENTED_EDGE('',*,*,#93565,.F.); #131466=ORIENTED_EDGE('',*,*,#93570,.F.); #131467=ORIENTED_EDGE('',*,*,#93571,.T.); #131468=ORIENTED_EDGE('',*,*,#93572,.T.); #131469=ORIENTED_EDGE('',*,*,#93568,.F.); #131470=ORIENTED_EDGE('',*,*,#93573,.F.); #131471=ORIENTED_EDGE('',*,*,#93574,.T.); #131472=ORIENTED_EDGE('',*,*,#93575,.T.); #131473=ORIENTED_EDGE('',*,*,#93571,.F.); #131474=ORIENTED_EDGE('',*,*,#93576,.F.); #131475=ORIENTED_EDGE('',*,*,#93577,.T.); #131476=ORIENTED_EDGE('',*,*,#93578,.T.); #131477=ORIENTED_EDGE('',*,*,#93574,.F.); #131478=ORIENTED_EDGE('',*,*,#93579,.F.); #131479=ORIENTED_EDGE('',*,*,#93580,.T.); #131480=ORIENTED_EDGE('',*,*,#93581,.T.); #131481=ORIENTED_EDGE('',*,*,#93577,.F.); #131482=ORIENTED_EDGE('',*,*,#93582,.F.); #131483=ORIENTED_EDGE('',*,*,#93583,.T.); #131484=ORIENTED_EDGE('',*,*,#93584,.T.); #131485=ORIENTED_EDGE('',*,*,#93580,.F.); #131486=ORIENTED_EDGE('',*,*,#93585,.F.); #131487=ORIENTED_EDGE('',*,*,#93586,.T.); #131488=ORIENTED_EDGE('',*,*,#93587,.T.); #131489=ORIENTED_EDGE('',*,*,#93583,.F.); #131490=ORIENTED_EDGE('',*,*,#93588,.F.); #131491=ORIENTED_EDGE('',*,*,#93545,.T.); #131492=ORIENTED_EDGE('',*,*,#93589,.T.); #131493=ORIENTED_EDGE('',*,*,#93586,.F.); #131494=ORIENTED_EDGE('',*,*,#93589,.F.); #131495=ORIENTED_EDGE('',*,*,#93544,.F.); #131496=ORIENTED_EDGE('',*,*,#93548,.F.); #131497=ORIENTED_EDGE('',*,*,#93551,.F.); #131498=ORIENTED_EDGE('',*,*,#93554,.F.); #131499=ORIENTED_EDGE('',*,*,#93557,.F.); #131500=ORIENTED_EDGE('',*,*,#93560,.F.); #131501=ORIENTED_EDGE('',*,*,#93563,.F.); #131502=ORIENTED_EDGE('',*,*,#93566,.F.); #131503=ORIENTED_EDGE('',*,*,#93569,.F.); #131504=ORIENTED_EDGE('',*,*,#93572,.F.); #131505=ORIENTED_EDGE('',*,*,#93575,.F.); #131506=ORIENTED_EDGE('',*,*,#93578,.F.); #131507=ORIENTED_EDGE('',*,*,#93581,.F.); #131508=ORIENTED_EDGE('',*,*,#93584,.F.); #131509=ORIENTED_EDGE('',*,*,#93587,.F.); #131510=ORIENTED_EDGE('',*,*,#93541,.F.); #131511=ORIENTED_EDGE('',*,*,#93502,.F.); #131512=ORIENTED_EDGE('',*,*,#93506,.F.); #131513=ORIENTED_EDGE('',*,*,#93509,.F.); #131514=ORIENTED_EDGE('',*,*,#93512,.F.); #131515=ORIENTED_EDGE('',*,*,#93515,.F.); #131516=ORIENTED_EDGE('',*,*,#93518,.F.); #131517=ORIENTED_EDGE('',*,*,#93521,.F.); #131518=ORIENTED_EDGE('',*,*,#93524,.F.); #131519=ORIENTED_EDGE('',*,*,#93527,.F.); #131520=ORIENTED_EDGE('',*,*,#93530,.F.); #131521=ORIENTED_EDGE('',*,*,#93533,.F.); #131522=ORIENTED_EDGE('',*,*,#93536,.F.); #131523=ORIENTED_EDGE('',*,*,#93539,.F.); #131524=ORIENTED_EDGE('',*,*,#93590,.F.); #131525=ORIENTED_EDGE('',*,*,#93591,.T.); #131526=ORIENTED_EDGE('',*,*,#93592,.T.); #131527=ORIENTED_EDGE('',*,*,#93593,.F.); #131528=ORIENTED_EDGE('',*,*,#93594,.F.); #131529=ORIENTED_EDGE('',*,*,#93595,.T.); #131530=ORIENTED_EDGE('',*,*,#93596,.T.); #131531=ORIENTED_EDGE('',*,*,#93591,.F.); #131532=ORIENTED_EDGE('',*,*,#93597,.F.); #131533=ORIENTED_EDGE('',*,*,#93598,.T.); #131534=ORIENTED_EDGE('',*,*,#93599,.T.); #131535=ORIENTED_EDGE('',*,*,#93595,.F.); #131536=ORIENTED_EDGE('',*,*,#93600,.F.); #131537=ORIENTED_EDGE('',*,*,#93601,.T.); #131538=ORIENTED_EDGE('',*,*,#93602,.T.); #131539=ORIENTED_EDGE('',*,*,#93598,.F.); #131540=ORIENTED_EDGE('',*,*,#93603,.F.); #131541=ORIENTED_EDGE('',*,*,#93604,.T.); #131542=ORIENTED_EDGE('',*,*,#93605,.T.); #131543=ORIENTED_EDGE('',*,*,#93601,.F.); #131544=ORIENTED_EDGE('',*,*,#93606,.F.); #131545=ORIENTED_EDGE('',*,*,#93607,.T.); #131546=ORIENTED_EDGE('',*,*,#93608,.T.); #131547=ORIENTED_EDGE('',*,*,#93604,.F.); #131548=ORIENTED_EDGE('',*,*,#93609,.F.); #131549=ORIENTED_EDGE('',*,*,#93610,.T.); #131550=ORIENTED_EDGE('',*,*,#93611,.T.); #131551=ORIENTED_EDGE('',*,*,#93607,.F.); #131552=ORIENTED_EDGE('',*,*,#93612,.F.); #131553=ORIENTED_EDGE('',*,*,#93613,.T.); #131554=ORIENTED_EDGE('',*,*,#93614,.T.); #131555=ORIENTED_EDGE('',*,*,#93610,.F.); #131556=ORIENTED_EDGE('',*,*,#93615,.F.); #131557=ORIENTED_EDGE('',*,*,#93616,.T.); #131558=ORIENTED_EDGE('',*,*,#93617,.T.); #131559=ORIENTED_EDGE('',*,*,#93613,.F.); #131560=ORIENTED_EDGE('',*,*,#93618,.F.); #131561=ORIENTED_EDGE('',*,*,#93619,.T.); #131562=ORIENTED_EDGE('',*,*,#93620,.T.); #131563=ORIENTED_EDGE('',*,*,#93616,.F.); #131564=ORIENTED_EDGE('',*,*,#93621,.F.); #131565=ORIENTED_EDGE('',*,*,#93622,.T.); #131566=ORIENTED_EDGE('',*,*,#93623,.T.); #131567=ORIENTED_EDGE('',*,*,#93619,.F.); #131568=ORIENTED_EDGE('',*,*,#93624,.F.); #131569=ORIENTED_EDGE('',*,*,#93625,.T.); #131570=ORIENTED_EDGE('',*,*,#93626,.T.); #131571=ORIENTED_EDGE('',*,*,#93622,.F.); #131572=ORIENTED_EDGE('',*,*,#93627,.F.); #131573=ORIENTED_EDGE('',*,*,#93628,.T.); #131574=ORIENTED_EDGE('',*,*,#93629,.T.); #131575=ORIENTED_EDGE('',*,*,#93625,.F.); #131576=ORIENTED_EDGE('',*,*,#93630,.F.); #131577=ORIENTED_EDGE('',*,*,#93593,.T.); #131578=ORIENTED_EDGE('',*,*,#93631,.T.); #131579=ORIENTED_EDGE('',*,*,#93628,.F.); #131580=ORIENTED_EDGE('',*,*,#93632,.F.); #131581=ORIENTED_EDGE('',*,*,#93633,.T.); #131582=ORIENTED_EDGE('',*,*,#93634,.T.); #131583=ORIENTED_EDGE('',*,*,#93635,.F.); #131584=ORIENTED_EDGE('',*,*,#93636,.F.); #131585=ORIENTED_EDGE('',*,*,#93637,.T.); #131586=ORIENTED_EDGE('',*,*,#93638,.T.); #131587=ORIENTED_EDGE('',*,*,#93633,.F.); #131588=ORIENTED_EDGE('',*,*,#93639,.F.); #131589=ORIENTED_EDGE('',*,*,#93640,.T.); #131590=ORIENTED_EDGE('',*,*,#93641,.T.); #131591=ORIENTED_EDGE('',*,*,#93637,.F.); #131592=ORIENTED_EDGE('',*,*,#93642,.F.); #131593=ORIENTED_EDGE('',*,*,#93643,.T.); #131594=ORIENTED_EDGE('',*,*,#93644,.T.); #131595=ORIENTED_EDGE('',*,*,#93640,.F.); #131596=ORIENTED_EDGE('',*,*,#93645,.F.); #131597=ORIENTED_EDGE('',*,*,#93646,.T.); #131598=ORIENTED_EDGE('',*,*,#93647,.T.); #131599=ORIENTED_EDGE('',*,*,#93643,.F.); #131600=ORIENTED_EDGE('',*,*,#93648,.F.); #131601=ORIENTED_EDGE('',*,*,#93649,.T.); #131602=ORIENTED_EDGE('',*,*,#93650,.T.); #131603=ORIENTED_EDGE('',*,*,#93646,.F.); #131604=ORIENTED_EDGE('',*,*,#93651,.F.); #131605=ORIENTED_EDGE('',*,*,#93652,.T.); #131606=ORIENTED_EDGE('',*,*,#93653,.T.); #131607=ORIENTED_EDGE('',*,*,#93649,.F.); #131608=ORIENTED_EDGE('',*,*,#93654,.F.); #131609=ORIENTED_EDGE('',*,*,#93655,.T.); #131610=ORIENTED_EDGE('',*,*,#93656,.T.); #131611=ORIENTED_EDGE('',*,*,#93652,.F.); #131612=ORIENTED_EDGE('',*,*,#93657,.F.); #131613=ORIENTED_EDGE('',*,*,#93658,.T.); #131614=ORIENTED_EDGE('',*,*,#93659,.T.); #131615=ORIENTED_EDGE('',*,*,#93655,.F.); #131616=ORIENTED_EDGE('',*,*,#93660,.F.); #131617=ORIENTED_EDGE('',*,*,#93661,.T.); #131618=ORIENTED_EDGE('',*,*,#93662,.T.); #131619=ORIENTED_EDGE('',*,*,#93658,.F.); #131620=ORIENTED_EDGE('',*,*,#93663,.F.); #131621=ORIENTED_EDGE('',*,*,#93664,.T.); #131622=ORIENTED_EDGE('',*,*,#93665,.T.); #131623=ORIENTED_EDGE('',*,*,#93661,.F.); #131624=ORIENTED_EDGE('',*,*,#93666,.F.); #131625=ORIENTED_EDGE('',*,*,#93667,.T.); #131626=ORIENTED_EDGE('',*,*,#93668,.T.); #131627=ORIENTED_EDGE('',*,*,#93664,.F.); #131628=ORIENTED_EDGE('',*,*,#93669,.F.); #131629=ORIENTED_EDGE('',*,*,#93670,.T.); #131630=ORIENTED_EDGE('',*,*,#93671,.T.); #131631=ORIENTED_EDGE('',*,*,#93667,.F.); #131632=ORIENTED_EDGE('',*,*,#93672,.F.); #131633=ORIENTED_EDGE('',*,*,#93673,.T.); #131634=ORIENTED_EDGE('',*,*,#93674,.T.); #131635=ORIENTED_EDGE('',*,*,#93670,.F.); #131636=ORIENTED_EDGE('',*,*,#93675,.F.); #131637=ORIENTED_EDGE('',*,*,#93676,.T.); #131638=ORIENTED_EDGE('',*,*,#93677,.T.); #131639=ORIENTED_EDGE('',*,*,#93673,.F.); #131640=ORIENTED_EDGE('',*,*,#93678,.F.); #131641=ORIENTED_EDGE('',*,*,#93635,.T.); #131642=ORIENTED_EDGE('',*,*,#93679,.T.); #131643=ORIENTED_EDGE('',*,*,#93676,.F.); #131644=ORIENTED_EDGE('',*,*,#93679,.F.); #131645=ORIENTED_EDGE('',*,*,#93634,.F.); #131646=ORIENTED_EDGE('',*,*,#93638,.F.); #131647=ORIENTED_EDGE('',*,*,#93641,.F.); #131648=ORIENTED_EDGE('',*,*,#93644,.F.); #131649=ORIENTED_EDGE('',*,*,#93647,.F.); #131650=ORIENTED_EDGE('',*,*,#93650,.F.); #131651=ORIENTED_EDGE('',*,*,#93653,.F.); #131652=ORIENTED_EDGE('',*,*,#93656,.F.); #131653=ORIENTED_EDGE('',*,*,#93659,.F.); #131654=ORIENTED_EDGE('',*,*,#93662,.F.); #131655=ORIENTED_EDGE('',*,*,#93665,.F.); #131656=ORIENTED_EDGE('',*,*,#93668,.F.); #131657=ORIENTED_EDGE('',*,*,#93671,.F.); #131658=ORIENTED_EDGE('',*,*,#93674,.F.); #131659=ORIENTED_EDGE('',*,*,#93677,.F.); #131660=ORIENTED_EDGE('',*,*,#93631,.F.); #131661=ORIENTED_EDGE('',*,*,#93592,.F.); #131662=ORIENTED_EDGE('',*,*,#93596,.F.); #131663=ORIENTED_EDGE('',*,*,#93599,.F.); #131664=ORIENTED_EDGE('',*,*,#93602,.F.); #131665=ORIENTED_EDGE('',*,*,#93605,.F.); #131666=ORIENTED_EDGE('',*,*,#93608,.F.); #131667=ORIENTED_EDGE('',*,*,#93611,.F.); #131668=ORIENTED_EDGE('',*,*,#93614,.F.); #131669=ORIENTED_EDGE('',*,*,#93617,.F.); #131670=ORIENTED_EDGE('',*,*,#93620,.F.); #131671=ORIENTED_EDGE('',*,*,#93623,.F.); #131672=ORIENTED_EDGE('',*,*,#93626,.F.); #131673=ORIENTED_EDGE('',*,*,#93629,.F.); #131674=ORIENTED_EDGE('',*,*,#93680,.F.); #131675=ORIENTED_EDGE('',*,*,#93681,.T.); #131676=ORIENTED_EDGE('',*,*,#93682,.T.); #131677=ORIENTED_EDGE('',*,*,#93683,.F.); #131678=ORIENTED_EDGE('',*,*,#93684,.F.); #131679=ORIENTED_EDGE('',*,*,#93685,.T.); #131680=ORIENTED_EDGE('',*,*,#93686,.T.); #131681=ORIENTED_EDGE('',*,*,#93681,.F.); #131682=ORIENTED_EDGE('',*,*,#93687,.F.); #131683=ORIENTED_EDGE('',*,*,#93688,.T.); #131684=ORIENTED_EDGE('',*,*,#93689,.T.); #131685=ORIENTED_EDGE('',*,*,#93685,.F.); #131686=ORIENTED_EDGE('',*,*,#93690,.F.); #131687=ORIENTED_EDGE('',*,*,#93691,.T.); #131688=ORIENTED_EDGE('',*,*,#93692,.T.); #131689=ORIENTED_EDGE('',*,*,#93688,.F.); #131690=ORIENTED_EDGE('',*,*,#93693,.F.); #131691=ORIENTED_EDGE('',*,*,#93694,.T.); #131692=ORIENTED_EDGE('',*,*,#93695,.T.); #131693=ORIENTED_EDGE('',*,*,#93691,.F.); #131694=ORIENTED_EDGE('',*,*,#93696,.F.); #131695=ORIENTED_EDGE('',*,*,#93697,.T.); #131696=ORIENTED_EDGE('',*,*,#93698,.T.); #131697=ORIENTED_EDGE('',*,*,#93694,.F.); #131698=ORIENTED_EDGE('',*,*,#93699,.F.); #131699=ORIENTED_EDGE('',*,*,#93700,.T.); #131700=ORIENTED_EDGE('',*,*,#93701,.T.); #131701=ORIENTED_EDGE('',*,*,#93697,.F.); #131702=ORIENTED_EDGE('',*,*,#93702,.F.); #131703=ORIENTED_EDGE('',*,*,#93703,.T.); #131704=ORIENTED_EDGE('',*,*,#93704,.T.); #131705=ORIENTED_EDGE('',*,*,#93700,.F.); #131706=ORIENTED_EDGE('',*,*,#93705,.F.); #131707=ORIENTED_EDGE('',*,*,#93706,.T.); #131708=ORIENTED_EDGE('',*,*,#93707,.T.); #131709=ORIENTED_EDGE('',*,*,#93703,.F.); #131710=ORIENTED_EDGE('',*,*,#93708,.F.); #131711=ORIENTED_EDGE('',*,*,#93709,.T.); #131712=ORIENTED_EDGE('',*,*,#93710,.T.); #131713=ORIENTED_EDGE('',*,*,#93706,.F.); #131714=ORIENTED_EDGE('',*,*,#93711,.F.); #131715=ORIENTED_EDGE('',*,*,#93712,.T.); #131716=ORIENTED_EDGE('',*,*,#93713,.T.); #131717=ORIENTED_EDGE('',*,*,#93709,.F.); #131718=ORIENTED_EDGE('',*,*,#93714,.F.); #131719=ORIENTED_EDGE('',*,*,#93715,.T.); #131720=ORIENTED_EDGE('',*,*,#93716,.T.); #131721=ORIENTED_EDGE('',*,*,#93712,.F.); #131722=ORIENTED_EDGE('',*,*,#93717,.F.); #131723=ORIENTED_EDGE('',*,*,#93718,.T.); #131724=ORIENTED_EDGE('',*,*,#93719,.T.); #131725=ORIENTED_EDGE('',*,*,#93715,.F.); #131726=ORIENTED_EDGE('',*,*,#93720,.F.); #131727=ORIENTED_EDGE('',*,*,#93683,.T.); #131728=ORIENTED_EDGE('',*,*,#93721,.T.); #131729=ORIENTED_EDGE('',*,*,#93718,.F.); #131730=ORIENTED_EDGE('',*,*,#93722,.F.); #131731=ORIENTED_EDGE('',*,*,#93723,.T.); #131732=ORIENTED_EDGE('',*,*,#93724,.T.); #131733=ORIENTED_EDGE('',*,*,#93725,.F.); #131734=ORIENTED_EDGE('',*,*,#93726,.F.); #131735=ORIENTED_EDGE('',*,*,#93727,.T.); #131736=ORIENTED_EDGE('',*,*,#93728,.T.); #131737=ORIENTED_EDGE('',*,*,#93723,.F.); #131738=ORIENTED_EDGE('',*,*,#93729,.F.); #131739=ORIENTED_EDGE('',*,*,#93730,.T.); #131740=ORIENTED_EDGE('',*,*,#93731,.T.); #131741=ORIENTED_EDGE('',*,*,#93727,.F.); #131742=ORIENTED_EDGE('',*,*,#93732,.F.); #131743=ORIENTED_EDGE('',*,*,#93733,.T.); #131744=ORIENTED_EDGE('',*,*,#93734,.T.); #131745=ORIENTED_EDGE('',*,*,#93730,.F.); #131746=ORIENTED_EDGE('',*,*,#93735,.F.); #131747=ORIENTED_EDGE('',*,*,#93736,.T.); #131748=ORIENTED_EDGE('',*,*,#93737,.T.); #131749=ORIENTED_EDGE('',*,*,#93733,.F.); #131750=ORIENTED_EDGE('',*,*,#93738,.F.); #131751=ORIENTED_EDGE('',*,*,#93739,.T.); #131752=ORIENTED_EDGE('',*,*,#93740,.T.); #131753=ORIENTED_EDGE('',*,*,#93736,.F.); #131754=ORIENTED_EDGE('',*,*,#93741,.F.); #131755=ORIENTED_EDGE('',*,*,#93742,.T.); #131756=ORIENTED_EDGE('',*,*,#93743,.T.); #131757=ORIENTED_EDGE('',*,*,#93739,.F.); #131758=ORIENTED_EDGE('',*,*,#93744,.F.); #131759=ORIENTED_EDGE('',*,*,#93745,.T.); #131760=ORIENTED_EDGE('',*,*,#93746,.T.); #131761=ORIENTED_EDGE('',*,*,#93742,.F.); #131762=ORIENTED_EDGE('',*,*,#93747,.F.); #131763=ORIENTED_EDGE('',*,*,#93748,.T.); #131764=ORIENTED_EDGE('',*,*,#93749,.T.); #131765=ORIENTED_EDGE('',*,*,#93745,.F.); #131766=ORIENTED_EDGE('',*,*,#93750,.F.); #131767=ORIENTED_EDGE('',*,*,#93751,.T.); #131768=ORIENTED_EDGE('',*,*,#93752,.T.); #131769=ORIENTED_EDGE('',*,*,#93748,.F.); #131770=ORIENTED_EDGE('',*,*,#93753,.F.); #131771=ORIENTED_EDGE('',*,*,#93754,.T.); #131772=ORIENTED_EDGE('',*,*,#93755,.T.); #131773=ORIENTED_EDGE('',*,*,#93751,.F.); #131774=ORIENTED_EDGE('',*,*,#93756,.F.); #131775=ORIENTED_EDGE('',*,*,#93757,.T.); #131776=ORIENTED_EDGE('',*,*,#93758,.T.); #131777=ORIENTED_EDGE('',*,*,#93754,.F.); #131778=ORIENTED_EDGE('',*,*,#93759,.F.); #131779=ORIENTED_EDGE('',*,*,#93760,.T.); #131780=ORIENTED_EDGE('',*,*,#93761,.T.); #131781=ORIENTED_EDGE('',*,*,#93757,.F.); #131782=ORIENTED_EDGE('',*,*,#93762,.F.); #131783=ORIENTED_EDGE('',*,*,#93763,.T.); #131784=ORIENTED_EDGE('',*,*,#93764,.T.); #131785=ORIENTED_EDGE('',*,*,#93760,.F.); #131786=ORIENTED_EDGE('',*,*,#93765,.F.); #131787=ORIENTED_EDGE('',*,*,#93766,.T.); #131788=ORIENTED_EDGE('',*,*,#93767,.T.); #131789=ORIENTED_EDGE('',*,*,#93763,.F.); #131790=ORIENTED_EDGE('',*,*,#93768,.F.); #131791=ORIENTED_EDGE('',*,*,#93725,.T.); #131792=ORIENTED_EDGE('',*,*,#93769,.T.); #131793=ORIENTED_EDGE('',*,*,#93766,.F.); #131794=ORIENTED_EDGE('',*,*,#93769,.F.); #131795=ORIENTED_EDGE('',*,*,#93724,.F.); #131796=ORIENTED_EDGE('',*,*,#93728,.F.); #131797=ORIENTED_EDGE('',*,*,#93731,.F.); #131798=ORIENTED_EDGE('',*,*,#93734,.F.); #131799=ORIENTED_EDGE('',*,*,#93737,.F.); #131800=ORIENTED_EDGE('',*,*,#93740,.F.); #131801=ORIENTED_EDGE('',*,*,#93743,.F.); #131802=ORIENTED_EDGE('',*,*,#93746,.F.); #131803=ORIENTED_EDGE('',*,*,#93749,.F.); #131804=ORIENTED_EDGE('',*,*,#93752,.F.); #131805=ORIENTED_EDGE('',*,*,#93755,.F.); #131806=ORIENTED_EDGE('',*,*,#93758,.F.); #131807=ORIENTED_EDGE('',*,*,#93761,.F.); #131808=ORIENTED_EDGE('',*,*,#93764,.F.); #131809=ORIENTED_EDGE('',*,*,#93767,.F.); #131810=ORIENTED_EDGE('',*,*,#93721,.F.); #131811=ORIENTED_EDGE('',*,*,#93682,.F.); #131812=ORIENTED_EDGE('',*,*,#93686,.F.); #131813=ORIENTED_EDGE('',*,*,#93689,.F.); #131814=ORIENTED_EDGE('',*,*,#93692,.F.); #131815=ORIENTED_EDGE('',*,*,#93695,.F.); #131816=ORIENTED_EDGE('',*,*,#93698,.F.); #131817=ORIENTED_EDGE('',*,*,#93701,.F.); #131818=ORIENTED_EDGE('',*,*,#93704,.F.); #131819=ORIENTED_EDGE('',*,*,#93707,.F.); #131820=ORIENTED_EDGE('',*,*,#93710,.F.); #131821=ORIENTED_EDGE('',*,*,#93713,.F.); #131822=ORIENTED_EDGE('',*,*,#93716,.F.); #131823=ORIENTED_EDGE('',*,*,#93719,.F.); #131824=ORIENTED_EDGE('',*,*,#93770,.F.); #131825=ORIENTED_EDGE('',*,*,#93771,.T.); #131826=ORIENTED_EDGE('',*,*,#93772,.T.); #131827=ORIENTED_EDGE('',*,*,#93773,.F.); #131828=ORIENTED_EDGE('',*,*,#93774,.F.); #131829=ORIENTED_EDGE('',*,*,#93775,.T.); #131830=ORIENTED_EDGE('',*,*,#93776,.T.); #131831=ORIENTED_EDGE('',*,*,#93771,.F.); #131832=ORIENTED_EDGE('',*,*,#93777,.F.); #131833=ORIENTED_EDGE('',*,*,#93778,.T.); #131834=ORIENTED_EDGE('',*,*,#93779,.T.); #131835=ORIENTED_EDGE('',*,*,#93775,.F.); #131836=ORIENTED_EDGE('',*,*,#93780,.F.); #131837=ORIENTED_EDGE('',*,*,#93781,.T.); #131838=ORIENTED_EDGE('',*,*,#93782,.T.); #131839=ORIENTED_EDGE('',*,*,#93778,.F.); #131840=ORIENTED_EDGE('',*,*,#93783,.F.); #131841=ORIENTED_EDGE('',*,*,#93784,.T.); #131842=ORIENTED_EDGE('',*,*,#93785,.T.); #131843=ORIENTED_EDGE('',*,*,#93781,.F.); #131844=ORIENTED_EDGE('',*,*,#93786,.F.); #131845=ORIENTED_EDGE('',*,*,#93787,.T.); #131846=ORIENTED_EDGE('',*,*,#93788,.T.); #131847=ORIENTED_EDGE('',*,*,#93784,.F.); #131848=ORIENTED_EDGE('',*,*,#93789,.F.); #131849=ORIENTED_EDGE('',*,*,#93790,.T.); #131850=ORIENTED_EDGE('',*,*,#93791,.T.); #131851=ORIENTED_EDGE('',*,*,#93787,.F.); #131852=ORIENTED_EDGE('',*,*,#93792,.F.); #131853=ORIENTED_EDGE('',*,*,#93793,.T.); #131854=ORIENTED_EDGE('',*,*,#93794,.T.); #131855=ORIENTED_EDGE('',*,*,#93790,.F.); #131856=ORIENTED_EDGE('',*,*,#93795,.F.); #131857=ORIENTED_EDGE('',*,*,#93796,.T.); #131858=ORIENTED_EDGE('',*,*,#93797,.T.); #131859=ORIENTED_EDGE('',*,*,#93793,.F.); #131860=ORIENTED_EDGE('',*,*,#93798,.F.); #131861=ORIENTED_EDGE('',*,*,#93799,.T.); #131862=ORIENTED_EDGE('',*,*,#93800,.T.); #131863=ORIENTED_EDGE('',*,*,#93796,.F.); #131864=ORIENTED_EDGE('',*,*,#93801,.F.); #131865=ORIENTED_EDGE('',*,*,#93802,.T.); #131866=ORIENTED_EDGE('',*,*,#93803,.T.); #131867=ORIENTED_EDGE('',*,*,#93799,.F.); #131868=ORIENTED_EDGE('',*,*,#93804,.F.); #131869=ORIENTED_EDGE('',*,*,#93805,.T.); #131870=ORIENTED_EDGE('',*,*,#93806,.T.); #131871=ORIENTED_EDGE('',*,*,#93802,.F.); #131872=ORIENTED_EDGE('',*,*,#93807,.F.); #131873=ORIENTED_EDGE('',*,*,#93808,.T.); #131874=ORIENTED_EDGE('',*,*,#93809,.T.); #131875=ORIENTED_EDGE('',*,*,#93805,.F.); #131876=ORIENTED_EDGE('',*,*,#93810,.F.); #131877=ORIENTED_EDGE('',*,*,#93811,.T.); #131878=ORIENTED_EDGE('',*,*,#93812,.T.); #131879=ORIENTED_EDGE('',*,*,#93808,.F.); #131880=ORIENTED_EDGE('',*,*,#93813,.F.); #131881=ORIENTED_EDGE('',*,*,#93814,.T.); #131882=ORIENTED_EDGE('',*,*,#93815,.T.); #131883=ORIENTED_EDGE('',*,*,#93811,.F.); #131884=ORIENTED_EDGE('',*,*,#93816,.F.); #131885=ORIENTED_EDGE('',*,*,#93817,.T.); #131886=ORIENTED_EDGE('',*,*,#93818,.T.); #131887=ORIENTED_EDGE('',*,*,#93814,.F.); #131888=ORIENTED_EDGE('',*,*,#93819,.F.); #131889=ORIENTED_EDGE('',*,*,#93820,.T.); #131890=ORIENTED_EDGE('',*,*,#93821,.T.); #131891=ORIENTED_EDGE('',*,*,#93817,.F.); #131892=ORIENTED_EDGE('',*,*,#93822,.F.); #131893=ORIENTED_EDGE('',*,*,#93823,.T.); #131894=ORIENTED_EDGE('',*,*,#93824,.T.); #131895=ORIENTED_EDGE('',*,*,#93820,.F.); #131896=ORIENTED_EDGE('',*,*,#93825,.F.); #131897=ORIENTED_EDGE('',*,*,#93826,.T.); #131898=ORIENTED_EDGE('',*,*,#93827,.T.); #131899=ORIENTED_EDGE('',*,*,#93823,.F.); #131900=ORIENTED_EDGE('',*,*,#93828,.F.); #131901=ORIENTED_EDGE('',*,*,#93829,.T.); #131902=ORIENTED_EDGE('',*,*,#93830,.T.); #131903=ORIENTED_EDGE('',*,*,#93826,.F.); #131904=ORIENTED_EDGE('',*,*,#93831,.F.); #131905=ORIENTED_EDGE('',*,*,#93832,.T.); #131906=ORIENTED_EDGE('',*,*,#93833,.T.); #131907=ORIENTED_EDGE('',*,*,#93829,.F.); #131908=ORIENTED_EDGE('',*,*,#93834,.F.); #131909=ORIENTED_EDGE('',*,*,#93835,.T.); #131910=ORIENTED_EDGE('',*,*,#93836,.T.); #131911=ORIENTED_EDGE('',*,*,#93832,.F.); #131912=ORIENTED_EDGE('',*,*,#93837,.F.); #131913=ORIENTED_EDGE('',*,*,#93838,.T.); #131914=ORIENTED_EDGE('',*,*,#93839,.T.); #131915=ORIENTED_EDGE('',*,*,#93835,.F.); #131916=ORIENTED_EDGE('',*,*,#93840,.F.); #131917=ORIENTED_EDGE('',*,*,#93841,.T.); #131918=ORIENTED_EDGE('',*,*,#93842,.T.); #131919=ORIENTED_EDGE('',*,*,#93838,.F.); #131920=ORIENTED_EDGE('',*,*,#93843,.F.); #131921=ORIENTED_EDGE('',*,*,#93844,.T.); #131922=ORIENTED_EDGE('',*,*,#93845,.T.); #131923=ORIENTED_EDGE('',*,*,#93841,.F.); #131924=ORIENTED_EDGE('',*,*,#93846,.F.); #131925=ORIENTED_EDGE('',*,*,#93847,.T.); #131926=ORIENTED_EDGE('',*,*,#93848,.T.); #131927=ORIENTED_EDGE('',*,*,#93844,.F.); #131928=ORIENTED_EDGE('',*,*,#93849,.F.); #131929=ORIENTED_EDGE('',*,*,#93850,.T.); #131930=ORIENTED_EDGE('',*,*,#93851,.T.); #131931=ORIENTED_EDGE('',*,*,#93847,.F.); #131932=ORIENTED_EDGE('',*,*,#93852,.F.); #131933=ORIENTED_EDGE('',*,*,#93853,.T.); #131934=ORIENTED_EDGE('',*,*,#93854,.T.); #131935=ORIENTED_EDGE('',*,*,#93850,.F.); #131936=ORIENTED_EDGE('',*,*,#93855,.F.); #131937=ORIENTED_EDGE('',*,*,#93856,.T.); #131938=ORIENTED_EDGE('',*,*,#93857,.T.); #131939=ORIENTED_EDGE('',*,*,#93853,.F.); #131940=ORIENTED_EDGE('',*,*,#93858,.F.); #131941=ORIENTED_EDGE('',*,*,#93859,.T.); #131942=ORIENTED_EDGE('',*,*,#93860,.T.); #131943=ORIENTED_EDGE('',*,*,#93856,.F.); #131944=ORIENTED_EDGE('',*,*,#93861,.F.); #131945=ORIENTED_EDGE('',*,*,#93773,.T.); #131946=ORIENTED_EDGE('',*,*,#93862,.T.); #131947=ORIENTED_EDGE('',*,*,#93859,.F.); #131948=ORIENTED_EDGE('',*,*,#93862,.F.); #131949=ORIENTED_EDGE('',*,*,#93772,.F.); #131950=ORIENTED_EDGE('',*,*,#93776,.F.); #131951=ORIENTED_EDGE('',*,*,#93779,.F.); #131952=ORIENTED_EDGE('',*,*,#93782,.F.); #131953=ORIENTED_EDGE('',*,*,#93785,.F.); #131954=ORIENTED_EDGE('',*,*,#93788,.F.); #131955=ORIENTED_EDGE('',*,*,#93791,.F.); #131956=ORIENTED_EDGE('',*,*,#93794,.F.); #131957=ORIENTED_EDGE('',*,*,#93797,.F.); #131958=ORIENTED_EDGE('',*,*,#93800,.F.); #131959=ORIENTED_EDGE('',*,*,#93803,.F.); #131960=ORIENTED_EDGE('',*,*,#93806,.F.); #131961=ORIENTED_EDGE('',*,*,#93809,.F.); #131962=ORIENTED_EDGE('',*,*,#93812,.F.); #131963=ORIENTED_EDGE('',*,*,#93815,.F.); #131964=ORIENTED_EDGE('',*,*,#93818,.F.); #131965=ORIENTED_EDGE('',*,*,#93821,.F.); #131966=ORIENTED_EDGE('',*,*,#93824,.F.); #131967=ORIENTED_EDGE('',*,*,#93827,.F.); #131968=ORIENTED_EDGE('',*,*,#93830,.F.); #131969=ORIENTED_EDGE('',*,*,#93833,.F.); #131970=ORIENTED_EDGE('',*,*,#93836,.F.); #131971=ORIENTED_EDGE('',*,*,#93839,.F.); #131972=ORIENTED_EDGE('',*,*,#93842,.F.); #131973=ORIENTED_EDGE('',*,*,#93845,.F.); #131974=ORIENTED_EDGE('',*,*,#93848,.F.); #131975=ORIENTED_EDGE('',*,*,#93851,.F.); #131976=ORIENTED_EDGE('',*,*,#93854,.F.); #131977=ORIENTED_EDGE('',*,*,#93857,.F.); #131978=ORIENTED_EDGE('',*,*,#93860,.F.); #131979=ORIENTED_EDGE('',*,*,#93863,.F.); #131980=ORIENTED_EDGE('',*,*,#93864,.T.); #131981=ORIENTED_EDGE('',*,*,#93865,.T.); #131982=ORIENTED_EDGE('',*,*,#93866,.F.); #131983=ORIENTED_EDGE('',*,*,#93867,.F.); #131984=ORIENTED_EDGE('',*,*,#93868,.T.); #131985=ORIENTED_EDGE('',*,*,#93869,.T.); #131986=ORIENTED_EDGE('',*,*,#93864,.F.); #131987=ORIENTED_EDGE('',*,*,#93870,.F.); #131988=ORIENTED_EDGE('',*,*,#93871,.T.); #131989=ORIENTED_EDGE('',*,*,#93872,.T.); #131990=ORIENTED_EDGE('',*,*,#93868,.F.); #131991=ORIENTED_EDGE('',*,*,#93873,.F.); #131992=ORIENTED_EDGE('',*,*,#93874,.T.); #131993=ORIENTED_EDGE('',*,*,#93875,.T.); #131994=ORIENTED_EDGE('',*,*,#93871,.F.); #131995=ORIENTED_EDGE('',*,*,#93876,.F.); #131996=ORIENTED_EDGE('',*,*,#93877,.T.); #131997=ORIENTED_EDGE('',*,*,#93878,.T.); #131998=ORIENTED_EDGE('',*,*,#93874,.F.); #131999=ORIENTED_EDGE('',*,*,#93879,.F.); #132000=ORIENTED_EDGE('',*,*,#93880,.T.); #132001=ORIENTED_EDGE('',*,*,#93881,.T.); #132002=ORIENTED_EDGE('',*,*,#93877,.F.); #132003=ORIENTED_EDGE('',*,*,#93882,.F.); #132004=ORIENTED_EDGE('',*,*,#93883,.T.); #132005=ORIENTED_EDGE('',*,*,#93884,.T.); #132006=ORIENTED_EDGE('',*,*,#93880,.F.); #132007=ORIENTED_EDGE('',*,*,#93885,.F.); #132008=ORIENTED_EDGE('',*,*,#93886,.T.); #132009=ORIENTED_EDGE('',*,*,#93887,.T.); #132010=ORIENTED_EDGE('',*,*,#93883,.F.); #132011=ORIENTED_EDGE('',*,*,#93888,.F.); #132012=ORIENTED_EDGE('',*,*,#93889,.T.); #132013=ORIENTED_EDGE('',*,*,#93890,.T.); #132014=ORIENTED_EDGE('',*,*,#93886,.F.); #132015=ORIENTED_EDGE('',*,*,#93891,.F.); #132016=ORIENTED_EDGE('',*,*,#93892,.T.); #132017=ORIENTED_EDGE('',*,*,#93893,.T.); #132018=ORIENTED_EDGE('',*,*,#93889,.F.); #132019=ORIENTED_EDGE('',*,*,#93894,.F.); #132020=ORIENTED_EDGE('',*,*,#93895,.T.); #132021=ORIENTED_EDGE('',*,*,#93896,.T.); #132022=ORIENTED_EDGE('',*,*,#93892,.F.); #132023=ORIENTED_EDGE('',*,*,#93897,.F.); #132024=ORIENTED_EDGE('',*,*,#93898,.T.); #132025=ORIENTED_EDGE('',*,*,#93899,.T.); #132026=ORIENTED_EDGE('',*,*,#93895,.F.); #132027=ORIENTED_EDGE('',*,*,#93900,.F.); #132028=ORIENTED_EDGE('',*,*,#93901,.T.); #132029=ORIENTED_EDGE('',*,*,#93902,.T.); #132030=ORIENTED_EDGE('',*,*,#93898,.F.); #132031=ORIENTED_EDGE('',*,*,#93903,.F.); #132032=ORIENTED_EDGE('',*,*,#93904,.T.); #132033=ORIENTED_EDGE('',*,*,#93905,.T.); #132034=ORIENTED_EDGE('',*,*,#93901,.F.); #132035=ORIENTED_EDGE('',*,*,#93906,.F.); #132036=ORIENTED_EDGE('',*,*,#93907,.T.); #132037=ORIENTED_EDGE('',*,*,#93908,.T.); #132038=ORIENTED_EDGE('',*,*,#93904,.F.); #132039=ORIENTED_EDGE('',*,*,#93909,.F.); #132040=ORIENTED_EDGE('',*,*,#93910,.T.); #132041=ORIENTED_EDGE('',*,*,#93911,.T.); #132042=ORIENTED_EDGE('',*,*,#93907,.F.); #132043=ORIENTED_EDGE('',*,*,#93912,.F.); #132044=ORIENTED_EDGE('',*,*,#93913,.T.); #132045=ORIENTED_EDGE('',*,*,#93914,.T.); #132046=ORIENTED_EDGE('',*,*,#93910,.F.); #132047=ORIENTED_EDGE('',*,*,#93915,.F.); #132048=ORIENTED_EDGE('',*,*,#93916,.T.); #132049=ORIENTED_EDGE('',*,*,#93917,.T.); #132050=ORIENTED_EDGE('',*,*,#93913,.F.); #132051=ORIENTED_EDGE('',*,*,#93918,.F.); #132052=ORIENTED_EDGE('',*,*,#93919,.T.); #132053=ORIENTED_EDGE('',*,*,#93920,.T.); #132054=ORIENTED_EDGE('',*,*,#93916,.F.); #132055=ORIENTED_EDGE('',*,*,#93921,.F.); #132056=ORIENTED_EDGE('',*,*,#93922,.T.); #132057=ORIENTED_EDGE('',*,*,#93923,.T.); #132058=ORIENTED_EDGE('',*,*,#93919,.F.); #132059=ORIENTED_EDGE('',*,*,#93924,.F.); #132060=ORIENTED_EDGE('',*,*,#93925,.T.); #132061=ORIENTED_EDGE('',*,*,#93926,.T.); #132062=ORIENTED_EDGE('',*,*,#93922,.F.); #132063=ORIENTED_EDGE('',*,*,#93927,.F.); #132064=ORIENTED_EDGE('',*,*,#93928,.T.); #132065=ORIENTED_EDGE('',*,*,#93929,.T.); #132066=ORIENTED_EDGE('',*,*,#93925,.F.); #132067=ORIENTED_EDGE('',*,*,#93930,.F.); #132068=ORIENTED_EDGE('',*,*,#93931,.T.); #132069=ORIENTED_EDGE('',*,*,#93932,.T.); #132070=ORIENTED_EDGE('',*,*,#93928,.F.); #132071=ORIENTED_EDGE('',*,*,#93933,.F.); #132072=ORIENTED_EDGE('',*,*,#93934,.T.); #132073=ORIENTED_EDGE('',*,*,#93935,.T.); #132074=ORIENTED_EDGE('',*,*,#93931,.F.); #132075=ORIENTED_EDGE('',*,*,#93936,.F.); #132076=ORIENTED_EDGE('',*,*,#93937,.T.); #132077=ORIENTED_EDGE('',*,*,#93938,.T.); #132078=ORIENTED_EDGE('',*,*,#93934,.F.); #132079=ORIENTED_EDGE('',*,*,#93939,.F.); #132080=ORIENTED_EDGE('',*,*,#93940,.T.); #132081=ORIENTED_EDGE('',*,*,#93941,.T.); #132082=ORIENTED_EDGE('',*,*,#93937,.F.); #132083=ORIENTED_EDGE('',*,*,#93942,.F.); #132084=ORIENTED_EDGE('',*,*,#93943,.T.); #132085=ORIENTED_EDGE('',*,*,#93944,.T.); #132086=ORIENTED_EDGE('',*,*,#93940,.F.); #132087=ORIENTED_EDGE('',*,*,#93945,.F.); #132088=ORIENTED_EDGE('',*,*,#93946,.T.); #132089=ORIENTED_EDGE('',*,*,#93947,.T.); #132090=ORIENTED_EDGE('',*,*,#93943,.F.); #132091=ORIENTED_EDGE('',*,*,#93948,.F.); #132092=ORIENTED_EDGE('',*,*,#93949,.T.); #132093=ORIENTED_EDGE('',*,*,#93950,.T.); #132094=ORIENTED_EDGE('',*,*,#93946,.F.); #132095=ORIENTED_EDGE('',*,*,#93951,.F.); #132096=ORIENTED_EDGE('',*,*,#93952,.T.); #132097=ORIENTED_EDGE('',*,*,#93953,.T.); #132098=ORIENTED_EDGE('',*,*,#93949,.F.); #132099=ORIENTED_EDGE('',*,*,#93954,.F.); #132100=ORIENTED_EDGE('',*,*,#93955,.T.); #132101=ORIENTED_EDGE('',*,*,#93956,.T.); #132102=ORIENTED_EDGE('',*,*,#93952,.F.); #132103=ORIENTED_EDGE('',*,*,#93957,.F.); #132104=ORIENTED_EDGE('',*,*,#93958,.T.); #132105=ORIENTED_EDGE('',*,*,#93959,.T.); #132106=ORIENTED_EDGE('',*,*,#93955,.F.); #132107=ORIENTED_EDGE('',*,*,#93960,.F.); #132108=ORIENTED_EDGE('',*,*,#93961,.T.); #132109=ORIENTED_EDGE('',*,*,#93962,.T.); #132110=ORIENTED_EDGE('',*,*,#93958,.F.); #132111=ORIENTED_EDGE('',*,*,#93963,.F.); #132112=ORIENTED_EDGE('',*,*,#93964,.T.); #132113=ORIENTED_EDGE('',*,*,#93965,.T.); #132114=ORIENTED_EDGE('',*,*,#93961,.F.); #132115=ORIENTED_EDGE('',*,*,#93966,.F.); #132116=ORIENTED_EDGE('',*,*,#93967,.T.); #132117=ORIENTED_EDGE('',*,*,#93968,.T.); #132118=ORIENTED_EDGE('',*,*,#93964,.F.); #132119=ORIENTED_EDGE('',*,*,#93969,.F.); #132120=ORIENTED_EDGE('',*,*,#93970,.T.); #132121=ORIENTED_EDGE('',*,*,#93971,.T.); #132122=ORIENTED_EDGE('',*,*,#93967,.F.); #132123=ORIENTED_EDGE('',*,*,#93972,.F.); #132124=ORIENTED_EDGE('',*,*,#93866,.T.); #132125=ORIENTED_EDGE('',*,*,#93973,.T.); #132126=ORIENTED_EDGE('',*,*,#93970,.F.); #132127=ORIENTED_EDGE('',*,*,#93973,.F.); #132128=ORIENTED_EDGE('',*,*,#93865,.F.); #132129=ORIENTED_EDGE('',*,*,#93869,.F.); #132130=ORIENTED_EDGE('',*,*,#93872,.F.); #132131=ORIENTED_EDGE('',*,*,#93875,.F.); #132132=ORIENTED_EDGE('',*,*,#93878,.F.); #132133=ORIENTED_EDGE('',*,*,#93881,.F.); #132134=ORIENTED_EDGE('',*,*,#93884,.F.); #132135=ORIENTED_EDGE('',*,*,#93887,.F.); #132136=ORIENTED_EDGE('',*,*,#93890,.F.); #132137=ORIENTED_EDGE('',*,*,#93893,.F.); #132138=ORIENTED_EDGE('',*,*,#93896,.F.); #132139=ORIENTED_EDGE('',*,*,#93899,.F.); #132140=ORIENTED_EDGE('',*,*,#93902,.F.); #132141=ORIENTED_EDGE('',*,*,#93905,.F.); #132142=ORIENTED_EDGE('',*,*,#93908,.F.); #132143=ORIENTED_EDGE('',*,*,#93911,.F.); #132144=ORIENTED_EDGE('',*,*,#93914,.F.); #132145=ORIENTED_EDGE('',*,*,#93917,.F.); #132146=ORIENTED_EDGE('',*,*,#93920,.F.); #132147=ORIENTED_EDGE('',*,*,#93923,.F.); #132148=ORIENTED_EDGE('',*,*,#93926,.F.); #132149=ORIENTED_EDGE('',*,*,#93929,.F.); #132150=ORIENTED_EDGE('',*,*,#93932,.F.); #132151=ORIENTED_EDGE('',*,*,#93935,.F.); #132152=ORIENTED_EDGE('',*,*,#93938,.F.); #132153=ORIENTED_EDGE('',*,*,#93941,.F.); #132154=ORIENTED_EDGE('',*,*,#93944,.F.); #132155=ORIENTED_EDGE('',*,*,#93947,.F.); #132156=ORIENTED_EDGE('',*,*,#93950,.F.); #132157=ORIENTED_EDGE('',*,*,#93953,.F.); #132158=ORIENTED_EDGE('',*,*,#93956,.F.); #132159=ORIENTED_EDGE('',*,*,#93959,.F.); #132160=ORIENTED_EDGE('',*,*,#93962,.F.); #132161=ORIENTED_EDGE('',*,*,#93965,.F.); #132162=ORIENTED_EDGE('',*,*,#93968,.F.); #132163=ORIENTED_EDGE('',*,*,#93971,.F.); #132164=ORIENTED_EDGE('',*,*,#93974,.F.); #132165=ORIENTED_EDGE('',*,*,#93975,.T.); #132166=ORIENTED_EDGE('',*,*,#93976,.T.); #132167=ORIENTED_EDGE('',*,*,#93977,.F.); #132168=ORIENTED_EDGE('',*,*,#93978,.F.); #132169=ORIENTED_EDGE('',*,*,#93979,.T.); #132170=ORIENTED_EDGE('',*,*,#93980,.T.); #132171=ORIENTED_EDGE('',*,*,#93975,.F.); #132172=ORIENTED_EDGE('',*,*,#93981,.F.); #132173=ORIENTED_EDGE('',*,*,#93982,.T.); #132174=ORIENTED_EDGE('',*,*,#93983,.T.); #132175=ORIENTED_EDGE('',*,*,#93979,.F.); #132176=ORIENTED_EDGE('',*,*,#93984,.F.); #132177=ORIENTED_EDGE('',*,*,#93985,.T.); #132178=ORIENTED_EDGE('',*,*,#93986,.T.); #132179=ORIENTED_EDGE('',*,*,#93982,.F.); #132180=ORIENTED_EDGE('',*,*,#93987,.F.); #132181=ORIENTED_EDGE('',*,*,#93988,.T.); #132182=ORIENTED_EDGE('',*,*,#93989,.T.); #132183=ORIENTED_EDGE('',*,*,#93985,.F.); #132184=ORIENTED_EDGE('',*,*,#93990,.F.); #132185=ORIENTED_EDGE('',*,*,#93991,.T.); #132186=ORIENTED_EDGE('',*,*,#93992,.T.); #132187=ORIENTED_EDGE('',*,*,#93988,.F.); #132188=ORIENTED_EDGE('',*,*,#93993,.F.); #132189=ORIENTED_EDGE('',*,*,#93994,.T.); #132190=ORIENTED_EDGE('',*,*,#93995,.T.); #132191=ORIENTED_EDGE('',*,*,#93991,.F.); #132192=ORIENTED_EDGE('',*,*,#93996,.F.); #132193=ORIENTED_EDGE('',*,*,#93997,.T.); #132194=ORIENTED_EDGE('',*,*,#93998,.T.); #132195=ORIENTED_EDGE('',*,*,#93994,.F.); #132196=ORIENTED_EDGE('',*,*,#93999,.F.); #132197=ORIENTED_EDGE('',*,*,#94000,.T.); #132198=ORIENTED_EDGE('',*,*,#94001,.T.); #132199=ORIENTED_EDGE('',*,*,#93997,.F.); #132200=ORIENTED_EDGE('',*,*,#94002,.F.); #132201=ORIENTED_EDGE('',*,*,#94003,.T.); #132202=ORIENTED_EDGE('',*,*,#94004,.T.); #132203=ORIENTED_EDGE('',*,*,#94000,.F.); #132204=ORIENTED_EDGE('',*,*,#94005,.F.); #132205=ORIENTED_EDGE('',*,*,#94006,.T.); #132206=ORIENTED_EDGE('',*,*,#94007,.T.); #132207=ORIENTED_EDGE('',*,*,#94003,.F.); #132208=ORIENTED_EDGE('',*,*,#94008,.F.); #132209=ORIENTED_EDGE('',*,*,#94009,.T.); #132210=ORIENTED_EDGE('',*,*,#94010,.T.); #132211=ORIENTED_EDGE('',*,*,#94006,.F.); #132212=ORIENTED_EDGE('',*,*,#94011,.F.); #132213=ORIENTED_EDGE('',*,*,#94012,.T.); #132214=ORIENTED_EDGE('',*,*,#94013,.T.); #132215=ORIENTED_EDGE('',*,*,#94009,.F.); #132216=ORIENTED_EDGE('',*,*,#94014,.F.); #132217=ORIENTED_EDGE('',*,*,#94015,.T.); #132218=ORIENTED_EDGE('',*,*,#94016,.T.); #132219=ORIENTED_EDGE('',*,*,#94012,.F.); #132220=ORIENTED_EDGE('',*,*,#94017,.F.); #132221=ORIENTED_EDGE('',*,*,#94018,.T.); #132222=ORIENTED_EDGE('',*,*,#94019,.T.); #132223=ORIENTED_EDGE('',*,*,#94015,.F.); #132224=ORIENTED_EDGE('',*,*,#94020,.F.); #132225=ORIENTED_EDGE('',*,*,#94021,.T.); #132226=ORIENTED_EDGE('',*,*,#94022,.T.); #132227=ORIENTED_EDGE('',*,*,#94018,.F.); #132228=ORIENTED_EDGE('',*,*,#94023,.F.); #132229=ORIENTED_EDGE('',*,*,#94024,.T.); #132230=ORIENTED_EDGE('',*,*,#94025,.T.); #132231=ORIENTED_EDGE('',*,*,#94021,.F.); #132232=ORIENTED_EDGE('',*,*,#94026,.F.); #132233=ORIENTED_EDGE('',*,*,#94027,.T.); #132234=ORIENTED_EDGE('',*,*,#94028,.T.); #132235=ORIENTED_EDGE('',*,*,#94024,.F.); #132236=ORIENTED_EDGE('',*,*,#94029,.F.); #132237=ORIENTED_EDGE('',*,*,#94030,.T.); #132238=ORIENTED_EDGE('',*,*,#94031,.T.); #132239=ORIENTED_EDGE('',*,*,#94027,.F.); #132240=ORIENTED_EDGE('',*,*,#94032,.F.); #132241=ORIENTED_EDGE('',*,*,#94033,.T.); #132242=ORIENTED_EDGE('',*,*,#94034,.T.); #132243=ORIENTED_EDGE('',*,*,#94030,.F.); #132244=ORIENTED_EDGE('',*,*,#94035,.F.); #132245=ORIENTED_EDGE('',*,*,#94036,.T.); #132246=ORIENTED_EDGE('',*,*,#94037,.T.); #132247=ORIENTED_EDGE('',*,*,#94033,.F.); #132248=ORIENTED_EDGE('',*,*,#94038,.F.); #132249=ORIENTED_EDGE('',*,*,#94039,.T.); #132250=ORIENTED_EDGE('',*,*,#94040,.T.); #132251=ORIENTED_EDGE('',*,*,#94036,.F.); #132252=ORIENTED_EDGE('',*,*,#94041,.F.); #132253=ORIENTED_EDGE('',*,*,#94042,.T.); #132254=ORIENTED_EDGE('',*,*,#94043,.T.); #132255=ORIENTED_EDGE('',*,*,#94039,.F.); #132256=ORIENTED_EDGE('',*,*,#94044,.F.); #132257=ORIENTED_EDGE('',*,*,#94045,.T.); #132258=ORIENTED_EDGE('',*,*,#94046,.T.); #132259=ORIENTED_EDGE('',*,*,#94042,.F.); #132260=ORIENTED_EDGE('',*,*,#94047,.F.); #132261=ORIENTED_EDGE('',*,*,#94048,.T.); #132262=ORIENTED_EDGE('',*,*,#94049,.T.); #132263=ORIENTED_EDGE('',*,*,#94045,.F.); #132264=ORIENTED_EDGE('',*,*,#94050,.F.); #132265=ORIENTED_EDGE('',*,*,#94051,.T.); #132266=ORIENTED_EDGE('',*,*,#94052,.T.); #132267=ORIENTED_EDGE('',*,*,#94048,.F.); #132268=ORIENTED_EDGE('',*,*,#94053,.F.); #132269=ORIENTED_EDGE('',*,*,#94054,.T.); #132270=ORIENTED_EDGE('',*,*,#94055,.T.); #132271=ORIENTED_EDGE('',*,*,#94051,.F.); #132272=ORIENTED_EDGE('',*,*,#94056,.F.); #132273=ORIENTED_EDGE('',*,*,#94057,.T.); #132274=ORIENTED_EDGE('',*,*,#94058,.T.); #132275=ORIENTED_EDGE('',*,*,#94054,.F.); #132276=ORIENTED_EDGE('',*,*,#94059,.F.); #132277=ORIENTED_EDGE('',*,*,#93977,.T.); #132278=ORIENTED_EDGE('',*,*,#94060,.T.); #132279=ORIENTED_EDGE('',*,*,#94057,.F.); #132280=ORIENTED_EDGE('',*,*,#94060,.F.); #132281=ORIENTED_EDGE('',*,*,#93976,.F.); #132282=ORIENTED_EDGE('',*,*,#93980,.F.); #132283=ORIENTED_EDGE('',*,*,#93983,.F.); #132284=ORIENTED_EDGE('',*,*,#93986,.F.); #132285=ORIENTED_EDGE('',*,*,#93989,.F.); #132286=ORIENTED_EDGE('',*,*,#93992,.F.); #132287=ORIENTED_EDGE('',*,*,#93995,.F.); #132288=ORIENTED_EDGE('',*,*,#93998,.F.); #132289=ORIENTED_EDGE('',*,*,#94001,.F.); #132290=ORIENTED_EDGE('',*,*,#94004,.F.); #132291=ORIENTED_EDGE('',*,*,#94007,.F.); #132292=ORIENTED_EDGE('',*,*,#94010,.F.); #132293=ORIENTED_EDGE('',*,*,#94013,.F.); #132294=ORIENTED_EDGE('',*,*,#94016,.F.); #132295=ORIENTED_EDGE('',*,*,#94019,.F.); #132296=ORIENTED_EDGE('',*,*,#94022,.F.); #132297=ORIENTED_EDGE('',*,*,#94025,.F.); #132298=ORIENTED_EDGE('',*,*,#94028,.F.); #132299=ORIENTED_EDGE('',*,*,#94031,.F.); #132300=ORIENTED_EDGE('',*,*,#94034,.F.); #132301=ORIENTED_EDGE('',*,*,#94037,.F.); #132302=ORIENTED_EDGE('',*,*,#94040,.F.); #132303=ORIENTED_EDGE('',*,*,#94043,.F.); #132304=ORIENTED_EDGE('',*,*,#94046,.F.); #132305=ORIENTED_EDGE('',*,*,#94049,.F.); #132306=ORIENTED_EDGE('',*,*,#94052,.F.); #132307=ORIENTED_EDGE('',*,*,#94055,.F.); #132308=ORIENTED_EDGE('',*,*,#94058,.F.); #132309=ORIENTED_EDGE('',*,*,#94061,.F.); #132310=ORIENTED_EDGE('',*,*,#94062,.T.); #132311=ORIENTED_EDGE('',*,*,#94063,.T.); #132312=ORIENTED_EDGE('',*,*,#94064,.F.); #132313=ORIENTED_EDGE('',*,*,#94065,.F.); #132314=ORIENTED_EDGE('',*,*,#94066,.T.); #132315=ORIENTED_EDGE('',*,*,#94067,.T.); #132316=ORIENTED_EDGE('',*,*,#94062,.F.); #132317=ORIENTED_EDGE('',*,*,#94068,.F.); #132318=ORIENTED_EDGE('',*,*,#94069,.T.); #132319=ORIENTED_EDGE('',*,*,#94070,.T.); #132320=ORIENTED_EDGE('',*,*,#94066,.F.); #132321=ORIENTED_EDGE('',*,*,#94071,.F.); #132322=ORIENTED_EDGE('',*,*,#94072,.T.); #132323=ORIENTED_EDGE('',*,*,#94073,.T.); #132324=ORIENTED_EDGE('',*,*,#94069,.F.); #132325=ORIENTED_EDGE('',*,*,#94074,.F.); #132326=ORIENTED_EDGE('',*,*,#94075,.T.); #132327=ORIENTED_EDGE('',*,*,#94076,.T.); #132328=ORIENTED_EDGE('',*,*,#94072,.F.); #132329=ORIENTED_EDGE('',*,*,#94077,.F.); #132330=ORIENTED_EDGE('',*,*,#94078,.T.); #132331=ORIENTED_EDGE('',*,*,#94079,.T.); #132332=ORIENTED_EDGE('',*,*,#94075,.F.); #132333=ORIENTED_EDGE('',*,*,#94080,.F.); #132334=ORIENTED_EDGE('',*,*,#94081,.T.); #132335=ORIENTED_EDGE('',*,*,#94082,.T.); #132336=ORIENTED_EDGE('',*,*,#94078,.F.); #132337=ORIENTED_EDGE('',*,*,#94083,.F.); #132338=ORIENTED_EDGE('',*,*,#94084,.T.); #132339=ORIENTED_EDGE('',*,*,#94085,.T.); #132340=ORIENTED_EDGE('',*,*,#94081,.F.); #132341=ORIENTED_EDGE('',*,*,#94086,.F.); #132342=ORIENTED_EDGE('',*,*,#94087,.T.); #132343=ORIENTED_EDGE('',*,*,#94088,.T.); #132344=ORIENTED_EDGE('',*,*,#94084,.F.); #132345=ORIENTED_EDGE('',*,*,#94089,.F.); #132346=ORIENTED_EDGE('',*,*,#94064,.T.); #132347=ORIENTED_EDGE('',*,*,#94090,.T.); #132348=ORIENTED_EDGE('',*,*,#94087,.F.); #132349=ORIENTED_EDGE('',*,*,#94090,.F.); #132350=ORIENTED_EDGE('',*,*,#94063,.F.); #132351=ORIENTED_EDGE('',*,*,#94067,.F.); #132352=ORIENTED_EDGE('',*,*,#94070,.F.); #132353=ORIENTED_EDGE('',*,*,#94073,.F.); #132354=ORIENTED_EDGE('',*,*,#94076,.F.); #132355=ORIENTED_EDGE('',*,*,#94079,.F.); #132356=ORIENTED_EDGE('',*,*,#94082,.F.); #132357=ORIENTED_EDGE('',*,*,#94085,.F.); #132358=ORIENTED_EDGE('',*,*,#94088,.F.); #132359=ORIENTED_EDGE('',*,*,#94091,.F.); #132360=ORIENTED_EDGE('',*,*,#94092,.T.); #132361=ORIENTED_EDGE('',*,*,#94093,.T.); #132362=ORIENTED_EDGE('',*,*,#94094,.F.); #132363=ORIENTED_EDGE('',*,*,#94095,.F.); #132364=ORIENTED_EDGE('',*,*,#94096,.T.); #132365=ORIENTED_EDGE('',*,*,#94097,.T.); #132366=ORIENTED_EDGE('',*,*,#94092,.F.); #132367=ORIENTED_EDGE('',*,*,#94098,.F.); #132368=ORIENTED_EDGE('',*,*,#94099,.T.); #132369=ORIENTED_EDGE('',*,*,#94100,.T.); #132370=ORIENTED_EDGE('',*,*,#94096,.F.); #132371=ORIENTED_EDGE('',*,*,#94101,.F.); #132372=ORIENTED_EDGE('',*,*,#94102,.T.); #132373=ORIENTED_EDGE('',*,*,#94103,.T.); #132374=ORIENTED_EDGE('',*,*,#94099,.F.); #132375=ORIENTED_EDGE('',*,*,#94104,.F.); #132376=ORIENTED_EDGE('',*,*,#94105,.T.); #132377=ORIENTED_EDGE('',*,*,#94106,.T.); #132378=ORIENTED_EDGE('',*,*,#94102,.F.); #132379=ORIENTED_EDGE('',*,*,#94107,.F.); #132380=ORIENTED_EDGE('',*,*,#94108,.T.); #132381=ORIENTED_EDGE('',*,*,#94109,.T.); #132382=ORIENTED_EDGE('',*,*,#94105,.F.); #132383=ORIENTED_EDGE('',*,*,#94110,.F.); #132384=ORIENTED_EDGE('',*,*,#94111,.T.); #132385=ORIENTED_EDGE('',*,*,#94112,.T.); #132386=ORIENTED_EDGE('',*,*,#94108,.F.); #132387=ORIENTED_EDGE('',*,*,#94113,.F.); #132388=ORIENTED_EDGE('',*,*,#94114,.T.); #132389=ORIENTED_EDGE('',*,*,#94115,.T.); #132390=ORIENTED_EDGE('',*,*,#94111,.F.); #132391=ORIENTED_EDGE('',*,*,#94116,.F.); #132392=ORIENTED_EDGE('',*,*,#94117,.T.); #132393=ORIENTED_EDGE('',*,*,#94118,.T.); #132394=ORIENTED_EDGE('',*,*,#94114,.F.); #132395=ORIENTED_EDGE('',*,*,#94119,.F.); #132396=ORIENTED_EDGE('',*,*,#94120,.T.); #132397=ORIENTED_EDGE('',*,*,#94121,.T.); #132398=ORIENTED_EDGE('',*,*,#94117,.F.); #132399=ORIENTED_EDGE('',*,*,#94122,.F.); #132400=ORIENTED_EDGE('',*,*,#94123,.T.); #132401=ORIENTED_EDGE('',*,*,#94124,.T.); #132402=ORIENTED_EDGE('',*,*,#94120,.F.); #132403=ORIENTED_EDGE('',*,*,#94125,.F.); #132404=ORIENTED_EDGE('',*,*,#94126,.T.); #132405=ORIENTED_EDGE('',*,*,#94127,.T.); #132406=ORIENTED_EDGE('',*,*,#94123,.F.); #132407=ORIENTED_EDGE('',*,*,#94128,.F.); #132408=ORIENTED_EDGE('',*,*,#94129,.T.); #132409=ORIENTED_EDGE('',*,*,#94130,.T.); #132410=ORIENTED_EDGE('',*,*,#94126,.F.); #132411=ORIENTED_EDGE('',*,*,#94131,.F.); #132412=ORIENTED_EDGE('',*,*,#94132,.T.); #132413=ORIENTED_EDGE('',*,*,#94133,.T.); #132414=ORIENTED_EDGE('',*,*,#94129,.F.); #132415=ORIENTED_EDGE('',*,*,#94134,.F.); #132416=ORIENTED_EDGE('',*,*,#94135,.T.); #132417=ORIENTED_EDGE('',*,*,#94136,.T.); #132418=ORIENTED_EDGE('',*,*,#94132,.F.); #132419=ORIENTED_EDGE('',*,*,#94137,.F.); #132420=ORIENTED_EDGE('',*,*,#94138,.T.); #132421=ORIENTED_EDGE('',*,*,#94139,.T.); #132422=ORIENTED_EDGE('',*,*,#94135,.F.); #132423=ORIENTED_EDGE('',*,*,#94140,.F.); #132424=ORIENTED_EDGE('',*,*,#94141,.T.); #132425=ORIENTED_EDGE('',*,*,#94142,.T.); #132426=ORIENTED_EDGE('',*,*,#94138,.F.); #132427=ORIENTED_EDGE('',*,*,#94143,.F.); #132428=ORIENTED_EDGE('',*,*,#94144,.T.); #132429=ORIENTED_EDGE('',*,*,#94145,.T.); #132430=ORIENTED_EDGE('',*,*,#94141,.F.); #132431=ORIENTED_EDGE('',*,*,#94146,.F.); #132432=ORIENTED_EDGE('',*,*,#94147,.T.); #132433=ORIENTED_EDGE('',*,*,#94148,.T.); #132434=ORIENTED_EDGE('',*,*,#94144,.F.); #132435=ORIENTED_EDGE('',*,*,#94149,.F.); #132436=ORIENTED_EDGE('',*,*,#94094,.T.); #132437=ORIENTED_EDGE('',*,*,#94150,.T.); #132438=ORIENTED_EDGE('',*,*,#94147,.F.); #132439=ORIENTED_EDGE('',*,*,#94150,.F.); #132440=ORIENTED_EDGE('',*,*,#94093,.F.); #132441=ORIENTED_EDGE('',*,*,#94097,.F.); #132442=ORIENTED_EDGE('',*,*,#94100,.F.); #132443=ORIENTED_EDGE('',*,*,#94103,.F.); #132444=ORIENTED_EDGE('',*,*,#94106,.F.); #132445=ORIENTED_EDGE('',*,*,#94109,.F.); #132446=ORIENTED_EDGE('',*,*,#94112,.F.); #132447=ORIENTED_EDGE('',*,*,#94115,.F.); #132448=ORIENTED_EDGE('',*,*,#94118,.F.); #132449=ORIENTED_EDGE('',*,*,#94121,.F.); #132450=ORIENTED_EDGE('',*,*,#94124,.F.); #132451=ORIENTED_EDGE('',*,*,#94127,.F.); #132452=ORIENTED_EDGE('',*,*,#94130,.F.); #132453=ORIENTED_EDGE('',*,*,#94133,.F.); #132454=ORIENTED_EDGE('',*,*,#94136,.F.); #132455=ORIENTED_EDGE('',*,*,#94139,.F.); #132456=ORIENTED_EDGE('',*,*,#94142,.F.); #132457=ORIENTED_EDGE('',*,*,#94145,.F.); #132458=ORIENTED_EDGE('',*,*,#94148,.F.); #132459=ORIENTED_EDGE('',*,*,#94151,.F.); #132460=ORIENTED_EDGE('',*,*,#94152,.T.); #132461=ORIENTED_EDGE('',*,*,#94153,.T.); #132462=ORIENTED_EDGE('',*,*,#94154,.F.); #132463=ORIENTED_EDGE('',*,*,#94155,.F.); #132464=ORIENTED_EDGE('',*,*,#94156,.T.); #132465=ORIENTED_EDGE('',*,*,#94157,.T.); #132466=ORIENTED_EDGE('',*,*,#94152,.F.); #132467=ORIENTED_EDGE('',*,*,#94158,.F.); #132468=ORIENTED_EDGE('',*,*,#94159,.T.); #132469=ORIENTED_EDGE('',*,*,#94160,.T.); #132470=ORIENTED_EDGE('',*,*,#94156,.F.); #132471=ORIENTED_EDGE('',*,*,#94161,.F.); #132472=ORIENTED_EDGE('',*,*,#94162,.T.); #132473=ORIENTED_EDGE('',*,*,#94163,.T.); #132474=ORIENTED_EDGE('',*,*,#94159,.F.); #132475=ORIENTED_EDGE('',*,*,#94164,.F.); #132476=ORIENTED_EDGE('',*,*,#94165,.T.); #132477=ORIENTED_EDGE('',*,*,#94166,.T.); #132478=ORIENTED_EDGE('',*,*,#94162,.F.); #132479=ORIENTED_EDGE('',*,*,#94167,.F.); #132480=ORIENTED_EDGE('',*,*,#94168,.T.); #132481=ORIENTED_EDGE('',*,*,#94169,.T.); #132482=ORIENTED_EDGE('',*,*,#94165,.F.); #132483=ORIENTED_EDGE('',*,*,#94170,.F.); #132484=ORIENTED_EDGE('',*,*,#94171,.T.); #132485=ORIENTED_EDGE('',*,*,#94172,.T.); #132486=ORIENTED_EDGE('',*,*,#94168,.F.); #132487=ORIENTED_EDGE('',*,*,#94173,.F.); #132488=ORIENTED_EDGE('',*,*,#94154,.T.); #132489=ORIENTED_EDGE('',*,*,#94174,.T.); #132490=ORIENTED_EDGE('',*,*,#94175,.F.); #132491=ORIENTED_EDGE('',*,*,#94174,.F.); #132492=ORIENTED_EDGE('',*,*,#94153,.F.); #132493=ORIENTED_EDGE('',*,*,#94157,.F.); #132494=ORIENTED_EDGE('',*,*,#94160,.F.); #132495=ORIENTED_EDGE('',*,*,#94163,.F.); #132496=ORIENTED_EDGE('',*,*,#94166,.F.); #132497=ORIENTED_EDGE('',*,*,#94169,.F.); #132498=ORIENTED_EDGE('',*,*,#94172,.F.); #132499=ORIENTED_EDGE('',*,*,#94176,.F.); #132500=ORIENTED_EDGE('',*,*,#94177,.T.); #132501=ORIENTED_EDGE('',*,*,#94178,.T.); #132502=ORIENTED_EDGE('',*,*,#94179,.F.); #132503=ORIENTED_EDGE('',*,*,#94180,.F.); #132504=ORIENTED_EDGE('',*,*,#94181,.T.); #132505=ORIENTED_EDGE('',*,*,#94182,.T.); #132506=ORIENTED_EDGE('',*,*,#94177,.F.); #132507=ORIENTED_EDGE('',*,*,#94183,.F.); #132508=ORIENTED_EDGE('',*,*,#94184,.T.); #132509=ORIENTED_EDGE('',*,*,#94185,.T.); #132510=ORIENTED_EDGE('',*,*,#94181,.F.); #132511=ORIENTED_EDGE('',*,*,#94186,.F.); #132512=ORIENTED_EDGE('',*,*,#94187,.T.); #132513=ORIENTED_EDGE('',*,*,#94188,.T.); #132514=ORIENTED_EDGE('',*,*,#94184,.F.); #132515=ORIENTED_EDGE('',*,*,#94189,.F.); #132516=ORIENTED_EDGE('',*,*,#94190,.T.); #132517=ORIENTED_EDGE('',*,*,#94191,.T.); #132518=ORIENTED_EDGE('',*,*,#94187,.F.); #132519=ORIENTED_EDGE('',*,*,#94192,.F.); #132520=ORIENTED_EDGE('',*,*,#94175,.T.); #132521=ORIENTED_EDGE('',*,*,#94193,.T.); #132522=ORIENTED_EDGE('',*,*,#94190,.F.); #132523=ORIENTED_EDGE('',*,*,#94194,.F.); #132524=ORIENTED_EDGE('',*,*,#94195,.T.); #132525=ORIENTED_EDGE('',*,*,#94196,.T.); #132526=ORIENTED_EDGE('',*,*,#94171,.F.); #132527=ORIENTED_EDGE('',*,*,#94197,.F.); #132528=ORIENTED_EDGE('',*,*,#94179,.T.); #132529=ORIENTED_EDGE('',*,*,#94198,.T.); #132530=ORIENTED_EDGE('',*,*,#94195,.F.); #132531=ORIENTED_EDGE('',*,*,#94198,.F.); #132532=ORIENTED_EDGE('',*,*,#94178,.F.); #132533=ORIENTED_EDGE('',*,*,#94182,.F.); #132534=ORIENTED_EDGE('',*,*,#94185,.F.); #132535=ORIENTED_EDGE('',*,*,#94188,.F.); #132536=ORIENTED_EDGE('',*,*,#94191,.F.); #132537=ORIENTED_EDGE('',*,*,#94193,.F.); #132538=ORIENTED_EDGE('',*,*,#94196,.F.); #132539=ORIENTED_EDGE('',*,*,#94199,.F.); #132540=ORIENTED_EDGE('',*,*,#94200,.T.); #132541=ORIENTED_EDGE('',*,*,#94201,.T.); #132542=ORIENTED_EDGE('',*,*,#94202,.F.); #132543=ORIENTED_EDGE('',*,*,#94203,.F.); #132544=ORIENTED_EDGE('',*,*,#94204,.T.); #132545=ORIENTED_EDGE('',*,*,#94205,.T.); #132546=ORIENTED_EDGE('',*,*,#94200,.F.); #132547=ORIENTED_EDGE('',*,*,#94206,.F.); #132548=ORIENTED_EDGE('',*,*,#94207,.T.); #132549=ORIENTED_EDGE('',*,*,#94208,.T.); #132550=ORIENTED_EDGE('',*,*,#94204,.F.); #132551=ORIENTED_EDGE('',*,*,#94209,.F.); #132552=ORIENTED_EDGE('',*,*,#94202,.T.); #132553=ORIENTED_EDGE('',*,*,#94210,.T.); #132554=ORIENTED_EDGE('',*,*,#94207,.F.); #132555=ORIENTED_EDGE('',*,*,#94211,.F.); #132556=ORIENTED_EDGE('',*,*,#94212,.T.); #132557=ORIENTED_EDGE('',*,*,#94213,.T.); #132558=ORIENTED_EDGE('',*,*,#94214,.F.); #132559=ORIENTED_EDGE('',*,*,#94215,.F.); #132560=ORIENTED_EDGE('',*,*,#94216,.T.); #132561=ORIENTED_EDGE('',*,*,#94217,.T.); #132562=ORIENTED_EDGE('',*,*,#94212,.F.); #132563=ORIENTED_EDGE('',*,*,#94218,.F.); #132564=ORIENTED_EDGE('',*,*,#94219,.T.); #132565=ORIENTED_EDGE('',*,*,#94220,.T.); #132566=ORIENTED_EDGE('',*,*,#94216,.F.); #132567=ORIENTED_EDGE('',*,*,#94221,.F.); #132568=ORIENTED_EDGE('',*,*,#94214,.T.); #132569=ORIENTED_EDGE('',*,*,#94222,.T.); #132570=ORIENTED_EDGE('',*,*,#94219,.F.); #132571=ORIENTED_EDGE('',*,*,#94222,.F.); #132572=ORIENTED_EDGE('',*,*,#94213,.F.); #132573=ORIENTED_EDGE('',*,*,#94217,.F.); #132574=ORIENTED_EDGE('',*,*,#94220,.F.); #132575=ORIENTED_EDGE('',*,*,#94210,.F.); #132576=ORIENTED_EDGE('',*,*,#94201,.F.); #132577=ORIENTED_EDGE('',*,*,#94205,.F.); #132578=ORIENTED_EDGE('',*,*,#94208,.F.); #132579=ORIENTED_EDGE('',*,*,#94223,.F.); #132580=ORIENTED_EDGE('',*,*,#94224,.T.); #132581=ORIENTED_EDGE('',*,*,#94225,.T.); #132582=ORIENTED_EDGE('',*,*,#94226,.F.); #132583=ORIENTED_EDGE('',*,*,#94227,.F.); #132584=ORIENTED_EDGE('',*,*,#94228,.T.); #132585=ORIENTED_EDGE('',*,*,#94229,.T.); #132586=ORIENTED_EDGE('',*,*,#94224,.F.); #132587=ORIENTED_EDGE('',*,*,#94230,.F.); #132588=ORIENTED_EDGE('',*,*,#94231,.T.); #132589=ORIENTED_EDGE('',*,*,#94232,.T.); #132590=ORIENTED_EDGE('',*,*,#94228,.F.); #132591=ORIENTED_EDGE('',*,*,#94233,.F.); #132592=ORIENTED_EDGE('',*,*,#94226,.T.); #132593=ORIENTED_EDGE('',*,*,#94234,.T.); #132594=ORIENTED_EDGE('',*,*,#94231,.F.); #132595=ORIENTED_EDGE('',*,*,#94234,.F.); #132596=ORIENTED_EDGE('',*,*,#94225,.F.); #132597=ORIENTED_EDGE('',*,*,#94229,.F.); #132598=ORIENTED_EDGE('',*,*,#94232,.F.); #132599=ORIENTED_EDGE('',*,*,#94235,.F.); #132600=ORIENTED_EDGE('',*,*,#94236,.T.); #132601=ORIENTED_EDGE('',*,*,#94237,.T.); #132602=ORIENTED_EDGE('',*,*,#94238,.F.); #132603=ORIENTED_EDGE('',*,*,#94239,.F.); #132604=ORIENTED_EDGE('',*,*,#94240,.T.); #132605=ORIENTED_EDGE('',*,*,#94241,.T.); #132606=ORIENTED_EDGE('',*,*,#94236,.F.); #132607=ORIENTED_EDGE('',*,*,#94242,.F.); #132608=ORIENTED_EDGE('',*,*,#94243,.T.); #132609=ORIENTED_EDGE('',*,*,#94244,.T.); #132610=ORIENTED_EDGE('',*,*,#94240,.F.); #132611=ORIENTED_EDGE('',*,*,#94245,.F.); #132612=ORIENTED_EDGE('',*,*,#94238,.T.); #132613=ORIENTED_EDGE('',*,*,#94246,.T.); #132614=ORIENTED_EDGE('',*,*,#94243,.F.); #132615=ORIENTED_EDGE('',*,*,#94246,.F.); #132616=ORIENTED_EDGE('',*,*,#94237,.F.); #132617=ORIENTED_EDGE('',*,*,#94241,.F.); #132618=ORIENTED_EDGE('',*,*,#94244,.F.); #132619=ORIENTED_EDGE('',*,*,#94247,.F.); #132620=ORIENTED_EDGE('',*,*,#94248,.T.); #132621=ORIENTED_EDGE('',*,*,#94249,.T.); #132622=ORIENTED_EDGE('',*,*,#94250,.F.); #132623=ORIENTED_EDGE('',*,*,#94251,.F.); #132624=ORIENTED_EDGE('',*,*,#94252,.T.); #132625=ORIENTED_EDGE('',*,*,#94253,.T.); #132626=ORIENTED_EDGE('',*,*,#94248,.F.); #132627=ORIENTED_EDGE('',*,*,#94254,.F.); #132628=ORIENTED_EDGE('',*,*,#94255,.T.); #132629=ORIENTED_EDGE('',*,*,#94256,.T.); #132630=ORIENTED_EDGE('',*,*,#94252,.F.); #132631=ORIENTED_EDGE('',*,*,#94257,.F.); #132632=ORIENTED_EDGE('',*,*,#94258,.T.); #132633=ORIENTED_EDGE('',*,*,#94259,.T.); #132634=ORIENTED_EDGE('',*,*,#94255,.F.); #132635=ORIENTED_EDGE('',*,*,#94260,.F.); #132636=ORIENTED_EDGE('',*,*,#94261,.T.); #132637=ORIENTED_EDGE('',*,*,#94262,.T.); #132638=ORIENTED_EDGE('',*,*,#94258,.F.); #132639=ORIENTED_EDGE('',*,*,#94263,.F.); #132640=ORIENTED_EDGE('',*,*,#94264,.T.); #132641=ORIENTED_EDGE('',*,*,#94265,.T.); #132642=ORIENTED_EDGE('',*,*,#94261,.F.); #132643=ORIENTED_EDGE('',*,*,#94266,.F.); #132644=ORIENTED_EDGE('',*,*,#94267,.T.); #132645=ORIENTED_EDGE('',*,*,#94268,.T.); #132646=ORIENTED_EDGE('',*,*,#94264,.F.); #132647=ORIENTED_EDGE('',*,*,#94269,.F.); #132648=ORIENTED_EDGE('',*,*,#94270,.T.); #132649=ORIENTED_EDGE('',*,*,#94271,.T.); #132650=ORIENTED_EDGE('',*,*,#94267,.F.); #132651=ORIENTED_EDGE('',*,*,#94272,.F.); #132652=ORIENTED_EDGE('',*,*,#94273,.T.); #132653=ORIENTED_EDGE('',*,*,#94274,.T.); #132654=ORIENTED_EDGE('',*,*,#94270,.F.); #132655=ORIENTED_EDGE('',*,*,#94275,.F.); #132656=ORIENTED_EDGE('',*,*,#94276,.T.); #132657=ORIENTED_EDGE('',*,*,#94277,.T.); #132658=ORIENTED_EDGE('',*,*,#94273,.F.); #132659=ORIENTED_EDGE('',*,*,#94278,.F.); #132660=ORIENTED_EDGE('',*,*,#94279,.T.); #132661=ORIENTED_EDGE('',*,*,#94280,.T.); #132662=ORIENTED_EDGE('',*,*,#94276,.F.); #132663=ORIENTED_EDGE('',*,*,#94281,.F.); #132664=ORIENTED_EDGE('',*,*,#94282,.T.); #132665=ORIENTED_EDGE('',*,*,#94283,.T.); #132666=ORIENTED_EDGE('',*,*,#94279,.F.); #132667=ORIENTED_EDGE('',*,*,#94284,.F.); #132668=ORIENTED_EDGE('',*,*,#94285,.T.); #132669=ORIENTED_EDGE('',*,*,#94286,.T.); #132670=ORIENTED_EDGE('',*,*,#94282,.F.); #132671=ORIENTED_EDGE('',*,*,#94287,.F.); #132672=ORIENTED_EDGE('',*,*,#94288,.T.); #132673=ORIENTED_EDGE('',*,*,#94289,.T.); #132674=ORIENTED_EDGE('',*,*,#94285,.F.); #132675=ORIENTED_EDGE('',*,*,#94290,.F.); #132676=ORIENTED_EDGE('',*,*,#94291,.T.); #132677=ORIENTED_EDGE('',*,*,#94292,.T.); #132678=ORIENTED_EDGE('',*,*,#94288,.F.); #132679=ORIENTED_EDGE('',*,*,#94293,.F.); #132680=ORIENTED_EDGE('',*,*,#94294,.T.); #132681=ORIENTED_EDGE('',*,*,#94295,.T.); #132682=ORIENTED_EDGE('',*,*,#94291,.F.); #132683=ORIENTED_EDGE('',*,*,#94296,.F.); #132684=ORIENTED_EDGE('',*,*,#94297,.T.); #132685=ORIENTED_EDGE('',*,*,#94298,.T.); #132686=ORIENTED_EDGE('',*,*,#94294,.F.); #132687=ORIENTED_EDGE('',*,*,#94299,.F.); #132688=ORIENTED_EDGE('',*,*,#94300,.T.); #132689=ORIENTED_EDGE('',*,*,#94301,.T.); #132690=ORIENTED_EDGE('',*,*,#94297,.F.); #132691=ORIENTED_EDGE('',*,*,#94302,.F.); #132692=ORIENTED_EDGE('',*,*,#94303,.T.); #132693=ORIENTED_EDGE('',*,*,#94304,.T.); #132694=ORIENTED_EDGE('',*,*,#94300,.F.); #132695=ORIENTED_EDGE('',*,*,#94305,.F.); #132696=ORIENTED_EDGE('',*,*,#94306,.T.); #132697=ORIENTED_EDGE('',*,*,#94307,.T.); #132698=ORIENTED_EDGE('',*,*,#94303,.F.); #132699=ORIENTED_EDGE('',*,*,#94308,.F.); #132700=ORIENTED_EDGE('',*,*,#94309,.T.); #132701=ORIENTED_EDGE('',*,*,#94310,.T.); #132702=ORIENTED_EDGE('',*,*,#94306,.F.); #132703=ORIENTED_EDGE('',*,*,#94311,.F.); #132704=ORIENTED_EDGE('',*,*,#94312,.T.); #132705=ORIENTED_EDGE('',*,*,#94313,.T.); #132706=ORIENTED_EDGE('',*,*,#94309,.F.); #132707=ORIENTED_EDGE('',*,*,#94314,.F.); #132708=ORIENTED_EDGE('',*,*,#94315,.T.); #132709=ORIENTED_EDGE('',*,*,#94316,.T.); #132710=ORIENTED_EDGE('',*,*,#94312,.F.); #132711=ORIENTED_EDGE('',*,*,#94317,.F.); #132712=ORIENTED_EDGE('',*,*,#94318,.T.); #132713=ORIENTED_EDGE('',*,*,#94319,.T.); #132714=ORIENTED_EDGE('',*,*,#94315,.F.); #132715=ORIENTED_EDGE('',*,*,#94320,.F.); #132716=ORIENTED_EDGE('',*,*,#94321,.T.); #132717=ORIENTED_EDGE('',*,*,#94322,.T.); #132718=ORIENTED_EDGE('',*,*,#94318,.F.); #132719=ORIENTED_EDGE('',*,*,#94323,.F.); #132720=ORIENTED_EDGE('',*,*,#94324,.T.); #132721=ORIENTED_EDGE('',*,*,#94325,.T.); #132722=ORIENTED_EDGE('',*,*,#94321,.F.); #132723=ORIENTED_EDGE('',*,*,#94326,.F.); #132724=ORIENTED_EDGE('',*,*,#94327,.T.); #132725=ORIENTED_EDGE('',*,*,#94328,.T.); #132726=ORIENTED_EDGE('',*,*,#94324,.F.); #132727=ORIENTED_EDGE('',*,*,#94329,.F.); #132728=ORIENTED_EDGE('',*,*,#94330,.T.); #132729=ORIENTED_EDGE('',*,*,#94331,.T.); #132730=ORIENTED_EDGE('',*,*,#94327,.F.); #132731=ORIENTED_EDGE('',*,*,#94332,.F.); #132732=ORIENTED_EDGE('',*,*,#94250,.T.); #132733=ORIENTED_EDGE('',*,*,#94333,.T.); #132734=ORIENTED_EDGE('',*,*,#94330,.F.); #132735=ORIENTED_EDGE('',*,*,#94333,.F.); #132736=ORIENTED_EDGE('',*,*,#94249,.F.); #132737=ORIENTED_EDGE('',*,*,#94253,.F.); #132738=ORIENTED_EDGE('',*,*,#94256,.F.); #132739=ORIENTED_EDGE('',*,*,#94259,.F.); #132740=ORIENTED_EDGE('',*,*,#94262,.F.); #132741=ORIENTED_EDGE('',*,*,#94265,.F.); #132742=ORIENTED_EDGE('',*,*,#94268,.F.); #132743=ORIENTED_EDGE('',*,*,#94271,.F.); #132744=ORIENTED_EDGE('',*,*,#94274,.F.); #132745=ORIENTED_EDGE('',*,*,#94277,.F.); #132746=ORIENTED_EDGE('',*,*,#94280,.F.); #132747=ORIENTED_EDGE('',*,*,#94283,.F.); #132748=ORIENTED_EDGE('',*,*,#94286,.F.); #132749=ORIENTED_EDGE('',*,*,#94289,.F.); #132750=ORIENTED_EDGE('',*,*,#94292,.F.); #132751=ORIENTED_EDGE('',*,*,#94295,.F.); #132752=ORIENTED_EDGE('',*,*,#94298,.F.); #132753=ORIENTED_EDGE('',*,*,#94301,.F.); #132754=ORIENTED_EDGE('',*,*,#94304,.F.); #132755=ORIENTED_EDGE('',*,*,#94307,.F.); #132756=ORIENTED_EDGE('',*,*,#94310,.F.); #132757=ORIENTED_EDGE('',*,*,#94313,.F.); #132758=ORIENTED_EDGE('',*,*,#94316,.F.); #132759=ORIENTED_EDGE('',*,*,#94319,.F.); #132760=ORIENTED_EDGE('',*,*,#94322,.F.); #132761=ORIENTED_EDGE('',*,*,#94325,.F.); #132762=ORIENTED_EDGE('',*,*,#94328,.F.); #132763=ORIENTED_EDGE('',*,*,#94331,.F.); #132764=ORIENTED_EDGE('',*,*,#94334,.F.); #132765=ORIENTED_EDGE('',*,*,#94335,.T.); #132766=ORIENTED_EDGE('',*,*,#94336,.T.); #132767=ORIENTED_EDGE('',*,*,#94337,.F.); #132768=ORIENTED_EDGE('',*,*,#94338,.F.); #132769=ORIENTED_EDGE('',*,*,#94339,.T.); #132770=ORIENTED_EDGE('',*,*,#94340,.T.); #132771=ORIENTED_EDGE('',*,*,#94335,.F.); #132772=ORIENTED_EDGE('',*,*,#94341,.F.); #132773=ORIENTED_EDGE('',*,*,#94342,.T.); #132774=ORIENTED_EDGE('',*,*,#94343,.T.); #132775=ORIENTED_EDGE('',*,*,#94339,.F.); #132776=ORIENTED_EDGE('',*,*,#94344,.F.); #132777=ORIENTED_EDGE('',*,*,#94345,.T.); #132778=ORIENTED_EDGE('',*,*,#94346,.T.); #132779=ORIENTED_EDGE('',*,*,#94342,.F.); #132780=ORIENTED_EDGE('',*,*,#94347,.F.); #132781=ORIENTED_EDGE('',*,*,#94348,.T.); #132782=ORIENTED_EDGE('',*,*,#94349,.T.); #132783=ORIENTED_EDGE('',*,*,#94345,.F.); #132784=ORIENTED_EDGE('',*,*,#94350,.F.); #132785=ORIENTED_EDGE('',*,*,#94351,.T.); #132786=ORIENTED_EDGE('',*,*,#94352,.T.); #132787=ORIENTED_EDGE('',*,*,#94348,.F.); #132788=ORIENTED_EDGE('',*,*,#94353,.F.); #132789=ORIENTED_EDGE('',*,*,#94354,.T.); #132790=ORIENTED_EDGE('',*,*,#94355,.T.); #132791=ORIENTED_EDGE('',*,*,#94351,.F.); #132792=ORIENTED_EDGE('',*,*,#94356,.F.); #132793=ORIENTED_EDGE('',*,*,#94357,.T.); #132794=ORIENTED_EDGE('',*,*,#94358,.T.); #132795=ORIENTED_EDGE('',*,*,#94354,.F.); #132796=ORIENTED_EDGE('',*,*,#94359,.F.); #132797=ORIENTED_EDGE('',*,*,#94360,.T.); #132798=ORIENTED_EDGE('',*,*,#94361,.T.); #132799=ORIENTED_EDGE('',*,*,#94357,.F.); #132800=ORIENTED_EDGE('',*,*,#94362,.F.); #132801=ORIENTED_EDGE('',*,*,#94363,.T.); #132802=ORIENTED_EDGE('',*,*,#94364,.T.); #132803=ORIENTED_EDGE('',*,*,#94360,.F.); #132804=ORIENTED_EDGE('',*,*,#94365,.F.); #132805=ORIENTED_EDGE('',*,*,#94366,.T.); #132806=ORIENTED_EDGE('',*,*,#94367,.T.); #132807=ORIENTED_EDGE('',*,*,#94363,.F.); #132808=ORIENTED_EDGE('',*,*,#94368,.F.); #132809=ORIENTED_EDGE('',*,*,#94369,.T.); #132810=ORIENTED_EDGE('',*,*,#94370,.T.); #132811=ORIENTED_EDGE('',*,*,#94366,.F.); #132812=ORIENTED_EDGE('',*,*,#94371,.F.); #132813=ORIENTED_EDGE('',*,*,#94372,.T.); #132814=ORIENTED_EDGE('',*,*,#94373,.T.); #132815=ORIENTED_EDGE('',*,*,#94369,.F.); #132816=ORIENTED_EDGE('',*,*,#94374,.F.); #132817=ORIENTED_EDGE('',*,*,#94375,.T.); #132818=ORIENTED_EDGE('',*,*,#94376,.T.); #132819=ORIENTED_EDGE('',*,*,#94372,.F.); #132820=ORIENTED_EDGE('',*,*,#94377,.F.); #132821=ORIENTED_EDGE('',*,*,#94378,.T.); #132822=ORIENTED_EDGE('',*,*,#94379,.T.); #132823=ORIENTED_EDGE('',*,*,#94375,.F.); #132824=ORIENTED_EDGE('',*,*,#94380,.F.); #132825=ORIENTED_EDGE('',*,*,#94381,.T.); #132826=ORIENTED_EDGE('',*,*,#94382,.T.); #132827=ORIENTED_EDGE('',*,*,#94378,.F.); #132828=ORIENTED_EDGE('',*,*,#94383,.F.); #132829=ORIENTED_EDGE('',*,*,#94384,.T.); #132830=ORIENTED_EDGE('',*,*,#94385,.T.); #132831=ORIENTED_EDGE('',*,*,#94381,.F.); #132832=ORIENTED_EDGE('',*,*,#94386,.F.); #132833=ORIENTED_EDGE('',*,*,#94387,.T.); #132834=ORIENTED_EDGE('',*,*,#94388,.T.); #132835=ORIENTED_EDGE('',*,*,#94384,.F.); #132836=ORIENTED_EDGE('',*,*,#94389,.F.); #132837=ORIENTED_EDGE('',*,*,#94390,.T.); #132838=ORIENTED_EDGE('',*,*,#94391,.T.); #132839=ORIENTED_EDGE('',*,*,#94387,.F.); #132840=ORIENTED_EDGE('',*,*,#94392,.F.); #132841=ORIENTED_EDGE('',*,*,#94393,.T.); #132842=ORIENTED_EDGE('',*,*,#94394,.T.); #132843=ORIENTED_EDGE('',*,*,#94390,.F.); #132844=ORIENTED_EDGE('',*,*,#94395,.F.); #132845=ORIENTED_EDGE('',*,*,#94396,.T.); #132846=ORIENTED_EDGE('',*,*,#94397,.T.); #132847=ORIENTED_EDGE('',*,*,#94393,.F.); #132848=ORIENTED_EDGE('',*,*,#94398,.F.); #132849=ORIENTED_EDGE('',*,*,#94399,.T.); #132850=ORIENTED_EDGE('',*,*,#94400,.T.); #132851=ORIENTED_EDGE('',*,*,#94396,.F.); #132852=ORIENTED_EDGE('',*,*,#94401,.F.); #132853=ORIENTED_EDGE('',*,*,#94402,.T.); #132854=ORIENTED_EDGE('',*,*,#94403,.T.); #132855=ORIENTED_EDGE('',*,*,#94399,.F.); #132856=ORIENTED_EDGE('',*,*,#94404,.F.); #132857=ORIENTED_EDGE('',*,*,#94405,.T.); #132858=ORIENTED_EDGE('',*,*,#94406,.T.); #132859=ORIENTED_EDGE('',*,*,#94402,.F.); #132860=ORIENTED_EDGE('',*,*,#94407,.F.); #132861=ORIENTED_EDGE('',*,*,#94408,.T.); #132862=ORIENTED_EDGE('',*,*,#94409,.T.); #132863=ORIENTED_EDGE('',*,*,#94405,.F.); #132864=ORIENTED_EDGE('',*,*,#94410,.F.); #132865=ORIENTED_EDGE('',*,*,#94411,.T.); #132866=ORIENTED_EDGE('',*,*,#94412,.T.); #132867=ORIENTED_EDGE('',*,*,#94408,.F.); #132868=ORIENTED_EDGE('',*,*,#94413,.F.); #132869=ORIENTED_EDGE('',*,*,#94414,.T.); #132870=ORIENTED_EDGE('',*,*,#94415,.T.); #132871=ORIENTED_EDGE('',*,*,#94411,.F.); #132872=ORIENTED_EDGE('',*,*,#94416,.F.); #132873=ORIENTED_EDGE('',*,*,#94417,.T.); #132874=ORIENTED_EDGE('',*,*,#94418,.T.); #132875=ORIENTED_EDGE('',*,*,#94414,.F.); #132876=ORIENTED_EDGE('',*,*,#94419,.F.); #132877=ORIENTED_EDGE('',*,*,#94420,.T.); #132878=ORIENTED_EDGE('',*,*,#94421,.T.); #132879=ORIENTED_EDGE('',*,*,#94417,.F.); #132880=ORIENTED_EDGE('',*,*,#94422,.F.); #132881=ORIENTED_EDGE('',*,*,#94423,.T.); #132882=ORIENTED_EDGE('',*,*,#94424,.T.); #132883=ORIENTED_EDGE('',*,*,#94420,.F.); #132884=ORIENTED_EDGE('',*,*,#94425,.F.); #132885=ORIENTED_EDGE('',*,*,#94426,.T.); #132886=ORIENTED_EDGE('',*,*,#94427,.T.); #132887=ORIENTED_EDGE('',*,*,#94423,.F.); #132888=ORIENTED_EDGE('',*,*,#94428,.F.); #132889=ORIENTED_EDGE('',*,*,#94429,.T.); #132890=ORIENTED_EDGE('',*,*,#94430,.T.); #132891=ORIENTED_EDGE('',*,*,#94426,.F.); #132892=ORIENTED_EDGE('',*,*,#94431,.F.); #132893=ORIENTED_EDGE('',*,*,#94432,.T.); #132894=ORIENTED_EDGE('',*,*,#94433,.T.); #132895=ORIENTED_EDGE('',*,*,#94429,.F.); #132896=ORIENTED_EDGE('',*,*,#94434,.F.); #132897=ORIENTED_EDGE('',*,*,#94337,.T.); #132898=ORIENTED_EDGE('',*,*,#94435,.T.); #132899=ORIENTED_EDGE('',*,*,#94432,.F.); #132900=ORIENTED_EDGE('',*,*,#94435,.F.); #132901=ORIENTED_EDGE('',*,*,#94336,.F.); #132902=ORIENTED_EDGE('',*,*,#94340,.F.); #132903=ORIENTED_EDGE('',*,*,#94343,.F.); #132904=ORIENTED_EDGE('',*,*,#94346,.F.); #132905=ORIENTED_EDGE('',*,*,#94349,.F.); #132906=ORIENTED_EDGE('',*,*,#94352,.F.); #132907=ORIENTED_EDGE('',*,*,#94355,.F.); #132908=ORIENTED_EDGE('',*,*,#94358,.F.); #132909=ORIENTED_EDGE('',*,*,#94361,.F.); #132910=ORIENTED_EDGE('',*,*,#94364,.F.); #132911=ORIENTED_EDGE('',*,*,#94367,.F.); #132912=ORIENTED_EDGE('',*,*,#94370,.F.); #132913=ORIENTED_EDGE('',*,*,#94373,.F.); #132914=ORIENTED_EDGE('',*,*,#94376,.F.); #132915=ORIENTED_EDGE('',*,*,#94379,.F.); #132916=ORIENTED_EDGE('',*,*,#94382,.F.); #132917=ORIENTED_EDGE('',*,*,#94385,.F.); #132918=ORIENTED_EDGE('',*,*,#94388,.F.); #132919=ORIENTED_EDGE('',*,*,#94391,.F.); #132920=ORIENTED_EDGE('',*,*,#94394,.F.); #132921=ORIENTED_EDGE('',*,*,#94397,.F.); #132922=ORIENTED_EDGE('',*,*,#94400,.F.); #132923=ORIENTED_EDGE('',*,*,#94403,.F.); #132924=ORIENTED_EDGE('',*,*,#94406,.F.); #132925=ORIENTED_EDGE('',*,*,#94409,.F.); #132926=ORIENTED_EDGE('',*,*,#94412,.F.); #132927=ORIENTED_EDGE('',*,*,#94415,.F.); #132928=ORIENTED_EDGE('',*,*,#94418,.F.); #132929=ORIENTED_EDGE('',*,*,#94421,.F.); #132930=ORIENTED_EDGE('',*,*,#94424,.F.); #132931=ORIENTED_EDGE('',*,*,#94427,.F.); #132932=ORIENTED_EDGE('',*,*,#94430,.F.); #132933=ORIENTED_EDGE('',*,*,#94433,.F.); #132934=ORIENTED_EDGE('',*,*,#94436,.F.); #132935=ORIENTED_EDGE('',*,*,#94437,.T.); #132936=ORIENTED_EDGE('',*,*,#94438,.T.); #132937=ORIENTED_EDGE('',*,*,#94439,.F.); #132938=ORIENTED_EDGE('',*,*,#94440,.F.); #132939=ORIENTED_EDGE('',*,*,#94441,.T.); #132940=ORIENTED_EDGE('',*,*,#94442,.T.); #132941=ORIENTED_EDGE('',*,*,#94437,.F.); #132942=ORIENTED_EDGE('',*,*,#94443,.F.); #132943=ORIENTED_EDGE('',*,*,#94444,.T.); #132944=ORIENTED_EDGE('',*,*,#94445,.T.); #132945=ORIENTED_EDGE('',*,*,#94441,.F.); #132946=ORIENTED_EDGE('',*,*,#94446,.F.); #132947=ORIENTED_EDGE('',*,*,#94447,.T.); #132948=ORIENTED_EDGE('',*,*,#94448,.T.); #132949=ORIENTED_EDGE('',*,*,#94444,.F.); #132950=ORIENTED_EDGE('',*,*,#94449,.F.); #132951=ORIENTED_EDGE('',*,*,#94450,.T.); #132952=ORIENTED_EDGE('',*,*,#94451,.T.); #132953=ORIENTED_EDGE('',*,*,#94447,.F.); #132954=ORIENTED_EDGE('',*,*,#94452,.F.); #132955=ORIENTED_EDGE('',*,*,#94453,.T.); #132956=ORIENTED_EDGE('',*,*,#94454,.T.); #132957=ORIENTED_EDGE('',*,*,#94450,.F.); #132958=ORIENTED_EDGE('',*,*,#94455,.F.); #132959=ORIENTED_EDGE('',*,*,#94456,.T.); #132960=ORIENTED_EDGE('',*,*,#94457,.T.); #132961=ORIENTED_EDGE('',*,*,#94453,.F.); #132962=ORIENTED_EDGE('',*,*,#94458,.F.); #132963=ORIENTED_EDGE('',*,*,#94459,.T.); #132964=ORIENTED_EDGE('',*,*,#94460,.T.); #132965=ORIENTED_EDGE('',*,*,#94456,.F.); #132966=ORIENTED_EDGE('',*,*,#94461,.F.); #132967=ORIENTED_EDGE('',*,*,#94462,.T.); #132968=ORIENTED_EDGE('',*,*,#94463,.T.); #132969=ORIENTED_EDGE('',*,*,#94459,.F.); #132970=ORIENTED_EDGE('',*,*,#94464,.F.); #132971=ORIENTED_EDGE('',*,*,#94465,.T.); #132972=ORIENTED_EDGE('',*,*,#94466,.T.); #132973=ORIENTED_EDGE('',*,*,#94462,.F.); #132974=ORIENTED_EDGE('',*,*,#94467,.F.); #132975=ORIENTED_EDGE('',*,*,#94468,.T.); #132976=ORIENTED_EDGE('',*,*,#94469,.T.); #132977=ORIENTED_EDGE('',*,*,#94465,.F.); #132978=ORIENTED_EDGE('',*,*,#94470,.F.); #132979=ORIENTED_EDGE('',*,*,#94471,.T.); #132980=ORIENTED_EDGE('',*,*,#94472,.T.); #132981=ORIENTED_EDGE('',*,*,#94468,.F.); #132982=ORIENTED_EDGE('',*,*,#94473,.F.); #132983=ORIENTED_EDGE('',*,*,#94474,.T.); #132984=ORIENTED_EDGE('',*,*,#94475,.T.); #132985=ORIENTED_EDGE('',*,*,#94471,.F.); #132986=ORIENTED_EDGE('',*,*,#94476,.F.); #132987=ORIENTED_EDGE('',*,*,#94477,.T.); #132988=ORIENTED_EDGE('',*,*,#94478,.T.); #132989=ORIENTED_EDGE('',*,*,#94474,.F.); #132990=ORIENTED_EDGE('',*,*,#94479,.F.); #132991=ORIENTED_EDGE('',*,*,#94480,.T.); #132992=ORIENTED_EDGE('',*,*,#94481,.T.); #132993=ORIENTED_EDGE('',*,*,#94477,.F.); #132994=ORIENTED_EDGE('',*,*,#94482,.F.); #132995=ORIENTED_EDGE('',*,*,#94483,.T.); #132996=ORIENTED_EDGE('',*,*,#94484,.T.); #132997=ORIENTED_EDGE('',*,*,#94480,.F.); #132998=ORIENTED_EDGE('',*,*,#94485,.F.); #132999=ORIENTED_EDGE('',*,*,#94486,.T.); #133000=ORIENTED_EDGE('',*,*,#94487,.T.); #133001=ORIENTED_EDGE('',*,*,#94483,.F.); #133002=ORIENTED_EDGE('',*,*,#94488,.F.); #133003=ORIENTED_EDGE('',*,*,#94489,.T.); #133004=ORIENTED_EDGE('',*,*,#94490,.T.); #133005=ORIENTED_EDGE('',*,*,#94486,.F.); #133006=ORIENTED_EDGE('',*,*,#94491,.F.); #133007=ORIENTED_EDGE('',*,*,#94492,.T.); #133008=ORIENTED_EDGE('',*,*,#94493,.T.); #133009=ORIENTED_EDGE('',*,*,#94489,.F.); #133010=ORIENTED_EDGE('',*,*,#94494,.F.); #133011=ORIENTED_EDGE('',*,*,#94495,.T.); #133012=ORIENTED_EDGE('',*,*,#94496,.T.); #133013=ORIENTED_EDGE('',*,*,#94492,.F.); #133014=ORIENTED_EDGE('',*,*,#94497,.F.); #133015=ORIENTED_EDGE('',*,*,#94498,.T.); #133016=ORIENTED_EDGE('',*,*,#94499,.T.); #133017=ORIENTED_EDGE('',*,*,#94495,.F.); #133018=ORIENTED_EDGE('',*,*,#94500,.F.); #133019=ORIENTED_EDGE('',*,*,#94501,.T.); #133020=ORIENTED_EDGE('',*,*,#94502,.T.); #133021=ORIENTED_EDGE('',*,*,#94498,.F.); #133022=ORIENTED_EDGE('',*,*,#94503,.F.); #133023=ORIENTED_EDGE('',*,*,#94504,.T.); #133024=ORIENTED_EDGE('',*,*,#94505,.T.); #133025=ORIENTED_EDGE('',*,*,#94501,.F.); #133026=ORIENTED_EDGE('',*,*,#94506,.F.); #133027=ORIENTED_EDGE('',*,*,#94507,.T.); #133028=ORIENTED_EDGE('',*,*,#94508,.T.); #133029=ORIENTED_EDGE('',*,*,#94504,.F.); #133030=ORIENTED_EDGE('',*,*,#94509,.F.); #133031=ORIENTED_EDGE('',*,*,#94510,.T.); #133032=ORIENTED_EDGE('',*,*,#94511,.T.); #133033=ORIENTED_EDGE('',*,*,#94507,.F.); #133034=ORIENTED_EDGE('',*,*,#94512,.F.); #133035=ORIENTED_EDGE('',*,*,#94513,.T.); #133036=ORIENTED_EDGE('',*,*,#94514,.T.); #133037=ORIENTED_EDGE('',*,*,#94510,.F.); #133038=ORIENTED_EDGE('',*,*,#94515,.F.); #133039=ORIENTED_EDGE('',*,*,#94516,.T.); #133040=ORIENTED_EDGE('',*,*,#94517,.T.); #133041=ORIENTED_EDGE('',*,*,#94513,.F.); #133042=ORIENTED_EDGE('',*,*,#94518,.F.); #133043=ORIENTED_EDGE('',*,*,#94519,.T.); #133044=ORIENTED_EDGE('',*,*,#94520,.T.); #133045=ORIENTED_EDGE('',*,*,#94516,.F.); #133046=ORIENTED_EDGE('',*,*,#94521,.F.); #133047=ORIENTED_EDGE('',*,*,#94522,.T.); #133048=ORIENTED_EDGE('',*,*,#94523,.T.); #133049=ORIENTED_EDGE('',*,*,#94519,.F.); #133050=ORIENTED_EDGE('',*,*,#94524,.F.); #133051=ORIENTED_EDGE('',*,*,#94525,.T.); #133052=ORIENTED_EDGE('',*,*,#94526,.T.); #133053=ORIENTED_EDGE('',*,*,#94522,.F.); #133054=ORIENTED_EDGE('',*,*,#94527,.F.); #133055=ORIENTED_EDGE('',*,*,#94528,.T.); #133056=ORIENTED_EDGE('',*,*,#94529,.T.); #133057=ORIENTED_EDGE('',*,*,#94525,.F.); #133058=ORIENTED_EDGE('',*,*,#94530,.F.); #133059=ORIENTED_EDGE('',*,*,#94531,.T.); #133060=ORIENTED_EDGE('',*,*,#94532,.T.); #133061=ORIENTED_EDGE('',*,*,#94528,.F.); #133062=ORIENTED_EDGE('',*,*,#94533,.F.); #133063=ORIENTED_EDGE('',*,*,#94534,.T.); #133064=ORIENTED_EDGE('',*,*,#94535,.T.); #133065=ORIENTED_EDGE('',*,*,#94531,.F.); #133066=ORIENTED_EDGE('',*,*,#94536,.F.); #133067=ORIENTED_EDGE('',*,*,#94537,.T.); #133068=ORIENTED_EDGE('',*,*,#94538,.T.); #133069=ORIENTED_EDGE('',*,*,#94534,.F.); #133070=ORIENTED_EDGE('',*,*,#94539,.F.); #133071=ORIENTED_EDGE('',*,*,#94540,.T.); #133072=ORIENTED_EDGE('',*,*,#94541,.T.); #133073=ORIENTED_EDGE('',*,*,#94537,.F.); #133074=ORIENTED_EDGE('',*,*,#94542,.F.); #133075=ORIENTED_EDGE('',*,*,#94543,.T.); #133076=ORIENTED_EDGE('',*,*,#94544,.T.); #133077=ORIENTED_EDGE('',*,*,#94540,.F.); #133078=ORIENTED_EDGE('',*,*,#94545,.F.); #133079=ORIENTED_EDGE('',*,*,#94546,.T.); #133080=ORIENTED_EDGE('',*,*,#94547,.T.); #133081=ORIENTED_EDGE('',*,*,#94543,.F.); #133082=ORIENTED_EDGE('',*,*,#94548,.F.); #133083=ORIENTED_EDGE('',*,*,#94549,.T.); #133084=ORIENTED_EDGE('',*,*,#94550,.T.); #133085=ORIENTED_EDGE('',*,*,#94546,.F.); #133086=ORIENTED_EDGE('',*,*,#94551,.F.); #133087=ORIENTED_EDGE('',*,*,#94439,.T.); #133088=ORIENTED_EDGE('',*,*,#94552,.T.); #133089=ORIENTED_EDGE('',*,*,#94549,.F.); #133090=ORIENTED_EDGE('',*,*,#94552,.F.); #133091=ORIENTED_EDGE('',*,*,#94438,.F.); #133092=ORIENTED_EDGE('',*,*,#94442,.F.); #133093=ORIENTED_EDGE('',*,*,#94445,.F.); #133094=ORIENTED_EDGE('',*,*,#94448,.F.); #133095=ORIENTED_EDGE('',*,*,#94451,.F.); #133096=ORIENTED_EDGE('',*,*,#94454,.F.); #133097=ORIENTED_EDGE('',*,*,#94457,.F.); #133098=ORIENTED_EDGE('',*,*,#94460,.F.); #133099=ORIENTED_EDGE('',*,*,#94463,.F.); #133100=ORIENTED_EDGE('',*,*,#94466,.F.); #133101=ORIENTED_EDGE('',*,*,#94469,.F.); #133102=ORIENTED_EDGE('',*,*,#94472,.F.); #133103=ORIENTED_EDGE('',*,*,#94475,.F.); #133104=ORIENTED_EDGE('',*,*,#94478,.F.); #133105=ORIENTED_EDGE('',*,*,#94481,.F.); #133106=ORIENTED_EDGE('',*,*,#94484,.F.); #133107=ORIENTED_EDGE('',*,*,#94487,.F.); #133108=ORIENTED_EDGE('',*,*,#94490,.F.); #133109=ORIENTED_EDGE('',*,*,#94493,.F.); #133110=ORIENTED_EDGE('',*,*,#94496,.F.); #133111=ORIENTED_EDGE('',*,*,#94499,.F.); #133112=ORIENTED_EDGE('',*,*,#94502,.F.); #133113=ORIENTED_EDGE('',*,*,#94505,.F.); #133114=ORIENTED_EDGE('',*,*,#94508,.F.); #133115=ORIENTED_EDGE('',*,*,#94511,.F.); #133116=ORIENTED_EDGE('',*,*,#94514,.F.); #133117=ORIENTED_EDGE('',*,*,#94517,.F.); #133118=ORIENTED_EDGE('',*,*,#94520,.F.); #133119=ORIENTED_EDGE('',*,*,#94523,.F.); #133120=ORIENTED_EDGE('',*,*,#94526,.F.); #133121=ORIENTED_EDGE('',*,*,#94529,.F.); #133122=ORIENTED_EDGE('',*,*,#94532,.F.); #133123=ORIENTED_EDGE('',*,*,#94535,.F.); #133124=ORIENTED_EDGE('',*,*,#94538,.F.); #133125=ORIENTED_EDGE('',*,*,#94541,.F.); #133126=ORIENTED_EDGE('',*,*,#94544,.F.); #133127=ORIENTED_EDGE('',*,*,#94547,.F.); #133128=ORIENTED_EDGE('',*,*,#94550,.F.); #133129=ORIENTED_EDGE('',*,*,#94553,.F.); #133130=ORIENTED_EDGE('',*,*,#94554,.T.); #133131=ORIENTED_EDGE('',*,*,#94555,.T.); #133132=ORIENTED_EDGE('',*,*,#94556,.F.); #133133=ORIENTED_EDGE('',*,*,#94557,.F.); #133134=ORIENTED_EDGE('',*,*,#94558,.T.); #133135=ORIENTED_EDGE('',*,*,#94559,.T.); #133136=ORIENTED_EDGE('',*,*,#94554,.F.); #133137=ORIENTED_EDGE('',*,*,#94560,.F.); #133138=ORIENTED_EDGE('',*,*,#94561,.T.); #133139=ORIENTED_EDGE('',*,*,#94562,.T.); #133140=ORIENTED_EDGE('',*,*,#94558,.F.); #133141=ORIENTED_EDGE('',*,*,#94563,.F.); #133142=ORIENTED_EDGE('',*,*,#94564,.T.); #133143=ORIENTED_EDGE('',*,*,#94565,.T.); #133144=ORIENTED_EDGE('',*,*,#94561,.F.); #133145=ORIENTED_EDGE('',*,*,#94566,.F.); #133146=ORIENTED_EDGE('',*,*,#94567,.T.); #133147=ORIENTED_EDGE('',*,*,#94568,.T.); #133148=ORIENTED_EDGE('',*,*,#94564,.F.); #133149=ORIENTED_EDGE('',*,*,#94569,.F.); #133150=ORIENTED_EDGE('',*,*,#94570,.T.); #133151=ORIENTED_EDGE('',*,*,#94571,.T.); #133152=ORIENTED_EDGE('',*,*,#94567,.F.); #133153=ORIENTED_EDGE('',*,*,#94572,.F.); #133154=ORIENTED_EDGE('',*,*,#94573,.T.); #133155=ORIENTED_EDGE('',*,*,#94574,.T.); #133156=ORIENTED_EDGE('',*,*,#94570,.F.); #133157=ORIENTED_EDGE('',*,*,#94575,.F.); #133158=ORIENTED_EDGE('',*,*,#94576,.T.); #133159=ORIENTED_EDGE('',*,*,#94577,.T.); #133160=ORIENTED_EDGE('',*,*,#94573,.F.); #133161=ORIENTED_EDGE('',*,*,#94578,.F.); #133162=ORIENTED_EDGE('',*,*,#94579,.T.); #133163=ORIENTED_EDGE('',*,*,#94580,.T.); #133164=ORIENTED_EDGE('',*,*,#94576,.F.); #133165=ORIENTED_EDGE('',*,*,#94581,.F.); #133166=ORIENTED_EDGE('',*,*,#94556,.T.); #133167=ORIENTED_EDGE('',*,*,#94582,.T.); #133168=ORIENTED_EDGE('',*,*,#94579,.F.); #133169=ORIENTED_EDGE('',*,*,#94583,.F.); #133170=ORIENTED_EDGE('',*,*,#94584,.T.); #133171=ORIENTED_EDGE('',*,*,#94585,.T.); #133172=ORIENTED_EDGE('',*,*,#94586,.F.); #133173=ORIENTED_EDGE('',*,*,#94587,.F.); #133174=ORIENTED_EDGE('',*,*,#94588,.T.); #133175=ORIENTED_EDGE('',*,*,#94589,.T.); #133176=ORIENTED_EDGE('',*,*,#94584,.F.); #133177=ORIENTED_EDGE('',*,*,#94590,.F.); #133178=ORIENTED_EDGE('',*,*,#94591,.T.); #133179=ORIENTED_EDGE('',*,*,#94592,.T.); #133180=ORIENTED_EDGE('',*,*,#94588,.F.); #133181=ORIENTED_EDGE('',*,*,#94593,.F.); #133182=ORIENTED_EDGE('',*,*,#94594,.T.); #133183=ORIENTED_EDGE('',*,*,#94595,.T.); #133184=ORIENTED_EDGE('',*,*,#94591,.F.); #133185=ORIENTED_EDGE('',*,*,#94596,.F.); #133186=ORIENTED_EDGE('',*,*,#94597,.T.); #133187=ORIENTED_EDGE('',*,*,#94598,.T.); #133188=ORIENTED_EDGE('',*,*,#94594,.F.); #133189=ORIENTED_EDGE('',*,*,#94599,.F.); #133190=ORIENTED_EDGE('',*,*,#94600,.T.); #133191=ORIENTED_EDGE('',*,*,#94601,.T.); #133192=ORIENTED_EDGE('',*,*,#94597,.F.); #133193=ORIENTED_EDGE('',*,*,#94602,.F.); #133194=ORIENTED_EDGE('',*,*,#94603,.T.); #133195=ORIENTED_EDGE('',*,*,#94604,.T.); #133196=ORIENTED_EDGE('',*,*,#94600,.F.); #133197=ORIENTED_EDGE('',*,*,#94605,.F.); #133198=ORIENTED_EDGE('',*,*,#94606,.T.); #133199=ORIENTED_EDGE('',*,*,#94607,.T.); #133200=ORIENTED_EDGE('',*,*,#94603,.F.); #133201=ORIENTED_EDGE('',*,*,#94608,.F.); #133202=ORIENTED_EDGE('',*,*,#94609,.T.); #133203=ORIENTED_EDGE('',*,*,#94610,.T.); #133204=ORIENTED_EDGE('',*,*,#94606,.F.); #133205=ORIENTED_EDGE('',*,*,#94611,.F.); #133206=ORIENTED_EDGE('',*,*,#94612,.T.); #133207=ORIENTED_EDGE('',*,*,#94613,.T.); #133208=ORIENTED_EDGE('',*,*,#94609,.F.); #133209=ORIENTED_EDGE('',*,*,#94614,.F.); #133210=ORIENTED_EDGE('',*,*,#94586,.T.); #133211=ORIENTED_EDGE('',*,*,#94615,.T.); #133212=ORIENTED_EDGE('',*,*,#94612,.F.); #133213=ORIENTED_EDGE('',*,*,#94615,.F.); #133214=ORIENTED_EDGE('',*,*,#94585,.F.); #133215=ORIENTED_EDGE('',*,*,#94589,.F.); #133216=ORIENTED_EDGE('',*,*,#94592,.F.); #133217=ORIENTED_EDGE('',*,*,#94595,.F.); #133218=ORIENTED_EDGE('',*,*,#94598,.F.); #133219=ORIENTED_EDGE('',*,*,#94601,.F.); #133220=ORIENTED_EDGE('',*,*,#94604,.F.); #133221=ORIENTED_EDGE('',*,*,#94607,.F.); #133222=ORIENTED_EDGE('',*,*,#94610,.F.); #133223=ORIENTED_EDGE('',*,*,#94613,.F.); #133224=ORIENTED_EDGE('',*,*,#94582,.F.); #133225=ORIENTED_EDGE('',*,*,#94555,.F.); #133226=ORIENTED_EDGE('',*,*,#94559,.F.); #133227=ORIENTED_EDGE('',*,*,#94562,.F.); #133228=ORIENTED_EDGE('',*,*,#94565,.F.); #133229=ORIENTED_EDGE('',*,*,#94568,.F.); #133230=ORIENTED_EDGE('',*,*,#94571,.F.); #133231=ORIENTED_EDGE('',*,*,#94574,.F.); #133232=ORIENTED_EDGE('',*,*,#94577,.F.); #133233=ORIENTED_EDGE('',*,*,#94580,.F.); #133234=ORIENTED_EDGE('',*,*,#94616,.F.); #133235=ORIENTED_EDGE('',*,*,#94617,.T.); #133236=ORIENTED_EDGE('',*,*,#94618,.T.); #133237=ORIENTED_EDGE('',*,*,#94619,.F.); #133238=ORIENTED_EDGE('',*,*,#94620,.F.); #133239=ORIENTED_EDGE('',*,*,#94621,.T.); #133240=ORIENTED_EDGE('',*,*,#94622,.T.); #133241=ORIENTED_EDGE('',*,*,#94617,.F.); #133242=ORIENTED_EDGE('',*,*,#94623,.F.); #133243=ORIENTED_EDGE('',*,*,#94624,.T.); #133244=ORIENTED_EDGE('',*,*,#94625,.T.); #133245=ORIENTED_EDGE('',*,*,#94621,.F.); #133246=ORIENTED_EDGE('',*,*,#94626,.F.); #133247=ORIENTED_EDGE('',*,*,#94627,.T.); #133248=ORIENTED_EDGE('',*,*,#94628,.T.); #133249=ORIENTED_EDGE('',*,*,#94624,.F.); #133250=ORIENTED_EDGE('',*,*,#94629,.F.); #133251=ORIENTED_EDGE('',*,*,#94630,.T.); #133252=ORIENTED_EDGE('',*,*,#94631,.T.); #133253=ORIENTED_EDGE('',*,*,#94627,.F.); #133254=ORIENTED_EDGE('',*,*,#94632,.F.); #133255=ORIENTED_EDGE('',*,*,#94633,.T.); #133256=ORIENTED_EDGE('',*,*,#94634,.T.); #133257=ORIENTED_EDGE('',*,*,#94630,.F.); #133258=ORIENTED_EDGE('',*,*,#94635,.F.); #133259=ORIENTED_EDGE('',*,*,#94636,.T.); #133260=ORIENTED_EDGE('',*,*,#94637,.T.); #133261=ORIENTED_EDGE('',*,*,#94633,.F.); #133262=ORIENTED_EDGE('',*,*,#94638,.F.); #133263=ORIENTED_EDGE('',*,*,#94639,.T.); #133264=ORIENTED_EDGE('',*,*,#94640,.T.); #133265=ORIENTED_EDGE('',*,*,#94636,.F.); #133266=ORIENTED_EDGE('',*,*,#94641,.F.); #133267=ORIENTED_EDGE('',*,*,#94642,.T.); #133268=ORIENTED_EDGE('',*,*,#94643,.T.); #133269=ORIENTED_EDGE('',*,*,#94639,.F.); #133270=ORIENTED_EDGE('',*,*,#94644,.F.); #133271=ORIENTED_EDGE('',*,*,#94645,.T.); #133272=ORIENTED_EDGE('',*,*,#94646,.T.); #133273=ORIENTED_EDGE('',*,*,#94642,.F.); #133274=ORIENTED_EDGE('',*,*,#94647,.F.); #133275=ORIENTED_EDGE('',*,*,#94648,.T.); #133276=ORIENTED_EDGE('',*,*,#94649,.T.); #133277=ORIENTED_EDGE('',*,*,#94645,.F.); #133278=ORIENTED_EDGE('',*,*,#94650,.F.); #133279=ORIENTED_EDGE('',*,*,#94651,.T.); #133280=ORIENTED_EDGE('',*,*,#94652,.T.); #133281=ORIENTED_EDGE('',*,*,#94648,.F.); #133282=ORIENTED_EDGE('',*,*,#94653,.F.); #133283=ORIENTED_EDGE('',*,*,#94654,.T.); #133284=ORIENTED_EDGE('',*,*,#94655,.T.); #133285=ORIENTED_EDGE('',*,*,#94651,.F.); #133286=ORIENTED_EDGE('',*,*,#94656,.F.); #133287=ORIENTED_EDGE('',*,*,#94657,.T.); #133288=ORIENTED_EDGE('',*,*,#94658,.T.); #133289=ORIENTED_EDGE('',*,*,#94654,.F.); #133290=ORIENTED_EDGE('',*,*,#94659,.F.); #133291=ORIENTED_EDGE('',*,*,#94660,.T.); #133292=ORIENTED_EDGE('',*,*,#94661,.T.); #133293=ORIENTED_EDGE('',*,*,#94657,.F.); #133294=ORIENTED_EDGE('',*,*,#94662,.F.); #133295=ORIENTED_EDGE('',*,*,#94663,.T.); #133296=ORIENTED_EDGE('',*,*,#94664,.T.); #133297=ORIENTED_EDGE('',*,*,#94660,.F.); #133298=ORIENTED_EDGE('',*,*,#94665,.F.); #133299=ORIENTED_EDGE('',*,*,#94666,.T.); #133300=ORIENTED_EDGE('',*,*,#94667,.T.); #133301=ORIENTED_EDGE('',*,*,#94663,.F.); #133302=ORIENTED_EDGE('',*,*,#94668,.F.); #133303=ORIENTED_EDGE('',*,*,#94669,.T.); #133304=ORIENTED_EDGE('',*,*,#94670,.T.); #133305=ORIENTED_EDGE('',*,*,#94666,.F.); #133306=ORIENTED_EDGE('',*,*,#94671,.F.); #133307=ORIENTED_EDGE('',*,*,#94672,.T.); #133308=ORIENTED_EDGE('',*,*,#94673,.T.); #133309=ORIENTED_EDGE('',*,*,#94669,.F.); #133310=ORIENTED_EDGE('',*,*,#94674,.F.); #133311=ORIENTED_EDGE('',*,*,#94675,.T.); #133312=ORIENTED_EDGE('',*,*,#94676,.T.); #133313=ORIENTED_EDGE('',*,*,#94672,.F.); #133314=ORIENTED_EDGE('',*,*,#94677,.F.); #133315=ORIENTED_EDGE('',*,*,#94678,.T.); #133316=ORIENTED_EDGE('',*,*,#94679,.T.); #133317=ORIENTED_EDGE('',*,*,#94675,.F.); #133318=ORIENTED_EDGE('',*,*,#94680,.F.); #133319=ORIENTED_EDGE('',*,*,#94681,.T.); #133320=ORIENTED_EDGE('',*,*,#94682,.T.); #133321=ORIENTED_EDGE('',*,*,#94678,.F.); #133322=ORIENTED_EDGE('',*,*,#94683,.F.); #133323=ORIENTED_EDGE('',*,*,#94684,.T.); #133324=ORIENTED_EDGE('',*,*,#94685,.T.); #133325=ORIENTED_EDGE('',*,*,#94681,.F.); #133326=ORIENTED_EDGE('',*,*,#94686,.F.); #133327=ORIENTED_EDGE('',*,*,#94687,.T.); #133328=ORIENTED_EDGE('',*,*,#94688,.T.); #133329=ORIENTED_EDGE('',*,*,#94684,.F.); #133330=ORIENTED_EDGE('',*,*,#94689,.F.); #133331=ORIENTED_EDGE('',*,*,#94690,.T.); #133332=ORIENTED_EDGE('',*,*,#94691,.T.); #133333=ORIENTED_EDGE('',*,*,#94687,.F.); #133334=ORIENTED_EDGE('',*,*,#94692,.F.); #133335=ORIENTED_EDGE('',*,*,#94693,.T.); #133336=ORIENTED_EDGE('',*,*,#94694,.T.); #133337=ORIENTED_EDGE('',*,*,#94690,.F.); #133338=ORIENTED_EDGE('',*,*,#94695,.F.); #133339=ORIENTED_EDGE('',*,*,#94696,.T.); #133340=ORIENTED_EDGE('',*,*,#94697,.T.); #133341=ORIENTED_EDGE('',*,*,#94693,.F.); #133342=ORIENTED_EDGE('',*,*,#94698,.F.); #133343=ORIENTED_EDGE('',*,*,#94699,.T.); #133344=ORIENTED_EDGE('',*,*,#94700,.T.); #133345=ORIENTED_EDGE('',*,*,#94696,.F.); #133346=ORIENTED_EDGE('',*,*,#94701,.F.); #133347=ORIENTED_EDGE('',*,*,#94702,.T.); #133348=ORIENTED_EDGE('',*,*,#94703,.T.); #133349=ORIENTED_EDGE('',*,*,#94699,.F.); #133350=ORIENTED_EDGE('',*,*,#94704,.F.); #133351=ORIENTED_EDGE('',*,*,#94705,.T.); #133352=ORIENTED_EDGE('',*,*,#94706,.T.); #133353=ORIENTED_EDGE('',*,*,#94702,.F.); #133354=ORIENTED_EDGE('',*,*,#94707,.F.); #133355=ORIENTED_EDGE('',*,*,#94708,.T.); #133356=ORIENTED_EDGE('',*,*,#94709,.T.); #133357=ORIENTED_EDGE('',*,*,#94705,.F.); #133358=ORIENTED_EDGE('',*,*,#94710,.F.); #133359=ORIENTED_EDGE('',*,*,#94711,.T.); #133360=ORIENTED_EDGE('',*,*,#94712,.T.); #133361=ORIENTED_EDGE('',*,*,#94708,.F.); #133362=ORIENTED_EDGE('',*,*,#94713,.F.); #133363=ORIENTED_EDGE('',*,*,#94714,.T.); #133364=ORIENTED_EDGE('',*,*,#94715,.T.); #133365=ORIENTED_EDGE('',*,*,#94711,.F.); #133366=ORIENTED_EDGE('',*,*,#94716,.F.); #133367=ORIENTED_EDGE('',*,*,#94717,.T.); #133368=ORIENTED_EDGE('',*,*,#94718,.T.); #133369=ORIENTED_EDGE('',*,*,#94714,.F.); #133370=ORIENTED_EDGE('',*,*,#94719,.F.); #133371=ORIENTED_EDGE('',*,*,#94720,.T.); #133372=ORIENTED_EDGE('',*,*,#94721,.T.); #133373=ORIENTED_EDGE('',*,*,#94717,.F.); #133374=ORIENTED_EDGE('',*,*,#94722,.F.); #133375=ORIENTED_EDGE('',*,*,#94723,.T.); #133376=ORIENTED_EDGE('',*,*,#94724,.T.); #133377=ORIENTED_EDGE('',*,*,#94720,.F.); #133378=ORIENTED_EDGE('',*,*,#94725,.F.); #133379=ORIENTED_EDGE('',*,*,#94726,.T.); #133380=ORIENTED_EDGE('',*,*,#94727,.T.); #133381=ORIENTED_EDGE('',*,*,#94723,.F.); #133382=ORIENTED_EDGE('',*,*,#94728,.F.); #133383=ORIENTED_EDGE('',*,*,#94729,.T.); #133384=ORIENTED_EDGE('',*,*,#94730,.T.); #133385=ORIENTED_EDGE('',*,*,#94726,.F.); #133386=ORIENTED_EDGE('',*,*,#94731,.F.); #133387=ORIENTED_EDGE('',*,*,#94732,.T.); #133388=ORIENTED_EDGE('',*,*,#94733,.T.); #133389=ORIENTED_EDGE('',*,*,#94729,.F.); #133390=ORIENTED_EDGE('',*,*,#94734,.F.); #133391=ORIENTED_EDGE('',*,*,#94735,.T.); #133392=ORIENTED_EDGE('',*,*,#94736,.T.); #133393=ORIENTED_EDGE('',*,*,#94732,.F.); #133394=ORIENTED_EDGE('',*,*,#94737,.F.); #133395=ORIENTED_EDGE('',*,*,#94738,.T.); #133396=ORIENTED_EDGE('',*,*,#94739,.T.); #133397=ORIENTED_EDGE('',*,*,#94735,.F.); #133398=ORIENTED_EDGE('',*,*,#94740,.F.); #133399=ORIENTED_EDGE('',*,*,#94741,.T.); #133400=ORIENTED_EDGE('',*,*,#94742,.T.); #133401=ORIENTED_EDGE('',*,*,#94738,.F.); #133402=ORIENTED_EDGE('',*,*,#94743,.F.); #133403=ORIENTED_EDGE('',*,*,#94744,.T.); #133404=ORIENTED_EDGE('',*,*,#94745,.T.); #133405=ORIENTED_EDGE('',*,*,#94741,.F.); #133406=ORIENTED_EDGE('',*,*,#94746,.F.); #133407=ORIENTED_EDGE('',*,*,#94747,.T.); #133408=ORIENTED_EDGE('',*,*,#94748,.T.); #133409=ORIENTED_EDGE('',*,*,#94744,.F.); #133410=ORIENTED_EDGE('',*,*,#94749,.F.); #133411=ORIENTED_EDGE('',*,*,#94750,.T.); #133412=ORIENTED_EDGE('',*,*,#94751,.T.); #133413=ORIENTED_EDGE('',*,*,#94747,.F.); #133414=ORIENTED_EDGE('',*,*,#94752,.F.); #133415=ORIENTED_EDGE('',*,*,#94753,.T.); #133416=ORIENTED_EDGE('',*,*,#94754,.T.); #133417=ORIENTED_EDGE('',*,*,#94750,.F.); #133418=ORIENTED_EDGE('',*,*,#94755,.F.); #133419=ORIENTED_EDGE('',*,*,#94619,.T.); #133420=ORIENTED_EDGE('',*,*,#94756,.T.); #133421=ORIENTED_EDGE('',*,*,#94753,.F.); #133422=ORIENTED_EDGE('',*,*,#94756,.F.); #133423=ORIENTED_EDGE('',*,*,#94618,.F.); #133424=ORIENTED_EDGE('',*,*,#94622,.F.); #133425=ORIENTED_EDGE('',*,*,#94625,.F.); #133426=ORIENTED_EDGE('',*,*,#94628,.F.); #133427=ORIENTED_EDGE('',*,*,#94631,.F.); #133428=ORIENTED_EDGE('',*,*,#94634,.F.); #133429=ORIENTED_EDGE('',*,*,#94637,.F.); #133430=ORIENTED_EDGE('',*,*,#94640,.F.); #133431=ORIENTED_EDGE('',*,*,#94643,.F.); #133432=ORIENTED_EDGE('',*,*,#94646,.F.); #133433=ORIENTED_EDGE('',*,*,#94649,.F.); #133434=ORIENTED_EDGE('',*,*,#94652,.F.); #133435=ORIENTED_EDGE('',*,*,#94655,.F.); #133436=ORIENTED_EDGE('',*,*,#94658,.F.); #133437=ORIENTED_EDGE('',*,*,#94661,.F.); #133438=ORIENTED_EDGE('',*,*,#94664,.F.); #133439=ORIENTED_EDGE('',*,*,#94667,.F.); #133440=ORIENTED_EDGE('',*,*,#94670,.F.); #133441=ORIENTED_EDGE('',*,*,#94673,.F.); #133442=ORIENTED_EDGE('',*,*,#94676,.F.); #133443=ORIENTED_EDGE('',*,*,#94679,.F.); #133444=ORIENTED_EDGE('',*,*,#94682,.F.); #133445=ORIENTED_EDGE('',*,*,#94685,.F.); #133446=ORIENTED_EDGE('',*,*,#94688,.F.); #133447=ORIENTED_EDGE('',*,*,#94691,.F.); #133448=ORIENTED_EDGE('',*,*,#94694,.F.); #133449=ORIENTED_EDGE('',*,*,#94697,.F.); #133450=ORIENTED_EDGE('',*,*,#94700,.F.); #133451=ORIENTED_EDGE('',*,*,#94703,.F.); #133452=ORIENTED_EDGE('',*,*,#94706,.F.); #133453=ORIENTED_EDGE('',*,*,#94709,.F.); #133454=ORIENTED_EDGE('',*,*,#94712,.F.); #133455=ORIENTED_EDGE('',*,*,#94715,.F.); #133456=ORIENTED_EDGE('',*,*,#94718,.F.); #133457=ORIENTED_EDGE('',*,*,#94721,.F.); #133458=ORIENTED_EDGE('',*,*,#94724,.F.); #133459=ORIENTED_EDGE('',*,*,#94727,.F.); #133460=ORIENTED_EDGE('',*,*,#94730,.F.); #133461=ORIENTED_EDGE('',*,*,#94733,.F.); #133462=ORIENTED_EDGE('',*,*,#94736,.F.); #133463=ORIENTED_EDGE('',*,*,#94739,.F.); #133464=ORIENTED_EDGE('',*,*,#94742,.F.); #133465=ORIENTED_EDGE('',*,*,#94745,.F.); #133466=ORIENTED_EDGE('',*,*,#94748,.F.); #133467=ORIENTED_EDGE('',*,*,#94751,.F.); #133468=ORIENTED_EDGE('',*,*,#94754,.F.); #133469=ORIENTED_EDGE('',*,*,#94757,.F.); #133470=ORIENTED_EDGE('',*,*,#94758,.T.); #133471=ORIENTED_EDGE('',*,*,#94759,.T.); #133472=ORIENTED_EDGE('',*,*,#94760,.F.); #133473=ORIENTED_EDGE('',*,*,#94761,.F.); #133474=ORIENTED_EDGE('',*,*,#94762,.T.); #133475=ORIENTED_EDGE('',*,*,#94763,.T.); #133476=ORIENTED_EDGE('',*,*,#94758,.F.); #133477=ORIENTED_EDGE('',*,*,#94764,.F.); #133478=ORIENTED_EDGE('',*,*,#94765,.T.); #133479=ORIENTED_EDGE('',*,*,#94766,.T.); #133480=ORIENTED_EDGE('',*,*,#94762,.F.); #133481=ORIENTED_EDGE('',*,*,#94767,.F.); #133482=ORIENTED_EDGE('',*,*,#94768,.T.); #133483=ORIENTED_EDGE('',*,*,#94769,.T.); #133484=ORIENTED_EDGE('',*,*,#94765,.F.); #133485=ORIENTED_EDGE('',*,*,#94770,.F.); #133486=ORIENTED_EDGE('',*,*,#94771,.T.); #133487=ORIENTED_EDGE('',*,*,#94772,.T.); #133488=ORIENTED_EDGE('',*,*,#94768,.F.); #133489=ORIENTED_EDGE('',*,*,#94773,.F.); #133490=ORIENTED_EDGE('',*,*,#94774,.T.); #133491=ORIENTED_EDGE('',*,*,#94775,.T.); #133492=ORIENTED_EDGE('',*,*,#94771,.F.); #133493=ORIENTED_EDGE('',*,*,#94776,.F.); #133494=ORIENTED_EDGE('',*,*,#94777,.T.); #133495=ORIENTED_EDGE('',*,*,#94778,.T.); #133496=ORIENTED_EDGE('',*,*,#94774,.F.); #133497=ORIENTED_EDGE('',*,*,#94779,.F.); #133498=ORIENTED_EDGE('',*,*,#94780,.T.); #133499=ORIENTED_EDGE('',*,*,#94781,.T.); #133500=ORIENTED_EDGE('',*,*,#94777,.F.); #133501=ORIENTED_EDGE('',*,*,#94782,.F.); #133502=ORIENTED_EDGE('',*,*,#94783,.T.); #133503=ORIENTED_EDGE('',*,*,#94784,.T.); #133504=ORIENTED_EDGE('',*,*,#94780,.F.); #133505=ORIENTED_EDGE('',*,*,#94785,.F.); #133506=ORIENTED_EDGE('',*,*,#94786,.T.); #133507=ORIENTED_EDGE('',*,*,#94787,.T.); #133508=ORIENTED_EDGE('',*,*,#94783,.F.); #133509=ORIENTED_EDGE('',*,*,#94788,.F.); #133510=ORIENTED_EDGE('',*,*,#94789,.T.); #133511=ORIENTED_EDGE('',*,*,#94790,.T.); #133512=ORIENTED_EDGE('',*,*,#94786,.F.); #133513=ORIENTED_EDGE('',*,*,#94791,.F.); #133514=ORIENTED_EDGE('',*,*,#94792,.T.); #133515=ORIENTED_EDGE('',*,*,#94793,.T.); #133516=ORIENTED_EDGE('',*,*,#94789,.F.); #133517=ORIENTED_EDGE('',*,*,#94794,.F.); #133518=ORIENTED_EDGE('',*,*,#94795,.T.); #133519=ORIENTED_EDGE('',*,*,#94796,.T.); #133520=ORIENTED_EDGE('',*,*,#94792,.F.); #133521=ORIENTED_EDGE('',*,*,#94797,.F.); #133522=ORIENTED_EDGE('',*,*,#94798,.T.); #133523=ORIENTED_EDGE('',*,*,#94799,.T.); #133524=ORIENTED_EDGE('',*,*,#94795,.F.); #133525=ORIENTED_EDGE('',*,*,#94800,.F.); #133526=ORIENTED_EDGE('',*,*,#94801,.T.); #133527=ORIENTED_EDGE('',*,*,#94802,.T.); #133528=ORIENTED_EDGE('',*,*,#94798,.F.); #133529=ORIENTED_EDGE('',*,*,#94803,.F.); #133530=ORIENTED_EDGE('',*,*,#94804,.T.); #133531=ORIENTED_EDGE('',*,*,#94805,.T.); #133532=ORIENTED_EDGE('',*,*,#94801,.F.); #133533=ORIENTED_EDGE('',*,*,#94806,.F.); #133534=ORIENTED_EDGE('',*,*,#94807,.T.); #133535=ORIENTED_EDGE('',*,*,#94808,.T.); #133536=ORIENTED_EDGE('',*,*,#94804,.F.); #133537=ORIENTED_EDGE('',*,*,#94809,.F.); #133538=ORIENTED_EDGE('',*,*,#94810,.T.); #133539=ORIENTED_EDGE('',*,*,#94811,.T.); #133540=ORIENTED_EDGE('',*,*,#94807,.F.); #133541=ORIENTED_EDGE('',*,*,#94812,.F.); #133542=ORIENTED_EDGE('',*,*,#94813,.T.); #133543=ORIENTED_EDGE('',*,*,#94814,.T.); #133544=ORIENTED_EDGE('',*,*,#94810,.F.); #133545=ORIENTED_EDGE('',*,*,#94815,.F.); #133546=ORIENTED_EDGE('',*,*,#94816,.T.); #133547=ORIENTED_EDGE('',*,*,#94817,.T.); #133548=ORIENTED_EDGE('',*,*,#94813,.F.); #133549=ORIENTED_EDGE('',*,*,#94818,.F.); #133550=ORIENTED_EDGE('',*,*,#94819,.T.); #133551=ORIENTED_EDGE('',*,*,#94820,.T.); #133552=ORIENTED_EDGE('',*,*,#94816,.F.); #133553=ORIENTED_EDGE('',*,*,#94821,.F.); #133554=ORIENTED_EDGE('',*,*,#94822,.T.); #133555=ORIENTED_EDGE('',*,*,#94823,.T.); #133556=ORIENTED_EDGE('',*,*,#94819,.F.); #133557=ORIENTED_EDGE('',*,*,#94824,.F.); #133558=ORIENTED_EDGE('',*,*,#94825,.T.); #133559=ORIENTED_EDGE('',*,*,#94826,.T.); #133560=ORIENTED_EDGE('',*,*,#94822,.F.); #133561=ORIENTED_EDGE('',*,*,#94827,.F.); #133562=ORIENTED_EDGE('',*,*,#94828,.T.); #133563=ORIENTED_EDGE('',*,*,#94829,.T.); #133564=ORIENTED_EDGE('',*,*,#94825,.F.); #133565=ORIENTED_EDGE('',*,*,#94830,.F.); #133566=ORIENTED_EDGE('',*,*,#94831,.T.); #133567=ORIENTED_EDGE('',*,*,#94832,.T.); #133568=ORIENTED_EDGE('',*,*,#94828,.F.); #133569=ORIENTED_EDGE('',*,*,#94833,.F.); #133570=ORIENTED_EDGE('',*,*,#94834,.T.); #133571=ORIENTED_EDGE('',*,*,#94835,.T.); #133572=ORIENTED_EDGE('',*,*,#94831,.F.); #133573=ORIENTED_EDGE('',*,*,#94836,.F.); #133574=ORIENTED_EDGE('',*,*,#94837,.T.); #133575=ORIENTED_EDGE('',*,*,#94838,.T.); #133576=ORIENTED_EDGE('',*,*,#94834,.F.); #133577=ORIENTED_EDGE('',*,*,#94839,.F.); #133578=ORIENTED_EDGE('',*,*,#94760,.T.); #133579=ORIENTED_EDGE('',*,*,#94840,.T.); #133580=ORIENTED_EDGE('',*,*,#94837,.F.); #133581=ORIENTED_EDGE('',*,*,#94840,.F.); #133582=ORIENTED_EDGE('',*,*,#94759,.F.); #133583=ORIENTED_EDGE('',*,*,#94763,.F.); #133584=ORIENTED_EDGE('',*,*,#94766,.F.); #133585=ORIENTED_EDGE('',*,*,#94769,.F.); #133586=ORIENTED_EDGE('',*,*,#94772,.F.); #133587=ORIENTED_EDGE('',*,*,#94775,.F.); #133588=ORIENTED_EDGE('',*,*,#94778,.F.); #133589=ORIENTED_EDGE('',*,*,#94781,.F.); #133590=ORIENTED_EDGE('',*,*,#94784,.F.); #133591=ORIENTED_EDGE('',*,*,#94787,.F.); #133592=ORIENTED_EDGE('',*,*,#94790,.F.); #133593=ORIENTED_EDGE('',*,*,#94793,.F.); #133594=ORIENTED_EDGE('',*,*,#94796,.F.); #133595=ORIENTED_EDGE('',*,*,#94799,.F.); #133596=ORIENTED_EDGE('',*,*,#94802,.F.); #133597=ORIENTED_EDGE('',*,*,#94805,.F.); #133598=ORIENTED_EDGE('',*,*,#94808,.F.); #133599=ORIENTED_EDGE('',*,*,#94811,.F.); #133600=ORIENTED_EDGE('',*,*,#94814,.F.); #133601=ORIENTED_EDGE('',*,*,#94817,.F.); #133602=ORIENTED_EDGE('',*,*,#94820,.F.); #133603=ORIENTED_EDGE('',*,*,#94823,.F.); #133604=ORIENTED_EDGE('',*,*,#94826,.F.); #133605=ORIENTED_EDGE('',*,*,#94829,.F.); #133606=ORIENTED_EDGE('',*,*,#94832,.F.); #133607=ORIENTED_EDGE('',*,*,#94835,.F.); #133608=ORIENTED_EDGE('',*,*,#94838,.F.); #133609=ORIENTED_EDGE('',*,*,#94841,.F.); #133610=ORIENTED_EDGE('',*,*,#94842,.T.); #133611=ORIENTED_EDGE('',*,*,#94843,.T.); #133612=ORIENTED_EDGE('',*,*,#94844,.F.); #133613=ORIENTED_EDGE('',*,*,#94845,.F.); #133614=ORIENTED_EDGE('',*,*,#94846,.T.); #133615=ORIENTED_EDGE('',*,*,#94847,.T.); #133616=ORIENTED_EDGE('',*,*,#94842,.F.); #133617=ORIENTED_EDGE('',*,*,#94848,.F.); #133618=ORIENTED_EDGE('',*,*,#94849,.T.); #133619=ORIENTED_EDGE('',*,*,#94850,.T.); #133620=ORIENTED_EDGE('',*,*,#94846,.F.); #133621=ORIENTED_EDGE('',*,*,#94851,.F.); #133622=ORIENTED_EDGE('',*,*,#94852,.T.); #133623=ORIENTED_EDGE('',*,*,#94853,.T.); #133624=ORIENTED_EDGE('',*,*,#94849,.F.); #133625=ORIENTED_EDGE('',*,*,#94854,.F.); #133626=ORIENTED_EDGE('',*,*,#94855,.T.); #133627=ORIENTED_EDGE('',*,*,#94856,.T.); #133628=ORIENTED_EDGE('',*,*,#94852,.F.); #133629=ORIENTED_EDGE('',*,*,#94857,.F.); #133630=ORIENTED_EDGE('',*,*,#94858,.T.); #133631=ORIENTED_EDGE('',*,*,#94859,.T.); #133632=ORIENTED_EDGE('',*,*,#94855,.F.); #133633=ORIENTED_EDGE('',*,*,#94860,.F.); #133634=ORIENTED_EDGE('',*,*,#94861,.T.); #133635=ORIENTED_EDGE('',*,*,#94862,.T.); #133636=ORIENTED_EDGE('',*,*,#94858,.F.); #133637=ORIENTED_EDGE('',*,*,#94863,.F.); #133638=ORIENTED_EDGE('',*,*,#94864,.T.); #133639=ORIENTED_EDGE('',*,*,#94865,.T.); #133640=ORIENTED_EDGE('',*,*,#94861,.F.); #133641=ORIENTED_EDGE('',*,*,#94866,.F.); #133642=ORIENTED_EDGE('',*,*,#94867,.T.); #133643=ORIENTED_EDGE('',*,*,#94868,.T.); #133644=ORIENTED_EDGE('',*,*,#94864,.F.); #133645=ORIENTED_EDGE('',*,*,#94869,.F.); #133646=ORIENTED_EDGE('',*,*,#94870,.T.); #133647=ORIENTED_EDGE('',*,*,#94871,.T.); #133648=ORIENTED_EDGE('',*,*,#94867,.F.); #133649=ORIENTED_EDGE('',*,*,#94872,.F.); #133650=ORIENTED_EDGE('',*,*,#94873,.T.); #133651=ORIENTED_EDGE('',*,*,#94874,.T.); #133652=ORIENTED_EDGE('',*,*,#94870,.F.); #133653=ORIENTED_EDGE('',*,*,#94875,.F.); #133654=ORIENTED_EDGE('',*,*,#94876,.T.); #133655=ORIENTED_EDGE('',*,*,#94877,.T.); #133656=ORIENTED_EDGE('',*,*,#94873,.F.); #133657=ORIENTED_EDGE('',*,*,#94878,.F.); #133658=ORIENTED_EDGE('',*,*,#94879,.T.); #133659=ORIENTED_EDGE('',*,*,#94880,.T.); #133660=ORIENTED_EDGE('',*,*,#94876,.F.); #133661=ORIENTED_EDGE('',*,*,#94881,.F.); #133662=ORIENTED_EDGE('',*,*,#94882,.T.); #133663=ORIENTED_EDGE('',*,*,#94883,.T.); #133664=ORIENTED_EDGE('',*,*,#94879,.F.); #133665=ORIENTED_EDGE('',*,*,#94884,.F.); #133666=ORIENTED_EDGE('',*,*,#94885,.T.); #133667=ORIENTED_EDGE('',*,*,#94886,.T.); #133668=ORIENTED_EDGE('',*,*,#94882,.F.); #133669=ORIENTED_EDGE('',*,*,#94887,.F.); #133670=ORIENTED_EDGE('',*,*,#94888,.T.); #133671=ORIENTED_EDGE('',*,*,#94889,.T.); #133672=ORIENTED_EDGE('',*,*,#94885,.F.); #133673=ORIENTED_EDGE('',*,*,#94890,.F.); #133674=ORIENTED_EDGE('',*,*,#94891,.T.); #133675=ORIENTED_EDGE('',*,*,#94892,.T.); #133676=ORIENTED_EDGE('',*,*,#94888,.F.); #133677=ORIENTED_EDGE('',*,*,#94893,.F.); #133678=ORIENTED_EDGE('',*,*,#94894,.T.); #133679=ORIENTED_EDGE('',*,*,#94895,.T.); #133680=ORIENTED_EDGE('',*,*,#94891,.F.); #133681=ORIENTED_EDGE('',*,*,#94896,.F.); #133682=ORIENTED_EDGE('',*,*,#94897,.T.); #133683=ORIENTED_EDGE('',*,*,#94898,.T.); #133684=ORIENTED_EDGE('',*,*,#94894,.F.); #133685=ORIENTED_EDGE('',*,*,#94899,.F.); #133686=ORIENTED_EDGE('',*,*,#94900,.T.); #133687=ORIENTED_EDGE('',*,*,#94901,.T.); #133688=ORIENTED_EDGE('',*,*,#94897,.F.); #133689=ORIENTED_EDGE('',*,*,#94902,.F.); #133690=ORIENTED_EDGE('',*,*,#94903,.T.); #133691=ORIENTED_EDGE('',*,*,#94904,.T.); #133692=ORIENTED_EDGE('',*,*,#94900,.F.); #133693=ORIENTED_EDGE('',*,*,#94905,.F.); #133694=ORIENTED_EDGE('',*,*,#94906,.T.); #133695=ORIENTED_EDGE('',*,*,#94907,.T.); #133696=ORIENTED_EDGE('',*,*,#94903,.F.); #133697=ORIENTED_EDGE('',*,*,#94908,.F.); #133698=ORIENTED_EDGE('',*,*,#94909,.T.); #133699=ORIENTED_EDGE('',*,*,#94910,.T.); #133700=ORIENTED_EDGE('',*,*,#94906,.F.); #133701=ORIENTED_EDGE('',*,*,#94911,.F.); #133702=ORIENTED_EDGE('',*,*,#94912,.T.); #133703=ORIENTED_EDGE('',*,*,#94913,.T.); #133704=ORIENTED_EDGE('',*,*,#94909,.F.); #133705=ORIENTED_EDGE('',*,*,#94914,.F.); #133706=ORIENTED_EDGE('',*,*,#94915,.T.); #133707=ORIENTED_EDGE('',*,*,#94916,.T.); #133708=ORIENTED_EDGE('',*,*,#94912,.F.); #133709=ORIENTED_EDGE('',*,*,#94917,.F.); #133710=ORIENTED_EDGE('',*,*,#94918,.T.); #133711=ORIENTED_EDGE('',*,*,#94919,.T.); #133712=ORIENTED_EDGE('',*,*,#94915,.F.); #133713=ORIENTED_EDGE('',*,*,#94920,.F.); #133714=ORIENTED_EDGE('',*,*,#94921,.T.); #133715=ORIENTED_EDGE('',*,*,#94922,.T.); #133716=ORIENTED_EDGE('',*,*,#94918,.F.); #133717=ORIENTED_EDGE('',*,*,#94923,.F.); #133718=ORIENTED_EDGE('',*,*,#94924,.T.); #133719=ORIENTED_EDGE('',*,*,#94925,.T.); #133720=ORIENTED_EDGE('',*,*,#94921,.F.); #133721=ORIENTED_EDGE('',*,*,#94926,.F.); #133722=ORIENTED_EDGE('',*,*,#94927,.T.); #133723=ORIENTED_EDGE('',*,*,#94928,.T.); #133724=ORIENTED_EDGE('',*,*,#94924,.F.); #133725=ORIENTED_EDGE('',*,*,#94929,.F.); #133726=ORIENTED_EDGE('',*,*,#94930,.T.); #133727=ORIENTED_EDGE('',*,*,#94931,.T.); #133728=ORIENTED_EDGE('',*,*,#94927,.F.); #133729=ORIENTED_EDGE('',*,*,#94932,.F.); #133730=ORIENTED_EDGE('',*,*,#94933,.T.); #133731=ORIENTED_EDGE('',*,*,#94934,.T.); #133732=ORIENTED_EDGE('',*,*,#94930,.F.); #133733=ORIENTED_EDGE('',*,*,#94935,.F.); #133734=ORIENTED_EDGE('',*,*,#94936,.T.); #133735=ORIENTED_EDGE('',*,*,#94937,.T.); #133736=ORIENTED_EDGE('',*,*,#94933,.F.); #133737=ORIENTED_EDGE('',*,*,#94938,.F.); #133738=ORIENTED_EDGE('',*,*,#94939,.T.); #133739=ORIENTED_EDGE('',*,*,#94940,.T.); #133740=ORIENTED_EDGE('',*,*,#94936,.F.); #133741=ORIENTED_EDGE('',*,*,#94941,.F.); #133742=ORIENTED_EDGE('',*,*,#94942,.T.); #133743=ORIENTED_EDGE('',*,*,#94943,.T.); #133744=ORIENTED_EDGE('',*,*,#94939,.F.); #133745=ORIENTED_EDGE('',*,*,#94944,.F.); #133746=ORIENTED_EDGE('',*,*,#94945,.T.); #133747=ORIENTED_EDGE('',*,*,#94946,.T.); #133748=ORIENTED_EDGE('',*,*,#94942,.F.); #133749=ORIENTED_EDGE('',*,*,#94947,.F.); #133750=ORIENTED_EDGE('',*,*,#94948,.T.); #133751=ORIENTED_EDGE('',*,*,#94949,.T.); #133752=ORIENTED_EDGE('',*,*,#94945,.F.); #133753=ORIENTED_EDGE('',*,*,#94950,.F.); #133754=ORIENTED_EDGE('',*,*,#94951,.T.); #133755=ORIENTED_EDGE('',*,*,#94952,.T.); #133756=ORIENTED_EDGE('',*,*,#94948,.F.); #133757=ORIENTED_EDGE('',*,*,#94953,.F.); #133758=ORIENTED_EDGE('',*,*,#94844,.T.); #133759=ORIENTED_EDGE('',*,*,#94954,.T.); #133760=ORIENTED_EDGE('',*,*,#94951,.F.); #133761=ORIENTED_EDGE('',*,*,#94954,.F.); #133762=ORIENTED_EDGE('',*,*,#94843,.F.); #133763=ORIENTED_EDGE('',*,*,#94847,.F.); #133764=ORIENTED_EDGE('',*,*,#94850,.F.); #133765=ORIENTED_EDGE('',*,*,#94853,.F.); #133766=ORIENTED_EDGE('',*,*,#94856,.F.); #133767=ORIENTED_EDGE('',*,*,#94859,.F.); #133768=ORIENTED_EDGE('',*,*,#94862,.F.); #133769=ORIENTED_EDGE('',*,*,#94865,.F.); #133770=ORIENTED_EDGE('',*,*,#94868,.F.); #133771=ORIENTED_EDGE('',*,*,#94871,.F.); #133772=ORIENTED_EDGE('',*,*,#94874,.F.); #133773=ORIENTED_EDGE('',*,*,#94877,.F.); #133774=ORIENTED_EDGE('',*,*,#94880,.F.); #133775=ORIENTED_EDGE('',*,*,#94883,.F.); #133776=ORIENTED_EDGE('',*,*,#94886,.F.); #133777=ORIENTED_EDGE('',*,*,#94889,.F.); #133778=ORIENTED_EDGE('',*,*,#94892,.F.); #133779=ORIENTED_EDGE('',*,*,#94895,.F.); #133780=ORIENTED_EDGE('',*,*,#94898,.F.); #133781=ORIENTED_EDGE('',*,*,#94901,.F.); #133782=ORIENTED_EDGE('',*,*,#94904,.F.); #133783=ORIENTED_EDGE('',*,*,#94907,.F.); #133784=ORIENTED_EDGE('',*,*,#94910,.F.); #133785=ORIENTED_EDGE('',*,*,#94913,.F.); #133786=ORIENTED_EDGE('',*,*,#94916,.F.); #133787=ORIENTED_EDGE('',*,*,#94919,.F.); #133788=ORIENTED_EDGE('',*,*,#94922,.F.); #133789=ORIENTED_EDGE('',*,*,#94925,.F.); #133790=ORIENTED_EDGE('',*,*,#94928,.F.); #133791=ORIENTED_EDGE('',*,*,#94931,.F.); #133792=ORIENTED_EDGE('',*,*,#94934,.F.); #133793=ORIENTED_EDGE('',*,*,#94937,.F.); #133794=ORIENTED_EDGE('',*,*,#94940,.F.); #133795=ORIENTED_EDGE('',*,*,#94943,.F.); #133796=ORIENTED_EDGE('',*,*,#94946,.F.); #133797=ORIENTED_EDGE('',*,*,#94949,.F.); #133798=ORIENTED_EDGE('',*,*,#94952,.F.); #133799=ORIENTED_EDGE('',*,*,#94955,.F.); #133800=ORIENTED_EDGE('',*,*,#94956,.T.); #133801=ORIENTED_EDGE('',*,*,#94957,.T.); #133802=ORIENTED_EDGE('',*,*,#94958,.F.); #133803=ORIENTED_EDGE('',*,*,#94959,.F.); #133804=ORIENTED_EDGE('',*,*,#94960,.T.); #133805=ORIENTED_EDGE('',*,*,#94961,.T.); #133806=ORIENTED_EDGE('',*,*,#94956,.F.); #133807=ORIENTED_EDGE('',*,*,#94962,.F.); #133808=ORIENTED_EDGE('',*,*,#94963,.T.); #133809=ORIENTED_EDGE('',*,*,#94964,.T.); #133810=ORIENTED_EDGE('',*,*,#94960,.F.); #133811=ORIENTED_EDGE('',*,*,#94965,.F.); #133812=ORIENTED_EDGE('',*,*,#94966,.T.); #133813=ORIENTED_EDGE('',*,*,#94967,.T.); #133814=ORIENTED_EDGE('',*,*,#94963,.F.); #133815=ORIENTED_EDGE('',*,*,#94968,.F.); #133816=ORIENTED_EDGE('',*,*,#94969,.T.); #133817=ORIENTED_EDGE('',*,*,#94970,.T.); #133818=ORIENTED_EDGE('',*,*,#94966,.F.); #133819=ORIENTED_EDGE('',*,*,#94971,.F.); #133820=ORIENTED_EDGE('',*,*,#94972,.T.); #133821=ORIENTED_EDGE('',*,*,#94973,.T.); #133822=ORIENTED_EDGE('',*,*,#94969,.F.); #133823=ORIENTED_EDGE('',*,*,#94974,.F.); #133824=ORIENTED_EDGE('',*,*,#94975,.T.); #133825=ORIENTED_EDGE('',*,*,#94976,.T.); #133826=ORIENTED_EDGE('',*,*,#94972,.F.); #133827=ORIENTED_EDGE('',*,*,#94977,.F.); #133828=ORIENTED_EDGE('',*,*,#94978,.T.); #133829=ORIENTED_EDGE('',*,*,#94979,.T.); #133830=ORIENTED_EDGE('',*,*,#94975,.F.); #133831=ORIENTED_EDGE('',*,*,#94980,.F.); #133832=ORIENTED_EDGE('',*,*,#94981,.T.); #133833=ORIENTED_EDGE('',*,*,#94982,.T.); #133834=ORIENTED_EDGE('',*,*,#94978,.F.); #133835=ORIENTED_EDGE('',*,*,#94983,.F.); #133836=ORIENTED_EDGE('',*,*,#94958,.T.); #133837=ORIENTED_EDGE('',*,*,#94984,.T.); #133838=ORIENTED_EDGE('',*,*,#94981,.F.); #133839=ORIENTED_EDGE('',*,*,#94984,.F.); #133840=ORIENTED_EDGE('',*,*,#94957,.F.); #133841=ORIENTED_EDGE('',*,*,#94961,.F.); #133842=ORIENTED_EDGE('',*,*,#94964,.F.); #133843=ORIENTED_EDGE('',*,*,#94967,.F.); #133844=ORIENTED_EDGE('',*,*,#94970,.F.); #133845=ORIENTED_EDGE('',*,*,#94973,.F.); #133846=ORIENTED_EDGE('',*,*,#94976,.F.); #133847=ORIENTED_EDGE('',*,*,#94979,.F.); #133848=ORIENTED_EDGE('',*,*,#94982,.F.); #133849=ORIENTED_EDGE('',*,*,#94985,.F.); #133850=ORIENTED_EDGE('',*,*,#94986,.T.); #133851=ORIENTED_EDGE('',*,*,#94987,.T.); #133852=ORIENTED_EDGE('',*,*,#94988,.F.); #133853=ORIENTED_EDGE('',*,*,#94989,.F.); #133854=ORIENTED_EDGE('',*,*,#94990,.T.); #133855=ORIENTED_EDGE('',*,*,#94991,.T.); #133856=ORIENTED_EDGE('',*,*,#94986,.F.); #133857=ORIENTED_EDGE('',*,*,#94992,.F.); #133858=ORIENTED_EDGE('',*,*,#94993,.T.); #133859=ORIENTED_EDGE('',*,*,#94994,.T.); #133860=ORIENTED_EDGE('',*,*,#94990,.F.); #133861=ORIENTED_EDGE('',*,*,#94995,.F.); #133862=ORIENTED_EDGE('',*,*,#94996,.T.); #133863=ORIENTED_EDGE('',*,*,#94997,.T.); #133864=ORIENTED_EDGE('',*,*,#94993,.F.); #133865=ORIENTED_EDGE('',*,*,#94998,.F.); #133866=ORIENTED_EDGE('',*,*,#94999,.T.); #133867=ORIENTED_EDGE('',*,*,#95000,.T.); #133868=ORIENTED_EDGE('',*,*,#94996,.F.); #133869=ORIENTED_EDGE('',*,*,#95001,.F.); #133870=ORIENTED_EDGE('',*,*,#95002,.T.); #133871=ORIENTED_EDGE('',*,*,#95003,.T.); #133872=ORIENTED_EDGE('',*,*,#94999,.F.); #133873=ORIENTED_EDGE('',*,*,#95004,.F.); #133874=ORIENTED_EDGE('',*,*,#95005,.T.); #133875=ORIENTED_EDGE('',*,*,#95006,.T.); #133876=ORIENTED_EDGE('',*,*,#95002,.F.); #133877=ORIENTED_EDGE('',*,*,#95007,.F.); #133878=ORIENTED_EDGE('',*,*,#95008,.T.); #133879=ORIENTED_EDGE('',*,*,#95009,.T.); #133880=ORIENTED_EDGE('',*,*,#95005,.F.); #133881=ORIENTED_EDGE('',*,*,#95010,.F.); #133882=ORIENTED_EDGE('',*,*,#95011,.T.); #133883=ORIENTED_EDGE('',*,*,#95012,.T.); #133884=ORIENTED_EDGE('',*,*,#95008,.F.); #133885=ORIENTED_EDGE('',*,*,#95013,.F.); #133886=ORIENTED_EDGE('',*,*,#95014,.T.); #133887=ORIENTED_EDGE('',*,*,#95015,.T.); #133888=ORIENTED_EDGE('',*,*,#95011,.F.); #133889=ORIENTED_EDGE('',*,*,#95016,.F.); #133890=ORIENTED_EDGE('',*,*,#95017,.T.); #133891=ORIENTED_EDGE('',*,*,#95018,.T.); #133892=ORIENTED_EDGE('',*,*,#95014,.F.); #133893=ORIENTED_EDGE('',*,*,#95019,.F.); #133894=ORIENTED_EDGE('',*,*,#95020,.T.); #133895=ORIENTED_EDGE('',*,*,#95021,.T.); #133896=ORIENTED_EDGE('',*,*,#95017,.F.); #133897=ORIENTED_EDGE('',*,*,#95022,.F.); #133898=ORIENTED_EDGE('',*,*,#95023,.T.); #133899=ORIENTED_EDGE('',*,*,#95024,.T.); #133900=ORIENTED_EDGE('',*,*,#95020,.F.); #133901=ORIENTED_EDGE('',*,*,#95025,.F.); #133902=ORIENTED_EDGE('',*,*,#95026,.T.); #133903=ORIENTED_EDGE('',*,*,#95027,.T.); #133904=ORIENTED_EDGE('',*,*,#95023,.F.); #133905=ORIENTED_EDGE('',*,*,#95028,.F.); #133906=ORIENTED_EDGE('',*,*,#95029,.T.); #133907=ORIENTED_EDGE('',*,*,#95030,.T.); #133908=ORIENTED_EDGE('',*,*,#95026,.F.); #133909=ORIENTED_EDGE('',*,*,#95031,.F.); #133910=ORIENTED_EDGE('',*,*,#95032,.T.); #133911=ORIENTED_EDGE('',*,*,#95033,.T.); #133912=ORIENTED_EDGE('',*,*,#95029,.F.); #133913=ORIENTED_EDGE('',*,*,#95034,.F.); #133914=ORIENTED_EDGE('',*,*,#95035,.T.); #133915=ORIENTED_EDGE('',*,*,#95036,.T.); #133916=ORIENTED_EDGE('',*,*,#95032,.F.); #133917=ORIENTED_EDGE('',*,*,#95037,.F.); #133918=ORIENTED_EDGE('',*,*,#95038,.T.); #133919=ORIENTED_EDGE('',*,*,#95039,.T.); #133920=ORIENTED_EDGE('',*,*,#95035,.F.); #133921=ORIENTED_EDGE('',*,*,#95040,.F.); #133922=ORIENTED_EDGE('',*,*,#95041,.T.); #133923=ORIENTED_EDGE('',*,*,#95042,.T.); #133924=ORIENTED_EDGE('',*,*,#95038,.F.); #133925=ORIENTED_EDGE('',*,*,#95043,.F.); #133926=ORIENTED_EDGE('',*,*,#95044,.T.); #133927=ORIENTED_EDGE('',*,*,#95045,.T.); #133928=ORIENTED_EDGE('',*,*,#95041,.F.); #133929=ORIENTED_EDGE('',*,*,#95046,.F.); #133930=ORIENTED_EDGE('',*,*,#95047,.T.); #133931=ORIENTED_EDGE('',*,*,#95048,.T.); #133932=ORIENTED_EDGE('',*,*,#95044,.F.); #133933=ORIENTED_EDGE('',*,*,#95049,.F.); #133934=ORIENTED_EDGE('',*,*,#95050,.T.); #133935=ORIENTED_EDGE('',*,*,#95051,.T.); #133936=ORIENTED_EDGE('',*,*,#95047,.F.); #133937=ORIENTED_EDGE('',*,*,#95052,.F.); #133938=ORIENTED_EDGE('',*,*,#95053,.T.); #133939=ORIENTED_EDGE('',*,*,#95054,.T.); #133940=ORIENTED_EDGE('',*,*,#95050,.F.); #133941=ORIENTED_EDGE('',*,*,#95055,.F.); #133942=ORIENTED_EDGE('',*,*,#95056,.T.); #133943=ORIENTED_EDGE('',*,*,#95057,.T.); #133944=ORIENTED_EDGE('',*,*,#95053,.F.); #133945=ORIENTED_EDGE('',*,*,#95058,.F.); #133946=ORIENTED_EDGE('',*,*,#95059,.T.); #133947=ORIENTED_EDGE('',*,*,#95060,.T.); #133948=ORIENTED_EDGE('',*,*,#95056,.F.); #133949=ORIENTED_EDGE('',*,*,#95061,.F.); #133950=ORIENTED_EDGE('',*,*,#95062,.T.); #133951=ORIENTED_EDGE('',*,*,#95063,.T.); #133952=ORIENTED_EDGE('',*,*,#95059,.F.); #133953=ORIENTED_EDGE('',*,*,#95064,.F.); #133954=ORIENTED_EDGE('',*,*,#95065,.T.); #133955=ORIENTED_EDGE('',*,*,#95066,.T.); #133956=ORIENTED_EDGE('',*,*,#95062,.F.); #133957=ORIENTED_EDGE('',*,*,#95067,.F.); #133958=ORIENTED_EDGE('',*,*,#95068,.T.); #133959=ORIENTED_EDGE('',*,*,#95069,.T.); #133960=ORIENTED_EDGE('',*,*,#95065,.F.); #133961=ORIENTED_EDGE('',*,*,#95070,.F.); #133962=ORIENTED_EDGE('',*,*,#95071,.T.); #133963=ORIENTED_EDGE('',*,*,#95072,.T.); #133964=ORIENTED_EDGE('',*,*,#95068,.F.); #133965=ORIENTED_EDGE('',*,*,#95073,.F.); #133966=ORIENTED_EDGE('',*,*,#95074,.T.); #133967=ORIENTED_EDGE('',*,*,#95075,.T.); #133968=ORIENTED_EDGE('',*,*,#95071,.F.); #133969=ORIENTED_EDGE('',*,*,#95076,.F.); #133970=ORIENTED_EDGE('',*,*,#95077,.T.); #133971=ORIENTED_EDGE('',*,*,#95078,.T.); #133972=ORIENTED_EDGE('',*,*,#95074,.F.); #133973=ORIENTED_EDGE('',*,*,#95079,.F.); #133974=ORIENTED_EDGE('',*,*,#95080,.T.); #133975=ORIENTED_EDGE('',*,*,#95081,.T.); #133976=ORIENTED_EDGE('',*,*,#95077,.F.); #133977=ORIENTED_EDGE('',*,*,#95082,.F.); #133978=ORIENTED_EDGE('',*,*,#95083,.T.); #133979=ORIENTED_EDGE('',*,*,#95084,.T.); #133980=ORIENTED_EDGE('',*,*,#95080,.F.); #133981=ORIENTED_EDGE('',*,*,#95085,.F.); #133982=ORIENTED_EDGE('',*,*,#95086,.T.); #133983=ORIENTED_EDGE('',*,*,#95087,.T.); #133984=ORIENTED_EDGE('',*,*,#95083,.F.); #133985=ORIENTED_EDGE('',*,*,#95088,.F.); #133986=ORIENTED_EDGE('',*,*,#95089,.T.); #133987=ORIENTED_EDGE('',*,*,#95090,.T.); #133988=ORIENTED_EDGE('',*,*,#95086,.F.); #133989=ORIENTED_EDGE('',*,*,#95091,.F.); #133990=ORIENTED_EDGE('',*,*,#94988,.T.); #133991=ORIENTED_EDGE('',*,*,#95092,.T.); #133992=ORIENTED_EDGE('',*,*,#95089,.F.); #133993=ORIENTED_EDGE('',*,*,#95092,.F.); #133994=ORIENTED_EDGE('',*,*,#94987,.F.); #133995=ORIENTED_EDGE('',*,*,#94991,.F.); #133996=ORIENTED_EDGE('',*,*,#94994,.F.); #133997=ORIENTED_EDGE('',*,*,#94997,.F.); #133998=ORIENTED_EDGE('',*,*,#95000,.F.); #133999=ORIENTED_EDGE('',*,*,#95003,.F.); #134000=ORIENTED_EDGE('',*,*,#95006,.F.); #134001=ORIENTED_EDGE('',*,*,#95009,.F.); #134002=ORIENTED_EDGE('',*,*,#95012,.F.); #134003=ORIENTED_EDGE('',*,*,#95015,.F.); #134004=ORIENTED_EDGE('',*,*,#95018,.F.); #134005=ORIENTED_EDGE('',*,*,#95021,.F.); #134006=ORIENTED_EDGE('',*,*,#95024,.F.); #134007=ORIENTED_EDGE('',*,*,#95027,.F.); #134008=ORIENTED_EDGE('',*,*,#95030,.F.); #134009=ORIENTED_EDGE('',*,*,#95033,.F.); #134010=ORIENTED_EDGE('',*,*,#95036,.F.); #134011=ORIENTED_EDGE('',*,*,#95039,.F.); #134012=ORIENTED_EDGE('',*,*,#95042,.F.); #134013=ORIENTED_EDGE('',*,*,#95045,.F.); #134014=ORIENTED_EDGE('',*,*,#95048,.F.); #134015=ORIENTED_EDGE('',*,*,#95051,.F.); #134016=ORIENTED_EDGE('',*,*,#95054,.F.); #134017=ORIENTED_EDGE('',*,*,#95057,.F.); #134018=ORIENTED_EDGE('',*,*,#95060,.F.); #134019=ORIENTED_EDGE('',*,*,#95063,.F.); #134020=ORIENTED_EDGE('',*,*,#95066,.F.); #134021=ORIENTED_EDGE('',*,*,#95069,.F.); #134022=ORIENTED_EDGE('',*,*,#95072,.F.); #134023=ORIENTED_EDGE('',*,*,#95075,.F.); #134024=ORIENTED_EDGE('',*,*,#95078,.F.); #134025=ORIENTED_EDGE('',*,*,#95081,.F.); #134026=ORIENTED_EDGE('',*,*,#95084,.F.); #134027=ORIENTED_EDGE('',*,*,#95087,.F.); #134028=ORIENTED_EDGE('',*,*,#95090,.F.); #134029=ORIENTED_EDGE('',*,*,#95093,.F.); #134030=ORIENTED_EDGE('',*,*,#95094,.T.); #134031=ORIENTED_EDGE('',*,*,#95095,.T.); #134032=ORIENTED_EDGE('',*,*,#95096,.F.); #134033=ORIENTED_EDGE('',*,*,#95097,.F.); #134034=ORIENTED_EDGE('',*,*,#95098,.T.); #134035=ORIENTED_EDGE('',*,*,#95099,.T.); #134036=ORIENTED_EDGE('',*,*,#95094,.F.); #134037=ORIENTED_EDGE('',*,*,#95100,.F.); #134038=ORIENTED_EDGE('',*,*,#95101,.T.); #134039=ORIENTED_EDGE('',*,*,#95102,.T.); #134040=ORIENTED_EDGE('',*,*,#95098,.F.); #134041=ORIENTED_EDGE('',*,*,#95103,.F.); #134042=ORIENTED_EDGE('',*,*,#95104,.T.); #134043=ORIENTED_EDGE('',*,*,#95105,.T.); #134044=ORIENTED_EDGE('',*,*,#95101,.F.); #134045=ORIENTED_EDGE('',*,*,#95106,.F.); #134046=ORIENTED_EDGE('',*,*,#95107,.T.); #134047=ORIENTED_EDGE('',*,*,#95108,.T.); #134048=ORIENTED_EDGE('',*,*,#95104,.F.); #134049=ORIENTED_EDGE('',*,*,#95109,.F.); #134050=ORIENTED_EDGE('',*,*,#95110,.T.); #134051=ORIENTED_EDGE('',*,*,#95111,.T.); #134052=ORIENTED_EDGE('',*,*,#95107,.F.); #134053=ORIENTED_EDGE('',*,*,#95112,.F.); #134054=ORIENTED_EDGE('',*,*,#95113,.T.); #134055=ORIENTED_EDGE('',*,*,#95114,.T.); #134056=ORIENTED_EDGE('',*,*,#95110,.F.); #134057=ORIENTED_EDGE('',*,*,#95115,.F.); #134058=ORIENTED_EDGE('',*,*,#95116,.T.); #134059=ORIENTED_EDGE('',*,*,#95117,.T.); #134060=ORIENTED_EDGE('',*,*,#95113,.F.); #134061=ORIENTED_EDGE('',*,*,#95118,.F.); #134062=ORIENTED_EDGE('',*,*,#95119,.T.); #134063=ORIENTED_EDGE('',*,*,#95120,.T.); #134064=ORIENTED_EDGE('',*,*,#95116,.F.); #134065=ORIENTED_EDGE('',*,*,#95121,.F.); #134066=ORIENTED_EDGE('',*,*,#95122,.T.); #134067=ORIENTED_EDGE('',*,*,#95123,.T.); #134068=ORIENTED_EDGE('',*,*,#95119,.F.); #134069=ORIENTED_EDGE('',*,*,#95124,.F.); #134070=ORIENTED_EDGE('',*,*,#95125,.T.); #134071=ORIENTED_EDGE('',*,*,#95126,.T.); #134072=ORIENTED_EDGE('',*,*,#95122,.F.); #134073=ORIENTED_EDGE('',*,*,#95127,.F.); #134074=ORIENTED_EDGE('',*,*,#95128,.T.); #134075=ORIENTED_EDGE('',*,*,#95129,.T.); #134076=ORIENTED_EDGE('',*,*,#95125,.F.); #134077=ORIENTED_EDGE('',*,*,#95130,.F.); #134078=ORIENTED_EDGE('',*,*,#95131,.T.); #134079=ORIENTED_EDGE('',*,*,#95132,.T.); #134080=ORIENTED_EDGE('',*,*,#95128,.F.); #134081=ORIENTED_EDGE('',*,*,#95133,.F.); #134082=ORIENTED_EDGE('',*,*,#95096,.T.); #134083=ORIENTED_EDGE('',*,*,#95134,.T.); #134084=ORIENTED_EDGE('',*,*,#95131,.F.); #134085=ORIENTED_EDGE('',*,*,#95135,.F.); #134086=ORIENTED_EDGE('',*,*,#95136,.T.); #134087=ORIENTED_EDGE('',*,*,#95137,.T.); #134088=ORIENTED_EDGE('',*,*,#95138,.F.); #134089=ORIENTED_EDGE('',*,*,#95139,.F.); #134090=ORIENTED_EDGE('',*,*,#95140,.T.); #134091=ORIENTED_EDGE('',*,*,#95141,.T.); #134092=ORIENTED_EDGE('',*,*,#95136,.F.); #134093=ORIENTED_EDGE('',*,*,#95142,.F.); #134094=ORIENTED_EDGE('',*,*,#95143,.T.); #134095=ORIENTED_EDGE('',*,*,#95144,.T.); #134096=ORIENTED_EDGE('',*,*,#95140,.F.); #134097=ORIENTED_EDGE('',*,*,#95145,.F.); #134098=ORIENTED_EDGE('',*,*,#95146,.T.); #134099=ORIENTED_EDGE('',*,*,#95147,.T.); #134100=ORIENTED_EDGE('',*,*,#95143,.F.); #134101=ORIENTED_EDGE('',*,*,#95148,.F.); #134102=ORIENTED_EDGE('',*,*,#95149,.T.); #134103=ORIENTED_EDGE('',*,*,#95150,.T.); #134104=ORIENTED_EDGE('',*,*,#95146,.F.); #134105=ORIENTED_EDGE('',*,*,#95151,.F.); #134106=ORIENTED_EDGE('',*,*,#95152,.T.); #134107=ORIENTED_EDGE('',*,*,#95153,.T.); #134108=ORIENTED_EDGE('',*,*,#95149,.F.); #134109=ORIENTED_EDGE('',*,*,#95154,.F.); #134110=ORIENTED_EDGE('',*,*,#95155,.T.); #134111=ORIENTED_EDGE('',*,*,#95156,.T.); #134112=ORIENTED_EDGE('',*,*,#95152,.F.); #134113=ORIENTED_EDGE('',*,*,#95157,.F.); #134114=ORIENTED_EDGE('',*,*,#95158,.T.); #134115=ORIENTED_EDGE('',*,*,#95159,.T.); #134116=ORIENTED_EDGE('',*,*,#95155,.F.); #134117=ORIENTED_EDGE('',*,*,#95160,.F.); #134118=ORIENTED_EDGE('',*,*,#95161,.T.); #134119=ORIENTED_EDGE('',*,*,#95162,.T.); #134120=ORIENTED_EDGE('',*,*,#95158,.F.); #134121=ORIENTED_EDGE('',*,*,#95163,.F.); #134122=ORIENTED_EDGE('',*,*,#95164,.T.); #134123=ORIENTED_EDGE('',*,*,#95165,.T.); #134124=ORIENTED_EDGE('',*,*,#95161,.F.); #134125=ORIENTED_EDGE('',*,*,#95166,.F.); #134126=ORIENTED_EDGE('',*,*,#95167,.T.); #134127=ORIENTED_EDGE('',*,*,#95168,.T.); #134128=ORIENTED_EDGE('',*,*,#95164,.F.); #134129=ORIENTED_EDGE('',*,*,#95169,.F.); #134130=ORIENTED_EDGE('',*,*,#95170,.T.); #134131=ORIENTED_EDGE('',*,*,#95171,.T.); #134132=ORIENTED_EDGE('',*,*,#95167,.F.); #134133=ORIENTED_EDGE('',*,*,#95172,.F.); #134134=ORIENTED_EDGE('',*,*,#95173,.T.); #134135=ORIENTED_EDGE('',*,*,#95174,.T.); #134136=ORIENTED_EDGE('',*,*,#95170,.F.); #134137=ORIENTED_EDGE('',*,*,#95175,.F.); #134138=ORIENTED_EDGE('',*,*,#95176,.T.); #134139=ORIENTED_EDGE('',*,*,#95177,.T.); #134140=ORIENTED_EDGE('',*,*,#95173,.F.); #134141=ORIENTED_EDGE('',*,*,#95178,.F.); #134142=ORIENTED_EDGE('',*,*,#95179,.T.); #134143=ORIENTED_EDGE('',*,*,#95180,.T.); #134144=ORIENTED_EDGE('',*,*,#95176,.F.); #134145=ORIENTED_EDGE('',*,*,#95181,.F.); #134146=ORIENTED_EDGE('',*,*,#95138,.T.); #134147=ORIENTED_EDGE('',*,*,#95182,.T.); #134148=ORIENTED_EDGE('',*,*,#95179,.F.); #134149=ORIENTED_EDGE('',*,*,#95182,.F.); #134150=ORIENTED_EDGE('',*,*,#95137,.F.); #134151=ORIENTED_EDGE('',*,*,#95141,.F.); #134152=ORIENTED_EDGE('',*,*,#95144,.F.); #134153=ORIENTED_EDGE('',*,*,#95147,.F.); #134154=ORIENTED_EDGE('',*,*,#95150,.F.); #134155=ORIENTED_EDGE('',*,*,#95153,.F.); #134156=ORIENTED_EDGE('',*,*,#95156,.F.); #134157=ORIENTED_EDGE('',*,*,#95159,.F.); #134158=ORIENTED_EDGE('',*,*,#95162,.F.); #134159=ORIENTED_EDGE('',*,*,#95165,.F.); #134160=ORIENTED_EDGE('',*,*,#95168,.F.); #134161=ORIENTED_EDGE('',*,*,#95171,.F.); #134162=ORIENTED_EDGE('',*,*,#95174,.F.); #134163=ORIENTED_EDGE('',*,*,#95177,.F.); #134164=ORIENTED_EDGE('',*,*,#95180,.F.); #134165=ORIENTED_EDGE('',*,*,#95134,.F.); #134166=ORIENTED_EDGE('',*,*,#95095,.F.); #134167=ORIENTED_EDGE('',*,*,#95099,.F.); #134168=ORIENTED_EDGE('',*,*,#95102,.F.); #134169=ORIENTED_EDGE('',*,*,#95105,.F.); #134170=ORIENTED_EDGE('',*,*,#95108,.F.); #134171=ORIENTED_EDGE('',*,*,#95111,.F.); #134172=ORIENTED_EDGE('',*,*,#95114,.F.); #134173=ORIENTED_EDGE('',*,*,#95117,.F.); #134174=ORIENTED_EDGE('',*,*,#95120,.F.); #134175=ORIENTED_EDGE('',*,*,#95123,.F.); #134176=ORIENTED_EDGE('',*,*,#95126,.F.); #134177=ORIENTED_EDGE('',*,*,#95129,.F.); #134178=ORIENTED_EDGE('',*,*,#95132,.F.); #134179=ORIENTED_EDGE('',*,*,#95183,.F.); #134180=ORIENTED_EDGE('',*,*,#95184,.T.); #134181=ORIENTED_EDGE('',*,*,#95185,.T.); #134182=ORIENTED_EDGE('',*,*,#95186,.F.); #134183=ORIENTED_EDGE('',*,*,#95187,.F.); #134184=ORIENTED_EDGE('',*,*,#95188,.T.); #134185=ORIENTED_EDGE('',*,*,#95189,.T.); #134186=ORIENTED_EDGE('',*,*,#95184,.F.); #134187=ORIENTED_EDGE('',*,*,#95190,.F.); #134188=ORIENTED_EDGE('',*,*,#95191,.T.); #134189=ORIENTED_EDGE('',*,*,#95192,.T.); #134190=ORIENTED_EDGE('',*,*,#95188,.F.); #134191=ORIENTED_EDGE('',*,*,#95193,.F.); #134192=ORIENTED_EDGE('',*,*,#95194,.T.); #134193=ORIENTED_EDGE('',*,*,#95195,.T.); #134194=ORIENTED_EDGE('',*,*,#95191,.F.); #134195=ORIENTED_EDGE('',*,*,#95196,.F.); #134196=ORIENTED_EDGE('',*,*,#95197,.T.); #134197=ORIENTED_EDGE('',*,*,#95198,.T.); #134198=ORIENTED_EDGE('',*,*,#95194,.F.); #134199=ORIENTED_EDGE('',*,*,#95199,.F.); #134200=ORIENTED_EDGE('',*,*,#95200,.T.); #134201=ORIENTED_EDGE('',*,*,#95201,.T.); #134202=ORIENTED_EDGE('',*,*,#95197,.F.); #134203=ORIENTED_EDGE('',*,*,#95202,.F.); #134204=ORIENTED_EDGE('',*,*,#95203,.T.); #134205=ORIENTED_EDGE('',*,*,#95204,.T.); #134206=ORIENTED_EDGE('',*,*,#95200,.F.); #134207=ORIENTED_EDGE('',*,*,#95205,.F.); #134208=ORIENTED_EDGE('',*,*,#95206,.T.); #134209=ORIENTED_EDGE('',*,*,#95207,.T.); #134210=ORIENTED_EDGE('',*,*,#95203,.F.); #134211=ORIENTED_EDGE('',*,*,#95208,.F.); #134212=ORIENTED_EDGE('',*,*,#95209,.T.); #134213=ORIENTED_EDGE('',*,*,#95210,.T.); #134214=ORIENTED_EDGE('',*,*,#95206,.F.); #134215=ORIENTED_EDGE('',*,*,#95211,.F.); #134216=ORIENTED_EDGE('',*,*,#95212,.T.); #134217=ORIENTED_EDGE('',*,*,#95213,.T.); #134218=ORIENTED_EDGE('',*,*,#95209,.F.); #134219=ORIENTED_EDGE('',*,*,#95214,.F.); #134220=ORIENTED_EDGE('',*,*,#95215,.T.); #134221=ORIENTED_EDGE('',*,*,#95216,.T.); #134222=ORIENTED_EDGE('',*,*,#95212,.F.); #134223=ORIENTED_EDGE('',*,*,#95217,.F.); #134224=ORIENTED_EDGE('',*,*,#95218,.T.); #134225=ORIENTED_EDGE('',*,*,#95219,.T.); #134226=ORIENTED_EDGE('',*,*,#95215,.F.); #134227=ORIENTED_EDGE('',*,*,#95220,.F.); #134228=ORIENTED_EDGE('',*,*,#95221,.T.); #134229=ORIENTED_EDGE('',*,*,#95222,.T.); #134230=ORIENTED_EDGE('',*,*,#95218,.F.); #134231=ORIENTED_EDGE('',*,*,#95223,.F.); #134232=ORIENTED_EDGE('',*,*,#95224,.T.); #134233=ORIENTED_EDGE('',*,*,#95225,.T.); #134234=ORIENTED_EDGE('',*,*,#95221,.F.); #134235=ORIENTED_EDGE('',*,*,#95226,.F.); #134236=ORIENTED_EDGE('',*,*,#95227,.T.); #134237=ORIENTED_EDGE('',*,*,#95228,.T.); #134238=ORIENTED_EDGE('',*,*,#95224,.F.); #134239=ORIENTED_EDGE('',*,*,#95229,.F.); #134240=ORIENTED_EDGE('',*,*,#95230,.T.); #134241=ORIENTED_EDGE('',*,*,#95231,.T.); #134242=ORIENTED_EDGE('',*,*,#95227,.F.); #134243=ORIENTED_EDGE('',*,*,#95232,.F.); #134244=ORIENTED_EDGE('',*,*,#95233,.T.); #134245=ORIENTED_EDGE('',*,*,#95234,.T.); #134246=ORIENTED_EDGE('',*,*,#95230,.F.); #134247=ORIENTED_EDGE('',*,*,#95235,.F.); #134248=ORIENTED_EDGE('',*,*,#95236,.T.); #134249=ORIENTED_EDGE('',*,*,#95237,.T.); #134250=ORIENTED_EDGE('',*,*,#95233,.F.); #134251=ORIENTED_EDGE('',*,*,#95238,.F.); #134252=ORIENTED_EDGE('',*,*,#95239,.T.); #134253=ORIENTED_EDGE('',*,*,#95240,.T.); #134254=ORIENTED_EDGE('',*,*,#95236,.F.); #134255=ORIENTED_EDGE('',*,*,#95241,.F.); #134256=ORIENTED_EDGE('',*,*,#95242,.T.); #134257=ORIENTED_EDGE('',*,*,#95243,.T.); #134258=ORIENTED_EDGE('',*,*,#95239,.F.); #134259=ORIENTED_EDGE('',*,*,#95244,.F.); #134260=ORIENTED_EDGE('',*,*,#95245,.T.); #134261=ORIENTED_EDGE('',*,*,#95246,.T.); #134262=ORIENTED_EDGE('',*,*,#95242,.F.); #134263=ORIENTED_EDGE('',*,*,#95247,.F.); #134264=ORIENTED_EDGE('',*,*,#95248,.T.); #134265=ORIENTED_EDGE('',*,*,#95249,.T.); #134266=ORIENTED_EDGE('',*,*,#95245,.F.); #134267=ORIENTED_EDGE('',*,*,#95250,.F.); #134268=ORIENTED_EDGE('',*,*,#95251,.T.); #134269=ORIENTED_EDGE('',*,*,#95252,.T.); #134270=ORIENTED_EDGE('',*,*,#95248,.F.); #134271=ORIENTED_EDGE('',*,*,#95253,.F.); #134272=ORIENTED_EDGE('',*,*,#95254,.T.); #134273=ORIENTED_EDGE('',*,*,#95255,.T.); #134274=ORIENTED_EDGE('',*,*,#95251,.F.); #134275=ORIENTED_EDGE('',*,*,#95256,.F.); #134276=ORIENTED_EDGE('',*,*,#95257,.T.); #134277=ORIENTED_EDGE('',*,*,#95258,.T.); #134278=ORIENTED_EDGE('',*,*,#95254,.F.); #134279=ORIENTED_EDGE('',*,*,#95259,.F.); #134280=ORIENTED_EDGE('',*,*,#95260,.T.); #134281=ORIENTED_EDGE('',*,*,#95261,.T.); #134282=ORIENTED_EDGE('',*,*,#95257,.F.); #134283=ORIENTED_EDGE('',*,*,#95262,.F.); #134284=ORIENTED_EDGE('',*,*,#95263,.T.); #134285=ORIENTED_EDGE('',*,*,#95264,.T.); #134286=ORIENTED_EDGE('',*,*,#95260,.F.); #134287=ORIENTED_EDGE('',*,*,#95265,.F.); #134288=ORIENTED_EDGE('',*,*,#95266,.T.); #134289=ORIENTED_EDGE('',*,*,#95267,.T.); #134290=ORIENTED_EDGE('',*,*,#95263,.F.); #134291=ORIENTED_EDGE('',*,*,#95268,.F.); #134292=ORIENTED_EDGE('',*,*,#95269,.T.); #134293=ORIENTED_EDGE('',*,*,#95270,.T.); #134294=ORIENTED_EDGE('',*,*,#95266,.F.); #134295=ORIENTED_EDGE('',*,*,#95271,.F.); #134296=ORIENTED_EDGE('',*,*,#95272,.T.); #134297=ORIENTED_EDGE('',*,*,#95273,.T.); #134298=ORIENTED_EDGE('',*,*,#95269,.F.); #134299=ORIENTED_EDGE('',*,*,#95274,.F.); #134300=ORIENTED_EDGE('',*,*,#95186,.T.); #134301=ORIENTED_EDGE('',*,*,#95275,.T.); #134302=ORIENTED_EDGE('',*,*,#95272,.F.); #134303=ORIENTED_EDGE('',*,*,#95275,.F.); #134304=ORIENTED_EDGE('',*,*,#95185,.F.); #134305=ORIENTED_EDGE('',*,*,#95189,.F.); #134306=ORIENTED_EDGE('',*,*,#95192,.F.); #134307=ORIENTED_EDGE('',*,*,#95195,.F.); #134308=ORIENTED_EDGE('',*,*,#95198,.F.); #134309=ORIENTED_EDGE('',*,*,#95201,.F.); #134310=ORIENTED_EDGE('',*,*,#95204,.F.); #134311=ORIENTED_EDGE('',*,*,#95207,.F.); #134312=ORIENTED_EDGE('',*,*,#95210,.F.); #134313=ORIENTED_EDGE('',*,*,#95213,.F.); #134314=ORIENTED_EDGE('',*,*,#95216,.F.); #134315=ORIENTED_EDGE('',*,*,#95219,.F.); #134316=ORIENTED_EDGE('',*,*,#95222,.F.); #134317=ORIENTED_EDGE('',*,*,#95225,.F.); #134318=ORIENTED_EDGE('',*,*,#95228,.F.); #134319=ORIENTED_EDGE('',*,*,#95231,.F.); #134320=ORIENTED_EDGE('',*,*,#95234,.F.); #134321=ORIENTED_EDGE('',*,*,#95237,.F.); #134322=ORIENTED_EDGE('',*,*,#95240,.F.); #134323=ORIENTED_EDGE('',*,*,#95243,.F.); #134324=ORIENTED_EDGE('',*,*,#95246,.F.); #134325=ORIENTED_EDGE('',*,*,#95249,.F.); #134326=ORIENTED_EDGE('',*,*,#95252,.F.); #134327=ORIENTED_EDGE('',*,*,#95255,.F.); #134328=ORIENTED_EDGE('',*,*,#95258,.F.); #134329=ORIENTED_EDGE('',*,*,#95261,.F.); #134330=ORIENTED_EDGE('',*,*,#95264,.F.); #134331=ORIENTED_EDGE('',*,*,#95267,.F.); #134332=ORIENTED_EDGE('',*,*,#95270,.F.); #134333=ORIENTED_EDGE('',*,*,#95273,.F.); #134334=ORIENTED_EDGE('',*,*,#95276,.F.); #134335=ORIENTED_EDGE('',*,*,#95277,.T.); #134336=ORIENTED_EDGE('',*,*,#95278,.T.); #134337=ORIENTED_EDGE('',*,*,#95279,.F.); #134338=ORIENTED_EDGE('',*,*,#95280,.F.); #134339=ORIENTED_EDGE('',*,*,#95281,.T.); #134340=ORIENTED_EDGE('',*,*,#95282,.T.); #134341=ORIENTED_EDGE('',*,*,#95277,.F.); #134342=ORIENTED_EDGE('',*,*,#95283,.F.); #134343=ORIENTED_EDGE('',*,*,#95284,.T.); #134344=ORIENTED_EDGE('',*,*,#95285,.T.); #134345=ORIENTED_EDGE('',*,*,#95281,.F.); #134346=ORIENTED_EDGE('',*,*,#95286,.F.); #134347=ORIENTED_EDGE('',*,*,#95287,.T.); #134348=ORIENTED_EDGE('',*,*,#95288,.T.); #134349=ORIENTED_EDGE('',*,*,#95284,.F.); #134350=ORIENTED_EDGE('',*,*,#95289,.F.); #134351=ORIENTED_EDGE('',*,*,#95290,.T.); #134352=ORIENTED_EDGE('',*,*,#95291,.T.); #134353=ORIENTED_EDGE('',*,*,#95287,.F.); #134354=ORIENTED_EDGE('',*,*,#95292,.F.); #134355=ORIENTED_EDGE('',*,*,#95293,.T.); #134356=ORIENTED_EDGE('',*,*,#95294,.T.); #134357=ORIENTED_EDGE('',*,*,#95290,.F.); #134358=ORIENTED_EDGE('',*,*,#95295,.F.); #134359=ORIENTED_EDGE('',*,*,#95296,.T.); #134360=ORIENTED_EDGE('',*,*,#95297,.T.); #134361=ORIENTED_EDGE('',*,*,#95293,.F.); #134362=ORIENTED_EDGE('',*,*,#95298,.F.); #134363=ORIENTED_EDGE('',*,*,#95299,.T.); #134364=ORIENTED_EDGE('',*,*,#95300,.T.); #134365=ORIENTED_EDGE('',*,*,#95296,.F.); #134366=ORIENTED_EDGE('',*,*,#95301,.F.); #134367=ORIENTED_EDGE('',*,*,#95302,.T.); #134368=ORIENTED_EDGE('',*,*,#95303,.T.); #134369=ORIENTED_EDGE('',*,*,#95299,.F.); #134370=ORIENTED_EDGE('',*,*,#95304,.F.); #134371=ORIENTED_EDGE('',*,*,#95305,.T.); #134372=ORIENTED_EDGE('',*,*,#95306,.T.); #134373=ORIENTED_EDGE('',*,*,#95302,.F.); #134374=ORIENTED_EDGE('',*,*,#95307,.F.); #134375=ORIENTED_EDGE('',*,*,#95308,.T.); #134376=ORIENTED_EDGE('',*,*,#95309,.T.); #134377=ORIENTED_EDGE('',*,*,#95305,.F.); #134378=ORIENTED_EDGE('',*,*,#95310,.F.); #134379=ORIENTED_EDGE('',*,*,#95311,.T.); #134380=ORIENTED_EDGE('',*,*,#95312,.T.); #134381=ORIENTED_EDGE('',*,*,#95308,.F.); #134382=ORIENTED_EDGE('',*,*,#95313,.F.); #134383=ORIENTED_EDGE('',*,*,#95314,.T.); #134384=ORIENTED_EDGE('',*,*,#95315,.T.); #134385=ORIENTED_EDGE('',*,*,#95311,.F.); #134386=ORIENTED_EDGE('',*,*,#95316,.F.); #134387=ORIENTED_EDGE('',*,*,#95279,.T.); #134388=ORIENTED_EDGE('',*,*,#95317,.T.); #134389=ORIENTED_EDGE('',*,*,#95314,.F.); #134390=ORIENTED_EDGE('',*,*,#95318,.F.); #134391=ORIENTED_EDGE('',*,*,#95319,.T.); #134392=ORIENTED_EDGE('',*,*,#95320,.T.); #134393=ORIENTED_EDGE('',*,*,#95321,.F.); #134394=ORIENTED_EDGE('',*,*,#95322,.F.); #134395=ORIENTED_EDGE('',*,*,#95323,.T.); #134396=ORIENTED_EDGE('',*,*,#95324,.T.); #134397=ORIENTED_EDGE('',*,*,#95319,.F.); #134398=ORIENTED_EDGE('',*,*,#95325,.F.); #134399=ORIENTED_EDGE('',*,*,#95326,.T.); #134400=ORIENTED_EDGE('',*,*,#95327,.T.); #134401=ORIENTED_EDGE('',*,*,#95323,.F.); #134402=ORIENTED_EDGE('',*,*,#95328,.F.); #134403=ORIENTED_EDGE('',*,*,#95329,.T.); #134404=ORIENTED_EDGE('',*,*,#95330,.T.); #134405=ORIENTED_EDGE('',*,*,#95326,.F.); #134406=ORIENTED_EDGE('',*,*,#95331,.F.); #134407=ORIENTED_EDGE('',*,*,#95332,.T.); #134408=ORIENTED_EDGE('',*,*,#95333,.T.); #134409=ORIENTED_EDGE('',*,*,#95329,.F.); #134410=ORIENTED_EDGE('',*,*,#95334,.F.); #134411=ORIENTED_EDGE('',*,*,#95335,.T.); #134412=ORIENTED_EDGE('',*,*,#95336,.T.); #134413=ORIENTED_EDGE('',*,*,#95332,.F.); #134414=ORIENTED_EDGE('',*,*,#95337,.F.); #134415=ORIENTED_EDGE('',*,*,#95338,.T.); #134416=ORIENTED_EDGE('',*,*,#95339,.T.); #134417=ORIENTED_EDGE('',*,*,#95335,.F.); #134418=ORIENTED_EDGE('',*,*,#95340,.F.); #134419=ORIENTED_EDGE('',*,*,#95341,.T.); #134420=ORIENTED_EDGE('',*,*,#95342,.T.); #134421=ORIENTED_EDGE('',*,*,#95338,.F.); #134422=ORIENTED_EDGE('',*,*,#95343,.F.); #134423=ORIENTED_EDGE('',*,*,#95344,.T.); #134424=ORIENTED_EDGE('',*,*,#95345,.T.); #134425=ORIENTED_EDGE('',*,*,#95341,.F.); #134426=ORIENTED_EDGE('',*,*,#95346,.F.); #134427=ORIENTED_EDGE('',*,*,#95347,.T.); #134428=ORIENTED_EDGE('',*,*,#95348,.T.); #134429=ORIENTED_EDGE('',*,*,#95344,.F.); #134430=ORIENTED_EDGE('',*,*,#95349,.F.); #134431=ORIENTED_EDGE('',*,*,#95350,.T.); #134432=ORIENTED_EDGE('',*,*,#95351,.T.); #134433=ORIENTED_EDGE('',*,*,#95347,.F.); #134434=ORIENTED_EDGE('',*,*,#95352,.F.); #134435=ORIENTED_EDGE('',*,*,#95353,.T.); #134436=ORIENTED_EDGE('',*,*,#95354,.T.); #134437=ORIENTED_EDGE('',*,*,#95350,.F.); #134438=ORIENTED_EDGE('',*,*,#95355,.F.); #134439=ORIENTED_EDGE('',*,*,#95356,.T.); #134440=ORIENTED_EDGE('',*,*,#95357,.T.); #134441=ORIENTED_EDGE('',*,*,#95353,.F.); #134442=ORIENTED_EDGE('',*,*,#95358,.F.); #134443=ORIENTED_EDGE('',*,*,#95359,.T.); #134444=ORIENTED_EDGE('',*,*,#95360,.T.); #134445=ORIENTED_EDGE('',*,*,#95356,.F.); #134446=ORIENTED_EDGE('',*,*,#95361,.F.); #134447=ORIENTED_EDGE('',*,*,#95362,.T.); #134448=ORIENTED_EDGE('',*,*,#95363,.T.); #134449=ORIENTED_EDGE('',*,*,#95359,.F.); #134450=ORIENTED_EDGE('',*,*,#95364,.F.); #134451=ORIENTED_EDGE('',*,*,#95321,.T.); #134452=ORIENTED_EDGE('',*,*,#95365,.T.); #134453=ORIENTED_EDGE('',*,*,#95362,.F.); #134454=ORIENTED_EDGE('',*,*,#95365,.F.); #134455=ORIENTED_EDGE('',*,*,#95320,.F.); #134456=ORIENTED_EDGE('',*,*,#95324,.F.); #134457=ORIENTED_EDGE('',*,*,#95327,.F.); #134458=ORIENTED_EDGE('',*,*,#95330,.F.); #134459=ORIENTED_EDGE('',*,*,#95333,.F.); #134460=ORIENTED_EDGE('',*,*,#95336,.F.); #134461=ORIENTED_EDGE('',*,*,#95339,.F.); #134462=ORIENTED_EDGE('',*,*,#95342,.F.); #134463=ORIENTED_EDGE('',*,*,#95345,.F.); #134464=ORIENTED_EDGE('',*,*,#95348,.F.); #134465=ORIENTED_EDGE('',*,*,#95351,.F.); #134466=ORIENTED_EDGE('',*,*,#95354,.F.); #134467=ORIENTED_EDGE('',*,*,#95357,.F.); #134468=ORIENTED_EDGE('',*,*,#95360,.F.); #134469=ORIENTED_EDGE('',*,*,#95363,.F.); #134470=ORIENTED_EDGE('',*,*,#95317,.F.); #134471=ORIENTED_EDGE('',*,*,#95278,.F.); #134472=ORIENTED_EDGE('',*,*,#95282,.F.); #134473=ORIENTED_EDGE('',*,*,#95285,.F.); #134474=ORIENTED_EDGE('',*,*,#95288,.F.); #134475=ORIENTED_EDGE('',*,*,#95291,.F.); #134476=ORIENTED_EDGE('',*,*,#95294,.F.); #134477=ORIENTED_EDGE('',*,*,#95297,.F.); #134478=ORIENTED_EDGE('',*,*,#95300,.F.); #134479=ORIENTED_EDGE('',*,*,#95303,.F.); #134480=ORIENTED_EDGE('',*,*,#95306,.F.); #134481=ORIENTED_EDGE('',*,*,#95309,.F.); #134482=ORIENTED_EDGE('',*,*,#95312,.F.); #134483=ORIENTED_EDGE('',*,*,#95315,.F.); #134484=ORIENTED_EDGE('',*,*,#95366,.F.); #134485=ORIENTED_EDGE('',*,*,#95367,.T.); #134486=ORIENTED_EDGE('',*,*,#95368,.T.); #134487=ORIENTED_EDGE('',*,*,#95369,.F.); #134488=ORIENTED_EDGE('',*,*,#95370,.F.); #134489=ORIENTED_EDGE('',*,*,#95371,.T.); #134490=ORIENTED_EDGE('',*,*,#95372,.T.); #134491=ORIENTED_EDGE('',*,*,#95367,.F.); #134492=ORIENTED_EDGE('',*,*,#95373,.F.); #134493=ORIENTED_EDGE('',*,*,#95374,.T.); #134494=ORIENTED_EDGE('',*,*,#95375,.T.); #134495=ORIENTED_EDGE('',*,*,#95371,.F.); #134496=ORIENTED_EDGE('',*,*,#95376,.F.); #134497=ORIENTED_EDGE('',*,*,#95377,.T.); #134498=ORIENTED_EDGE('',*,*,#95378,.T.); #134499=ORIENTED_EDGE('',*,*,#95374,.F.); #134500=ORIENTED_EDGE('',*,*,#95379,.F.); #134501=ORIENTED_EDGE('',*,*,#95380,.T.); #134502=ORIENTED_EDGE('',*,*,#95381,.T.); #134503=ORIENTED_EDGE('',*,*,#95377,.F.); #134504=ORIENTED_EDGE('',*,*,#95382,.F.); #134505=ORIENTED_EDGE('',*,*,#95383,.T.); #134506=ORIENTED_EDGE('',*,*,#95384,.T.); #134507=ORIENTED_EDGE('',*,*,#95380,.F.); #134508=ORIENTED_EDGE('',*,*,#95385,.F.); #134509=ORIENTED_EDGE('',*,*,#95386,.T.); #134510=ORIENTED_EDGE('',*,*,#95387,.T.); #134511=ORIENTED_EDGE('',*,*,#95383,.F.); #134512=ORIENTED_EDGE('',*,*,#95388,.F.); #134513=ORIENTED_EDGE('',*,*,#95389,.T.); #134514=ORIENTED_EDGE('',*,*,#95390,.T.); #134515=ORIENTED_EDGE('',*,*,#95386,.F.); #134516=ORIENTED_EDGE('',*,*,#95391,.F.); #134517=ORIENTED_EDGE('',*,*,#95392,.T.); #134518=ORIENTED_EDGE('',*,*,#95393,.T.); #134519=ORIENTED_EDGE('',*,*,#95389,.F.); #134520=ORIENTED_EDGE('',*,*,#95394,.F.); #134521=ORIENTED_EDGE('',*,*,#95395,.T.); #134522=ORIENTED_EDGE('',*,*,#95396,.T.); #134523=ORIENTED_EDGE('',*,*,#95392,.F.); #134524=ORIENTED_EDGE('',*,*,#95397,.F.); #134525=ORIENTED_EDGE('',*,*,#95398,.T.); #134526=ORIENTED_EDGE('',*,*,#95399,.T.); #134527=ORIENTED_EDGE('',*,*,#95395,.F.); #134528=ORIENTED_EDGE('',*,*,#95400,.F.); #134529=ORIENTED_EDGE('',*,*,#95401,.T.); #134530=ORIENTED_EDGE('',*,*,#95402,.T.); #134531=ORIENTED_EDGE('',*,*,#95398,.F.); #134532=ORIENTED_EDGE('',*,*,#95403,.F.); #134533=ORIENTED_EDGE('',*,*,#95404,.T.); #134534=ORIENTED_EDGE('',*,*,#95405,.T.); #134535=ORIENTED_EDGE('',*,*,#95401,.F.); #134536=ORIENTED_EDGE('',*,*,#95406,.F.); #134537=ORIENTED_EDGE('',*,*,#95407,.T.); #134538=ORIENTED_EDGE('',*,*,#95408,.T.); #134539=ORIENTED_EDGE('',*,*,#95404,.F.); #134540=ORIENTED_EDGE('',*,*,#95409,.F.); #134541=ORIENTED_EDGE('',*,*,#95410,.T.); #134542=ORIENTED_EDGE('',*,*,#95411,.T.); #134543=ORIENTED_EDGE('',*,*,#95407,.F.); #134544=ORIENTED_EDGE('',*,*,#95412,.F.); #134545=ORIENTED_EDGE('',*,*,#95413,.T.); #134546=ORIENTED_EDGE('',*,*,#95414,.T.); #134547=ORIENTED_EDGE('',*,*,#95410,.F.); #134548=ORIENTED_EDGE('',*,*,#95415,.F.); #134549=ORIENTED_EDGE('',*,*,#95416,.T.); #134550=ORIENTED_EDGE('',*,*,#95417,.T.); #134551=ORIENTED_EDGE('',*,*,#95413,.F.); #134552=ORIENTED_EDGE('',*,*,#95418,.F.); #134553=ORIENTED_EDGE('',*,*,#95419,.T.); #134554=ORIENTED_EDGE('',*,*,#95420,.T.); #134555=ORIENTED_EDGE('',*,*,#95416,.F.); #134556=ORIENTED_EDGE('',*,*,#95421,.F.); #134557=ORIENTED_EDGE('',*,*,#95422,.T.); #134558=ORIENTED_EDGE('',*,*,#95423,.T.); #134559=ORIENTED_EDGE('',*,*,#95419,.F.); #134560=ORIENTED_EDGE('',*,*,#95424,.F.); #134561=ORIENTED_EDGE('',*,*,#95369,.T.); #134562=ORIENTED_EDGE('',*,*,#95425,.T.); #134563=ORIENTED_EDGE('',*,*,#95422,.F.); #134564=ORIENTED_EDGE('',*,*,#95425,.F.); #134565=ORIENTED_EDGE('',*,*,#95368,.F.); #134566=ORIENTED_EDGE('',*,*,#95372,.F.); #134567=ORIENTED_EDGE('',*,*,#95375,.F.); #134568=ORIENTED_EDGE('',*,*,#95378,.F.); #134569=ORIENTED_EDGE('',*,*,#95381,.F.); #134570=ORIENTED_EDGE('',*,*,#95384,.F.); #134571=ORIENTED_EDGE('',*,*,#95387,.F.); #134572=ORIENTED_EDGE('',*,*,#95390,.F.); #134573=ORIENTED_EDGE('',*,*,#95393,.F.); #134574=ORIENTED_EDGE('',*,*,#95396,.F.); #134575=ORIENTED_EDGE('',*,*,#95399,.F.); #134576=ORIENTED_EDGE('',*,*,#95402,.F.); #134577=ORIENTED_EDGE('',*,*,#95405,.F.); #134578=ORIENTED_EDGE('',*,*,#95408,.F.); #134579=ORIENTED_EDGE('',*,*,#95411,.F.); #134580=ORIENTED_EDGE('',*,*,#95414,.F.); #134581=ORIENTED_EDGE('',*,*,#95417,.F.); #134582=ORIENTED_EDGE('',*,*,#95420,.F.); #134583=ORIENTED_EDGE('',*,*,#95423,.F.); #134584=ORIENTED_EDGE('',*,*,#95426,.T.); #134585=ORIENTED_EDGE('',*,*,#95427,.T.); #134586=ORIENTED_EDGE('',*,*,#95428,.T.); #134587=ORIENTED_EDGE('',*,*,#95429,.T.); #134588=ORIENTED_EDGE('',*,*,#95430,.T.); #134589=ORIENTED_EDGE('',*,*,#95431,.T.); #134590=ORIENTED_EDGE('',*,*,#95432,.T.); #134591=ORIENTED_EDGE('',*,*,#95433,.T.); #134592=ORIENTED_EDGE('',*,*,#91274,.T.); #134593=ORIENTED_EDGE('',*,*,#91320,.T.); #134594=ORIENTED_EDGE('',*,*,#91317,.T.); #134595=ORIENTED_EDGE('',*,*,#91314,.T.); #134596=ORIENTED_EDGE('',*,*,#91311,.T.); #134597=ORIENTED_EDGE('',*,*,#91308,.T.); #134598=ORIENTED_EDGE('',*,*,#91305,.T.); #134599=ORIENTED_EDGE('',*,*,#91302,.T.); #134600=ORIENTED_EDGE('',*,*,#91299,.T.); #134601=ORIENTED_EDGE('',*,*,#91296,.T.); #134602=ORIENTED_EDGE('',*,*,#91293,.T.); #134603=ORIENTED_EDGE('',*,*,#91290,.T.); #134604=ORIENTED_EDGE('',*,*,#91287,.T.); #134605=ORIENTED_EDGE('',*,*,#91284,.T.); #134606=ORIENTED_EDGE('',*,*,#91281,.T.); #134607=ORIENTED_EDGE('',*,*,#91278,.T.); #134608=ORIENTED_EDGE('',*,*,#91322,.T.); #134609=ORIENTED_EDGE('',*,*,#91332,.T.); #134610=ORIENTED_EDGE('',*,*,#91329,.T.); #134611=ORIENTED_EDGE('',*,*,#91326,.T.); #134612=ORIENTED_EDGE('',*,*,#91346,.T.); #134613=ORIENTED_EDGE('',*,*,#91443,.T.); #134614=ORIENTED_EDGE('',*,*,#91440,.T.); #134615=ORIENTED_EDGE('',*,*,#91437,.T.); #134616=ORIENTED_EDGE('',*,*,#91434,.T.); #134617=ORIENTED_EDGE('',*,*,#91431,.T.); #134618=ORIENTED_EDGE('',*,*,#91428,.T.); #134619=ORIENTED_EDGE('',*,*,#91425,.T.); #134620=ORIENTED_EDGE('',*,*,#91422,.T.); #134621=ORIENTED_EDGE('',*,*,#91419,.T.); #134622=ORIENTED_EDGE('',*,*,#91416,.T.); #134623=ORIENTED_EDGE('',*,*,#91413,.T.); #134624=ORIENTED_EDGE('',*,*,#91410,.T.); #134625=ORIENTED_EDGE('',*,*,#91407,.T.); #134626=ORIENTED_EDGE('',*,*,#91404,.T.); #134627=ORIENTED_EDGE('',*,*,#91401,.T.); #134628=ORIENTED_EDGE('',*,*,#91398,.T.); #134629=ORIENTED_EDGE('',*,*,#91395,.T.); #134630=ORIENTED_EDGE('',*,*,#91392,.T.); #134631=ORIENTED_EDGE('',*,*,#91389,.T.); #134632=ORIENTED_EDGE('',*,*,#91386,.T.); #134633=ORIENTED_EDGE('',*,*,#91383,.T.); #134634=ORIENTED_EDGE('',*,*,#91380,.T.); #134635=ORIENTED_EDGE('',*,*,#91377,.T.); #134636=ORIENTED_EDGE('',*,*,#91374,.T.); #134637=ORIENTED_EDGE('',*,*,#91371,.T.); #134638=ORIENTED_EDGE('',*,*,#91368,.T.); #134639=ORIENTED_EDGE('',*,*,#91365,.T.); #134640=ORIENTED_EDGE('',*,*,#91362,.T.); #134641=ORIENTED_EDGE('',*,*,#91359,.T.); #134642=ORIENTED_EDGE('',*,*,#91356,.T.); #134643=ORIENTED_EDGE('',*,*,#91353,.T.); #134644=ORIENTED_EDGE('',*,*,#91350,.T.); #134645=ORIENTED_EDGE('',*,*,#91445,.T.); #134646=ORIENTED_EDGE('',*,*,#91503,.T.); #134647=ORIENTED_EDGE('',*,*,#91500,.T.); #134648=ORIENTED_EDGE('',*,*,#91497,.T.); #134649=ORIENTED_EDGE('',*,*,#91494,.T.); #134650=ORIENTED_EDGE('',*,*,#91491,.T.); #134651=ORIENTED_EDGE('',*,*,#91488,.T.); #134652=ORIENTED_EDGE('',*,*,#91485,.T.); #134653=ORIENTED_EDGE('',*,*,#91482,.T.); #134654=ORIENTED_EDGE('',*,*,#91479,.T.); #134655=ORIENTED_EDGE('',*,*,#91476,.T.); #134656=ORIENTED_EDGE('',*,*,#91473,.T.); #134657=ORIENTED_EDGE('',*,*,#91470,.T.); #134658=ORIENTED_EDGE('',*,*,#91467,.T.); #134659=ORIENTED_EDGE('',*,*,#91464,.T.); #134660=ORIENTED_EDGE('',*,*,#91461,.T.); #134661=ORIENTED_EDGE('',*,*,#91458,.T.); #134662=ORIENTED_EDGE('',*,*,#91455,.T.); #134663=ORIENTED_EDGE('',*,*,#91452,.T.); #134664=ORIENTED_EDGE('',*,*,#91449,.T.); #134665=ORIENTED_EDGE('',*,*,#91538,.T.); #134666=ORIENTED_EDGE('',*,*,#91599,.T.); #134667=ORIENTED_EDGE('',*,*,#91596,.T.); #134668=ORIENTED_EDGE('',*,*,#91593,.T.); #134669=ORIENTED_EDGE('',*,*,#91590,.T.); #134670=ORIENTED_EDGE('',*,*,#91587,.T.); #134671=ORIENTED_EDGE('',*,*,#91584,.T.); #134672=ORIENTED_EDGE('',*,*,#91581,.T.); #134673=ORIENTED_EDGE('',*,*,#91578,.T.); #134674=ORIENTED_EDGE('',*,*,#91575,.T.); #134675=ORIENTED_EDGE('',*,*,#91572,.T.); #134676=ORIENTED_EDGE('',*,*,#91569,.T.); #134677=ORIENTED_EDGE('',*,*,#91566,.T.); #134678=ORIENTED_EDGE('',*,*,#91563,.T.); #134679=ORIENTED_EDGE('',*,*,#91560,.T.); #134680=ORIENTED_EDGE('',*,*,#91557,.T.); #134681=ORIENTED_EDGE('',*,*,#91554,.T.); #134682=ORIENTED_EDGE('',*,*,#91551,.T.); #134683=ORIENTED_EDGE('',*,*,#91548,.T.); #134684=ORIENTED_EDGE('',*,*,#91545,.T.); #134685=ORIENTED_EDGE('',*,*,#91542,.T.); #134686=ORIENTED_EDGE('',*,*,#91601,.T.); #134687=ORIENTED_EDGE('',*,*,#91704,.T.); #134688=ORIENTED_EDGE('',*,*,#91701,.T.); #134689=ORIENTED_EDGE('',*,*,#91698,.T.); #134690=ORIENTED_EDGE('',*,*,#91695,.T.); #134691=ORIENTED_EDGE('',*,*,#91692,.T.); #134692=ORIENTED_EDGE('',*,*,#91689,.T.); #134693=ORIENTED_EDGE('',*,*,#91686,.T.); #134694=ORIENTED_EDGE('',*,*,#91683,.T.); #134695=ORIENTED_EDGE('',*,*,#91680,.T.); #134696=ORIENTED_EDGE('',*,*,#91677,.T.); #134697=ORIENTED_EDGE('',*,*,#91674,.T.); #134698=ORIENTED_EDGE('',*,*,#91671,.T.); #134699=ORIENTED_EDGE('',*,*,#91668,.T.); #134700=ORIENTED_EDGE('',*,*,#91665,.T.); #134701=ORIENTED_EDGE('',*,*,#91662,.T.); #134702=ORIENTED_EDGE('',*,*,#91659,.T.); #134703=ORIENTED_EDGE('',*,*,#91656,.T.); #134704=ORIENTED_EDGE('',*,*,#91653,.T.); #134705=ORIENTED_EDGE('',*,*,#91650,.T.); #134706=ORIENTED_EDGE('',*,*,#91647,.T.); #134707=ORIENTED_EDGE('',*,*,#91644,.T.); #134708=ORIENTED_EDGE('',*,*,#91641,.T.); #134709=ORIENTED_EDGE('',*,*,#91638,.T.); #134710=ORIENTED_EDGE('',*,*,#91635,.T.); #134711=ORIENTED_EDGE('',*,*,#91632,.T.); #134712=ORIENTED_EDGE('',*,*,#91629,.T.); #134713=ORIENTED_EDGE('',*,*,#91626,.T.); #134714=ORIENTED_EDGE('',*,*,#91623,.T.); #134715=ORIENTED_EDGE('',*,*,#91620,.T.); #134716=ORIENTED_EDGE('',*,*,#91617,.T.); #134717=ORIENTED_EDGE('',*,*,#91614,.T.); #134718=ORIENTED_EDGE('',*,*,#91611,.T.); #134719=ORIENTED_EDGE('',*,*,#91608,.T.); #134720=ORIENTED_EDGE('',*,*,#91605,.T.); #134721=ORIENTED_EDGE('',*,*,#91706,.T.); #134722=ORIENTED_EDGE('',*,*,#91716,.T.); #134723=ORIENTED_EDGE('',*,*,#91713,.T.); #134724=ORIENTED_EDGE('',*,*,#91710,.T.); #134725=ORIENTED_EDGE('',*,*,#92175,.T.); #134726=ORIENTED_EDGE('',*,*,#92190,.T.); #134727=ORIENTED_EDGE('',*,*,#92140,.T.); #134728=ORIENTED_EDGE('',*,*,#92136,.T.); #134729=ORIENTED_EDGE('',*,*,#92173,.T.); #134730=ORIENTED_EDGE('',*,*,#91911,.T.); #134731=ORIENTED_EDGE('',*,*,#91908,.T.); #134732=ORIENTED_EDGE('',*,*,#91891,.T.); #134733=ORIENTED_EDGE('',*,*,#91888,.T.); #134734=ORIENTED_EDGE('',*,*,#91885,.T.); #134735=ORIENTED_EDGE('',*,*,#91882,.T.); #134736=ORIENTED_EDGE('',*,*,#91878,.T.); #134737=ORIENTED_EDGE('',*,*,#91900,.T.); #134738=ORIENTED_EDGE('',*,*,#91897,.T.); #134739=ORIENTED_EDGE('',*,*,#91875,.T.); #134740=ORIENTED_EDGE('',*,*,#91872,.T.); #134741=ORIENTED_EDGE('',*,*,#91838,.T.); #134742=ORIENTED_EDGE('',*,*,#91835,.T.); #134743=ORIENTED_EDGE('',*,*,#91831,.T.); #134744=ORIENTED_EDGE('',*,*,#91864,.T.); #134745=ORIENTED_EDGE('',*,*,#91861,.T.); #134746=ORIENTED_EDGE('',*,*,#91858,.T.); #134747=ORIENTED_EDGE('',*,*,#91825,.T.); #134748=ORIENTED_EDGE('',*,*,#91822,.T.); #134749=ORIENTED_EDGE('',*,*,#91818,.T.); #134750=ORIENTED_EDGE('',*,*,#91828,.T.); #134751=ORIENTED_EDGE('',*,*,#91856,.T.); #134752=ORIENTED_EDGE('',*,*,#91853,.T.); #134753=ORIENTED_EDGE('',*,*,#91850,.T.); #134754=ORIENTED_EDGE('',*,*,#91815,.T.); #134755=ORIENTED_EDGE('',*,*,#91811,.T.); #134756=ORIENTED_EDGE('',*,*,#91928,.T.); #134757=ORIENTED_EDGE('',*,*,#91961,.T.); #134758=ORIENTED_EDGE('',*,*,#91958,.T.); #134759=ORIENTED_EDGE('',*,*,#91767,.T.); #134760=ORIENTED_EDGE('',*,*,#91763,.T.); #134761=ORIENTED_EDGE('',*,*,#91802,.T.); #134762=ORIENTED_EDGE('',*,*,#91799,.T.); #134763=ORIENTED_EDGE('',*,*,#91796,.T.); #134764=ORIENTED_EDGE('',*,*,#91793,.T.); #134765=ORIENTED_EDGE('',*,*,#91760,.T.); #134766=ORIENTED_EDGE('',*,*,#91757,.T.); #134767=ORIENTED_EDGE('',*,*,#91754,.T.); #134768=ORIENTED_EDGE('',*,*,#91750,.T.); #134769=ORIENTED_EDGE('',*,*,#91791,.T.); #134770=ORIENTED_EDGE('',*,*,#91788,.T.); #134771=ORIENTED_EDGE('',*,*,#91785,.T.); #134772=ORIENTED_EDGE('',*,*,#91782,.T.); #134773=ORIENTED_EDGE('',*,*,#91779,.T.); #134774=ORIENTED_EDGE('',*,*,#91776,.T.); #134775=ORIENTED_EDGE('',*,*,#91744,.T.); #134776=ORIENTED_EDGE('',*,*,#91741,.T.); #134777=ORIENTED_EDGE('',*,*,#91737,.T.); #134778=ORIENTED_EDGE('',*,*,#91747,.T.); #134779=ORIENTED_EDGE('',*,*,#91774,.T.); #134780=ORIENTED_EDGE('',*,*,#91770,.T.); #134781=ORIENTED_EDGE('',*,*,#91956,.T.); #134782=ORIENTED_EDGE('',*,*,#91953,.T.); #134783=ORIENTED_EDGE('',*,*,#91949,.T.); #134784=ORIENTED_EDGE('',*,*,#91988,.T.); #134785=ORIENTED_EDGE('',*,*,#91985,.T.); #134786=ORIENTED_EDGE('',*,*,#91982,.T.); #134787=ORIENTED_EDGE('',*,*,#91979,.T.); #134788=ORIENTED_EDGE('',*,*,#91975,.T.); #134789=ORIENTED_EDGE('',*,*,#92008,.T.); #134790=ORIENTED_EDGE('',*,*,#92119,.T.); #134791=ORIENTED_EDGE('',*,*,#92116,.T.); #134792=ORIENTED_EDGE('',*,*,#92112,.T.); #134793=ORIENTED_EDGE('',*,*,#92121,.T.); #134794=ORIENTED_EDGE('',*,*,#92004,.T.); #134795=ORIENTED_EDGE('',*,*,#92096,.T.); #134796=ORIENTED_EDGE('',*,*,#92093,.T.); #134797=ORIENTED_EDGE('',*,*,#92090,.T.); #134798=ORIENTED_EDGE('',*,*,#92011,.T.); #134799=ORIENTED_EDGE('',*,*,#92022,.T.); #134800=ORIENTED_EDGE('',*,*,#92019,.T.); #134801=ORIENTED_EDGE('',*,*,#92015,.T.); #134802=ORIENTED_EDGE('',*,*,#92088,.T.); #134803=ORIENTED_EDGE('',*,*,#92085,.T.); #134804=ORIENTED_EDGE('',*,*,#92082,.T.); #134805=ORIENTED_EDGE('',*,*,#92079,.T.); #134806=ORIENTED_EDGE('',*,*,#92076,.T.); #134807=ORIENTED_EDGE('',*,*,#92073,.T.); #134808=ORIENTED_EDGE('',*,*,#92058,.T.); #134809=ORIENTED_EDGE('',*,*,#92055,.T.); #134810=ORIENTED_EDGE('',*,*,#92052,.T.); #134811=ORIENTED_EDGE('',*,*,#92049,.T.); #134812=ORIENTED_EDGE('',*,*,#92046,.T.); #134813=ORIENTED_EDGE('',*,*,#92043,.T.); #134814=ORIENTED_EDGE('',*,*,#92040,.T.); #134815=ORIENTED_EDGE('',*,*,#92036,.T.); #134816=ORIENTED_EDGE('',*,*,#92110,.T.); #134817=ORIENTED_EDGE('',*,*,#92107,.T.); #134818=ORIENTED_EDGE('',*,*,#92104,.T.); #134819=ORIENTED_EDGE('',*,*,#92101,.T.); #134820=ORIENTED_EDGE('',*,*,#92098,.T.); #134821=ORIENTED_EDGE('',*,*,#92001,.T.); #134822=ORIENTED_EDGE('',*,*,#91998,.T.); #134823=ORIENTED_EDGE('',*,*,#91995,.T.); #134824=ORIENTED_EDGE('',*,*,#91992,.T.); #134825=ORIENTED_EDGE('',*,*,#91963,.T.); #134826=ORIENTED_EDGE('',*,*,#91973,.T.); #134827=ORIENTED_EDGE('',*,*,#91970,.T.); #134828=ORIENTED_EDGE('',*,*,#91939,.T.); #134829=ORIENTED_EDGE('',*,*,#91936,.T.); #134830=ORIENTED_EDGE('',*,*,#91933,.T.); #134831=ORIENTED_EDGE('',*,*,#91918,.T.); #134832=ORIENTED_EDGE('',*,*,#91914,.T.); #134833=ORIENTED_EDGE('',*,*,#92163,.T.); #134834=ORIENTED_EDGE('',*,*,#92160,.T.); #134835=ORIENTED_EDGE('',*,*,#92157,.T.); #134836=ORIENTED_EDGE('',*,*,#91734,.T.); #134837=ORIENTED_EDGE('',*,*,#91731,.T.); #134838=ORIENTED_EDGE('',*,*,#91728,.T.); #134839=ORIENTED_EDGE('',*,*,#91725,.T.); #134840=ORIENTED_EDGE('',*,*,#91722,.T.); #134841=ORIENTED_EDGE('',*,*,#91718,.T.); #134842=ORIENTED_EDGE('',*,*,#92155,.T.); #134843=ORIENTED_EDGE('',*,*,#92152,.T.); #134844=ORIENTED_EDGE('',*,*,#92149,.T.); #134845=ORIENTED_EDGE('',*,*,#92123,.T.); #134846=ORIENTED_EDGE('',*,*,#92134,.T.); #134847=ORIENTED_EDGE('',*,*,#92131,.T.); #134848=ORIENTED_EDGE('',*,*,#92127,.T.); #134849=ORIENTED_EDGE('',*,*,#92147,.T.); #134850=ORIENTED_EDGE('',*,*,#92143,.T.); #134851=ORIENTED_EDGE('',*,*,#92188,.T.); #134852=ORIENTED_EDGE('',*,*,#92185,.T.); #134853=ORIENTED_EDGE('',*,*,#92182,.T.); #134854=ORIENTED_EDGE('',*,*,#92179,.T.); #134855=ORIENTED_EDGE('',*,*,#92283,.T.); #134856=ORIENTED_EDGE('',*,*,#92292,.T.); #134857=ORIENTED_EDGE('',*,*,#92289,.T.); #134858=ORIENTED_EDGE('',*,*,#92244,.T.); #134859=ORIENTED_EDGE('',*,*,#92272,.T.); #134860=ORIENTED_EDGE('',*,*,#92281,.T.); #134861=ORIENTED_EDGE('',*,*,#92278,.T.); #134862=ORIENTED_EDGE('',*,*,#92275,.T.); #134863=ORIENTED_EDGE('',*,*,#92241,.T.); #134864=ORIENTED_EDGE('',*,*,#92238,.T.); #134865=ORIENTED_EDGE('',*,*,#92235,.T.); #134866=ORIENTED_EDGE('',*,*,#92232,.T.); #134867=ORIENTED_EDGE('',*,*,#92229,.T.); #134868=ORIENTED_EDGE('',*,*,#92226,.T.); #134869=ORIENTED_EDGE('',*,*,#92223,.T.); #134870=ORIENTED_EDGE('',*,*,#92220,.T.); #134871=ORIENTED_EDGE('',*,*,#92217,.T.); #134872=ORIENTED_EDGE('',*,*,#92214,.T.); #134873=ORIENTED_EDGE('',*,*,#92211,.T.); #134874=ORIENTED_EDGE('',*,*,#92208,.T.); #134875=ORIENTED_EDGE('',*,*,#92205,.T.); #134876=ORIENTED_EDGE('',*,*,#92202,.T.); #134877=ORIENTED_EDGE('',*,*,#92199,.T.); #134878=ORIENTED_EDGE('',*,*,#92196,.T.); #134879=ORIENTED_EDGE('',*,*,#92192,.T.); #134880=ORIENTED_EDGE('',*,*,#92259,.T.); #134881=ORIENTED_EDGE('',*,*,#92255,.T.); #134882=ORIENTED_EDGE('',*,*,#92270,.T.); #134883=ORIENTED_EDGE('',*,*,#92267,.T.); #134884=ORIENTED_EDGE('',*,*,#92264,.T.); #134885=ORIENTED_EDGE('',*,*,#92261,.T.); #134886=ORIENTED_EDGE('',*,*,#92252,.T.); #134887=ORIENTED_EDGE('',*,*,#92248,.T.); #134888=ORIENTED_EDGE('',*,*,#92287,.T.); #134889=ORIENTED_EDGE('',*,*,#92306,.T.); #134890=ORIENTED_EDGE('',*,*,#92316,.T.); #134891=ORIENTED_EDGE('',*,*,#92313,.T.); #134892=ORIENTED_EDGE('',*,*,#92310,.T.); #134893=ORIENTED_EDGE('',*,*,#92318,.T.); #134894=ORIENTED_EDGE('',*,*,#92388,.T.); #134895=ORIENTED_EDGE('',*,*,#92385,.T.); #134896=ORIENTED_EDGE('',*,*,#92382,.T.); #134897=ORIENTED_EDGE('',*,*,#92379,.T.); #134898=ORIENTED_EDGE('',*,*,#92376,.T.); #134899=ORIENTED_EDGE('',*,*,#92373,.T.); #134900=ORIENTED_EDGE('',*,*,#92370,.T.); #134901=ORIENTED_EDGE('',*,*,#92367,.T.); #134902=ORIENTED_EDGE('',*,*,#92364,.T.); #134903=ORIENTED_EDGE('',*,*,#92361,.T.); #134904=ORIENTED_EDGE('',*,*,#92358,.T.); #134905=ORIENTED_EDGE('',*,*,#92355,.T.); #134906=ORIENTED_EDGE('',*,*,#92352,.T.); #134907=ORIENTED_EDGE('',*,*,#92349,.T.); #134908=ORIENTED_EDGE('',*,*,#92346,.T.); #134909=ORIENTED_EDGE('',*,*,#92343,.T.); #134910=ORIENTED_EDGE('',*,*,#92340,.T.); #134911=ORIENTED_EDGE('',*,*,#92337,.T.); #134912=ORIENTED_EDGE('',*,*,#92334,.T.); #134913=ORIENTED_EDGE('',*,*,#92331,.T.); #134914=ORIENTED_EDGE('',*,*,#92328,.T.); #134915=ORIENTED_EDGE('',*,*,#92325,.T.); #134916=ORIENTED_EDGE('',*,*,#92322,.T.); #134917=ORIENTED_EDGE('',*,*,#92417,.T.); #134918=ORIENTED_EDGE('',*,*,#92508,.T.); #134919=ORIENTED_EDGE('',*,*,#92505,.T.); #134920=ORIENTED_EDGE('',*,*,#92502,.T.); #134921=ORIENTED_EDGE('',*,*,#92499,.T.); #134922=ORIENTED_EDGE('',*,*,#92496,.T.); #134923=ORIENTED_EDGE('',*,*,#92493,.T.); #134924=ORIENTED_EDGE('',*,*,#92490,.T.); #134925=ORIENTED_EDGE('',*,*,#92487,.T.); #134926=ORIENTED_EDGE('',*,*,#92484,.T.); #134927=ORIENTED_EDGE('',*,*,#92481,.T.); #134928=ORIENTED_EDGE('',*,*,#92478,.T.); #134929=ORIENTED_EDGE('',*,*,#92475,.T.); #134930=ORIENTED_EDGE('',*,*,#92472,.T.); #134931=ORIENTED_EDGE('',*,*,#92469,.T.); #134932=ORIENTED_EDGE('',*,*,#92466,.T.); #134933=ORIENTED_EDGE('',*,*,#92463,.T.); #134934=ORIENTED_EDGE('',*,*,#92460,.T.); #134935=ORIENTED_EDGE('',*,*,#92457,.T.); #134936=ORIENTED_EDGE('',*,*,#92454,.T.); #134937=ORIENTED_EDGE('',*,*,#92451,.T.); #134938=ORIENTED_EDGE('',*,*,#92448,.T.); #134939=ORIENTED_EDGE('',*,*,#92445,.T.); #134940=ORIENTED_EDGE('',*,*,#92442,.T.); #134941=ORIENTED_EDGE('',*,*,#92439,.T.); #134942=ORIENTED_EDGE('',*,*,#92436,.T.); #134943=ORIENTED_EDGE('',*,*,#92433,.T.); #134944=ORIENTED_EDGE('',*,*,#92430,.T.); #134945=ORIENTED_EDGE('',*,*,#92427,.T.); #134946=ORIENTED_EDGE('',*,*,#92424,.T.); #134947=ORIENTED_EDGE('',*,*,#92421,.T.); #134948=ORIENTED_EDGE('',*,*,#92510,.T.); #134949=ORIENTED_EDGE('',*,*,#92541,.T.); #134950=ORIENTED_EDGE('',*,*,#92538,.T.); #134951=ORIENTED_EDGE('',*,*,#92535,.T.); #134952=ORIENTED_EDGE('',*,*,#92532,.T.); #134953=ORIENTED_EDGE('',*,*,#92529,.T.); #134954=ORIENTED_EDGE('',*,*,#92526,.T.); #134955=ORIENTED_EDGE('',*,*,#92523,.T.); #134956=ORIENTED_EDGE('',*,*,#92520,.T.); #134957=ORIENTED_EDGE('',*,*,#92517,.T.); #134958=ORIENTED_EDGE('',*,*,#92514,.T.); #134959=ORIENTED_EDGE('',*,*,#92573,.T.); #134960=ORIENTED_EDGE('',*,*,#92685,.T.); #134961=ORIENTED_EDGE('',*,*,#92682,.T.); #134962=ORIENTED_EDGE('',*,*,#92679,.T.); #134963=ORIENTED_EDGE('',*,*,#92676,.T.); #134964=ORIENTED_EDGE('',*,*,#92673,.T.); #134965=ORIENTED_EDGE('',*,*,#92670,.T.); #134966=ORIENTED_EDGE('',*,*,#92667,.T.); #134967=ORIENTED_EDGE('',*,*,#92664,.T.); #134968=ORIENTED_EDGE('',*,*,#92661,.T.); #134969=ORIENTED_EDGE('',*,*,#92658,.T.); #134970=ORIENTED_EDGE('',*,*,#92655,.T.); #134971=ORIENTED_EDGE('',*,*,#92652,.T.); #134972=ORIENTED_EDGE('',*,*,#92649,.T.); #134973=ORIENTED_EDGE('',*,*,#92646,.T.); #134974=ORIENTED_EDGE('',*,*,#92643,.T.); #134975=ORIENTED_EDGE('',*,*,#92640,.T.); #134976=ORIENTED_EDGE('',*,*,#92637,.T.); #134977=ORIENTED_EDGE('',*,*,#92634,.T.); #134978=ORIENTED_EDGE('',*,*,#92631,.T.); #134979=ORIENTED_EDGE('',*,*,#92628,.T.); #134980=ORIENTED_EDGE('',*,*,#92625,.T.); #134981=ORIENTED_EDGE('',*,*,#92622,.T.); #134982=ORIENTED_EDGE('',*,*,#92619,.T.); #134983=ORIENTED_EDGE('',*,*,#92616,.T.); #134984=ORIENTED_EDGE('',*,*,#92613,.T.); #134985=ORIENTED_EDGE('',*,*,#92610,.T.); #134986=ORIENTED_EDGE('',*,*,#92607,.T.); #134987=ORIENTED_EDGE('',*,*,#92604,.T.); #134988=ORIENTED_EDGE('',*,*,#92601,.T.); #134989=ORIENTED_EDGE('',*,*,#92598,.T.); #134990=ORIENTED_EDGE('',*,*,#92595,.T.); #134991=ORIENTED_EDGE('',*,*,#92592,.T.); #134992=ORIENTED_EDGE('',*,*,#92589,.T.); #134993=ORIENTED_EDGE('',*,*,#92586,.T.); #134994=ORIENTED_EDGE('',*,*,#92583,.T.); #134995=ORIENTED_EDGE('',*,*,#92580,.T.); #134996=ORIENTED_EDGE('',*,*,#92577,.T.); #134997=ORIENTED_EDGE('',*,*,#92687,.T.); #134998=ORIENTED_EDGE('',*,*,#92808,.T.); #134999=ORIENTED_EDGE('',*,*,#92805,.T.); #135000=ORIENTED_EDGE('',*,*,#92802,.T.); #135001=ORIENTED_EDGE('',*,*,#92799,.T.); #135002=ORIENTED_EDGE('',*,*,#92796,.T.); #135003=ORIENTED_EDGE('',*,*,#92793,.T.); #135004=ORIENTED_EDGE('',*,*,#92790,.T.); #135005=ORIENTED_EDGE('',*,*,#92787,.T.); #135006=ORIENTED_EDGE('',*,*,#92784,.T.); #135007=ORIENTED_EDGE('',*,*,#92781,.T.); #135008=ORIENTED_EDGE('',*,*,#92778,.T.); #135009=ORIENTED_EDGE('',*,*,#92775,.T.); #135010=ORIENTED_EDGE('',*,*,#92772,.T.); #135011=ORIENTED_EDGE('',*,*,#92769,.T.); #135012=ORIENTED_EDGE('',*,*,#92766,.T.); #135013=ORIENTED_EDGE('',*,*,#92763,.T.); #135014=ORIENTED_EDGE('',*,*,#92760,.T.); #135015=ORIENTED_EDGE('',*,*,#92757,.T.); #135016=ORIENTED_EDGE('',*,*,#92754,.T.); #135017=ORIENTED_EDGE('',*,*,#92751,.T.); #135018=ORIENTED_EDGE('',*,*,#92748,.T.); #135019=ORIENTED_EDGE('',*,*,#92745,.T.); #135020=ORIENTED_EDGE('',*,*,#92742,.T.); #135021=ORIENTED_EDGE('',*,*,#92739,.T.); #135022=ORIENTED_EDGE('',*,*,#92736,.T.); #135023=ORIENTED_EDGE('',*,*,#92733,.T.); #135024=ORIENTED_EDGE('',*,*,#92730,.T.); #135025=ORIENTED_EDGE('',*,*,#92727,.T.); #135026=ORIENTED_EDGE('',*,*,#92724,.T.); #135027=ORIENTED_EDGE('',*,*,#92721,.T.); #135028=ORIENTED_EDGE('',*,*,#92718,.T.); #135029=ORIENTED_EDGE('',*,*,#92715,.T.); #135030=ORIENTED_EDGE('',*,*,#92712,.T.); #135031=ORIENTED_EDGE('',*,*,#92709,.T.); #135032=ORIENTED_EDGE('',*,*,#92706,.T.); #135033=ORIENTED_EDGE('',*,*,#92703,.T.); #135034=ORIENTED_EDGE('',*,*,#92700,.T.); #135035=ORIENTED_EDGE('',*,*,#92697,.T.); #135036=ORIENTED_EDGE('',*,*,#92694,.T.); #135037=ORIENTED_EDGE('',*,*,#92691,.T.); #135038=ORIENTED_EDGE('',*,*,#92834,.T.); #135039=ORIENTED_EDGE('',*,*,#92844,.T.); #135040=ORIENTED_EDGE('',*,*,#92841,.T.); #135041=ORIENTED_EDGE('',*,*,#92838,.T.); #135042=ORIENTED_EDGE('',*,*,#92870,.T.); #135043=ORIENTED_EDGE('',*,*,#92973,.T.); #135044=ORIENTED_EDGE('',*,*,#92970,.T.); #135045=ORIENTED_EDGE('',*,*,#92967,.T.); #135046=ORIENTED_EDGE('',*,*,#92964,.T.); #135047=ORIENTED_EDGE('',*,*,#92961,.T.); #135048=ORIENTED_EDGE('',*,*,#92958,.T.); #135049=ORIENTED_EDGE('',*,*,#92955,.T.); #135050=ORIENTED_EDGE('',*,*,#92952,.T.); #135051=ORIENTED_EDGE('',*,*,#92949,.T.); #135052=ORIENTED_EDGE('',*,*,#92946,.T.); #135053=ORIENTED_EDGE('',*,*,#92943,.T.); #135054=ORIENTED_EDGE('',*,*,#92940,.T.); #135055=ORIENTED_EDGE('',*,*,#92937,.T.); #135056=ORIENTED_EDGE('',*,*,#92934,.T.); #135057=ORIENTED_EDGE('',*,*,#92931,.T.); #135058=ORIENTED_EDGE('',*,*,#92928,.T.); #135059=ORIENTED_EDGE('',*,*,#92925,.T.); #135060=ORIENTED_EDGE('',*,*,#92922,.T.); #135061=ORIENTED_EDGE('',*,*,#92919,.T.); #135062=ORIENTED_EDGE('',*,*,#92916,.T.); #135063=ORIENTED_EDGE('',*,*,#92913,.T.); #135064=ORIENTED_EDGE('',*,*,#92910,.T.); #135065=ORIENTED_EDGE('',*,*,#92907,.T.); #135066=ORIENTED_EDGE('',*,*,#92904,.T.); #135067=ORIENTED_EDGE('',*,*,#92901,.T.); #135068=ORIENTED_EDGE('',*,*,#92898,.T.); #135069=ORIENTED_EDGE('',*,*,#92895,.T.); #135070=ORIENTED_EDGE('',*,*,#92892,.T.); #135071=ORIENTED_EDGE('',*,*,#92889,.T.); #135072=ORIENTED_EDGE('',*,*,#92886,.T.); #135073=ORIENTED_EDGE('',*,*,#92883,.T.); #135074=ORIENTED_EDGE('',*,*,#92880,.T.); #135075=ORIENTED_EDGE('',*,*,#92877,.T.); #135076=ORIENTED_EDGE('',*,*,#92874,.T.); #135077=ORIENTED_EDGE('',*,*,#92975,.T.); #135078=ORIENTED_EDGE('',*,*,#93111,.T.); #135079=ORIENTED_EDGE('',*,*,#93108,.T.); #135080=ORIENTED_EDGE('',*,*,#93105,.T.); #135081=ORIENTED_EDGE('',*,*,#93102,.T.); #135082=ORIENTED_EDGE('',*,*,#93099,.T.); #135083=ORIENTED_EDGE('',*,*,#93096,.T.); #135084=ORIENTED_EDGE('',*,*,#93093,.T.); #135085=ORIENTED_EDGE('',*,*,#93090,.T.); #135086=ORIENTED_EDGE('',*,*,#93087,.T.); #135087=ORIENTED_EDGE('',*,*,#93084,.T.); #135088=ORIENTED_EDGE('',*,*,#93081,.T.); #135089=ORIENTED_EDGE('',*,*,#93078,.T.); #135090=ORIENTED_EDGE('',*,*,#93075,.T.); #135091=ORIENTED_EDGE('',*,*,#93072,.T.); #135092=ORIENTED_EDGE('',*,*,#93069,.T.); #135093=ORIENTED_EDGE('',*,*,#93066,.T.); #135094=ORIENTED_EDGE('',*,*,#93063,.T.); #135095=ORIENTED_EDGE('',*,*,#93060,.T.); #135096=ORIENTED_EDGE('',*,*,#93057,.T.); #135097=ORIENTED_EDGE('',*,*,#93054,.T.); #135098=ORIENTED_EDGE('',*,*,#93051,.T.); #135099=ORIENTED_EDGE('',*,*,#93048,.T.); #135100=ORIENTED_EDGE('',*,*,#93045,.T.); #135101=ORIENTED_EDGE('',*,*,#93042,.T.); #135102=ORIENTED_EDGE('',*,*,#93039,.T.); #135103=ORIENTED_EDGE('',*,*,#93036,.T.); #135104=ORIENTED_EDGE('',*,*,#93033,.T.); #135105=ORIENTED_EDGE('',*,*,#93030,.T.); #135106=ORIENTED_EDGE('',*,*,#93027,.T.); #135107=ORIENTED_EDGE('',*,*,#93024,.T.); #135108=ORIENTED_EDGE('',*,*,#93021,.T.); #135109=ORIENTED_EDGE('',*,*,#93018,.T.); #135110=ORIENTED_EDGE('',*,*,#93015,.T.); #135111=ORIENTED_EDGE('',*,*,#93012,.T.); #135112=ORIENTED_EDGE('',*,*,#93009,.T.); #135113=ORIENTED_EDGE('',*,*,#93006,.T.); #135114=ORIENTED_EDGE('',*,*,#93003,.T.); #135115=ORIENTED_EDGE('',*,*,#93000,.T.); #135116=ORIENTED_EDGE('',*,*,#92997,.T.); #135117=ORIENTED_EDGE('',*,*,#92994,.T.); #135118=ORIENTED_EDGE('',*,*,#92991,.T.); #135119=ORIENTED_EDGE('',*,*,#92988,.T.); #135120=ORIENTED_EDGE('',*,*,#92985,.T.); #135121=ORIENTED_EDGE('',*,*,#92982,.T.); #135122=ORIENTED_EDGE('',*,*,#92979,.T.); #135123=ORIENTED_EDGE('',*,*,#93113,.T.); #135124=ORIENTED_EDGE('',*,*,#93222,.T.); #135125=ORIENTED_EDGE('',*,*,#93219,.T.); #135126=ORIENTED_EDGE('',*,*,#93216,.T.); #135127=ORIENTED_EDGE('',*,*,#93213,.T.); #135128=ORIENTED_EDGE('',*,*,#93210,.T.); #135129=ORIENTED_EDGE('',*,*,#93207,.T.); #135130=ORIENTED_EDGE('',*,*,#93204,.T.); #135131=ORIENTED_EDGE('',*,*,#93201,.T.); #135132=ORIENTED_EDGE('',*,*,#93198,.T.); #135133=ORIENTED_EDGE('',*,*,#93195,.T.); #135134=ORIENTED_EDGE('',*,*,#93192,.T.); #135135=ORIENTED_EDGE('',*,*,#93189,.T.); #135136=ORIENTED_EDGE('',*,*,#93186,.T.); #135137=ORIENTED_EDGE('',*,*,#93183,.T.); #135138=ORIENTED_EDGE('',*,*,#93180,.T.); #135139=ORIENTED_EDGE('',*,*,#93177,.T.); #135140=ORIENTED_EDGE('',*,*,#93174,.T.); #135141=ORIENTED_EDGE('',*,*,#93171,.T.); #135142=ORIENTED_EDGE('',*,*,#93168,.T.); #135143=ORIENTED_EDGE('',*,*,#93165,.T.); #135144=ORIENTED_EDGE('',*,*,#93162,.T.); #135145=ORIENTED_EDGE('',*,*,#93159,.T.); #135146=ORIENTED_EDGE('',*,*,#93156,.T.); #135147=ORIENTED_EDGE('',*,*,#93153,.T.); #135148=ORIENTED_EDGE('',*,*,#93150,.T.); #135149=ORIENTED_EDGE('',*,*,#93147,.T.); #135150=ORIENTED_EDGE('',*,*,#93144,.T.); #135151=ORIENTED_EDGE('',*,*,#93141,.T.); #135152=ORIENTED_EDGE('',*,*,#93138,.T.); #135153=ORIENTED_EDGE('',*,*,#93135,.T.); #135154=ORIENTED_EDGE('',*,*,#93132,.T.); #135155=ORIENTED_EDGE('',*,*,#93129,.T.); #135156=ORIENTED_EDGE('',*,*,#93126,.T.); #135157=ORIENTED_EDGE('',*,*,#93123,.T.); #135158=ORIENTED_EDGE('',*,*,#93120,.T.); #135159=ORIENTED_EDGE('',*,*,#93117,.T.); #135160=ORIENTED_EDGE('',*,*,#93224,.T.); #135161=ORIENTED_EDGE('',*,*,#93255,.T.); #135162=ORIENTED_EDGE('',*,*,#93252,.T.); #135163=ORIENTED_EDGE('',*,*,#93249,.T.); #135164=ORIENTED_EDGE('',*,*,#93246,.T.); #135165=ORIENTED_EDGE('',*,*,#93243,.T.); #135166=ORIENTED_EDGE('',*,*,#93240,.T.); #135167=ORIENTED_EDGE('',*,*,#93237,.T.); #135168=ORIENTED_EDGE('',*,*,#93234,.T.); #135169=ORIENTED_EDGE('',*,*,#93231,.T.); #135170=ORIENTED_EDGE('',*,*,#93228,.T.); #135171=ORIENTED_EDGE('',*,*,#93257,.T.); #135172=ORIENTED_EDGE('',*,*,#93408,.T.); #135173=ORIENTED_EDGE('',*,*,#93405,.T.); #135174=ORIENTED_EDGE('',*,*,#93402,.T.); #135175=ORIENTED_EDGE('',*,*,#93399,.T.); #135176=ORIENTED_EDGE('',*,*,#93396,.T.); #135177=ORIENTED_EDGE('',*,*,#93393,.T.); #135178=ORIENTED_EDGE('',*,*,#93390,.T.); #135179=ORIENTED_EDGE('',*,*,#93387,.T.); #135180=ORIENTED_EDGE('',*,*,#93384,.T.); #135181=ORIENTED_EDGE('',*,*,#93381,.T.); #135182=ORIENTED_EDGE('',*,*,#93378,.T.); #135183=ORIENTED_EDGE('',*,*,#93375,.T.); #135184=ORIENTED_EDGE('',*,*,#93372,.T.); #135185=ORIENTED_EDGE('',*,*,#93369,.T.); #135186=ORIENTED_EDGE('',*,*,#93366,.T.); #135187=ORIENTED_EDGE('',*,*,#93363,.T.); #135188=ORIENTED_EDGE('',*,*,#93360,.T.); #135189=ORIENTED_EDGE('',*,*,#93357,.T.); #135190=ORIENTED_EDGE('',*,*,#93354,.T.); #135191=ORIENTED_EDGE('',*,*,#93351,.T.); #135192=ORIENTED_EDGE('',*,*,#93348,.T.); #135193=ORIENTED_EDGE('',*,*,#93345,.T.); #135194=ORIENTED_EDGE('',*,*,#93342,.T.); #135195=ORIENTED_EDGE('',*,*,#93339,.T.); #135196=ORIENTED_EDGE('',*,*,#93336,.T.); #135197=ORIENTED_EDGE('',*,*,#93333,.T.); #135198=ORIENTED_EDGE('',*,*,#93330,.T.); #135199=ORIENTED_EDGE('',*,*,#93327,.T.); #135200=ORIENTED_EDGE('',*,*,#93324,.T.); #135201=ORIENTED_EDGE('',*,*,#93321,.T.); #135202=ORIENTED_EDGE('',*,*,#93318,.T.); #135203=ORIENTED_EDGE('',*,*,#93315,.T.); #135204=ORIENTED_EDGE('',*,*,#93312,.T.); #135205=ORIENTED_EDGE('',*,*,#93309,.T.); #135206=ORIENTED_EDGE('',*,*,#93306,.T.); #135207=ORIENTED_EDGE('',*,*,#93303,.T.); #135208=ORIENTED_EDGE('',*,*,#93300,.T.); #135209=ORIENTED_EDGE('',*,*,#93297,.T.); #135210=ORIENTED_EDGE('',*,*,#93294,.T.); #135211=ORIENTED_EDGE('',*,*,#93291,.T.); #135212=ORIENTED_EDGE('',*,*,#93288,.T.); #135213=ORIENTED_EDGE('',*,*,#93285,.T.); #135214=ORIENTED_EDGE('',*,*,#93282,.T.); #135215=ORIENTED_EDGE('',*,*,#93279,.T.); #135216=ORIENTED_EDGE('',*,*,#93276,.T.); #135217=ORIENTED_EDGE('',*,*,#93273,.T.); #135218=ORIENTED_EDGE('',*,*,#93270,.T.); #135219=ORIENTED_EDGE('',*,*,#93267,.T.); #135220=ORIENTED_EDGE('',*,*,#93264,.T.); #135221=ORIENTED_EDGE('',*,*,#93261,.T.); #135222=ORIENTED_EDGE('',*,*,#93452,.T.); #135223=ORIENTED_EDGE('',*,*,#93498,.T.); #135224=ORIENTED_EDGE('',*,*,#93495,.T.); #135225=ORIENTED_EDGE('',*,*,#93492,.T.); #135226=ORIENTED_EDGE('',*,*,#93489,.T.); #135227=ORIENTED_EDGE('',*,*,#93486,.T.); #135228=ORIENTED_EDGE('',*,*,#93483,.T.); #135229=ORIENTED_EDGE('',*,*,#93480,.T.); #135230=ORIENTED_EDGE('',*,*,#93477,.T.); #135231=ORIENTED_EDGE('',*,*,#93474,.T.); #135232=ORIENTED_EDGE('',*,*,#93471,.T.); #135233=ORIENTED_EDGE('',*,*,#93468,.T.); #135234=ORIENTED_EDGE('',*,*,#93465,.T.); #135235=ORIENTED_EDGE('',*,*,#93462,.T.); #135236=ORIENTED_EDGE('',*,*,#93459,.T.); #135237=ORIENTED_EDGE('',*,*,#93456,.T.); #135238=ORIENTED_EDGE('',*,*,#93542,.T.); #135239=ORIENTED_EDGE('',*,*,#93588,.T.); #135240=ORIENTED_EDGE('',*,*,#93585,.T.); #135241=ORIENTED_EDGE('',*,*,#93582,.T.); #135242=ORIENTED_EDGE('',*,*,#93579,.T.); #135243=ORIENTED_EDGE('',*,*,#93576,.T.); #135244=ORIENTED_EDGE('',*,*,#93573,.T.); #135245=ORIENTED_EDGE('',*,*,#93570,.T.); #135246=ORIENTED_EDGE('',*,*,#93567,.T.); #135247=ORIENTED_EDGE('',*,*,#93564,.T.); #135248=ORIENTED_EDGE('',*,*,#93561,.T.); #135249=ORIENTED_EDGE('',*,*,#93558,.T.); #135250=ORIENTED_EDGE('',*,*,#93555,.T.); #135251=ORIENTED_EDGE('',*,*,#93552,.T.); #135252=ORIENTED_EDGE('',*,*,#93549,.T.); #135253=ORIENTED_EDGE('',*,*,#93546,.T.); #135254=ORIENTED_EDGE('',*,*,#93632,.T.); #135255=ORIENTED_EDGE('',*,*,#93678,.T.); #135256=ORIENTED_EDGE('',*,*,#93675,.T.); #135257=ORIENTED_EDGE('',*,*,#93672,.T.); #135258=ORIENTED_EDGE('',*,*,#93669,.T.); #135259=ORIENTED_EDGE('',*,*,#93666,.T.); #135260=ORIENTED_EDGE('',*,*,#93663,.T.); #135261=ORIENTED_EDGE('',*,*,#93660,.T.); #135262=ORIENTED_EDGE('',*,*,#93657,.T.); #135263=ORIENTED_EDGE('',*,*,#93654,.T.); #135264=ORIENTED_EDGE('',*,*,#93651,.T.); #135265=ORIENTED_EDGE('',*,*,#93648,.T.); #135266=ORIENTED_EDGE('',*,*,#93645,.T.); #135267=ORIENTED_EDGE('',*,*,#93642,.T.); #135268=ORIENTED_EDGE('',*,*,#93639,.T.); #135269=ORIENTED_EDGE('',*,*,#93636,.T.); #135270=ORIENTED_EDGE('',*,*,#93722,.T.); #135271=ORIENTED_EDGE('',*,*,#93768,.T.); #135272=ORIENTED_EDGE('',*,*,#93765,.T.); #135273=ORIENTED_EDGE('',*,*,#93762,.T.); #135274=ORIENTED_EDGE('',*,*,#93759,.T.); #135275=ORIENTED_EDGE('',*,*,#93756,.T.); #135276=ORIENTED_EDGE('',*,*,#93753,.T.); #135277=ORIENTED_EDGE('',*,*,#93750,.T.); #135278=ORIENTED_EDGE('',*,*,#93747,.T.); #135279=ORIENTED_EDGE('',*,*,#93744,.T.); #135280=ORIENTED_EDGE('',*,*,#93741,.T.); #135281=ORIENTED_EDGE('',*,*,#93738,.T.); #135282=ORIENTED_EDGE('',*,*,#93735,.T.); #135283=ORIENTED_EDGE('',*,*,#93732,.T.); #135284=ORIENTED_EDGE('',*,*,#93729,.T.); #135285=ORIENTED_EDGE('',*,*,#93726,.T.); #135286=ORIENTED_EDGE('',*,*,#93770,.T.); #135287=ORIENTED_EDGE('',*,*,#93861,.T.); #135288=ORIENTED_EDGE('',*,*,#93858,.T.); #135289=ORIENTED_EDGE('',*,*,#93855,.T.); #135290=ORIENTED_EDGE('',*,*,#93852,.T.); #135291=ORIENTED_EDGE('',*,*,#93849,.T.); #135292=ORIENTED_EDGE('',*,*,#93846,.T.); #135293=ORIENTED_EDGE('',*,*,#93843,.T.); #135294=ORIENTED_EDGE('',*,*,#93840,.T.); #135295=ORIENTED_EDGE('',*,*,#93837,.T.); #135296=ORIENTED_EDGE('',*,*,#93834,.T.); #135297=ORIENTED_EDGE('',*,*,#93831,.T.); #135298=ORIENTED_EDGE('',*,*,#93828,.T.); #135299=ORIENTED_EDGE('',*,*,#93825,.T.); #135300=ORIENTED_EDGE('',*,*,#93822,.T.); #135301=ORIENTED_EDGE('',*,*,#93819,.T.); #135302=ORIENTED_EDGE('',*,*,#93816,.T.); #135303=ORIENTED_EDGE('',*,*,#93813,.T.); #135304=ORIENTED_EDGE('',*,*,#93810,.T.); #135305=ORIENTED_EDGE('',*,*,#93807,.T.); #135306=ORIENTED_EDGE('',*,*,#93804,.T.); #135307=ORIENTED_EDGE('',*,*,#93801,.T.); #135308=ORIENTED_EDGE('',*,*,#93798,.T.); #135309=ORIENTED_EDGE('',*,*,#93795,.T.); #135310=ORIENTED_EDGE('',*,*,#93792,.T.); #135311=ORIENTED_EDGE('',*,*,#93789,.T.); #135312=ORIENTED_EDGE('',*,*,#93786,.T.); #135313=ORIENTED_EDGE('',*,*,#93783,.T.); #135314=ORIENTED_EDGE('',*,*,#93780,.T.); #135315=ORIENTED_EDGE('',*,*,#93777,.T.); #135316=ORIENTED_EDGE('',*,*,#93774,.T.); #135317=ORIENTED_EDGE('',*,*,#93863,.T.); #135318=ORIENTED_EDGE('',*,*,#93972,.T.); #135319=ORIENTED_EDGE('',*,*,#93969,.T.); #135320=ORIENTED_EDGE('',*,*,#93966,.T.); #135321=ORIENTED_EDGE('',*,*,#93963,.T.); #135322=ORIENTED_EDGE('',*,*,#93960,.T.); #135323=ORIENTED_EDGE('',*,*,#93957,.T.); #135324=ORIENTED_EDGE('',*,*,#93954,.T.); #135325=ORIENTED_EDGE('',*,*,#93951,.T.); #135326=ORIENTED_EDGE('',*,*,#93948,.T.); #135327=ORIENTED_EDGE('',*,*,#93945,.T.); #135328=ORIENTED_EDGE('',*,*,#93942,.T.); #135329=ORIENTED_EDGE('',*,*,#93939,.T.); #135330=ORIENTED_EDGE('',*,*,#93936,.T.); #135331=ORIENTED_EDGE('',*,*,#93933,.T.); #135332=ORIENTED_EDGE('',*,*,#93930,.T.); #135333=ORIENTED_EDGE('',*,*,#93927,.T.); #135334=ORIENTED_EDGE('',*,*,#93924,.T.); #135335=ORIENTED_EDGE('',*,*,#93921,.T.); #135336=ORIENTED_EDGE('',*,*,#93918,.T.); #135337=ORIENTED_EDGE('',*,*,#93915,.T.); #135338=ORIENTED_EDGE('',*,*,#93912,.T.); #135339=ORIENTED_EDGE('',*,*,#93909,.T.); #135340=ORIENTED_EDGE('',*,*,#93906,.T.); #135341=ORIENTED_EDGE('',*,*,#93903,.T.); #135342=ORIENTED_EDGE('',*,*,#93900,.T.); #135343=ORIENTED_EDGE('',*,*,#93897,.T.); #135344=ORIENTED_EDGE('',*,*,#93894,.T.); #135345=ORIENTED_EDGE('',*,*,#93891,.T.); #135346=ORIENTED_EDGE('',*,*,#93888,.T.); #135347=ORIENTED_EDGE('',*,*,#93885,.T.); #135348=ORIENTED_EDGE('',*,*,#93882,.T.); #135349=ORIENTED_EDGE('',*,*,#93879,.T.); #135350=ORIENTED_EDGE('',*,*,#93876,.T.); #135351=ORIENTED_EDGE('',*,*,#93873,.T.); #135352=ORIENTED_EDGE('',*,*,#93870,.T.); #135353=ORIENTED_EDGE('',*,*,#93867,.T.); #135354=ORIENTED_EDGE('',*,*,#93974,.T.); #135355=ORIENTED_EDGE('',*,*,#94059,.T.); #135356=ORIENTED_EDGE('',*,*,#94056,.T.); #135357=ORIENTED_EDGE('',*,*,#94053,.T.); #135358=ORIENTED_EDGE('',*,*,#94050,.T.); #135359=ORIENTED_EDGE('',*,*,#94047,.T.); #135360=ORIENTED_EDGE('',*,*,#94044,.T.); #135361=ORIENTED_EDGE('',*,*,#94041,.T.); #135362=ORIENTED_EDGE('',*,*,#94038,.T.); #135363=ORIENTED_EDGE('',*,*,#94035,.T.); #135364=ORIENTED_EDGE('',*,*,#94032,.T.); #135365=ORIENTED_EDGE('',*,*,#94029,.T.); #135366=ORIENTED_EDGE('',*,*,#94026,.T.); #135367=ORIENTED_EDGE('',*,*,#94023,.T.); #135368=ORIENTED_EDGE('',*,*,#94020,.T.); #135369=ORIENTED_EDGE('',*,*,#94017,.T.); #135370=ORIENTED_EDGE('',*,*,#94014,.T.); #135371=ORIENTED_EDGE('',*,*,#94011,.T.); #135372=ORIENTED_EDGE('',*,*,#94008,.T.); #135373=ORIENTED_EDGE('',*,*,#94005,.T.); #135374=ORIENTED_EDGE('',*,*,#94002,.T.); #135375=ORIENTED_EDGE('',*,*,#93999,.T.); #135376=ORIENTED_EDGE('',*,*,#93996,.T.); #135377=ORIENTED_EDGE('',*,*,#93993,.T.); #135378=ORIENTED_EDGE('',*,*,#93990,.T.); #135379=ORIENTED_EDGE('',*,*,#93987,.T.); #135380=ORIENTED_EDGE('',*,*,#93984,.T.); #135381=ORIENTED_EDGE('',*,*,#93981,.T.); #135382=ORIENTED_EDGE('',*,*,#93978,.T.); #135383=ORIENTED_EDGE('',*,*,#94061,.T.); #135384=ORIENTED_EDGE('',*,*,#94089,.T.); #135385=ORIENTED_EDGE('',*,*,#94086,.T.); #135386=ORIENTED_EDGE('',*,*,#94083,.T.); #135387=ORIENTED_EDGE('',*,*,#94080,.T.); #135388=ORIENTED_EDGE('',*,*,#94077,.T.); #135389=ORIENTED_EDGE('',*,*,#94074,.T.); #135390=ORIENTED_EDGE('',*,*,#94071,.T.); #135391=ORIENTED_EDGE('',*,*,#94068,.T.); #135392=ORIENTED_EDGE('',*,*,#94065,.T.); #135393=ORIENTED_EDGE('',*,*,#94091,.T.); #135394=ORIENTED_EDGE('',*,*,#94149,.T.); #135395=ORIENTED_EDGE('',*,*,#94146,.T.); #135396=ORIENTED_EDGE('',*,*,#94143,.T.); #135397=ORIENTED_EDGE('',*,*,#94140,.T.); #135398=ORIENTED_EDGE('',*,*,#94137,.T.); #135399=ORIENTED_EDGE('',*,*,#94134,.T.); #135400=ORIENTED_EDGE('',*,*,#94131,.T.); #135401=ORIENTED_EDGE('',*,*,#94128,.T.); #135402=ORIENTED_EDGE('',*,*,#94125,.T.); #135403=ORIENTED_EDGE('',*,*,#94122,.T.); #135404=ORIENTED_EDGE('',*,*,#94119,.T.); #135405=ORIENTED_EDGE('',*,*,#94116,.T.); #135406=ORIENTED_EDGE('',*,*,#94113,.T.); #135407=ORIENTED_EDGE('',*,*,#94110,.T.); #135408=ORIENTED_EDGE('',*,*,#94107,.T.); #135409=ORIENTED_EDGE('',*,*,#94104,.T.); #135410=ORIENTED_EDGE('',*,*,#94101,.T.); #135411=ORIENTED_EDGE('',*,*,#94098,.T.); #135412=ORIENTED_EDGE('',*,*,#94095,.T.); #135413=ORIENTED_EDGE('',*,*,#94176,.T.); #135414=ORIENTED_EDGE('',*,*,#94197,.T.); #135415=ORIENTED_EDGE('',*,*,#94194,.T.); #135416=ORIENTED_EDGE('',*,*,#94170,.T.); #135417=ORIENTED_EDGE('',*,*,#94167,.T.); #135418=ORIENTED_EDGE('',*,*,#94164,.T.); #135419=ORIENTED_EDGE('',*,*,#94161,.T.); #135420=ORIENTED_EDGE('',*,*,#94158,.T.); #135421=ORIENTED_EDGE('',*,*,#94155,.T.); #135422=ORIENTED_EDGE('',*,*,#94151,.T.); #135423=ORIENTED_EDGE('',*,*,#94173,.T.); #135424=ORIENTED_EDGE('',*,*,#94192,.T.); #135425=ORIENTED_EDGE('',*,*,#94189,.T.); #135426=ORIENTED_EDGE('',*,*,#94186,.T.); #135427=ORIENTED_EDGE('',*,*,#94183,.T.); #135428=ORIENTED_EDGE('',*,*,#94180,.T.); #135429=ORIENTED_EDGE('',*,*,#94211,.T.); #135430=ORIENTED_EDGE('',*,*,#94221,.T.); #135431=ORIENTED_EDGE('',*,*,#94218,.T.); #135432=ORIENTED_EDGE('',*,*,#94215,.T.); #135433=ORIENTED_EDGE('',*,*,#94247,.T.); #135434=ORIENTED_EDGE('',*,*,#94332,.T.); #135435=ORIENTED_EDGE('',*,*,#94329,.T.); #135436=ORIENTED_EDGE('',*,*,#94326,.T.); #135437=ORIENTED_EDGE('',*,*,#94323,.T.); #135438=ORIENTED_EDGE('',*,*,#94320,.T.); #135439=ORIENTED_EDGE('',*,*,#94317,.T.); #135440=ORIENTED_EDGE('',*,*,#94314,.T.); #135441=ORIENTED_EDGE('',*,*,#94311,.T.); #135442=ORIENTED_EDGE('',*,*,#94308,.T.); #135443=ORIENTED_EDGE('',*,*,#94305,.T.); #135444=ORIENTED_EDGE('',*,*,#94302,.T.); #135445=ORIENTED_EDGE('',*,*,#94299,.T.); #135446=ORIENTED_EDGE('',*,*,#94296,.T.); #135447=ORIENTED_EDGE('',*,*,#94293,.T.); #135448=ORIENTED_EDGE('',*,*,#94290,.T.); #135449=ORIENTED_EDGE('',*,*,#94287,.T.); #135450=ORIENTED_EDGE('',*,*,#94284,.T.); #135451=ORIENTED_EDGE('',*,*,#94281,.T.); #135452=ORIENTED_EDGE('',*,*,#94278,.T.); #135453=ORIENTED_EDGE('',*,*,#94275,.T.); #135454=ORIENTED_EDGE('',*,*,#94272,.T.); #135455=ORIENTED_EDGE('',*,*,#94269,.T.); #135456=ORIENTED_EDGE('',*,*,#94266,.T.); #135457=ORIENTED_EDGE('',*,*,#94263,.T.); #135458=ORIENTED_EDGE('',*,*,#94260,.T.); #135459=ORIENTED_EDGE('',*,*,#94257,.T.); #135460=ORIENTED_EDGE('',*,*,#94254,.T.); #135461=ORIENTED_EDGE('',*,*,#94251,.T.); #135462=ORIENTED_EDGE('',*,*,#94334,.T.); #135463=ORIENTED_EDGE('',*,*,#94434,.T.); #135464=ORIENTED_EDGE('',*,*,#94431,.T.); #135465=ORIENTED_EDGE('',*,*,#94428,.T.); #135466=ORIENTED_EDGE('',*,*,#94425,.T.); #135467=ORIENTED_EDGE('',*,*,#94422,.T.); #135468=ORIENTED_EDGE('',*,*,#94419,.T.); #135469=ORIENTED_EDGE('',*,*,#94416,.T.); #135470=ORIENTED_EDGE('',*,*,#94413,.T.); #135471=ORIENTED_EDGE('',*,*,#94410,.T.); #135472=ORIENTED_EDGE('',*,*,#94407,.T.); #135473=ORIENTED_EDGE('',*,*,#94404,.T.); #135474=ORIENTED_EDGE('',*,*,#94401,.T.); #135475=ORIENTED_EDGE('',*,*,#94398,.T.); #135476=ORIENTED_EDGE('',*,*,#94395,.T.); #135477=ORIENTED_EDGE('',*,*,#94392,.T.); #135478=ORIENTED_EDGE('',*,*,#94389,.T.); #135479=ORIENTED_EDGE('',*,*,#94386,.T.); #135480=ORIENTED_EDGE('',*,*,#94383,.T.); #135481=ORIENTED_EDGE('',*,*,#94380,.T.); #135482=ORIENTED_EDGE('',*,*,#94377,.T.); #135483=ORIENTED_EDGE('',*,*,#94374,.T.); #135484=ORIENTED_EDGE('',*,*,#94371,.T.); #135485=ORIENTED_EDGE('',*,*,#94368,.T.); #135486=ORIENTED_EDGE('',*,*,#94365,.T.); #135487=ORIENTED_EDGE('',*,*,#94362,.T.); #135488=ORIENTED_EDGE('',*,*,#94359,.T.); #135489=ORIENTED_EDGE('',*,*,#94356,.T.); #135490=ORIENTED_EDGE('',*,*,#94353,.T.); #135491=ORIENTED_EDGE('',*,*,#94350,.T.); #135492=ORIENTED_EDGE('',*,*,#94347,.T.); #135493=ORIENTED_EDGE('',*,*,#94344,.T.); #135494=ORIENTED_EDGE('',*,*,#94341,.T.); #135495=ORIENTED_EDGE('',*,*,#94338,.T.); #135496=ORIENTED_EDGE('',*,*,#94436,.T.); #135497=ORIENTED_EDGE('',*,*,#94551,.T.); #135498=ORIENTED_EDGE('',*,*,#94548,.T.); #135499=ORIENTED_EDGE('',*,*,#94545,.T.); #135500=ORIENTED_EDGE('',*,*,#94542,.T.); #135501=ORIENTED_EDGE('',*,*,#94539,.T.); #135502=ORIENTED_EDGE('',*,*,#94536,.T.); #135503=ORIENTED_EDGE('',*,*,#94533,.T.); #135504=ORIENTED_EDGE('',*,*,#94530,.T.); #135505=ORIENTED_EDGE('',*,*,#94527,.T.); #135506=ORIENTED_EDGE('',*,*,#94524,.T.); #135507=ORIENTED_EDGE('',*,*,#94521,.T.); #135508=ORIENTED_EDGE('',*,*,#94518,.T.); #135509=ORIENTED_EDGE('',*,*,#94515,.T.); #135510=ORIENTED_EDGE('',*,*,#94512,.T.); #135511=ORIENTED_EDGE('',*,*,#94509,.T.); #135512=ORIENTED_EDGE('',*,*,#94506,.T.); #135513=ORIENTED_EDGE('',*,*,#94503,.T.); #135514=ORIENTED_EDGE('',*,*,#94500,.T.); #135515=ORIENTED_EDGE('',*,*,#94497,.T.); #135516=ORIENTED_EDGE('',*,*,#94494,.T.); #135517=ORIENTED_EDGE('',*,*,#94491,.T.); #135518=ORIENTED_EDGE('',*,*,#94488,.T.); #135519=ORIENTED_EDGE('',*,*,#94485,.T.); #135520=ORIENTED_EDGE('',*,*,#94482,.T.); #135521=ORIENTED_EDGE('',*,*,#94479,.T.); #135522=ORIENTED_EDGE('',*,*,#94476,.T.); #135523=ORIENTED_EDGE('',*,*,#94473,.T.); #135524=ORIENTED_EDGE('',*,*,#94470,.T.); #135525=ORIENTED_EDGE('',*,*,#94467,.T.); #135526=ORIENTED_EDGE('',*,*,#94464,.T.); #135527=ORIENTED_EDGE('',*,*,#94461,.T.); #135528=ORIENTED_EDGE('',*,*,#94458,.T.); #135529=ORIENTED_EDGE('',*,*,#94455,.T.); #135530=ORIENTED_EDGE('',*,*,#94452,.T.); #135531=ORIENTED_EDGE('',*,*,#94449,.T.); #135532=ORIENTED_EDGE('',*,*,#94446,.T.); #135533=ORIENTED_EDGE('',*,*,#94443,.T.); #135534=ORIENTED_EDGE('',*,*,#94440,.T.); #135535=ORIENTED_EDGE('',*,*,#94583,.T.); #135536=ORIENTED_EDGE('',*,*,#94614,.T.); #135537=ORIENTED_EDGE('',*,*,#94611,.T.); #135538=ORIENTED_EDGE('',*,*,#94608,.T.); #135539=ORIENTED_EDGE('',*,*,#94605,.T.); #135540=ORIENTED_EDGE('',*,*,#94602,.T.); #135541=ORIENTED_EDGE('',*,*,#94599,.T.); #135542=ORIENTED_EDGE('',*,*,#94596,.T.); #135543=ORIENTED_EDGE('',*,*,#94593,.T.); #135544=ORIENTED_EDGE('',*,*,#94590,.T.); #135545=ORIENTED_EDGE('',*,*,#94587,.T.); #135546=ORIENTED_EDGE('',*,*,#94616,.T.); #135547=ORIENTED_EDGE('',*,*,#94755,.T.); #135548=ORIENTED_EDGE('',*,*,#94752,.T.); #135549=ORIENTED_EDGE('',*,*,#94749,.T.); #135550=ORIENTED_EDGE('',*,*,#94746,.T.); #135551=ORIENTED_EDGE('',*,*,#94743,.T.); #135552=ORIENTED_EDGE('',*,*,#94740,.T.); #135553=ORIENTED_EDGE('',*,*,#94737,.T.); #135554=ORIENTED_EDGE('',*,*,#94734,.T.); #135555=ORIENTED_EDGE('',*,*,#94731,.T.); #135556=ORIENTED_EDGE('',*,*,#94728,.T.); #135557=ORIENTED_EDGE('',*,*,#94725,.T.); #135558=ORIENTED_EDGE('',*,*,#94722,.T.); #135559=ORIENTED_EDGE('',*,*,#94719,.T.); #135560=ORIENTED_EDGE('',*,*,#94716,.T.); #135561=ORIENTED_EDGE('',*,*,#94713,.T.); #135562=ORIENTED_EDGE('',*,*,#94710,.T.); #135563=ORIENTED_EDGE('',*,*,#94707,.T.); #135564=ORIENTED_EDGE('',*,*,#94704,.T.); #135565=ORIENTED_EDGE('',*,*,#94701,.T.); #135566=ORIENTED_EDGE('',*,*,#94698,.T.); #135567=ORIENTED_EDGE('',*,*,#94695,.T.); #135568=ORIENTED_EDGE('',*,*,#94692,.T.); #135569=ORIENTED_EDGE('',*,*,#94689,.T.); #135570=ORIENTED_EDGE('',*,*,#94686,.T.); #135571=ORIENTED_EDGE('',*,*,#94683,.T.); #135572=ORIENTED_EDGE('',*,*,#94680,.T.); #135573=ORIENTED_EDGE('',*,*,#94677,.T.); #135574=ORIENTED_EDGE('',*,*,#94674,.T.); #135575=ORIENTED_EDGE('',*,*,#94671,.T.); #135576=ORIENTED_EDGE('',*,*,#94668,.T.); #135577=ORIENTED_EDGE('',*,*,#94665,.T.); #135578=ORIENTED_EDGE('',*,*,#94662,.T.); #135579=ORIENTED_EDGE('',*,*,#94659,.T.); #135580=ORIENTED_EDGE('',*,*,#94656,.T.); #135581=ORIENTED_EDGE('',*,*,#94653,.T.); #135582=ORIENTED_EDGE('',*,*,#94650,.T.); #135583=ORIENTED_EDGE('',*,*,#94647,.T.); #135584=ORIENTED_EDGE('',*,*,#94644,.T.); #135585=ORIENTED_EDGE('',*,*,#94641,.T.); #135586=ORIENTED_EDGE('',*,*,#94638,.T.); #135587=ORIENTED_EDGE('',*,*,#94635,.T.); #135588=ORIENTED_EDGE('',*,*,#94632,.T.); #135589=ORIENTED_EDGE('',*,*,#94629,.T.); #135590=ORIENTED_EDGE('',*,*,#94626,.T.); #135591=ORIENTED_EDGE('',*,*,#94623,.T.); #135592=ORIENTED_EDGE('',*,*,#94620,.T.); #135593=ORIENTED_EDGE('',*,*,#94757,.T.); #135594=ORIENTED_EDGE('',*,*,#94839,.T.); #135595=ORIENTED_EDGE('',*,*,#94836,.T.); #135596=ORIENTED_EDGE('',*,*,#94833,.T.); #135597=ORIENTED_EDGE('',*,*,#94830,.T.); #135598=ORIENTED_EDGE('',*,*,#94827,.T.); #135599=ORIENTED_EDGE('',*,*,#94824,.T.); #135600=ORIENTED_EDGE('',*,*,#94821,.T.); #135601=ORIENTED_EDGE('',*,*,#94818,.T.); #135602=ORIENTED_EDGE('',*,*,#94815,.T.); #135603=ORIENTED_EDGE('',*,*,#94812,.T.); #135604=ORIENTED_EDGE('',*,*,#94809,.T.); #135605=ORIENTED_EDGE('',*,*,#94806,.T.); #135606=ORIENTED_EDGE('',*,*,#94803,.T.); #135607=ORIENTED_EDGE('',*,*,#94800,.T.); #135608=ORIENTED_EDGE('',*,*,#94797,.T.); #135609=ORIENTED_EDGE('',*,*,#94794,.T.); #135610=ORIENTED_EDGE('',*,*,#94791,.T.); #135611=ORIENTED_EDGE('',*,*,#94788,.T.); #135612=ORIENTED_EDGE('',*,*,#94785,.T.); #135613=ORIENTED_EDGE('',*,*,#94782,.T.); #135614=ORIENTED_EDGE('',*,*,#94779,.T.); #135615=ORIENTED_EDGE('',*,*,#94776,.T.); #135616=ORIENTED_EDGE('',*,*,#94773,.T.); #135617=ORIENTED_EDGE('',*,*,#94770,.T.); #135618=ORIENTED_EDGE('',*,*,#94767,.T.); #135619=ORIENTED_EDGE('',*,*,#94764,.T.); #135620=ORIENTED_EDGE('',*,*,#94761,.T.); #135621=ORIENTED_EDGE('',*,*,#94841,.T.); #135622=ORIENTED_EDGE('',*,*,#94953,.T.); #135623=ORIENTED_EDGE('',*,*,#94950,.T.); #135624=ORIENTED_EDGE('',*,*,#94947,.T.); #135625=ORIENTED_EDGE('',*,*,#94944,.T.); #135626=ORIENTED_EDGE('',*,*,#94941,.T.); #135627=ORIENTED_EDGE('',*,*,#94938,.T.); #135628=ORIENTED_EDGE('',*,*,#94935,.T.); #135629=ORIENTED_EDGE('',*,*,#94932,.T.); #135630=ORIENTED_EDGE('',*,*,#94929,.T.); #135631=ORIENTED_EDGE('',*,*,#94926,.T.); #135632=ORIENTED_EDGE('',*,*,#94923,.T.); #135633=ORIENTED_EDGE('',*,*,#94920,.T.); #135634=ORIENTED_EDGE('',*,*,#94917,.T.); #135635=ORIENTED_EDGE('',*,*,#94914,.T.); #135636=ORIENTED_EDGE('',*,*,#94911,.T.); #135637=ORIENTED_EDGE('',*,*,#94908,.T.); #135638=ORIENTED_EDGE('',*,*,#94905,.T.); #135639=ORIENTED_EDGE('',*,*,#94902,.T.); #135640=ORIENTED_EDGE('',*,*,#94899,.T.); #135641=ORIENTED_EDGE('',*,*,#94896,.T.); #135642=ORIENTED_EDGE('',*,*,#94893,.T.); #135643=ORIENTED_EDGE('',*,*,#94890,.T.); #135644=ORIENTED_EDGE('',*,*,#94887,.T.); #135645=ORIENTED_EDGE('',*,*,#94884,.T.); #135646=ORIENTED_EDGE('',*,*,#94881,.T.); #135647=ORIENTED_EDGE('',*,*,#94878,.T.); #135648=ORIENTED_EDGE('',*,*,#94875,.T.); #135649=ORIENTED_EDGE('',*,*,#94872,.T.); #135650=ORIENTED_EDGE('',*,*,#94869,.T.); #135651=ORIENTED_EDGE('',*,*,#94866,.T.); #135652=ORIENTED_EDGE('',*,*,#94863,.T.); #135653=ORIENTED_EDGE('',*,*,#94860,.T.); #135654=ORIENTED_EDGE('',*,*,#94857,.T.); #135655=ORIENTED_EDGE('',*,*,#94854,.T.); #135656=ORIENTED_EDGE('',*,*,#94851,.T.); #135657=ORIENTED_EDGE('',*,*,#94848,.T.); #135658=ORIENTED_EDGE('',*,*,#94845,.T.); #135659=ORIENTED_EDGE('',*,*,#94955,.T.); #135660=ORIENTED_EDGE('',*,*,#94983,.T.); #135661=ORIENTED_EDGE('',*,*,#94980,.T.); #135662=ORIENTED_EDGE('',*,*,#94977,.T.); #135663=ORIENTED_EDGE('',*,*,#94974,.T.); #135664=ORIENTED_EDGE('',*,*,#94971,.T.); #135665=ORIENTED_EDGE('',*,*,#94968,.T.); #135666=ORIENTED_EDGE('',*,*,#94965,.T.); #135667=ORIENTED_EDGE('',*,*,#94962,.T.); #135668=ORIENTED_EDGE('',*,*,#94959,.T.); #135669=ORIENTED_EDGE('',*,*,#94985,.T.); #135670=ORIENTED_EDGE('',*,*,#95091,.T.); #135671=ORIENTED_EDGE('',*,*,#95088,.T.); #135672=ORIENTED_EDGE('',*,*,#95085,.T.); #135673=ORIENTED_EDGE('',*,*,#95082,.T.); #135674=ORIENTED_EDGE('',*,*,#95079,.T.); #135675=ORIENTED_EDGE('',*,*,#95076,.T.); #135676=ORIENTED_EDGE('',*,*,#95073,.T.); #135677=ORIENTED_EDGE('',*,*,#95070,.T.); #135678=ORIENTED_EDGE('',*,*,#95067,.T.); #135679=ORIENTED_EDGE('',*,*,#95064,.T.); #135680=ORIENTED_EDGE('',*,*,#95061,.T.); #135681=ORIENTED_EDGE('',*,*,#95058,.T.); #135682=ORIENTED_EDGE('',*,*,#95055,.T.); #135683=ORIENTED_EDGE('',*,*,#95052,.T.); #135684=ORIENTED_EDGE('',*,*,#95049,.T.); #135685=ORIENTED_EDGE('',*,*,#95046,.T.); #135686=ORIENTED_EDGE('',*,*,#95043,.T.); #135687=ORIENTED_EDGE('',*,*,#95040,.T.); #135688=ORIENTED_EDGE('',*,*,#95037,.T.); #135689=ORIENTED_EDGE('',*,*,#95034,.T.); #135690=ORIENTED_EDGE('',*,*,#95031,.T.); #135691=ORIENTED_EDGE('',*,*,#95028,.T.); #135692=ORIENTED_EDGE('',*,*,#95025,.T.); #135693=ORIENTED_EDGE('',*,*,#95022,.T.); #135694=ORIENTED_EDGE('',*,*,#95019,.T.); #135695=ORIENTED_EDGE('',*,*,#95016,.T.); #135696=ORIENTED_EDGE('',*,*,#95013,.T.); #135697=ORIENTED_EDGE('',*,*,#95010,.T.); #135698=ORIENTED_EDGE('',*,*,#95007,.T.); #135699=ORIENTED_EDGE('',*,*,#95004,.T.); #135700=ORIENTED_EDGE('',*,*,#95001,.T.); #135701=ORIENTED_EDGE('',*,*,#94998,.T.); #135702=ORIENTED_EDGE('',*,*,#94995,.T.); #135703=ORIENTED_EDGE('',*,*,#94992,.T.); #135704=ORIENTED_EDGE('',*,*,#94989,.T.); #135705=ORIENTED_EDGE('',*,*,#95135,.T.); #135706=ORIENTED_EDGE('',*,*,#95181,.T.); #135707=ORIENTED_EDGE('',*,*,#95178,.T.); #135708=ORIENTED_EDGE('',*,*,#95175,.T.); #135709=ORIENTED_EDGE('',*,*,#95172,.T.); #135710=ORIENTED_EDGE('',*,*,#95169,.T.); #135711=ORIENTED_EDGE('',*,*,#95166,.T.); #135712=ORIENTED_EDGE('',*,*,#95163,.T.); #135713=ORIENTED_EDGE('',*,*,#95160,.T.); #135714=ORIENTED_EDGE('',*,*,#95157,.T.); #135715=ORIENTED_EDGE('',*,*,#95154,.T.); #135716=ORIENTED_EDGE('',*,*,#95151,.T.); #135717=ORIENTED_EDGE('',*,*,#95148,.T.); #135718=ORIENTED_EDGE('',*,*,#95145,.T.); #135719=ORIENTED_EDGE('',*,*,#95142,.T.); #135720=ORIENTED_EDGE('',*,*,#95139,.T.); #135721=ORIENTED_EDGE('',*,*,#95183,.T.); #135722=ORIENTED_EDGE('',*,*,#95274,.T.); #135723=ORIENTED_EDGE('',*,*,#95271,.T.); #135724=ORIENTED_EDGE('',*,*,#95268,.T.); #135725=ORIENTED_EDGE('',*,*,#95265,.T.); #135726=ORIENTED_EDGE('',*,*,#95262,.T.); #135727=ORIENTED_EDGE('',*,*,#95259,.T.); #135728=ORIENTED_EDGE('',*,*,#95256,.T.); #135729=ORIENTED_EDGE('',*,*,#95253,.T.); #135730=ORIENTED_EDGE('',*,*,#95250,.T.); #135731=ORIENTED_EDGE('',*,*,#95247,.T.); #135732=ORIENTED_EDGE('',*,*,#95244,.T.); #135733=ORIENTED_EDGE('',*,*,#95241,.T.); #135734=ORIENTED_EDGE('',*,*,#95238,.T.); #135735=ORIENTED_EDGE('',*,*,#95235,.T.); #135736=ORIENTED_EDGE('',*,*,#95232,.T.); #135737=ORIENTED_EDGE('',*,*,#95229,.T.); #135738=ORIENTED_EDGE('',*,*,#95226,.T.); #135739=ORIENTED_EDGE('',*,*,#95223,.T.); #135740=ORIENTED_EDGE('',*,*,#95220,.T.); #135741=ORIENTED_EDGE('',*,*,#95217,.T.); #135742=ORIENTED_EDGE('',*,*,#95214,.T.); #135743=ORIENTED_EDGE('',*,*,#95211,.T.); #135744=ORIENTED_EDGE('',*,*,#95208,.T.); #135745=ORIENTED_EDGE('',*,*,#95205,.T.); #135746=ORIENTED_EDGE('',*,*,#95202,.T.); #135747=ORIENTED_EDGE('',*,*,#95199,.T.); #135748=ORIENTED_EDGE('',*,*,#95196,.T.); #135749=ORIENTED_EDGE('',*,*,#95193,.T.); #135750=ORIENTED_EDGE('',*,*,#95190,.T.); #135751=ORIENTED_EDGE('',*,*,#95187,.T.); #135752=ORIENTED_EDGE('',*,*,#95318,.T.); #135753=ORIENTED_EDGE('',*,*,#95364,.T.); #135754=ORIENTED_EDGE('',*,*,#95361,.T.); #135755=ORIENTED_EDGE('',*,*,#95358,.T.); #135756=ORIENTED_EDGE('',*,*,#95355,.T.); #135757=ORIENTED_EDGE('',*,*,#95352,.T.); #135758=ORIENTED_EDGE('',*,*,#95349,.T.); #135759=ORIENTED_EDGE('',*,*,#95346,.T.); #135760=ORIENTED_EDGE('',*,*,#95343,.T.); #135761=ORIENTED_EDGE('',*,*,#95340,.T.); #135762=ORIENTED_EDGE('',*,*,#95337,.T.); #135763=ORIENTED_EDGE('',*,*,#95334,.T.); #135764=ORIENTED_EDGE('',*,*,#95331,.T.); #135765=ORIENTED_EDGE('',*,*,#95328,.T.); #135766=ORIENTED_EDGE('',*,*,#95325,.T.); #135767=ORIENTED_EDGE('',*,*,#95322,.T.); #135768=ORIENTED_EDGE('',*,*,#95366,.T.); #135769=ORIENTED_EDGE('',*,*,#95424,.T.); #135770=ORIENTED_EDGE('',*,*,#95421,.T.); #135771=ORIENTED_EDGE('',*,*,#95418,.T.); #135772=ORIENTED_EDGE('',*,*,#95415,.T.); #135773=ORIENTED_EDGE('',*,*,#95412,.T.); #135774=ORIENTED_EDGE('',*,*,#95409,.T.); #135775=ORIENTED_EDGE('',*,*,#95406,.T.); #135776=ORIENTED_EDGE('',*,*,#95403,.T.); #135777=ORIENTED_EDGE('',*,*,#95400,.T.); #135778=ORIENTED_EDGE('',*,*,#95397,.T.); #135779=ORIENTED_EDGE('',*,*,#95394,.T.); #135780=ORIENTED_EDGE('',*,*,#95391,.T.); #135781=ORIENTED_EDGE('',*,*,#95388,.T.); #135782=ORIENTED_EDGE('',*,*,#95385,.T.); #135783=ORIENTED_EDGE('',*,*,#95382,.T.); #135784=ORIENTED_EDGE('',*,*,#95379,.T.); #135785=ORIENTED_EDGE('',*,*,#95376,.T.); #135786=ORIENTED_EDGE('',*,*,#95373,.T.); #135787=ORIENTED_EDGE('',*,*,#95370,.T.); #135788=ORIENTED_EDGE('',*,*,#95276,.T.); #135789=ORIENTED_EDGE('',*,*,#95316,.T.); #135790=ORIENTED_EDGE('',*,*,#95313,.T.); #135791=ORIENTED_EDGE('',*,*,#95310,.T.); #135792=ORIENTED_EDGE('',*,*,#95307,.T.); #135793=ORIENTED_EDGE('',*,*,#95304,.T.); #135794=ORIENTED_EDGE('',*,*,#95301,.T.); #135795=ORIENTED_EDGE('',*,*,#95298,.T.); #135796=ORIENTED_EDGE('',*,*,#95295,.T.); #135797=ORIENTED_EDGE('',*,*,#95292,.T.); #135798=ORIENTED_EDGE('',*,*,#95289,.T.); #135799=ORIENTED_EDGE('',*,*,#95286,.T.); #135800=ORIENTED_EDGE('',*,*,#95283,.T.); #135801=ORIENTED_EDGE('',*,*,#95280,.T.); #135802=ORIENTED_EDGE('',*,*,#95093,.T.); #135803=ORIENTED_EDGE('',*,*,#95133,.T.); #135804=ORIENTED_EDGE('',*,*,#95130,.T.); #135805=ORIENTED_EDGE('',*,*,#95127,.T.); #135806=ORIENTED_EDGE('',*,*,#95124,.T.); #135807=ORIENTED_EDGE('',*,*,#95121,.T.); #135808=ORIENTED_EDGE('',*,*,#95118,.T.); #135809=ORIENTED_EDGE('',*,*,#95115,.T.); #135810=ORIENTED_EDGE('',*,*,#95112,.T.); #135811=ORIENTED_EDGE('',*,*,#95109,.T.); #135812=ORIENTED_EDGE('',*,*,#95106,.T.); #135813=ORIENTED_EDGE('',*,*,#95103,.T.); #135814=ORIENTED_EDGE('',*,*,#95100,.T.); #135815=ORIENTED_EDGE('',*,*,#95097,.T.); #135816=ORIENTED_EDGE('',*,*,#94553,.T.); #135817=ORIENTED_EDGE('',*,*,#94581,.T.); #135818=ORIENTED_EDGE('',*,*,#94578,.T.); #135819=ORIENTED_EDGE('',*,*,#94575,.T.); #135820=ORIENTED_EDGE('',*,*,#94572,.T.); #135821=ORIENTED_EDGE('',*,*,#94569,.T.); #135822=ORIENTED_EDGE('',*,*,#94566,.T.); #135823=ORIENTED_EDGE('',*,*,#94563,.T.); #135824=ORIENTED_EDGE('',*,*,#94560,.T.); #135825=ORIENTED_EDGE('',*,*,#94557,.T.); #135826=ORIENTED_EDGE('',*,*,#94199,.T.); #135827=ORIENTED_EDGE('',*,*,#94209,.T.); #135828=ORIENTED_EDGE('',*,*,#94206,.T.); #135829=ORIENTED_EDGE('',*,*,#94203,.T.); #135830=ORIENTED_EDGE('',*,*,#92810,.T.); #135831=ORIENTED_EDGE('',*,*,#92820,.T.); #135832=ORIENTED_EDGE('',*,*,#92817,.T.); #135833=ORIENTED_EDGE('',*,*,#92814,.T.); #135834=ORIENTED_EDGE('',*,*,#93680,.T.); #135835=ORIENTED_EDGE('',*,*,#93720,.T.); #135836=ORIENTED_EDGE('',*,*,#93717,.T.); #135837=ORIENTED_EDGE('',*,*,#93714,.T.); #135838=ORIENTED_EDGE('',*,*,#93711,.T.); #135839=ORIENTED_EDGE('',*,*,#93708,.T.); #135840=ORIENTED_EDGE('',*,*,#93705,.T.); #135841=ORIENTED_EDGE('',*,*,#93702,.T.); #135842=ORIENTED_EDGE('',*,*,#93699,.T.); #135843=ORIENTED_EDGE('',*,*,#93696,.T.); #135844=ORIENTED_EDGE('',*,*,#93693,.T.); #135845=ORIENTED_EDGE('',*,*,#93690,.T.); #135846=ORIENTED_EDGE('',*,*,#93687,.T.); #135847=ORIENTED_EDGE('',*,*,#93684,.T.); #135848=ORIENTED_EDGE('',*,*,#93590,.T.); #135849=ORIENTED_EDGE('',*,*,#93630,.T.); #135850=ORIENTED_EDGE('',*,*,#93627,.T.); #135851=ORIENTED_EDGE('',*,*,#93624,.T.); #135852=ORIENTED_EDGE('',*,*,#93621,.T.); #135853=ORIENTED_EDGE('',*,*,#93618,.T.); #135854=ORIENTED_EDGE('',*,*,#93615,.T.); #135855=ORIENTED_EDGE('',*,*,#93612,.T.); #135856=ORIENTED_EDGE('',*,*,#93609,.T.); #135857=ORIENTED_EDGE('',*,*,#93606,.T.); #135858=ORIENTED_EDGE('',*,*,#93603,.T.); #135859=ORIENTED_EDGE('',*,*,#93600,.T.); #135860=ORIENTED_EDGE('',*,*,#93597,.T.); #135861=ORIENTED_EDGE('',*,*,#93594,.T.); #135862=ORIENTED_EDGE('',*,*,#93500,.T.); #135863=ORIENTED_EDGE('',*,*,#93540,.T.); #135864=ORIENTED_EDGE('',*,*,#93537,.T.); #135865=ORIENTED_EDGE('',*,*,#93534,.T.); #135866=ORIENTED_EDGE('',*,*,#93531,.T.); #135867=ORIENTED_EDGE('',*,*,#93528,.T.); #135868=ORIENTED_EDGE('',*,*,#93525,.T.); #135869=ORIENTED_EDGE('',*,*,#93522,.T.); #135870=ORIENTED_EDGE('',*,*,#93519,.T.); #135871=ORIENTED_EDGE('',*,*,#93516,.T.); #135872=ORIENTED_EDGE('',*,*,#93513,.T.); #135873=ORIENTED_EDGE('',*,*,#93510,.T.); #135874=ORIENTED_EDGE('',*,*,#93507,.T.); #135875=ORIENTED_EDGE('',*,*,#93504,.T.); #135876=ORIENTED_EDGE('',*,*,#93410,.T.); #135877=ORIENTED_EDGE('',*,*,#93450,.T.); #135878=ORIENTED_EDGE('',*,*,#93447,.T.); #135879=ORIENTED_EDGE('',*,*,#93444,.T.); #135880=ORIENTED_EDGE('',*,*,#93441,.T.); #135881=ORIENTED_EDGE('',*,*,#93438,.T.); #135882=ORIENTED_EDGE('',*,*,#93435,.T.); #135883=ORIENTED_EDGE('',*,*,#93432,.T.); #135884=ORIENTED_EDGE('',*,*,#93429,.T.); #135885=ORIENTED_EDGE('',*,*,#93426,.T.); #135886=ORIENTED_EDGE('',*,*,#93423,.T.); #135887=ORIENTED_EDGE('',*,*,#93420,.T.); #135888=ORIENTED_EDGE('',*,*,#93417,.T.); #135889=ORIENTED_EDGE('',*,*,#93414,.T.); #135890=ORIENTED_EDGE('',*,*,#92846,.T.); #135891=ORIENTED_EDGE('',*,*,#92868,.T.); #135892=ORIENTED_EDGE('',*,*,#92865,.T.); #135893=ORIENTED_EDGE('',*,*,#92862,.T.); #135894=ORIENTED_EDGE('',*,*,#92859,.T.); #135895=ORIENTED_EDGE('',*,*,#92856,.T.); #135896=ORIENTED_EDGE('',*,*,#92853,.T.); #135897=ORIENTED_EDGE('',*,*,#92850,.T.); #135898=ORIENTED_EDGE('',*,*,#92822,.T.); #135899=ORIENTED_EDGE('',*,*,#92832,.T.); #135900=ORIENTED_EDGE('',*,*,#92829,.T.); #135901=ORIENTED_EDGE('',*,*,#92826,.T.); #135902=ORIENTED_EDGE('',*,*,#94223,.T.); #135903=ORIENTED_EDGE('',*,*,#94233,.T.); #135904=ORIENTED_EDGE('',*,*,#94230,.T.); #135905=ORIENTED_EDGE('',*,*,#94227,.T.); #135906=ORIENTED_EDGE('',*,*,#92543,.T.); #135907=ORIENTED_EDGE('',*,*,#92571,.T.); #135908=ORIENTED_EDGE('',*,*,#92568,.T.); #135909=ORIENTED_EDGE('',*,*,#92565,.T.); #135910=ORIENTED_EDGE('',*,*,#92562,.T.); #135911=ORIENTED_EDGE('',*,*,#92559,.T.); #135912=ORIENTED_EDGE('',*,*,#92556,.T.); #135913=ORIENTED_EDGE('',*,*,#92553,.T.); #135914=ORIENTED_EDGE('',*,*,#92550,.T.); #135915=ORIENTED_EDGE('',*,*,#92547,.T.); #135916=ORIENTED_EDGE('',*,*,#92390,.T.); #135917=ORIENTED_EDGE('',*,*,#92415,.T.); #135918=ORIENTED_EDGE('',*,*,#92412,.T.); #135919=ORIENTED_EDGE('',*,*,#92409,.T.); #135920=ORIENTED_EDGE('',*,*,#92406,.T.); #135921=ORIENTED_EDGE('',*,*,#92403,.T.); #135922=ORIENTED_EDGE('',*,*,#92400,.T.); #135923=ORIENTED_EDGE('',*,*,#92397,.T.); #135924=ORIENTED_EDGE('',*,*,#92394,.T.); #135925=ORIENTED_EDGE('',*,*,#92171,.T.); #135926=ORIENTED_EDGE('',*,*,#92168,.T.); #135927=ORIENTED_EDGE('',*,*,#92165,.T.); #135928=ORIENTED_EDGE('',*,*,#91925,.T.); #135929=ORIENTED_EDGE('',*,*,#91921,.T.); #135930=ORIENTED_EDGE('',*,*,#91931,.T.); #135931=ORIENTED_EDGE('',*,*,#91808,.T.); #135932=ORIENTED_EDGE('',*,*,#91804,.T.); #135933=ORIENTED_EDGE('',*,*,#91848,.T.); #135934=ORIENTED_EDGE('',*,*,#91845,.T.); #135935=ORIENTED_EDGE('',*,*,#91841,.T.); #135936=ORIENTED_EDGE('',*,*,#91870,.T.); #135937=ORIENTED_EDGE('',*,*,#91866,.T.); #135938=ORIENTED_EDGE('',*,*,#91894,.T.); #135939=ORIENTED_EDGE('',*,*,#91906,.T.); #135940=ORIENTED_EDGE('',*,*,#91902,.T.); #135941=ORIENTED_EDGE('',*,*,#91946,.T.); #135942=ORIENTED_EDGE('',*,*,#91942,.T.); #135943=ORIENTED_EDGE('',*,*,#91967,.T.); #135944=ORIENTED_EDGE('',*,*,#91990,.T.); #135945=ORIENTED_EDGE('',*,*,#92071,.T.); #135946=ORIENTED_EDGE('',*,*,#92068,.T.); #135947=ORIENTED_EDGE('',*,*,#92065,.T.); #135948=ORIENTED_EDGE('',*,*,#92061,.T.); #135949=ORIENTED_EDGE('',*,*,#92034,.T.); #135950=ORIENTED_EDGE('',*,*,#92031,.T.); #135951=ORIENTED_EDGE('',*,*,#92028,.T.); #135952=ORIENTED_EDGE('',*,*,#92024,.T.); #135953=ORIENTED_EDGE('',*,*,#92294,.T.); #135954=ORIENTED_EDGE('',*,*,#92304,.T.); #135955=ORIENTED_EDGE('',*,*,#92301,.T.); #135956=ORIENTED_EDGE('',*,*,#92298,.T.); #135957=ORIENTED_EDGE('',*,*,#94235,.T.); #135958=ORIENTED_EDGE('',*,*,#94245,.T.); #135959=ORIENTED_EDGE('',*,*,#94242,.T.); #135960=ORIENTED_EDGE('',*,*,#94239,.T.); #135961=ORIENTED_EDGE('',*,*,#91505,.T.); #135962=ORIENTED_EDGE('',*,*,#91536,.T.); #135963=ORIENTED_EDGE('',*,*,#91533,.T.); #135964=ORIENTED_EDGE('',*,*,#91530,.T.); #135965=ORIENTED_EDGE('',*,*,#91527,.T.); #135966=ORIENTED_EDGE('',*,*,#91524,.T.); #135967=ORIENTED_EDGE('',*,*,#91521,.T.); #135968=ORIENTED_EDGE('',*,*,#91518,.T.); #135969=ORIENTED_EDGE('',*,*,#91515,.T.); #135970=ORIENTED_EDGE('',*,*,#91512,.T.); #135971=ORIENTED_EDGE('',*,*,#91509,.T.); #135972=ORIENTED_EDGE('',*,*,#91334,.T.); #135973=ORIENTED_EDGE('',*,*,#91344,.T.); #135974=ORIENTED_EDGE('',*,*,#91341,.T.); #135975=ORIENTED_EDGE('',*,*,#91338,.T.); #135976=ORIENTED_EDGE('',*,*,#95434,.F.); #135977=ORIENTED_EDGE('',*,*,#95435,.T.); #135978=ORIENTED_EDGE('',*,*,#95433,.F.); #135979=ORIENTED_EDGE('',*,*,#95436,.F.); #135980=ORIENTED_EDGE('',*,*,#95437,.T.); #135981=ORIENTED_EDGE('',*,*,#95436,.T.); #135982=ORIENTED_EDGE('',*,*,#95432,.F.); #135983=ORIENTED_EDGE('',*,*,#95438,.F.); #135984=ORIENTED_EDGE('',*,*,#95439,.F.); #135985=ORIENTED_EDGE('',*,*,#95438,.T.); #135986=ORIENTED_EDGE('',*,*,#95431,.F.); #135987=ORIENTED_EDGE('',*,*,#95440,.F.); #135988=ORIENTED_EDGE('',*,*,#95441,.T.); #135989=ORIENTED_EDGE('',*,*,#95440,.T.); #135990=ORIENTED_EDGE('',*,*,#95430,.F.); #135991=ORIENTED_EDGE('',*,*,#95442,.F.); #135992=ORIENTED_EDGE('',*,*,#95443,.F.); #135993=ORIENTED_EDGE('',*,*,#95442,.T.); #135994=ORIENTED_EDGE('',*,*,#95429,.F.); #135995=ORIENTED_EDGE('',*,*,#95444,.F.); #135996=ORIENTED_EDGE('',*,*,#95445,.T.); #135997=ORIENTED_EDGE('',*,*,#95444,.T.); #135998=ORIENTED_EDGE('',*,*,#95428,.F.); #135999=ORIENTED_EDGE('',*,*,#95446,.F.); #136000=ORIENTED_EDGE('',*,*,#95447,.F.); #136001=ORIENTED_EDGE('',*,*,#95446,.T.); #136002=ORIENTED_EDGE('',*,*,#95427,.F.); #136003=ORIENTED_EDGE('',*,*,#95448,.F.); #136004=ORIENTED_EDGE('',*,*,#95449,.T.); #136005=ORIENTED_EDGE('',*,*,#95448,.T.); #136006=ORIENTED_EDGE('',*,*,#95426,.F.); #136007=ORIENTED_EDGE('',*,*,#95435,.F.); #136008=ORIENTED_EDGE('',*,*,#91232,.T.); #136009=ORIENTED_EDGE('',*,*,#91272,.T.); #136010=ORIENTED_EDGE('',*,*,#91269,.T.); #136011=ORIENTED_EDGE('',*,*,#91266,.T.); #136012=ORIENTED_EDGE('',*,*,#91263,.T.); #136013=ORIENTED_EDGE('',*,*,#91260,.T.); #136014=ORIENTED_EDGE('',*,*,#91257,.T.); #136015=ORIENTED_EDGE('',*,*,#91254,.T.); #136016=ORIENTED_EDGE('',*,*,#91251,.T.); #136017=ORIENTED_EDGE('',*,*,#91248,.T.); #136018=ORIENTED_EDGE('',*,*,#91245,.T.); #136019=ORIENTED_EDGE('',*,*,#91242,.T.); #136020=ORIENTED_EDGE('',*,*,#91239,.T.); #136021=ORIENTED_EDGE('',*,*,#91236,.T.); #136022=ORIENTED_EDGE('',*,*,#95449,.F.); #136023=ORIENTED_EDGE('',*,*,#95434,.T.); #136024=ORIENTED_EDGE('',*,*,#95437,.F.); #136025=ORIENTED_EDGE('',*,*,#95439,.T.); #136026=ORIENTED_EDGE('',*,*,#95441,.F.); #136027=ORIENTED_EDGE('',*,*,#95443,.T.); #136028=ORIENTED_EDGE('',*,*,#95445,.F.); #136029=ORIENTED_EDGE('',*,*,#95447,.T.); #136030=ORIENTED_EDGE('',*,*,#95450,.F.); #136031=ORIENTED_EDGE('',*,*,#95451,.T.); #136032=ORIENTED_EDGE('',*,*,#95452,.F.); #136033=ORIENTED_EDGE('',*,*,#95453,.F.); #136034=ORIENTED_EDGE('',*,*,#95454,.F.); #136035=ORIENTED_EDGE('',*,*,#95455,.T.); #136036=ORIENTED_EDGE('',*,*,#95456,.F.); #136037=ORIENTED_EDGE('',*,*,#95457,.F.); #136038=ORIENTED_EDGE('',*,*,#95458,.F.); #136039=ORIENTED_EDGE('',*,*,#95459,.T.); #136040=ORIENTED_EDGE('',*,*,#95460,.F.); #136041=ORIENTED_EDGE('',*,*,#95461,.F.); #136042=ORIENTED_EDGE('',*,*,#95462,.F.); #136043=ORIENTED_EDGE('',*,*,#95463,.T.); #136044=ORIENTED_EDGE('',*,*,#95464,.F.); #136045=ORIENTED_EDGE('',*,*,#95465,.F.); #136046=ORIENTED_EDGE('',*,*,#95466,.F.); #136047=ORIENTED_EDGE('',*,*,#95467,.T.); #136048=ORIENTED_EDGE('',*,*,#95468,.F.); #136049=ORIENTED_EDGE('',*,*,#95469,.F.); #136050=ORIENTED_EDGE('',*,*,#95470,.F.); #136051=ORIENTED_EDGE('',*,*,#95471,.T.); #136052=ORIENTED_EDGE('',*,*,#95472,.F.); #136053=ORIENTED_EDGE('',*,*,#95473,.F.); #136054=ORIENTED_EDGE('',*,*,#95474,.F.); #136055=ORIENTED_EDGE('',*,*,#95475,.T.); #136056=ORIENTED_EDGE('',*,*,#95476,.F.); #136057=ORIENTED_EDGE('',*,*,#95477,.F.); #136058=ORIENTED_EDGE('',*,*,#95478,.F.); #136059=ORIENTED_EDGE('',*,*,#95479,.T.); #136060=ORIENTED_EDGE('',*,*,#95480,.F.); #136061=ORIENTED_EDGE('',*,*,#95481,.F.); #136062=ORIENTED_EDGE('',*,*,#95482,.F.); #136063=ORIENTED_EDGE('',*,*,#95483,.T.); #136064=ORIENTED_EDGE('',*,*,#95484,.F.); #136065=ORIENTED_EDGE('',*,*,#95485,.F.); #136066=ORIENTED_EDGE('',*,*,#95486,.F.); #136067=ORIENTED_EDGE('',*,*,#95487,.T.); #136068=ORIENTED_EDGE('',*,*,#95488,.F.); #136069=ORIENTED_EDGE('',*,*,#95489,.F.); #136070=ORIENTED_EDGE('',*,*,#95490,.F.); #136071=ORIENTED_EDGE('',*,*,#95491,.T.); #136072=ORIENTED_EDGE('',*,*,#95492,.F.); #136073=ORIENTED_EDGE('',*,*,#95493,.F.); #136074=ORIENTED_EDGE('',*,*,#95494,.F.); #136075=ORIENTED_EDGE('',*,*,#95495,.T.); #136076=ORIENTED_EDGE('',*,*,#95496,.F.); #136077=ORIENTED_EDGE('',*,*,#95497,.F.); #136078=ORIENTED_EDGE('',*,*,#95498,.F.); #136079=ORIENTED_EDGE('',*,*,#95499,.T.); #136080=ORIENTED_EDGE('',*,*,#95500,.F.); #136081=ORIENTED_EDGE('',*,*,#95501,.F.); #136082=ORIENTED_EDGE('',*,*,#95502,.F.); #136083=ORIENTED_EDGE('',*,*,#95503,.T.); #136084=ORIENTED_EDGE('',*,*,#95504,.F.); #136085=ORIENTED_EDGE('',*,*,#95505,.F.); #136086=ORIENTED_EDGE('',*,*,#95506,.F.); #136087=ORIENTED_EDGE('',*,*,#95507,.T.); #136088=ORIENTED_EDGE('',*,*,#95508,.F.); #136089=ORIENTED_EDGE('',*,*,#95509,.F.); #136090=ORIENTED_EDGE('',*,*,#95510,.F.); #136091=ORIENTED_EDGE('',*,*,#95511,.T.); #136092=ORIENTED_EDGE('',*,*,#95512,.T.); #136093=ORIENTED_EDGE('',*,*,#95513,.T.); #136094=ORIENTED_EDGE('',*,*,#95514,.F.); #136095=ORIENTED_EDGE('',*,*,#95515,.F.); #136096=ORIENTED_EDGE('',*,*,#95516,.F.); #136097=ORIENTED_EDGE('',*,*,#95517,.T.); #136098=ORIENTED_EDGE('',*,*,#95518,.F.); #136099=ORIENTED_EDGE('',*,*,#95519,.F.); #136100=ORIENTED_EDGE('',*,*,#95520,.F.); #136101=ORIENTED_EDGE('',*,*,#95521,.T.); #136102=ORIENTED_EDGE('',*,*,#95522,.F.); #136103=ORIENTED_EDGE('',*,*,#95523,.F.); #136104=ORIENTED_EDGE('',*,*,#95524,.F.); #136105=ORIENTED_EDGE('',*,*,#95525,.T.); #136106=ORIENTED_EDGE('',*,*,#95526,.F.); #136107=ORIENTED_EDGE('',*,*,#95527,.F.); #136108=ORIENTED_EDGE('',*,*,#95528,.F.); #136109=ORIENTED_EDGE('',*,*,#95529,.T.); #136110=ORIENTED_EDGE('',*,*,#95530,.F.); #136111=ORIENTED_EDGE('',*,*,#95531,.F.); #136112=ORIENTED_EDGE('',*,*,#95532,.F.); #136113=ORIENTED_EDGE('',*,*,#95533,.T.); #136114=ORIENTED_EDGE('',*,*,#95534,.F.); #136115=ORIENTED_EDGE('',*,*,#95535,.F.); #136116=ORIENTED_EDGE('',*,*,#95536,.F.); #136117=ORIENTED_EDGE('',*,*,#95537,.T.); #136118=ORIENTED_EDGE('',*,*,#95538,.F.); #136119=ORIENTED_EDGE('',*,*,#95539,.F.); #136120=ORIENTED_EDGE('',*,*,#95540,.F.); #136121=ORIENTED_EDGE('',*,*,#95541,.T.); #136122=ORIENTED_EDGE('',*,*,#95542,.F.); #136123=ORIENTED_EDGE('',*,*,#95543,.F.); #136124=ORIENTED_EDGE('',*,*,#95544,.F.); #136125=ORIENTED_EDGE('',*,*,#95545,.T.); #136126=ORIENTED_EDGE('',*,*,#95546,.F.); #136127=ORIENTED_EDGE('',*,*,#95547,.F.); #136128=ORIENTED_EDGE('',*,*,#95548,.F.); #136129=ORIENTED_EDGE('',*,*,#95549,.T.); #136130=ORIENTED_EDGE('',*,*,#95550,.F.); #136131=ORIENTED_EDGE('',*,*,#95551,.F.); #136132=ORIENTED_EDGE('',*,*,#95552,.F.); #136133=ORIENTED_EDGE('',*,*,#95553,.T.); #136134=ORIENTED_EDGE('',*,*,#95554,.F.); #136135=ORIENTED_EDGE('',*,*,#95555,.F.); #136136=ORIENTED_EDGE('',*,*,#95556,.F.); #136137=ORIENTED_EDGE('',*,*,#95557,.T.); #136138=ORIENTED_EDGE('',*,*,#95558,.F.); #136139=ORIENTED_EDGE('',*,*,#95559,.F.); #136140=ORIENTED_EDGE('',*,*,#95560,.F.); #136141=ORIENTED_EDGE('',*,*,#95561,.T.); #136142=ORIENTED_EDGE('',*,*,#95562,.F.); #136143=ORIENTED_EDGE('',*,*,#95563,.F.); #136144=ORIENTED_EDGE('',*,*,#95564,.F.); #136145=ORIENTED_EDGE('',*,*,#95565,.T.); #136146=ORIENTED_EDGE('',*,*,#95566,.F.); #136147=ORIENTED_EDGE('',*,*,#95567,.F.); #136148=ORIENTED_EDGE('',*,*,#95568,.F.); #136149=ORIENTED_EDGE('',*,*,#95569,.T.); #136150=ORIENTED_EDGE('',*,*,#95570,.F.); #136151=ORIENTED_EDGE('',*,*,#95571,.F.); #136152=ORIENTED_EDGE('',*,*,#95572,.F.); #136153=ORIENTED_EDGE('',*,*,#95573,.T.); #136154=ORIENTED_EDGE('',*,*,#95574,.F.); #136155=ORIENTED_EDGE('',*,*,#95575,.F.); #136156=ORIENTED_EDGE('',*,*,#95576,.F.); #136157=ORIENTED_EDGE('',*,*,#95577,.T.); #136158=ORIENTED_EDGE('',*,*,#95578,.T.); #136159=ORIENTED_EDGE('',*,*,#95579,.T.); #136160=ORIENTED_EDGE('',*,*,#95580,.F.); #136161=ORIENTED_EDGE('',*,*,#95581,.F.); #136162=ORIENTED_EDGE('',*,*,#95582,.F.); #136163=ORIENTED_EDGE('',*,*,#95583,.F.); #136164=ORIENTED_EDGE('',*,*,#95584,.F.); #136165=ORIENTED_EDGE('',*,*,#95585,.F.); #136166=ORIENTED_EDGE('',*,*,#95586,.F.); #136167=ORIENTED_EDGE('',*,*,#95587,.T.); #136168=ORIENTED_EDGE('',*,*,#95588,.F.); #136169=ORIENTED_EDGE('',*,*,#95589,.T.); #136170=ORIENTED_EDGE('',*,*,#95537,.F.); #136171=ORIENTED_EDGE('',*,*,#95590,.F.); #136172=ORIENTED_EDGE('',*,*,#95591,.F.); #136173=ORIENTED_EDGE('',*,*,#95592,.T.); #136174=ORIENTED_EDGE('',*,*,#95593,.F.); #136175=ORIENTED_EDGE('',*,*,#95594,.T.); #136176=ORIENTED_EDGE('',*,*,#95595,.T.); #136177=ORIENTED_EDGE('',*,*,#95596,.F.); #136178=ORIENTED_EDGE('',*,*,#95597,.F.); #136179=ORIENTED_EDGE('',*,*,#95598,.T.); #136180=ORIENTED_EDGE('',*,*,#95599,.F.); #136181=ORIENTED_EDGE('',*,*,#95600,.T.); #136182=ORIENTED_EDGE('',*,*,#95533,.F.); #136183=ORIENTED_EDGE('',*,*,#95601,.F.); #136184=ORIENTED_EDGE('',*,*,#95602,.F.); #136185=ORIENTED_EDGE('',*,*,#95603,.T.); #136186=ORIENTED_EDGE('',*,*,#95604,.F.); #136187=ORIENTED_EDGE('',*,*,#95605,.T.); #136188=ORIENTED_EDGE('',*,*,#95606,.T.); #136189=ORIENTED_EDGE('',*,*,#95607,.F.); #136190=ORIENTED_EDGE('',*,*,#95608,.F.); #136191=ORIENTED_EDGE('',*,*,#95609,.T.); #136192=ORIENTED_EDGE('',*,*,#95610,.F.); #136193=ORIENTED_EDGE('',*,*,#95611,.T.); #136194=ORIENTED_EDGE('',*,*,#95545,.F.); #136195=ORIENTED_EDGE('',*,*,#95612,.F.); #136196=ORIENTED_EDGE('',*,*,#95613,.F.); #136197=ORIENTED_EDGE('',*,*,#95614,.T.); #136198=ORIENTED_EDGE('',*,*,#95615,.F.); #136199=ORIENTED_EDGE('',*,*,#95616,.T.); #136200=ORIENTED_EDGE('',*,*,#95617,.T.); #136201=ORIENTED_EDGE('',*,*,#95618,.F.); #136202=ORIENTED_EDGE('',*,*,#95619,.F.); #136203=ORIENTED_EDGE('',*,*,#95620,.T.); #136204=ORIENTED_EDGE('',*,*,#95621,.F.); #136205=ORIENTED_EDGE('',*,*,#95622,.T.); #136206=ORIENTED_EDGE('',*,*,#95623,.T.); #136207=ORIENTED_EDGE('',*,*,#95624,.F.); #136208=ORIENTED_EDGE('',*,*,#95625,.F.); #136209=ORIENTED_EDGE('',*,*,#95626,.T.); #136210=ORIENTED_EDGE('',*,*,#95627,.F.); #136211=ORIENTED_EDGE('',*,*,#95628,.T.); #136212=ORIENTED_EDGE('',*,*,#95541,.F.); #136213=ORIENTED_EDGE('',*,*,#95629,.F.); #136214=ORIENTED_EDGE('',*,*,#95630,.F.); #136215=ORIENTED_EDGE('',*,*,#95631,.T.); #136216=ORIENTED_EDGE('',*,*,#95632,.F.); #136217=ORIENTED_EDGE('',*,*,#95633,.T.); #136218=ORIENTED_EDGE('',*,*,#95521,.F.); #136219=ORIENTED_EDGE('',*,*,#95634,.F.); #136220=ORIENTED_EDGE('',*,*,#95635,.F.); #136221=ORIENTED_EDGE('',*,*,#95636,.T.); #136222=ORIENTED_EDGE('',*,*,#95637,.F.); #136223=ORIENTED_EDGE('',*,*,#95638,.T.); #136224=ORIENTED_EDGE('',*,*,#95639,.T.); #136225=ORIENTED_EDGE('',*,*,#95640,.F.); #136226=ORIENTED_EDGE('',*,*,#95641,.F.); #136227=ORIENTED_EDGE('',*,*,#95642,.T.); #136228=ORIENTED_EDGE('',*,*,#95643,.F.); #136229=ORIENTED_EDGE('',*,*,#95644,.T.); #136230=ORIENTED_EDGE('',*,*,#95517,.F.); #136231=ORIENTED_EDGE('',*,*,#95645,.F.); #136232=ORIENTED_EDGE('',*,*,#95646,.F.); #136233=ORIENTED_EDGE('',*,*,#95647,.T.); #136234=ORIENTED_EDGE('',*,*,#95648,.F.); #136235=ORIENTED_EDGE('',*,*,#95649,.T.); #136236=ORIENTED_EDGE('',*,*,#95650,.T.); #136237=ORIENTED_EDGE('',*,*,#95651,.F.); #136238=ORIENTED_EDGE('',*,*,#95652,.F.); #136239=ORIENTED_EDGE('',*,*,#95653,.T.); #136240=ORIENTED_EDGE('',*,*,#95654,.F.); #136241=ORIENTED_EDGE('',*,*,#95655,.T.); #136242=ORIENTED_EDGE('',*,*,#95529,.F.); #136243=ORIENTED_EDGE('',*,*,#95656,.F.); #136244=ORIENTED_EDGE('',*,*,#95657,.F.); #136245=ORIENTED_EDGE('',*,*,#95658,.T.); #136246=ORIENTED_EDGE('',*,*,#95659,.F.); #136247=ORIENTED_EDGE('',*,*,#95660,.T.); #136248=ORIENTED_EDGE('',*,*,#95661,.T.); #136249=ORIENTED_EDGE('',*,*,#95662,.F.); #136250=ORIENTED_EDGE('',*,*,#95663,.F.); #136251=ORIENTED_EDGE('',*,*,#95664,.T.); #136252=ORIENTED_EDGE('',*,*,#95665,.F.); #136253=ORIENTED_EDGE('',*,*,#95666,.T.); #136254=ORIENTED_EDGE('',*,*,#95667,.T.); #136255=ORIENTED_EDGE('',*,*,#95668,.F.); #136256=ORIENTED_EDGE('',*,*,#95669,.F.); #136257=ORIENTED_EDGE('',*,*,#95670,.T.); #136258=ORIENTED_EDGE('',*,*,#95671,.F.); #136259=ORIENTED_EDGE('',*,*,#95672,.T.); #136260=ORIENTED_EDGE('',*,*,#95525,.F.); #136261=ORIENTED_EDGE('',*,*,#95673,.F.); #136262=ORIENTED_EDGE('',*,*,#95674,.F.); #136263=ORIENTED_EDGE('',*,*,#95675,.T.); #136264=ORIENTED_EDGE('',*,*,#95676,.F.); #136265=ORIENTED_EDGE('',*,*,#95677,.T.); #136266=ORIENTED_EDGE('',*,*,#95569,.F.); #136267=ORIENTED_EDGE('',*,*,#95678,.F.); #136268=ORIENTED_EDGE('',*,*,#95679,.F.); #136269=ORIENTED_EDGE('',*,*,#95680,.T.); #136270=ORIENTED_EDGE('',*,*,#95681,.F.); #136271=ORIENTED_EDGE('',*,*,#95682,.T.); #136272=ORIENTED_EDGE('',*,*,#95683,.T.); #136273=ORIENTED_EDGE('',*,*,#95684,.F.); #136274=ORIENTED_EDGE('',*,*,#95685,.F.); #136275=ORIENTED_EDGE('',*,*,#95686,.T.); #136276=ORIENTED_EDGE('',*,*,#95687,.F.); #136277=ORIENTED_EDGE('',*,*,#95688,.T.); #136278=ORIENTED_EDGE('',*,*,#95565,.F.); #136279=ORIENTED_EDGE('',*,*,#95689,.F.); #136280=ORIENTED_EDGE('',*,*,#95690,.F.); #136281=ORIENTED_EDGE('',*,*,#95691,.T.); #136282=ORIENTED_EDGE('',*,*,#95692,.F.); #136283=ORIENTED_EDGE('',*,*,#95693,.T.); #136284=ORIENTED_EDGE('',*,*,#95694,.T.); #136285=ORIENTED_EDGE('',*,*,#95695,.F.); #136286=ORIENTED_EDGE('',*,*,#95696,.F.); #136287=ORIENTED_EDGE('',*,*,#95697,.T.); #136288=ORIENTED_EDGE('',*,*,#95698,.F.); #136289=ORIENTED_EDGE('',*,*,#95699,.T.); #136290=ORIENTED_EDGE('',*,*,#95700,.T.); #136291=ORIENTED_EDGE('',*,*,#95701,.F.); #136292=ORIENTED_EDGE('',*,*,#95702,.F.); #136293=ORIENTED_EDGE('',*,*,#95703,.T.); #136294=ORIENTED_EDGE('',*,*,#95704,.F.); #136295=ORIENTED_EDGE('',*,*,#95705,.T.); #136296=ORIENTED_EDGE('',*,*,#95573,.F.); #136297=ORIENTED_EDGE('',*,*,#95706,.F.); #136298=ORIENTED_EDGE('',*,*,#95707,.F.); #136299=ORIENTED_EDGE('',*,*,#95708,.T.); #136300=ORIENTED_EDGE('',*,*,#95709,.F.); #136301=ORIENTED_EDGE('',*,*,#95710,.T.); #136302=ORIENTED_EDGE('',*,*,#95553,.F.); #136303=ORIENTED_EDGE('',*,*,#95711,.F.); #136304=ORIENTED_EDGE('',*,*,#95712,.F.); #136305=ORIENTED_EDGE('',*,*,#95713,.T.); #136306=ORIENTED_EDGE('',*,*,#95714,.F.); #136307=ORIENTED_EDGE('',*,*,#95715,.T.); #136308=ORIENTED_EDGE('',*,*,#95716,.T.); #136309=ORIENTED_EDGE('',*,*,#95717,.F.); #136310=ORIENTED_EDGE('',*,*,#95718,.F.); #136311=ORIENTED_EDGE('',*,*,#95719,.T.); #136312=ORIENTED_EDGE('',*,*,#95720,.F.); #136313=ORIENTED_EDGE('',*,*,#95721,.T.); #136314=ORIENTED_EDGE('',*,*,#95722,.T.); #136315=ORIENTED_EDGE('',*,*,#95723,.F.); #136316=ORIENTED_EDGE('',*,*,#95724,.F.); #136317=ORIENTED_EDGE('',*,*,#95725,.T.); #136318=ORIENTED_EDGE('',*,*,#95726,.F.); #136319=ORIENTED_EDGE('',*,*,#95727,.T.); #136320=ORIENTED_EDGE('',*,*,#95549,.F.); #136321=ORIENTED_EDGE('',*,*,#95728,.F.); #136322=ORIENTED_EDGE('',*,*,#95729,.F.); #136323=ORIENTED_EDGE('',*,*,#95730,.T.); #136324=ORIENTED_EDGE('',*,*,#95731,.F.); #136325=ORIENTED_EDGE('',*,*,#95732,.T.); #136326=ORIENTED_EDGE('',*,*,#95561,.F.); #136327=ORIENTED_EDGE('',*,*,#95733,.F.); #136328=ORIENTED_EDGE('',*,*,#95734,.F.); #136329=ORIENTED_EDGE('',*,*,#95735,.T.); #136330=ORIENTED_EDGE('',*,*,#95736,.F.); #136331=ORIENTED_EDGE('',*,*,#95737,.T.); #136332=ORIENTED_EDGE('',*,*,#95738,.T.); #136333=ORIENTED_EDGE('',*,*,#95739,.F.); #136334=ORIENTED_EDGE('',*,*,#95740,.F.); #136335=ORIENTED_EDGE('',*,*,#95741,.T.); #136336=ORIENTED_EDGE('',*,*,#95742,.F.); #136337=ORIENTED_EDGE('',*,*,#95743,.T.); #136338=ORIENTED_EDGE('',*,*,#95744,.T.); #136339=ORIENTED_EDGE('',*,*,#95745,.F.); #136340=ORIENTED_EDGE('',*,*,#95746,.F.); #136341=ORIENTED_EDGE('',*,*,#95747,.T.); #136342=ORIENTED_EDGE('',*,*,#95748,.F.); #136343=ORIENTED_EDGE('',*,*,#95749,.T.); #136344=ORIENTED_EDGE('',*,*,#95557,.F.); #136345=ORIENTED_EDGE('',*,*,#95750,.F.); #136346=ORIENTED_EDGE('',*,*,#95751,.F.); #136347=ORIENTED_EDGE('',*,*,#95752,.T.); #136348=ORIENTED_EDGE('',*,*,#95753,.F.); #136349=ORIENTED_EDGE('',*,*,#95754,.T.); #136350=ORIENTED_EDGE('',*,*,#95513,.F.); #136351=ORIENTED_EDGE('',*,*,#95755,.T.); #136352=ORIENTED_EDGE('',*,*,#95756,.T.); #136353=ORIENTED_EDGE('',*,*,#95757,.F.); #136354=ORIENTED_EDGE('',*,*,#95758,.F.); #136355=ORIENTED_EDGE('',*,*,#95759,.T.); #136356=ORIENTED_EDGE('',*,*,#95760,.F.); #136357=ORIENTED_EDGE('',*,*,#95761,.T.); #136358=ORIENTED_EDGE('',*,*,#95487,.F.); #136359=ORIENTED_EDGE('',*,*,#95762,.F.); #136360=ORIENTED_EDGE('',*,*,#95763,.F.); #136361=ORIENTED_EDGE('',*,*,#95764,.T.); #136362=ORIENTED_EDGE('',*,*,#95765,.F.); #136363=ORIENTED_EDGE('',*,*,#95766,.T.); #136364=ORIENTED_EDGE('',*,*,#95767,.T.); #136365=ORIENTED_EDGE('',*,*,#95768,.F.); #136366=ORIENTED_EDGE('',*,*,#95769,.F.); #136367=ORIENTED_EDGE('',*,*,#95770,.T.); #136368=ORIENTED_EDGE('',*,*,#95771,.F.); #136369=ORIENTED_EDGE('',*,*,#95772,.T.); #136370=ORIENTED_EDGE('',*,*,#95773,.T.); #136371=ORIENTED_EDGE('',*,*,#95774,.F.); #136372=ORIENTED_EDGE('',*,*,#95775,.F.); #136373=ORIENTED_EDGE('',*,*,#95776,.T.); #136374=ORIENTED_EDGE('',*,*,#95777,.F.); #136375=ORIENTED_EDGE('',*,*,#95778,.T.); #136376=ORIENTED_EDGE('',*,*,#95491,.F.); #136377=ORIENTED_EDGE('',*,*,#95779,.F.); #136378=ORIENTED_EDGE('',*,*,#95780,.F.); #136379=ORIENTED_EDGE('',*,*,#95781,.T.); #136380=ORIENTED_EDGE('',*,*,#95782,.F.); #136381=ORIENTED_EDGE('',*,*,#95783,.T.); #136382=ORIENTED_EDGE('',*,*,#95479,.F.); #136383=ORIENTED_EDGE('',*,*,#95784,.F.); #136384=ORIENTED_EDGE('',*,*,#95785,.F.); #136385=ORIENTED_EDGE('',*,*,#95786,.T.); #136386=ORIENTED_EDGE('',*,*,#95787,.F.); #136387=ORIENTED_EDGE('',*,*,#95788,.T.); #136388=ORIENTED_EDGE('',*,*,#95789,.T.); #136389=ORIENTED_EDGE('',*,*,#95790,.F.); #136390=ORIENTED_EDGE('',*,*,#95791,.F.); #136391=ORIENTED_EDGE('',*,*,#95792,.T.); #136392=ORIENTED_EDGE('',*,*,#95793,.F.); #136393=ORIENTED_EDGE('',*,*,#95794,.T.); #136394=ORIENTED_EDGE('',*,*,#95795,.T.); #136395=ORIENTED_EDGE('',*,*,#95796,.F.); #136396=ORIENTED_EDGE('',*,*,#95797,.F.); #136397=ORIENTED_EDGE('',*,*,#95798,.T.); #136398=ORIENTED_EDGE('',*,*,#95799,.F.); #136399=ORIENTED_EDGE('',*,*,#95800,.T.); #136400=ORIENTED_EDGE('',*,*,#95483,.F.); #136401=ORIENTED_EDGE('',*,*,#95801,.F.); #136402=ORIENTED_EDGE('',*,*,#95802,.F.); #136403=ORIENTED_EDGE('',*,*,#95803,.T.); #136404=ORIENTED_EDGE('',*,*,#95804,.F.); #136405=ORIENTED_EDGE('',*,*,#95805,.T.); #136406=ORIENTED_EDGE('',*,*,#95503,.F.); #136407=ORIENTED_EDGE('',*,*,#95806,.F.); #136408=ORIENTED_EDGE('',*,*,#95807,.F.); #136409=ORIENTED_EDGE('',*,*,#95808,.T.); #136410=ORIENTED_EDGE('',*,*,#95809,.F.); #136411=ORIENTED_EDGE('',*,*,#95810,.T.); #136412=ORIENTED_EDGE('',*,*,#95811,.T.); #136413=ORIENTED_EDGE('',*,*,#95812,.F.); #136414=ORIENTED_EDGE('',*,*,#95813,.F.); #136415=ORIENTED_EDGE('',*,*,#95814,.T.); #136416=ORIENTED_EDGE('',*,*,#95815,.F.); #136417=ORIENTED_EDGE('',*,*,#95816,.T.); #136418=ORIENTED_EDGE('',*,*,#95817,.T.); #136419=ORIENTED_EDGE('',*,*,#95818,.F.); #136420=ORIENTED_EDGE('',*,*,#95819,.F.); #136421=ORIENTED_EDGE('',*,*,#95820,.T.); #136422=ORIENTED_EDGE('',*,*,#95821,.F.); #136423=ORIENTED_EDGE('',*,*,#95822,.T.); #136424=ORIENTED_EDGE('',*,*,#95507,.F.); #136425=ORIENTED_EDGE('',*,*,#95823,.F.); #136426=ORIENTED_EDGE('',*,*,#95824,.F.); #136427=ORIENTED_EDGE('',*,*,#95825,.T.); #136428=ORIENTED_EDGE('',*,*,#95826,.F.); #136429=ORIENTED_EDGE('',*,*,#95827,.T.); #136430=ORIENTED_EDGE('',*,*,#95828,.T.); #136431=ORIENTED_EDGE('',*,*,#95829,.F.); #136432=ORIENTED_EDGE('',*,*,#95830,.F.); #136433=ORIENTED_EDGE('',*,*,#95831,.T.); #136434=ORIENTED_EDGE('',*,*,#95832,.F.); #136435=ORIENTED_EDGE('',*,*,#95833,.T.); #136436=ORIENTED_EDGE('',*,*,#95495,.F.); #136437=ORIENTED_EDGE('',*,*,#95834,.F.); #136438=ORIENTED_EDGE('',*,*,#95835,.F.); #136439=ORIENTED_EDGE('',*,*,#95836,.T.); #136440=ORIENTED_EDGE('',*,*,#95837,.F.); #136441=ORIENTED_EDGE('',*,*,#95838,.T.); #136442=ORIENTED_EDGE('',*,*,#95839,.T.); #136443=ORIENTED_EDGE('',*,*,#95840,.F.); #136444=ORIENTED_EDGE('',*,*,#95841,.F.); #136445=ORIENTED_EDGE('',*,*,#95842,.T.); #136446=ORIENTED_EDGE('',*,*,#95843,.F.); #136447=ORIENTED_EDGE('',*,*,#95844,.T.); #136448=ORIENTED_EDGE('',*,*,#95499,.F.); #136449=ORIENTED_EDGE('',*,*,#95845,.F.); #136450=ORIENTED_EDGE('',*,*,#95846,.F.); #136451=ORIENTED_EDGE('',*,*,#95847,.T.); #136452=ORIENTED_EDGE('',*,*,#95848,.F.); #136453=ORIENTED_EDGE('',*,*,#95849,.T.); #136454=ORIENTED_EDGE('',*,*,#95455,.F.); #136455=ORIENTED_EDGE('',*,*,#95850,.F.); #136456=ORIENTED_EDGE('',*,*,#95851,.F.); #136457=ORIENTED_EDGE('',*,*,#95852,.T.); #136458=ORIENTED_EDGE('',*,*,#95853,.F.); #136459=ORIENTED_EDGE('',*,*,#95854,.T.); #136460=ORIENTED_EDGE('',*,*,#95855,.T.); #136461=ORIENTED_EDGE('',*,*,#95856,.F.); #136462=ORIENTED_EDGE('',*,*,#95857,.F.); #136463=ORIENTED_EDGE('',*,*,#95858,.T.); #136464=ORIENTED_EDGE('',*,*,#95859,.F.); #136465=ORIENTED_EDGE('',*,*,#95860,.T.); #136466=ORIENTED_EDGE('',*,*,#95861,.T.); #136467=ORIENTED_EDGE('',*,*,#95862,.F.); #136468=ORIENTED_EDGE('',*,*,#95863,.F.); #136469=ORIENTED_EDGE('',*,*,#95864,.T.); #136470=ORIENTED_EDGE('',*,*,#95865,.F.); #136471=ORIENTED_EDGE('',*,*,#95866,.T.); #136472=ORIENTED_EDGE('',*,*,#95459,.F.); #136473=ORIENTED_EDGE('',*,*,#95867,.F.); #136474=ORIENTED_EDGE('',*,*,#95868,.F.); #136475=ORIENTED_EDGE('',*,*,#95869,.T.); #136476=ORIENTED_EDGE('',*,*,#95870,.F.); #136477=ORIENTED_EDGE('',*,*,#95871,.T.); #136478=ORIENTED_EDGE('',*,*,#95579,.F.); #136479=ORIENTED_EDGE('',*,*,#95872,.T.); #136480=ORIENTED_EDGE('',*,*,#95873,.T.); #136481=ORIENTED_EDGE('',*,*,#95874,.F.); #136482=ORIENTED_EDGE('',*,*,#95875,.F.); #136483=ORIENTED_EDGE('',*,*,#95876,.T.); #136484=ORIENTED_EDGE('',*,*,#95877,.F.); #136485=ORIENTED_EDGE('',*,*,#95878,.T.); #136486=ORIENTED_EDGE('',*,*,#95879,.T.); #136487=ORIENTED_EDGE('',*,*,#95880,.F.); #136488=ORIENTED_EDGE('',*,*,#95881,.F.); #136489=ORIENTED_EDGE('',*,*,#95882,.T.); #136490=ORIENTED_EDGE('',*,*,#95883,.F.); #136491=ORIENTED_EDGE('',*,*,#95884,.T.); #136492=ORIENTED_EDGE('',*,*,#95451,.F.); #136493=ORIENTED_EDGE('',*,*,#95885,.F.); #136494=ORIENTED_EDGE('',*,*,#95886,.F.); #136495=ORIENTED_EDGE('',*,*,#95887,.T.); #136496=ORIENTED_EDGE('',*,*,#95888,.F.); #136497=ORIENTED_EDGE('',*,*,#95889,.T.); #136498=ORIENTED_EDGE('',*,*,#95471,.F.); #136499=ORIENTED_EDGE('',*,*,#95890,.F.); #136500=ORIENTED_EDGE('',*,*,#95891,.F.); #136501=ORIENTED_EDGE('',*,*,#95892,.T.); #136502=ORIENTED_EDGE('',*,*,#95893,.F.); #136503=ORIENTED_EDGE('',*,*,#95894,.T.); #136504=ORIENTED_EDGE('',*,*,#95895,.T.); #136505=ORIENTED_EDGE('',*,*,#95896,.F.); #136506=ORIENTED_EDGE('',*,*,#95897,.F.); #136507=ORIENTED_EDGE('',*,*,#95898,.T.); #136508=ORIENTED_EDGE('',*,*,#95899,.F.); #136509=ORIENTED_EDGE('',*,*,#95900,.T.); #136510=ORIENTED_EDGE('',*,*,#95901,.T.); #136511=ORIENTED_EDGE('',*,*,#95902,.F.); #136512=ORIENTED_EDGE('',*,*,#95903,.F.); #136513=ORIENTED_EDGE('',*,*,#95904,.T.); #136514=ORIENTED_EDGE('',*,*,#95905,.F.); #136515=ORIENTED_EDGE('',*,*,#95906,.T.); #136516=ORIENTED_EDGE('',*,*,#95475,.F.); #136517=ORIENTED_EDGE('',*,*,#95907,.F.); #136518=ORIENTED_EDGE('',*,*,#95908,.F.); #136519=ORIENTED_EDGE('',*,*,#95909,.T.); #136520=ORIENTED_EDGE('',*,*,#95910,.F.); #136521=ORIENTED_EDGE('',*,*,#95911,.T.); #136522=ORIENTED_EDGE('',*,*,#95912,.T.); #136523=ORIENTED_EDGE('',*,*,#95913,.F.); #136524=ORIENTED_EDGE('',*,*,#95914,.F.); #136525=ORIENTED_EDGE('',*,*,#95915,.T.); #136526=ORIENTED_EDGE('',*,*,#95916,.F.); #136527=ORIENTED_EDGE('',*,*,#95917,.T.); #136528=ORIENTED_EDGE('',*,*,#95463,.F.); #136529=ORIENTED_EDGE('',*,*,#95918,.F.); #136530=ORIENTED_EDGE('',*,*,#95919,.F.); #136531=ORIENTED_EDGE('',*,*,#95920,.T.); #136532=ORIENTED_EDGE('',*,*,#95921,.F.); #136533=ORIENTED_EDGE('',*,*,#95922,.T.); #136534=ORIENTED_EDGE('',*,*,#95923,.T.); #136535=ORIENTED_EDGE('',*,*,#95924,.F.); #136536=ORIENTED_EDGE('',*,*,#95925,.F.); #136537=ORIENTED_EDGE('',*,*,#95926,.T.); #136538=ORIENTED_EDGE('',*,*,#95927,.F.); #136539=ORIENTED_EDGE('',*,*,#95928,.T.); #136540=ORIENTED_EDGE('',*,*,#95467,.F.); #136541=ORIENTED_EDGE('',*,*,#95929,.F.); #136542=ORIENTED_EDGE('',*,*,#95930,.F.); #136543=ORIENTED_EDGE('',*,*,#95931,.F.); #136544=ORIENTED_EDGE('',*,*,#95873,.F.); #136545=ORIENTED_EDGE('',*,*,#95932,.F.); #136546=ORIENTED_EDGE('',*,*,#95933,.F.); #136547=ORIENTED_EDGE('',*,*,#95934,.F.); #136548=ORIENTED_EDGE('',*,*,#95935,.F.); #136549=ORIENTED_EDGE('',*,*,#95936,.F.); #136550=ORIENTED_EDGE('',*,*,#95700,.F.); #136551=ORIENTED_EDGE('',*,*,#95937,.F.); #136552=ORIENTED_EDGE('',*,*,#95938,.F.); #136553=ORIENTED_EDGE('',*,*,#95939,.F.); #136554=ORIENTED_EDGE('',*,*,#95683,.F.); #136555=ORIENTED_EDGE('',*,*,#95940,.F.); #136556=ORIENTED_EDGE('',*,*,#95941,.F.); #136557=ORIENTED_EDGE('',*,*,#95942,.F.); #136558=ORIENTED_EDGE('',*,*,#95694,.F.); #136559=ORIENTED_EDGE('',*,*,#95943,.F.); #136560=ORIENTED_EDGE('',*,*,#95944,.F.); #136561=ORIENTED_EDGE('',*,*,#95945,.F.); #136562=ORIENTED_EDGE('',*,*,#95738,.F.); #136563=ORIENTED_EDGE('',*,*,#95946,.F.); #136564=ORIENTED_EDGE('',*,*,#95947,.F.); #136565=ORIENTED_EDGE('',*,*,#95948,.F.); #136566=ORIENTED_EDGE('',*,*,#95744,.F.); #136567=ORIENTED_EDGE('',*,*,#95949,.F.); #136568=ORIENTED_EDGE('',*,*,#95950,.F.); #136569=ORIENTED_EDGE('',*,*,#95951,.F.); #136570=ORIENTED_EDGE('',*,*,#95716,.F.); #136571=ORIENTED_EDGE('',*,*,#95952,.F.); #136572=ORIENTED_EDGE('',*,*,#95953,.F.); #136573=ORIENTED_EDGE('',*,*,#95954,.F.); #136574=ORIENTED_EDGE('',*,*,#95722,.F.); #136575=ORIENTED_EDGE('',*,*,#95955,.F.); #136576=ORIENTED_EDGE('',*,*,#95956,.F.); #136577=ORIENTED_EDGE('',*,*,#95957,.F.); #136578=ORIENTED_EDGE('',*,*,#95617,.F.); #136579=ORIENTED_EDGE('',*,*,#95958,.F.); #136580=ORIENTED_EDGE('',*,*,#95959,.F.); #136581=ORIENTED_EDGE('',*,*,#95960,.F.); #136582=ORIENTED_EDGE('',*,*,#95623,.F.); #136583=ORIENTED_EDGE('',*,*,#95961,.F.); #136584=ORIENTED_EDGE('',*,*,#95962,.F.); #136585=ORIENTED_EDGE('',*,*,#95963,.F.); #136586=ORIENTED_EDGE('',*,*,#95595,.F.); #136587=ORIENTED_EDGE('',*,*,#95964,.F.); #136588=ORIENTED_EDGE('',*,*,#95965,.F.); #136589=ORIENTED_EDGE('',*,*,#95966,.F.); #136590=ORIENTED_EDGE('',*,*,#95606,.F.); #136591=ORIENTED_EDGE('',*,*,#95967,.F.); #136592=ORIENTED_EDGE('',*,*,#95968,.F.); #136593=ORIENTED_EDGE('',*,*,#95969,.F.); #136594=ORIENTED_EDGE('',*,*,#95661,.F.); #136595=ORIENTED_EDGE('',*,*,#95970,.F.); #136596=ORIENTED_EDGE('',*,*,#95971,.F.); #136597=ORIENTED_EDGE('',*,*,#95972,.F.); #136598=ORIENTED_EDGE('',*,*,#95667,.F.); #136599=ORIENTED_EDGE('',*,*,#95973,.F.); #136600=ORIENTED_EDGE('',*,*,#95974,.F.); #136601=ORIENTED_EDGE('',*,*,#95975,.F.); #136602=ORIENTED_EDGE('',*,*,#95639,.F.); #136603=ORIENTED_EDGE('',*,*,#95976,.F.); #136604=ORIENTED_EDGE('',*,*,#95977,.F.); #136605=ORIENTED_EDGE('',*,*,#95978,.F.); #136606=ORIENTED_EDGE('',*,*,#95650,.F.); #136607=ORIENTED_EDGE('',*,*,#95979,.F.); #136608=ORIENTED_EDGE('',*,*,#95980,.F.); #136609=ORIENTED_EDGE('',*,*,#95981,.F.); #136610=ORIENTED_EDGE('',*,*,#95756,.F.); #136611=ORIENTED_EDGE('',*,*,#95982,.F.); #136612=ORIENTED_EDGE('',*,*,#95983,.F.); #136613=ORIENTED_EDGE('',*,*,#95984,.F.); #136614=ORIENTED_EDGE('',*,*,#95985,.F.); #136615=ORIENTED_EDGE('',*,*,#95986,.F.); #136616=ORIENTED_EDGE('',*,*,#95817,.F.); #136617=ORIENTED_EDGE('',*,*,#95987,.F.); #136618=ORIENTED_EDGE('',*,*,#95988,.F.); #136619=ORIENTED_EDGE('',*,*,#95989,.F.); #136620=ORIENTED_EDGE('',*,*,#95811,.F.); #136621=ORIENTED_EDGE('',*,*,#95990,.F.); #136622=ORIENTED_EDGE('',*,*,#95991,.F.); #136623=ORIENTED_EDGE('',*,*,#95992,.F.); #136624=ORIENTED_EDGE('',*,*,#95839,.F.); #136625=ORIENTED_EDGE('',*,*,#95993,.F.); #136626=ORIENTED_EDGE('',*,*,#95994,.F.); #136627=ORIENTED_EDGE('',*,*,#95995,.F.); #136628=ORIENTED_EDGE('',*,*,#95828,.F.); #136629=ORIENTED_EDGE('',*,*,#95996,.F.); #136630=ORIENTED_EDGE('',*,*,#95997,.F.); #136631=ORIENTED_EDGE('',*,*,#95998,.F.); #136632=ORIENTED_EDGE('',*,*,#95773,.F.); #136633=ORIENTED_EDGE('',*,*,#95999,.F.); #136634=ORIENTED_EDGE('',*,*,#96000,.F.); #136635=ORIENTED_EDGE('',*,*,#96001,.F.); #136636=ORIENTED_EDGE('',*,*,#95767,.F.); #136637=ORIENTED_EDGE('',*,*,#96002,.F.); #136638=ORIENTED_EDGE('',*,*,#96003,.F.); #136639=ORIENTED_EDGE('',*,*,#96004,.F.); #136640=ORIENTED_EDGE('',*,*,#95795,.F.); #136641=ORIENTED_EDGE('',*,*,#96005,.F.); #136642=ORIENTED_EDGE('',*,*,#96006,.F.); #136643=ORIENTED_EDGE('',*,*,#96007,.F.); #136644=ORIENTED_EDGE('',*,*,#95789,.F.); #136645=ORIENTED_EDGE('',*,*,#96008,.F.); #136646=ORIENTED_EDGE('',*,*,#96009,.F.); #136647=ORIENTED_EDGE('',*,*,#96010,.F.); #136648=ORIENTED_EDGE('',*,*,#95901,.F.); #136649=ORIENTED_EDGE('',*,*,#96011,.F.); #136650=ORIENTED_EDGE('',*,*,#96012,.F.); #136651=ORIENTED_EDGE('',*,*,#96013,.F.); #136652=ORIENTED_EDGE('',*,*,#95895,.F.); #136653=ORIENTED_EDGE('',*,*,#96014,.F.); #136654=ORIENTED_EDGE('',*,*,#96015,.F.); #136655=ORIENTED_EDGE('',*,*,#96016,.F.); #136656=ORIENTED_EDGE('',*,*,#95923,.F.); #136657=ORIENTED_EDGE('',*,*,#96017,.F.); #136658=ORIENTED_EDGE('',*,*,#96018,.F.); #136659=ORIENTED_EDGE('',*,*,#96019,.F.); #136660=ORIENTED_EDGE('',*,*,#95912,.F.); #136661=ORIENTED_EDGE('',*,*,#96020,.F.); #136662=ORIENTED_EDGE('',*,*,#96021,.F.); #136663=ORIENTED_EDGE('',*,*,#96022,.F.); #136664=ORIENTED_EDGE('',*,*,#95861,.F.); #136665=ORIENTED_EDGE('',*,*,#96023,.F.); #136666=ORIENTED_EDGE('',*,*,#96024,.F.); #136667=ORIENTED_EDGE('',*,*,#96025,.F.); #136668=ORIENTED_EDGE('',*,*,#95855,.F.); #136669=ORIENTED_EDGE('',*,*,#96026,.F.); #136670=ORIENTED_EDGE('',*,*,#96027,.F.); #136671=ORIENTED_EDGE('',*,*,#96028,.F.); #136672=ORIENTED_EDGE('',*,*,#95879,.F.); #136673=ORIENTED_EDGE('',*,*,#96029,.F.); #136674=ORIENTED_EDGE('',*,*,#95982,.T.); #136675=ORIENTED_EDGE('',*,*,#95755,.F.); #136676=ORIENTED_EDGE('',*,*,#95512,.F.); #136677=ORIENTED_EDGE('',*,*,#96030,.T.); #136678=ORIENTED_EDGE('',*,*,#96031,.F.); #136679=ORIENTED_EDGE('',*,*,#96032,.T.); #136680=ORIENTED_EDGE('',*,*,#96033,.F.); #136681=ORIENTED_EDGE('',*,*,#96034,.T.); #136682=ORIENTED_EDGE('',*,*,#95933,.T.); #136683=ORIENTED_EDGE('',*,*,#96035,.F.); #136684=ORIENTED_EDGE('',*,*,#95577,.F.); #136685=ORIENTED_EDGE('',*,*,#96036,.F.); #136686=ORIENTED_EDGE('',*,*,#95932,.T.); #136687=ORIENTED_EDGE('',*,*,#95872,.F.); #136688=ORIENTED_EDGE('',*,*,#95578,.F.); #136689=ORIENTED_EDGE('',*,*,#96035,.T.); #136690=ORIENTED_EDGE('',*,*,#96037,.F.); #136691=ORIENTED_EDGE('',*,*,#96038,.T.); #136692=ORIENTED_EDGE('',*,*,#96039,.F.); #136693=ORIENTED_EDGE('',*,*,#96040,.T.); #136694=ORIENTED_EDGE('',*,*,#95983,.T.); #136695=ORIENTED_EDGE('',*,*,#96030,.F.); #136696=ORIENTED_EDGE('',*,*,#95511,.F.); #136697=ORIENTED_EDGE('',*,*,#96041,.F.); #136698=ORIENTED_EDGE('',*,*,#95514,.T.); #136699=ORIENTED_EDGE('',*,*,#95754,.F.); #136700=ORIENTED_EDGE('',*,*,#96042,.F.); #136701=ORIENTED_EDGE('',*,*,#96043,.T.); #136702=ORIENTED_EDGE('',*,*,#95516,.T.); #136703=ORIENTED_EDGE('',*,*,#96044,.F.); #136704=ORIENTED_EDGE('',*,*,#96045,.F.); #136705=ORIENTED_EDGE('',*,*,#95645,.T.); #136706=ORIENTED_EDGE('',*,*,#95515,.T.); #136707=ORIENTED_EDGE('',*,*,#96043,.F.); #136708=ORIENTED_EDGE('',*,*,#96046,.F.); #136709=ORIENTED_EDGE('',*,*,#96044,.T.); #136710=ORIENTED_EDGE('',*,*,#95753,.T.); #136711=ORIENTED_EDGE('',*,*,#96047,.F.); #136712=ORIENTED_EDGE('',*,*,#95646,.T.); #136713=ORIENTED_EDGE('',*,*,#96045,.T.); #136714=ORIENTED_EDGE('',*,*,#96046,.T.); #136715=ORIENTED_EDGE('',*,*,#96042,.T.); #136716=ORIENTED_EDGE('',*,*,#95510,.T.); #136717=ORIENTED_EDGE('',*,*,#96048,.F.); #136718=ORIENTED_EDGE('',*,*,#96049,.F.); #136719=ORIENTED_EDGE('',*,*,#96041,.T.); #136720=ORIENTED_EDGE('',*,*,#95509,.T.); #136721=ORIENTED_EDGE('',*,*,#96050,.F.); #136722=ORIENTED_EDGE('',*,*,#96051,.F.); #136723=ORIENTED_EDGE('',*,*,#96048,.T.); #136724=ORIENTED_EDGE('',*,*,#95508,.T.); #136725=ORIENTED_EDGE('',*,*,#95822,.F.); #136726=ORIENTED_EDGE('',*,*,#96052,.F.); #136727=ORIENTED_EDGE('',*,*,#96050,.T.); #136728=ORIENTED_EDGE('',*,*,#96053,.F.); #136729=ORIENTED_EDGE('',*,*,#96037,.T.); #136730=ORIENTED_EDGE('',*,*,#96049,.T.); #136731=ORIENTED_EDGE('',*,*,#96051,.T.); #136732=ORIENTED_EDGE('',*,*,#96052,.T.); #136733=ORIENTED_EDGE('',*,*,#95821,.T.); #136734=ORIENTED_EDGE('',*,*,#95520,.T.); #136735=ORIENTED_EDGE('',*,*,#96054,.F.); #136736=ORIENTED_EDGE('',*,*,#96055,.F.); #136737=ORIENTED_EDGE('',*,*,#95634,.T.); #136738=ORIENTED_EDGE('',*,*,#95519,.T.); #136739=ORIENTED_EDGE('',*,*,#96056,.F.); #136740=ORIENTED_EDGE('',*,*,#96057,.F.); #136741=ORIENTED_EDGE('',*,*,#96054,.T.); #136742=ORIENTED_EDGE('',*,*,#95518,.T.); #136743=ORIENTED_EDGE('',*,*,#95644,.F.); #136744=ORIENTED_EDGE('',*,*,#96058,.F.); #136745=ORIENTED_EDGE('',*,*,#96056,.T.); #136746=ORIENTED_EDGE('',*,*,#96059,.F.); #136747=ORIENTED_EDGE('',*,*,#95635,.T.); #136748=ORIENTED_EDGE('',*,*,#96055,.T.); #136749=ORIENTED_EDGE('',*,*,#96057,.T.); #136750=ORIENTED_EDGE('',*,*,#96058,.T.); #136751=ORIENTED_EDGE('',*,*,#95643,.T.); #136752=ORIENTED_EDGE('',*,*,#95506,.T.); #136753=ORIENTED_EDGE('',*,*,#96060,.F.); #136754=ORIENTED_EDGE('',*,*,#96061,.F.); #136755=ORIENTED_EDGE('',*,*,#95823,.T.); #136756=ORIENTED_EDGE('',*,*,#95505,.T.); #136757=ORIENTED_EDGE('',*,*,#96062,.F.); #136758=ORIENTED_EDGE('',*,*,#96063,.F.); #136759=ORIENTED_EDGE('',*,*,#96060,.T.); #136760=ORIENTED_EDGE('',*,*,#95504,.T.); #136761=ORIENTED_EDGE('',*,*,#95805,.F.); #136762=ORIENTED_EDGE('',*,*,#96064,.F.); #136763=ORIENTED_EDGE('',*,*,#96062,.T.); #136764=ORIENTED_EDGE('',*,*,#95804,.T.); #136765=ORIENTED_EDGE('',*,*,#96065,.F.); #136766=ORIENTED_EDGE('',*,*,#95813,.T.); #136767=ORIENTED_EDGE('',*,*,#96061,.T.); #136768=ORIENTED_EDGE('',*,*,#96063,.T.); #136769=ORIENTED_EDGE('',*,*,#96064,.T.); #136770=ORIENTED_EDGE('',*,*,#95524,.T.); #136771=ORIENTED_EDGE('',*,*,#96066,.F.); #136772=ORIENTED_EDGE('',*,*,#96067,.F.); #136773=ORIENTED_EDGE('',*,*,#95673,.T.); #136774=ORIENTED_EDGE('',*,*,#95523,.T.); #136775=ORIENTED_EDGE('',*,*,#96068,.F.); #136776=ORIENTED_EDGE('',*,*,#96069,.F.); #136777=ORIENTED_EDGE('',*,*,#96066,.T.); #136778=ORIENTED_EDGE('',*,*,#95522,.T.); #136779=ORIENTED_EDGE('',*,*,#95633,.F.); #136780=ORIENTED_EDGE('',*,*,#96070,.F.); #136781=ORIENTED_EDGE('',*,*,#96068,.T.); #136782=ORIENTED_EDGE('',*,*,#96071,.F.); #136783=ORIENTED_EDGE('',*,*,#95663,.T.); #136784=ORIENTED_EDGE('',*,*,#96067,.T.); #136785=ORIENTED_EDGE('',*,*,#96069,.T.); #136786=ORIENTED_EDGE('',*,*,#96070,.T.); #136787=ORIENTED_EDGE('',*,*,#95632,.T.); #136788=ORIENTED_EDGE('',*,*,#95502,.T.); #136789=ORIENTED_EDGE('',*,*,#96072,.F.); #136790=ORIENTED_EDGE('',*,*,#96073,.F.); #136791=ORIENTED_EDGE('',*,*,#95806,.T.); #136792=ORIENTED_EDGE('',*,*,#95501,.T.); #136793=ORIENTED_EDGE('',*,*,#96074,.F.); #136794=ORIENTED_EDGE('',*,*,#96075,.F.); #136795=ORIENTED_EDGE('',*,*,#96072,.T.); #136796=ORIENTED_EDGE('',*,*,#95500,.T.); #136797=ORIENTED_EDGE('',*,*,#95844,.F.); #136798=ORIENTED_EDGE('',*,*,#96076,.F.); #136799=ORIENTED_EDGE('',*,*,#96074,.T.); #136800=ORIENTED_EDGE('',*,*,#95843,.T.); #136801=ORIENTED_EDGE('',*,*,#96077,.F.); #136802=ORIENTED_EDGE('',*,*,#95807,.T.); #136803=ORIENTED_EDGE('',*,*,#96073,.T.); #136804=ORIENTED_EDGE('',*,*,#96075,.T.); #136805=ORIENTED_EDGE('',*,*,#96076,.T.); #136806=ORIENTED_EDGE('',*,*,#95528,.T.); #136807=ORIENTED_EDGE('',*,*,#96078,.F.); #136808=ORIENTED_EDGE('',*,*,#96079,.F.); #136809=ORIENTED_EDGE('',*,*,#95656,.T.); #136810=ORIENTED_EDGE('',*,*,#95527,.T.); #136811=ORIENTED_EDGE('',*,*,#96080,.F.); #136812=ORIENTED_EDGE('',*,*,#96081,.F.); #136813=ORIENTED_EDGE('',*,*,#96078,.T.); #136814=ORIENTED_EDGE('',*,*,#95526,.T.); #136815=ORIENTED_EDGE('',*,*,#95672,.F.); #136816=ORIENTED_EDGE('',*,*,#96082,.F.); #136817=ORIENTED_EDGE('',*,*,#96080,.T.); #136818=ORIENTED_EDGE('',*,*,#96083,.F.); #136819=ORIENTED_EDGE('',*,*,#95657,.T.); #136820=ORIENTED_EDGE('',*,*,#96079,.T.); #136821=ORIENTED_EDGE('',*,*,#96081,.T.); #136822=ORIENTED_EDGE('',*,*,#96082,.T.); #136823=ORIENTED_EDGE('',*,*,#95671,.T.); #136824=ORIENTED_EDGE('',*,*,#95498,.T.); #136825=ORIENTED_EDGE('',*,*,#96084,.F.); #136826=ORIENTED_EDGE('',*,*,#96085,.F.); #136827=ORIENTED_EDGE('',*,*,#95845,.T.); #136828=ORIENTED_EDGE('',*,*,#95497,.T.); #136829=ORIENTED_EDGE('',*,*,#96086,.F.); #136830=ORIENTED_EDGE('',*,*,#96087,.F.); #136831=ORIENTED_EDGE('',*,*,#96084,.T.); #136832=ORIENTED_EDGE('',*,*,#95496,.T.); #136833=ORIENTED_EDGE('',*,*,#95833,.F.); #136834=ORIENTED_EDGE('',*,*,#96088,.F.); #136835=ORIENTED_EDGE('',*,*,#96086,.T.); #136836=ORIENTED_EDGE('',*,*,#95832,.T.); #136837=ORIENTED_EDGE('',*,*,#96089,.F.); #136838=ORIENTED_EDGE('',*,*,#95835,.T.); #136839=ORIENTED_EDGE('',*,*,#96085,.T.); #136840=ORIENTED_EDGE('',*,*,#96087,.T.); #136841=ORIENTED_EDGE('',*,*,#96088,.T.); #136842=ORIENTED_EDGE('',*,*,#95532,.T.); #136843=ORIENTED_EDGE('',*,*,#96090,.F.); #136844=ORIENTED_EDGE('',*,*,#96091,.F.); #136845=ORIENTED_EDGE('',*,*,#95601,.T.); #136846=ORIENTED_EDGE('',*,*,#95531,.T.); #136847=ORIENTED_EDGE('',*,*,#96092,.F.); #136848=ORIENTED_EDGE('',*,*,#96093,.F.); #136849=ORIENTED_EDGE('',*,*,#96090,.T.); #136850=ORIENTED_EDGE('',*,*,#95530,.T.); #136851=ORIENTED_EDGE('',*,*,#95655,.F.); #136852=ORIENTED_EDGE('',*,*,#96094,.F.); #136853=ORIENTED_EDGE('',*,*,#96092,.T.); #136854=ORIENTED_EDGE('',*,*,#96095,.F.); #136855=ORIENTED_EDGE('',*,*,#95602,.T.); #136856=ORIENTED_EDGE('',*,*,#96091,.T.); #136857=ORIENTED_EDGE('',*,*,#96093,.T.); #136858=ORIENTED_EDGE('',*,*,#96094,.T.); #136859=ORIENTED_EDGE('',*,*,#95654,.T.); #136860=ORIENTED_EDGE('',*,*,#95494,.T.); #136861=ORIENTED_EDGE('',*,*,#96096,.F.); #136862=ORIENTED_EDGE('',*,*,#96097,.F.); #136863=ORIENTED_EDGE('',*,*,#95834,.T.); #136864=ORIENTED_EDGE('',*,*,#95493,.T.); #136865=ORIENTED_EDGE('',*,*,#96098,.F.); #136866=ORIENTED_EDGE('',*,*,#96099,.F.); #136867=ORIENTED_EDGE('',*,*,#96096,.T.); #136868=ORIENTED_EDGE('',*,*,#95492,.T.); #136869=ORIENTED_EDGE('',*,*,#95778,.F.); #136870=ORIENTED_EDGE('',*,*,#96100,.F.); #136871=ORIENTED_EDGE('',*,*,#96098,.T.); #136872=ORIENTED_EDGE('',*,*,#95777,.T.); #136873=ORIENTED_EDGE('',*,*,#96101,.F.); #136874=ORIENTED_EDGE('',*,*,#95824,.T.); #136875=ORIENTED_EDGE('',*,*,#96097,.T.); #136876=ORIENTED_EDGE('',*,*,#96099,.T.); #136877=ORIENTED_EDGE('',*,*,#96100,.T.); #136878=ORIENTED_EDGE('',*,*,#95536,.T.); #136879=ORIENTED_EDGE('',*,*,#96102,.F.); #136880=ORIENTED_EDGE('',*,*,#96103,.F.); #136881=ORIENTED_EDGE('',*,*,#95590,.T.); #136882=ORIENTED_EDGE('',*,*,#95535,.T.); #136883=ORIENTED_EDGE('',*,*,#96104,.F.); #136884=ORIENTED_EDGE('',*,*,#96105,.F.); #136885=ORIENTED_EDGE('',*,*,#96102,.T.); #136886=ORIENTED_EDGE('',*,*,#95534,.T.); #136887=ORIENTED_EDGE('',*,*,#95600,.F.); #136888=ORIENTED_EDGE('',*,*,#96106,.F.); #136889=ORIENTED_EDGE('',*,*,#96104,.T.); #136890=ORIENTED_EDGE('',*,*,#96107,.F.); #136891=ORIENTED_EDGE('',*,*,#95591,.T.); #136892=ORIENTED_EDGE('',*,*,#96103,.T.); #136893=ORIENTED_EDGE('',*,*,#96105,.T.); #136894=ORIENTED_EDGE('',*,*,#96106,.T.); #136895=ORIENTED_EDGE('',*,*,#95599,.T.); #136896=ORIENTED_EDGE('',*,*,#95490,.T.); #136897=ORIENTED_EDGE('',*,*,#96108,.F.); #136898=ORIENTED_EDGE('',*,*,#96109,.F.); #136899=ORIENTED_EDGE('',*,*,#95779,.T.); #136900=ORIENTED_EDGE('',*,*,#95489,.T.); #136901=ORIENTED_EDGE('',*,*,#96110,.F.); #136902=ORIENTED_EDGE('',*,*,#96111,.F.); #136903=ORIENTED_EDGE('',*,*,#96108,.T.); #136904=ORIENTED_EDGE('',*,*,#95488,.T.); #136905=ORIENTED_EDGE('',*,*,#95761,.F.); #136906=ORIENTED_EDGE('',*,*,#96112,.F.); #136907=ORIENTED_EDGE('',*,*,#96110,.T.); #136908=ORIENTED_EDGE('',*,*,#95760,.T.); #136909=ORIENTED_EDGE('',*,*,#96113,.F.); #136910=ORIENTED_EDGE('',*,*,#95769,.T.); #136911=ORIENTED_EDGE('',*,*,#96109,.T.); #136912=ORIENTED_EDGE('',*,*,#96111,.T.); #136913=ORIENTED_EDGE('',*,*,#96112,.T.); #136914=ORIENTED_EDGE('',*,*,#95540,.T.); #136915=ORIENTED_EDGE('',*,*,#96114,.F.); #136916=ORIENTED_EDGE('',*,*,#96115,.F.); #136917=ORIENTED_EDGE('',*,*,#95629,.T.); #136918=ORIENTED_EDGE('',*,*,#95539,.T.); #136919=ORIENTED_EDGE('',*,*,#96116,.F.); #136920=ORIENTED_EDGE('',*,*,#96117,.F.); #136921=ORIENTED_EDGE('',*,*,#96114,.T.); #136922=ORIENTED_EDGE('',*,*,#95538,.T.); #136923=ORIENTED_EDGE('',*,*,#95589,.F.); #136924=ORIENTED_EDGE('',*,*,#96118,.F.); #136925=ORIENTED_EDGE('',*,*,#96116,.T.); #136926=ORIENTED_EDGE('',*,*,#96119,.F.); #136927=ORIENTED_EDGE('',*,*,#95619,.T.); #136928=ORIENTED_EDGE('',*,*,#96115,.T.); #136929=ORIENTED_EDGE('',*,*,#96117,.T.); #136930=ORIENTED_EDGE('',*,*,#96118,.T.); #136931=ORIENTED_EDGE('',*,*,#95588,.T.); #136932=ORIENTED_EDGE('',*,*,#95486,.T.); #136933=ORIENTED_EDGE('',*,*,#96120,.F.); #136934=ORIENTED_EDGE('',*,*,#96121,.F.); #136935=ORIENTED_EDGE('',*,*,#95762,.T.); #136936=ORIENTED_EDGE('',*,*,#95485,.T.); #136937=ORIENTED_EDGE('',*,*,#96122,.F.); #136938=ORIENTED_EDGE('',*,*,#96123,.F.); #136939=ORIENTED_EDGE('',*,*,#96120,.T.); #136940=ORIENTED_EDGE('',*,*,#95484,.T.); #136941=ORIENTED_EDGE('',*,*,#95800,.F.); #136942=ORIENTED_EDGE('',*,*,#96124,.F.); #136943=ORIENTED_EDGE('',*,*,#96122,.T.); #136944=ORIENTED_EDGE('',*,*,#95799,.T.); #136945=ORIENTED_EDGE('',*,*,#96125,.F.); #136946=ORIENTED_EDGE('',*,*,#95763,.T.); #136947=ORIENTED_EDGE('',*,*,#96121,.T.); #136948=ORIENTED_EDGE('',*,*,#96123,.T.); #136949=ORIENTED_EDGE('',*,*,#96124,.T.); #136950=ORIENTED_EDGE('',*,*,#95544,.T.); #136951=ORIENTED_EDGE('',*,*,#96126,.F.); #136952=ORIENTED_EDGE('',*,*,#96127,.F.); #136953=ORIENTED_EDGE('',*,*,#95612,.T.); #136954=ORIENTED_EDGE('',*,*,#95543,.T.); #136955=ORIENTED_EDGE('',*,*,#96128,.F.); #136956=ORIENTED_EDGE('',*,*,#96129,.F.); #136957=ORIENTED_EDGE('',*,*,#96126,.T.); #136958=ORIENTED_EDGE('',*,*,#95542,.T.); #136959=ORIENTED_EDGE('',*,*,#95628,.F.); #136960=ORIENTED_EDGE('',*,*,#96130,.F.); #136961=ORIENTED_EDGE('',*,*,#96128,.T.); #136962=ORIENTED_EDGE('',*,*,#96131,.F.); #136963=ORIENTED_EDGE('',*,*,#95613,.T.); #136964=ORIENTED_EDGE('',*,*,#96127,.T.); #136965=ORIENTED_EDGE('',*,*,#96129,.T.); #136966=ORIENTED_EDGE('',*,*,#96130,.T.); #136967=ORIENTED_EDGE('',*,*,#95627,.T.); #136968=ORIENTED_EDGE('',*,*,#95482,.T.); #136969=ORIENTED_EDGE('',*,*,#96132,.F.); #136970=ORIENTED_EDGE('',*,*,#96133,.F.); #136971=ORIENTED_EDGE('',*,*,#95801,.T.); #136972=ORIENTED_EDGE('',*,*,#95481,.T.); #136973=ORIENTED_EDGE('',*,*,#96134,.F.); #136974=ORIENTED_EDGE('',*,*,#96135,.F.); #136975=ORIENTED_EDGE('',*,*,#96132,.T.); #136976=ORIENTED_EDGE('',*,*,#95480,.T.); #136977=ORIENTED_EDGE('',*,*,#95783,.F.); #136978=ORIENTED_EDGE('',*,*,#96136,.F.); #136979=ORIENTED_EDGE('',*,*,#96134,.T.); #136980=ORIENTED_EDGE('',*,*,#95782,.T.); #136981=ORIENTED_EDGE('',*,*,#96137,.F.); #136982=ORIENTED_EDGE('',*,*,#95791,.T.); #136983=ORIENTED_EDGE('',*,*,#96133,.T.); #136984=ORIENTED_EDGE('',*,*,#96135,.T.); #136985=ORIENTED_EDGE('',*,*,#96136,.T.); #136986=ORIENTED_EDGE('',*,*,#95548,.T.); #136987=ORIENTED_EDGE('',*,*,#96138,.F.); #136988=ORIENTED_EDGE('',*,*,#96139,.F.); #136989=ORIENTED_EDGE('',*,*,#95728,.T.); #136990=ORIENTED_EDGE('',*,*,#95547,.T.); #136991=ORIENTED_EDGE('',*,*,#96140,.F.); #136992=ORIENTED_EDGE('',*,*,#96141,.F.); #136993=ORIENTED_EDGE('',*,*,#96138,.T.); #136994=ORIENTED_EDGE('',*,*,#95546,.T.); #136995=ORIENTED_EDGE('',*,*,#95611,.F.); #136996=ORIENTED_EDGE('',*,*,#96142,.F.); #136997=ORIENTED_EDGE('',*,*,#96140,.T.); #136998=ORIENTED_EDGE('',*,*,#96143,.F.); #136999=ORIENTED_EDGE('',*,*,#95718,.T.); #137000=ORIENTED_EDGE('',*,*,#96139,.T.); #137001=ORIENTED_EDGE('',*,*,#96141,.T.); #137002=ORIENTED_EDGE('',*,*,#96142,.T.); #137003=ORIENTED_EDGE('',*,*,#95610,.T.); #137004=ORIENTED_EDGE('',*,*,#95478,.T.); #137005=ORIENTED_EDGE('',*,*,#96144,.F.); #137006=ORIENTED_EDGE('',*,*,#96145,.F.); #137007=ORIENTED_EDGE('',*,*,#95784,.T.); #137008=ORIENTED_EDGE('',*,*,#95477,.T.); #137009=ORIENTED_EDGE('',*,*,#96146,.F.); #137010=ORIENTED_EDGE('',*,*,#96147,.F.); #137011=ORIENTED_EDGE('',*,*,#96144,.T.); #137012=ORIENTED_EDGE('',*,*,#95476,.T.); #137013=ORIENTED_EDGE('',*,*,#95906,.F.); #137014=ORIENTED_EDGE('',*,*,#96148,.F.); #137015=ORIENTED_EDGE('',*,*,#96146,.T.); #137016=ORIENTED_EDGE('',*,*,#95905,.T.); #137017=ORIENTED_EDGE('',*,*,#96149,.F.); #137018=ORIENTED_EDGE('',*,*,#95785,.T.); #137019=ORIENTED_EDGE('',*,*,#96145,.T.); #137020=ORIENTED_EDGE('',*,*,#96147,.T.); #137021=ORIENTED_EDGE('',*,*,#96148,.T.); #137022=ORIENTED_EDGE('',*,*,#95552,.T.); #137023=ORIENTED_EDGE('',*,*,#96150,.F.); #137024=ORIENTED_EDGE('',*,*,#96151,.F.); #137025=ORIENTED_EDGE('',*,*,#95711,.T.); #137026=ORIENTED_EDGE('',*,*,#95551,.T.); #137027=ORIENTED_EDGE('',*,*,#96152,.F.); #137028=ORIENTED_EDGE('',*,*,#96153,.F.); #137029=ORIENTED_EDGE('',*,*,#96150,.T.); #137030=ORIENTED_EDGE('',*,*,#95550,.T.); #137031=ORIENTED_EDGE('',*,*,#95727,.F.); #137032=ORIENTED_EDGE('',*,*,#96154,.F.); #137033=ORIENTED_EDGE('',*,*,#96152,.T.); #137034=ORIENTED_EDGE('',*,*,#96155,.F.); #137035=ORIENTED_EDGE('',*,*,#95712,.T.); #137036=ORIENTED_EDGE('',*,*,#96151,.T.); #137037=ORIENTED_EDGE('',*,*,#96153,.T.); #137038=ORIENTED_EDGE('',*,*,#96154,.T.); #137039=ORIENTED_EDGE('',*,*,#95726,.T.); #137040=ORIENTED_EDGE('',*,*,#95474,.T.); #137041=ORIENTED_EDGE('',*,*,#96156,.F.); #137042=ORIENTED_EDGE('',*,*,#96157,.F.); #137043=ORIENTED_EDGE('',*,*,#95907,.T.); #137044=ORIENTED_EDGE('',*,*,#95473,.T.); #137045=ORIENTED_EDGE('',*,*,#96158,.F.); #137046=ORIENTED_EDGE('',*,*,#96159,.F.); #137047=ORIENTED_EDGE('',*,*,#96156,.T.); #137048=ORIENTED_EDGE('',*,*,#95472,.T.); #137049=ORIENTED_EDGE('',*,*,#95889,.F.); #137050=ORIENTED_EDGE('',*,*,#96160,.F.); #137051=ORIENTED_EDGE('',*,*,#96158,.T.); #137052=ORIENTED_EDGE('',*,*,#95888,.T.); #137053=ORIENTED_EDGE('',*,*,#96161,.F.); #137054=ORIENTED_EDGE('',*,*,#95897,.T.); #137055=ORIENTED_EDGE('',*,*,#96157,.T.); #137056=ORIENTED_EDGE('',*,*,#96159,.T.); #137057=ORIENTED_EDGE('',*,*,#96160,.T.); #137058=ORIENTED_EDGE('',*,*,#95556,.T.); #137059=ORIENTED_EDGE('',*,*,#96162,.F.); #137060=ORIENTED_EDGE('',*,*,#96163,.F.); #137061=ORIENTED_EDGE('',*,*,#95750,.T.); #137062=ORIENTED_EDGE('',*,*,#95555,.T.); #137063=ORIENTED_EDGE('',*,*,#96164,.F.); #137064=ORIENTED_EDGE('',*,*,#96165,.F.); #137065=ORIENTED_EDGE('',*,*,#96162,.T.); #137066=ORIENTED_EDGE('',*,*,#95554,.T.); #137067=ORIENTED_EDGE('',*,*,#95710,.F.); #137068=ORIENTED_EDGE('',*,*,#96166,.F.); #137069=ORIENTED_EDGE('',*,*,#96164,.T.); #137070=ORIENTED_EDGE('',*,*,#96167,.F.); #137071=ORIENTED_EDGE('',*,*,#95740,.T.); #137072=ORIENTED_EDGE('',*,*,#96163,.T.); #137073=ORIENTED_EDGE('',*,*,#96165,.T.); #137074=ORIENTED_EDGE('',*,*,#96166,.T.); #137075=ORIENTED_EDGE('',*,*,#95709,.T.); #137076=ORIENTED_EDGE('',*,*,#95470,.T.); #137077=ORIENTED_EDGE('',*,*,#96168,.F.); #137078=ORIENTED_EDGE('',*,*,#96169,.F.); #137079=ORIENTED_EDGE('',*,*,#95890,.T.); #137080=ORIENTED_EDGE('',*,*,#95469,.T.); #137081=ORIENTED_EDGE('',*,*,#96170,.F.); #137082=ORIENTED_EDGE('',*,*,#96171,.F.); #137083=ORIENTED_EDGE('',*,*,#96168,.T.); #137084=ORIENTED_EDGE('',*,*,#95468,.T.); #137085=ORIENTED_EDGE('',*,*,#95928,.F.); #137086=ORIENTED_EDGE('',*,*,#96172,.F.); #137087=ORIENTED_EDGE('',*,*,#96170,.T.); #137088=ORIENTED_EDGE('',*,*,#95927,.T.); #137089=ORIENTED_EDGE('',*,*,#96173,.F.); #137090=ORIENTED_EDGE('',*,*,#95891,.T.); #137091=ORIENTED_EDGE('',*,*,#96169,.T.); #137092=ORIENTED_EDGE('',*,*,#96171,.T.); #137093=ORIENTED_EDGE('',*,*,#96172,.T.); #137094=ORIENTED_EDGE('',*,*,#95560,.T.); #137095=ORIENTED_EDGE('',*,*,#96174,.F.); #137096=ORIENTED_EDGE('',*,*,#96175,.F.); #137097=ORIENTED_EDGE('',*,*,#95733,.T.); #137098=ORIENTED_EDGE('',*,*,#95559,.T.); #137099=ORIENTED_EDGE('',*,*,#96176,.F.); #137100=ORIENTED_EDGE('',*,*,#96177,.F.); #137101=ORIENTED_EDGE('',*,*,#96174,.T.); #137102=ORIENTED_EDGE('',*,*,#95558,.T.); #137103=ORIENTED_EDGE('',*,*,#95749,.F.); #137104=ORIENTED_EDGE('',*,*,#96178,.F.); #137105=ORIENTED_EDGE('',*,*,#96176,.T.); #137106=ORIENTED_EDGE('',*,*,#96179,.F.); #137107=ORIENTED_EDGE('',*,*,#95734,.T.); #137108=ORIENTED_EDGE('',*,*,#96175,.T.); #137109=ORIENTED_EDGE('',*,*,#96177,.T.); #137110=ORIENTED_EDGE('',*,*,#96178,.T.); #137111=ORIENTED_EDGE('',*,*,#95748,.T.); #137112=ORIENTED_EDGE('',*,*,#95466,.T.); #137113=ORIENTED_EDGE('',*,*,#96180,.F.); #137114=ORIENTED_EDGE('',*,*,#96181,.F.); #137115=ORIENTED_EDGE('',*,*,#95929,.T.); #137116=ORIENTED_EDGE('',*,*,#95465,.T.); #137117=ORIENTED_EDGE('',*,*,#96182,.F.); #137118=ORIENTED_EDGE('',*,*,#96183,.F.); #137119=ORIENTED_EDGE('',*,*,#96180,.T.); #137120=ORIENTED_EDGE('',*,*,#95464,.T.); #137121=ORIENTED_EDGE('',*,*,#95917,.F.); #137122=ORIENTED_EDGE('',*,*,#96184,.F.); #137123=ORIENTED_EDGE('',*,*,#96182,.T.); #137124=ORIENTED_EDGE('',*,*,#95916,.T.); #137125=ORIENTED_EDGE('',*,*,#96185,.F.); #137126=ORIENTED_EDGE('',*,*,#95919,.T.); #137127=ORIENTED_EDGE('',*,*,#96181,.T.); #137128=ORIENTED_EDGE('',*,*,#96183,.T.); #137129=ORIENTED_EDGE('',*,*,#96184,.T.); #137130=ORIENTED_EDGE('',*,*,#95564,.T.); #137131=ORIENTED_EDGE('',*,*,#96186,.F.); #137132=ORIENTED_EDGE('',*,*,#96187,.F.); #137133=ORIENTED_EDGE('',*,*,#95689,.T.); #137134=ORIENTED_EDGE('',*,*,#95563,.T.); #137135=ORIENTED_EDGE('',*,*,#96188,.F.); #137136=ORIENTED_EDGE('',*,*,#96189,.F.); #137137=ORIENTED_EDGE('',*,*,#96186,.T.); #137138=ORIENTED_EDGE('',*,*,#95562,.T.); #137139=ORIENTED_EDGE('',*,*,#95732,.F.); #137140=ORIENTED_EDGE('',*,*,#96190,.F.); #137141=ORIENTED_EDGE('',*,*,#96188,.T.); #137142=ORIENTED_EDGE('',*,*,#96191,.F.); #137143=ORIENTED_EDGE('',*,*,#95690,.T.); #137144=ORIENTED_EDGE('',*,*,#96187,.T.); #137145=ORIENTED_EDGE('',*,*,#96189,.T.); #137146=ORIENTED_EDGE('',*,*,#96190,.T.); #137147=ORIENTED_EDGE('',*,*,#95731,.T.); #137148=ORIENTED_EDGE('',*,*,#95462,.T.); #137149=ORIENTED_EDGE('',*,*,#96192,.F.); #137150=ORIENTED_EDGE('',*,*,#96193,.F.); #137151=ORIENTED_EDGE('',*,*,#95918,.T.); #137152=ORIENTED_EDGE('',*,*,#95461,.T.); #137153=ORIENTED_EDGE('',*,*,#96194,.F.); #137154=ORIENTED_EDGE('',*,*,#96195,.F.); #137155=ORIENTED_EDGE('',*,*,#96192,.T.); #137156=ORIENTED_EDGE('',*,*,#95460,.T.); #137157=ORIENTED_EDGE('',*,*,#95866,.F.); #137158=ORIENTED_EDGE('',*,*,#96196,.F.); #137159=ORIENTED_EDGE('',*,*,#96194,.T.); #137160=ORIENTED_EDGE('',*,*,#95865,.T.); #137161=ORIENTED_EDGE('',*,*,#96197,.F.); #137162=ORIENTED_EDGE('',*,*,#95908,.T.); #137163=ORIENTED_EDGE('',*,*,#96193,.T.); #137164=ORIENTED_EDGE('',*,*,#96195,.T.); #137165=ORIENTED_EDGE('',*,*,#96196,.T.); #137166=ORIENTED_EDGE('',*,*,#95568,.T.); #137167=ORIENTED_EDGE('',*,*,#96198,.F.); #137168=ORIENTED_EDGE('',*,*,#96199,.F.); #137169=ORIENTED_EDGE('',*,*,#95678,.T.); #137170=ORIENTED_EDGE('',*,*,#95567,.T.); #137171=ORIENTED_EDGE('',*,*,#96200,.F.); #137172=ORIENTED_EDGE('',*,*,#96201,.F.); #137173=ORIENTED_EDGE('',*,*,#96198,.T.); #137174=ORIENTED_EDGE('',*,*,#95566,.T.); #137175=ORIENTED_EDGE('',*,*,#95688,.F.); #137176=ORIENTED_EDGE('',*,*,#96202,.F.); #137177=ORIENTED_EDGE('',*,*,#96200,.T.); #137178=ORIENTED_EDGE('',*,*,#96203,.F.); #137179=ORIENTED_EDGE('',*,*,#95679,.T.); #137180=ORIENTED_EDGE('',*,*,#96199,.T.); #137181=ORIENTED_EDGE('',*,*,#96201,.T.); #137182=ORIENTED_EDGE('',*,*,#96202,.T.); #137183=ORIENTED_EDGE('',*,*,#95687,.T.); #137184=ORIENTED_EDGE('',*,*,#95458,.T.); #137185=ORIENTED_EDGE('',*,*,#96204,.F.); #137186=ORIENTED_EDGE('',*,*,#96205,.F.); #137187=ORIENTED_EDGE('',*,*,#95867,.T.); #137188=ORIENTED_EDGE('',*,*,#95457,.T.); #137189=ORIENTED_EDGE('',*,*,#96206,.F.); #137190=ORIENTED_EDGE('',*,*,#96207,.F.); #137191=ORIENTED_EDGE('',*,*,#96204,.T.); #137192=ORIENTED_EDGE('',*,*,#95456,.T.); #137193=ORIENTED_EDGE('',*,*,#95849,.F.); #137194=ORIENTED_EDGE('',*,*,#96208,.F.); #137195=ORIENTED_EDGE('',*,*,#96206,.T.); #137196=ORIENTED_EDGE('',*,*,#95848,.T.); #137197=ORIENTED_EDGE('',*,*,#96209,.F.); #137198=ORIENTED_EDGE('',*,*,#95857,.T.); #137199=ORIENTED_EDGE('',*,*,#96205,.T.); #137200=ORIENTED_EDGE('',*,*,#96207,.T.); #137201=ORIENTED_EDGE('',*,*,#96208,.T.); #137202=ORIENTED_EDGE('',*,*,#95572,.T.); #137203=ORIENTED_EDGE('',*,*,#96210,.F.); #137204=ORIENTED_EDGE('',*,*,#96211,.F.); #137205=ORIENTED_EDGE('',*,*,#95706,.T.); #137206=ORIENTED_EDGE('',*,*,#95571,.T.); #137207=ORIENTED_EDGE('',*,*,#96212,.F.); #137208=ORIENTED_EDGE('',*,*,#96213,.F.); #137209=ORIENTED_EDGE('',*,*,#96210,.T.); #137210=ORIENTED_EDGE('',*,*,#95570,.T.); #137211=ORIENTED_EDGE('',*,*,#95677,.F.); #137212=ORIENTED_EDGE('',*,*,#96214,.F.); #137213=ORIENTED_EDGE('',*,*,#96212,.T.); #137214=ORIENTED_EDGE('',*,*,#96215,.F.); #137215=ORIENTED_EDGE('',*,*,#95696,.T.); #137216=ORIENTED_EDGE('',*,*,#96211,.T.); #137217=ORIENTED_EDGE('',*,*,#96213,.T.); #137218=ORIENTED_EDGE('',*,*,#96214,.T.); #137219=ORIENTED_EDGE('',*,*,#95676,.T.); #137220=ORIENTED_EDGE('',*,*,#95454,.T.); #137221=ORIENTED_EDGE('',*,*,#96216,.F.); #137222=ORIENTED_EDGE('',*,*,#96217,.F.); #137223=ORIENTED_EDGE('',*,*,#95850,.T.); #137224=ORIENTED_EDGE('',*,*,#95453,.T.); #137225=ORIENTED_EDGE('',*,*,#96218,.F.); #137226=ORIENTED_EDGE('',*,*,#96219,.F.); #137227=ORIENTED_EDGE('',*,*,#96216,.T.); #137228=ORIENTED_EDGE('',*,*,#95452,.T.); #137229=ORIENTED_EDGE('',*,*,#95884,.F.); #137230=ORIENTED_EDGE('',*,*,#96220,.F.); #137231=ORIENTED_EDGE('',*,*,#96218,.T.); #137232=ORIENTED_EDGE('',*,*,#95883,.T.); #137233=ORIENTED_EDGE('',*,*,#96221,.F.); #137234=ORIENTED_EDGE('',*,*,#95851,.T.); #137235=ORIENTED_EDGE('',*,*,#96217,.T.); #137236=ORIENTED_EDGE('',*,*,#96219,.T.); #137237=ORIENTED_EDGE('',*,*,#96220,.T.); #137238=ORIENTED_EDGE('',*,*,#95576,.T.); #137239=ORIENTED_EDGE('',*,*,#96222,.F.); #137240=ORIENTED_EDGE('',*,*,#96223,.F.); #137241=ORIENTED_EDGE('',*,*,#96036,.T.); #137242=ORIENTED_EDGE('',*,*,#95575,.T.); #137243=ORIENTED_EDGE('',*,*,#96224,.F.); #137244=ORIENTED_EDGE('',*,*,#96225,.F.); #137245=ORIENTED_EDGE('',*,*,#96222,.T.); #137246=ORIENTED_EDGE('',*,*,#95574,.T.); #137247=ORIENTED_EDGE('',*,*,#95705,.F.); #137248=ORIENTED_EDGE('',*,*,#96226,.F.); #137249=ORIENTED_EDGE('',*,*,#96224,.T.); #137250=ORIENTED_EDGE('',*,*,#96227,.F.); #137251=ORIENTED_EDGE('',*,*,#96031,.T.); #137252=ORIENTED_EDGE('',*,*,#96223,.T.); #137253=ORIENTED_EDGE('',*,*,#96225,.T.); #137254=ORIENTED_EDGE('',*,*,#96226,.T.); #137255=ORIENTED_EDGE('',*,*,#95704,.T.); #137256=ORIENTED_EDGE('',*,*,#95450,.T.); #137257=ORIENTED_EDGE('',*,*,#96228,.F.); #137258=ORIENTED_EDGE('',*,*,#96229,.F.); #137259=ORIENTED_EDGE('',*,*,#95885,.T.); #137260=ORIENTED_EDGE('',*,*,#95581,.T.); #137261=ORIENTED_EDGE('',*,*,#96230,.F.); #137262=ORIENTED_EDGE('',*,*,#96231,.F.); #137263=ORIENTED_EDGE('',*,*,#96228,.T.); #137264=ORIENTED_EDGE('',*,*,#95580,.T.); #137265=ORIENTED_EDGE('',*,*,#95871,.F.); #137266=ORIENTED_EDGE('',*,*,#96232,.F.); #137267=ORIENTED_EDGE('',*,*,#96230,.T.); #137268=ORIENTED_EDGE('',*,*,#95870,.T.); #137269=ORIENTED_EDGE('',*,*,#96233,.F.); #137270=ORIENTED_EDGE('',*,*,#95875,.T.); #137271=ORIENTED_EDGE('',*,*,#96229,.T.); #137272=ORIENTED_EDGE('',*,*,#96231,.T.); #137273=ORIENTED_EDGE('',*,*,#96232,.T.); #137274=ORIENTED_EDGE('',*,*,#95981,.T.); #137275=ORIENTED_EDGE('',*,*,#96234,.F.); #137276=ORIENTED_EDGE('',*,*,#96235,.F.); #137277=ORIENTED_EDGE('',*,*,#95757,.T.); #137278=ORIENTED_EDGE('',*,*,#95980,.T.); #137279=ORIENTED_EDGE('',*,*,#96236,.F.); #137280=ORIENTED_EDGE('',*,*,#96237,.F.); #137281=ORIENTED_EDGE('',*,*,#96234,.T.); #137282=ORIENTED_EDGE('',*,*,#95979,.T.); #137283=ORIENTED_EDGE('',*,*,#95649,.F.); #137284=ORIENTED_EDGE('',*,*,#96238,.F.); #137285=ORIENTED_EDGE('',*,*,#96236,.T.); #137286=ORIENTED_EDGE('',*,*,#96239,.T.); #137287=ORIENTED_EDGE('',*,*,#95751,.T.); #137288=ORIENTED_EDGE('',*,*,#96235,.T.); #137289=ORIENTED_EDGE('',*,*,#96237,.T.); #137290=ORIENTED_EDGE('',*,*,#96238,.T.); #137291=ORIENTED_EDGE('',*,*,#95648,.T.); #137292=ORIENTED_EDGE('',*,*,#95984,.T.); #137293=ORIENTED_EDGE('',*,*,#96040,.F.); #137294=ORIENTED_EDGE('',*,*,#96240,.F.); #137295=ORIENTED_EDGE('',*,*,#96241,.T.); #137296=ORIENTED_EDGE('',*,*,#95986,.T.); #137297=ORIENTED_EDGE('',*,*,#96242,.F.); #137298=ORIENTED_EDGE('',*,*,#96243,.F.); #137299=ORIENTED_EDGE('',*,*,#95818,.T.); #137300=ORIENTED_EDGE('',*,*,#95985,.T.); #137301=ORIENTED_EDGE('',*,*,#96241,.F.); #137302=ORIENTED_EDGE('',*,*,#96244,.F.); #137303=ORIENTED_EDGE('',*,*,#96242,.T.); #137304=ORIENTED_EDGE('',*,*,#96039,.T.); #137305=ORIENTED_EDGE('',*,*,#96245,.T.); #137306=ORIENTED_EDGE('',*,*,#95819,.T.); #137307=ORIENTED_EDGE('',*,*,#96243,.T.); #137308=ORIENTED_EDGE('',*,*,#96244,.T.); #137309=ORIENTED_EDGE('',*,*,#96240,.T.); #137310=ORIENTED_EDGE('',*,*,#95978,.T.); #137311=ORIENTED_EDGE('',*,*,#96246,.F.); #137312=ORIENTED_EDGE('',*,*,#96247,.F.); #137313=ORIENTED_EDGE('',*,*,#95651,.T.); #137314=ORIENTED_EDGE('',*,*,#95977,.T.); #137315=ORIENTED_EDGE('',*,*,#96248,.F.); #137316=ORIENTED_EDGE('',*,*,#96249,.F.); #137317=ORIENTED_EDGE('',*,*,#96246,.T.); #137318=ORIENTED_EDGE('',*,*,#95976,.T.); #137319=ORIENTED_EDGE('',*,*,#95638,.F.); #137320=ORIENTED_EDGE('',*,*,#96250,.F.); #137321=ORIENTED_EDGE('',*,*,#96248,.T.); #137322=ORIENTED_EDGE('',*,*,#95637,.T.); #137323=ORIENTED_EDGE('',*,*,#96251,.T.); #137324=ORIENTED_EDGE('',*,*,#95641,.T.); #137325=ORIENTED_EDGE('',*,*,#96247,.T.); #137326=ORIENTED_EDGE('',*,*,#96249,.T.); #137327=ORIENTED_EDGE('',*,*,#96250,.T.); #137328=ORIENTED_EDGE('',*,*,#95989,.T.); #137329=ORIENTED_EDGE('',*,*,#96252,.F.); #137330=ORIENTED_EDGE('',*,*,#96253,.F.); #137331=ORIENTED_EDGE('',*,*,#95812,.T.); #137332=ORIENTED_EDGE('',*,*,#95988,.T.); #137333=ORIENTED_EDGE('',*,*,#96254,.F.); #137334=ORIENTED_EDGE('',*,*,#96255,.F.); #137335=ORIENTED_EDGE('',*,*,#96252,.T.); #137336=ORIENTED_EDGE('',*,*,#95987,.T.); #137337=ORIENTED_EDGE('',*,*,#95816,.F.); #137338=ORIENTED_EDGE('',*,*,#96256,.F.); #137339=ORIENTED_EDGE('',*,*,#96254,.T.); #137340=ORIENTED_EDGE('',*,*,#96257,.F.); #137341=ORIENTED_EDGE('',*,*,#95802,.T.); #137342=ORIENTED_EDGE('',*,*,#96253,.T.); #137343=ORIENTED_EDGE('',*,*,#96255,.T.); #137344=ORIENTED_EDGE('',*,*,#96256,.T.); #137345=ORIENTED_EDGE('',*,*,#95815,.T.); #137346=ORIENTED_EDGE('',*,*,#95975,.T.); #137347=ORIENTED_EDGE('',*,*,#96258,.F.); #137348=ORIENTED_EDGE('',*,*,#96259,.F.); #137349=ORIENTED_EDGE('',*,*,#95640,.T.); #137350=ORIENTED_EDGE('',*,*,#95974,.T.); #137351=ORIENTED_EDGE('',*,*,#96260,.F.); #137352=ORIENTED_EDGE('',*,*,#96261,.F.); #137353=ORIENTED_EDGE('',*,*,#96258,.T.); #137354=ORIENTED_EDGE('',*,*,#95973,.T.); #137355=ORIENTED_EDGE('',*,*,#95666,.F.); #137356=ORIENTED_EDGE('',*,*,#96262,.F.); #137357=ORIENTED_EDGE('',*,*,#96260,.T.); #137358=ORIENTED_EDGE('',*,*,#95665,.T.); #137359=ORIENTED_EDGE('',*,*,#96263,.T.); #137360=ORIENTED_EDGE('',*,*,#95630,.T.); #137361=ORIENTED_EDGE('',*,*,#96259,.T.); #137362=ORIENTED_EDGE('',*,*,#96261,.T.); #137363=ORIENTED_EDGE('',*,*,#96262,.T.); #137364=ORIENTED_EDGE('',*,*,#95992,.T.); #137365=ORIENTED_EDGE('',*,*,#96264,.F.); #137366=ORIENTED_EDGE('',*,*,#96265,.F.); #137367=ORIENTED_EDGE('',*,*,#95840,.T.); #137368=ORIENTED_EDGE('',*,*,#95991,.T.); #137369=ORIENTED_EDGE('',*,*,#96266,.F.); #137370=ORIENTED_EDGE('',*,*,#96267,.F.); #137371=ORIENTED_EDGE('',*,*,#96264,.T.); #137372=ORIENTED_EDGE('',*,*,#95990,.T.); #137373=ORIENTED_EDGE('',*,*,#95810,.F.); #137374=ORIENTED_EDGE('',*,*,#96268,.F.); #137375=ORIENTED_EDGE('',*,*,#96266,.T.); #137376=ORIENTED_EDGE('',*,*,#96269,.F.); #137377=ORIENTED_EDGE('',*,*,#95841,.T.); #137378=ORIENTED_EDGE('',*,*,#96265,.T.); #137379=ORIENTED_EDGE('',*,*,#96267,.T.); #137380=ORIENTED_EDGE('',*,*,#96268,.T.); #137381=ORIENTED_EDGE('',*,*,#95809,.T.); #137382=ORIENTED_EDGE('',*,*,#95972,.T.); #137383=ORIENTED_EDGE('',*,*,#96270,.F.); #137384=ORIENTED_EDGE('',*,*,#96271,.F.); #137385=ORIENTED_EDGE('',*,*,#95668,.T.); #137386=ORIENTED_EDGE('',*,*,#95971,.T.); #137387=ORIENTED_EDGE('',*,*,#96272,.F.); #137388=ORIENTED_EDGE('',*,*,#96273,.F.); #137389=ORIENTED_EDGE('',*,*,#96270,.T.); #137390=ORIENTED_EDGE('',*,*,#95970,.T.); #137391=ORIENTED_EDGE('',*,*,#95660,.F.); #137392=ORIENTED_EDGE('',*,*,#96274,.F.); #137393=ORIENTED_EDGE('',*,*,#96272,.T.); #137394=ORIENTED_EDGE('',*,*,#95659,.T.); #137395=ORIENTED_EDGE('',*,*,#96275,.T.); #137396=ORIENTED_EDGE('',*,*,#95669,.T.); #137397=ORIENTED_EDGE('',*,*,#96271,.T.); #137398=ORIENTED_EDGE('',*,*,#96273,.T.); #137399=ORIENTED_EDGE('',*,*,#96274,.T.); #137400=ORIENTED_EDGE('',*,*,#95995,.T.); #137401=ORIENTED_EDGE('',*,*,#96276,.F.); #137402=ORIENTED_EDGE('',*,*,#96277,.F.); #137403=ORIENTED_EDGE('',*,*,#95829,.T.); #137404=ORIENTED_EDGE('',*,*,#95994,.T.); #137405=ORIENTED_EDGE('',*,*,#96278,.F.); #137406=ORIENTED_EDGE('',*,*,#96279,.F.); #137407=ORIENTED_EDGE('',*,*,#96276,.T.); #137408=ORIENTED_EDGE('',*,*,#95993,.T.); #137409=ORIENTED_EDGE('',*,*,#95838,.F.); #137410=ORIENTED_EDGE('',*,*,#96280,.F.); #137411=ORIENTED_EDGE('',*,*,#96278,.T.); #137412=ORIENTED_EDGE('',*,*,#96281,.F.); #137413=ORIENTED_EDGE('',*,*,#95830,.T.); #137414=ORIENTED_EDGE('',*,*,#96277,.T.); #137415=ORIENTED_EDGE('',*,*,#96279,.T.); #137416=ORIENTED_EDGE('',*,*,#96280,.T.); #137417=ORIENTED_EDGE('',*,*,#95837,.T.); #137418=ORIENTED_EDGE('',*,*,#95969,.T.); #137419=ORIENTED_EDGE('',*,*,#96282,.F.); #137420=ORIENTED_EDGE('',*,*,#96283,.F.); #137421=ORIENTED_EDGE('',*,*,#95662,.T.); #137422=ORIENTED_EDGE('',*,*,#95968,.T.); #137423=ORIENTED_EDGE('',*,*,#96284,.F.); #137424=ORIENTED_EDGE('',*,*,#96285,.F.); #137425=ORIENTED_EDGE('',*,*,#96282,.T.); #137426=ORIENTED_EDGE('',*,*,#95967,.T.); #137427=ORIENTED_EDGE('',*,*,#95605,.F.); #137428=ORIENTED_EDGE('',*,*,#96286,.F.); #137429=ORIENTED_EDGE('',*,*,#96284,.T.); #137430=ORIENTED_EDGE('',*,*,#95604,.T.); #137431=ORIENTED_EDGE('',*,*,#96287,.T.); #137432=ORIENTED_EDGE('',*,*,#95652,.T.); #137433=ORIENTED_EDGE('',*,*,#96283,.T.); #137434=ORIENTED_EDGE('',*,*,#96285,.T.); #137435=ORIENTED_EDGE('',*,*,#96286,.T.); #137436=ORIENTED_EDGE('',*,*,#95998,.T.); #137437=ORIENTED_EDGE('',*,*,#96288,.F.); #137438=ORIENTED_EDGE('',*,*,#96289,.F.); #137439=ORIENTED_EDGE('',*,*,#95774,.T.); #137440=ORIENTED_EDGE('',*,*,#95997,.T.); #137441=ORIENTED_EDGE('',*,*,#96290,.F.); #137442=ORIENTED_EDGE('',*,*,#96291,.F.); #137443=ORIENTED_EDGE('',*,*,#96288,.T.); #137444=ORIENTED_EDGE('',*,*,#95996,.T.); #137445=ORIENTED_EDGE('',*,*,#95827,.F.); #137446=ORIENTED_EDGE('',*,*,#96292,.F.); #137447=ORIENTED_EDGE('',*,*,#96290,.T.); #137448=ORIENTED_EDGE('',*,*,#96293,.F.); #137449=ORIENTED_EDGE('',*,*,#95775,.T.); #137450=ORIENTED_EDGE('',*,*,#96289,.T.); #137451=ORIENTED_EDGE('',*,*,#96291,.T.); #137452=ORIENTED_EDGE('',*,*,#96292,.T.); #137453=ORIENTED_EDGE('',*,*,#95826,.T.); #137454=ORIENTED_EDGE('',*,*,#95966,.T.); #137455=ORIENTED_EDGE('',*,*,#96294,.F.); #137456=ORIENTED_EDGE('',*,*,#96295,.F.); #137457=ORIENTED_EDGE('',*,*,#95607,.T.); #137458=ORIENTED_EDGE('',*,*,#95965,.T.); #137459=ORIENTED_EDGE('',*,*,#96296,.F.); #137460=ORIENTED_EDGE('',*,*,#96297,.F.); #137461=ORIENTED_EDGE('',*,*,#96294,.T.); #137462=ORIENTED_EDGE('',*,*,#95964,.T.); #137463=ORIENTED_EDGE('',*,*,#95594,.F.); #137464=ORIENTED_EDGE('',*,*,#96298,.F.); #137465=ORIENTED_EDGE('',*,*,#96296,.T.); #137466=ORIENTED_EDGE('',*,*,#95593,.T.); #137467=ORIENTED_EDGE('',*,*,#96299,.T.); #137468=ORIENTED_EDGE('',*,*,#95597,.T.); #137469=ORIENTED_EDGE('',*,*,#96295,.T.); #137470=ORIENTED_EDGE('',*,*,#96297,.T.); #137471=ORIENTED_EDGE('',*,*,#96298,.T.); #137472=ORIENTED_EDGE('',*,*,#96001,.T.); #137473=ORIENTED_EDGE('',*,*,#96300,.F.); #137474=ORIENTED_EDGE('',*,*,#96301,.F.); #137475=ORIENTED_EDGE('',*,*,#95768,.T.); #137476=ORIENTED_EDGE('',*,*,#96000,.T.); #137477=ORIENTED_EDGE('',*,*,#96302,.F.); #137478=ORIENTED_EDGE('',*,*,#96303,.F.); #137479=ORIENTED_EDGE('',*,*,#96300,.T.); #137480=ORIENTED_EDGE('',*,*,#95999,.T.); #137481=ORIENTED_EDGE('',*,*,#95772,.F.); #137482=ORIENTED_EDGE('',*,*,#96304,.F.); #137483=ORIENTED_EDGE('',*,*,#96302,.T.); #137484=ORIENTED_EDGE('',*,*,#96305,.F.); #137485=ORIENTED_EDGE('',*,*,#95758,.T.); #137486=ORIENTED_EDGE('',*,*,#96301,.T.); #137487=ORIENTED_EDGE('',*,*,#96303,.T.); #137488=ORIENTED_EDGE('',*,*,#96304,.T.); #137489=ORIENTED_EDGE('',*,*,#95771,.T.); #137490=ORIENTED_EDGE('',*,*,#95963,.T.); #137491=ORIENTED_EDGE('',*,*,#96306,.F.); #137492=ORIENTED_EDGE('',*,*,#96307,.F.); #137493=ORIENTED_EDGE('',*,*,#95596,.T.); #137494=ORIENTED_EDGE('',*,*,#95962,.T.); #137495=ORIENTED_EDGE('',*,*,#96308,.F.); #137496=ORIENTED_EDGE('',*,*,#96309,.F.); #137497=ORIENTED_EDGE('',*,*,#96306,.T.); #137498=ORIENTED_EDGE('',*,*,#95961,.T.); #137499=ORIENTED_EDGE('',*,*,#95622,.F.); #137500=ORIENTED_EDGE('',*,*,#96310,.F.); #137501=ORIENTED_EDGE('',*,*,#96308,.T.); #137502=ORIENTED_EDGE('',*,*,#95621,.T.); #137503=ORIENTED_EDGE('',*,*,#96311,.T.); #137504=ORIENTED_EDGE('',*,*,#95586,.T.); #137505=ORIENTED_EDGE('',*,*,#96307,.T.); #137506=ORIENTED_EDGE('',*,*,#96309,.T.); #137507=ORIENTED_EDGE('',*,*,#96310,.T.); #137508=ORIENTED_EDGE('',*,*,#96004,.T.); #137509=ORIENTED_EDGE('',*,*,#96312,.F.); #137510=ORIENTED_EDGE('',*,*,#96313,.F.); #137511=ORIENTED_EDGE('',*,*,#95796,.T.); #137512=ORIENTED_EDGE('',*,*,#96003,.T.); #137513=ORIENTED_EDGE('',*,*,#96314,.F.); #137514=ORIENTED_EDGE('',*,*,#96315,.F.); #137515=ORIENTED_EDGE('',*,*,#96312,.T.); #137516=ORIENTED_EDGE('',*,*,#96002,.T.); #137517=ORIENTED_EDGE('',*,*,#95766,.F.); #137518=ORIENTED_EDGE('',*,*,#96316,.F.); #137519=ORIENTED_EDGE('',*,*,#96314,.T.); #137520=ORIENTED_EDGE('',*,*,#96317,.F.); #137521=ORIENTED_EDGE('',*,*,#95797,.T.); #137522=ORIENTED_EDGE('',*,*,#96313,.T.); #137523=ORIENTED_EDGE('',*,*,#96315,.T.); #137524=ORIENTED_EDGE('',*,*,#96316,.T.); #137525=ORIENTED_EDGE('',*,*,#95765,.T.); #137526=ORIENTED_EDGE('',*,*,#95960,.T.); #137527=ORIENTED_EDGE('',*,*,#96318,.F.); #137528=ORIENTED_EDGE('',*,*,#96319,.F.); #137529=ORIENTED_EDGE('',*,*,#95624,.T.); #137530=ORIENTED_EDGE('',*,*,#95959,.T.); #137531=ORIENTED_EDGE('',*,*,#96320,.F.); #137532=ORIENTED_EDGE('',*,*,#96321,.F.); #137533=ORIENTED_EDGE('',*,*,#96318,.T.); #137534=ORIENTED_EDGE('',*,*,#95958,.T.); #137535=ORIENTED_EDGE('',*,*,#95616,.F.); #137536=ORIENTED_EDGE('',*,*,#96322,.F.); #137537=ORIENTED_EDGE('',*,*,#96320,.T.); #137538=ORIENTED_EDGE('',*,*,#95615,.T.); #137539=ORIENTED_EDGE('',*,*,#96323,.T.); #137540=ORIENTED_EDGE('',*,*,#95625,.T.); #137541=ORIENTED_EDGE('',*,*,#96319,.T.); #137542=ORIENTED_EDGE('',*,*,#96321,.T.); #137543=ORIENTED_EDGE('',*,*,#96322,.T.); #137544=ORIENTED_EDGE('',*,*,#96007,.T.); #137545=ORIENTED_EDGE('',*,*,#96324,.F.); #137546=ORIENTED_EDGE('',*,*,#96325,.F.); #137547=ORIENTED_EDGE('',*,*,#95790,.T.); #137548=ORIENTED_EDGE('',*,*,#96006,.T.); #137549=ORIENTED_EDGE('',*,*,#96326,.F.); #137550=ORIENTED_EDGE('',*,*,#96327,.F.); #137551=ORIENTED_EDGE('',*,*,#96324,.T.); #137552=ORIENTED_EDGE('',*,*,#96005,.T.); #137553=ORIENTED_EDGE('',*,*,#95794,.F.); #137554=ORIENTED_EDGE('',*,*,#96328,.F.); #137555=ORIENTED_EDGE('',*,*,#96326,.T.); #137556=ORIENTED_EDGE('',*,*,#96329,.F.); #137557=ORIENTED_EDGE('',*,*,#95780,.T.); #137558=ORIENTED_EDGE('',*,*,#96325,.T.); #137559=ORIENTED_EDGE('',*,*,#96327,.T.); #137560=ORIENTED_EDGE('',*,*,#96328,.T.); #137561=ORIENTED_EDGE('',*,*,#95793,.T.); #137562=ORIENTED_EDGE('',*,*,#95957,.T.); #137563=ORIENTED_EDGE('',*,*,#96330,.F.); #137564=ORIENTED_EDGE('',*,*,#96331,.F.); #137565=ORIENTED_EDGE('',*,*,#95618,.T.); #137566=ORIENTED_EDGE('',*,*,#95956,.T.); #137567=ORIENTED_EDGE('',*,*,#96332,.F.); #137568=ORIENTED_EDGE('',*,*,#96333,.F.); #137569=ORIENTED_EDGE('',*,*,#96330,.T.); #137570=ORIENTED_EDGE('',*,*,#95955,.T.); #137571=ORIENTED_EDGE('',*,*,#95721,.F.); #137572=ORIENTED_EDGE('',*,*,#96334,.F.); #137573=ORIENTED_EDGE('',*,*,#96332,.T.); #137574=ORIENTED_EDGE('',*,*,#95720,.T.); #137575=ORIENTED_EDGE('',*,*,#96335,.T.); #137576=ORIENTED_EDGE('',*,*,#95608,.T.); #137577=ORIENTED_EDGE('',*,*,#96331,.T.); #137578=ORIENTED_EDGE('',*,*,#96333,.T.); #137579=ORIENTED_EDGE('',*,*,#96334,.T.); #137580=ORIENTED_EDGE('',*,*,#96010,.T.); #137581=ORIENTED_EDGE('',*,*,#96336,.F.); #137582=ORIENTED_EDGE('',*,*,#96337,.F.); #137583=ORIENTED_EDGE('',*,*,#95902,.T.); #137584=ORIENTED_EDGE('',*,*,#96009,.T.); #137585=ORIENTED_EDGE('',*,*,#96338,.F.); #137586=ORIENTED_EDGE('',*,*,#96339,.F.); #137587=ORIENTED_EDGE('',*,*,#96336,.T.); #137588=ORIENTED_EDGE('',*,*,#96008,.T.); #137589=ORIENTED_EDGE('',*,*,#95788,.F.); #137590=ORIENTED_EDGE('',*,*,#96340,.F.); #137591=ORIENTED_EDGE('',*,*,#96338,.T.); #137592=ORIENTED_EDGE('',*,*,#96341,.F.); #137593=ORIENTED_EDGE('',*,*,#95903,.T.); #137594=ORIENTED_EDGE('',*,*,#96337,.T.); #137595=ORIENTED_EDGE('',*,*,#96339,.T.); #137596=ORIENTED_EDGE('',*,*,#96340,.T.); #137597=ORIENTED_EDGE('',*,*,#95787,.T.); #137598=ORIENTED_EDGE('',*,*,#95954,.T.); #137599=ORIENTED_EDGE('',*,*,#96342,.F.); #137600=ORIENTED_EDGE('',*,*,#96343,.F.); #137601=ORIENTED_EDGE('',*,*,#95723,.T.); #137602=ORIENTED_EDGE('',*,*,#95953,.T.); #137603=ORIENTED_EDGE('',*,*,#96344,.F.); #137604=ORIENTED_EDGE('',*,*,#96345,.F.); #137605=ORIENTED_EDGE('',*,*,#96342,.T.); #137606=ORIENTED_EDGE('',*,*,#95952,.T.); #137607=ORIENTED_EDGE('',*,*,#95715,.F.); #137608=ORIENTED_EDGE('',*,*,#96346,.F.); #137609=ORIENTED_EDGE('',*,*,#96344,.T.); #137610=ORIENTED_EDGE('',*,*,#95714,.T.); #137611=ORIENTED_EDGE('',*,*,#96347,.T.); #137612=ORIENTED_EDGE('',*,*,#95724,.T.); #137613=ORIENTED_EDGE('',*,*,#96343,.T.); #137614=ORIENTED_EDGE('',*,*,#96345,.T.); #137615=ORIENTED_EDGE('',*,*,#96346,.T.); #137616=ORIENTED_EDGE('',*,*,#96013,.T.); #137617=ORIENTED_EDGE('',*,*,#96348,.F.); #137618=ORIENTED_EDGE('',*,*,#96349,.F.); #137619=ORIENTED_EDGE('',*,*,#95896,.T.); #137620=ORIENTED_EDGE('',*,*,#96012,.T.); #137621=ORIENTED_EDGE('',*,*,#96350,.F.); #137622=ORIENTED_EDGE('',*,*,#96351,.F.); #137623=ORIENTED_EDGE('',*,*,#96348,.T.); #137624=ORIENTED_EDGE('',*,*,#96011,.T.); #137625=ORIENTED_EDGE('',*,*,#95900,.F.); #137626=ORIENTED_EDGE('',*,*,#96352,.F.); #137627=ORIENTED_EDGE('',*,*,#96350,.T.); #137628=ORIENTED_EDGE('',*,*,#96353,.F.); #137629=ORIENTED_EDGE('',*,*,#95886,.T.); #137630=ORIENTED_EDGE('',*,*,#96349,.T.); #137631=ORIENTED_EDGE('',*,*,#96351,.T.); #137632=ORIENTED_EDGE('',*,*,#96352,.T.); #137633=ORIENTED_EDGE('',*,*,#95899,.T.); #137634=ORIENTED_EDGE('',*,*,#95951,.T.); #137635=ORIENTED_EDGE('',*,*,#96354,.F.); #137636=ORIENTED_EDGE('',*,*,#96355,.F.); #137637=ORIENTED_EDGE('',*,*,#95717,.T.); #137638=ORIENTED_EDGE('',*,*,#95950,.T.); #137639=ORIENTED_EDGE('',*,*,#96356,.F.); #137640=ORIENTED_EDGE('',*,*,#96357,.F.); #137641=ORIENTED_EDGE('',*,*,#96354,.T.); #137642=ORIENTED_EDGE('',*,*,#95949,.T.); #137643=ORIENTED_EDGE('',*,*,#95743,.F.); #137644=ORIENTED_EDGE('',*,*,#96358,.F.); #137645=ORIENTED_EDGE('',*,*,#96356,.T.); #137646=ORIENTED_EDGE('',*,*,#95742,.T.); #137647=ORIENTED_EDGE('',*,*,#96359,.T.); #137648=ORIENTED_EDGE('',*,*,#95707,.T.); #137649=ORIENTED_EDGE('',*,*,#96355,.T.); #137650=ORIENTED_EDGE('',*,*,#96357,.T.); #137651=ORIENTED_EDGE('',*,*,#96358,.T.); #137652=ORIENTED_EDGE('',*,*,#96016,.T.); #137653=ORIENTED_EDGE('',*,*,#96360,.F.); #137654=ORIENTED_EDGE('',*,*,#96361,.F.); #137655=ORIENTED_EDGE('',*,*,#95924,.T.); #137656=ORIENTED_EDGE('',*,*,#96015,.T.); #137657=ORIENTED_EDGE('',*,*,#96362,.F.); #137658=ORIENTED_EDGE('',*,*,#96363,.F.); #137659=ORIENTED_EDGE('',*,*,#96360,.T.); #137660=ORIENTED_EDGE('',*,*,#96014,.T.); #137661=ORIENTED_EDGE('',*,*,#95894,.F.); #137662=ORIENTED_EDGE('',*,*,#96364,.F.); #137663=ORIENTED_EDGE('',*,*,#96362,.T.); #137664=ORIENTED_EDGE('',*,*,#96365,.F.); #137665=ORIENTED_EDGE('',*,*,#95925,.T.); #137666=ORIENTED_EDGE('',*,*,#96361,.T.); #137667=ORIENTED_EDGE('',*,*,#96363,.T.); #137668=ORIENTED_EDGE('',*,*,#96364,.T.); #137669=ORIENTED_EDGE('',*,*,#95893,.T.); #137670=ORIENTED_EDGE('',*,*,#95948,.T.); #137671=ORIENTED_EDGE('',*,*,#96366,.F.); #137672=ORIENTED_EDGE('',*,*,#96367,.F.); #137673=ORIENTED_EDGE('',*,*,#95745,.T.); #137674=ORIENTED_EDGE('',*,*,#95947,.T.); #137675=ORIENTED_EDGE('',*,*,#96368,.F.); #137676=ORIENTED_EDGE('',*,*,#96369,.F.); #137677=ORIENTED_EDGE('',*,*,#96366,.T.); #137678=ORIENTED_EDGE('',*,*,#95946,.T.); #137679=ORIENTED_EDGE('',*,*,#95737,.F.); #137680=ORIENTED_EDGE('',*,*,#96370,.F.); #137681=ORIENTED_EDGE('',*,*,#96368,.T.); #137682=ORIENTED_EDGE('',*,*,#95736,.T.); #137683=ORIENTED_EDGE('',*,*,#96371,.T.); #137684=ORIENTED_EDGE('',*,*,#95746,.T.); #137685=ORIENTED_EDGE('',*,*,#96367,.T.); #137686=ORIENTED_EDGE('',*,*,#96369,.T.); #137687=ORIENTED_EDGE('',*,*,#96370,.T.); #137688=ORIENTED_EDGE('',*,*,#96019,.T.); #137689=ORIENTED_EDGE('',*,*,#96372,.F.); #137690=ORIENTED_EDGE('',*,*,#96373,.F.); #137691=ORIENTED_EDGE('',*,*,#95913,.T.); #137692=ORIENTED_EDGE('',*,*,#96018,.T.); #137693=ORIENTED_EDGE('',*,*,#96374,.F.); #137694=ORIENTED_EDGE('',*,*,#96375,.F.); #137695=ORIENTED_EDGE('',*,*,#96372,.T.); #137696=ORIENTED_EDGE('',*,*,#96017,.T.); #137697=ORIENTED_EDGE('',*,*,#95922,.F.); #137698=ORIENTED_EDGE('',*,*,#96376,.F.); #137699=ORIENTED_EDGE('',*,*,#96374,.T.); #137700=ORIENTED_EDGE('',*,*,#96377,.F.); #137701=ORIENTED_EDGE('',*,*,#95914,.T.); #137702=ORIENTED_EDGE('',*,*,#96373,.T.); #137703=ORIENTED_EDGE('',*,*,#96375,.T.); #137704=ORIENTED_EDGE('',*,*,#96376,.T.); #137705=ORIENTED_EDGE('',*,*,#95921,.T.); #137706=ORIENTED_EDGE('',*,*,#95945,.T.); #137707=ORIENTED_EDGE('',*,*,#96378,.F.); #137708=ORIENTED_EDGE('',*,*,#96379,.F.); #137709=ORIENTED_EDGE('',*,*,#95739,.T.); #137710=ORIENTED_EDGE('',*,*,#95944,.T.); #137711=ORIENTED_EDGE('',*,*,#96380,.F.); #137712=ORIENTED_EDGE('',*,*,#96381,.F.); #137713=ORIENTED_EDGE('',*,*,#96378,.T.); #137714=ORIENTED_EDGE('',*,*,#95943,.T.); #137715=ORIENTED_EDGE('',*,*,#95693,.F.); #137716=ORIENTED_EDGE('',*,*,#96382,.F.); #137717=ORIENTED_EDGE('',*,*,#96380,.T.); #137718=ORIENTED_EDGE('',*,*,#95692,.T.); #137719=ORIENTED_EDGE('',*,*,#96383,.T.); #137720=ORIENTED_EDGE('',*,*,#95729,.T.); #137721=ORIENTED_EDGE('',*,*,#96379,.T.); #137722=ORIENTED_EDGE('',*,*,#96381,.T.); #137723=ORIENTED_EDGE('',*,*,#96382,.T.); #137724=ORIENTED_EDGE('',*,*,#96022,.T.); #137725=ORIENTED_EDGE('',*,*,#96384,.F.); #137726=ORIENTED_EDGE('',*,*,#96385,.F.); #137727=ORIENTED_EDGE('',*,*,#95862,.T.); #137728=ORIENTED_EDGE('',*,*,#96021,.T.); #137729=ORIENTED_EDGE('',*,*,#96386,.F.); #137730=ORIENTED_EDGE('',*,*,#96387,.F.); #137731=ORIENTED_EDGE('',*,*,#96384,.T.); #137732=ORIENTED_EDGE('',*,*,#96020,.T.); #137733=ORIENTED_EDGE('',*,*,#95911,.F.); #137734=ORIENTED_EDGE('',*,*,#96388,.F.); #137735=ORIENTED_EDGE('',*,*,#96386,.T.); #137736=ORIENTED_EDGE('',*,*,#96389,.F.); #137737=ORIENTED_EDGE('',*,*,#95863,.T.); #137738=ORIENTED_EDGE('',*,*,#96385,.T.); #137739=ORIENTED_EDGE('',*,*,#96387,.T.); #137740=ORIENTED_EDGE('',*,*,#96388,.T.); #137741=ORIENTED_EDGE('',*,*,#95910,.T.); #137742=ORIENTED_EDGE('',*,*,#95942,.T.); #137743=ORIENTED_EDGE('',*,*,#96390,.F.); #137744=ORIENTED_EDGE('',*,*,#96391,.F.); #137745=ORIENTED_EDGE('',*,*,#95695,.T.); #137746=ORIENTED_EDGE('',*,*,#95941,.T.); #137747=ORIENTED_EDGE('',*,*,#96392,.F.); #137748=ORIENTED_EDGE('',*,*,#96393,.F.); #137749=ORIENTED_EDGE('',*,*,#96390,.T.); #137750=ORIENTED_EDGE('',*,*,#95940,.T.); #137751=ORIENTED_EDGE('',*,*,#95682,.F.); #137752=ORIENTED_EDGE('',*,*,#96394,.F.); #137753=ORIENTED_EDGE('',*,*,#96392,.T.); #137754=ORIENTED_EDGE('',*,*,#95681,.T.); #137755=ORIENTED_EDGE('',*,*,#96395,.T.); #137756=ORIENTED_EDGE('',*,*,#95685,.T.); #137757=ORIENTED_EDGE('',*,*,#96391,.T.); #137758=ORIENTED_EDGE('',*,*,#96393,.T.); #137759=ORIENTED_EDGE('',*,*,#96394,.T.); #137760=ORIENTED_EDGE('',*,*,#96025,.T.); #137761=ORIENTED_EDGE('',*,*,#96396,.F.); #137762=ORIENTED_EDGE('',*,*,#96397,.F.); #137763=ORIENTED_EDGE('',*,*,#95856,.T.); #137764=ORIENTED_EDGE('',*,*,#96024,.T.); #137765=ORIENTED_EDGE('',*,*,#96398,.F.); #137766=ORIENTED_EDGE('',*,*,#96399,.F.); #137767=ORIENTED_EDGE('',*,*,#96396,.T.); #137768=ORIENTED_EDGE('',*,*,#96023,.T.); #137769=ORIENTED_EDGE('',*,*,#95860,.F.); #137770=ORIENTED_EDGE('',*,*,#96400,.F.); #137771=ORIENTED_EDGE('',*,*,#96398,.T.); #137772=ORIENTED_EDGE('',*,*,#96401,.F.); #137773=ORIENTED_EDGE('',*,*,#95846,.T.); #137774=ORIENTED_EDGE('',*,*,#96397,.T.); #137775=ORIENTED_EDGE('',*,*,#96399,.T.); #137776=ORIENTED_EDGE('',*,*,#96400,.T.); #137777=ORIENTED_EDGE('',*,*,#95859,.T.); #137778=ORIENTED_EDGE('',*,*,#95939,.T.); #137779=ORIENTED_EDGE('',*,*,#96402,.F.); #137780=ORIENTED_EDGE('',*,*,#96403,.F.); #137781=ORIENTED_EDGE('',*,*,#95684,.T.); #137782=ORIENTED_EDGE('',*,*,#95938,.T.); #137783=ORIENTED_EDGE('',*,*,#96404,.F.); #137784=ORIENTED_EDGE('',*,*,#96405,.F.); #137785=ORIENTED_EDGE('',*,*,#96402,.T.); #137786=ORIENTED_EDGE('',*,*,#95937,.T.); #137787=ORIENTED_EDGE('',*,*,#95699,.F.); #137788=ORIENTED_EDGE('',*,*,#96406,.F.); #137789=ORIENTED_EDGE('',*,*,#96404,.T.); #137790=ORIENTED_EDGE('',*,*,#95698,.T.); #137791=ORIENTED_EDGE('',*,*,#96407,.T.); #137792=ORIENTED_EDGE('',*,*,#95674,.T.); #137793=ORIENTED_EDGE('',*,*,#96403,.T.); #137794=ORIENTED_EDGE('',*,*,#96405,.T.); #137795=ORIENTED_EDGE('',*,*,#96406,.T.); #137796=ORIENTED_EDGE('',*,*,#96028,.T.); #137797=ORIENTED_EDGE('',*,*,#96408,.F.); #137798=ORIENTED_EDGE('',*,*,#96409,.F.); #137799=ORIENTED_EDGE('',*,*,#95880,.T.); #137800=ORIENTED_EDGE('',*,*,#96027,.T.); #137801=ORIENTED_EDGE('',*,*,#96410,.F.); #137802=ORIENTED_EDGE('',*,*,#96411,.F.); #137803=ORIENTED_EDGE('',*,*,#96408,.T.); #137804=ORIENTED_EDGE('',*,*,#96026,.T.); #137805=ORIENTED_EDGE('',*,*,#95854,.F.); #137806=ORIENTED_EDGE('',*,*,#96412,.F.); #137807=ORIENTED_EDGE('',*,*,#96410,.T.); #137808=ORIENTED_EDGE('',*,*,#96413,.F.); #137809=ORIENTED_EDGE('',*,*,#95881,.T.); #137810=ORIENTED_EDGE('',*,*,#96409,.T.); #137811=ORIENTED_EDGE('',*,*,#96411,.T.); #137812=ORIENTED_EDGE('',*,*,#96412,.T.); #137813=ORIENTED_EDGE('',*,*,#95853,.T.); #137814=ORIENTED_EDGE('',*,*,#95936,.T.); #137815=ORIENTED_EDGE('',*,*,#96414,.F.); #137816=ORIENTED_EDGE('',*,*,#96415,.F.); #137817=ORIENTED_EDGE('',*,*,#95701,.T.); #137818=ORIENTED_EDGE('',*,*,#95935,.T.); #137819=ORIENTED_EDGE('',*,*,#96416,.F.); #137820=ORIENTED_EDGE('',*,*,#96417,.F.); #137821=ORIENTED_EDGE('',*,*,#96414,.T.); #137822=ORIENTED_EDGE('',*,*,#95934,.T.); #137823=ORIENTED_EDGE('',*,*,#96034,.F.); #137824=ORIENTED_EDGE('',*,*,#96418,.F.); #137825=ORIENTED_EDGE('',*,*,#96416,.T.); #137826=ORIENTED_EDGE('',*,*,#96033,.T.); #137827=ORIENTED_EDGE('',*,*,#96419,.T.); #137828=ORIENTED_EDGE('',*,*,#95702,.T.); #137829=ORIENTED_EDGE('',*,*,#96415,.T.); #137830=ORIENTED_EDGE('',*,*,#96417,.T.); #137831=ORIENTED_EDGE('',*,*,#96418,.T.); #137832=ORIENTED_EDGE('',*,*,#95931,.T.); #137833=ORIENTED_EDGE('',*,*,#96420,.F.); #137834=ORIENTED_EDGE('',*,*,#96421,.F.); #137835=ORIENTED_EDGE('',*,*,#95874,.T.); #137836=ORIENTED_EDGE('',*,*,#95930,.T.); #137837=ORIENTED_EDGE('',*,*,#96422,.F.); #137838=ORIENTED_EDGE('',*,*,#96423,.F.); #137839=ORIENTED_EDGE('',*,*,#96420,.T.); #137840=ORIENTED_EDGE('',*,*,#96029,.T.); #137841=ORIENTED_EDGE('',*,*,#95878,.F.); #137842=ORIENTED_EDGE('',*,*,#96424,.F.); #137843=ORIENTED_EDGE('',*,*,#96422,.T.); #137844=ORIENTED_EDGE('',*,*,#96425,.F.); #137845=ORIENTED_EDGE('',*,*,#95868,.T.); #137846=ORIENTED_EDGE('',*,*,#96421,.T.); #137847=ORIENTED_EDGE('',*,*,#96423,.T.); #137848=ORIENTED_EDGE('',*,*,#96424,.T.); #137849=ORIENTED_EDGE('',*,*,#95877,.T.); #137850=ORIENTED_EDGE('',*,*,#96425,.T.); #137851=ORIENTED_EDGE('',*,*,#95876,.F.); #137852=ORIENTED_EDGE('',*,*,#96233,.T.); #137853=ORIENTED_EDGE('',*,*,#95869,.F.); #137854=ORIENTED_EDGE('',*,*,#96419,.F.); #137855=ORIENTED_EDGE('',*,*,#96032,.F.); #137856=ORIENTED_EDGE('',*,*,#96227,.T.); #137857=ORIENTED_EDGE('',*,*,#95703,.F.); #137858=ORIENTED_EDGE('',*,*,#96413,.T.); #137859=ORIENTED_EDGE('',*,*,#95852,.F.); #137860=ORIENTED_EDGE('',*,*,#96221,.T.); #137861=ORIENTED_EDGE('',*,*,#95882,.F.); #137862=ORIENTED_EDGE('',*,*,#96407,.F.); #137863=ORIENTED_EDGE('',*,*,#95697,.F.); #137864=ORIENTED_EDGE('',*,*,#96215,.T.); #137865=ORIENTED_EDGE('',*,*,#95675,.F.); #137866=ORIENTED_EDGE('',*,*,#96401,.T.); #137867=ORIENTED_EDGE('',*,*,#95858,.F.); #137868=ORIENTED_EDGE('',*,*,#96209,.T.); #137869=ORIENTED_EDGE('',*,*,#95847,.F.); #137870=ORIENTED_EDGE('',*,*,#96395,.F.); #137871=ORIENTED_EDGE('',*,*,#95680,.F.); #137872=ORIENTED_EDGE('',*,*,#96203,.T.); #137873=ORIENTED_EDGE('',*,*,#95686,.F.); #137874=ORIENTED_EDGE('',*,*,#96389,.T.); #137875=ORIENTED_EDGE('',*,*,#95909,.F.); #137876=ORIENTED_EDGE('',*,*,#96197,.T.); #137877=ORIENTED_EDGE('',*,*,#95864,.F.); #137878=ORIENTED_EDGE('',*,*,#96383,.F.); #137879=ORIENTED_EDGE('',*,*,#95691,.F.); #137880=ORIENTED_EDGE('',*,*,#96191,.T.); #137881=ORIENTED_EDGE('',*,*,#95730,.F.); #137882=ORIENTED_EDGE('',*,*,#96377,.T.); #137883=ORIENTED_EDGE('',*,*,#95920,.F.); #137884=ORIENTED_EDGE('',*,*,#96185,.T.); #137885=ORIENTED_EDGE('',*,*,#95915,.F.); #137886=ORIENTED_EDGE('',*,*,#96371,.F.); #137887=ORIENTED_EDGE('',*,*,#95735,.F.); #137888=ORIENTED_EDGE('',*,*,#96179,.T.); #137889=ORIENTED_EDGE('',*,*,#95747,.F.); #137890=ORIENTED_EDGE('',*,*,#96365,.T.); #137891=ORIENTED_EDGE('',*,*,#95892,.F.); #137892=ORIENTED_EDGE('',*,*,#96173,.T.); #137893=ORIENTED_EDGE('',*,*,#95926,.F.); #137894=ORIENTED_EDGE('',*,*,#96359,.F.); #137895=ORIENTED_EDGE('',*,*,#95741,.F.); #137896=ORIENTED_EDGE('',*,*,#96167,.T.); #137897=ORIENTED_EDGE('',*,*,#95708,.F.); #137898=ORIENTED_EDGE('',*,*,#96353,.T.); #137899=ORIENTED_EDGE('',*,*,#95898,.F.); #137900=ORIENTED_EDGE('',*,*,#96161,.T.); #137901=ORIENTED_EDGE('',*,*,#95887,.F.); #137902=ORIENTED_EDGE('',*,*,#96347,.F.); #137903=ORIENTED_EDGE('',*,*,#95713,.F.); #137904=ORIENTED_EDGE('',*,*,#96155,.T.); #137905=ORIENTED_EDGE('',*,*,#95725,.F.); #137906=ORIENTED_EDGE('',*,*,#96341,.T.); #137907=ORIENTED_EDGE('',*,*,#95786,.F.); #137908=ORIENTED_EDGE('',*,*,#96149,.T.); #137909=ORIENTED_EDGE('',*,*,#95904,.F.); #137910=ORIENTED_EDGE('',*,*,#96335,.F.); #137911=ORIENTED_EDGE('',*,*,#95719,.F.); #137912=ORIENTED_EDGE('',*,*,#96143,.T.); #137913=ORIENTED_EDGE('',*,*,#95609,.F.); #137914=ORIENTED_EDGE('',*,*,#96329,.T.); #137915=ORIENTED_EDGE('',*,*,#95792,.F.); #137916=ORIENTED_EDGE('',*,*,#96137,.T.); #137917=ORIENTED_EDGE('',*,*,#95781,.F.); #137918=ORIENTED_EDGE('',*,*,#96323,.F.); #137919=ORIENTED_EDGE('',*,*,#95614,.F.); #137920=ORIENTED_EDGE('',*,*,#96131,.T.); #137921=ORIENTED_EDGE('',*,*,#95626,.F.); #137922=ORIENTED_EDGE('',*,*,#96317,.T.); #137923=ORIENTED_EDGE('',*,*,#95764,.F.); #137924=ORIENTED_EDGE('',*,*,#96125,.T.); #137925=ORIENTED_EDGE('',*,*,#95798,.F.); #137926=ORIENTED_EDGE('',*,*,#96311,.F.); #137927=ORIENTED_EDGE('',*,*,#95620,.F.); #137928=ORIENTED_EDGE('',*,*,#96119,.T.); #137929=ORIENTED_EDGE('',*,*,#95587,.F.); #137930=ORIENTED_EDGE('',*,*,#96305,.T.); #137931=ORIENTED_EDGE('',*,*,#95770,.F.); #137932=ORIENTED_EDGE('',*,*,#96113,.T.); #137933=ORIENTED_EDGE('',*,*,#95759,.F.); #137934=ORIENTED_EDGE('',*,*,#96299,.F.); #137935=ORIENTED_EDGE('',*,*,#95592,.F.); #137936=ORIENTED_EDGE('',*,*,#96107,.T.); #137937=ORIENTED_EDGE('',*,*,#95598,.F.); #137938=ORIENTED_EDGE('',*,*,#96293,.T.); #137939=ORIENTED_EDGE('',*,*,#95825,.F.); #137940=ORIENTED_EDGE('',*,*,#96101,.T.); #137941=ORIENTED_EDGE('',*,*,#95776,.F.); #137942=ORIENTED_EDGE('',*,*,#96287,.F.); #137943=ORIENTED_EDGE('',*,*,#95603,.F.); #137944=ORIENTED_EDGE('',*,*,#96095,.T.); #137945=ORIENTED_EDGE('',*,*,#95653,.F.); #137946=ORIENTED_EDGE('',*,*,#96281,.T.); #137947=ORIENTED_EDGE('',*,*,#95836,.F.); #137948=ORIENTED_EDGE('',*,*,#96089,.T.); #137949=ORIENTED_EDGE('',*,*,#95831,.F.); #137950=ORIENTED_EDGE('',*,*,#96275,.F.); #137951=ORIENTED_EDGE('',*,*,#95658,.F.); #137952=ORIENTED_EDGE('',*,*,#96083,.T.); #137953=ORIENTED_EDGE('',*,*,#95670,.F.); #137954=ORIENTED_EDGE('',*,*,#96269,.T.); #137955=ORIENTED_EDGE('',*,*,#95808,.F.); #137956=ORIENTED_EDGE('',*,*,#96077,.T.); #137957=ORIENTED_EDGE('',*,*,#95842,.F.); #137958=ORIENTED_EDGE('',*,*,#96263,.F.); #137959=ORIENTED_EDGE('',*,*,#95664,.F.); #137960=ORIENTED_EDGE('',*,*,#96071,.T.); #137961=ORIENTED_EDGE('',*,*,#95631,.F.); #137962=ORIENTED_EDGE('',*,*,#96257,.T.); #137963=ORIENTED_EDGE('',*,*,#95814,.F.); #137964=ORIENTED_EDGE('',*,*,#96065,.T.); #137965=ORIENTED_EDGE('',*,*,#95803,.F.); #137966=ORIENTED_EDGE('',*,*,#96251,.F.); #137967=ORIENTED_EDGE('',*,*,#95636,.F.); #137968=ORIENTED_EDGE('',*,*,#96059,.T.); #137969=ORIENTED_EDGE('',*,*,#95642,.F.); #137970=ORIENTED_EDGE('',*,*,#96245,.F.); #137971=ORIENTED_EDGE('',*,*,#96038,.F.); #137972=ORIENTED_EDGE('',*,*,#96053,.T.); #137973=ORIENTED_EDGE('',*,*,#95820,.F.); #137974=ORIENTED_EDGE('',*,*,#96239,.F.); #137975=ORIENTED_EDGE('',*,*,#95647,.F.); #137976=ORIENTED_EDGE('',*,*,#96047,.T.); #137977=ORIENTED_EDGE('',*,*,#95752,.F.); #137978=ORIENTED_EDGE('',*,*,#96426,.T.); #137979=ORIENTED_EDGE('',*,*,#96427,.T.); #137980=ORIENTED_EDGE('',*,*,#96428,.T.); #137981=ORIENTED_EDGE('',*,*,#96429,.T.); #137982=ORIENTED_EDGE('',*,*,#96430,.F.); #137983=ORIENTED_EDGE('',*,*,#96431,.F.); #137984=ORIENTED_EDGE('',*,*,#96432,.F.); #137985=ORIENTED_EDGE('',*,*,#96433,.F.); #137986=ORIENTED_EDGE('',*,*,#95582,.T.); #137987=ORIENTED_EDGE('',*,*,#96434,.F.); #137988=ORIENTED_EDGE('',*,*,#96426,.F.); #137989=ORIENTED_EDGE('',*,*,#96435,.T.); #137990=ORIENTED_EDGE('',*,*,#95585,.T.); #137991=ORIENTED_EDGE('',*,*,#96436,.F.); #137992=ORIENTED_EDGE('',*,*,#96427,.F.); #137993=ORIENTED_EDGE('',*,*,#96434,.T.); #137994=ORIENTED_EDGE('',*,*,#95584,.T.); #137995=ORIENTED_EDGE('',*,*,#96437,.F.); #137996=ORIENTED_EDGE('',*,*,#96428,.F.); #137997=ORIENTED_EDGE('',*,*,#96436,.T.); #137998=ORIENTED_EDGE('',*,*,#95583,.T.); #137999=ORIENTED_EDGE('',*,*,#96435,.F.); #138000=ORIENTED_EDGE('',*,*,#96429,.F.); #138001=ORIENTED_EDGE('',*,*,#96437,.T.); #138002=ORIENTED_EDGE('',*,*,#96438,.F.); #138003=ORIENTED_EDGE('',*,*,#96439,.F.); #138004=ORIENTED_EDGE('',*,*,#96431,.T.); #138005=ORIENTED_EDGE('',*,*,#96440,.T.); #138006=ORIENTED_EDGE('',*,*,#96441,.F.); #138007=ORIENTED_EDGE('',*,*,#96440,.F.); #138008=ORIENTED_EDGE('',*,*,#96430,.T.); #138009=ORIENTED_EDGE('',*,*,#96442,.T.); #138010=ORIENTED_EDGE('',*,*,#96443,.F.); #138011=ORIENTED_EDGE('',*,*,#96442,.F.); #138012=ORIENTED_EDGE('',*,*,#96433,.T.); #138013=ORIENTED_EDGE('',*,*,#96444,.T.); #138014=ORIENTED_EDGE('',*,*,#96445,.F.); #138015=ORIENTED_EDGE('',*,*,#96444,.F.); #138016=ORIENTED_EDGE('',*,*,#96432,.T.); #138017=ORIENTED_EDGE('',*,*,#96439,.T.); #138018=ORIENTED_EDGE('',*,*,#96445,.T.); #138019=ORIENTED_EDGE('',*,*,#96438,.T.); #138020=ORIENTED_EDGE('',*,*,#96441,.T.); #138021=ORIENTED_EDGE('',*,*,#96443,.T.); #138022=ORIENTED_EDGE('',*,*,#96446,.F.); #138023=ORIENTED_EDGE('',*,*,#96447,.T.); #138024=ORIENTED_EDGE('',*,*,#96448,.T.); #138025=ORIENTED_EDGE('',*,*,#96449,.F.); #138026=ORIENTED_EDGE('',*,*,#96450,.F.); #138027=ORIENTED_EDGE('',*,*,#96451,.T.); #138028=ORIENTED_EDGE('',*,*,#96452,.T.); #138029=ORIENTED_EDGE('',*,*,#96447,.F.); #138030=ORIENTED_EDGE('',*,*,#96453,.F.); #138031=ORIENTED_EDGE('',*,*,#96454,.T.); #138032=ORIENTED_EDGE('',*,*,#96455,.T.); #138033=ORIENTED_EDGE('',*,*,#96451,.F.); #138034=ORIENTED_EDGE('',*,*,#96456,.F.); #138035=ORIENTED_EDGE('',*,*,#96457,.T.); #138036=ORIENTED_EDGE('',*,*,#96458,.T.); #138037=ORIENTED_EDGE('',*,*,#96454,.F.); #138038=ORIENTED_EDGE('',*,*,#96459,.F.); #138039=ORIENTED_EDGE('',*,*,#96460,.T.); #138040=ORIENTED_EDGE('',*,*,#96461,.T.); #138041=ORIENTED_EDGE('',*,*,#96457,.F.); #138042=ORIENTED_EDGE('',*,*,#96462,.F.); #138043=ORIENTED_EDGE('',*,*,#96463,.T.); #138044=ORIENTED_EDGE('',*,*,#96464,.T.); #138045=ORIENTED_EDGE('',*,*,#96460,.F.); #138046=ORIENTED_EDGE('',*,*,#96465,.F.); #138047=ORIENTED_EDGE('',*,*,#96466,.T.); #138048=ORIENTED_EDGE('',*,*,#96467,.T.); #138049=ORIENTED_EDGE('',*,*,#96463,.F.); #138050=ORIENTED_EDGE('',*,*,#96468,.F.); #138051=ORIENTED_EDGE('',*,*,#96469,.T.); #138052=ORIENTED_EDGE('',*,*,#96470,.T.); #138053=ORIENTED_EDGE('',*,*,#96466,.F.); #138054=ORIENTED_EDGE('',*,*,#96471,.F.); #138055=ORIENTED_EDGE('',*,*,#96472,.T.); #138056=ORIENTED_EDGE('',*,*,#96473,.T.); #138057=ORIENTED_EDGE('',*,*,#96469,.F.); #138058=ORIENTED_EDGE('',*,*,#96474,.F.); #138059=ORIENTED_EDGE('',*,*,#96475,.T.); #138060=ORIENTED_EDGE('',*,*,#96476,.T.); #138061=ORIENTED_EDGE('',*,*,#96472,.F.); #138062=ORIENTED_EDGE('',*,*,#96477,.F.); #138063=ORIENTED_EDGE('',*,*,#96449,.T.); #138064=ORIENTED_EDGE('',*,*,#96478,.T.); #138065=ORIENTED_EDGE('',*,*,#96475,.F.); #138066=ORIENTED_EDGE('',*,*,#96479,.F.); #138067=ORIENTED_EDGE('',*,*,#96480,.T.); #138068=ORIENTED_EDGE('',*,*,#96481,.T.); #138069=ORIENTED_EDGE('',*,*,#96482,.F.); #138070=ORIENTED_EDGE('',*,*,#96483,.F.); #138071=ORIENTED_EDGE('',*,*,#96484,.T.); #138072=ORIENTED_EDGE('',*,*,#96485,.T.); #138073=ORIENTED_EDGE('',*,*,#96480,.F.); #138074=ORIENTED_EDGE('',*,*,#96486,.F.); #138075=ORIENTED_EDGE('',*,*,#96487,.T.); #138076=ORIENTED_EDGE('',*,*,#96488,.T.); #138077=ORIENTED_EDGE('',*,*,#96484,.F.); #138078=ORIENTED_EDGE('',*,*,#96489,.F.); #138079=ORIENTED_EDGE('',*,*,#96490,.T.); #138080=ORIENTED_EDGE('',*,*,#96491,.T.); #138081=ORIENTED_EDGE('',*,*,#96487,.F.); #138082=ORIENTED_EDGE('',*,*,#96492,.F.); #138083=ORIENTED_EDGE('',*,*,#96493,.T.); #138084=ORIENTED_EDGE('',*,*,#96494,.T.); #138085=ORIENTED_EDGE('',*,*,#96490,.F.); #138086=ORIENTED_EDGE('',*,*,#96495,.F.); #138087=ORIENTED_EDGE('',*,*,#96496,.T.); #138088=ORIENTED_EDGE('',*,*,#96497,.T.); #138089=ORIENTED_EDGE('',*,*,#96493,.F.); #138090=ORIENTED_EDGE('',*,*,#96498,.F.); #138091=ORIENTED_EDGE('',*,*,#96499,.T.); #138092=ORIENTED_EDGE('',*,*,#96500,.T.); #138093=ORIENTED_EDGE('',*,*,#96496,.F.); #138094=ORIENTED_EDGE('',*,*,#96501,.F.); #138095=ORIENTED_EDGE('',*,*,#96502,.T.); #138096=ORIENTED_EDGE('',*,*,#96503,.T.); #138097=ORIENTED_EDGE('',*,*,#96499,.F.); #138098=ORIENTED_EDGE('',*,*,#96504,.F.); #138099=ORIENTED_EDGE('',*,*,#96505,.T.); #138100=ORIENTED_EDGE('',*,*,#96506,.T.); #138101=ORIENTED_EDGE('',*,*,#96502,.F.); #138102=ORIENTED_EDGE('',*,*,#96507,.F.); #138103=ORIENTED_EDGE('',*,*,#96508,.T.); #138104=ORIENTED_EDGE('',*,*,#96509,.T.); #138105=ORIENTED_EDGE('',*,*,#96505,.F.); #138106=ORIENTED_EDGE('',*,*,#96510,.F.); #138107=ORIENTED_EDGE('',*,*,#96482,.T.); #138108=ORIENTED_EDGE('',*,*,#96511,.T.); #138109=ORIENTED_EDGE('',*,*,#96508,.F.); #138110=ORIENTED_EDGE('',*,*,#96511,.F.); #138111=ORIENTED_EDGE('',*,*,#96481,.F.); #138112=ORIENTED_EDGE('',*,*,#96485,.F.); #138113=ORIENTED_EDGE('',*,*,#96488,.F.); #138114=ORIENTED_EDGE('',*,*,#96491,.F.); #138115=ORIENTED_EDGE('',*,*,#96494,.F.); #138116=ORIENTED_EDGE('',*,*,#96497,.F.); #138117=ORIENTED_EDGE('',*,*,#96500,.F.); #138118=ORIENTED_EDGE('',*,*,#96503,.F.); #138119=ORIENTED_EDGE('',*,*,#96506,.F.); #138120=ORIENTED_EDGE('',*,*,#96509,.F.); #138121=ORIENTED_EDGE('',*,*,#96478,.F.); #138122=ORIENTED_EDGE('',*,*,#96448,.F.); #138123=ORIENTED_EDGE('',*,*,#96452,.F.); #138124=ORIENTED_EDGE('',*,*,#96455,.F.); #138125=ORIENTED_EDGE('',*,*,#96458,.F.); #138126=ORIENTED_EDGE('',*,*,#96461,.F.); #138127=ORIENTED_EDGE('',*,*,#96464,.F.); #138128=ORIENTED_EDGE('',*,*,#96467,.F.); #138129=ORIENTED_EDGE('',*,*,#96470,.F.); #138130=ORIENTED_EDGE('',*,*,#96473,.F.); #138131=ORIENTED_EDGE('',*,*,#96476,.F.); #138132=ORIENTED_EDGE('',*,*,#96512,.T.); #138133=ORIENTED_EDGE('',*,*,#96513,.T.); #138134=ORIENTED_EDGE('',*,*,#96514,.T.); #138135=ORIENTED_EDGE('',*,*,#96515,.T.); #138136=ORIENTED_EDGE('',*,*,#96516,.T.); #138137=ORIENTED_EDGE('',*,*,#96517,.T.); #138138=ORIENTED_EDGE('',*,*,#96518,.T.); #138139=ORIENTED_EDGE('',*,*,#96519,.T.); #138140=ORIENTED_EDGE('',*,*,#96520,.T.); #138141=ORIENTED_EDGE('',*,*,#96521,.T.); #138142=ORIENTED_EDGE('',*,*,#96522,.T.); #138143=ORIENTED_EDGE('',*,*,#96523,.T.); #138144=ORIENTED_EDGE('',*,*,#96524,.F.); #138145=ORIENTED_EDGE('',*,*,#96525,.F.); #138146=ORIENTED_EDGE('',*,*,#96526,.F.); #138147=ORIENTED_EDGE('',*,*,#96527,.F.); #138148=ORIENTED_EDGE('',*,*,#96528,.F.); #138149=ORIENTED_EDGE('',*,*,#96529,.F.); #138150=ORIENTED_EDGE('',*,*,#96530,.F.); #138151=ORIENTED_EDGE('',*,*,#96531,.F.); #138152=ORIENTED_EDGE('',*,*,#96532,.F.); #138153=ORIENTED_EDGE('',*,*,#96533,.F.); #138154=ORIENTED_EDGE('',*,*,#96534,.F.); #138155=ORIENTED_EDGE('',*,*,#96535,.F.); #138156=ORIENTED_EDGE('',*,*,#96536,.F.); #138157=ORIENTED_EDGE('',*,*,#96537,.F.); #138158=ORIENTED_EDGE('',*,*,#96538,.T.); #138159=ORIENTED_EDGE('',*,*,#96539,.T.); #138160=ORIENTED_EDGE('',*,*,#96540,.T.); #138161=ORIENTED_EDGE('',*,*,#96541,.T.); #138162=ORIENTED_EDGE('',*,*,#96542,.T.); #138163=ORIENTED_EDGE('',*,*,#96543,.T.); #138164=ORIENTED_EDGE('',*,*,#96544,.T.); #138165=ORIENTED_EDGE('',*,*,#96545,.T.); #138166=ORIENTED_EDGE('',*,*,#96546,.T.); #138167=ORIENTED_EDGE('',*,*,#96547,.T.); #138168=ORIENTED_EDGE('',*,*,#96548,.T.); #138169=ORIENTED_EDGE('',*,*,#96549,.T.); #138170=ORIENTED_EDGE('',*,*,#96550,.T.); #138171=ORIENTED_EDGE('',*,*,#96551,.T.); #138172=ORIENTED_EDGE('',*,*,#96552,.T.); #138173=ORIENTED_EDGE('',*,*,#96553,.T.); #138174=ORIENTED_EDGE('',*,*,#96554,.T.); #138175=ORIENTED_EDGE('',*,*,#96555,.T.); #138176=ORIENTED_EDGE('',*,*,#96556,.T.); #138177=ORIENTED_EDGE('',*,*,#96557,.T.); #138178=ORIENTED_EDGE('',*,*,#96558,.T.); #138179=ORIENTED_EDGE('',*,*,#96559,.T.); #138180=ORIENTED_EDGE('',*,*,#96560,.T.); #138181=ORIENTED_EDGE('',*,*,#96561,.T.); #138182=ORIENTED_EDGE('',*,*,#96562,.T.); #138183=ORIENTED_EDGE('',*,*,#96563,.T.); #138184=ORIENTED_EDGE('',*,*,#96564,.T.); #138185=ORIENTED_EDGE('',*,*,#96565,.T.); #138186=ORIENTED_EDGE('',*,*,#96566,.T.); #138187=ORIENTED_EDGE('',*,*,#96567,.T.); #138188=ORIENTED_EDGE('',*,*,#96568,.T.); #138189=ORIENTED_EDGE('',*,*,#96569,.T.); #138190=ORIENTED_EDGE('',*,*,#96570,.T.); #138191=ORIENTED_EDGE('',*,*,#96571,.T.); #138192=ORIENTED_EDGE('',*,*,#96572,.T.); #138193=ORIENTED_EDGE('',*,*,#96573,.T.); #138194=ORIENTED_EDGE('',*,*,#96574,.T.); #138195=ORIENTED_EDGE('',*,*,#96575,.T.); #138196=ORIENTED_EDGE('',*,*,#96576,.T.); #138197=ORIENTED_EDGE('',*,*,#96577,.T.); #138198=ORIENTED_EDGE('',*,*,#96578,.T.); #138199=ORIENTED_EDGE('',*,*,#96579,.T.); #138200=ORIENTED_EDGE('',*,*,#96580,.T.); #138201=ORIENTED_EDGE('',*,*,#96581,.T.); #138202=ORIENTED_EDGE('',*,*,#96582,.T.); #138203=ORIENTED_EDGE('',*,*,#96583,.T.); #138204=ORIENTED_EDGE('',*,*,#96584,.T.); #138205=ORIENTED_EDGE('',*,*,#96585,.T.); #138206=ORIENTED_EDGE('',*,*,#96586,.T.); #138207=ORIENTED_EDGE('',*,*,#96587,.T.); #138208=ORIENTED_EDGE('',*,*,#96588,.T.); #138209=ORIENTED_EDGE('',*,*,#96589,.T.); #138210=ORIENTED_EDGE('',*,*,#96590,.T.); #138211=ORIENTED_EDGE('',*,*,#96591,.T.); #138212=ORIENTED_EDGE('',*,*,#96592,.T.); #138213=ORIENTED_EDGE('',*,*,#96593,.T.); #138214=ORIENTED_EDGE('',*,*,#96594,.T.); #138215=ORIENTED_EDGE('',*,*,#96595,.T.); #138216=ORIENTED_EDGE('',*,*,#96596,.T.); #138217=ORIENTED_EDGE('',*,*,#96597,.T.); #138218=ORIENTED_EDGE('',*,*,#96598,.T.); #138219=ORIENTED_EDGE('',*,*,#96599,.T.); #138220=ORIENTED_EDGE('',*,*,#96600,.T.); #138221=ORIENTED_EDGE('',*,*,#96601,.T.); #138222=ORIENTED_EDGE('',*,*,#96602,.T.); #138223=ORIENTED_EDGE('',*,*,#96603,.T.); #138224=ORIENTED_EDGE('',*,*,#96604,.T.); #138225=ORIENTED_EDGE('',*,*,#96605,.T.); #138226=ORIENTED_EDGE('',*,*,#96606,.T.); #138227=ORIENTED_EDGE('',*,*,#96607,.T.); #138228=ORIENTED_EDGE('',*,*,#96608,.T.); #138229=ORIENTED_EDGE('',*,*,#96609,.T.); #138230=ORIENTED_EDGE('',*,*,#96610,.T.); #138231=ORIENTED_EDGE('',*,*,#96611,.T.); #138232=ORIENTED_EDGE('',*,*,#96612,.T.); #138233=ORIENTED_EDGE('',*,*,#96613,.T.); #138234=ORIENTED_EDGE('',*,*,#96614,.T.); #138235=ORIENTED_EDGE('',*,*,#96615,.T.); #138236=ORIENTED_EDGE('',*,*,#96616,.T.); #138237=ORIENTED_EDGE('',*,*,#96617,.T.); #138238=ORIENTED_EDGE('',*,*,#96618,.T.); #138239=ORIENTED_EDGE('',*,*,#96619,.T.); #138240=ORIENTED_EDGE('',*,*,#96620,.T.); #138241=ORIENTED_EDGE('',*,*,#96621,.T.); #138242=ORIENTED_EDGE('',*,*,#96622,.T.); #138243=ORIENTED_EDGE('',*,*,#96623,.T.); #138244=ORIENTED_EDGE('',*,*,#96624,.T.); #138245=ORIENTED_EDGE('',*,*,#96625,.T.); #138246=ORIENTED_EDGE('',*,*,#96626,.T.); #138247=ORIENTED_EDGE('',*,*,#96627,.T.); #138248=ORIENTED_EDGE('',*,*,#96628,.T.); #138249=ORIENTED_EDGE('',*,*,#96629,.T.); #138250=ORIENTED_EDGE('',*,*,#96630,.T.); #138251=ORIENTED_EDGE('',*,*,#96631,.T.); #138252=ORIENTED_EDGE('',*,*,#96632,.T.); #138253=ORIENTED_EDGE('',*,*,#96633,.T.); #138254=ORIENTED_EDGE('',*,*,#96634,.T.); #138255=ORIENTED_EDGE('',*,*,#96635,.T.); #138256=ORIENTED_EDGE('',*,*,#96636,.T.); #138257=ORIENTED_EDGE('',*,*,#96637,.T.); #138258=ORIENTED_EDGE('',*,*,#96638,.T.); #138259=ORIENTED_EDGE('',*,*,#96639,.T.); #138260=ORIENTED_EDGE('',*,*,#96640,.T.); #138261=ORIENTED_EDGE('',*,*,#96641,.T.); #138262=ORIENTED_EDGE('',*,*,#96642,.T.); #138263=ORIENTED_EDGE('',*,*,#96643,.T.); #138264=ORIENTED_EDGE('',*,*,#96644,.T.); #138265=ORIENTED_EDGE('',*,*,#96645,.T.); #138266=ORIENTED_EDGE('',*,*,#96646,.T.); #138267=ORIENTED_EDGE('',*,*,#96647,.T.); #138268=ORIENTED_EDGE('',*,*,#96648,.T.); #138269=ORIENTED_EDGE('',*,*,#96649,.T.); #138270=ORIENTED_EDGE('',*,*,#96650,.T.); #138271=ORIENTED_EDGE('',*,*,#96651,.T.); #138272=ORIENTED_EDGE('',*,*,#96652,.T.); #138273=ORIENTED_EDGE('',*,*,#96653,.T.); #138274=ORIENTED_EDGE('',*,*,#96654,.T.); #138275=ORIENTED_EDGE('',*,*,#96655,.T.); #138276=ORIENTED_EDGE('',*,*,#96656,.T.); #138277=ORIENTED_EDGE('',*,*,#96657,.T.); #138278=ORIENTED_EDGE('',*,*,#96658,.T.); #138279=ORIENTED_EDGE('',*,*,#96659,.T.); #138280=ORIENTED_EDGE('',*,*,#96660,.T.); #138281=ORIENTED_EDGE('',*,*,#96661,.T.); #138282=ORIENTED_EDGE('',*,*,#96662,.T.); #138283=ORIENTED_EDGE('',*,*,#96663,.T.); #138284=ORIENTED_EDGE('',*,*,#96664,.T.); #138285=ORIENTED_EDGE('',*,*,#96665,.T.); #138286=ORIENTED_EDGE('',*,*,#96666,.T.); #138287=ORIENTED_EDGE('',*,*,#96667,.T.); #138288=ORIENTED_EDGE('',*,*,#96668,.T.); #138289=ORIENTED_EDGE('',*,*,#96669,.T.); #138290=ORIENTED_EDGE('',*,*,#96670,.T.); #138291=ORIENTED_EDGE('',*,*,#96671,.T.); #138292=ORIENTED_EDGE('',*,*,#96672,.T.); #138293=ORIENTED_EDGE('',*,*,#96673,.T.); #138294=ORIENTED_EDGE('',*,*,#96674,.T.); #138295=ORIENTED_EDGE('',*,*,#96675,.T.); #138296=ORIENTED_EDGE('',*,*,#96676,.T.); #138297=ORIENTED_EDGE('',*,*,#96677,.T.); #138298=ORIENTED_EDGE('',*,*,#96678,.T.); #138299=ORIENTED_EDGE('',*,*,#96679,.T.); #138300=ORIENTED_EDGE('',*,*,#96680,.T.); #138301=ORIENTED_EDGE('',*,*,#96681,.T.); #138302=ORIENTED_EDGE('',*,*,#96682,.T.); #138303=ORIENTED_EDGE('',*,*,#96683,.T.); #138304=ORIENTED_EDGE('',*,*,#96684,.T.); #138305=ORIENTED_EDGE('',*,*,#96685,.T.); #138306=ORIENTED_EDGE('',*,*,#96686,.T.); #138307=ORIENTED_EDGE('',*,*,#96687,.T.); #138308=ORIENTED_EDGE('',*,*,#96688,.T.); #138309=ORIENTED_EDGE('',*,*,#96689,.T.); #138310=ORIENTED_EDGE('',*,*,#96690,.T.); #138311=ORIENTED_EDGE('',*,*,#96691,.T.); #138312=ORIENTED_EDGE('',*,*,#96692,.T.); #138313=ORIENTED_EDGE('',*,*,#96693,.T.); #138314=ORIENTED_EDGE('',*,*,#96694,.T.); #138315=ORIENTED_EDGE('',*,*,#96695,.T.); #138316=ORIENTED_EDGE('',*,*,#96696,.T.); #138317=ORIENTED_EDGE('',*,*,#96697,.T.); #138318=ORIENTED_EDGE('',*,*,#96698,.T.); #138319=ORIENTED_EDGE('',*,*,#96699,.T.); #138320=ORIENTED_EDGE('',*,*,#96700,.T.); #138321=ORIENTED_EDGE('',*,*,#96701,.T.); #138322=ORIENTED_EDGE('',*,*,#96702,.T.); #138323=ORIENTED_EDGE('',*,*,#96703,.T.); #138324=ORIENTED_EDGE('',*,*,#96704,.T.); #138325=ORIENTED_EDGE('',*,*,#96705,.T.); #138326=ORIENTED_EDGE('',*,*,#96706,.T.); #138327=ORIENTED_EDGE('',*,*,#96707,.T.); #138328=ORIENTED_EDGE('',*,*,#96708,.T.); #138329=ORIENTED_EDGE('',*,*,#96709,.T.); #138330=ORIENTED_EDGE('',*,*,#96710,.T.); #138331=ORIENTED_EDGE('',*,*,#96711,.T.); #138332=ORIENTED_EDGE('',*,*,#96712,.T.); #138333=ORIENTED_EDGE('',*,*,#96713,.T.); #138334=ORIENTED_EDGE('',*,*,#96714,.T.); #138335=ORIENTED_EDGE('',*,*,#96715,.T.); #138336=ORIENTED_EDGE('',*,*,#96716,.T.); #138337=ORIENTED_EDGE('',*,*,#96717,.T.); #138338=ORIENTED_EDGE('',*,*,#96718,.T.); #138339=ORIENTED_EDGE('',*,*,#96719,.T.); #138340=ORIENTED_EDGE('',*,*,#96720,.T.); #138341=ORIENTED_EDGE('',*,*,#96721,.T.); #138342=ORIENTED_EDGE('',*,*,#96722,.T.); #138343=ORIENTED_EDGE('',*,*,#96723,.T.); #138344=ORIENTED_EDGE('',*,*,#96724,.T.); #138345=ORIENTED_EDGE('',*,*,#96725,.T.); #138346=ORIENTED_EDGE('',*,*,#96726,.T.); #138347=ORIENTED_EDGE('',*,*,#96727,.T.); #138348=ORIENTED_EDGE('',*,*,#96728,.T.); #138349=ORIENTED_EDGE('',*,*,#96729,.T.); #138350=ORIENTED_EDGE('',*,*,#96730,.T.); #138351=ORIENTED_EDGE('',*,*,#96731,.T.); #138352=ORIENTED_EDGE('',*,*,#96732,.T.); #138353=ORIENTED_EDGE('',*,*,#96733,.T.); #138354=ORIENTED_EDGE('',*,*,#96734,.T.); #138355=ORIENTED_EDGE('',*,*,#96735,.T.); #138356=ORIENTED_EDGE('',*,*,#96736,.T.); #138357=ORIENTED_EDGE('',*,*,#96737,.T.); #138358=ORIENTED_EDGE('',*,*,#96738,.T.); #138359=ORIENTED_EDGE('',*,*,#96739,.T.); #138360=ORIENTED_EDGE('',*,*,#96740,.T.); #138361=ORIENTED_EDGE('',*,*,#96741,.T.); #138362=ORIENTED_EDGE('',*,*,#96742,.T.); #138363=ORIENTED_EDGE('',*,*,#96743,.T.); #138364=ORIENTED_EDGE('',*,*,#96744,.T.); #138365=ORIENTED_EDGE('',*,*,#96745,.T.); #138366=ORIENTED_EDGE('',*,*,#96746,.T.); #138367=ORIENTED_EDGE('',*,*,#96747,.T.); #138368=ORIENTED_EDGE('',*,*,#96748,.T.); #138369=ORIENTED_EDGE('',*,*,#96749,.T.); #138370=ORIENTED_EDGE('',*,*,#96750,.T.); #138371=ORIENTED_EDGE('',*,*,#96751,.T.); #138372=ORIENTED_EDGE('',*,*,#96752,.T.); #138373=ORIENTED_EDGE('',*,*,#96753,.T.); #138374=ORIENTED_EDGE('',*,*,#96754,.T.); #138375=ORIENTED_EDGE('',*,*,#96755,.T.); #138376=ORIENTED_EDGE('',*,*,#96756,.T.); #138377=ORIENTED_EDGE('',*,*,#96757,.T.); #138378=ORIENTED_EDGE('',*,*,#96758,.T.); #138379=ORIENTED_EDGE('',*,*,#96759,.T.); #138380=ORIENTED_EDGE('',*,*,#96760,.T.); #138381=ORIENTED_EDGE('',*,*,#96761,.T.); #138382=ORIENTED_EDGE('',*,*,#96762,.T.); #138383=ORIENTED_EDGE('',*,*,#96763,.T.); #138384=ORIENTED_EDGE('',*,*,#96764,.T.); #138385=ORIENTED_EDGE('',*,*,#96765,.T.); #138386=ORIENTED_EDGE('',*,*,#96766,.T.); #138387=ORIENTED_EDGE('',*,*,#96767,.T.); #138388=ORIENTED_EDGE('',*,*,#96768,.T.); #138389=ORIENTED_EDGE('',*,*,#96769,.T.); #138390=ORIENTED_EDGE('',*,*,#96770,.T.); #138391=ORIENTED_EDGE('',*,*,#96771,.T.); #138392=ORIENTED_EDGE('',*,*,#96772,.T.); #138393=ORIENTED_EDGE('',*,*,#96773,.T.); #138394=ORIENTED_EDGE('',*,*,#96774,.T.); #138395=ORIENTED_EDGE('',*,*,#96775,.T.); #138396=ORIENTED_EDGE('',*,*,#96776,.T.); #138397=ORIENTED_EDGE('',*,*,#96777,.T.); #138398=ORIENTED_EDGE('',*,*,#96778,.T.); #138399=ORIENTED_EDGE('',*,*,#96779,.T.); #138400=ORIENTED_EDGE('',*,*,#96780,.T.); #138401=ORIENTED_EDGE('',*,*,#96781,.T.); #138402=ORIENTED_EDGE('',*,*,#96782,.T.); #138403=ORIENTED_EDGE('',*,*,#96783,.T.); #138404=ORIENTED_EDGE('',*,*,#96784,.T.); #138405=ORIENTED_EDGE('',*,*,#96785,.T.); #138406=ORIENTED_EDGE('',*,*,#96786,.T.); #138407=ORIENTED_EDGE('',*,*,#96787,.T.); #138408=ORIENTED_EDGE('',*,*,#96788,.T.); #138409=ORIENTED_EDGE('',*,*,#96789,.T.); #138410=ORIENTED_EDGE('',*,*,#96790,.T.); #138411=ORIENTED_EDGE('',*,*,#96791,.T.); #138412=ORIENTED_EDGE('',*,*,#96792,.T.); #138413=ORIENTED_EDGE('',*,*,#96793,.T.); #138414=ORIENTED_EDGE('',*,*,#96794,.T.); #138415=ORIENTED_EDGE('',*,*,#96795,.T.); #138416=ORIENTED_EDGE('',*,*,#96796,.T.); #138417=ORIENTED_EDGE('',*,*,#96797,.T.); #138418=ORIENTED_EDGE('',*,*,#96798,.T.); #138419=ORIENTED_EDGE('',*,*,#96799,.T.); #138420=ORIENTED_EDGE('',*,*,#96800,.T.); #138421=ORIENTED_EDGE('',*,*,#96801,.T.); #138422=ORIENTED_EDGE('',*,*,#96802,.T.); #138423=ORIENTED_EDGE('',*,*,#96803,.T.); #138424=ORIENTED_EDGE('',*,*,#96804,.T.); #138425=ORIENTED_EDGE('',*,*,#96805,.T.); #138426=ORIENTED_EDGE('',*,*,#96806,.T.); #138427=ORIENTED_EDGE('',*,*,#96807,.T.); #138428=ORIENTED_EDGE('',*,*,#96808,.T.); #138429=ORIENTED_EDGE('',*,*,#96809,.T.); #138430=ORIENTED_EDGE('',*,*,#96810,.T.); #138431=ORIENTED_EDGE('',*,*,#96811,.T.); #138432=ORIENTED_EDGE('',*,*,#96812,.T.); #138433=ORIENTED_EDGE('',*,*,#96813,.T.); #138434=ORIENTED_EDGE('',*,*,#96814,.T.); #138435=ORIENTED_EDGE('',*,*,#96815,.T.); #138436=ORIENTED_EDGE('',*,*,#96816,.T.); #138437=ORIENTED_EDGE('',*,*,#96817,.T.); #138438=ORIENTED_EDGE('',*,*,#96818,.T.); #138439=ORIENTED_EDGE('',*,*,#96819,.T.); #138440=ORIENTED_EDGE('',*,*,#96820,.T.); #138441=ORIENTED_EDGE('',*,*,#96821,.T.); #138442=ORIENTED_EDGE('',*,*,#96822,.T.); #138443=ORIENTED_EDGE('',*,*,#96823,.T.); #138444=ORIENTED_EDGE('',*,*,#96824,.T.); #138445=ORIENTED_EDGE('',*,*,#96825,.T.); #138446=ORIENTED_EDGE('',*,*,#96826,.T.); #138447=ORIENTED_EDGE('',*,*,#96827,.T.); #138448=ORIENTED_EDGE('',*,*,#96828,.T.); #138449=ORIENTED_EDGE('',*,*,#96829,.T.); #138450=ORIENTED_EDGE('',*,*,#96830,.T.); #138451=ORIENTED_EDGE('',*,*,#96831,.T.); #138452=ORIENTED_EDGE('',*,*,#96832,.T.); #138453=ORIENTED_EDGE('',*,*,#96833,.T.); #138454=ORIENTED_EDGE('',*,*,#96834,.T.); #138455=ORIENTED_EDGE('',*,*,#96835,.T.); #138456=ORIENTED_EDGE('',*,*,#96836,.T.); #138457=ORIENTED_EDGE('',*,*,#96837,.T.); #138458=ORIENTED_EDGE('',*,*,#96838,.T.); #138459=ORIENTED_EDGE('',*,*,#96839,.T.); #138460=ORIENTED_EDGE('',*,*,#96840,.T.); #138461=ORIENTED_EDGE('',*,*,#96841,.T.); #138462=ORIENTED_EDGE('',*,*,#96842,.T.); #138463=ORIENTED_EDGE('',*,*,#96843,.T.); #138464=ORIENTED_EDGE('',*,*,#96844,.T.); #138465=ORIENTED_EDGE('',*,*,#96845,.T.); #138466=ORIENTED_EDGE('',*,*,#96846,.T.); #138467=ORIENTED_EDGE('',*,*,#96847,.T.); #138468=ORIENTED_EDGE('',*,*,#96848,.T.); #138469=ORIENTED_EDGE('',*,*,#96849,.T.); #138470=ORIENTED_EDGE('',*,*,#96850,.T.); #138471=ORIENTED_EDGE('',*,*,#96851,.T.); #138472=ORIENTED_EDGE('',*,*,#96852,.T.); #138473=ORIENTED_EDGE('',*,*,#96853,.T.); #138474=ORIENTED_EDGE('',*,*,#96854,.T.); #138475=ORIENTED_EDGE('',*,*,#96855,.T.); #138476=ORIENTED_EDGE('',*,*,#96856,.T.); #138477=ORIENTED_EDGE('',*,*,#96857,.T.); #138478=ORIENTED_EDGE('',*,*,#96858,.T.); #138479=ORIENTED_EDGE('',*,*,#96859,.T.); #138480=ORIENTED_EDGE('',*,*,#96860,.T.); #138481=ORIENTED_EDGE('',*,*,#96861,.T.); #138482=ORIENTED_EDGE('',*,*,#96862,.T.); #138483=ORIENTED_EDGE('',*,*,#96863,.T.); #138484=ORIENTED_EDGE('',*,*,#96864,.T.); #138485=ORIENTED_EDGE('',*,*,#96865,.T.); #138486=ORIENTED_EDGE('',*,*,#96866,.T.); #138487=ORIENTED_EDGE('',*,*,#96867,.T.); #138488=ORIENTED_EDGE('',*,*,#96868,.T.); #138489=ORIENTED_EDGE('',*,*,#96869,.T.); #138490=ORIENTED_EDGE('',*,*,#96870,.T.); #138491=ORIENTED_EDGE('',*,*,#96871,.T.); #138492=ORIENTED_EDGE('',*,*,#96872,.T.); #138493=ORIENTED_EDGE('',*,*,#96873,.T.); #138494=ORIENTED_EDGE('',*,*,#96874,.T.); #138495=ORIENTED_EDGE('',*,*,#96875,.T.); #138496=ORIENTED_EDGE('',*,*,#96876,.T.); #138497=ORIENTED_EDGE('',*,*,#96877,.T.); #138498=ORIENTED_EDGE('',*,*,#96878,.T.); #138499=ORIENTED_EDGE('',*,*,#96879,.T.); #138500=ORIENTED_EDGE('',*,*,#96880,.T.); #138501=ORIENTED_EDGE('',*,*,#96881,.T.); #138502=ORIENTED_EDGE('',*,*,#96882,.T.); #138503=ORIENTED_EDGE('',*,*,#96883,.T.); #138504=ORIENTED_EDGE('',*,*,#96884,.T.); #138505=ORIENTED_EDGE('',*,*,#96885,.T.); #138506=ORIENTED_EDGE('',*,*,#96886,.T.); #138507=ORIENTED_EDGE('',*,*,#96887,.T.); #138508=ORIENTED_EDGE('',*,*,#96888,.T.); #138509=ORIENTED_EDGE('',*,*,#96889,.T.); #138510=ORIENTED_EDGE('',*,*,#96890,.T.); #138511=ORIENTED_EDGE('',*,*,#96891,.T.); #138512=ORIENTED_EDGE('',*,*,#96892,.T.); #138513=ORIENTED_EDGE('',*,*,#96893,.T.); #138514=ORIENTED_EDGE('',*,*,#96894,.T.); #138515=ORIENTED_EDGE('',*,*,#96895,.T.); #138516=ORIENTED_EDGE('',*,*,#96896,.T.); #138517=ORIENTED_EDGE('',*,*,#96897,.T.); #138518=ORIENTED_EDGE('',*,*,#96898,.T.); #138519=ORIENTED_EDGE('',*,*,#96899,.T.); #138520=ORIENTED_EDGE('',*,*,#96900,.T.); #138521=ORIENTED_EDGE('',*,*,#96901,.T.); #138522=ORIENTED_EDGE('',*,*,#96902,.T.); #138523=ORIENTED_EDGE('',*,*,#96903,.T.); #138524=ORIENTED_EDGE('',*,*,#96904,.T.); #138525=ORIENTED_EDGE('',*,*,#96905,.T.); #138526=ORIENTED_EDGE('',*,*,#96906,.T.); #138527=ORIENTED_EDGE('',*,*,#96907,.T.); #138528=ORIENTED_EDGE('',*,*,#96908,.T.); #138529=ORIENTED_EDGE('',*,*,#96909,.T.); #138530=ORIENTED_EDGE('',*,*,#96910,.T.); #138531=ORIENTED_EDGE('',*,*,#96911,.T.); #138532=ORIENTED_EDGE('',*,*,#96912,.T.); #138533=ORIENTED_EDGE('',*,*,#96913,.T.); #138534=ORIENTED_EDGE('',*,*,#96914,.T.); #138535=ORIENTED_EDGE('',*,*,#96915,.T.); #138536=ORIENTED_EDGE('',*,*,#96916,.T.); #138537=ORIENTED_EDGE('',*,*,#96917,.T.); #138538=ORIENTED_EDGE('',*,*,#96918,.T.); #138539=ORIENTED_EDGE('',*,*,#96919,.T.); #138540=ORIENTED_EDGE('',*,*,#96920,.T.); #138541=ORIENTED_EDGE('',*,*,#96921,.T.); #138542=ORIENTED_EDGE('',*,*,#96922,.T.); #138543=ORIENTED_EDGE('',*,*,#96923,.T.); #138544=ORIENTED_EDGE('',*,*,#96924,.T.); #138545=ORIENTED_EDGE('',*,*,#96925,.T.); #138546=ORIENTED_EDGE('',*,*,#96926,.T.); #138547=ORIENTED_EDGE('',*,*,#96927,.T.); #138548=ORIENTED_EDGE('',*,*,#96928,.T.); #138549=ORIENTED_EDGE('',*,*,#96929,.T.); #138550=ORIENTED_EDGE('',*,*,#96930,.T.); #138551=ORIENTED_EDGE('',*,*,#96931,.T.); #138552=ORIENTED_EDGE('',*,*,#96932,.T.); #138553=ORIENTED_EDGE('',*,*,#96933,.T.); #138554=ORIENTED_EDGE('',*,*,#96934,.T.); #138555=ORIENTED_EDGE('',*,*,#96935,.T.); #138556=ORIENTED_EDGE('',*,*,#96936,.T.); #138557=ORIENTED_EDGE('',*,*,#96937,.T.); #138558=ORIENTED_EDGE('',*,*,#96938,.T.); #138559=ORIENTED_EDGE('',*,*,#96939,.T.); #138560=ORIENTED_EDGE('',*,*,#96940,.T.); #138561=ORIENTED_EDGE('',*,*,#96941,.T.); #138562=ORIENTED_EDGE('',*,*,#96942,.T.); #138563=ORIENTED_EDGE('',*,*,#96943,.T.); #138564=ORIENTED_EDGE('',*,*,#96944,.T.); #138565=ORIENTED_EDGE('',*,*,#96945,.T.); #138566=ORIENTED_EDGE('',*,*,#96946,.T.); #138567=ORIENTED_EDGE('',*,*,#96947,.T.); #138568=ORIENTED_EDGE('',*,*,#96948,.T.); #138569=ORIENTED_EDGE('',*,*,#96949,.T.); #138570=ORIENTED_EDGE('',*,*,#96950,.T.); #138571=ORIENTED_EDGE('',*,*,#96951,.T.); #138572=ORIENTED_EDGE('',*,*,#96952,.T.); #138573=ORIENTED_EDGE('',*,*,#96953,.T.); #138574=ORIENTED_EDGE('',*,*,#96954,.T.); #138575=ORIENTED_EDGE('',*,*,#96955,.T.); #138576=ORIENTED_EDGE('',*,*,#96956,.T.); #138577=ORIENTED_EDGE('',*,*,#96957,.T.); #138578=ORIENTED_EDGE('',*,*,#96958,.T.); #138579=ORIENTED_EDGE('',*,*,#96959,.T.); #138580=ORIENTED_EDGE('',*,*,#96960,.T.); #138581=ORIENTED_EDGE('',*,*,#96961,.T.); #138582=ORIENTED_EDGE('',*,*,#96962,.T.); #138583=ORIENTED_EDGE('',*,*,#96963,.T.); #138584=ORIENTED_EDGE('',*,*,#96964,.T.); #138585=ORIENTED_EDGE('',*,*,#96965,.T.); #138586=ORIENTED_EDGE('',*,*,#96966,.T.); #138587=ORIENTED_EDGE('',*,*,#96967,.T.); #138588=ORIENTED_EDGE('',*,*,#96968,.T.); #138589=ORIENTED_EDGE('',*,*,#96969,.T.); #138590=ORIENTED_EDGE('',*,*,#96970,.T.); #138591=ORIENTED_EDGE('',*,*,#96971,.T.); #138592=ORIENTED_EDGE('',*,*,#96972,.T.); #138593=ORIENTED_EDGE('',*,*,#96973,.T.); #138594=ORIENTED_EDGE('',*,*,#96974,.T.); #138595=ORIENTED_EDGE('',*,*,#96975,.T.); #138596=ORIENTED_EDGE('',*,*,#96976,.T.); #138597=ORIENTED_EDGE('',*,*,#96977,.T.); #138598=ORIENTED_EDGE('',*,*,#96978,.T.); #138599=ORIENTED_EDGE('',*,*,#96979,.T.); #138600=ORIENTED_EDGE('',*,*,#96980,.T.); #138601=ORIENTED_EDGE('',*,*,#96981,.T.); #138602=ORIENTED_EDGE('',*,*,#96982,.T.); #138603=ORIENTED_EDGE('',*,*,#96983,.T.); #138604=ORIENTED_EDGE('',*,*,#96984,.T.); #138605=ORIENTED_EDGE('',*,*,#96985,.T.); #138606=ORIENTED_EDGE('',*,*,#96986,.T.); #138607=ORIENTED_EDGE('',*,*,#96987,.T.); #138608=ORIENTED_EDGE('',*,*,#96988,.T.); #138609=ORIENTED_EDGE('',*,*,#96989,.T.); #138610=ORIENTED_EDGE('',*,*,#96990,.T.); #138611=ORIENTED_EDGE('',*,*,#96991,.T.); #138612=ORIENTED_EDGE('',*,*,#96992,.T.); #138613=ORIENTED_EDGE('',*,*,#96993,.T.); #138614=ORIENTED_EDGE('',*,*,#96994,.T.); #138615=ORIENTED_EDGE('',*,*,#96995,.T.); #138616=ORIENTED_EDGE('',*,*,#96996,.T.); #138617=ORIENTED_EDGE('',*,*,#96997,.T.); #138618=ORIENTED_EDGE('',*,*,#96998,.T.); #138619=ORIENTED_EDGE('',*,*,#96999,.T.); #138620=ORIENTED_EDGE('',*,*,#97000,.T.); #138621=ORIENTED_EDGE('',*,*,#97001,.T.); #138622=ORIENTED_EDGE('',*,*,#97002,.T.); #138623=ORIENTED_EDGE('',*,*,#97003,.T.); #138624=ORIENTED_EDGE('',*,*,#97004,.T.); #138625=ORIENTED_EDGE('',*,*,#97005,.T.); #138626=ORIENTED_EDGE('',*,*,#97006,.T.); #138627=ORIENTED_EDGE('',*,*,#97007,.T.); #138628=ORIENTED_EDGE('',*,*,#97008,.T.); #138629=ORIENTED_EDGE('',*,*,#97009,.T.); #138630=ORIENTED_EDGE('',*,*,#97010,.T.); #138631=ORIENTED_EDGE('',*,*,#97011,.T.); #138632=ORIENTED_EDGE('',*,*,#97012,.T.); #138633=ORIENTED_EDGE('',*,*,#97013,.T.); #138634=ORIENTED_EDGE('',*,*,#97014,.T.); #138635=ORIENTED_EDGE('',*,*,#97015,.T.); #138636=ORIENTED_EDGE('',*,*,#97016,.T.); #138637=ORIENTED_EDGE('',*,*,#97017,.T.); #138638=ORIENTED_EDGE('',*,*,#97018,.T.); #138639=ORIENTED_EDGE('',*,*,#97019,.T.); #138640=ORIENTED_EDGE('',*,*,#97020,.T.); #138641=ORIENTED_EDGE('',*,*,#97021,.T.); #138642=ORIENTED_EDGE('',*,*,#97022,.T.); #138643=ORIENTED_EDGE('',*,*,#97023,.T.); #138644=ORIENTED_EDGE('',*,*,#97024,.T.); #138645=ORIENTED_EDGE('',*,*,#97025,.T.); #138646=ORIENTED_EDGE('',*,*,#97026,.T.); #138647=ORIENTED_EDGE('',*,*,#97027,.T.); #138648=ORIENTED_EDGE('',*,*,#97028,.T.); #138649=ORIENTED_EDGE('',*,*,#97029,.T.); #138650=ORIENTED_EDGE('',*,*,#97030,.T.); #138651=ORIENTED_EDGE('',*,*,#97031,.T.); #138652=ORIENTED_EDGE('',*,*,#97032,.T.); #138653=ORIENTED_EDGE('',*,*,#97033,.T.); #138654=ORIENTED_EDGE('',*,*,#97034,.T.); #138655=ORIENTED_EDGE('',*,*,#97035,.T.); #138656=ORIENTED_EDGE('',*,*,#97036,.T.); #138657=ORIENTED_EDGE('',*,*,#97037,.T.); #138658=ORIENTED_EDGE('',*,*,#97038,.T.); #138659=ORIENTED_EDGE('',*,*,#97039,.T.); #138660=ORIENTED_EDGE('',*,*,#97040,.T.); #138661=ORIENTED_EDGE('',*,*,#97041,.T.); #138662=ORIENTED_EDGE('',*,*,#97042,.T.); #138663=ORIENTED_EDGE('',*,*,#97043,.T.); #138664=ORIENTED_EDGE('',*,*,#97044,.T.); #138665=ORIENTED_EDGE('',*,*,#97045,.T.); #138666=ORIENTED_EDGE('',*,*,#97046,.T.); #138667=ORIENTED_EDGE('',*,*,#97047,.T.); #138668=ORIENTED_EDGE('',*,*,#97048,.T.); #138669=ORIENTED_EDGE('',*,*,#97049,.T.); #138670=ORIENTED_EDGE('',*,*,#97050,.T.); #138671=ORIENTED_EDGE('',*,*,#97051,.T.); #138672=ORIENTED_EDGE('',*,*,#97052,.T.); #138673=ORIENTED_EDGE('',*,*,#97053,.T.); #138674=ORIENTED_EDGE('',*,*,#97054,.T.); #138675=ORIENTED_EDGE('',*,*,#97055,.T.); #138676=ORIENTED_EDGE('',*,*,#97056,.T.); #138677=ORIENTED_EDGE('',*,*,#97057,.T.); #138678=ORIENTED_EDGE('',*,*,#97058,.T.); #138679=ORIENTED_EDGE('',*,*,#97059,.T.); #138680=ORIENTED_EDGE('',*,*,#97060,.T.); #138681=ORIENTED_EDGE('',*,*,#97061,.T.); #138682=ORIENTED_EDGE('',*,*,#97062,.T.); #138683=ORIENTED_EDGE('',*,*,#97063,.T.); #138684=ORIENTED_EDGE('',*,*,#97064,.T.); #138685=ORIENTED_EDGE('',*,*,#97065,.T.); #138686=ORIENTED_EDGE('',*,*,#97066,.T.); #138687=ORIENTED_EDGE('',*,*,#97067,.T.); #138688=ORIENTED_EDGE('',*,*,#97068,.T.); #138689=ORIENTED_EDGE('',*,*,#97069,.T.); #138690=ORIENTED_EDGE('',*,*,#97070,.T.); #138691=ORIENTED_EDGE('',*,*,#97071,.T.); #138692=ORIENTED_EDGE('',*,*,#97072,.T.); #138693=ORIENTED_EDGE('',*,*,#97073,.T.); #138694=ORIENTED_EDGE('',*,*,#97074,.T.); #138695=ORIENTED_EDGE('',*,*,#97075,.T.); #138696=ORIENTED_EDGE('',*,*,#97076,.T.); #138697=ORIENTED_EDGE('',*,*,#97077,.T.); #138698=ORIENTED_EDGE('',*,*,#97078,.T.); #138699=ORIENTED_EDGE('',*,*,#97079,.T.); #138700=ORIENTED_EDGE('',*,*,#97080,.T.); #138701=ORIENTED_EDGE('',*,*,#97081,.T.); #138702=ORIENTED_EDGE('',*,*,#97082,.T.); #138703=ORIENTED_EDGE('',*,*,#97083,.T.); #138704=ORIENTED_EDGE('',*,*,#97084,.T.); #138705=ORIENTED_EDGE('',*,*,#97085,.T.); #138706=ORIENTED_EDGE('',*,*,#97086,.T.); #138707=ORIENTED_EDGE('',*,*,#97087,.T.); #138708=ORIENTED_EDGE('',*,*,#97088,.T.); #138709=ORIENTED_EDGE('',*,*,#97089,.T.); #138710=ORIENTED_EDGE('',*,*,#97090,.T.); #138711=ORIENTED_EDGE('',*,*,#97091,.T.); #138712=ORIENTED_EDGE('',*,*,#97092,.T.); #138713=ORIENTED_EDGE('',*,*,#97093,.T.); #138714=ORIENTED_EDGE('',*,*,#97094,.T.); #138715=ORIENTED_EDGE('',*,*,#97095,.T.); #138716=ORIENTED_EDGE('',*,*,#97096,.T.); #138717=ORIENTED_EDGE('',*,*,#97097,.T.); #138718=ORIENTED_EDGE('',*,*,#97098,.T.); #138719=ORIENTED_EDGE('',*,*,#97099,.T.); #138720=ORIENTED_EDGE('',*,*,#97100,.T.); #138721=ORIENTED_EDGE('',*,*,#96479,.T.); #138722=ORIENTED_EDGE('',*,*,#96510,.T.); #138723=ORIENTED_EDGE('',*,*,#96507,.T.); #138724=ORIENTED_EDGE('',*,*,#96504,.T.); #138725=ORIENTED_EDGE('',*,*,#96501,.T.); #138726=ORIENTED_EDGE('',*,*,#96498,.T.); #138727=ORIENTED_EDGE('',*,*,#96495,.T.); #138728=ORIENTED_EDGE('',*,*,#96492,.T.); #138729=ORIENTED_EDGE('',*,*,#96489,.T.); #138730=ORIENTED_EDGE('',*,*,#96486,.T.); #138731=ORIENTED_EDGE('',*,*,#96483,.T.); #138732=ORIENTED_EDGE('',*,*,#97087,.F.); #138733=ORIENTED_EDGE('',*,*,#97101,.T.); #138734=ORIENTED_EDGE('',*,*,#97102,.T.); #138735=ORIENTED_EDGE('',*,*,#97103,.F.); #138736=ORIENTED_EDGE('',*,*,#97100,.F.); #138737=ORIENTED_EDGE('',*,*,#97104,.T.); #138738=ORIENTED_EDGE('',*,*,#97105,.T.); #138739=ORIENTED_EDGE('',*,*,#97101,.F.); #138740=ORIENTED_EDGE('',*,*,#97099,.F.); #138741=ORIENTED_EDGE('',*,*,#97106,.T.); #138742=ORIENTED_EDGE('',*,*,#97107,.T.); #138743=ORIENTED_EDGE('',*,*,#97104,.F.); #138744=ORIENTED_EDGE('',*,*,#97098,.F.); #138745=ORIENTED_EDGE('',*,*,#97108,.T.); #138746=ORIENTED_EDGE('',*,*,#97109,.T.); #138747=ORIENTED_EDGE('',*,*,#97106,.F.); #138748=ORIENTED_EDGE('',*,*,#97097,.F.); #138749=ORIENTED_EDGE('',*,*,#97110,.T.); #138750=ORIENTED_EDGE('',*,*,#97111,.T.); #138751=ORIENTED_EDGE('',*,*,#97108,.F.); #138752=ORIENTED_EDGE('',*,*,#97096,.F.); #138753=ORIENTED_EDGE('',*,*,#97112,.T.); #138754=ORIENTED_EDGE('',*,*,#97113,.T.); #138755=ORIENTED_EDGE('',*,*,#97110,.F.); #138756=ORIENTED_EDGE('',*,*,#97095,.F.); #138757=ORIENTED_EDGE('',*,*,#97114,.T.); #138758=ORIENTED_EDGE('',*,*,#97115,.T.); #138759=ORIENTED_EDGE('',*,*,#97112,.F.); #138760=ORIENTED_EDGE('',*,*,#97094,.F.); #138761=ORIENTED_EDGE('',*,*,#97116,.T.); #138762=ORIENTED_EDGE('',*,*,#97117,.T.); #138763=ORIENTED_EDGE('',*,*,#97114,.F.); #138764=ORIENTED_EDGE('',*,*,#97093,.F.); #138765=ORIENTED_EDGE('',*,*,#97118,.T.); #138766=ORIENTED_EDGE('',*,*,#97119,.T.); #138767=ORIENTED_EDGE('',*,*,#97116,.F.); #138768=ORIENTED_EDGE('',*,*,#97092,.F.); #138769=ORIENTED_EDGE('',*,*,#97120,.T.); #138770=ORIENTED_EDGE('',*,*,#97121,.T.); #138771=ORIENTED_EDGE('',*,*,#97118,.F.); #138772=ORIENTED_EDGE('',*,*,#97091,.F.); #138773=ORIENTED_EDGE('',*,*,#97122,.T.); #138774=ORIENTED_EDGE('',*,*,#97123,.T.); #138775=ORIENTED_EDGE('',*,*,#97120,.F.); #138776=ORIENTED_EDGE('',*,*,#97090,.F.); #138777=ORIENTED_EDGE('',*,*,#97124,.T.); #138778=ORIENTED_EDGE('',*,*,#97125,.T.); #138779=ORIENTED_EDGE('',*,*,#97122,.F.); #138780=ORIENTED_EDGE('',*,*,#97089,.F.); #138781=ORIENTED_EDGE('',*,*,#97126,.T.); #138782=ORIENTED_EDGE('',*,*,#97127,.T.); #138783=ORIENTED_EDGE('',*,*,#97124,.F.); #138784=ORIENTED_EDGE('',*,*,#97088,.F.); #138785=ORIENTED_EDGE('',*,*,#97103,.T.); #138786=ORIENTED_EDGE('',*,*,#97128,.T.); #138787=ORIENTED_EDGE('',*,*,#97126,.F.); #138788=ORIENTED_EDGE('',*,*,#97128,.F.); #138789=ORIENTED_EDGE('',*,*,#97102,.F.); #138790=ORIENTED_EDGE('',*,*,#97105,.F.); #138791=ORIENTED_EDGE('',*,*,#97107,.F.); #138792=ORIENTED_EDGE('',*,*,#97109,.F.); #138793=ORIENTED_EDGE('',*,*,#97111,.F.); #138794=ORIENTED_EDGE('',*,*,#97113,.F.); #138795=ORIENTED_EDGE('',*,*,#97115,.F.); #138796=ORIENTED_EDGE('',*,*,#97117,.F.); #138797=ORIENTED_EDGE('',*,*,#97119,.F.); #138798=ORIENTED_EDGE('',*,*,#97121,.F.); #138799=ORIENTED_EDGE('',*,*,#97123,.F.); #138800=ORIENTED_EDGE('',*,*,#97125,.F.); #138801=ORIENTED_EDGE('',*,*,#97127,.F.); #138802=ORIENTED_EDGE('',*,*,#97129,.F.); #138803=ORIENTED_EDGE('',*,*,#97130,.T.); #138804=ORIENTED_EDGE('',*,*,#97131,.T.); #138805=ORIENTED_EDGE('',*,*,#97132,.F.); #138806=ORIENTED_EDGE('',*,*,#97133,.F.); #138807=ORIENTED_EDGE('',*,*,#97134,.T.); #138808=ORIENTED_EDGE('',*,*,#97135,.T.); #138809=ORIENTED_EDGE('',*,*,#97130,.F.); #138810=ORIENTED_EDGE('',*,*,#97136,.F.); #138811=ORIENTED_EDGE('',*,*,#97137,.T.); #138812=ORIENTED_EDGE('',*,*,#97138,.T.); #138813=ORIENTED_EDGE('',*,*,#97134,.F.); #138814=ORIENTED_EDGE('',*,*,#97139,.F.); #138815=ORIENTED_EDGE('',*,*,#97140,.T.); #138816=ORIENTED_EDGE('',*,*,#97141,.T.); #138817=ORIENTED_EDGE('',*,*,#97137,.F.); #138818=ORIENTED_EDGE('',*,*,#97142,.F.); #138819=ORIENTED_EDGE('',*,*,#97143,.T.); #138820=ORIENTED_EDGE('',*,*,#97144,.T.); #138821=ORIENTED_EDGE('',*,*,#97140,.F.); #138822=ORIENTED_EDGE('',*,*,#97145,.F.); #138823=ORIENTED_EDGE('',*,*,#97146,.T.); #138824=ORIENTED_EDGE('',*,*,#97147,.T.); #138825=ORIENTED_EDGE('',*,*,#97143,.F.); #138826=ORIENTED_EDGE('',*,*,#97148,.F.); #138827=ORIENTED_EDGE('',*,*,#97149,.T.); #138828=ORIENTED_EDGE('',*,*,#97150,.T.); #138829=ORIENTED_EDGE('',*,*,#97146,.F.); #138830=ORIENTED_EDGE('',*,*,#97151,.F.); #138831=ORIENTED_EDGE('',*,*,#97152,.T.); #138832=ORIENTED_EDGE('',*,*,#97153,.T.); #138833=ORIENTED_EDGE('',*,*,#97149,.F.); #138834=ORIENTED_EDGE('',*,*,#97154,.F.); #138835=ORIENTED_EDGE('',*,*,#97155,.T.); #138836=ORIENTED_EDGE('',*,*,#97156,.T.); #138837=ORIENTED_EDGE('',*,*,#97152,.F.); #138838=ORIENTED_EDGE('',*,*,#97157,.F.); #138839=ORIENTED_EDGE('',*,*,#97158,.T.); #138840=ORIENTED_EDGE('',*,*,#97159,.T.); #138841=ORIENTED_EDGE('',*,*,#97155,.F.); #138842=ORIENTED_EDGE('',*,*,#97160,.F.); #138843=ORIENTED_EDGE('',*,*,#97161,.T.); #138844=ORIENTED_EDGE('',*,*,#97162,.T.); #138845=ORIENTED_EDGE('',*,*,#97158,.F.); #138846=ORIENTED_EDGE('',*,*,#97163,.F.); #138847=ORIENTED_EDGE('',*,*,#97164,.T.); #138848=ORIENTED_EDGE('',*,*,#97165,.T.); #138849=ORIENTED_EDGE('',*,*,#97161,.F.); #138850=ORIENTED_EDGE('',*,*,#97166,.F.); #138851=ORIENTED_EDGE('',*,*,#97167,.T.); #138852=ORIENTED_EDGE('',*,*,#97168,.T.); #138853=ORIENTED_EDGE('',*,*,#97164,.F.); #138854=ORIENTED_EDGE('',*,*,#97169,.F.); #138855=ORIENTED_EDGE('',*,*,#97132,.T.); #138856=ORIENTED_EDGE('',*,*,#97170,.T.); #138857=ORIENTED_EDGE('',*,*,#97167,.F.); #138858=ORIENTED_EDGE('',*,*,#97056,.F.); #138859=ORIENTED_EDGE('',*,*,#97171,.T.); #138860=ORIENTED_EDGE('',*,*,#97172,.T.); #138861=ORIENTED_EDGE('',*,*,#97173,.F.); #138862=ORIENTED_EDGE('',*,*,#97086,.F.); #138863=ORIENTED_EDGE('',*,*,#97174,.T.); #138864=ORIENTED_EDGE('',*,*,#97175,.T.); #138865=ORIENTED_EDGE('',*,*,#97171,.F.); #138866=ORIENTED_EDGE('',*,*,#97085,.F.); #138867=ORIENTED_EDGE('',*,*,#97176,.T.); #138868=ORIENTED_EDGE('',*,*,#97177,.T.); #138869=ORIENTED_EDGE('',*,*,#97174,.F.); #138870=ORIENTED_EDGE('',*,*,#97084,.F.); #138871=ORIENTED_EDGE('',*,*,#97178,.T.); #138872=ORIENTED_EDGE('',*,*,#97179,.T.); #138873=ORIENTED_EDGE('',*,*,#97176,.F.); #138874=ORIENTED_EDGE('',*,*,#97083,.F.); #138875=ORIENTED_EDGE('',*,*,#97180,.T.); #138876=ORIENTED_EDGE('',*,*,#97181,.T.); #138877=ORIENTED_EDGE('',*,*,#97178,.F.); #138878=ORIENTED_EDGE('',*,*,#97082,.F.); #138879=ORIENTED_EDGE('',*,*,#97182,.T.); #138880=ORIENTED_EDGE('',*,*,#97183,.T.); #138881=ORIENTED_EDGE('',*,*,#97180,.F.); #138882=ORIENTED_EDGE('',*,*,#97081,.F.); #138883=ORIENTED_EDGE('',*,*,#97184,.T.); #138884=ORIENTED_EDGE('',*,*,#97185,.T.); #138885=ORIENTED_EDGE('',*,*,#97182,.F.); #138886=ORIENTED_EDGE('',*,*,#97080,.F.); #138887=ORIENTED_EDGE('',*,*,#97186,.T.); #138888=ORIENTED_EDGE('',*,*,#97187,.T.); #138889=ORIENTED_EDGE('',*,*,#97184,.F.); #138890=ORIENTED_EDGE('',*,*,#97079,.F.); #138891=ORIENTED_EDGE('',*,*,#97188,.T.); #138892=ORIENTED_EDGE('',*,*,#97189,.T.); #138893=ORIENTED_EDGE('',*,*,#97186,.F.); #138894=ORIENTED_EDGE('',*,*,#97078,.F.); #138895=ORIENTED_EDGE('',*,*,#97190,.T.); #138896=ORIENTED_EDGE('',*,*,#97191,.T.); #138897=ORIENTED_EDGE('',*,*,#97188,.F.); #138898=ORIENTED_EDGE('',*,*,#97077,.F.); #138899=ORIENTED_EDGE('',*,*,#97192,.T.); #138900=ORIENTED_EDGE('',*,*,#97193,.T.); #138901=ORIENTED_EDGE('',*,*,#97190,.F.); #138902=ORIENTED_EDGE('',*,*,#97076,.F.); #138903=ORIENTED_EDGE('',*,*,#97194,.T.); #138904=ORIENTED_EDGE('',*,*,#97195,.T.); #138905=ORIENTED_EDGE('',*,*,#97192,.F.); #138906=ORIENTED_EDGE('',*,*,#97075,.F.); #138907=ORIENTED_EDGE('',*,*,#97196,.T.); #138908=ORIENTED_EDGE('',*,*,#97197,.T.); #138909=ORIENTED_EDGE('',*,*,#97194,.F.); #138910=ORIENTED_EDGE('',*,*,#97074,.F.); #138911=ORIENTED_EDGE('',*,*,#97198,.T.); #138912=ORIENTED_EDGE('',*,*,#97199,.T.); #138913=ORIENTED_EDGE('',*,*,#97196,.F.); #138914=ORIENTED_EDGE('',*,*,#97073,.F.); #138915=ORIENTED_EDGE('',*,*,#97200,.T.); #138916=ORIENTED_EDGE('',*,*,#97201,.T.); #138917=ORIENTED_EDGE('',*,*,#97198,.F.); #138918=ORIENTED_EDGE('',*,*,#97072,.F.); #138919=ORIENTED_EDGE('',*,*,#97202,.T.); #138920=ORIENTED_EDGE('',*,*,#97203,.T.); #138921=ORIENTED_EDGE('',*,*,#97200,.F.); #138922=ORIENTED_EDGE('',*,*,#97071,.F.); #138923=ORIENTED_EDGE('',*,*,#97204,.T.); #138924=ORIENTED_EDGE('',*,*,#97205,.T.); #138925=ORIENTED_EDGE('',*,*,#97202,.F.); #138926=ORIENTED_EDGE('',*,*,#97070,.F.); #138927=ORIENTED_EDGE('',*,*,#97206,.T.); #138928=ORIENTED_EDGE('',*,*,#97207,.T.); #138929=ORIENTED_EDGE('',*,*,#97204,.F.); #138930=ORIENTED_EDGE('',*,*,#97069,.F.); #138931=ORIENTED_EDGE('',*,*,#97208,.T.); #138932=ORIENTED_EDGE('',*,*,#97209,.T.); #138933=ORIENTED_EDGE('',*,*,#97206,.F.); #138934=ORIENTED_EDGE('',*,*,#97068,.F.); #138935=ORIENTED_EDGE('',*,*,#97210,.T.); #138936=ORIENTED_EDGE('',*,*,#97211,.T.); #138937=ORIENTED_EDGE('',*,*,#97208,.F.); #138938=ORIENTED_EDGE('',*,*,#97067,.F.); #138939=ORIENTED_EDGE('',*,*,#97212,.T.); #138940=ORIENTED_EDGE('',*,*,#97213,.T.); #138941=ORIENTED_EDGE('',*,*,#97210,.F.); #138942=ORIENTED_EDGE('',*,*,#97066,.F.); #138943=ORIENTED_EDGE('',*,*,#97214,.T.); #138944=ORIENTED_EDGE('',*,*,#97215,.T.); #138945=ORIENTED_EDGE('',*,*,#97212,.F.); #138946=ORIENTED_EDGE('',*,*,#97065,.F.); #138947=ORIENTED_EDGE('',*,*,#97216,.T.); #138948=ORIENTED_EDGE('',*,*,#97217,.T.); #138949=ORIENTED_EDGE('',*,*,#97214,.F.); #138950=ORIENTED_EDGE('',*,*,#97064,.F.); #138951=ORIENTED_EDGE('',*,*,#97218,.T.); #138952=ORIENTED_EDGE('',*,*,#97219,.T.); #138953=ORIENTED_EDGE('',*,*,#97216,.F.); #138954=ORIENTED_EDGE('',*,*,#97063,.F.); #138955=ORIENTED_EDGE('',*,*,#97220,.T.); #138956=ORIENTED_EDGE('',*,*,#97221,.T.); #138957=ORIENTED_EDGE('',*,*,#97218,.F.); #138958=ORIENTED_EDGE('',*,*,#97062,.F.); #138959=ORIENTED_EDGE('',*,*,#97222,.T.); #138960=ORIENTED_EDGE('',*,*,#97223,.T.); #138961=ORIENTED_EDGE('',*,*,#97220,.F.); #138962=ORIENTED_EDGE('',*,*,#97061,.F.); #138963=ORIENTED_EDGE('',*,*,#97224,.T.); #138964=ORIENTED_EDGE('',*,*,#97225,.T.); #138965=ORIENTED_EDGE('',*,*,#97222,.F.); #138966=ORIENTED_EDGE('',*,*,#97060,.F.); #138967=ORIENTED_EDGE('',*,*,#97226,.T.); #138968=ORIENTED_EDGE('',*,*,#97227,.T.); #138969=ORIENTED_EDGE('',*,*,#97224,.F.); #138970=ORIENTED_EDGE('',*,*,#97059,.F.); #138971=ORIENTED_EDGE('',*,*,#97228,.T.); #138972=ORIENTED_EDGE('',*,*,#97229,.T.); #138973=ORIENTED_EDGE('',*,*,#97226,.F.); #138974=ORIENTED_EDGE('',*,*,#97058,.F.); #138975=ORIENTED_EDGE('',*,*,#97230,.T.); #138976=ORIENTED_EDGE('',*,*,#97231,.T.); #138977=ORIENTED_EDGE('',*,*,#97228,.F.); #138978=ORIENTED_EDGE('',*,*,#97057,.F.); #138979=ORIENTED_EDGE('',*,*,#97173,.T.); #138980=ORIENTED_EDGE('',*,*,#97232,.T.); #138981=ORIENTED_EDGE('',*,*,#97230,.F.); #138982=ORIENTED_EDGE('',*,*,#97232,.F.); #138983=ORIENTED_EDGE('',*,*,#97172,.F.); #138984=ORIENTED_EDGE('',*,*,#97175,.F.); #138985=ORIENTED_EDGE('',*,*,#97177,.F.); #138986=ORIENTED_EDGE('',*,*,#97179,.F.); #138987=ORIENTED_EDGE('',*,*,#97181,.F.); #138988=ORIENTED_EDGE('',*,*,#97183,.F.); #138989=ORIENTED_EDGE('',*,*,#97185,.F.); #138990=ORIENTED_EDGE('',*,*,#97187,.F.); #138991=ORIENTED_EDGE('',*,*,#97189,.F.); #138992=ORIENTED_EDGE('',*,*,#97191,.F.); #138993=ORIENTED_EDGE('',*,*,#97193,.F.); #138994=ORIENTED_EDGE('',*,*,#97195,.F.); #138995=ORIENTED_EDGE('',*,*,#97197,.F.); #138996=ORIENTED_EDGE('',*,*,#97199,.F.); #138997=ORIENTED_EDGE('',*,*,#97201,.F.); #138998=ORIENTED_EDGE('',*,*,#97203,.F.); #138999=ORIENTED_EDGE('',*,*,#97205,.F.); #139000=ORIENTED_EDGE('',*,*,#97207,.F.); #139001=ORIENTED_EDGE('',*,*,#97209,.F.); #139002=ORIENTED_EDGE('',*,*,#97211,.F.); #139003=ORIENTED_EDGE('',*,*,#97213,.F.); #139004=ORIENTED_EDGE('',*,*,#97215,.F.); #139005=ORIENTED_EDGE('',*,*,#97217,.F.); #139006=ORIENTED_EDGE('',*,*,#97219,.F.); #139007=ORIENTED_EDGE('',*,*,#97221,.F.); #139008=ORIENTED_EDGE('',*,*,#97223,.F.); #139009=ORIENTED_EDGE('',*,*,#97225,.F.); #139010=ORIENTED_EDGE('',*,*,#97227,.F.); #139011=ORIENTED_EDGE('',*,*,#97229,.F.); #139012=ORIENTED_EDGE('',*,*,#97231,.F.); #139013=ORIENTED_EDGE('',*,*,#97170,.F.); #139014=ORIENTED_EDGE('',*,*,#97131,.F.); #139015=ORIENTED_EDGE('',*,*,#97135,.F.); #139016=ORIENTED_EDGE('',*,*,#97138,.F.); #139017=ORIENTED_EDGE('',*,*,#97141,.F.); #139018=ORIENTED_EDGE('',*,*,#97144,.F.); #139019=ORIENTED_EDGE('',*,*,#97147,.F.); #139020=ORIENTED_EDGE('',*,*,#97150,.F.); #139021=ORIENTED_EDGE('',*,*,#97153,.F.); #139022=ORIENTED_EDGE('',*,*,#97156,.F.); #139023=ORIENTED_EDGE('',*,*,#97159,.F.); #139024=ORIENTED_EDGE('',*,*,#97162,.F.); #139025=ORIENTED_EDGE('',*,*,#97165,.F.); #139026=ORIENTED_EDGE('',*,*,#97168,.F.); #139027=ORIENTED_EDGE('',*,*,#97025,.F.); #139028=ORIENTED_EDGE('',*,*,#97233,.T.); #139029=ORIENTED_EDGE('',*,*,#97234,.T.); #139030=ORIENTED_EDGE('',*,*,#97235,.F.); #139031=ORIENTED_EDGE('',*,*,#97055,.F.); #139032=ORIENTED_EDGE('',*,*,#97236,.T.); #139033=ORIENTED_EDGE('',*,*,#97237,.T.); #139034=ORIENTED_EDGE('',*,*,#97233,.F.); #139035=ORIENTED_EDGE('',*,*,#97054,.F.); #139036=ORIENTED_EDGE('',*,*,#97238,.T.); #139037=ORIENTED_EDGE('',*,*,#97239,.T.); #139038=ORIENTED_EDGE('',*,*,#97236,.F.); #139039=ORIENTED_EDGE('',*,*,#97053,.F.); #139040=ORIENTED_EDGE('',*,*,#97240,.T.); #139041=ORIENTED_EDGE('',*,*,#97241,.T.); #139042=ORIENTED_EDGE('',*,*,#97238,.F.); #139043=ORIENTED_EDGE('',*,*,#97052,.F.); #139044=ORIENTED_EDGE('',*,*,#97242,.T.); #139045=ORIENTED_EDGE('',*,*,#97243,.T.); #139046=ORIENTED_EDGE('',*,*,#97240,.F.); #139047=ORIENTED_EDGE('',*,*,#97051,.F.); #139048=ORIENTED_EDGE('',*,*,#97244,.T.); #139049=ORIENTED_EDGE('',*,*,#97245,.T.); #139050=ORIENTED_EDGE('',*,*,#97242,.F.); #139051=ORIENTED_EDGE('',*,*,#97050,.F.); #139052=ORIENTED_EDGE('',*,*,#97246,.T.); #139053=ORIENTED_EDGE('',*,*,#97247,.T.); #139054=ORIENTED_EDGE('',*,*,#97244,.F.); #139055=ORIENTED_EDGE('',*,*,#97049,.F.); #139056=ORIENTED_EDGE('',*,*,#97248,.T.); #139057=ORIENTED_EDGE('',*,*,#97249,.T.); #139058=ORIENTED_EDGE('',*,*,#97246,.F.); #139059=ORIENTED_EDGE('',*,*,#97048,.F.); #139060=ORIENTED_EDGE('',*,*,#97250,.T.); #139061=ORIENTED_EDGE('',*,*,#97251,.T.); #139062=ORIENTED_EDGE('',*,*,#97248,.F.); #139063=ORIENTED_EDGE('',*,*,#97047,.F.); #139064=ORIENTED_EDGE('',*,*,#97252,.T.); #139065=ORIENTED_EDGE('',*,*,#97253,.T.); #139066=ORIENTED_EDGE('',*,*,#97250,.F.); #139067=ORIENTED_EDGE('',*,*,#97046,.F.); #139068=ORIENTED_EDGE('',*,*,#97254,.T.); #139069=ORIENTED_EDGE('',*,*,#97255,.T.); #139070=ORIENTED_EDGE('',*,*,#97252,.F.); #139071=ORIENTED_EDGE('',*,*,#97045,.F.); #139072=ORIENTED_EDGE('',*,*,#97256,.T.); #139073=ORIENTED_EDGE('',*,*,#97257,.T.); #139074=ORIENTED_EDGE('',*,*,#97254,.F.); #139075=ORIENTED_EDGE('',*,*,#97044,.F.); #139076=ORIENTED_EDGE('',*,*,#97258,.T.); #139077=ORIENTED_EDGE('',*,*,#97259,.T.); #139078=ORIENTED_EDGE('',*,*,#97256,.F.); #139079=ORIENTED_EDGE('',*,*,#97043,.F.); #139080=ORIENTED_EDGE('',*,*,#97260,.T.); #139081=ORIENTED_EDGE('',*,*,#97261,.T.); #139082=ORIENTED_EDGE('',*,*,#97258,.F.); #139083=ORIENTED_EDGE('',*,*,#97042,.F.); #139084=ORIENTED_EDGE('',*,*,#97262,.T.); #139085=ORIENTED_EDGE('',*,*,#97263,.T.); #139086=ORIENTED_EDGE('',*,*,#97260,.F.); #139087=ORIENTED_EDGE('',*,*,#97041,.F.); #139088=ORIENTED_EDGE('',*,*,#97264,.T.); #139089=ORIENTED_EDGE('',*,*,#97265,.T.); #139090=ORIENTED_EDGE('',*,*,#97262,.F.); #139091=ORIENTED_EDGE('',*,*,#97040,.F.); #139092=ORIENTED_EDGE('',*,*,#97266,.T.); #139093=ORIENTED_EDGE('',*,*,#97267,.T.); #139094=ORIENTED_EDGE('',*,*,#97264,.F.); #139095=ORIENTED_EDGE('',*,*,#97039,.F.); #139096=ORIENTED_EDGE('',*,*,#97268,.T.); #139097=ORIENTED_EDGE('',*,*,#97269,.T.); #139098=ORIENTED_EDGE('',*,*,#97266,.F.); #139099=ORIENTED_EDGE('',*,*,#97038,.F.); #139100=ORIENTED_EDGE('',*,*,#97270,.T.); #139101=ORIENTED_EDGE('',*,*,#97271,.T.); #139102=ORIENTED_EDGE('',*,*,#97268,.F.); #139103=ORIENTED_EDGE('',*,*,#97037,.F.); #139104=ORIENTED_EDGE('',*,*,#97272,.T.); #139105=ORIENTED_EDGE('',*,*,#97273,.T.); #139106=ORIENTED_EDGE('',*,*,#97270,.F.); #139107=ORIENTED_EDGE('',*,*,#97036,.F.); #139108=ORIENTED_EDGE('',*,*,#97274,.T.); #139109=ORIENTED_EDGE('',*,*,#97275,.T.); #139110=ORIENTED_EDGE('',*,*,#97272,.F.); #139111=ORIENTED_EDGE('',*,*,#97035,.F.); #139112=ORIENTED_EDGE('',*,*,#97276,.T.); #139113=ORIENTED_EDGE('',*,*,#97277,.T.); #139114=ORIENTED_EDGE('',*,*,#97274,.F.); #139115=ORIENTED_EDGE('',*,*,#97034,.F.); #139116=ORIENTED_EDGE('',*,*,#97278,.T.); #139117=ORIENTED_EDGE('',*,*,#97279,.T.); #139118=ORIENTED_EDGE('',*,*,#97276,.F.); #139119=ORIENTED_EDGE('',*,*,#97033,.F.); #139120=ORIENTED_EDGE('',*,*,#97280,.T.); #139121=ORIENTED_EDGE('',*,*,#97281,.T.); #139122=ORIENTED_EDGE('',*,*,#97278,.F.); #139123=ORIENTED_EDGE('',*,*,#97032,.F.); #139124=ORIENTED_EDGE('',*,*,#97282,.T.); #139125=ORIENTED_EDGE('',*,*,#97283,.T.); #139126=ORIENTED_EDGE('',*,*,#97280,.F.); #139127=ORIENTED_EDGE('',*,*,#97031,.F.); #139128=ORIENTED_EDGE('',*,*,#97284,.T.); #139129=ORIENTED_EDGE('',*,*,#97285,.T.); #139130=ORIENTED_EDGE('',*,*,#97282,.F.); #139131=ORIENTED_EDGE('',*,*,#97030,.F.); #139132=ORIENTED_EDGE('',*,*,#97286,.T.); #139133=ORIENTED_EDGE('',*,*,#97287,.T.); #139134=ORIENTED_EDGE('',*,*,#97284,.F.); #139135=ORIENTED_EDGE('',*,*,#97029,.F.); #139136=ORIENTED_EDGE('',*,*,#97288,.T.); #139137=ORIENTED_EDGE('',*,*,#97289,.T.); #139138=ORIENTED_EDGE('',*,*,#97286,.F.); #139139=ORIENTED_EDGE('',*,*,#97028,.F.); #139140=ORIENTED_EDGE('',*,*,#97290,.T.); #139141=ORIENTED_EDGE('',*,*,#97291,.T.); #139142=ORIENTED_EDGE('',*,*,#97288,.F.); #139143=ORIENTED_EDGE('',*,*,#97027,.F.); #139144=ORIENTED_EDGE('',*,*,#97292,.T.); #139145=ORIENTED_EDGE('',*,*,#97293,.T.); #139146=ORIENTED_EDGE('',*,*,#97290,.F.); #139147=ORIENTED_EDGE('',*,*,#97026,.F.); #139148=ORIENTED_EDGE('',*,*,#97235,.T.); #139149=ORIENTED_EDGE('',*,*,#97294,.T.); #139150=ORIENTED_EDGE('',*,*,#97292,.F.); #139151=ORIENTED_EDGE('',*,*,#97294,.F.); #139152=ORIENTED_EDGE('',*,*,#97234,.F.); #139153=ORIENTED_EDGE('',*,*,#97237,.F.); #139154=ORIENTED_EDGE('',*,*,#97239,.F.); #139155=ORIENTED_EDGE('',*,*,#97241,.F.); #139156=ORIENTED_EDGE('',*,*,#97243,.F.); #139157=ORIENTED_EDGE('',*,*,#97245,.F.); #139158=ORIENTED_EDGE('',*,*,#97247,.F.); #139159=ORIENTED_EDGE('',*,*,#97249,.F.); #139160=ORIENTED_EDGE('',*,*,#97251,.F.); #139161=ORIENTED_EDGE('',*,*,#97253,.F.); #139162=ORIENTED_EDGE('',*,*,#97255,.F.); #139163=ORIENTED_EDGE('',*,*,#97257,.F.); #139164=ORIENTED_EDGE('',*,*,#97259,.F.); #139165=ORIENTED_EDGE('',*,*,#97261,.F.); #139166=ORIENTED_EDGE('',*,*,#97263,.F.); #139167=ORIENTED_EDGE('',*,*,#97265,.F.); #139168=ORIENTED_EDGE('',*,*,#97267,.F.); #139169=ORIENTED_EDGE('',*,*,#97269,.F.); #139170=ORIENTED_EDGE('',*,*,#97271,.F.); #139171=ORIENTED_EDGE('',*,*,#97273,.F.); #139172=ORIENTED_EDGE('',*,*,#97275,.F.); #139173=ORIENTED_EDGE('',*,*,#97277,.F.); #139174=ORIENTED_EDGE('',*,*,#97279,.F.); #139175=ORIENTED_EDGE('',*,*,#97281,.F.); #139176=ORIENTED_EDGE('',*,*,#97283,.F.); #139177=ORIENTED_EDGE('',*,*,#97285,.F.); #139178=ORIENTED_EDGE('',*,*,#97287,.F.); #139179=ORIENTED_EDGE('',*,*,#97289,.F.); #139180=ORIENTED_EDGE('',*,*,#97291,.F.); #139181=ORIENTED_EDGE('',*,*,#97293,.F.); #139182=ORIENTED_EDGE('',*,*,#96992,.F.); #139183=ORIENTED_EDGE('',*,*,#97295,.T.); #139184=ORIENTED_EDGE('',*,*,#97296,.T.); #139185=ORIENTED_EDGE('',*,*,#97297,.F.); #139186=ORIENTED_EDGE('',*,*,#97024,.F.); #139187=ORIENTED_EDGE('',*,*,#97298,.T.); #139188=ORIENTED_EDGE('',*,*,#97299,.T.); #139189=ORIENTED_EDGE('',*,*,#97295,.F.); #139190=ORIENTED_EDGE('',*,*,#97023,.F.); #139191=ORIENTED_EDGE('',*,*,#97300,.T.); #139192=ORIENTED_EDGE('',*,*,#97301,.T.); #139193=ORIENTED_EDGE('',*,*,#97298,.F.); #139194=ORIENTED_EDGE('',*,*,#97022,.F.); #139195=ORIENTED_EDGE('',*,*,#97302,.T.); #139196=ORIENTED_EDGE('',*,*,#97303,.T.); #139197=ORIENTED_EDGE('',*,*,#97300,.F.); #139198=ORIENTED_EDGE('',*,*,#97021,.F.); #139199=ORIENTED_EDGE('',*,*,#97304,.T.); #139200=ORIENTED_EDGE('',*,*,#97305,.T.); #139201=ORIENTED_EDGE('',*,*,#97302,.F.); #139202=ORIENTED_EDGE('',*,*,#97020,.F.); #139203=ORIENTED_EDGE('',*,*,#97306,.T.); #139204=ORIENTED_EDGE('',*,*,#97307,.T.); #139205=ORIENTED_EDGE('',*,*,#97304,.F.); #139206=ORIENTED_EDGE('',*,*,#97019,.F.); #139207=ORIENTED_EDGE('',*,*,#97308,.T.); #139208=ORIENTED_EDGE('',*,*,#97309,.T.); #139209=ORIENTED_EDGE('',*,*,#97306,.F.); #139210=ORIENTED_EDGE('',*,*,#97018,.F.); #139211=ORIENTED_EDGE('',*,*,#97310,.T.); #139212=ORIENTED_EDGE('',*,*,#97311,.T.); #139213=ORIENTED_EDGE('',*,*,#97308,.F.); #139214=ORIENTED_EDGE('',*,*,#97017,.F.); #139215=ORIENTED_EDGE('',*,*,#97312,.T.); #139216=ORIENTED_EDGE('',*,*,#97313,.T.); #139217=ORIENTED_EDGE('',*,*,#97310,.F.); #139218=ORIENTED_EDGE('',*,*,#97016,.F.); #139219=ORIENTED_EDGE('',*,*,#97314,.T.); #139220=ORIENTED_EDGE('',*,*,#97315,.T.); #139221=ORIENTED_EDGE('',*,*,#97312,.F.); #139222=ORIENTED_EDGE('',*,*,#97015,.F.); #139223=ORIENTED_EDGE('',*,*,#97316,.T.); #139224=ORIENTED_EDGE('',*,*,#97317,.T.); #139225=ORIENTED_EDGE('',*,*,#97314,.F.); #139226=ORIENTED_EDGE('',*,*,#97014,.F.); #139227=ORIENTED_EDGE('',*,*,#97318,.T.); #139228=ORIENTED_EDGE('',*,*,#97319,.T.); #139229=ORIENTED_EDGE('',*,*,#97316,.F.); #139230=ORIENTED_EDGE('',*,*,#97013,.F.); #139231=ORIENTED_EDGE('',*,*,#97320,.T.); #139232=ORIENTED_EDGE('',*,*,#97321,.T.); #139233=ORIENTED_EDGE('',*,*,#97318,.F.); #139234=ORIENTED_EDGE('',*,*,#97012,.F.); #139235=ORIENTED_EDGE('',*,*,#97322,.T.); #139236=ORIENTED_EDGE('',*,*,#97323,.T.); #139237=ORIENTED_EDGE('',*,*,#97320,.F.); #139238=ORIENTED_EDGE('',*,*,#97011,.F.); #139239=ORIENTED_EDGE('',*,*,#97324,.T.); #139240=ORIENTED_EDGE('',*,*,#97325,.T.); #139241=ORIENTED_EDGE('',*,*,#97322,.F.); #139242=ORIENTED_EDGE('',*,*,#97010,.F.); #139243=ORIENTED_EDGE('',*,*,#97326,.T.); #139244=ORIENTED_EDGE('',*,*,#97327,.T.); #139245=ORIENTED_EDGE('',*,*,#97324,.F.); #139246=ORIENTED_EDGE('',*,*,#97009,.F.); #139247=ORIENTED_EDGE('',*,*,#97328,.T.); #139248=ORIENTED_EDGE('',*,*,#97329,.T.); #139249=ORIENTED_EDGE('',*,*,#97326,.F.); #139250=ORIENTED_EDGE('',*,*,#97008,.F.); #139251=ORIENTED_EDGE('',*,*,#97330,.T.); #139252=ORIENTED_EDGE('',*,*,#97331,.T.); #139253=ORIENTED_EDGE('',*,*,#97328,.F.); #139254=ORIENTED_EDGE('',*,*,#97007,.F.); #139255=ORIENTED_EDGE('',*,*,#97332,.T.); #139256=ORIENTED_EDGE('',*,*,#97333,.T.); #139257=ORIENTED_EDGE('',*,*,#97330,.F.); #139258=ORIENTED_EDGE('',*,*,#97006,.F.); #139259=ORIENTED_EDGE('',*,*,#97334,.T.); #139260=ORIENTED_EDGE('',*,*,#97335,.T.); #139261=ORIENTED_EDGE('',*,*,#97332,.F.); #139262=ORIENTED_EDGE('',*,*,#97005,.F.); #139263=ORIENTED_EDGE('',*,*,#97336,.T.); #139264=ORIENTED_EDGE('',*,*,#97337,.T.); #139265=ORIENTED_EDGE('',*,*,#97334,.F.); #139266=ORIENTED_EDGE('',*,*,#97004,.F.); #139267=ORIENTED_EDGE('',*,*,#97338,.T.); #139268=ORIENTED_EDGE('',*,*,#97339,.T.); #139269=ORIENTED_EDGE('',*,*,#97336,.F.); #139270=ORIENTED_EDGE('',*,*,#97003,.F.); #139271=ORIENTED_EDGE('',*,*,#97340,.T.); #139272=ORIENTED_EDGE('',*,*,#97341,.T.); #139273=ORIENTED_EDGE('',*,*,#97338,.F.); #139274=ORIENTED_EDGE('',*,*,#97002,.F.); #139275=ORIENTED_EDGE('',*,*,#97342,.T.); #139276=ORIENTED_EDGE('',*,*,#97343,.T.); #139277=ORIENTED_EDGE('',*,*,#97340,.F.); #139278=ORIENTED_EDGE('',*,*,#97001,.F.); #139279=ORIENTED_EDGE('',*,*,#97344,.T.); #139280=ORIENTED_EDGE('',*,*,#97345,.T.); #139281=ORIENTED_EDGE('',*,*,#97342,.F.); #139282=ORIENTED_EDGE('',*,*,#97000,.F.); #139283=ORIENTED_EDGE('',*,*,#97346,.T.); #139284=ORIENTED_EDGE('',*,*,#97347,.T.); #139285=ORIENTED_EDGE('',*,*,#97344,.F.); #139286=ORIENTED_EDGE('',*,*,#96999,.F.); #139287=ORIENTED_EDGE('',*,*,#97348,.T.); #139288=ORIENTED_EDGE('',*,*,#97349,.T.); #139289=ORIENTED_EDGE('',*,*,#97346,.F.); #139290=ORIENTED_EDGE('',*,*,#96998,.F.); #139291=ORIENTED_EDGE('',*,*,#97350,.T.); #139292=ORIENTED_EDGE('',*,*,#97351,.T.); #139293=ORIENTED_EDGE('',*,*,#97348,.F.); #139294=ORIENTED_EDGE('',*,*,#96997,.F.); #139295=ORIENTED_EDGE('',*,*,#97352,.T.); #139296=ORIENTED_EDGE('',*,*,#97353,.T.); #139297=ORIENTED_EDGE('',*,*,#97350,.F.); #139298=ORIENTED_EDGE('',*,*,#96996,.F.); #139299=ORIENTED_EDGE('',*,*,#97354,.T.); #139300=ORIENTED_EDGE('',*,*,#97355,.T.); #139301=ORIENTED_EDGE('',*,*,#97352,.F.); #139302=ORIENTED_EDGE('',*,*,#96995,.F.); #139303=ORIENTED_EDGE('',*,*,#97356,.T.); #139304=ORIENTED_EDGE('',*,*,#97357,.T.); #139305=ORIENTED_EDGE('',*,*,#97354,.F.); #139306=ORIENTED_EDGE('',*,*,#96994,.F.); #139307=ORIENTED_EDGE('',*,*,#97358,.T.); #139308=ORIENTED_EDGE('',*,*,#97359,.T.); #139309=ORIENTED_EDGE('',*,*,#97356,.F.); #139310=ORIENTED_EDGE('',*,*,#96993,.F.); #139311=ORIENTED_EDGE('',*,*,#97297,.T.); #139312=ORIENTED_EDGE('',*,*,#97360,.T.); #139313=ORIENTED_EDGE('',*,*,#97358,.F.); #139314=ORIENTED_EDGE('',*,*,#97360,.F.); #139315=ORIENTED_EDGE('',*,*,#97296,.F.); #139316=ORIENTED_EDGE('',*,*,#97299,.F.); #139317=ORIENTED_EDGE('',*,*,#97301,.F.); #139318=ORIENTED_EDGE('',*,*,#97303,.F.); #139319=ORIENTED_EDGE('',*,*,#97305,.F.); #139320=ORIENTED_EDGE('',*,*,#97307,.F.); #139321=ORIENTED_EDGE('',*,*,#97309,.F.); #139322=ORIENTED_EDGE('',*,*,#97311,.F.); #139323=ORIENTED_EDGE('',*,*,#97313,.F.); #139324=ORIENTED_EDGE('',*,*,#97315,.F.); #139325=ORIENTED_EDGE('',*,*,#97317,.F.); #139326=ORIENTED_EDGE('',*,*,#97319,.F.); #139327=ORIENTED_EDGE('',*,*,#97321,.F.); #139328=ORIENTED_EDGE('',*,*,#97323,.F.); #139329=ORIENTED_EDGE('',*,*,#97325,.F.); #139330=ORIENTED_EDGE('',*,*,#97327,.F.); #139331=ORIENTED_EDGE('',*,*,#97329,.F.); #139332=ORIENTED_EDGE('',*,*,#97331,.F.); #139333=ORIENTED_EDGE('',*,*,#97333,.F.); #139334=ORIENTED_EDGE('',*,*,#97335,.F.); #139335=ORIENTED_EDGE('',*,*,#97337,.F.); #139336=ORIENTED_EDGE('',*,*,#97339,.F.); #139337=ORIENTED_EDGE('',*,*,#97341,.F.); #139338=ORIENTED_EDGE('',*,*,#97343,.F.); #139339=ORIENTED_EDGE('',*,*,#97345,.F.); #139340=ORIENTED_EDGE('',*,*,#97347,.F.); #139341=ORIENTED_EDGE('',*,*,#97349,.F.); #139342=ORIENTED_EDGE('',*,*,#97351,.F.); #139343=ORIENTED_EDGE('',*,*,#97353,.F.); #139344=ORIENTED_EDGE('',*,*,#97355,.F.); #139345=ORIENTED_EDGE('',*,*,#97357,.F.); #139346=ORIENTED_EDGE('',*,*,#97359,.F.); #139347=ORIENTED_EDGE('',*,*,#96950,.F.); #139348=ORIENTED_EDGE('',*,*,#97361,.T.); #139349=ORIENTED_EDGE('',*,*,#97362,.T.); #139350=ORIENTED_EDGE('',*,*,#97363,.F.); #139351=ORIENTED_EDGE('',*,*,#96991,.F.); #139352=ORIENTED_EDGE('',*,*,#97364,.T.); #139353=ORIENTED_EDGE('',*,*,#97365,.T.); #139354=ORIENTED_EDGE('',*,*,#97361,.F.); #139355=ORIENTED_EDGE('',*,*,#96990,.F.); #139356=ORIENTED_EDGE('',*,*,#97366,.T.); #139357=ORIENTED_EDGE('',*,*,#97367,.T.); #139358=ORIENTED_EDGE('',*,*,#97364,.F.); #139359=ORIENTED_EDGE('',*,*,#96989,.F.); #139360=ORIENTED_EDGE('',*,*,#97368,.T.); #139361=ORIENTED_EDGE('',*,*,#97369,.T.); #139362=ORIENTED_EDGE('',*,*,#97366,.F.); #139363=ORIENTED_EDGE('',*,*,#96988,.F.); #139364=ORIENTED_EDGE('',*,*,#97370,.T.); #139365=ORIENTED_EDGE('',*,*,#97371,.T.); #139366=ORIENTED_EDGE('',*,*,#97368,.F.); #139367=ORIENTED_EDGE('',*,*,#96987,.F.); #139368=ORIENTED_EDGE('',*,*,#97372,.T.); #139369=ORIENTED_EDGE('',*,*,#97373,.T.); #139370=ORIENTED_EDGE('',*,*,#97370,.F.); #139371=ORIENTED_EDGE('',*,*,#96986,.F.); #139372=ORIENTED_EDGE('',*,*,#97374,.T.); #139373=ORIENTED_EDGE('',*,*,#97375,.T.); #139374=ORIENTED_EDGE('',*,*,#97372,.F.); #139375=ORIENTED_EDGE('',*,*,#96985,.F.); #139376=ORIENTED_EDGE('',*,*,#97376,.T.); #139377=ORIENTED_EDGE('',*,*,#97377,.T.); #139378=ORIENTED_EDGE('',*,*,#97374,.F.); #139379=ORIENTED_EDGE('',*,*,#96984,.F.); #139380=ORIENTED_EDGE('',*,*,#97378,.T.); #139381=ORIENTED_EDGE('',*,*,#97379,.T.); #139382=ORIENTED_EDGE('',*,*,#97376,.F.); #139383=ORIENTED_EDGE('',*,*,#96983,.F.); #139384=ORIENTED_EDGE('',*,*,#97380,.T.); #139385=ORIENTED_EDGE('',*,*,#97381,.T.); #139386=ORIENTED_EDGE('',*,*,#97378,.F.); #139387=ORIENTED_EDGE('',*,*,#96982,.F.); #139388=ORIENTED_EDGE('',*,*,#97382,.T.); #139389=ORIENTED_EDGE('',*,*,#97383,.T.); #139390=ORIENTED_EDGE('',*,*,#97380,.F.); #139391=ORIENTED_EDGE('',*,*,#96981,.F.); #139392=ORIENTED_EDGE('',*,*,#97384,.T.); #139393=ORIENTED_EDGE('',*,*,#97385,.T.); #139394=ORIENTED_EDGE('',*,*,#97382,.F.); #139395=ORIENTED_EDGE('',*,*,#96980,.F.); #139396=ORIENTED_EDGE('',*,*,#97386,.T.); #139397=ORIENTED_EDGE('',*,*,#97387,.T.); #139398=ORIENTED_EDGE('',*,*,#97384,.F.); #139399=ORIENTED_EDGE('',*,*,#96979,.F.); #139400=ORIENTED_EDGE('',*,*,#97388,.T.); #139401=ORIENTED_EDGE('',*,*,#97389,.T.); #139402=ORIENTED_EDGE('',*,*,#97386,.F.); #139403=ORIENTED_EDGE('',*,*,#96978,.F.); #139404=ORIENTED_EDGE('',*,*,#97390,.T.); #139405=ORIENTED_EDGE('',*,*,#97391,.T.); #139406=ORIENTED_EDGE('',*,*,#97388,.F.); #139407=ORIENTED_EDGE('',*,*,#96977,.F.); #139408=ORIENTED_EDGE('',*,*,#97392,.T.); #139409=ORIENTED_EDGE('',*,*,#97393,.T.); #139410=ORIENTED_EDGE('',*,*,#97390,.F.); #139411=ORIENTED_EDGE('',*,*,#96976,.F.); #139412=ORIENTED_EDGE('',*,*,#97394,.T.); #139413=ORIENTED_EDGE('',*,*,#97395,.T.); #139414=ORIENTED_EDGE('',*,*,#97392,.F.); #139415=ORIENTED_EDGE('',*,*,#96975,.F.); #139416=ORIENTED_EDGE('',*,*,#97396,.T.); #139417=ORIENTED_EDGE('',*,*,#97397,.T.); #139418=ORIENTED_EDGE('',*,*,#97394,.F.); #139419=ORIENTED_EDGE('',*,*,#96974,.F.); #139420=ORIENTED_EDGE('',*,*,#97398,.T.); #139421=ORIENTED_EDGE('',*,*,#97399,.T.); #139422=ORIENTED_EDGE('',*,*,#97396,.F.); #139423=ORIENTED_EDGE('',*,*,#96973,.F.); #139424=ORIENTED_EDGE('',*,*,#97400,.T.); #139425=ORIENTED_EDGE('',*,*,#97401,.T.); #139426=ORIENTED_EDGE('',*,*,#97398,.F.); #139427=ORIENTED_EDGE('',*,*,#96972,.F.); #139428=ORIENTED_EDGE('',*,*,#97402,.T.); #139429=ORIENTED_EDGE('',*,*,#97403,.T.); #139430=ORIENTED_EDGE('',*,*,#97400,.F.); #139431=ORIENTED_EDGE('',*,*,#96971,.F.); #139432=ORIENTED_EDGE('',*,*,#97404,.T.); #139433=ORIENTED_EDGE('',*,*,#97405,.T.); #139434=ORIENTED_EDGE('',*,*,#97402,.F.); #139435=ORIENTED_EDGE('',*,*,#96970,.F.); #139436=ORIENTED_EDGE('',*,*,#97406,.T.); #139437=ORIENTED_EDGE('',*,*,#97407,.T.); #139438=ORIENTED_EDGE('',*,*,#97404,.F.); #139439=ORIENTED_EDGE('',*,*,#96969,.F.); #139440=ORIENTED_EDGE('',*,*,#97408,.T.); #139441=ORIENTED_EDGE('',*,*,#97409,.T.); #139442=ORIENTED_EDGE('',*,*,#97406,.F.); #139443=ORIENTED_EDGE('',*,*,#96968,.F.); #139444=ORIENTED_EDGE('',*,*,#97410,.T.); #139445=ORIENTED_EDGE('',*,*,#97411,.T.); #139446=ORIENTED_EDGE('',*,*,#97408,.F.); #139447=ORIENTED_EDGE('',*,*,#96967,.F.); #139448=ORIENTED_EDGE('',*,*,#97412,.T.); #139449=ORIENTED_EDGE('',*,*,#97413,.T.); #139450=ORIENTED_EDGE('',*,*,#97410,.F.); #139451=ORIENTED_EDGE('',*,*,#96966,.F.); #139452=ORIENTED_EDGE('',*,*,#97414,.T.); #139453=ORIENTED_EDGE('',*,*,#97415,.T.); #139454=ORIENTED_EDGE('',*,*,#97412,.F.); #139455=ORIENTED_EDGE('',*,*,#96965,.F.); #139456=ORIENTED_EDGE('',*,*,#97416,.T.); #139457=ORIENTED_EDGE('',*,*,#97417,.T.); #139458=ORIENTED_EDGE('',*,*,#97414,.F.); #139459=ORIENTED_EDGE('',*,*,#96964,.F.); #139460=ORIENTED_EDGE('',*,*,#97418,.T.); #139461=ORIENTED_EDGE('',*,*,#97419,.T.); #139462=ORIENTED_EDGE('',*,*,#97416,.F.); #139463=ORIENTED_EDGE('',*,*,#96963,.F.); #139464=ORIENTED_EDGE('',*,*,#97420,.T.); #139465=ORIENTED_EDGE('',*,*,#97421,.T.); #139466=ORIENTED_EDGE('',*,*,#97418,.F.); #139467=ORIENTED_EDGE('',*,*,#96962,.F.); #139468=ORIENTED_EDGE('',*,*,#97422,.T.); #139469=ORIENTED_EDGE('',*,*,#97423,.T.); #139470=ORIENTED_EDGE('',*,*,#97420,.F.); #139471=ORIENTED_EDGE('',*,*,#96961,.F.); #139472=ORIENTED_EDGE('',*,*,#97424,.T.); #139473=ORIENTED_EDGE('',*,*,#97425,.T.); #139474=ORIENTED_EDGE('',*,*,#97422,.F.); #139475=ORIENTED_EDGE('',*,*,#96960,.F.); #139476=ORIENTED_EDGE('',*,*,#97426,.T.); #139477=ORIENTED_EDGE('',*,*,#97427,.T.); #139478=ORIENTED_EDGE('',*,*,#97424,.F.); #139479=ORIENTED_EDGE('',*,*,#96959,.F.); #139480=ORIENTED_EDGE('',*,*,#97428,.T.); #139481=ORIENTED_EDGE('',*,*,#97429,.T.); #139482=ORIENTED_EDGE('',*,*,#97426,.F.); #139483=ORIENTED_EDGE('',*,*,#96958,.F.); #139484=ORIENTED_EDGE('',*,*,#97430,.T.); #139485=ORIENTED_EDGE('',*,*,#97431,.T.); #139486=ORIENTED_EDGE('',*,*,#97428,.F.); #139487=ORIENTED_EDGE('',*,*,#96957,.F.); #139488=ORIENTED_EDGE('',*,*,#97432,.T.); #139489=ORIENTED_EDGE('',*,*,#97433,.T.); #139490=ORIENTED_EDGE('',*,*,#97430,.F.); #139491=ORIENTED_EDGE('',*,*,#96956,.F.); #139492=ORIENTED_EDGE('',*,*,#97434,.T.); #139493=ORIENTED_EDGE('',*,*,#97435,.T.); #139494=ORIENTED_EDGE('',*,*,#97432,.F.); #139495=ORIENTED_EDGE('',*,*,#96955,.F.); #139496=ORIENTED_EDGE('',*,*,#97436,.T.); #139497=ORIENTED_EDGE('',*,*,#97437,.T.); #139498=ORIENTED_EDGE('',*,*,#97434,.F.); #139499=ORIENTED_EDGE('',*,*,#96954,.F.); #139500=ORIENTED_EDGE('',*,*,#97438,.T.); #139501=ORIENTED_EDGE('',*,*,#97439,.T.); #139502=ORIENTED_EDGE('',*,*,#97436,.F.); #139503=ORIENTED_EDGE('',*,*,#96953,.F.); #139504=ORIENTED_EDGE('',*,*,#97440,.T.); #139505=ORIENTED_EDGE('',*,*,#97441,.T.); #139506=ORIENTED_EDGE('',*,*,#97438,.F.); #139507=ORIENTED_EDGE('',*,*,#96952,.F.); #139508=ORIENTED_EDGE('',*,*,#97442,.T.); #139509=ORIENTED_EDGE('',*,*,#97443,.T.); #139510=ORIENTED_EDGE('',*,*,#97440,.F.); #139511=ORIENTED_EDGE('',*,*,#96951,.F.); #139512=ORIENTED_EDGE('',*,*,#97363,.T.); #139513=ORIENTED_EDGE('',*,*,#97444,.T.); #139514=ORIENTED_EDGE('',*,*,#97442,.F.); #139515=ORIENTED_EDGE('',*,*,#97444,.F.); #139516=ORIENTED_EDGE('',*,*,#97362,.F.); #139517=ORIENTED_EDGE('',*,*,#97365,.F.); #139518=ORIENTED_EDGE('',*,*,#97367,.F.); #139519=ORIENTED_EDGE('',*,*,#97369,.F.); #139520=ORIENTED_EDGE('',*,*,#97371,.F.); #139521=ORIENTED_EDGE('',*,*,#97373,.F.); #139522=ORIENTED_EDGE('',*,*,#97375,.F.); #139523=ORIENTED_EDGE('',*,*,#97377,.F.); #139524=ORIENTED_EDGE('',*,*,#97379,.F.); #139525=ORIENTED_EDGE('',*,*,#97381,.F.); #139526=ORIENTED_EDGE('',*,*,#97383,.F.); #139527=ORIENTED_EDGE('',*,*,#97385,.F.); #139528=ORIENTED_EDGE('',*,*,#97387,.F.); #139529=ORIENTED_EDGE('',*,*,#97389,.F.); #139530=ORIENTED_EDGE('',*,*,#97391,.F.); #139531=ORIENTED_EDGE('',*,*,#97393,.F.); #139532=ORIENTED_EDGE('',*,*,#97395,.F.); #139533=ORIENTED_EDGE('',*,*,#97397,.F.); #139534=ORIENTED_EDGE('',*,*,#97399,.F.); #139535=ORIENTED_EDGE('',*,*,#97401,.F.); #139536=ORIENTED_EDGE('',*,*,#97403,.F.); #139537=ORIENTED_EDGE('',*,*,#97405,.F.); #139538=ORIENTED_EDGE('',*,*,#97407,.F.); #139539=ORIENTED_EDGE('',*,*,#97409,.F.); #139540=ORIENTED_EDGE('',*,*,#97411,.F.); #139541=ORIENTED_EDGE('',*,*,#97413,.F.); #139542=ORIENTED_EDGE('',*,*,#97415,.F.); #139543=ORIENTED_EDGE('',*,*,#97417,.F.); #139544=ORIENTED_EDGE('',*,*,#97419,.F.); #139545=ORIENTED_EDGE('',*,*,#97421,.F.); #139546=ORIENTED_EDGE('',*,*,#97423,.F.); #139547=ORIENTED_EDGE('',*,*,#97425,.F.); #139548=ORIENTED_EDGE('',*,*,#97427,.F.); #139549=ORIENTED_EDGE('',*,*,#97429,.F.); #139550=ORIENTED_EDGE('',*,*,#97431,.F.); #139551=ORIENTED_EDGE('',*,*,#97433,.F.); #139552=ORIENTED_EDGE('',*,*,#97435,.F.); #139553=ORIENTED_EDGE('',*,*,#97437,.F.); #139554=ORIENTED_EDGE('',*,*,#97439,.F.); #139555=ORIENTED_EDGE('',*,*,#97441,.F.); #139556=ORIENTED_EDGE('',*,*,#97443,.F.); #139557=ORIENTED_EDGE('',*,*,#97445,.F.); #139558=ORIENTED_EDGE('',*,*,#97446,.T.); #139559=ORIENTED_EDGE('',*,*,#97447,.T.); #139560=ORIENTED_EDGE('',*,*,#97448,.F.); #139561=ORIENTED_EDGE('',*,*,#97449,.F.); #139562=ORIENTED_EDGE('',*,*,#97450,.T.); #139563=ORIENTED_EDGE('',*,*,#97451,.T.); #139564=ORIENTED_EDGE('',*,*,#97446,.F.); #139565=ORIENTED_EDGE('',*,*,#97452,.F.); #139566=ORIENTED_EDGE('',*,*,#97453,.T.); #139567=ORIENTED_EDGE('',*,*,#97454,.T.); #139568=ORIENTED_EDGE('',*,*,#97450,.F.); #139569=ORIENTED_EDGE('',*,*,#97455,.F.); #139570=ORIENTED_EDGE('',*,*,#97456,.T.); #139571=ORIENTED_EDGE('',*,*,#97457,.T.); #139572=ORIENTED_EDGE('',*,*,#97453,.F.); #139573=ORIENTED_EDGE('',*,*,#97458,.F.); #139574=ORIENTED_EDGE('',*,*,#97459,.T.); #139575=ORIENTED_EDGE('',*,*,#97460,.T.); #139576=ORIENTED_EDGE('',*,*,#97456,.F.); #139577=ORIENTED_EDGE('',*,*,#97461,.F.); #139578=ORIENTED_EDGE('',*,*,#97462,.T.); #139579=ORIENTED_EDGE('',*,*,#97463,.T.); #139580=ORIENTED_EDGE('',*,*,#97459,.F.); #139581=ORIENTED_EDGE('',*,*,#97464,.F.); #139582=ORIENTED_EDGE('',*,*,#97465,.T.); #139583=ORIENTED_EDGE('',*,*,#97466,.T.); #139584=ORIENTED_EDGE('',*,*,#97462,.F.); #139585=ORIENTED_EDGE('',*,*,#97467,.F.); #139586=ORIENTED_EDGE('',*,*,#97468,.T.); #139587=ORIENTED_EDGE('',*,*,#97469,.T.); #139588=ORIENTED_EDGE('',*,*,#97465,.F.); #139589=ORIENTED_EDGE('',*,*,#97470,.F.); #139590=ORIENTED_EDGE('',*,*,#97448,.T.); #139591=ORIENTED_EDGE('',*,*,#97471,.T.); #139592=ORIENTED_EDGE('',*,*,#97468,.F.); #139593=ORIENTED_EDGE('',*,*,#96939,.F.); #139594=ORIENTED_EDGE('',*,*,#97472,.T.); #139595=ORIENTED_EDGE('',*,*,#97473,.T.); #139596=ORIENTED_EDGE('',*,*,#97474,.F.); #139597=ORIENTED_EDGE('',*,*,#96949,.F.); #139598=ORIENTED_EDGE('',*,*,#97475,.T.); #139599=ORIENTED_EDGE('',*,*,#97476,.T.); #139600=ORIENTED_EDGE('',*,*,#97472,.F.); #139601=ORIENTED_EDGE('',*,*,#96948,.F.); #139602=ORIENTED_EDGE('',*,*,#97477,.T.); #139603=ORIENTED_EDGE('',*,*,#97478,.T.); #139604=ORIENTED_EDGE('',*,*,#97475,.F.); #139605=ORIENTED_EDGE('',*,*,#96947,.F.); #139606=ORIENTED_EDGE('',*,*,#97479,.T.); #139607=ORIENTED_EDGE('',*,*,#97480,.T.); #139608=ORIENTED_EDGE('',*,*,#97477,.F.); #139609=ORIENTED_EDGE('',*,*,#96946,.F.); #139610=ORIENTED_EDGE('',*,*,#97481,.T.); #139611=ORIENTED_EDGE('',*,*,#97482,.T.); #139612=ORIENTED_EDGE('',*,*,#97479,.F.); #139613=ORIENTED_EDGE('',*,*,#96945,.F.); #139614=ORIENTED_EDGE('',*,*,#97483,.T.); #139615=ORIENTED_EDGE('',*,*,#97484,.T.); #139616=ORIENTED_EDGE('',*,*,#97481,.F.); #139617=ORIENTED_EDGE('',*,*,#96944,.F.); #139618=ORIENTED_EDGE('',*,*,#97485,.T.); #139619=ORIENTED_EDGE('',*,*,#97486,.T.); #139620=ORIENTED_EDGE('',*,*,#97483,.F.); #139621=ORIENTED_EDGE('',*,*,#96943,.F.); #139622=ORIENTED_EDGE('',*,*,#97487,.T.); #139623=ORIENTED_EDGE('',*,*,#97488,.T.); #139624=ORIENTED_EDGE('',*,*,#97485,.F.); #139625=ORIENTED_EDGE('',*,*,#96942,.F.); #139626=ORIENTED_EDGE('',*,*,#97489,.T.); #139627=ORIENTED_EDGE('',*,*,#97490,.T.); #139628=ORIENTED_EDGE('',*,*,#97487,.F.); #139629=ORIENTED_EDGE('',*,*,#96941,.F.); #139630=ORIENTED_EDGE('',*,*,#97491,.T.); #139631=ORIENTED_EDGE('',*,*,#97492,.T.); #139632=ORIENTED_EDGE('',*,*,#97489,.F.); #139633=ORIENTED_EDGE('',*,*,#96940,.F.); #139634=ORIENTED_EDGE('',*,*,#97474,.T.); #139635=ORIENTED_EDGE('',*,*,#97493,.T.); #139636=ORIENTED_EDGE('',*,*,#97491,.F.); #139637=ORIENTED_EDGE('',*,*,#97493,.F.); #139638=ORIENTED_EDGE('',*,*,#97473,.F.); #139639=ORIENTED_EDGE('',*,*,#97476,.F.); #139640=ORIENTED_EDGE('',*,*,#97478,.F.); #139641=ORIENTED_EDGE('',*,*,#97480,.F.); #139642=ORIENTED_EDGE('',*,*,#97482,.F.); #139643=ORIENTED_EDGE('',*,*,#97484,.F.); #139644=ORIENTED_EDGE('',*,*,#97486,.F.); #139645=ORIENTED_EDGE('',*,*,#97488,.F.); #139646=ORIENTED_EDGE('',*,*,#97490,.F.); #139647=ORIENTED_EDGE('',*,*,#97492,.F.); #139648=ORIENTED_EDGE('',*,*,#97471,.F.); #139649=ORIENTED_EDGE('',*,*,#97447,.F.); #139650=ORIENTED_EDGE('',*,*,#97451,.F.); #139651=ORIENTED_EDGE('',*,*,#97454,.F.); #139652=ORIENTED_EDGE('',*,*,#97457,.F.); #139653=ORIENTED_EDGE('',*,*,#97460,.F.); #139654=ORIENTED_EDGE('',*,*,#97463,.F.); #139655=ORIENTED_EDGE('',*,*,#97466,.F.); #139656=ORIENTED_EDGE('',*,*,#97469,.F.); #139657=ORIENTED_EDGE('',*,*,#96893,.F.); #139658=ORIENTED_EDGE('',*,*,#97494,.T.); #139659=ORIENTED_EDGE('',*,*,#97495,.T.); #139660=ORIENTED_EDGE('',*,*,#97496,.F.); #139661=ORIENTED_EDGE('',*,*,#96938,.F.); #139662=ORIENTED_EDGE('',*,*,#97497,.T.); #139663=ORIENTED_EDGE('',*,*,#97498,.T.); #139664=ORIENTED_EDGE('',*,*,#97494,.F.); #139665=ORIENTED_EDGE('',*,*,#96937,.F.); #139666=ORIENTED_EDGE('',*,*,#97499,.T.); #139667=ORIENTED_EDGE('',*,*,#97500,.T.); #139668=ORIENTED_EDGE('',*,*,#97497,.F.); #139669=ORIENTED_EDGE('',*,*,#96936,.F.); #139670=ORIENTED_EDGE('',*,*,#97501,.T.); #139671=ORIENTED_EDGE('',*,*,#97502,.T.); #139672=ORIENTED_EDGE('',*,*,#97499,.F.); #139673=ORIENTED_EDGE('',*,*,#96935,.F.); #139674=ORIENTED_EDGE('',*,*,#97503,.T.); #139675=ORIENTED_EDGE('',*,*,#97504,.T.); #139676=ORIENTED_EDGE('',*,*,#97501,.F.); #139677=ORIENTED_EDGE('',*,*,#96934,.F.); #139678=ORIENTED_EDGE('',*,*,#97505,.T.); #139679=ORIENTED_EDGE('',*,*,#97506,.T.); #139680=ORIENTED_EDGE('',*,*,#97503,.F.); #139681=ORIENTED_EDGE('',*,*,#96933,.F.); #139682=ORIENTED_EDGE('',*,*,#97507,.T.); #139683=ORIENTED_EDGE('',*,*,#97508,.T.); #139684=ORIENTED_EDGE('',*,*,#97505,.F.); #139685=ORIENTED_EDGE('',*,*,#96932,.F.); #139686=ORIENTED_EDGE('',*,*,#97509,.T.); #139687=ORIENTED_EDGE('',*,*,#97510,.T.); #139688=ORIENTED_EDGE('',*,*,#97507,.F.); #139689=ORIENTED_EDGE('',*,*,#96931,.F.); #139690=ORIENTED_EDGE('',*,*,#97511,.T.); #139691=ORIENTED_EDGE('',*,*,#97512,.T.); #139692=ORIENTED_EDGE('',*,*,#97509,.F.); #139693=ORIENTED_EDGE('',*,*,#96930,.F.); #139694=ORIENTED_EDGE('',*,*,#97513,.T.); #139695=ORIENTED_EDGE('',*,*,#97514,.T.); #139696=ORIENTED_EDGE('',*,*,#97511,.F.); #139697=ORIENTED_EDGE('',*,*,#96929,.F.); #139698=ORIENTED_EDGE('',*,*,#97515,.T.); #139699=ORIENTED_EDGE('',*,*,#97516,.T.); #139700=ORIENTED_EDGE('',*,*,#97513,.F.); #139701=ORIENTED_EDGE('',*,*,#96928,.F.); #139702=ORIENTED_EDGE('',*,*,#97517,.T.); #139703=ORIENTED_EDGE('',*,*,#97518,.T.); #139704=ORIENTED_EDGE('',*,*,#97515,.F.); #139705=ORIENTED_EDGE('',*,*,#96927,.F.); #139706=ORIENTED_EDGE('',*,*,#97519,.T.); #139707=ORIENTED_EDGE('',*,*,#97520,.T.); #139708=ORIENTED_EDGE('',*,*,#97517,.F.); #139709=ORIENTED_EDGE('',*,*,#96926,.F.); #139710=ORIENTED_EDGE('',*,*,#97521,.T.); #139711=ORIENTED_EDGE('',*,*,#97522,.T.); #139712=ORIENTED_EDGE('',*,*,#97519,.F.); #139713=ORIENTED_EDGE('',*,*,#96925,.F.); #139714=ORIENTED_EDGE('',*,*,#97523,.T.); #139715=ORIENTED_EDGE('',*,*,#97524,.T.); #139716=ORIENTED_EDGE('',*,*,#97521,.F.); #139717=ORIENTED_EDGE('',*,*,#96924,.F.); #139718=ORIENTED_EDGE('',*,*,#97525,.T.); #139719=ORIENTED_EDGE('',*,*,#97526,.T.); #139720=ORIENTED_EDGE('',*,*,#97523,.F.); #139721=ORIENTED_EDGE('',*,*,#96923,.F.); #139722=ORIENTED_EDGE('',*,*,#97527,.T.); #139723=ORIENTED_EDGE('',*,*,#97528,.T.); #139724=ORIENTED_EDGE('',*,*,#97525,.F.); #139725=ORIENTED_EDGE('',*,*,#96922,.F.); #139726=ORIENTED_EDGE('',*,*,#97529,.T.); #139727=ORIENTED_EDGE('',*,*,#97530,.T.); #139728=ORIENTED_EDGE('',*,*,#97527,.F.); #139729=ORIENTED_EDGE('',*,*,#96921,.F.); #139730=ORIENTED_EDGE('',*,*,#97531,.T.); #139731=ORIENTED_EDGE('',*,*,#97532,.T.); #139732=ORIENTED_EDGE('',*,*,#97529,.F.); #139733=ORIENTED_EDGE('',*,*,#96920,.F.); #139734=ORIENTED_EDGE('',*,*,#97533,.T.); #139735=ORIENTED_EDGE('',*,*,#97534,.T.); #139736=ORIENTED_EDGE('',*,*,#97531,.F.); #139737=ORIENTED_EDGE('',*,*,#96919,.F.); #139738=ORIENTED_EDGE('',*,*,#97535,.T.); #139739=ORIENTED_EDGE('',*,*,#97536,.T.); #139740=ORIENTED_EDGE('',*,*,#97533,.F.); #139741=ORIENTED_EDGE('',*,*,#96918,.F.); #139742=ORIENTED_EDGE('',*,*,#97537,.T.); #139743=ORIENTED_EDGE('',*,*,#97538,.T.); #139744=ORIENTED_EDGE('',*,*,#97535,.F.); #139745=ORIENTED_EDGE('',*,*,#96917,.F.); #139746=ORIENTED_EDGE('',*,*,#97539,.T.); #139747=ORIENTED_EDGE('',*,*,#97540,.T.); #139748=ORIENTED_EDGE('',*,*,#97537,.F.); #139749=ORIENTED_EDGE('',*,*,#96916,.F.); #139750=ORIENTED_EDGE('',*,*,#97541,.T.); #139751=ORIENTED_EDGE('',*,*,#97542,.T.); #139752=ORIENTED_EDGE('',*,*,#97539,.F.); #139753=ORIENTED_EDGE('',*,*,#96915,.F.); #139754=ORIENTED_EDGE('',*,*,#97543,.T.); #139755=ORIENTED_EDGE('',*,*,#97544,.T.); #139756=ORIENTED_EDGE('',*,*,#97541,.F.); #139757=ORIENTED_EDGE('',*,*,#96914,.F.); #139758=ORIENTED_EDGE('',*,*,#97545,.T.); #139759=ORIENTED_EDGE('',*,*,#97546,.T.); #139760=ORIENTED_EDGE('',*,*,#97543,.F.); #139761=ORIENTED_EDGE('',*,*,#96913,.F.); #139762=ORIENTED_EDGE('',*,*,#97547,.T.); #139763=ORIENTED_EDGE('',*,*,#97548,.T.); #139764=ORIENTED_EDGE('',*,*,#97545,.F.); #139765=ORIENTED_EDGE('',*,*,#96912,.F.); #139766=ORIENTED_EDGE('',*,*,#97549,.T.); #139767=ORIENTED_EDGE('',*,*,#97550,.T.); #139768=ORIENTED_EDGE('',*,*,#97547,.F.); #139769=ORIENTED_EDGE('',*,*,#96911,.F.); #139770=ORIENTED_EDGE('',*,*,#97551,.T.); #139771=ORIENTED_EDGE('',*,*,#97552,.T.); #139772=ORIENTED_EDGE('',*,*,#97549,.F.); #139773=ORIENTED_EDGE('',*,*,#96910,.F.); #139774=ORIENTED_EDGE('',*,*,#97553,.T.); #139775=ORIENTED_EDGE('',*,*,#97554,.T.); #139776=ORIENTED_EDGE('',*,*,#97551,.F.); #139777=ORIENTED_EDGE('',*,*,#96909,.F.); #139778=ORIENTED_EDGE('',*,*,#97555,.T.); #139779=ORIENTED_EDGE('',*,*,#97556,.T.); #139780=ORIENTED_EDGE('',*,*,#97553,.F.); #139781=ORIENTED_EDGE('',*,*,#96908,.F.); #139782=ORIENTED_EDGE('',*,*,#97557,.T.); #139783=ORIENTED_EDGE('',*,*,#97558,.T.); #139784=ORIENTED_EDGE('',*,*,#97555,.F.); #139785=ORIENTED_EDGE('',*,*,#96907,.F.); #139786=ORIENTED_EDGE('',*,*,#97559,.T.); #139787=ORIENTED_EDGE('',*,*,#97560,.T.); #139788=ORIENTED_EDGE('',*,*,#97557,.F.); #139789=ORIENTED_EDGE('',*,*,#96906,.F.); #139790=ORIENTED_EDGE('',*,*,#97561,.T.); #139791=ORIENTED_EDGE('',*,*,#97562,.T.); #139792=ORIENTED_EDGE('',*,*,#97559,.F.); #139793=ORIENTED_EDGE('',*,*,#96905,.F.); #139794=ORIENTED_EDGE('',*,*,#97563,.T.); #139795=ORIENTED_EDGE('',*,*,#97564,.T.); #139796=ORIENTED_EDGE('',*,*,#97561,.F.); #139797=ORIENTED_EDGE('',*,*,#96904,.F.); #139798=ORIENTED_EDGE('',*,*,#97565,.T.); #139799=ORIENTED_EDGE('',*,*,#97566,.T.); #139800=ORIENTED_EDGE('',*,*,#97563,.F.); #139801=ORIENTED_EDGE('',*,*,#96903,.F.); #139802=ORIENTED_EDGE('',*,*,#97567,.T.); #139803=ORIENTED_EDGE('',*,*,#97568,.T.); #139804=ORIENTED_EDGE('',*,*,#97565,.F.); #139805=ORIENTED_EDGE('',*,*,#96902,.F.); #139806=ORIENTED_EDGE('',*,*,#97569,.T.); #139807=ORIENTED_EDGE('',*,*,#97570,.T.); #139808=ORIENTED_EDGE('',*,*,#97567,.F.); #139809=ORIENTED_EDGE('',*,*,#96901,.F.); #139810=ORIENTED_EDGE('',*,*,#97571,.T.); #139811=ORIENTED_EDGE('',*,*,#97572,.T.); #139812=ORIENTED_EDGE('',*,*,#97569,.F.); #139813=ORIENTED_EDGE('',*,*,#96900,.F.); #139814=ORIENTED_EDGE('',*,*,#97573,.T.); #139815=ORIENTED_EDGE('',*,*,#97574,.T.); #139816=ORIENTED_EDGE('',*,*,#97571,.F.); #139817=ORIENTED_EDGE('',*,*,#96899,.F.); #139818=ORIENTED_EDGE('',*,*,#97575,.T.); #139819=ORIENTED_EDGE('',*,*,#97576,.T.); #139820=ORIENTED_EDGE('',*,*,#97573,.F.); #139821=ORIENTED_EDGE('',*,*,#96898,.F.); #139822=ORIENTED_EDGE('',*,*,#97577,.T.); #139823=ORIENTED_EDGE('',*,*,#97578,.T.); #139824=ORIENTED_EDGE('',*,*,#97575,.F.); #139825=ORIENTED_EDGE('',*,*,#96897,.F.); #139826=ORIENTED_EDGE('',*,*,#97579,.T.); #139827=ORIENTED_EDGE('',*,*,#97580,.T.); #139828=ORIENTED_EDGE('',*,*,#97577,.F.); #139829=ORIENTED_EDGE('',*,*,#96896,.F.); #139830=ORIENTED_EDGE('',*,*,#97581,.T.); #139831=ORIENTED_EDGE('',*,*,#97582,.T.); #139832=ORIENTED_EDGE('',*,*,#97579,.F.); #139833=ORIENTED_EDGE('',*,*,#96895,.F.); #139834=ORIENTED_EDGE('',*,*,#97583,.T.); #139835=ORIENTED_EDGE('',*,*,#97584,.T.); #139836=ORIENTED_EDGE('',*,*,#97581,.F.); #139837=ORIENTED_EDGE('',*,*,#96894,.F.); #139838=ORIENTED_EDGE('',*,*,#97496,.T.); #139839=ORIENTED_EDGE('',*,*,#97585,.T.); #139840=ORIENTED_EDGE('',*,*,#97583,.F.); #139841=ORIENTED_EDGE('',*,*,#97585,.F.); #139842=ORIENTED_EDGE('',*,*,#97495,.F.); #139843=ORIENTED_EDGE('',*,*,#97498,.F.); #139844=ORIENTED_EDGE('',*,*,#97500,.F.); #139845=ORIENTED_EDGE('',*,*,#97502,.F.); #139846=ORIENTED_EDGE('',*,*,#97504,.F.); #139847=ORIENTED_EDGE('',*,*,#97506,.F.); #139848=ORIENTED_EDGE('',*,*,#97508,.F.); #139849=ORIENTED_EDGE('',*,*,#97510,.F.); #139850=ORIENTED_EDGE('',*,*,#97512,.F.); #139851=ORIENTED_EDGE('',*,*,#97514,.F.); #139852=ORIENTED_EDGE('',*,*,#97516,.F.); #139853=ORIENTED_EDGE('',*,*,#97518,.F.); #139854=ORIENTED_EDGE('',*,*,#97520,.F.); #139855=ORIENTED_EDGE('',*,*,#97522,.F.); #139856=ORIENTED_EDGE('',*,*,#97524,.F.); #139857=ORIENTED_EDGE('',*,*,#97526,.F.); #139858=ORIENTED_EDGE('',*,*,#97528,.F.); #139859=ORIENTED_EDGE('',*,*,#97530,.F.); #139860=ORIENTED_EDGE('',*,*,#97532,.F.); #139861=ORIENTED_EDGE('',*,*,#97534,.F.); #139862=ORIENTED_EDGE('',*,*,#97536,.F.); #139863=ORIENTED_EDGE('',*,*,#97538,.F.); #139864=ORIENTED_EDGE('',*,*,#97540,.F.); #139865=ORIENTED_EDGE('',*,*,#97542,.F.); #139866=ORIENTED_EDGE('',*,*,#97544,.F.); #139867=ORIENTED_EDGE('',*,*,#97546,.F.); #139868=ORIENTED_EDGE('',*,*,#97548,.F.); #139869=ORIENTED_EDGE('',*,*,#97550,.F.); #139870=ORIENTED_EDGE('',*,*,#97552,.F.); #139871=ORIENTED_EDGE('',*,*,#97554,.F.); #139872=ORIENTED_EDGE('',*,*,#97556,.F.); #139873=ORIENTED_EDGE('',*,*,#97558,.F.); #139874=ORIENTED_EDGE('',*,*,#97560,.F.); #139875=ORIENTED_EDGE('',*,*,#97562,.F.); #139876=ORIENTED_EDGE('',*,*,#97564,.F.); #139877=ORIENTED_EDGE('',*,*,#97566,.F.); #139878=ORIENTED_EDGE('',*,*,#97568,.F.); #139879=ORIENTED_EDGE('',*,*,#97570,.F.); #139880=ORIENTED_EDGE('',*,*,#97572,.F.); #139881=ORIENTED_EDGE('',*,*,#97574,.F.); #139882=ORIENTED_EDGE('',*,*,#97576,.F.); #139883=ORIENTED_EDGE('',*,*,#97578,.F.); #139884=ORIENTED_EDGE('',*,*,#97580,.F.); #139885=ORIENTED_EDGE('',*,*,#97582,.F.); #139886=ORIENTED_EDGE('',*,*,#97584,.F.); #139887=ORIENTED_EDGE('',*,*,#96875,.F.); #139888=ORIENTED_EDGE('',*,*,#97586,.T.); #139889=ORIENTED_EDGE('',*,*,#97587,.T.); #139890=ORIENTED_EDGE('',*,*,#97588,.F.); #139891=ORIENTED_EDGE('',*,*,#96892,.F.); #139892=ORIENTED_EDGE('',*,*,#97589,.T.); #139893=ORIENTED_EDGE('',*,*,#97590,.T.); #139894=ORIENTED_EDGE('',*,*,#97586,.F.); #139895=ORIENTED_EDGE('',*,*,#96891,.F.); #139896=ORIENTED_EDGE('',*,*,#97591,.T.); #139897=ORIENTED_EDGE('',*,*,#97592,.T.); #139898=ORIENTED_EDGE('',*,*,#97589,.F.); #139899=ORIENTED_EDGE('',*,*,#96890,.F.); #139900=ORIENTED_EDGE('',*,*,#97593,.T.); #139901=ORIENTED_EDGE('',*,*,#97594,.T.); #139902=ORIENTED_EDGE('',*,*,#97591,.F.); #139903=ORIENTED_EDGE('',*,*,#96889,.F.); #139904=ORIENTED_EDGE('',*,*,#97595,.T.); #139905=ORIENTED_EDGE('',*,*,#97596,.T.); #139906=ORIENTED_EDGE('',*,*,#97593,.F.); #139907=ORIENTED_EDGE('',*,*,#96888,.F.); #139908=ORIENTED_EDGE('',*,*,#97597,.T.); #139909=ORIENTED_EDGE('',*,*,#97598,.T.); #139910=ORIENTED_EDGE('',*,*,#97595,.F.); #139911=ORIENTED_EDGE('',*,*,#96887,.F.); #139912=ORIENTED_EDGE('',*,*,#97599,.T.); #139913=ORIENTED_EDGE('',*,*,#97600,.T.); #139914=ORIENTED_EDGE('',*,*,#97597,.F.); #139915=ORIENTED_EDGE('',*,*,#96886,.F.); #139916=ORIENTED_EDGE('',*,*,#97601,.T.); #139917=ORIENTED_EDGE('',*,*,#97602,.T.); #139918=ORIENTED_EDGE('',*,*,#97599,.F.); #139919=ORIENTED_EDGE('',*,*,#96885,.F.); #139920=ORIENTED_EDGE('',*,*,#97603,.T.); #139921=ORIENTED_EDGE('',*,*,#97604,.T.); #139922=ORIENTED_EDGE('',*,*,#97601,.F.); #139923=ORIENTED_EDGE('',*,*,#96884,.F.); #139924=ORIENTED_EDGE('',*,*,#97605,.T.); #139925=ORIENTED_EDGE('',*,*,#97606,.T.); #139926=ORIENTED_EDGE('',*,*,#97603,.F.); #139927=ORIENTED_EDGE('',*,*,#96883,.F.); #139928=ORIENTED_EDGE('',*,*,#97607,.T.); #139929=ORIENTED_EDGE('',*,*,#97608,.T.); #139930=ORIENTED_EDGE('',*,*,#97605,.F.); #139931=ORIENTED_EDGE('',*,*,#96882,.F.); #139932=ORIENTED_EDGE('',*,*,#97609,.T.); #139933=ORIENTED_EDGE('',*,*,#97610,.T.); #139934=ORIENTED_EDGE('',*,*,#97607,.F.); #139935=ORIENTED_EDGE('',*,*,#96881,.F.); #139936=ORIENTED_EDGE('',*,*,#97611,.T.); #139937=ORIENTED_EDGE('',*,*,#97612,.T.); #139938=ORIENTED_EDGE('',*,*,#97609,.F.); #139939=ORIENTED_EDGE('',*,*,#96880,.F.); #139940=ORIENTED_EDGE('',*,*,#97613,.T.); #139941=ORIENTED_EDGE('',*,*,#97614,.T.); #139942=ORIENTED_EDGE('',*,*,#97611,.F.); #139943=ORIENTED_EDGE('',*,*,#96879,.F.); #139944=ORIENTED_EDGE('',*,*,#97615,.T.); #139945=ORIENTED_EDGE('',*,*,#97616,.T.); #139946=ORIENTED_EDGE('',*,*,#97613,.F.); #139947=ORIENTED_EDGE('',*,*,#96878,.F.); #139948=ORIENTED_EDGE('',*,*,#97617,.T.); #139949=ORIENTED_EDGE('',*,*,#97618,.T.); #139950=ORIENTED_EDGE('',*,*,#97615,.F.); #139951=ORIENTED_EDGE('',*,*,#96877,.F.); #139952=ORIENTED_EDGE('',*,*,#97619,.T.); #139953=ORIENTED_EDGE('',*,*,#97620,.T.); #139954=ORIENTED_EDGE('',*,*,#97617,.F.); #139955=ORIENTED_EDGE('',*,*,#96876,.F.); #139956=ORIENTED_EDGE('',*,*,#97588,.T.); #139957=ORIENTED_EDGE('',*,*,#97621,.T.); #139958=ORIENTED_EDGE('',*,*,#97619,.F.); #139959=ORIENTED_EDGE('',*,*,#97621,.F.); #139960=ORIENTED_EDGE('',*,*,#97587,.F.); #139961=ORIENTED_EDGE('',*,*,#97590,.F.); #139962=ORIENTED_EDGE('',*,*,#97592,.F.); #139963=ORIENTED_EDGE('',*,*,#97594,.F.); #139964=ORIENTED_EDGE('',*,*,#97596,.F.); #139965=ORIENTED_EDGE('',*,*,#97598,.F.); #139966=ORIENTED_EDGE('',*,*,#97600,.F.); #139967=ORIENTED_EDGE('',*,*,#97602,.F.); #139968=ORIENTED_EDGE('',*,*,#97604,.F.); #139969=ORIENTED_EDGE('',*,*,#97606,.F.); #139970=ORIENTED_EDGE('',*,*,#97608,.F.); #139971=ORIENTED_EDGE('',*,*,#97610,.F.); #139972=ORIENTED_EDGE('',*,*,#97612,.F.); #139973=ORIENTED_EDGE('',*,*,#97614,.F.); #139974=ORIENTED_EDGE('',*,*,#97616,.F.); #139975=ORIENTED_EDGE('',*,*,#97618,.F.); #139976=ORIENTED_EDGE('',*,*,#97620,.F.); #139977=ORIENTED_EDGE('',*,*,#96861,.F.); #139978=ORIENTED_EDGE('',*,*,#97622,.T.); #139979=ORIENTED_EDGE('',*,*,#97623,.T.); #139980=ORIENTED_EDGE('',*,*,#97624,.F.); #139981=ORIENTED_EDGE('',*,*,#96874,.F.); #139982=ORIENTED_EDGE('',*,*,#97625,.T.); #139983=ORIENTED_EDGE('',*,*,#97626,.T.); #139984=ORIENTED_EDGE('',*,*,#97622,.F.); #139985=ORIENTED_EDGE('',*,*,#96873,.F.); #139986=ORIENTED_EDGE('',*,*,#97627,.T.); #139987=ORIENTED_EDGE('',*,*,#97628,.T.); #139988=ORIENTED_EDGE('',*,*,#97625,.F.); #139989=ORIENTED_EDGE('',*,*,#96872,.F.); #139990=ORIENTED_EDGE('',*,*,#97629,.T.); #139991=ORIENTED_EDGE('',*,*,#97630,.T.); #139992=ORIENTED_EDGE('',*,*,#97627,.F.); #139993=ORIENTED_EDGE('',*,*,#96871,.F.); #139994=ORIENTED_EDGE('',*,*,#97631,.T.); #139995=ORIENTED_EDGE('',*,*,#97632,.T.); #139996=ORIENTED_EDGE('',*,*,#97629,.F.); #139997=ORIENTED_EDGE('',*,*,#96870,.F.); #139998=ORIENTED_EDGE('',*,*,#97633,.T.); #139999=ORIENTED_EDGE('',*,*,#97634,.T.); #140000=ORIENTED_EDGE('',*,*,#97631,.F.); #140001=ORIENTED_EDGE('',*,*,#96869,.F.); #140002=ORIENTED_EDGE('',*,*,#97635,.T.); #140003=ORIENTED_EDGE('',*,*,#97636,.T.); #140004=ORIENTED_EDGE('',*,*,#97633,.F.); #140005=ORIENTED_EDGE('',*,*,#96868,.F.); #140006=ORIENTED_EDGE('',*,*,#97637,.T.); #140007=ORIENTED_EDGE('',*,*,#97638,.T.); #140008=ORIENTED_EDGE('',*,*,#97635,.F.); #140009=ORIENTED_EDGE('',*,*,#96867,.F.); #140010=ORIENTED_EDGE('',*,*,#97639,.T.); #140011=ORIENTED_EDGE('',*,*,#97640,.T.); #140012=ORIENTED_EDGE('',*,*,#97637,.F.); #140013=ORIENTED_EDGE('',*,*,#96866,.F.); #140014=ORIENTED_EDGE('',*,*,#97641,.T.); #140015=ORIENTED_EDGE('',*,*,#97642,.T.); #140016=ORIENTED_EDGE('',*,*,#97639,.F.); #140017=ORIENTED_EDGE('',*,*,#96865,.F.); #140018=ORIENTED_EDGE('',*,*,#97643,.T.); #140019=ORIENTED_EDGE('',*,*,#97644,.T.); #140020=ORIENTED_EDGE('',*,*,#97641,.F.); #140021=ORIENTED_EDGE('',*,*,#96864,.F.); #140022=ORIENTED_EDGE('',*,*,#97645,.T.); #140023=ORIENTED_EDGE('',*,*,#97646,.T.); #140024=ORIENTED_EDGE('',*,*,#97643,.F.); #140025=ORIENTED_EDGE('',*,*,#96863,.F.); #140026=ORIENTED_EDGE('',*,*,#97647,.T.); #140027=ORIENTED_EDGE('',*,*,#97648,.T.); #140028=ORIENTED_EDGE('',*,*,#97645,.F.); #140029=ORIENTED_EDGE('',*,*,#96862,.F.); #140030=ORIENTED_EDGE('',*,*,#97624,.T.); #140031=ORIENTED_EDGE('',*,*,#97649,.T.); #140032=ORIENTED_EDGE('',*,*,#97647,.F.); #140033=ORIENTED_EDGE('',*,*,#97649,.F.); #140034=ORIENTED_EDGE('',*,*,#97623,.F.); #140035=ORIENTED_EDGE('',*,*,#97626,.F.); #140036=ORIENTED_EDGE('',*,*,#97628,.F.); #140037=ORIENTED_EDGE('',*,*,#97630,.F.); #140038=ORIENTED_EDGE('',*,*,#97632,.F.); #140039=ORIENTED_EDGE('',*,*,#97634,.F.); #140040=ORIENTED_EDGE('',*,*,#97636,.F.); #140041=ORIENTED_EDGE('',*,*,#97638,.F.); #140042=ORIENTED_EDGE('',*,*,#97640,.F.); #140043=ORIENTED_EDGE('',*,*,#97642,.F.); #140044=ORIENTED_EDGE('',*,*,#97644,.F.); #140045=ORIENTED_EDGE('',*,*,#97646,.F.); #140046=ORIENTED_EDGE('',*,*,#97648,.F.); #140047=ORIENTED_EDGE('',*,*,#96838,.F.); #140048=ORIENTED_EDGE('',*,*,#97650,.T.); #140049=ORIENTED_EDGE('',*,*,#97651,.T.); #140050=ORIENTED_EDGE('',*,*,#97652,.F.); #140051=ORIENTED_EDGE('',*,*,#96860,.F.); #140052=ORIENTED_EDGE('',*,*,#97653,.T.); #140053=ORIENTED_EDGE('',*,*,#97654,.T.); #140054=ORIENTED_EDGE('',*,*,#97650,.F.); #140055=ORIENTED_EDGE('',*,*,#96859,.F.); #140056=ORIENTED_EDGE('',*,*,#97655,.T.); #140057=ORIENTED_EDGE('',*,*,#97656,.T.); #140058=ORIENTED_EDGE('',*,*,#97653,.F.); #140059=ORIENTED_EDGE('',*,*,#96858,.F.); #140060=ORIENTED_EDGE('',*,*,#97657,.T.); #140061=ORIENTED_EDGE('',*,*,#97658,.T.); #140062=ORIENTED_EDGE('',*,*,#97655,.F.); #140063=ORIENTED_EDGE('',*,*,#96857,.F.); #140064=ORIENTED_EDGE('',*,*,#97659,.T.); #140065=ORIENTED_EDGE('',*,*,#97660,.T.); #140066=ORIENTED_EDGE('',*,*,#97657,.F.); #140067=ORIENTED_EDGE('',*,*,#96856,.F.); #140068=ORIENTED_EDGE('',*,*,#97661,.T.); #140069=ORIENTED_EDGE('',*,*,#97662,.T.); #140070=ORIENTED_EDGE('',*,*,#97659,.F.); #140071=ORIENTED_EDGE('',*,*,#96855,.F.); #140072=ORIENTED_EDGE('',*,*,#97663,.T.); #140073=ORIENTED_EDGE('',*,*,#97664,.T.); #140074=ORIENTED_EDGE('',*,*,#97661,.F.); #140075=ORIENTED_EDGE('',*,*,#96854,.F.); #140076=ORIENTED_EDGE('',*,*,#97665,.T.); #140077=ORIENTED_EDGE('',*,*,#97666,.T.); #140078=ORIENTED_EDGE('',*,*,#97663,.F.); #140079=ORIENTED_EDGE('',*,*,#96853,.F.); #140080=ORIENTED_EDGE('',*,*,#97667,.T.); #140081=ORIENTED_EDGE('',*,*,#97668,.T.); #140082=ORIENTED_EDGE('',*,*,#97665,.F.); #140083=ORIENTED_EDGE('',*,*,#96852,.F.); #140084=ORIENTED_EDGE('',*,*,#97669,.T.); #140085=ORIENTED_EDGE('',*,*,#97670,.T.); #140086=ORIENTED_EDGE('',*,*,#97667,.F.); #140087=ORIENTED_EDGE('',*,*,#96851,.F.); #140088=ORIENTED_EDGE('',*,*,#97671,.T.); #140089=ORIENTED_EDGE('',*,*,#97672,.T.); #140090=ORIENTED_EDGE('',*,*,#97669,.F.); #140091=ORIENTED_EDGE('',*,*,#96850,.F.); #140092=ORIENTED_EDGE('',*,*,#97673,.T.); #140093=ORIENTED_EDGE('',*,*,#97674,.T.); #140094=ORIENTED_EDGE('',*,*,#97671,.F.); #140095=ORIENTED_EDGE('',*,*,#96849,.F.); #140096=ORIENTED_EDGE('',*,*,#97675,.T.); #140097=ORIENTED_EDGE('',*,*,#97676,.T.); #140098=ORIENTED_EDGE('',*,*,#97673,.F.); #140099=ORIENTED_EDGE('',*,*,#96848,.F.); #140100=ORIENTED_EDGE('',*,*,#97677,.T.); #140101=ORIENTED_EDGE('',*,*,#97678,.T.); #140102=ORIENTED_EDGE('',*,*,#97675,.F.); #140103=ORIENTED_EDGE('',*,*,#96847,.F.); #140104=ORIENTED_EDGE('',*,*,#97679,.T.); #140105=ORIENTED_EDGE('',*,*,#97680,.T.); #140106=ORIENTED_EDGE('',*,*,#97677,.F.); #140107=ORIENTED_EDGE('',*,*,#96846,.F.); #140108=ORIENTED_EDGE('',*,*,#97681,.T.); #140109=ORIENTED_EDGE('',*,*,#97682,.T.); #140110=ORIENTED_EDGE('',*,*,#97679,.F.); #140111=ORIENTED_EDGE('',*,*,#96845,.F.); #140112=ORIENTED_EDGE('',*,*,#97683,.T.); #140113=ORIENTED_EDGE('',*,*,#97684,.T.); #140114=ORIENTED_EDGE('',*,*,#97681,.F.); #140115=ORIENTED_EDGE('',*,*,#96844,.F.); #140116=ORIENTED_EDGE('',*,*,#97685,.T.); #140117=ORIENTED_EDGE('',*,*,#97686,.T.); #140118=ORIENTED_EDGE('',*,*,#97683,.F.); #140119=ORIENTED_EDGE('',*,*,#96843,.F.); #140120=ORIENTED_EDGE('',*,*,#97687,.T.); #140121=ORIENTED_EDGE('',*,*,#97688,.T.); #140122=ORIENTED_EDGE('',*,*,#97685,.F.); #140123=ORIENTED_EDGE('',*,*,#96842,.F.); #140124=ORIENTED_EDGE('',*,*,#97689,.T.); #140125=ORIENTED_EDGE('',*,*,#97690,.T.); #140126=ORIENTED_EDGE('',*,*,#97687,.F.); #140127=ORIENTED_EDGE('',*,*,#96841,.F.); #140128=ORIENTED_EDGE('',*,*,#97691,.T.); #140129=ORIENTED_EDGE('',*,*,#97692,.T.); #140130=ORIENTED_EDGE('',*,*,#97689,.F.); #140131=ORIENTED_EDGE('',*,*,#96840,.F.); #140132=ORIENTED_EDGE('',*,*,#97693,.T.); #140133=ORIENTED_EDGE('',*,*,#97694,.T.); #140134=ORIENTED_EDGE('',*,*,#97691,.F.); #140135=ORIENTED_EDGE('',*,*,#96839,.F.); #140136=ORIENTED_EDGE('',*,*,#97652,.T.); #140137=ORIENTED_EDGE('',*,*,#97695,.T.); #140138=ORIENTED_EDGE('',*,*,#97693,.F.); #140139=ORIENTED_EDGE('',*,*,#97695,.F.); #140140=ORIENTED_EDGE('',*,*,#97651,.F.); #140141=ORIENTED_EDGE('',*,*,#97654,.F.); #140142=ORIENTED_EDGE('',*,*,#97656,.F.); #140143=ORIENTED_EDGE('',*,*,#97658,.F.); #140144=ORIENTED_EDGE('',*,*,#97660,.F.); #140145=ORIENTED_EDGE('',*,*,#97662,.F.); #140146=ORIENTED_EDGE('',*,*,#97664,.F.); #140147=ORIENTED_EDGE('',*,*,#97666,.F.); #140148=ORIENTED_EDGE('',*,*,#97668,.F.); #140149=ORIENTED_EDGE('',*,*,#97670,.F.); #140150=ORIENTED_EDGE('',*,*,#97672,.F.); #140151=ORIENTED_EDGE('',*,*,#97674,.F.); #140152=ORIENTED_EDGE('',*,*,#97676,.F.); #140153=ORIENTED_EDGE('',*,*,#97678,.F.); #140154=ORIENTED_EDGE('',*,*,#97680,.F.); #140155=ORIENTED_EDGE('',*,*,#97682,.F.); #140156=ORIENTED_EDGE('',*,*,#97684,.F.); #140157=ORIENTED_EDGE('',*,*,#97686,.F.); #140158=ORIENTED_EDGE('',*,*,#97688,.F.); #140159=ORIENTED_EDGE('',*,*,#97690,.F.); #140160=ORIENTED_EDGE('',*,*,#97692,.F.); #140161=ORIENTED_EDGE('',*,*,#97694,.F.); #140162=ORIENTED_EDGE('',*,*,#96756,.F.); #140163=ORIENTED_EDGE('',*,*,#97696,.T.); #140164=ORIENTED_EDGE('',*,*,#97697,.T.); #140165=ORIENTED_EDGE('',*,*,#97698,.F.); #140166=ORIENTED_EDGE('',*,*,#96837,.F.); #140167=ORIENTED_EDGE('',*,*,#97699,.T.); #140168=ORIENTED_EDGE('',*,*,#97700,.T.); #140169=ORIENTED_EDGE('',*,*,#97696,.F.); #140170=ORIENTED_EDGE('',*,*,#96836,.F.); #140171=ORIENTED_EDGE('',*,*,#97701,.T.); #140172=ORIENTED_EDGE('',*,*,#97702,.T.); #140173=ORIENTED_EDGE('',*,*,#97699,.F.); #140174=ORIENTED_EDGE('',*,*,#96835,.F.); #140175=ORIENTED_EDGE('',*,*,#97703,.T.); #140176=ORIENTED_EDGE('',*,*,#97704,.T.); #140177=ORIENTED_EDGE('',*,*,#97701,.F.); #140178=ORIENTED_EDGE('',*,*,#96834,.F.); #140179=ORIENTED_EDGE('',*,*,#97705,.T.); #140180=ORIENTED_EDGE('',*,*,#97706,.T.); #140181=ORIENTED_EDGE('',*,*,#97703,.F.); #140182=ORIENTED_EDGE('',*,*,#96833,.F.); #140183=ORIENTED_EDGE('',*,*,#97707,.T.); #140184=ORIENTED_EDGE('',*,*,#97708,.T.); #140185=ORIENTED_EDGE('',*,*,#97705,.F.); #140186=ORIENTED_EDGE('',*,*,#96832,.F.); #140187=ORIENTED_EDGE('',*,*,#97709,.T.); #140188=ORIENTED_EDGE('',*,*,#97710,.T.); #140189=ORIENTED_EDGE('',*,*,#97707,.F.); #140190=ORIENTED_EDGE('',*,*,#96831,.F.); #140191=ORIENTED_EDGE('',*,*,#97711,.T.); #140192=ORIENTED_EDGE('',*,*,#97712,.T.); #140193=ORIENTED_EDGE('',*,*,#97709,.F.); #140194=ORIENTED_EDGE('',*,*,#96830,.F.); #140195=ORIENTED_EDGE('',*,*,#97713,.T.); #140196=ORIENTED_EDGE('',*,*,#97714,.T.); #140197=ORIENTED_EDGE('',*,*,#97711,.F.); #140198=ORIENTED_EDGE('',*,*,#96829,.F.); #140199=ORIENTED_EDGE('',*,*,#97715,.T.); #140200=ORIENTED_EDGE('',*,*,#97716,.T.); #140201=ORIENTED_EDGE('',*,*,#97713,.F.); #140202=ORIENTED_EDGE('',*,*,#96828,.F.); #140203=ORIENTED_EDGE('',*,*,#97717,.T.); #140204=ORIENTED_EDGE('',*,*,#97718,.T.); #140205=ORIENTED_EDGE('',*,*,#97715,.F.); #140206=ORIENTED_EDGE('',*,*,#96827,.F.); #140207=ORIENTED_EDGE('',*,*,#97719,.T.); #140208=ORIENTED_EDGE('',*,*,#97720,.T.); #140209=ORIENTED_EDGE('',*,*,#97717,.F.); #140210=ORIENTED_EDGE('',*,*,#96826,.F.); #140211=ORIENTED_EDGE('',*,*,#97721,.T.); #140212=ORIENTED_EDGE('',*,*,#97722,.T.); #140213=ORIENTED_EDGE('',*,*,#97719,.F.); #140214=ORIENTED_EDGE('',*,*,#96825,.F.); #140215=ORIENTED_EDGE('',*,*,#97723,.T.); #140216=ORIENTED_EDGE('',*,*,#97724,.T.); #140217=ORIENTED_EDGE('',*,*,#97721,.F.); #140218=ORIENTED_EDGE('',*,*,#96824,.F.); #140219=ORIENTED_EDGE('',*,*,#97725,.T.); #140220=ORIENTED_EDGE('',*,*,#97726,.T.); #140221=ORIENTED_EDGE('',*,*,#97723,.F.); #140222=ORIENTED_EDGE('',*,*,#96823,.F.); #140223=ORIENTED_EDGE('',*,*,#97727,.T.); #140224=ORIENTED_EDGE('',*,*,#97728,.T.); #140225=ORIENTED_EDGE('',*,*,#97725,.F.); #140226=ORIENTED_EDGE('',*,*,#96822,.F.); #140227=ORIENTED_EDGE('',*,*,#97729,.T.); #140228=ORIENTED_EDGE('',*,*,#97730,.T.); #140229=ORIENTED_EDGE('',*,*,#97727,.F.); #140230=ORIENTED_EDGE('',*,*,#96821,.F.); #140231=ORIENTED_EDGE('',*,*,#97731,.T.); #140232=ORIENTED_EDGE('',*,*,#97732,.T.); #140233=ORIENTED_EDGE('',*,*,#97729,.F.); #140234=ORIENTED_EDGE('',*,*,#96820,.F.); #140235=ORIENTED_EDGE('',*,*,#97733,.T.); #140236=ORIENTED_EDGE('',*,*,#97734,.T.); #140237=ORIENTED_EDGE('',*,*,#97731,.F.); #140238=ORIENTED_EDGE('',*,*,#96819,.F.); #140239=ORIENTED_EDGE('',*,*,#97735,.T.); #140240=ORIENTED_EDGE('',*,*,#97736,.T.); #140241=ORIENTED_EDGE('',*,*,#97733,.F.); #140242=ORIENTED_EDGE('',*,*,#96818,.F.); #140243=ORIENTED_EDGE('',*,*,#97737,.T.); #140244=ORIENTED_EDGE('',*,*,#97738,.T.); #140245=ORIENTED_EDGE('',*,*,#97735,.F.); #140246=ORIENTED_EDGE('',*,*,#96817,.F.); #140247=ORIENTED_EDGE('',*,*,#97739,.T.); #140248=ORIENTED_EDGE('',*,*,#97740,.T.); #140249=ORIENTED_EDGE('',*,*,#97737,.F.); #140250=ORIENTED_EDGE('',*,*,#96816,.F.); #140251=ORIENTED_EDGE('',*,*,#97741,.T.); #140252=ORIENTED_EDGE('',*,*,#97742,.T.); #140253=ORIENTED_EDGE('',*,*,#97739,.F.); #140254=ORIENTED_EDGE('',*,*,#96815,.F.); #140255=ORIENTED_EDGE('',*,*,#97743,.T.); #140256=ORIENTED_EDGE('',*,*,#97744,.T.); #140257=ORIENTED_EDGE('',*,*,#97741,.F.); #140258=ORIENTED_EDGE('',*,*,#96814,.F.); #140259=ORIENTED_EDGE('',*,*,#97745,.T.); #140260=ORIENTED_EDGE('',*,*,#97746,.T.); #140261=ORIENTED_EDGE('',*,*,#97743,.F.); #140262=ORIENTED_EDGE('',*,*,#96813,.F.); #140263=ORIENTED_EDGE('',*,*,#97747,.T.); #140264=ORIENTED_EDGE('',*,*,#97748,.T.); #140265=ORIENTED_EDGE('',*,*,#97745,.F.); #140266=ORIENTED_EDGE('',*,*,#96812,.F.); #140267=ORIENTED_EDGE('',*,*,#97749,.T.); #140268=ORIENTED_EDGE('',*,*,#97750,.T.); #140269=ORIENTED_EDGE('',*,*,#97747,.F.); #140270=ORIENTED_EDGE('',*,*,#96811,.F.); #140271=ORIENTED_EDGE('',*,*,#97751,.T.); #140272=ORIENTED_EDGE('',*,*,#97752,.T.); #140273=ORIENTED_EDGE('',*,*,#97749,.F.); #140274=ORIENTED_EDGE('',*,*,#96810,.F.); #140275=ORIENTED_EDGE('',*,*,#97753,.T.); #140276=ORIENTED_EDGE('',*,*,#97754,.T.); #140277=ORIENTED_EDGE('',*,*,#97751,.F.); #140278=ORIENTED_EDGE('',*,*,#96809,.F.); #140279=ORIENTED_EDGE('',*,*,#97755,.T.); #140280=ORIENTED_EDGE('',*,*,#97756,.T.); #140281=ORIENTED_EDGE('',*,*,#97753,.F.); #140282=ORIENTED_EDGE('',*,*,#96808,.F.); #140283=ORIENTED_EDGE('',*,*,#97757,.T.); #140284=ORIENTED_EDGE('',*,*,#97758,.T.); #140285=ORIENTED_EDGE('',*,*,#97755,.F.); #140286=ORIENTED_EDGE('',*,*,#96807,.F.); #140287=ORIENTED_EDGE('',*,*,#97759,.T.); #140288=ORIENTED_EDGE('',*,*,#97760,.T.); #140289=ORIENTED_EDGE('',*,*,#97757,.F.); #140290=ORIENTED_EDGE('',*,*,#96806,.F.); #140291=ORIENTED_EDGE('',*,*,#97761,.T.); #140292=ORIENTED_EDGE('',*,*,#97762,.T.); #140293=ORIENTED_EDGE('',*,*,#97759,.F.); #140294=ORIENTED_EDGE('',*,*,#96805,.F.); #140295=ORIENTED_EDGE('',*,*,#97763,.T.); #140296=ORIENTED_EDGE('',*,*,#97764,.T.); #140297=ORIENTED_EDGE('',*,*,#97761,.F.); #140298=ORIENTED_EDGE('',*,*,#96804,.F.); #140299=ORIENTED_EDGE('',*,*,#97765,.T.); #140300=ORIENTED_EDGE('',*,*,#97766,.T.); #140301=ORIENTED_EDGE('',*,*,#97763,.F.); #140302=ORIENTED_EDGE('',*,*,#96803,.F.); #140303=ORIENTED_EDGE('',*,*,#97767,.T.); #140304=ORIENTED_EDGE('',*,*,#97768,.T.); #140305=ORIENTED_EDGE('',*,*,#97765,.F.); #140306=ORIENTED_EDGE('',*,*,#96802,.F.); #140307=ORIENTED_EDGE('',*,*,#97769,.T.); #140308=ORIENTED_EDGE('',*,*,#97770,.T.); #140309=ORIENTED_EDGE('',*,*,#97767,.F.); #140310=ORIENTED_EDGE('',*,*,#96801,.F.); #140311=ORIENTED_EDGE('',*,*,#97771,.T.); #140312=ORIENTED_EDGE('',*,*,#97772,.T.); #140313=ORIENTED_EDGE('',*,*,#97769,.F.); #140314=ORIENTED_EDGE('',*,*,#96800,.F.); #140315=ORIENTED_EDGE('',*,*,#97773,.T.); #140316=ORIENTED_EDGE('',*,*,#97774,.T.); #140317=ORIENTED_EDGE('',*,*,#97771,.F.); #140318=ORIENTED_EDGE('',*,*,#96799,.F.); #140319=ORIENTED_EDGE('',*,*,#97775,.T.); #140320=ORIENTED_EDGE('',*,*,#97776,.T.); #140321=ORIENTED_EDGE('',*,*,#97773,.F.); #140322=ORIENTED_EDGE('',*,*,#96798,.F.); #140323=ORIENTED_EDGE('',*,*,#97777,.T.); #140324=ORIENTED_EDGE('',*,*,#97778,.T.); #140325=ORIENTED_EDGE('',*,*,#97775,.F.); #140326=ORIENTED_EDGE('',*,*,#96797,.F.); #140327=ORIENTED_EDGE('',*,*,#97779,.T.); #140328=ORIENTED_EDGE('',*,*,#97780,.T.); #140329=ORIENTED_EDGE('',*,*,#97777,.F.); #140330=ORIENTED_EDGE('',*,*,#96796,.F.); #140331=ORIENTED_EDGE('',*,*,#97781,.T.); #140332=ORIENTED_EDGE('',*,*,#97782,.T.); #140333=ORIENTED_EDGE('',*,*,#97779,.F.); #140334=ORIENTED_EDGE('',*,*,#96795,.F.); #140335=ORIENTED_EDGE('',*,*,#97783,.T.); #140336=ORIENTED_EDGE('',*,*,#97784,.T.); #140337=ORIENTED_EDGE('',*,*,#97781,.F.); #140338=ORIENTED_EDGE('',*,*,#96794,.F.); #140339=ORIENTED_EDGE('',*,*,#97785,.T.); #140340=ORIENTED_EDGE('',*,*,#97786,.T.); #140341=ORIENTED_EDGE('',*,*,#97783,.F.); #140342=ORIENTED_EDGE('',*,*,#96793,.F.); #140343=ORIENTED_EDGE('',*,*,#97787,.T.); #140344=ORIENTED_EDGE('',*,*,#97788,.T.); #140345=ORIENTED_EDGE('',*,*,#97785,.F.); #140346=ORIENTED_EDGE('',*,*,#96792,.F.); #140347=ORIENTED_EDGE('',*,*,#97789,.T.); #140348=ORIENTED_EDGE('',*,*,#97790,.T.); #140349=ORIENTED_EDGE('',*,*,#97787,.F.); #140350=ORIENTED_EDGE('',*,*,#96791,.F.); #140351=ORIENTED_EDGE('',*,*,#97791,.T.); #140352=ORIENTED_EDGE('',*,*,#97792,.T.); #140353=ORIENTED_EDGE('',*,*,#97789,.F.); #140354=ORIENTED_EDGE('',*,*,#96790,.F.); #140355=ORIENTED_EDGE('',*,*,#97793,.T.); #140356=ORIENTED_EDGE('',*,*,#97794,.T.); #140357=ORIENTED_EDGE('',*,*,#97791,.F.); #140358=ORIENTED_EDGE('',*,*,#96789,.F.); #140359=ORIENTED_EDGE('',*,*,#97795,.T.); #140360=ORIENTED_EDGE('',*,*,#97796,.T.); #140361=ORIENTED_EDGE('',*,*,#97793,.F.); #140362=ORIENTED_EDGE('',*,*,#96788,.F.); #140363=ORIENTED_EDGE('',*,*,#97797,.T.); #140364=ORIENTED_EDGE('',*,*,#97798,.T.); #140365=ORIENTED_EDGE('',*,*,#97795,.F.); #140366=ORIENTED_EDGE('',*,*,#96787,.F.); #140367=ORIENTED_EDGE('',*,*,#97799,.T.); #140368=ORIENTED_EDGE('',*,*,#97800,.T.); #140369=ORIENTED_EDGE('',*,*,#97797,.F.); #140370=ORIENTED_EDGE('',*,*,#96786,.F.); #140371=ORIENTED_EDGE('',*,*,#97801,.T.); #140372=ORIENTED_EDGE('',*,*,#97802,.T.); #140373=ORIENTED_EDGE('',*,*,#97799,.F.); #140374=ORIENTED_EDGE('',*,*,#96785,.F.); #140375=ORIENTED_EDGE('',*,*,#97803,.T.); #140376=ORIENTED_EDGE('',*,*,#97804,.T.); #140377=ORIENTED_EDGE('',*,*,#97801,.F.); #140378=ORIENTED_EDGE('',*,*,#96784,.F.); #140379=ORIENTED_EDGE('',*,*,#97805,.T.); #140380=ORIENTED_EDGE('',*,*,#97806,.T.); #140381=ORIENTED_EDGE('',*,*,#97803,.F.); #140382=ORIENTED_EDGE('',*,*,#96783,.F.); #140383=ORIENTED_EDGE('',*,*,#97807,.T.); #140384=ORIENTED_EDGE('',*,*,#97808,.T.); #140385=ORIENTED_EDGE('',*,*,#97805,.F.); #140386=ORIENTED_EDGE('',*,*,#96782,.F.); #140387=ORIENTED_EDGE('',*,*,#97809,.T.); #140388=ORIENTED_EDGE('',*,*,#97810,.T.); #140389=ORIENTED_EDGE('',*,*,#97807,.F.); #140390=ORIENTED_EDGE('',*,*,#96781,.F.); #140391=ORIENTED_EDGE('',*,*,#97811,.T.); #140392=ORIENTED_EDGE('',*,*,#97812,.T.); #140393=ORIENTED_EDGE('',*,*,#97809,.F.); #140394=ORIENTED_EDGE('',*,*,#96780,.F.); #140395=ORIENTED_EDGE('',*,*,#97813,.T.); #140396=ORIENTED_EDGE('',*,*,#97814,.T.); #140397=ORIENTED_EDGE('',*,*,#97811,.F.); #140398=ORIENTED_EDGE('',*,*,#96779,.F.); #140399=ORIENTED_EDGE('',*,*,#97815,.T.); #140400=ORIENTED_EDGE('',*,*,#97816,.T.); #140401=ORIENTED_EDGE('',*,*,#97813,.F.); #140402=ORIENTED_EDGE('',*,*,#96778,.F.); #140403=ORIENTED_EDGE('',*,*,#97817,.T.); #140404=ORIENTED_EDGE('',*,*,#97818,.T.); #140405=ORIENTED_EDGE('',*,*,#97815,.F.); #140406=ORIENTED_EDGE('',*,*,#96777,.F.); #140407=ORIENTED_EDGE('',*,*,#97819,.T.); #140408=ORIENTED_EDGE('',*,*,#97820,.T.); #140409=ORIENTED_EDGE('',*,*,#97817,.F.); #140410=ORIENTED_EDGE('',*,*,#96776,.F.); #140411=ORIENTED_EDGE('',*,*,#97821,.T.); #140412=ORIENTED_EDGE('',*,*,#97822,.T.); #140413=ORIENTED_EDGE('',*,*,#97819,.F.); #140414=ORIENTED_EDGE('',*,*,#96775,.F.); #140415=ORIENTED_EDGE('',*,*,#97823,.T.); #140416=ORIENTED_EDGE('',*,*,#97824,.T.); #140417=ORIENTED_EDGE('',*,*,#97821,.F.); #140418=ORIENTED_EDGE('',*,*,#96774,.F.); #140419=ORIENTED_EDGE('',*,*,#97825,.T.); #140420=ORIENTED_EDGE('',*,*,#97826,.T.); #140421=ORIENTED_EDGE('',*,*,#97823,.F.); #140422=ORIENTED_EDGE('',*,*,#96773,.F.); #140423=ORIENTED_EDGE('',*,*,#97827,.T.); #140424=ORIENTED_EDGE('',*,*,#97828,.T.); #140425=ORIENTED_EDGE('',*,*,#97825,.F.); #140426=ORIENTED_EDGE('',*,*,#96772,.F.); #140427=ORIENTED_EDGE('',*,*,#97829,.T.); #140428=ORIENTED_EDGE('',*,*,#97830,.T.); #140429=ORIENTED_EDGE('',*,*,#97827,.F.); #140430=ORIENTED_EDGE('',*,*,#96771,.F.); #140431=ORIENTED_EDGE('',*,*,#97831,.T.); #140432=ORIENTED_EDGE('',*,*,#97832,.T.); #140433=ORIENTED_EDGE('',*,*,#97829,.F.); #140434=ORIENTED_EDGE('',*,*,#96770,.F.); #140435=ORIENTED_EDGE('',*,*,#97833,.T.); #140436=ORIENTED_EDGE('',*,*,#97834,.T.); #140437=ORIENTED_EDGE('',*,*,#97831,.F.); #140438=ORIENTED_EDGE('',*,*,#96769,.F.); #140439=ORIENTED_EDGE('',*,*,#97835,.T.); #140440=ORIENTED_EDGE('',*,*,#97836,.T.); #140441=ORIENTED_EDGE('',*,*,#97833,.F.); #140442=ORIENTED_EDGE('',*,*,#96768,.F.); #140443=ORIENTED_EDGE('',*,*,#97837,.T.); #140444=ORIENTED_EDGE('',*,*,#97838,.T.); #140445=ORIENTED_EDGE('',*,*,#97835,.F.); #140446=ORIENTED_EDGE('',*,*,#96767,.F.); #140447=ORIENTED_EDGE('',*,*,#97839,.T.); #140448=ORIENTED_EDGE('',*,*,#97840,.T.); #140449=ORIENTED_EDGE('',*,*,#97837,.F.); #140450=ORIENTED_EDGE('',*,*,#96766,.F.); #140451=ORIENTED_EDGE('',*,*,#97841,.T.); #140452=ORIENTED_EDGE('',*,*,#97842,.T.); #140453=ORIENTED_EDGE('',*,*,#97839,.F.); #140454=ORIENTED_EDGE('',*,*,#96765,.F.); #140455=ORIENTED_EDGE('',*,*,#97843,.T.); #140456=ORIENTED_EDGE('',*,*,#97844,.T.); #140457=ORIENTED_EDGE('',*,*,#97841,.F.); #140458=ORIENTED_EDGE('',*,*,#96764,.F.); #140459=ORIENTED_EDGE('',*,*,#97845,.T.); #140460=ORIENTED_EDGE('',*,*,#97846,.T.); #140461=ORIENTED_EDGE('',*,*,#97843,.F.); #140462=ORIENTED_EDGE('',*,*,#96763,.F.); #140463=ORIENTED_EDGE('',*,*,#97847,.T.); #140464=ORIENTED_EDGE('',*,*,#97848,.T.); #140465=ORIENTED_EDGE('',*,*,#97845,.F.); #140466=ORIENTED_EDGE('',*,*,#96762,.F.); #140467=ORIENTED_EDGE('',*,*,#97849,.T.); #140468=ORIENTED_EDGE('',*,*,#97850,.T.); #140469=ORIENTED_EDGE('',*,*,#97847,.F.); #140470=ORIENTED_EDGE('',*,*,#96761,.F.); #140471=ORIENTED_EDGE('',*,*,#97851,.T.); #140472=ORIENTED_EDGE('',*,*,#97852,.T.); #140473=ORIENTED_EDGE('',*,*,#97849,.F.); #140474=ORIENTED_EDGE('',*,*,#96760,.F.); #140475=ORIENTED_EDGE('',*,*,#97853,.T.); #140476=ORIENTED_EDGE('',*,*,#97854,.T.); #140477=ORIENTED_EDGE('',*,*,#97851,.F.); #140478=ORIENTED_EDGE('',*,*,#96759,.F.); #140479=ORIENTED_EDGE('',*,*,#97855,.T.); #140480=ORIENTED_EDGE('',*,*,#97856,.T.); #140481=ORIENTED_EDGE('',*,*,#97853,.F.); #140482=ORIENTED_EDGE('',*,*,#96758,.F.); #140483=ORIENTED_EDGE('',*,*,#97857,.T.); #140484=ORIENTED_EDGE('',*,*,#97858,.T.); #140485=ORIENTED_EDGE('',*,*,#97855,.F.); #140486=ORIENTED_EDGE('',*,*,#96757,.F.); #140487=ORIENTED_EDGE('',*,*,#97698,.T.); #140488=ORIENTED_EDGE('',*,*,#97859,.T.); #140489=ORIENTED_EDGE('',*,*,#97857,.F.); #140490=ORIENTED_EDGE('',*,*,#97859,.F.); #140491=ORIENTED_EDGE('',*,*,#97697,.F.); #140492=ORIENTED_EDGE('',*,*,#97700,.F.); #140493=ORIENTED_EDGE('',*,*,#97702,.F.); #140494=ORIENTED_EDGE('',*,*,#97704,.F.); #140495=ORIENTED_EDGE('',*,*,#97706,.F.); #140496=ORIENTED_EDGE('',*,*,#97708,.F.); #140497=ORIENTED_EDGE('',*,*,#97710,.F.); #140498=ORIENTED_EDGE('',*,*,#97712,.F.); #140499=ORIENTED_EDGE('',*,*,#97714,.F.); #140500=ORIENTED_EDGE('',*,*,#97716,.F.); #140501=ORIENTED_EDGE('',*,*,#97718,.F.); #140502=ORIENTED_EDGE('',*,*,#97720,.F.); #140503=ORIENTED_EDGE('',*,*,#97722,.F.); #140504=ORIENTED_EDGE('',*,*,#97724,.F.); #140505=ORIENTED_EDGE('',*,*,#97726,.F.); #140506=ORIENTED_EDGE('',*,*,#97728,.F.); #140507=ORIENTED_EDGE('',*,*,#97730,.F.); #140508=ORIENTED_EDGE('',*,*,#97732,.F.); #140509=ORIENTED_EDGE('',*,*,#97734,.F.); #140510=ORIENTED_EDGE('',*,*,#97736,.F.); #140511=ORIENTED_EDGE('',*,*,#97738,.F.); #140512=ORIENTED_EDGE('',*,*,#97740,.F.); #140513=ORIENTED_EDGE('',*,*,#97742,.F.); #140514=ORIENTED_EDGE('',*,*,#97744,.F.); #140515=ORIENTED_EDGE('',*,*,#97746,.F.); #140516=ORIENTED_EDGE('',*,*,#97748,.F.); #140517=ORIENTED_EDGE('',*,*,#97750,.F.); #140518=ORIENTED_EDGE('',*,*,#97752,.F.); #140519=ORIENTED_EDGE('',*,*,#97754,.F.); #140520=ORIENTED_EDGE('',*,*,#97756,.F.); #140521=ORIENTED_EDGE('',*,*,#97758,.F.); #140522=ORIENTED_EDGE('',*,*,#97760,.F.); #140523=ORIENTED_EDGE('',*,*,#97762,.F.); #140524=ORIENTED_EDGE('',*,*,#97764,.F.); #140525=ORIENTED_EDGE('',*,*,#97766,.F.); #140526=ORIENTED_EDGE('',*,*,#97768,.F.); #140527=ORIENTED_EDGE('',*,*,#97770,.F.); #140528=ORIENTED_EDGE('',*,*,#97772,.F.); #140529=ORIENTED_EDGE('',*,*,#97774,.F.); #140530=ORIENTED_EDGE('',*,*,#97776,.F.); #140531=ORIENTED_EDGE('',*,*,#97778,.F.); #140532=ORIENTED_EDGE('',*,*,#97780,.F.); #140533=ORIENTED_EDGE('',*,*,#97782,.F.); #140534=ORIENTED_EDGE('',*,*,#97784,.F.); #140535=ORIENTED_EDGE('',*,*,#97786,.F.); #140536=ORIENTED_EDGE('',*,*,#97788,.F.); #140537=ORIENTED_EDGE('',*,*,#97790,.F.); #140538=ORIENTED_EDGE('',*,*,#97792,.F.); #140539=ORIENTED_EDGE('',*,*,#97794,.F.); #140540=ORIENTED_EDGE('',*,*,#97796,.F.); #140541=ORIENTED_EDGE('',*,*,#97798,.F.); #140542=ORIENTED_EDGE('',*,*,#97800,.F.); #140543=ORIENTED_EDGE('',*,*,#97802,.F.); #140544=ORIENTED_EDGE('',*,*,#97804,.F.); #140545=ORIENTED_EDGE('',*,*,#97806,.F.); #140546=ORIENTED_EDGE('',*,*,#97808,.F.); #140547=ORIENTED_EDGE('',*,*,#97810,.F.); #140548=ORIENTED_EDGE('',*,*,#97812,.F.); #140549=ORIENTED_EDGE('',*,*,#97814,.F.); #140550=ORIENTED_EDGE('',*,*,#97816,.F.); #140551=ORIENTED_EDGE('',*,*,#97818,.F.); #140552=ORIENTED_EDGE('',*,*,#97820,.F.); #140553=ORIENTED_EDGE('',*,*,#97822,.F.); #140554=ORIENTED_EDGE('',*,*,#97824,.F.); #140555=ORIENTED_EDGE('',*,*,#97826,.F.); #140556=ORIENTED_EDGE('',*,*,#97828,.F.); #140557=ORIENTED_EDGE('',*,*,#97830,.F.); #140558=ORIENTED_EDGE('',*,*,#97832,.F.); #140559=ORIENTED_EDGE('',*,*,#97834,.F.); #140560=ORIENTED_EDGE('',*,*,#97836,.F.); #140561=ORIENTED_EDGE('',*,*,#97838,.F.); #140562=ORIENTED_EDGE('',*,*,#97840,.F.); #140563=ORIENTED_EDGE('',*,*,#97842,.F.); #140564=ORIENTED_EDGE('',*,*,#97844,.F.); #140565=ORIENTED_EDGE('',*,*,#97846,.F.); #140566=ORIENTED_EDGE('',*,*,#97848,.F.); #140567=ORIENTED_EDGE('',*,*,#97850,.F.); #140568=ORIENTED_EDGE('',*,*,#97852,.F.); #140569=ORIENTED_EDGE('',*,*,#97854,.F.); #140570=ORIENTED_EDGE('',*,*,#97856,.F.); #140571=ORIENTED_EDGE('',*,*,#97858,.F.); #140572=ORIENTED_EDGE('',*,*,#96732,.F.); #140573=ORIENTED_EDGE('',*,*,#97860,.T.); #140574=ORIENTED_EDGE('',*,*,#97861,.T.); #140575=ORIENTED_EDGE('',*,*,#97862,.F.); #140576=ORIENTED_EDGE('',*,*,#96755,.F.); #140577=ORIENTED_EDGE('',*,*,#97863,.T.); #140578=ORIENTED_EDGE('',*,*,#97864,.T.); #140579=ORIENTED_EDGE('',*,*,#97860,.F.); #140580=ORIENTED_EDGE('',*,*,#96754,.F.); #140581=ORIENTED_EDGE('',*,*,#97865,.T.); #140582=ORIENTED_EDGE('',*,*,#97866,.T.); #140583=ORIENTED_EDGE('',*,*,#97863,.F.); #140584=ORIENTED_EDGE('',*,*,#96753,.F.); #140585=ORIENTED_EDGE('',*,*,#97867,.T.); #140586=ORIENTED_EDGE('',*,*,#97868,.T.); #140587=ORIENTED_EDGE('',*,*,#97865,.F.); #140588=ORIENTED_EDGE('',*,*,#96752,.F.); #140589=ORIENTED_EDGE('',*,*,#97869,.T.); #140590=ORIENTED_EDGE('',*,*,#97870,.T.); #140591=ORIENTED_EDGE('',*,*,#97867,.F.); #140592=ORIENTED_EDGE('',*,*,#96751,.F.); #140593=ORIENTED_EDGE('',*,*,#97871,.T.); #140594=ORIENTED_EDGE('',*,*,#97872,.T.); #140595=ORIENTED_EDGE('',*,*,#97869,.F.); #140596=ORIENTED_EDGE('',*,*,#96750,.F.); #140597=ORIENTED_EDGE('',*,*,#97873,.T.); #140598=ORIENTED_EDGE('',*,*,#97874,.T.); #140599=ORIENTED_EDGE('',*,*,#97871,.F.); #140600=ORIENTED_EDGE('',*,*,#96749,.F.); #140601=ORIENTED_EDGE('',*,*,#97875,.T.); #140602=ORIENTED_EDGE('',*,*,#97876,.T.); #140603=ORIENTED_EDGE('',*,*,#97873,.F.); #140604=ORIENTED_EDGE('',*,*,#96748,.F.); #140605=ORIENTED_EDGE('',*,*,#97877,.T.); #140606=ORIENTED_EDGE('',*,*,#97878,.T.); #140607=ORIENTED_EDGE('',*,*,#97875,.F.); #140608=ORIENTED_EDGE('',*,*,#96747,.F.); #140609=ORIENTED_EDGE('',*,*,#97879,.T.); #140610=ORIENTED_EDGE('',*,*,#97880,.T.); #140611=ORIENTED_EDGE('',*,*,#97877,.F.); #140612=ORIENTED_EDGE('',*,*,#96746,.F.); #140613=ORIENTED_EDGE('',*,*,#97881,.T.); #140614=ORIENTED_EDGE('',*,*,#97882,.T.); #140615=ORIENTED_EDGE('',*,*,#97879,.F.); #140616=ORIENTED_EDGE('',*,*,#96745,.F.); #140617=ORIENTED_EDGE('',*,*,#97883,.T.); #140618=ORIENTED_EDGE('',*,*,#97884,.T.); #140619=ORIENTED_EDGE('',*,*,#97881,.F.); #140620=ORIENTED_EDGE('',*,*,#96744,.F.); #140621=ORIENTED_EDGE('',*,*,#97885,.T.); #140622=ORIENTED_EDGE('',*,*,#97886,.T.); #140623=ORIENTED_EDGE('',*,*,#97883,.F.); #140624=ORIENTED_EDGE('',*,*,#96743,.F.); #140625=ORIENTED_EDGE('',*,*,#97887,.T.); #140626=ORIENTED_EDGE('',*,*,#97888,.T.); #140627=ORIENTED_EDGE('',*,*,#97885,.F.); #140628=ORIENTED_EDGE('',*,*,#96742,.F.); #140629=ORIENTED_EDGE('',*,*,#97889,.T.); #140630=ORIENTED_EDGE('',*,*,#97890,.T.); #140631=ORIENTED_EDGE('',*,*,#97887,.F.); #140632=ORIENTED_EDGE('',*,*,#96741,.F.); #140633=ORIENTED_EDGE('',*,*,#97891,.T.); #140634=ORIENTED_EDGE('',*,*,#97892,.T.); #140635=ORIENTED_EDGE('',*,*,#97889,.F.); #140636=ORIENTED_EDGE('',*,*,#96740,.F.); #140637=ORIENTED_EDGE('',*,*,#97893,.T.); #140638=ORIENTED_EDGE('',*,*,#97894,.T.); #140639=ORIENTED_EDGE('',*,*,#97891,.F.); #140640=ORIENTED_EDGE('',*,*,#96739,.F.); #140641=ORIENTED_EDGE('',*,*,#97895,.T.); #140642=ORIENTED_EDGE('',*,*,#97896,.T.); #140643=ORIENTED_EDGE('',*,*,#97893,.F.); #140644=ORIENTED_EDGE('',*,*,#96738,.F.); #140645=ORIENTED_EDGE('',*,*,#97897,.T.); #140646=ORIENTED_EDGE('',*,*,#97898,.T.); #140647=ORIENTED_EDGE('',*,*,#97895,.F.); #140648=ORIENTED_EDGE('',*,*,#96737,.F.); #140649=ORIENTED_EDGE('',*,*,#97899,.T.); #140650=ORIENTED_EDGE('',*,*,#97900,.T.); #140651=ORIENTED_EDGE('',*,*,#97897,.F.); #140652=ORIENTED_EDGE('',*,*,#96736,.F.); #140653=ORIENTED_EDGE('',*,*,#97901,.T.); #140654=ORIENTED_EDGE('',*,*,#97902,.T.); #140655=ORIENTED_EDGE('',*,*,#97899,.F.); #140656=ORIENTED_EDGE('',*,*,#96735,.F.); #140657=ORIENTED_EDGE('',*,*,#97903,.T.); #140658=ORIENTED_EDGE('',*,*,#97904,.T.); #140659=ORIENTED_EDGE('',*,*,#97901,.F.); #140660=ORIENTED_EDGE('',*,*,#96734,.F.); #140661=ORIENTED_EDGE('',*,*,#97905,.T.); #140662=ORIENTED_EDGE('',*,*,#97906,.T.); #140663=ORIENTED_EDGE('',*,*,#97903,.F.); #140664=ORIENTED_EDGE('',*,*,#96733,.F.); #140665=ORIENTED_EDGE('',*,*,#97862,.T.); #140666=ORIENTED_EDGE('',*,*,#97907,.T.); #140667=ORIENTED_EDGE('',*,*,#97905,.F.); #140668=ORIENTED_EDGE('',*,*,#97907,.F.); #140669=ORIENTED_EDGE('',*,*,#97861,.F.); #140670=ORIENTED_EDGE('',*,*,#97864,.F.); #140671=ORIENTED_EDGE('',*,*,#97866,.F.); #140672=ORIENTED_EDGE('',*,*,#97868,.F.); #140673=ORIENTED_EDGE('',*,*,#97870,.F.); #140674=ORIENTED_EDGE('',*,*,#97872,.F.); #140675=ORIENTED_EDGE('',*,*,#97874,.F.); #140676=ORIENTED_EDGE('',*,*,#97876,.F.); #140677=ORIENTED_EDGE('',*,*,#97878,.F.); #140678=ORIENTED_EDGE('',*,*,#97880,.F.); #140679=ORIENTED_EDGE('',*,*,#97882,.F.); #140680=ORIENTED_EDGE('',*,*,#97884,.F.); #140681=ORIENTED_EDGE('',*,*,#97886,.F.); #140682=ORIENTED_EDGE('',*,*,#97888,.F.); #140683=ORIENTED_EDGE('',*,*,#97890,.F.); #140684=ORIENTED_EDGE('',*,*,#97892,.F.); #140685=ORIENTED_EDGE('',*,*,#97894,.F.); #140686=ORIENTED_EDGE('',*,*,#97896,.F.); #140687=ORIENTED_EDGE('',*,*,#97898,.F.); #140688=ORIENTED_EDGE('',*,*,#97900,.F.); #140689=ORIENTED_EDGE('',*,*,#97902,.F.); #140690=ORIENTED_EDGE('',*,*,#97904,.F.); #140691=ORIENTED_EDGE('',*,*,#97906,.F.); #140692=ORIENTED_EDGE('',*,*,#97908,.F.); #140693=ORIENTED_EDGE('',*,*,#97909,.T.); #140694=ORIENTED_EDGE('',*,*,#97910,.T.); #140695=ORIENTED_EDGE('',*,*,#97911,.F.); #140696=ORIENTED_EDGE('',*,*,#97912,.F.); #140697=ORIENTED_EDGE('',*,*,#97913,.T.); #140698=ORIENTED_EDGE('',*,*,#97914,.T.); #140699=ORIENTED_EDGE('',*,*,#97909,.F.); #140700=ORIENTED_EDGE('',*,*,#97915,.F.); #140701=ORIENTED_EDGE('',*,*,#97916,.T.); #140702=ORIENTED_EDGE('',*,*,#97917,.T.); #140703=ORIENTED_EDGE('',*,*,#97913,.F.); #140704=ORIENTED_EDGE('',*,*,#97918,.F.); #140705=ORIENTED_EDGE('',*,*,#97919,.T.); #140706=ORIENTED_EDGE('',*,*,#97920,.T.); #140707=ORIENTED_EDGE('',*,*,#97916,.F.); #140708=ORIENTED_EDGE('',*,*,#97921,.F.); #140709=ORIENTED_EDGE('',*,*,#97922,.T.); #140710=ORIENTED_EDGE('',*,*,#97923,.T.); #140711=ORIENTED_EDGE('',*,*,#97919,.F.); #140712=ORIENTED_EDGE('',*,*,#97924,.F.); #140713=ORIENTED_EDGE('',*,*,#97925,.T.); #140714=ORIENTED_EDGE('',*,*,#97926,.T.); #140715=ORIENTED_EDGE('',*,*,#97922,.F.); #140716=ORIENTED_EDGE('',*,*,#97927,.F.); #140717=ORIENTED_EDGE('',*,*,#97928,.T.); #140718=ORIENTED_EDGE('',*,*,#97929,.T.); #140719=ORIENTED_EDGE('',*,*,#97925,.F.); #140720=ORIENTED_EDGE('',*,*,#97930,.F.); #140721=ORIENTED_EDGE('',*,*,#97931,.T.); #140722=ORIENTED_EDGE('',*,*,#97932,.T.); #140723=ORIENTED_EDGE('',*,*,#97928,.F.); #140724=ORIENTED_EDGE('',*,*,#97933,.F.); #140725=ORIENTED_EDGE('',*,*,#97911,.T.); #140726=ORIENTED_EDGE('',*,*,#97934,.T.); #140727=ORIENTED_EDGE('',*,*,#97931,.F.); #140728=ORIENTED_EDGE('',*,*,#96701,.F.); #140729=ORIENTED_EDGE('',*,*,#97935,.T.); #140730=ORIENTED_EDGE('',*,*,#97936,.T.); #140731=ORIENTED_EDGE('',*,*,#97937,.F.); #140732=ORIENTED_EDGE('',*,*,#96731,.F.); #140733=ORIENTED_EDGE('',*,*,#97938,.T.); #140734=ORIENTED_EDGE('',*,*,#97939,.T.); #140735=ORIENTED_EDGE('',*,*,#97935,.F.); #140736=ORIENTED_EDGE('',*,*,#96730,.F.); #140737=ORIENTED_EDGE('',*,*,#97940,.T.); #140738=ORIENTED_EDGE('',*,*,#97941,.T.); #140739=ORIENTED_EDGE('',*,*,#97938,.F.); #140740=ORIENTED_EDGE('',*,*,#96729,.F.); #140741=ORIENTED_EDGE('',*,*,#97942,.T.); #140742=ORIENTED_EDGE('',*,*,#97943,.T.); #140743=ORIENTED_EDGE('',*,*,#97940,.F.); #140744=ORIENTED_EDGE('',*,*,#96728,.F.); #140745=ORIENTED_EDGE('',*,*,#97944,.T.); #140746=ORIENTED_EDGE('',*,*,#97945,.T.); #140747=ORIENTED_EDGE('',*,*,#97942,.F.); #140748=ORIENTED_EDGE('',*,*,#96727,.F.); #140749=ORIENTED_EDGE('',*,*,#97946,.T.); #140750=ORIENTED_EDGE('',*,*,#97947,.T.); #140751=ORIENTED_EDGE('',*,*,#97944,.F.); #140752=ORIENTED_EDGE('',*,*,#96726,.F.); #140753=ORIENTED_EDGE('',*,*,#97948,.T.); #140754=ORIENTED_EDGE('',*,*,#97949,.T.); #140755=ORIENTED_EDGE('',*,*,#97946,.F.); #140756=ORIENTED_EDGE('',*,*,#96725,.F.); #140757=ORIENTED_EDGE('',*,*,#97950,.T.); #140758=ORIENTED_EDGE('',*,*,#97951,.T.); #140759=ORIENTED_EDGE('',*,*,#97948,.F.); #140760=ORIENTED_EDGE('',*,*,#96724,.F.); #140761=ORIENTED_EDGE('',*,*,#97952,.T.); #140762=ORIENTED_EDGE('',*,*,#97953,.T.); #140763=ORIENTED_EDGE('',*,*,#97950,.F.); #140764=ORIENTED_EDGE('',*,*,#96723,.F.); #140765=ORIENTED_EDGE('',*,*,#97954,.T.); #140766=ORIENTED_EDGE('',*,*,#97955,.T.); #140767=ORIENTED_EDGE('',*,*,#97952,.F.); #140768=ORIENTED_EDGE('',*,*,#96722,.F.); #140769=ORIENTED_EDGE('',*,*,#97956,.T.); #140770=ORIENTED_EDGE('',*,*,#97957,.T.); #140771=ORIENTED_EDGE('',*,*,#97954,.F.); #140772=ORIENTED_EDGE('',*,*,#96721,.F.); #140773=ORIENTED_EDGE('',*,*,#97958,.T.); #140774=ORIENTED_EDGE('',*,*,#97959,.T.); #140775=ORIENTED_EDGE('',*,*,#97956,.F.); #140776=ORIENTED_EDGE('',*,*,#96720,.F.); #140777=ORIENTED_EDGE('',*,*,#97960,.T.); #140778=ORIENTED_EDGE('',*,*,#97961,.T.); #140779=ORIENTED_EDGE('',*,*,#97958,.F.); #140780=ORIENTED_EDGE('',*,*,#96719,.F.); #140781=ORIENTED_EDGE('',*,*,#97962,.T.); #140782=ORIENTED_EDGE('',*,*,#97963,.T.); #140783=ORIENTED_EDGE('',*,*,#97960,.F.); #140784=ORIENTED_EDGE('',*,*,#96718,.F.); #140785=ORIENTED_EDGE('',*,*,#97964,.T.); #140786=ORIENTED_EDGE('',*,*,#97965,.T.); #140787=ORIENTED_EDGE('',*,*,#97962,.F.); #140788=ORIENTED_EDGE('',*,*,#96717,.F.); #140789=ORIENTED_EDGE('',*,*,#97966,.T.); #140790=ORIENTED_EDGE('',*,*,#97967,.T.); #140791=ORIENTED_EDGE('',*,*,#97964,.F.); #140792=ORIENTED_EDGE('',*,*,#96716,.F.); #140793=ORIENTED_EDGE('',*,*,#97968,.T.); #140794=ORIENTED_EDGE('',*,*,#97969,.T.); #140795=ORIENTED_EDGE('',*,*,#97966,.F.); #140796=ORIENTED_EDGE('',*,*,#96715,.F.); #140797=ORIENTED_EDGE('',*,*,#97970,.T.); #140798=ORIENTED_EDGE('',*,*,#97971,.T.); #140799=ORIENTED_EDGE('',*,*,#97968,.F.); #140800=ORIENTED_EDGE('',*,*,#96714,.F.); #140801=ORIENTED_EDGE('',*,*,#97972,.T.); #140802=ORIENTED_EDGE('',*,*,#97973,.T.); #140803=ORIENTED_EDGE('',*,*,#97970,.F.); #140804=ORIENTED_EDGE('',*,*,#96713,.F.); #140805=ORIENTED_EDGE('',*,*,#97974,.T.); #140806=ORIENTED_EDGE('',*,*,#97975,.T.); #140807=ORIENTED_EDGE('',*,*,#97972,.F.); #140808=ORIENTED_EDGE('',*,*,#96712,.F.); #140809=ORIENTED_EDGE('',*,*,#97976,.T.); #140810=ORIENTED_EDGE('',*,*,#97977,.T.); #140811=ORIENTED_EDGE('',*,*,#97974,.F.); #140812=ORIENTED_EDGE('',*,*,#96711,.F.); #140813=ORIENTED_EDGE('',*,*,#97978,.T.); #140814=ORIENTED_EDGE('',*,*,#97979,.T.); #140815=ORIENTED_EDGE('',*,*,#97976,.F.); #140816=ORIENTED_EDGE('',*,*,#96710,.F.); #140817=ORIENTED_EDGE('',*,*,#97980,.T.); #140818=ORIENTED_EDGE('',*,*,#97981,.T.); #140819=ORIENTED_EDGE('',*,*,#97978,.F.); #140820=ORIENTED_EDGE('',*,*,#96709,.F.); #140821=ORIENTED_EDGE('',*,*,#97982,.T.); #140822=ORIENTED_EDGE('',*,*,#97983,.T.); #140823=ORIENTED_EDGE('',*,*,#97980,.F.); #140824=ORIENTED_EDGE('',*,*,#96708,.F.); #140825=ORIENTED_EDGE('',*,*,#97984,.T.); #140826=ORIENTED_EDGE('',*,*,#97985,.T.); #140827=ORIENTED_EDGE('',*,*,#97982,.F.); #140828=ORIENTED_EDGE('',*,*,#96707,.F.); #140829=ORIENTED_EDGE('',*,*,#97986,.T.); #140830=ORIENTED_EDGE('',*,*,#97987,.T.); #140831=ORIENTED_EDGE('',*,*,#97984,.F.); #140832=ORIENTED_EDGE('',*,*,#96706,.F.); #140833=ORIENTED_EDGE('',*,*,#97988,.T.); #140834=ORIENTED_EDGE('',*,*,#97989,.T.); #140835=ORIENTED_EDGE('',*,*,#97986,.F.); #140836=ORIENTED_EDGE('',*,*,#96705,.F.); #140837=ORIENTED_EDGE('',*,*,#97990,.T.); #140838=ORIENTED_EDGE('',*,*,#97991,.T.); #140839=ORIENTED_EDGE('',*,*,#97988,.F.); #140840=ORIENTED_EDGE('',*,*,#96704,.F.); #140841=ORIENTED_EDGE('',*,*,#97992,.T.); #140842=ORIENTED_EDGE('',*,*,#97993,.T.); #140843=ORIENTED_EDGE('',*,*,#97990,.F.); #140844=ORIENTED_EDGE('',*,*,#96703,.F.); #140845=ORIENTED_EDGE('',*,*,#97994,.T.); #140846=ORIENTED_EDGE('',*,*,#97995,.T.); #140847=ORIENTED_EDGE('',*,*,#97992,.F.); #140848=ORIENTED_EDGE('',*,*,#96702,.F.); #140849=ORIENTED_EDGE('',*,*,#97937,.T.); #140850=ORIENTED_EDGE('',*,*,#97996,.T.); #140851=ORIENTED_EDGE('',*,*,#97994,.F.); #140852=ORIENTED_EDGE('',*,*,#97996,.F.); #140853=ORIENTED_EDGE('',*,*,#97936,.F.); #140854=ORIENTED_EDGE('',*,*,#97939,.F.); #140855=ORIENTED_EDGE('',*,*,#97941,.F.); #140856=ORIENTED_EDGE('',*,*,#97943,.F.); #140857=ORIENTED_EDGE('',*,*,#97945,.F.); #140858=ORIENTED_EDGE('',*,*,#97947,.F.); #140859=ORIENTED_EDGE('',*,*,#97949,.F.); #140860=ORIENTED_EDGE('',*,*,#97951,.F.); #140861=ORIENTED_EDGE('',*,*,#97953,.F.); #140862=ORIENTED_EDGE('',*,*,#97955,.F.); #140863=ORIENTED_EDGE('',*,*,#97957,.F.); #140864=ORIENTED_EDGE('',*,*,#97959,.F.); #140865=ORIENTED_EDGE('',*,*,#97961,.F.); #140866=ORIENTED_EDGE('',*,*,#97963,.F.); #140867=ORIENTED_EDGE('',*,*,#97965,.F.); #140868=ORIENTED_EDGE('',*,*,#97967,.F.); #140869=ORIENTED_EDGE('',*,*,#97969,.F.); #140870=ORIENTED_EDGE('',*,*,#97971,.F.); #140871=ORIENTED_EDGE('',*,*,#97973,.F.); #140872=ORIENTED_EDGE('',*,*,#97975,.F.); #140873=ORIENTED_EDGE('',*,*,#97977,.F.); #140874=ORIENTED_EDGE('',*,*,#97979,.F.); #140875=ORIENTED_EDGE('',*,*,#97981,.F.); #140876=ORIENTED_EDGE('',*,*,#97983,.F.); #140877=ORIENTED_EDGE('',*,*,#97985,.F.); #140878=ORIENTED_EDGE('',*,*,#97987,.F.); #140879=ORIENTED_EDGE('',*,*,#97989,.F.); #140880=ORIENTED_EDGE('',*,*,#97991,.F.); #140881=ORIENTED_EDGE('',*,*,#97993,.F.); #140882=ORIENTED_EDGE('',*,*,#97995,.F.); #140883=ORIENTED_EDGE('',*,*,#97934,.F.); #140884=ORIENTED_EDGE('',*,*,#97910,.F.); #140885=ORIENTED_EDGE('',*,*,#97914,.F.); #140886=ORIENTED_EDGE('',*,*,#97917,.F.); #140887=ORIENTED_EDGE('',*,*,#97920,.F.); #140888=ORIENTED_EDGE('',*,*,#97923,.F.); #140889=ORIENTED_EDGE('',*,*,#97926,.F.); #140890=ORIENTED_EDGE('',*,*,#97929,.F.); #140891=ORIENTED_EDGE('',*,*,#97932,.F.); #140892=ORIENTED_EDGE('',*,*,#96690,.F.); #140893=ORIENTED_EDGE('',*,*,#97997,.T.); #140894=ORIENTED_EDGE('',*,*,#97998,.T.); #140895=ORIENTED_EDGE('',*,*,#97999,.F.); #140896=ORIENTED_EDGE('',*,*,#96700,.F.); #140897=ORIENTED_EDGE('',*,*,#98000,.T.); #140898=ORIENTED_EDGE('',*,*,#98001,.T.); #140899=ORIENTED_EDGE('',*,*,#97997,.F.); #140900=ORIENTED_EDGE('',*,*,#96699,.F.); #140901=ORIENTED_EDGE('',*,*,#98002,.T.); #140902=ORIENTED_EDGE('',*,*,#98003,.T.); #140903=ORIENTED_EDGE('',*,*,#98000,.F.); #140904=ORIENTED_EDGE('',*,*,#96698,.F.); #140905=ORIENTED_EDGE('',*,*,#98004,.T.); #140906=ORIENTED_EDGE('',*,*,#98005,.T.); #140907=ORIENTED_EDGE('',*,*,#98002,.F.); #140908=ORIENTED_EDGE('',*,*,#96697,.F.); #140909=ORIENTED_EDGE('',*,*,#98006,.T.); #140910=ORIENTED_EDGE('',*,*,#98007,.T.); #140911=ORIENTED_EDGE('',*,*,#98004,.F.); #140912=ORIENTED_EDGE('',*,*,#96696,.F.); #140913=ORIENTED_EDGE('',*,*,#98008,.T.); #140914=ORIENTED_EDGE('',*,*,#98009,.T.); #140915=ORIENTED_EDGE('',*,*,#98006,.F.); #140916=ORIENTED_EDGE('',*,*,#96695,.F.); #140917=ORIENTED_EDGE('',*,*,#98010,.T.); #140918=ORIENTED_EDGE('',*,*,#98011,.T.); #140919=ORIENTED_EDGE('',*,*,#98008,.F.); #140920=ORIENTED_EDGE('',*,*,#96694,.F.); #140921=ORIENTED_EDGE('',*,*,#98012,.T.); #140922=ORIENTED_EDGE('',*,*,#98013,.T.); #140923=ORIENTED_EDGE('',*,*,#98010,.F.); #140924=ORIENTED_EDGE('',*,*,#96693,.F.); #140925=ORIENTED_EDGE('',*,*,#98014,.T.); #140926=ORIENTED_EDGE('',*,*,#98015,.T.); #140927=ORIENTED_EDGE('',*,*,#98012,.F.); #140928=ORIENTED_EDGE('',*,*,#96692,.F.); #140929=ORIENTED_EDGE('',*,*,#98016,.T.); #140930=ORIENTED_EDGE('',*,*,#98017,.T.); #140931=ORIENTED_EDGE('',*,*,#98014,.F.); #140932=ORIENTED_EDGE('',*,*,#96691,.F.); #140933=ORIENTED_EDGE('',*,*,#97999,.T.); #140934=ORIENTED_EDGE('',*,*,#98018,.T.); #140935=ORIENTED_EDGE('',*,*,#98016,.F.); #140936=ORIENTED_EDGE('',*,*,#98018,.F.); #140937=ORIENTED_EDGE('',*,*,#97998,.F.); #140938=ORIENTED_EDGE('',*,*,#98001,.F.); #140939=ORIENTED_EDGE('',*,*,#98003,.F.); #140940=ORIENTED_EDGE('',*,*,#98005,.F.); #140941=ORIENTED_EDGE('',*,*,#98007,.F.); #140942=ORIENTED_EDGE('',*,*,#98009,.F.); #140943=ORIENTED_EDGE('',*,*,#98011,.F.); #140944=ORIENTED_EDGE('',*,*,#98013,.F.); #140945=ORIENTED_EDGE('',*,*,#98015,.F.); #140946=ORIENTED_EDGE('',*,*,#98017,.F.); #140947=ORIENTED_EDGE('',*,*,#98019,.F.); #140948=ORIENTED_EDGE('',*,*,#98020,.T.); #140949=ORIENTED_EDGE('',*,*,#98021,.T.); #140950=ORIENTED_EDGE('',*,*,#98022,.F.); #140951=ORIENTED_EDGE('',*,*,#98023,.F.); #140952=ORIENTED_EDGE('',*,*,#98024,.T.); #140953=ORIENTED_EDGE('',*,*,#98025,.T.); #140954=ORIENTED_EDGE('',*,*,#98020,.F.); #140955=ORIENTED_EDGE('',*,*,#98026,.F.); #140956=ORIENTED_EDGE('',*,*,#98027,.T.); #140957=ORIENTED_EDGE('',*,*,#98028,.T.); #140958=ORIENTED_EDGE('',*,*,#98024,.F.); #140959=ORIENTED_EDGE('',*,*,#98029,.F.); #140960=ORIENTED_EDGE('',*,*,#98030,.T.); #140961=ORIENTED_EDGE('',*,*,#98031,.T.); #140962=ORIENTED_EDGE('',*,*,#98027,.F.); #140963=ORIENTED_EDGE('',*,*,#98032,.F.); #140964=ORIENTED_EDGE('',*,*,#98033,.T.); #140965=ORIENTED_EDGE('',*,*,#98034,.T.); #140966=ORIENTED_EDGE('',*,*,#98030,.F.); #140967=ORIENTED_EDGE('',*,*,#98035,.F.); #140968=ORIENTED_EDGE('',*,*,#98036,.T.); #140969=ORIENTED_EDGE('',*,*,#98037,.T.); #140970=ORIENTED_EDGE('',*,*,#98033,.F.); #140971=ORIENTED_EDGE('',*,*,#98038,.F.); #140972=ORIENTED_EDGE('',*,*,#98039,.T.); #140973=ORIENTED_EDGE('',*,*,#98040,.T.); #140974=ORIENTED_EDGE('',*,*,#98036,.F.); #140975=ORIENTED_EDGE('',*,*,#98041,.F.); #140976=ORIENTED_EDGE('',*,*,#98042,.T.); #140977=ORIENTED_EDGE('',*,*,#98043,.T.); #140978=ORIENTED_EDGE('',*,*,#98039,.F.); #140979=ORIENTED_EDGE('',*,*,#98044,.F.); #140980=ORIENTED_EDGE('',*,*,#98045,.T.); #140981=ORIENTED_EDGE('',*,*,#98046,.T.); #140982=ORIENTED_EDGE('',*,*,#98042,.F.); #140983=ORIENTED_EDGE('',*,*,#98047,.F.); #140984=ORIENTED_EDGE('',*,*,#98022,.T.); #140985=ORIENTED_EDGE('',*,*,#98048,.T.); #140986=ORIENTED_EDGE('',*,*,#98045,.F.); #140987=ORIENTED_EDGE('',*,*,#96653,.F.); #140988=ORIENTED_EDGE('',*,*,#98049,.T.); #140989=ORIENTED_EDGE('',*,*,#98050,.T.); #140990=ORIENTED_EDGE('',*,*,#98051,.F.); #140991=ORIENTED_EDGE('',*,*,#96689,.F.); #140992=ORIENTED_EDGE('',*,*,#98052,.T.); #140993=ORIENTED_EDGE('',*,*,#98053,.T.); #140994=ORIENTED_EDGE('',*,*,#98049,.F.); #140995=ORIENTED_EDGE('',*,*,#96688,.F.); #140996=ORIENTED_EDGE('',*,*,#98054,.T.); #140997=ORIENTED_EDGE('',*,*,#98055,.T.); #140998=ORIENTED_EDGE('',*,*,#98052,.F.); #140999=ORIENTED_EDGE('',*,*,#96687,.F.); #141000=ORIENTED_EDGE('',*,*,#98056,.T.); #141001=ORIENTED_EDGE('',*,*,#98057,.T.); #141002=ORIENTED_EDGE('',*,*,#98054,.F.); #141003=ORIENTED_EDGE('',*,*,#96686,.F.); #141004=ORIENTED_EDGE('',*,*,#98058,.T.); #141005=ORIENTED_EDGE('',*,*,#98059,.T.); #141006=ORIENTED_EDGE('',*,*,#98056,.F.); #141007=ORIENTED_EDGE('',*,*,#96685,.F.); #141008=ORIENTED_EDGE('',*,*,#98060,.T.); #141009=ORIENTED_EDGE('',*,*,#98061,.T.); #141010=ORIENTED_EDGE('',*,*,#98058,.F.); #141011=ORIENTED_EDGE('',*,*,#96684,.F.); #141012=ORIENTED_EDGE('',*,*,#98062,.T.); #141013=ORIENTED_EDGE('',*,*,#98063,.T.); #141014=ORIENTED_EDGE('',*,*,#98060,.F.); #141015=ORIENTED_EDGE('',*,*,#96683,.F.); #141016=ORIENTED_EDGE('',*,*,#98064,.T.); #141017=ORIENTED_EDGE('',*,*,#98065,.T.); #141018=ORIENTED_EDGE('',*,*,#98062,.F.); #141019=ORIENTED_EDGE('',*,*,#96682,.F.); #141020=ORIENTED_EDGE('',*,*,#98066,.T.); #141021=ORIENTED_EDGE('',*,*,#98067,.T.); #141022=ORIENTED_EDGE('',*,*,#98064,.F.); #141023=ORIENTED_EDGE('',*,*,#96681,.F.); #141024=ORIENTED_EDGE('',*,*,#98068,.T.); #141025=ORIENTED_EDGE('',*,*,#98069,.T.); #141026=ORIENTED_EDGE('',*,*,#98066,.F.); #141027=ORIENTED_EDGE('',*,*,#96680,.F.); #141028=ORIENTED_EDGE('',*,*,#98070,.T.); #141029=ORIENTED_EDGE('',*,*,#98071,.T.); #141030=ORIENTED_EDGE('',*,*,#98068,.F.); #141031=ORIENTED_EDGE('',*,*,#96679,.F.); #141032=ORIENTED_EDGE('',*,*,#98072,.T.); #141033=ORIENTED_EDGE('',*,*,#98073,.T.); #141034=ORIENTED_EDGE('',*,*,#98070,.F.); #141035=ORIENTED_EDGE('',*,*,#96678,.F.); #141036=ORIENTED_EDGE('',*,*,#98074,.T.); #141037=ORIENTED_EDGE('',*,*,#98075,.T.); #141038=ORIENTED_EDGE('',*,*,#98072,.F.); #141039=ORIENTED_EDGE('',*,*,#96677,.F.); #141040=ORIENTED_EDGE('',*,*,#98076,.T.); #141041=ORIENTED_EDGE('',*,*,#98077,.T.); #141042=ORIENTED_EDGE('',*,*,#98074,.F.); #141043=ORIENTED_EDGE('',*,*,#96676,.F.); #141044=ORIENTED_EDGE('',*,*,#98078,.T.); #141045=ORIENTED_EDGE('',*,*,#98079,.T.); #141046=ORIENTED_EDGE('',*,*,#98076,.F.); #141047=ORIENTED_EDGE('',*,*,#96675,.F.); #141048=ORIENTED_EDGE('',*,*,#98080,.T.); #141049=ORIENTED_EDGE('',*,*,#98081,.T.); #141050=ORIENTED_EDGE('',*,*,#98078,.F.); #141051=ORIENTED_EDGE('',*,*,#96674,.F.); #141052=ORIENTED_EDGE('',*,*,#98082,.T.); #141053=ORIENTED_EDGE('',*,*,#98083,.T.); #141054=ORIENTED_EDGE('',*,*,#98080,.F.); #141055=ORIENTED_EDGE('',*,*,#96673,.F.); #141056=ORIENTED_EDGE('',*,*,#98084,.T.); #141057=ORIENTED_EDGE('',*,*,#98085,.T.); #141058=ORIENTED_EDGE('',*,*,#98082,.F.); #141059=ORIENTED_EDGE('',*,*,#96672,.F.); #141060=ORIENTED_EDGE('',*,*,#98086,.T.); #141061=ORIENTED_EDGE('',*,*,#98087,.T.); #141062=ORIENTED_EDGE('',*,*,#98084,.F.); #141063=ORIENTED_EDGE('',*,*,#96671,.F.); #141064=ORIENTED_EDGE('',*,*,#98088,.T.); #141065=ORIENTED_EDGE('',*,*,#98089,.T.); #141066=ORIENTED_EDGE('',*,*,#98086,.F.); #141067=ORIENTED_EDGE('',*,*,#96670,.F.); #141068=ORIENTED_EDGE('',*,*,#98090,.T.); #141069=ORIENTED_EDGE('',*,*,#98091,.T.); #141070=ORIENTED_EDGE('',*,*,#98088,.F.); #141071=ORIENTED_EDGE('',*,*,#96669,.F.); #141072=ORIENTED_EDGE('',*,*,#98092,.T.); #141073=ORIENTED_EDGE('',*,*,#98093,.T.); #141074=ORIENTED_EDGE('',*,*,#98090,.F.); #141075=ORIENTED_EDGE('',*,*,#96668,.F.); #141076=ORIENTED_EDGE('',*,*,#98094,.T.); #141077=ORIENTED_EDGE('',*,*,#98095,.T.); #141078=ORIENTED_EDGE('',*,*,#98092,.F.); #141079=ORIENTED_EDGE('',*,*,#96667,.F.); #141080=ORIENTED_EDGE('',*,*,#98096,.T.); #141081=ORIENTED_EDGE('',*,*,#98097,.T.); #141082=ORIENTED_EDGE('',*,*,#98094,.F.); #141083=ORIENTED_EDGE('',*,*,#96666,.F.); #141084=ORIENTED_EDGE('',*,*,#98098,.T.); #141085=ORIENTED_EDGE('',*,*,#98099,.T.); #141086=ORIENTED_EDGE('',*,*,#98096,.F.); #141087=ORIENTED_EDGE('',*,*,#96665,.F.); #141088=ORIENTED_EDGE('',*,*,#98100,.T.); #141089=ORIENTED_EDGE('',*,*,#98101,.T.); #141090=ORIENTED_EDGE('',*,*,#98098,.F.); #141091=ORIENTED_EDGE('',*,*,#96664,.F.); #141092=ORIENTED_EDGE('',*,*,#98102,.T.); #141093=ORIENTED_EDGE('',*,*,#98103,.T.); #141094=ORIENTED_EDGE('',*,*,#98100,.F.); #141095=ORIENTED_EDGE('',*,*,#96663,.F.); #141096=ORIENTED_EDGE('',*,*,#98104,.T.); #141097=ORIENTED_EDGE('',*,*,#98105,.T.); #141098=ORIENTED_EDGE('',*,*,#98102,.F.); #141099=ORIENTED_EDGE('',*,*,#96662,.F.); #141100=ORIENTED_EDGE('',*,*,#98106,.T.); #141101=ORIENTED_EDGE('',*,*,#98107,.T.); #141102=ORIENTED_EDGE('',*,*,#98104,.F.); #141103=ORIENTED_EDGE('',*,*,#96661,.F.); #141104=ORIENTED_EDGE('',*,*,#98108,.T.); #141105=ORIENTED_EDGE('',*,*,#98109,.T.); #141106=ORIENTED_EDGE('',*,*,#98106,.F.); #141107=ORIENTED_EDGE('',*,*,#96660,.F.); #141108=ORIENTED_EDGE('',*,*,#98110,.T.); #141109=ORIENTED_EDGE('',*,*,#98111,.T.); #141110=ORIENTED_EDGE('',*,*,#98108,.F.); #141111=ORIENTED_EDGE('',*,*,#96659,.F.); #141112=ORIENTED_EDGE('',*,*,#98112,.T.); #141113=ORIENTED_EDGE('',*,*,#98113,.T.); #141114=ORIENTED_EDGE('',*,*,#98110,.F.); #141115=ORIENTED_EDGE('',*,*,#96658,.F.); #141116=ORIENTED_EDGE('',*,*,#98114,.T.); #141117=ORIENTED_EDGE('',*,*,#98115,.T.); #141118=ORIENTED_EDGE('',*,*,#98112,.F.); #141119=ORIENTED_EDGE('',*,*,#96657,.F.); #141120=ORIENTED_EDGE('',*,*,#98116,.T.); #141121=ORIENTED_EDGE('',*,*,#98117,.T.); #141122=ORIENTED_EDGE('',*,*,#98114,.F.); #141123=ORIENTED_EDGE('',*,*,#96656,.F.); #141124=ORIENTED_EDGE('',*,*,#98118,.T.); #141125=ORIENTED_EDGE('',*,*,#98119,.T.); #141126=ORIENTED_EDGE('',*,*,#98116,.F.); #141127=ORIENTED_EDGE('',*,*,#96655,.F.); #141128=ORIENTED_EDGE('',*,*,#98120,.T.); #141129=ORIENTED_EDGE('',*,*,#98121,.T.); #141130=ORIENTED_EDGE('',*,*,#98118,.F.); #141131=ORIENTED_EDGE('',*,*,#96654,.F.); #141132=ORIENTED_EDGE('',*,*,#98051,.T.); #141133=ORIENTED_EDGE('',*,*,#98122,.T.); #141134=ORIENTED_EDGE('',*,*,#98120,.F.); #141135=ORIENTED_EDGE('',*,*,#98122,.F.); #141136=ORIENTED_EDGE('',*,*,#98050,.F.); #141137=ORIENTED_EDGE('',*,*,#98053,.F.); #141138=ORIENTED_EDGE('',*,*,#98055,.F.); #141139=ORIENTED_EDGE('',*,*,#98057,.F.); #141140=ORIENTED_EDGE('',*,*,#98059,.F.); #141141=ORIENTED_EDGE('',*,*,#98061,.F.); #141142=ORIENTED_EDGE('',*,*,#98063,.F.); #141143=ORIENTED_EDGE('',*,*,#98065,.F.); #141144=ORIENTED_EDGE('',*,*,#98067,.F.); #141145=ORIENTED_EDGE('',*,*,#98069,.F.); #141146=ORIENTED_EDGE('',*,*,#98071,.F.); #141147=ORIENTED_EDGE('',*,*,#98073,.F.); #141148=ORIENTED_EDGE('',*,*,#98075,.F.); #141149=ORIENTED_EDGE('',*,*,#98077,.F.); #141150=ORIENTED_EDGE('',*,*,#98079,.F.); #141151=ORIENTED_EDGE('',*,*,#98081,.F.); #141152=ORIENTED_EDGE('',*,*,#98083,.F.); #141153=ORIENTED_EDGE('',*,*,#98085,.F.); #141154=ORIENTED_EDGE('',*,*,#98087,.F.); #141155=ORIENTED_EDGE('',*,*,#98089,.F.); #141156=ORIENTED_EDGE('',*,*,#98091,.F.); #141157=ORIENTED_EDGE('',*,*,#98093,.F.); #141158=ORIENTED_EDGE('',*,*,#98095,.F.); #141159=ORIENTED_EDGE('',*,*,#98097,.F.); #141160=ORIENTED_EDGE('',*,*,#98099,.F.); #141161=ORIENTED_EDGE('',*,*,#98101,.F.); #141162=ORIENTED_EDGE('',*,*,#98103,.F.); #141163=ORIENTED_EDGE('',*,*,#98105,.F.); #141164=ORIENTED_EDGE('',*,*,#98107,.F.); #141165=ORIENTED_EDGE('',*,*,#98109,.F.); #141166=ORIENTED_EDGE('',*,*,#98111,.F.); #141167=ORIENTED_EDGE('',*,*,#98113,.F.); #141168=ORIENTED_EDGE('',*,*,#98115,.F.); #141169=ORIENTED_EDGE('',*,*,#98117,.F.); #141170=ORIENTED_EDGE('',*,*,#98119,.F.); #141171=ORIENTED_EDGE('',*,*,#98121,.F.); #141172=ORIENTED_EDGE('',*,*,#98048,.F.); #141173=ORIENTED_EDGE('',*,*,#98021,.F.); #141174=ORIENTED_EDGE('',*,*,#98025,.F.); #141175=ORIENTED_EDGE('',*,*,#98028,.F.); #141176=ORIENTED_EDGE('',*,*,#98031,.F.); #141177=ORIENTED_EDGE('',*,*,#98034,.F.); #141178=ORIENTED_EDGE('',*,*,#98037,.F.); #141179=ORIENTED_EDGE('',*,*,#98040,.F.); #141180=ORIENTED_EDGE('',*,*,#98043,.F.); #141181=ORIENTED_EDGE('',*,*,#98046,.F.); #141182=ORIENTED_EDGE('',*,*,#96610,.F.); #141183=ORIENTED_EDGE('',*,*,#98123,.T.); #141184=ORIENTED_EDGE('',*,*,#98124,.T.); #141185=ORIENTED_EDGE('',*,*,#98125,.F.); #141186=ORIENTED_EDGE('',*,*,#96652,.F.); #141187=ORIENTED_EDGE('',*,*,#98126,.T.); #141188=ORIENTED_EDGE('',*,*,#98127,.T.); #141189=ORIENTED_EDGE('',*,*,#98123,.F.); #141190=ORIENTED_EDGE('',*,*,#96651,.F.); #141191=ORIENTED_EDGE('',*,*,#98128,.T.); #141192=ORIENTED_EDGE('',*,*,#98129,.T.); #141193=ORIENTED_EDGE('',*,*,#98126,.F.); #141194=ORIENTED_EDGE('',*,*,#96650,.F.); #141195=ORIENTED_EDGE('',*,*,#98130,.T.); #141196=ORIENTED_EDGE('',*,*,#98131,.T.); #141197=ORIENTED_EDGE('',*,*,#98128,.F.); #141198=ORIENTED_EDGE('',*,*,#96649,.F.); #141199=ORIENTED_EDGE('',*,*,#98132,.T.); #141200=ORIENTED_EDGE('',*,*,#98133,.T.); #141201=ORIENTED_EDGE('',*,*,#98130,.F.); #141202=ORIENTED_EDGE('',*,*,#96648,.F.); #141203=ORIENTED_EDGE('',*,*,#98134,.T.); #141204=ORIENTED_EDGE('',*,*,#98135,.T.); #141205=ORIENTED_EDGE('',*,*,#98132,.F.); #141206=ORIENTED_EDGE('',*,*,#96647,.F.); #141207=ORIENTED_EDGE('',*,*,#98136,.T.); #141208=ORIENTED_EDGE('',*,*,#98137,.T.); #141209=ORIENTED_EDGE('',*,*,#98134,.F.); #141210=ORIENTED_EDGE('',*,*,#96646,.F.); #141211=ORIENTED_EDGE('',*,*,#98138,.T.); #141212=ORIENTED_EDGE('',*,*,#98139,.T.); #141213=ORIENTED_EDGE('',*,*,#98136,.F.); #141214=ORIENTED_EDGE('',*,*,#96645,.F.); #141215=ORIENTED_EDGE('',*,*,#98140,.T.); #141216=ORIENTED_EDGE('',*,*,#98141,.T.); #141217=ORIENTED_EDGE('',*,*,#98138,.F.); #141218=ORIENTED_EDGE('',*,*,#96644,.F.); #141219=ORIENTED_EDGE('',*,*,#98142,.T.); #141220=ORIENTED_EDGE('',*,*,#98143,.T.); #141221=ORIENTED_EDGE('',*,*,#98140,.F.); #141222=ORIENTED_EDGE('',*,*,#96643,.F.); #141223=ORIENTED_EDGE('',*,*,#98144,.T.); #141224=ORIENTED_EDGE('',*,*,#98145,.T.); #141225=ORIENTED_EDGE('',*,*,#98142,.F.); #141226=ORIENTED_EDGE('',*,*,#96642,.F.); #141227=ORIENTED_EDGE('',*,*,#98146,.T.); #141228=ORIENTED_EDGE('',*,*,#98147,.T.); #141229=ORIENTED_EDGE('',*,*,#98144,.F.); #141230=ORIENTED_EDGE('',*,*,#96641,.F.); #141231=ORIENTED_EDGE('',*,*,#98148,.T.); #141232=ORIENTED_EDGE('',*,*,#98149,.T.); #141233=ORIENTED_EDGE('',*,*,#98146,.F.); #141234=ORIENTED_EDGE('',*,*,#96640,.F.); #141235=ORIENTED_EDGE('',*,*,#98150,.T.); #141236=ORIENTED_EDGE('',*,*,#98151,.T.); #141237=ORIENTED_EDGE('',*,*,#98148,.F.); #141238=ORIENTED_EDGE('',*,*,#96639,.F.); #141239=ORIENTED_EDGE('',*,*,#98152,.T.); #141240=ORIENTED_EDGE('',*,*,#98153,.T.); #141241=ORIENTED_EDGE('',*,*,#98150,.F.); #141242=ORIENTED_EDGE('',*,*,#96638,.F.); #141243=ORIENTED_EDGE('',*,*,#98154,.T.); #141244=ORIENTED_EDGE('',*,*,#98155,.T.); #141245=ORIENTED_EDGE('',*,*,#98152,.F.); #141246=ORIENTED_EDGE('',*,*,#96637,.F.); #141247=ORIENTED_EDGE('',*,*,#98156,.T.); #141248=ORIENTED_EDGE('',*,*,#98157,.T.); #141249=ORIENTED_EDGE('',*,*,#98154,.F.); #141250=ORIENTED_EDGE('',*,*,#96636,.F.); #141251=ORIENTED_EDGE('',*,*,#98158,.T.); #141252=ORIENTED_EDGE('',*,*,#98159,.T.); #141253=ORIENTED_EDGE('',*,*,#98156,.F.); #141254=ORIENTED_EDGE('',*,*,#96635,.F.); #141255=ORIENTED_EDGE('',*,*,#98160,.T.); #141256=ORIENTED_EDGE('',*,*,#98161,.T.); #141257=ORIENTED_EDGE('',*,*,#98158,.F.); #141258=ORIENTED_EDGE('',*,*,#96634,.F.); #141259=ORIENTED_EDGE('',*,*,#98162,.T.); #141260=ORIENTED_EDGE('',*,*,#98163,.T.); #141261=ORIENTED_EDGE('',*,*,#98160,.F.); #141262=ORIENTED_EDGE('',*,*,#96633,.F.); #141263=ORIENTED_EDGE('',*,*,#98164,.T.); #141264=ORIENTED_EDGE('',*,*,#98165,.T.); #141265=ORIENTED_EDGE('',*,*,#98162,.F.); #141266=ORIENTED_EDGE('',*,*,#96632,.F.); #141267=ORIENTED_EDGE('',*,*,#98166,.T.); #141268=ORIENTED_EDGE('',*,*,#98167,.T.); #141269=ORIENTED_EDGE('',*,*,#98164,.F.); #141270=ORIENTED_EDGE('',*,*,#96631,.F.); #141271=ORIENTED_EDGE('',*,*,#98168,.T.); #141272=ORIENTED_EDGE('',*,*,#98169,.T.); #141273=ORIENTED_EDGE('',*,*,#98166,.F.); #141274=ORIENTED_EDGE('',*,*,#96630,.F.); #141275=ORIENTED_EDGE('',*,*,#98170,.T.); #141276=ORIENTED_EDGE('',*,*,#98171,.T.); #141277=ORIENTED_EDGE('',*,*,#98168,.F.); #141278=ORIENTED_EDGE('',*,*,#96629,.F.); #141279=ORIENTED_EDGE('',*,*,#98172,.T.); #141280=ORIENTED_EDGE('',*,*,#98173,.T.); #141281=ORIENTED_EDGE('',*,*,#98170,.F.); #141282=ORIENTED_EDGE('',*,*,#96628,.F.); #141283=ORIENTED_EDGE('',*,*,#98174,.T.); #141284=ORIENTED_EDGE('',*,*,#98175,.T.); #141285=ORIENTED_EDGE('',*,*,#98172,.F.); #141286=ORIENTED_EDGE('',*,*,#96627,.F.); #141287=ORIENTED_EDGE('',*,*,#98176,.T.); #141288=ORIENTED_EDGE('',*,*,#98177,.T.); #141289=ORIENTED_EDGE('',*,*,#98174,.F.); #141290=ORIENTED_EDGE('',*,*,#96626,.F.); #141291=ORIENTED_EDGE('',*,*,#98178,.T.); #141292=ORIENTED_EDGE('',*,*,#98179,.T.); #141293=ORIENTED_EDGE('',*,*,#98176,.F.); #141294=ORIENTED_EDGE('',*,*,#96625,.F.); #141295=ORIENTED_EDGE('',*,*,#98180,.T.); #141296=ORIENTED_EDGE('',*,*,#98181,.T.); #141297=ORIENTED_EDGE('',*,*,#98178,.F.); #141298=ORIENTED_EDGE('',*,*,#96624,.F.); #141299=ORIENTED_EDGE('',*,*,#98182,.T.); #141300=ORIENTED_EDGE('',*,*,#98183,.T.); #141301=ORIENTED_EDGE('',*,*,#98180,.F.); #141302=ORIENTED_EDGE('',*,*,#96623,.F.); #141303=ORIENTED_EDGE('',*,*,#98184,.T.); #141304=ORIENTED_EDGE('',*,*,#98185,.T.); #141305=ORIENTED_EDGE('',*,*,#98182,.F.); #141306=ORIENTED_EDGE('',*,*,#96622,.F.); #141307=ORIENTED_EDGE('',*,*,#98186,.T.); #141308=ORIENTED_EDGE('',*,*,#98187,.T.); #141309=ORIENTED_EDGE('',*,*,#98184,.F.); #141310=ORIENTED_EDGE('',*,*,#96621,.F.); #141311=ORIENTED_EDGE('',*,*,#98188,.T.); #141312=ORIENTED_EDGE('',*,*,#98189,.T.); #141313=ORIENTED_EDGE('',*,*,#98186,.F.); #141314=ORIENTED_EDGE('',*,*,#96620,.F.); #141315=ORIENTED_EDGE('',*,*,#98190,.T.); #141316=ORIENTED_EDGE('',*,*,#98191,.T.); #141317=ORIENTED_EDGE('',*,*,#98188,.F.); #141318=ORIENTED_EDGE('',*,*,#96619,.F.); #141319=ORIENTED_EDGE('',*,*,#98192,.T.); #141320=ORIENTED_EDGE('',*,*,#98193,.T.); #141321=ORIENTED_EDGE('',*,*,#98190,.F.); #141322=ORIENTED_EDGE('',*,*,#96618,.F.); #141323=ORIENTED_EDGE('',*,*,#98194,.T.); #141324=ORIENTED_EDGE('',*,*,#98195,.T.); #141325=ORIENTED_EDGE('',*,*,#98192,.F.); #141326=ORIENTED_EDGE('',*,*,#96617,.F.); #141327=ORIENTED_EDGE('',*,*,#98196,.T.); #141328=ORIENTED_EDGE('',*,*,#98197,.T.); #141329=ORIENTED_EDGE('',*,*,#98194,.F.); #141330=ORIENTED_EDGE('',*,*,#96616,.F.); #141331=ORIENTED_EDGE('',*,*,#98198,.T.); #141332=ORIENTED_EDGE('',*,*,#98199,.T.); #141333=ORIENTED_EDGE('',*,*,#98196,.F.); #141334=ORIENTED_EDGE('',*,*,#96615,.F.); #141335=ORIENTED_EDGE('',*,*,#98200,.T.); #141336=ORIENTED_EDGE('',*,*,#98201,.T.); #141337=ORIENTED_EDGE('',*,*,#98198,.F.); #141338=ORIENTED_EDGE('',*,*,#96614,.F.); #141339=ORIENTED_EDGE('',*,*,#98202,.T.); #141340=ORIENTED_EDGE('',*,*,#98203,.T.); #141341=ORIENTED_EDGE('',*,*,#98200,.F.); #141342=ORIENTED_EDGE('',*,*,#96613,.F.); #141343=ORIENTED_EDGE('',*,*,#98204,.T.); #141344=ORIENTED_EDGE('',*,*,#98205,.T.); #141345=ORIENTED_EDGE('',*,*,#98202,.F.); #141346=ORIENTED_EDGE('',*,*,#96612,.F.); #141347=ORIENTED_EDGE('',*,*,#98206,.T.); #141348=ORIENTED_EDGE('',*,*,#98207,.T.); #141349=ORIENTED_EDGE('',*,*,#98204,.F.); #141350=ORIENTED_EDGE('',*,*,#96611,.F.); #141351=ORIENTED_EDGE('',*,*,#98125,.T.); #141352=ORIENTED_EDGE('',*,*,#98208,.T.); #141353=ORIENTED_EDGE('',*,*,#98206,.F.); #141354=ORIENTED_EDGE('',*,*,#98208,.F.); #141355=ORIENTED_EDGE('',*,*,#98124,.F.); #141356=ORIENTED_EDGE('',*,*,#98127,.F.); #141357=ORIENTED_EDGE('',*,*,#98129,.F.); #141358=ORIENTED_EDGE('',*,*,#98131,.F.); #141359=ORIENTED_EDGE('',*,*,#98133,.F.); #141360=ORIENTED_EDGE('',*,*,#98135,.F.); #141361=ORIENTED_EDGE('',*,*,#98137,.F.); #141362=ORIENTED_EDGE('',*,*,#98139,.F.); #141363=ORIENTED_EDGE('',*,*,#98141,.F.); #141364=ORIENTED_EDGE('',*,*,#98143,.F.); #141365=ORIENTED_EDGE('',*,*,#98145,.F.); #141366=ORIENTED_EDGE('',*,*,#98147,.F.); #141367=ORIENTED_EDGE('',*,*,#98149,.F.); #141368=ORIENTED_EDGE('',*,*,#98151,.F.); #141369=ORIENTED_EDGE('',*,*,#98153,.F.); #141370=ORIENTED_EDGE('',*,*,#98155,.F.); #141371=ORIENTED_EDGE('',*,*,#98157,.F.); #141372=ORIENTED_EDGE('',*,*,#98159,.F.); #141373=ORIENTED_EDGE('',*,*,#98161,.F.); #141374=ORIENTED_EDGE('',*,*,#98163,.F.); #141375=ORIENTED_EDGE('',*,*,#98165,.F.); #141376=ORIENTED_EDGE('',*,*,#98167,.F.); #141377=ORIENTED_EDGE('',*,*,#98169,.F.); #141378=ORIENTED_EDGE('',*,*,#98171,.F.); #141379=ORIENTED_EDGE('',*,*,#98173,.F.); #141380=ORIENTED_EDGE('',*,*,#98175,.F.); #141381=ORIENTED_EDGE('',*,*,#98177,.F.); #141382=ORIENTED_EDGE('',*,*,#98179,.F.); #141383=ORIENTED_EDGE('',*,*,#98181,.F.); #141384=ORIENTED_EDGE('',*,*,#98183,.F.); #141385=ORIENTED_EDGE('',*,*,#98185,.F.); #141386=ORIENTED_EDGE('',*,*,#98187,.F.); #141387=ORIENTED_EDGE('',*,*,#98189,.F.); #141388=ORIENTED_EDGE('',*,*,#98191,.F.); #141389=ORIENTED_EDGE('',*,*,#98193,.F.); #141390=ORIENTED_EDGE('',*,*,#98195,.F.); #141391=ORIENTED_EDGE('',*,*,#98197,.F.); #141392=ORIENTED_EDGE('',*,*,#98199,.F.); #141393=ORIENTED_EDGE('',*,*,#98201,.F.); #141394=ORIENTED_EDGE('',*,*,#98203,.F.); #141395=ORIENTED_EDGE('',*,*,#98205,.F.); #141396=ORIENTED_EDGE('',*,*,#98207,.F.); #141397=ORIENTED_EDGE('',*,*,#96546,.F.); #141398=ORIENTED_EDGE('',*,*,#98209,.T.); #141399=ORIENTED_EDGE('',*,*,#98210,.T.); #141400=ORIENTED_EDGE('',*,*,#98211,.F.); #141401=ORIENTED_EDGE('',*,*,#96609,.F.); #141402=ORIENTED_EDGE('',*,*,#98212,.T.); #141403=ORIENTED_EDGE('',*,*,#98213,.T.); #141404=ORIENTED_EDGE('',*,*,#98209,.F.); #141405=ORIENTED_EDGE('',*,*,#96608,.F.); #141406=ORIENTED_EDGE('',*,*,#98214,.T.); #141407=ORIENTED_EDGE('',*,*,#98215,.T.); #141408=ORIENTED_EDGE('',*,*,#98212,.F.); #141409=ORIENTED_EDGE('',*,*,#96607,.F.); #141410=ORIENTED_EDGE('',*,*,#98216,.T.); #141411=ORIENTED_EDGE('',*,*,#98217,.T.); #141412=ORIENTED_EDGE('',*,*,#98214,.F.); #141413=ORIENTED_EDGE('',*,*,#96606,.F.); #141414=ORIENTED_EDGE('',*,*,#98218,.T.); #141415=ORIENTED_EDGE('',*,*,#98219,.T.); #141416=ORIENTED_EDGE('',*,*,#98216,.F.); #141417=ORIENTED_EDGE('',*,*,#96605,.F.); #141418=ORIENTED_EDGE('',*,*,#98220,.T.); #141419=ORIENTED_EDGE('',*,*,#98221,.T.); #141420=ORIENTED_EDGE('',*,*,#98218,.F.); #141421=ORIENTED_EDGE('',*,*,#96604,.F.); #141422=ORIENTED_EDGE('',*,*,#98222,.T.); #141423=ORIENTED_EDGE('',*,*,#98223,.T.); #141424=ORIENTED_EDGE('',*,*,#98220,.F.); #141425=ORIENTED_EDGE('',*,*,#96603,.F.); #141426=ORIENTED_EDGE('',*,*,#98224,.T.); #141427=ORIENTED_EDGE('',*,*,#98225,.T.); #141428=ORIENTED_EDGE('',*,*,#98222,.F.); #141429=ORIENTED_EDGE('',*,*,#96602,.F.); #141430=ORIENTED_EDGE('',*,*,#98226,.T.); #141431=ORIENTED_EDGE('',*,*,#98227,.T.); #141432=ORIENTED_EDGE('',*,*,#98224,.F.); #141433=ORIENTED_EDGE('',*,*,#96601,.F.); #141434=ORIENTED_EDGE('',*,*,#98228,.T.); #141435=ORIENTED_EDGE('',*,*,#98229,.T.); #141436=ORIENTED_EDGE('',*,*,#98226,.F.); #141437=ORIENTED_EDGE('',*,*,#96600,.F.); #141438=ORIENTED_EDGE('',*,*,#98230,.T.); #141439=ORIENTED_EDGE('',*,*,#98231,.T.); #141440=ORIENTED_EDGE('',*,*,#98228,.F.); #141441=ORIENTED_EDGE('',*,*,#96599,.F.); #141442=ORIENTED_EDGE('',*,*,#98232,.T.); #141443=ORIENTED_EDGE('',*,*,#98233,.T.); #141444=ORIENTED_EDGE('',*,*,#98230,.F.); #141445=ORIENTED_EDGE('',*,*,#96598,.F.); #141446=ORIENTED_EDGE('',*,*,#98234,.T.); #141447=ORIENTED_EDGE('',*,*,#98235,.T.); #141448=ORIENTED_EDGE('',*,*,#98232,.F.); #141449=ORIENTED_EDGE('',*,*,#96597,.F.); #141450=ORIENTED_EDGE('',*,*,#98236,.T.); #141451=ORIENTED_EDGE('',*,*,#98237,.T.); #141452=ORIENTED_EDGE('',*,*,#98234,.F.); #141453=ORIENTED_EDGE('',*,*,#96596,.F.); #141454=ORIENTED_EDGE('',*,*,#98238,.T.); #141455=ORIENTED_EDGE('',*,*,#98239,.T.); #141456=ORIENTED_EDGE('',*,*,#98236,.F.); #141457=ORIENTED_EDGE('',*,*,#96595,.F.); #141458=ORIENTED_EDGE('',*,*,#98240,.T.); #141459=ORIENTED_EDGE('',*,*,#98241,.T.); #141460=ORIENTED_EDGE('',*,*,#98238,.F.); #141461=ORIENTED_EDGE('',*,*,#96594,.F.); #141462=ORIENTED_EDGE('',*,*,#98242,.T.); #141463=ORIENTED_EDGE('',*,*,#98243,.T.); #141464=ORIENTED_EDGE('',*,*,#98240,.F.); #141465=ORIENTED_EDGE('',*,*,#96593,.F.); #141466=ORIENTED_EDGE('',*,*,#98244,.T.); #141467=ORIENTED_EDGE('',*,*,#98245,.T.); #141468=ORIENTED_EDGE('',*,*,#98242,.F.); #141469=ORIENTED_EDGE('',*,*,#96592,.F.); #141470=ORIENTED_EDGE('',*,*,#98246,.T.); #141471=ORIENTED_EDGE('',*,*,#98247,.T.); #141472=ORIENTED_EDGE('',*,*,#98244,.F.); #141473=ORIENTED_EDGE('',*,*,#96591,.F.); #141474=ORIENTED_EDGE('',*,*,#98248,.T.); #141475=ORIENTED_EDGE('',*,*,#98249,.T.); #141476=ORIENTED_EDGE('',*,*,#98246,.F.); #141477=ORIENTED_EDGE('',*,*,#96590,.F.); #141478=ORIENTED_EDGE('',*,*,#98250,.T.); #141479=ORIENTED_EDGE('',*,*,#98251,.T.); #141480=ORIENTED_EDGE('',*,*,#98248,.F.); #141481=ORIENTED_EDGE('',*,*,#96589,.F.); #141482=ORIENTED_EDGE('',*,*,#98252,.T.); #141483=ORIENTED_EDGE('',*,*,#98253,.T.); #141484=ORIENTED_EDGE('',*,*,#98250,.F.); #141485=ORIENTED_EDGE('',*,*,#96588,.F.); #141486=ORIENTED_EDGE('',*,*,#98254,.T.); #141487=ORIENTED_EDGE('',*,*,#98255,.T.); #141488=ORIENTED_EDGE('',*,*,#98252,.F.); #141489=ORIENTED_EDGE('',*,*,#96587,.F.); #141490=ORIENTED_EDGE('',*,*,#98256,.T.); #141491=ORIENTED_EDGE('',*,*,#98257,.T.); #141492=ORIENTED_EDGE('',*,*,#98254,.F.); #141493=ORIENTED_EDGE('',*,*,#96586,.F.); #141494=ORIENTED_EDGE('',*,*,#98258,.T.); #141495=ORIENTED_EDGE('',*,*,#98259,.T.); #141496=ORIENTED_EDGE('',*,*,#98256,.F.); #141497=ORIENTED_EDGE('',*,*,#96585,.F.); #141498=ORIENTED_EDGE('',*,*,#98260,.T.); #141499=ORIENTED_EDGE('',*,*,#98261,.T.); #141500=ORIENTED_EDGE('',*,*,#98258,.F.); #141501=ORIENTED_EDGE('',*,*,#96584,.F.); #141502=ORIENTED_EDGE('',*,*,#98262,.T.); #141503=ORIENTED_EDGE('',*,*,#98263,.T.); #141504=ORIENTED_EDGE('',*,*,#98260,.F.); #141505=ORIENTED_EDGE('',*,*,#96583,.F.); #141506=ORIENTED_EDGE('',*,*,#98264,.T.); #141507=ORIENTED_EDGE('',*,*,#98265,.T.); #141508=ORIENTED_EDGE('',*,*,#98262,.F.); #141509=ORIENTED_EDGE('',*,*,#96582,.F.); #141510=ORIENTED_EDGE('',*,*,#98266,.T.); #141511=ORIENTED_EDGE('',*,*,#98267,.T.); #141512=ORIENTED_EDGE('',*,*,#98264,.F.); #141513=ORIENTED_EDGE('',*,*,#96581,.F.); #141514=ORIENTED_EDGE('',*,*,#98268,.T.); #141515=ORIENTED_EDGE('',*,*,#98269,.T.); #141516=ORIENTED_EDGE('',*,*,#98266,.F.); #141517=ORIENTED_EDGE('',*,*,#96580,.F.); #141518=ORIENTED_EDGE('',*,*,#98270,.T.); #141519=ORIENTED_EDGE('',*,*,#98271,.T.); #141520=ORIENTED_EDGE('',*,*,#98268,.F.); #141521=ORIENTED_EDGE('',*,*,#96579,.F.); #141522=ORIENTED_EDGE('',*,*,#98272,.T.); #141523=ORIENTED_EDGE('',*,*,#98273,.T.); #141524=ORIENTED_EDGE('',*,*,#98270,.F.); #141525=ORIENTED_EDGE('',*,*,#96578,.F.); #141526=ORIENTED_EDGE('',*,*,#98274,.T.); #141527=ORIENTED_EDGE('',*,*,#98275,.T.); #141528=ORIENTED_EDGE('',*,*,#98272,.F.); #141529=ORIENTED_EDGE('',*,*,#96577,.F.); #141530=ORIENTED_EDGE('',*,*,#98276,.T.); #141531=ORIENTED_EDGE('',*,*,#98277,.T.); #141532=ORIENTED_EDGE('',*,*,#98274,.F.); #141533=ORIENTED_EDGE('',*,*,#96576,.F.); #141534=ORIENTED_EDGE('',*,*,#98278,.T.); #141535=ORIENTED_EDGE('',*,*,#98279,.T.); #141536=ORIENTED_EDGE('',*,*,#98276,.F.); #141537=ORIENTED_EDGE('',*,*,#96575,.F.); #141538=ORIENTED_EDGE('',*,*,#98280,.T.); #141539=ORIENTED_EDGE('',*,*,#98281,.T.); #141540=ORIENTED_EDGE('',*,*,#98278,.F.); #141541=ORIENTED_EDGE('',*,*,#96574,.F.); #141542=ORIENTED_EDGE('',*,*,#98282,.T.); #141543=ORIENTED_EDGE('',*,*,#98283,.T.); #141544=ORIENTED_EDGE('',*,*,#98280,.F.); #141545=ORIENTED_EDGE('',*,*,#96573,.F.); #141546=ORIENTED_EDGE('',*,*,#98284,.T.); #141547=ORIENTED_EDGE('',*,*,#98285,.T.); #141548=ORIENTED_EDGE('',*,*,#98282,.F.); #141549=ORIENTED_EDGE('',*,*,#96572,.F.); #141550=ORIENTED_EDGE('',*,*,#98286,.T.); #141551=ORIENTED_EDGE('',*,*,#98287,.T.); #141552=ORIENTED_EDGE('',*,*,#98284,.F.); #141553=ORIENTED_EDGE('',*,*,#96571,.F.); #141554=ORIENTED_EDGE('',*,*,#98288,.T.); #141555=ORIENTED_EDGE('',*,*,#98289,.T.); #141556=ORIENTED_EDGE('',*,*,#98286,.F.); #141557=ORIENTED_EDGE('',*,*,#96570,.F.); #141558=ORIENTED_EDGE('',*,*,#98290,.T.); #141559=ORIENTED_EDGE('',*,*,#98291,.T.); #141560=ORIENTED_EDGE('',*,*,#98288,.F.); #141561=ORIENTED_EDGE('',*,*,#96569,.F.); #141562=ORIENTED_EDGE('',*,*,#98292,.T.); #141563=ORIENTED_EDGE('',*,*,#98293,.T.); #141564=ORIENTED_EDGE('',*,*,#98290,.F.); #141565=ORIENTED_EDGE('',*,*,#96568,.F.); #141566=ORIENTED_EDGE('',*,*,#98294,.T.); #141567=ORIENTED_EDGE('',*,*,#98295,.T.); #141568=ORIENTED_EDGE('',*,*,#98292,.F.); #141569=ORIENTED_EDGE('',*,*,#96567,.F.); #141570=ORIENTED_EDGE('',*,*,#98296,.T.); #141571=ORIENTED_EDGE('',*,*,#98297,.T.); #141572=ORIENTED_EDGE('',*,*,#98294,.F.); #141573=ORIENTED_EDGE('',*,*,#96566,.F.); #141574=ORIENTED_EDGE('',*,*,#98298,.T.); #141575=ORIENTED_EDGE('',*,*,#98299,.T.); #141576=ORIENTED_EDGE('',*,*,#98296,.F.); #141577=ORIENTED_EDGE('',*,*,#96565,.F.); #141578=ORIENTED_EDGE('',*,*,#98300,.T.); #141579=ORIENTED_EDGE('',*,*,#98301,.T.); #141580=ORIENTED_EDGE('',*,*,#98298,.F.); #141581=ORIENTED_EDGE('',*,*,#96564,.F.); #141582=ORIENTED_EDGE('',*,*,#98302,.T.); #141583=ORIENTED_EDGE('',*,*,#98303,.T.); #141584=ORIENTED_EDGE('',*,*,#98300,.F.); #141585=ORIENTED_EDGE('',*,*,#96563,.F.); #141586=ORIENTED_EDGE('',*,*,#98304,.T.); #141587=ORIENTED_EDGE('',*,*,#98305,.T.); #141588=ORIENTED_EDGE('',*,*,#98302,.F.); #141589=ORIENTED_EDGE('',*,*,#96562,.F.); #141590=ORIENTED_EDGE('',*,*,#98306,.T.); #141591=ORIENTED_EDGE('',*,*,#98307,.T.); #141592=ORIENTED_EDGE('',*,*,#98304,.F.); #141593=ORIENTED_EDGE('',*,*,#96561,.F.); #141594=ORIENTED_EDGE('',*,*,#98308,.T.); #141595=ORIENTED_EDGE('',*,*,#98309,.T.); #141596=ORIENTED_EDGE('',*,*,#98306,.F.); #141597=ORIENTED_EDGE('',*,*,#96560,.F.); #141598=ORIENTED_EDGE('',*,*,#98310,.T.); #141599=ORIENTED_EDGE('',*,*,#98311,.T.); #141600=ORIENTED_EDGE('',*,*,#98308,.F.); #141601=ORIENTED_EDGE('',*,*,#96559,.F.); #141602=ORIENTED_EDGE('',*,*,#98312,.T.); #141603=ORIENTED_EDGE('',*,*,#98313,.T.); #141604=ORIENTED_EDGE('',*,*,#98310,.F.); #141605=ORIENTED_EDGE('',*,*,#96558,.F.); #141606=ORIENTED_EDGE('',*,*,#98314,.T.); #141607=ORIENTED_EDGE('',*,*,#98315,.T.); #141608=ORIENTED_EDGE('',*,*,#98312,.F.); #141609=ORIENTED_EDGE('',*,*,#96557,.F.); #141610=ORIENTED_EDGE('',*,*,#98316,.T.); #141611=ORIENTED_EDGE('',*,*,#98317,.T.); #141612=ORIENTED_EDGE('',*,*,#98314,.F.); #141613=ORIENTED_EDGE('',*,*,#96556,.F.); #141614=ORIENTED_EDGE('',*,*,#98318,.T.); #141615=ORIENTED_EDGE('',*,*,#98319,.T.); #141616=ORIENTED_EDGE('',*,*,#98316,.F.); #141617=ORIENTED_EDGE('',*,*,#96555,.F.); #141618=ORIENTED_EDGE('',*,*,#98320,.T.); #141619=ORIENTED_EDGE('',*,*,#98321,.T.); #141620=ORIENTED_EDGE('',*,*,#98318,.F.); #141621=ORIENTED_EDGE('',*,*,#96554,.F.); #141622=ORIENTED_EDGE('',*,*,#98322,.T.); #141623=ORIENTED_EDGE('',*,*,#98323,.T.); #141624=ORIENTED_EDGE('',*,*,#98320,.F.); #141625=ORIENTED_EDGE('',*,*,#96553,.F.); #141626=ORIENTED_EDGE('',*,*,#98324,.T.); #141627=ORIENTED_EDGE('',*,*,#98325,.T.); #141628=ORIENTED_EDGE('',*,*,#98322,.F.); #141629=ORIENTED_EDGE('',*,*,#96552,.F.); #141630=ORIENTED_EDGE('',*,*,#98326,.T.); #141631=ORIENTED_EDGE('',*,*,#98327,.T.); #141632=ORIENTED_EDGE('',*,*,#98324,.F.); #141633=ORIENTED_EDGE('',*,*,#96551,.F.); #141634=ORIENTED_EDGE('',*,*,#98328,.T.); #141635=ORIENTED_EDGE('',*,*,#98329,.T.); #141636=ORIENTED_EDGE('',*,*,#98326,.F.); #141637=ORIENTED_EDGE('',*,*,#96550,.F.); #141638=ORIENTED_EDGE('',*,*,#98330,.T.); #141639=ORIENTED_EDGE('',*,*,#98331,.T.); #141640=ORIENTED_EDGE('',*,*,#98328,.F.); #141641=ORIENTED_EDGE('',*,*,#96549,.F.); #141642=ORIENTED_EDGE('',*,*,#98332,.T.); #141643=ORIENTED_EDGE('',*,*,#98333,.T.); #141644=ORIENTED_EDGE('',*,*,#98330,.F.); #141645=ORIENTED_EDGE('',*,*,#96548,.F.); #141646=ORIENTED_EDGE('',*,*,#98334,.T.); #141647=ORIENTED_EDGE('',*,*,#98335,.T.); #141648=ORIENTED_EDGE('',*,*,#98332,.F.); #141649=ORIENTED_EDGE('',*,*,#96547,.F.); #141650=ORIENTED_EDGE('',*,*,#98211,.T.); #141651=ORIENTED_EDGE('',*,*,#98336,.T.); #141652=ORIENTED_EDGE('',*,*,#98334,.F.); #141653=ORIENTED_EDGE('',*,*,#98336,.F.); #141654=ORIENTED_EDGE('',*,*,#98210,.F.); #141655=ORIENTED_EDGE('',*,*,#98213,.F.); #141656=ORIENTED_EDGE('',*,*,#98215,.F.); #141657=ORIENTED_EDGE('',*,*,#98217,.F.); #141658=ORIENTED_EDGE('',*,*,#98219,.F.); #141659=ORIENTED_EDGE('',*,*,#98221,.F.); #141660=ORIENTED_EDGE('',*,*,#98223,.F.); #141661=ORIENTED_EDGE('',*,*,#98225,.F.); #141662=ORIENTED_EDGE('',*,*,#98227,.F.); #141663=ORIENTED_EDGE('',*,*,#98229,.F.); #141664=ORIENTED_EDGE('',*,*,#98231,.F.); #141665=ORIENTED_EDGE('',*,*,#98233,.F.); #141666=ORIENTED_EDGE('',*,*,#98235,.F.); #141667=ORIENTED_EDGE('',*,*,#98237,.F.); #141668=ORIENTED_EDGE('',*,*,#98239,.F.); #141669=ORIENTED_EDGE('',*,*,#98241,.F.); #141670=ORIENTED_EDGE('',*,*,#98243,.F.); #141671=ORIENTED_EDGE('',*,*,#98245,.F.); #141672=ORIENTED_EDGE('',*,*,#98247,.F.); #141673=ORIENTED_EDGE('',*,*,#98249,.F.); #141674=ORIENTED_EDGE('',*,*,#98251,.F.); #141675=ORIENTED_EDGE('',*,*,#98253,.F.); #141676=ORIENTED_EDGE('',*,*,#98255,.F.); #141677=ORIENTED_EDGE('',*,*,#98257,.F.); #141678=ORIENTED_EDGE('',*,*,#98259,.F.); #141679=ORIENTED_EDGE('',*,*,#98261,.F.); #141680=ORIENTED_EDGE('',*,*,#98263,.F.); #141681=ORIENTED_EDGE('',*,*,#98265,.F.); #141682=ORIENTED_EDGE('',*,*,#98267,.F.); #141683=ORIENTED_EDGE('',*,*,#98269,.F.); #141684=ORIENTED_EDGE('',*,*,#98271,.F.); #141685=ORIENTED_EDGE('',*,*,#98273,.F.); #141686=ORIENTED_EDGE('',*,*,#98275,.F.); #141687=ORIENTED_EDGE('',*,*,#98277,.F.); #141688=ORIENTED_EDGE('',*,*,#98279,.F.); #141689=ORIENTED_EDGE('',*,*,#98281,.F.); #141690=ORIENTED_EDGE('',*,*,#98283,.F.); #141691=ORIENTED_EDGE('',*,*,#98285,.F.); #141692=ORIENTED_EDGE('',*,*,#98287,.F.); #141693=ORIENTED_EDGE('',*,*,#98289,.F.); #141694=ORIENTED_EDGE('',*,*,#98291,.F.); #141695=ORIENTED_EDGE('',*,*,#98293,.F.); #141696=ORIENTED_EDGE('',*,*,#98295,.F.); #141697=ORIENTED_EDGE('',*,*,#98297,.F.); #141698=ORIENTED_EDGE('',*,*,#98299,.F.); #141699=ORIENTED_EDGE('',*,*,#98301,.F.); #141700=ORIENTED_EDGE('',*,*,#98303,.F.); #141701=ORIENTED_EDGE('',*,*,#98305,.F.); #141702=ORIENTED_EDGE('',*,*,#98307,.F.); #141703=ORIENTED_EDGE('',*,*,#98309,.F.); #141704=ORIENTED_EDGE('',*,*,#98311,.F.); #141705=ORIENTED_EDGE('',*,*,#98313,.F.); #141706=ORIENTED_EDGE('',*,*,#98315,.F.); #141707=ORIENTED_EDGE('',*,*,#98317,.F.); #141708=ORIENTED_EDGE('',*,*,#98319,.F.); #141709=ORIENTED_EDGE('',*,*,#98321,.F.); #141710=ORIENTED_EDGE('',*,*,#98323,.F.); #141711=ORIENTED_EDGE('',*,*,#98325,.F.); #141712=ORIENTED_EDGE('',*,*,#98327,.F.); #141713=ORIENTED_EDGE('',*,*,#98329,.F.); #141714=ORIENTED_EDGE('',*,*,#98331,.F.); #141715=ORIENTED_EDGE('',*,*,#98333,.F.); #141716=ORIENTED_EDGE('',*,*,#98335,.F.); #141717=ORIENTED_EDGE('',*,*,#96538,.F.); #141718=ORIENTED_EDGE('',*,*,#98337,.T.); #141719=ORIENTED_EDGE('',*,*,#98338,.T.); #141720=ORIENTED_EDGE('',*,*,#98339,.F.); #141721=ORIENTED_EDGE('',*,*,#96545,.F.); #141722=ORIENTED_EDGE('',*,*,#98340,.T.); #141723=ORIENTED_EDGE('',*,*,#98341,.T.); #141724=ORIENTED_EDGE('',*,*,#98337,.F.); #141725=ORIENTED_EDGE('',*,*,#96544,.F.); #141726=ORIENTED_EDGE('',*,*,#98342,.T.); #141727=ORIENTED_EDGE('',*,*,#98343,.T.); #141728=ORIENTED_EDGE('',*,*,#98340,.F.); #141729=ORIENTED_EDGE('',*,*,#96543,.F.); #141730=ORIENTED_EDGE('',*,*,#98344,.T.); #141731=ORIENTED_EDGE('',*,*,#98345,.T.); #141732=ORIENTED_EDGE('',*,*,#98342,.F.); #141733=ORIENTED_EDGE('',*,*,#96542,.F.); #141734=ORIENTED_EDGE('',*,*,#98346,.T.); #141735=ORIENTED_EDGE('',*,*,#98347,.T.); #141736=ORIENTED_EDGE('',*,*,#98344,.F.); #141737=ORIENTED_EDGE('',*,*,#96541,.F.); #141738=ORIENTED_EDGE('',*,*,#98348,.T.); #141739=ORIENTED_EDGE('',*,*,#98349,.T.); #141740=ORIENTED_EDGE('',*,*,#98346,.F.); #141741=ORIENTED_EDGE('',*,*,#96540,.F.); #141742=ORIENTED_EDGE('',*,*,#98350,.T.); #141743=ORIENTED_EDGE('',*,*,#98351,.T.); #141744=ORIENTED_EDGE('',*,*,#98348,.F.); #141745=ORIENTED_EDGE('',*,*,#96539,.F.); #141746=ORIENTED_EDGE('',*,*,#98339,.T.); #141747=ORIENTED_EDGE('',*,*,#98352,.T.); #141748=ORIENTED_EDGE('',*,*,#98350,.F.); #141749=ORIENTED_EDGE('',*,*,#98352,.F.); #141750=ORIENTED_EDGE('',*,*,#98338,.F.); #141751=ORIENTED_EDGE('',*,*,#98341,.F.); #141752=ORIENTED_EDGE('',*,*,#98343,.F.); #141753=ORIENTED_EDGE('',*,*,#98345,.F.); #141754=ORIENTED_EDGE('',*,*,#98347,.F.); #141755=ORIENTED_EDGE('',*,*,#98349,.F.); #141756=ORIENTED_EDGE('',*,*,#98351,.F.); #141757=ORIENTED_EDGE('',*,*,#96446,.T.); #141758=ORIENTED_EDGE('',*,*,#96477,.T.); #141759=ORIENTED_EDGE('',*,*,#96474,.T.); #141760=ORIENTED_EDGE('',*,*,#96471,.T.); #141761=ORIENTED_EDGE('',*,*,#96468,.T.); #141762=ORIENTED_EDGE('',*,*,#96465,.T.); #141763=ORIENTED_EDGE('',*,*,#96462,.T.); #141764=ORIENTED_EDGE('',*,*,#96459,.T.); #141765=ORIENTED_EDGE('',*,*,#96456,.T.); #141766=ORIENTED_EDGE('',*,*,#96453,.T.); #141767=ORIENTED_EDGE('',*,*,#96450,.T.); #141768=ORIENTED_EDGE('',*,*,#98019,.T.); #141769=ORIENTED_EDGE('',*,*,#98047,.T.); #141770=ORIENTED_EDGE('',*,*,#98044,.T.); #141771=ORIENTED_EDGE('',*,*,#98041,.T.); #141772=ORIENTED_EDGE('',*,*,#98038,.T.); #141773=ORIENTED_EDGE('',*,*,#98035,.T.); #141774=ORIENTED_EDGE('',*,*,#98032,.T.); #141775=ORIENTED_EDGE('',*,*,#98029,.T.); #141776=ORIENTED_EDGE('',*,*,#98026,.T.); #141777=ORIENTED_EDGE('',*,*,#98023,.T.); #141778=ORIENTED_EDGE('',*,*,#97908,.T.); #141779=ORIENTED_EDGE('',*,*,#97933,.T.); #141780=ORIENTED_EDGE('',*,*,#97930,.T.); #141781=ORIENTED_EDGE('',*,*,#97927,.T.); #141782=ORIENTED_EDGE('',*,*,#97924,.T.); #141783=ORIENTED_EDGE('',*,*,#97921,.T.); #141784=ORIENTED_EDGE('',*,*,#97918,.T.); #141785=ORIENTED_EDGE('',*,*,#97915,.T.); #141786=ORIENTED_EDGE('',*,*,#97912,.T.); #141787=ORIENTED_EDGE('',*,*,#97445,.T.); #141788=ORIENTED_EDGE('',*,*,#97470,.T.); #141789=ORIENTED_EDGE('',*,*,#97467,.T.); #141790=ORIENTED_EDGE('',*,*,#97464,.T.); #141791=ORIENTED_EDGE('',*,*,#97461,.T.); #141792=ORIENTED_EDGE('',*,*,#97458,.T.); #141793=ORIENTED_EDGE('',*,*,#97455,.T.); #141794=ORIENTED_EDGE('',*,*,#97452,.T.); #141795=ORIENTED_EDGE('',*,*,#97449,.T.); #141796=ORIENTED_EDGE('',*,*,#98353,.F.); #141797=ORIENTED_EDGE('',*,*,#98354,.T.); #141798=ORIENTED_EDGE('',*,*,#96537,.T.); #141799=ORIENTED_EDGE('',*,*,#98354,.F.); #141800=ORIENTED_EDGE('',*,*,#98355,.F.); #141801=ORIENTED_EDGE('',*,*,#98356,.T.); #141802=ORIENTED_EDGE('',*,*,#96536,.T.); #141803=ORIENTED_EDGE('',*,*,#98356,.F.); #141804=ORIENTED_EDGE('',*,*,#98357,.F.); #141805=ORIENTED_EDGE('',*,*,#98358,.T.); #141806=ORIENTED_EDGE('',*,*,#96535,.T.); #141807=ORIENTED_EDGE('',*,*,#98358,.F.); #141808=ORIENTED_EDGE('',*,*,#98359,.F.); #141809=ORIENTED_EDGE('',*,*,#98360,.T.); #141810=ORIENTED_EDGE('',*,*,#96534,.T.); #141811=ORIENTED_EDGE('',*,*,#98360,.F.); #141812=ORIENTED_EDGE('',*,*,#98361,.F.); #141813=ORIENTED_EDGE('',*,*,#98362,.T.); #141814=ORIENTED_EDGE('',*,*,#96533,.T.); #141815=ORIENTED_EDGE('',*,*,#98362,.F.); #141816=ORIENTED_EDGE('',*,*,#98363,.F.); #141817=ORIENTED_EDGE('',*,*,#98364,.T.); #141818=ORIENTED_EDGE('',*,*,#96532,.T.); #141819=ORIENTED_EDGE('',*,*,#98364,.F.); #141820=ORIENTED_EDGE('',*,*,#98365,.F.); #141821=ORIENTED_EDGE('',*,*,#98366,.T.); #141822=ORIENTED_EDGE('',*,*,#96531,.T.); #141823=ORIENTED_EDGE('',*,*,#98366,.F.); #141824=ORIENTED_EDGE('',*,*,#98367,.F.); #141825=ORIENTED_EDGE('',*,*,#98368,.T.); #141826=ORIENTED_EDGE('',*,*,#96530,.T.); #141827=ORIENTED_EDGE('',*,*,#98368,.F.); #141828=ORIENTED_EDGE('',*,*,#98369,.F.); #141829=ORIENTED_EDGE('',*,*,#98370,.T.); #141830=ORIENTED_EDGE('',*,*,#96529,.T.); #141831=ORIENTED_EDGE('',*,*,#98370,.F.); #141832=ORIENTED_EDGE('',*,*,#98371,.F.); #141833=ORIENTED_EDGE('',*,*,#98372,.T.); #141834=ORIENTED_EDGE('',*,*,#96528,.T.); #141835=ORIENTED_EDGE('',*,*,#98372,.F.); #141836=ORIENTED_EDGE('',*,*,#98373,.F.); #141837=ORIENTED_EDGE('',*,*,#98374,.T.); #141838=ORIENTED_EDGE('',*,*,#96527,.T.); #141839=ORIENTED_EDGE('',*,*,#98374,.F.); #141840=ORIENTED_EDGE('',*,*,#98375,.F.); #141841=ORIENTED_EDGE('',*,*,#98376,.T.); #141842=ORIENTED_EDGE('',*,*,#96526,.T.); #141843=ORIENTED_EDGE('',*,*,#98376,.F.); #141844=ORIENTED_EDGE('',*,*,#98377,.F.); #141845=ORIENTED_EDGE('',*,*,#98378,.T.); #141846=ORIENTED_EDGE('',*,*,#96525,.T.); #141847=ORIENTED_EDGE('',*,*,#98378,.F.); #141848=ORIENTED_EDGE('',*,*,#98379,.F.); #141849=ORIENTED_EDGE('',*,*,#98380,.T.); #141850=ORIENTED_EDGE('',*,*,#96524,.T.); #141851=ORIENTED_EDGE('',*,*,#98380,.F.); #141852=ORIENTED_EDGE('',*,*,#98381,.T.); #141853=ORIENTED_EDGE('',*,*,#98382,.F.); #141854=ORIENTED_EDGE('',*,*,#98383,.F.); #141855=ORIENTED_EDGE('',*,*,#98384,.T.); #141856=ORIENTED_EDGE('',*,*,#98385,.F.); #141857=ORIENTED_EDGE('',*,*,#98386,.T.); #141858=ORIENTED_EDGE('',*,*,#98387,.T.); #141859=ORIENTED_EDGE('',*,*,#98388,.F.); #141860=ORIENTED_EDGE('',*,*,#98389,.F.); #141861=ORIENTED_EDGE('',*,*,#98390,.T.); #141862=ORIENTED_EDGE('',*,*,#98391,.T.); #141863=ORIENTED_EDGE('',*,*,#98392,.F.); #141864=ORIENTED_EDGE('',*,*,#98393,.T.); #141865=ORIENTED_EDGE('',*,*,#98394,.F.); #141866=ORIENTED_EDGE('',*,*,#98395,.F.); #141867=ORIENTED_EDGE('',*,*,#98396,.F.); #141868=ORIENTED_EDGE('',*,*,#98395,.T.); #141869=ORIENTED_EDGE('',*,*,#98397,.T.); #141870=ORIENTED_EDGE('',*,*,#98398,.F.); #141871=ORIENTED_EDGE('',*,*,#98399,.F.); #141872=ORIENTED_EDGE('',*,*,#98398,.T.); #141873=ORIENTED_EDGE('',*,*,#98400,.T.); #141874=ORIENTED_EDGE('',*,*,#98401,.F.); #141875=ORIENTED_EDGE('',*,*,#98402,.F.); #141876=ORIENTED_EDGE('',*,*,#98403,.F.); #141877=ORIENTED_EDGE('',*,*,#98404,.F.); #141878=ORIENTED_EDGE('',*,*,#98405,.T.); #141879=ORIENTED_EDGE('',*,*,#98401,.T.); #141880=ORIENTED_EDGE('',*,*,#98406,.F.); #141881=ORIENTED_EDGE('',*,*,#98393,.F.); #141882=ORIENTED_EDGE('',*,*,#98407,.T.); #141883=ORIENTED_EDGE('',*,*,#98408,.T.); #141884=ORIENTED_EDGE('',*,*,#98409,.T.); #141885=ORIENTED_EDGE('',*,*,#98410,.T.); #141886=ORIENTED_EDGE('',*,*,#98408,.F.); #141887=ORIENTED_EDGE('',*,*,#98411,.F.); #141888=ORIENTED_EDGE('',*,*,#98410,.F.); #141889=ORIENTED_EDGE('',*,*,#98412,.T.); #141890=ORIENTED_EDGE('',*,*,#98413,.F.); #141891=ORIENTED_EDGE('',*,*,#98403,.T.); #141892=ORIENTED_EDGE('',*,*,#98400,.F.); #141893=ORIENTED_EDGE('',*,*,#98397,.F.); #141894=ORIENTED_EDGE('',*,*,#98394,.T.); #141895=ORIENTED_EDGE('',*,*,#98406,.T.); #141896=ORIENTED_EDGE('',*,*,#98404,.T.); #141897=ORIENTED_EDGE('',*,*,#98413,.T.); #141898=ORIENTED_EDGE('',*,*,#98414,.F.); #141899=ORIENTED_EDGE('',*,*,#98415,.F.); #141900=ORIENTED_EDGE('',*,*,#98416,.F.); #141901=ORIENTED_EDGE('',*,*,#98411,.T.); #141902=ORIENTED_EDGE('',*,*,#98407,.F.); #141903=ORIENTED_EDGE('',*,*,#98396,.T.); #141904=ORIENTED_EDGE('',*,*,#98399,.T.); #141905=ORIENTED_EDGE('',*,*,#98402,.T.); #141906=ORIENTED_EDGE('',*,*,#98405,.F.); #141907=ORIENTED_EDGE('',*,*,#98415,.T.); #141908=ORIENTED_EDGE('',*,*,#98417,.T.); #141909=ORIENTED_EDGE('',*,*,#98418,.F.); #141910=ORIENTED_EDGE('',*,*,#98419,.F.); #141911=ORIENTED_EDGE('',*,*,#98420,.F.); #141912=ORIENTED_EDGE('',*,*,#98419,.T.); #141913=ORIENTED_EDGE('',*,*,#98421,.T.); #141914=ORIENTED_EDGE('',*,*,#98422,.F.); #141915=ORIENTED_EDGE('',*,*,#98423,.F.); #141916=ORIENTED_EDGE('',*,*,#98422,.T.); #141917=ORIENTED_EDGE('',*,*,#98424,.T.); #141918=ORIENTED_EDGE('',*,*,#98425,.F.); #141919=ORIENTED_EDGE('',*,*,#98426,.F.); #141920=ORIENTED_EDGE('',*,*,#98427,.F.); #141921=ORIENTED_EDGE('',*,*,#98428,.F.); #141922=ORIENTED_EDGE('',*,*,#98429,.T.); #141923=ORIENTED_EDGE('',*,*,#98425,.T.); #141924=ORIENTED_EDGE('',*,*,#98430,.F.); #141925=ORIENTED_EDGE('',*,*,#98417,.F.); #141926=ORIENTED_EDGE('',*,*,#98431,.T.); #141927=ORIENTED_EDGE('',*,*,#98432,.T.); #141928=ORIENTED_EDGE('',*,*,#98433,.T.); #141929=ORIENTED_EDGE('',*,*,#98434,.T.); #141930=ORIENTED_EDGE('',*,*,#98432,.F.); #141931=ORIENTED_EDGE('',*,*,#98435,.F.); #141932=ORIENTED_EDGE('',*,*,#98434,.F.); #141933=ORIENTED_EDGE('',*,*,#98436,.T.); #141934=ORIENTED_EDGE('',*,*,#98437,.F.); #141935=ORIENTED_EDGE('',*,*,#98427,.T.); #141936=ORIENTED_EDGE('',*,*,#98424,.F.); #141937=ORIENTED_EDGE('',*,*,#98421,.F.); #141938=ORIENTED_EDGE('',*,*,#98418,.T.); #141939=ORIENTED_EDGE('',*,*,#98430,.T.); #141940=ORIENTED_EDGE('',*,*,#98428,.T.); #141941=ORIENTED_EDGE('',*,*,#98437,.T.); #141942=ORIENTED_EDGE('',*,*,#98438,.F.); #141943=ORIENTED_EDGE('',*,*,#98439,.F.); #141944=ORIENTED_EDGE('',*,*,#98440,.F.); #141945=ORIENTED_EDGE('',*,*,#98435,.T.); #141946=ORIENTED_EDGE('',*,*,#98431,.F.); #141947=ORIENTED_EDGE('',*,*,#98420,.T.); #141948=ORIENTED_EDGE('',*,*,#98423,.T.); #141949=ORIENTED_EDGE('',*,*,#98426,.T.); #141950=ORIENTED_EDGE('',*,*,#98429,.F.); #141951=ORIENTED_EDGE('',*,*,#98439,.T.); #141952=ORIENTED_EDGE('',*,*,#98441,.T.); #141953=ORIENTED_EDGE('',*,*,#98442,.F.); #141954=ORIENTED_EDGE('',*,*,#98384,.F.); #141955=ORIENTED_EDGE('',*,*,#98443,.F.); #141956=ORIENTED_EDGE('',*,*,#98444,.F.); #141957=ORIENTED_EDGE('',*,*,#98445,.T.); #141958=ORIENTED_EDGE('',*,*,#98446,.T.); #141959=ORIENTED_EDGE('',*,*,#98447,.F.); #141960=ORIENTED_EDGE('',*,*,#98447,.T.); #141961=ORIENTED_EDGE('',*,*,#98448,.T.); #141962=ORIENTED_EDGE('',*,*,#98449,.F.); #141963=ORIENTED_EDGE('',*,*,#98450,.F.); #141964=ORIENTED_EDGE('',*,*,#98451,.F.); #141965=ORIENTED_EDGE('',*,*,#98452,.F.); #141966=ORIENTED_EDGE('',*,*,#98453,.T.); #141967=ORIENTED_EDGE('',*,*,#98449,.T.); #141968=ORIENTED_EDGE('',*,*,#98454,.F.); #141969=ORIENTED_EDGE('',*,*,#98441,.F.); #141970=ORIENTED_EDGE('',*,*,#98455,.T.); #141971=ORIENTED_EDGE('',*,*,#98456,.T.); #141972=ORIENTED_EDGE('',*,*,#98457,.T.); #141973=ORIENTED_EDGE('',*,*,#98458,.T.); #141974=ORIENTED_EDGE('',*,*,#98456,.F.); #141975=ORIENTED_EDGE('',*,*,#98459,.F.); #141976=ORIENTED_EDGE('',*,*,#98460,.F.); #141977=ORIENTED_EDGE('',*,*,#98461,.F.); #141978=ORIENTED_EDGE('',*,*,#98381,.F.); #141979=ORIENTED_EDGE('',*,*,#98442,.T.); #141980=ORIENTED_EDGE('',*,*,#98454,.T.); #141981=ORIENTED_EDGE('',*,*,#98458,.F.); #141982=ORIENTED_EDGE('',*,*,#98462,.T.); #141983=ORIENTED_EDGE('',*,*,#98463,.F.); #141984=ORIENTED_EDGE('',*,*,#98451,.T.); #141985=ORIENTED_EDGE('',*,*,#98448,.F.); #141986=ORIENTED_EDGE('',*,*,#98446,.F.); #141987=ORIENTED_EDGE('',*,*,#98464,.F.); #141988=ORIENTED_EDGE('',*,*,#98465,.F.); #141989=ORIENTED_EDGE('',*,*,#98466,.F.); #141990=ORIENTED_EDGE('',*,*,#98467,.F.); #141991=ORIENTED_EDGE('',*,*,#98468,.F.); #141992=ORIENTED_EDGE('',*,*,#98391,.F.); #141993=ORIENTED_EDGE('',*,*,#98469,.F.); #141994=ORIENTED_EDGE('',*,*,#98470,.F.); #141995=ORIENTED_EDGE('',*,*,#98471,.F.); #141996=ORIENTED_EDGE('',*,*,#98472,.F.); #141997=ORIENTED_EDGE('',*,*,#98473,.F.); #141998=ORIENTED_EDGE('',*,*,#98387,.F.); #141999=ORIENTED_EDGE('',*,*,#98474,.F.); #142000=ORIENTED_EDGE('',*,*,#98475,.F.); #142001=ORIENTED_EDGE('',*,*,#98476,.F.); #142002=ORIENTED_EDGE('',*,*,#98452,.T.); #142003=ORIENTED_EDGE('',*,*,#98463,.T.); #142004=ORIENTED_EDGE('',*,*,#98477,.F.); #142005=ORIENTED_EDGE('',*,*,#98478,.F.); #142006=ORIENTED_EDGE('',*,*,#98479,.T.); #142007=ORIENTED_EDGE('',*,*,#98480,.T.); #142008=ORIENTED_EDGE('',*,*,#98481,.T.); #142009=ORIENTED_EDGE('',*,*,#98482,.T.); #142010=ORIENTED_EDGE('',*,*,#98483,.T.); #142011=ORIENTED_EDGE('',*,*,#98385,.T.); #142012=ORIENTED_EDGE('',*,*,#98484,.T.); #142013=ORIENTED_EDGE('',*,*,#98485,.T.); #142014=ORIENTED_EDGE('',*,*,#98486,.T.); #142015=ORIENTED_EDGE('',*,*,#98487,.T.); #142016=ORIENTED_EDGE('',*,*,#98488,.T.); #142017=ORIENTED_EDGE('',*,*,#98389,.T.); #142018=ORIENTED_EDGE('',*,*,#98489,.T.); #142019=ORIENTED_EDGE('',*,*,#98490,.T.); #142020=ORIENTED_EDGE('',*,*,#98491,.T.); #142021=ORIENTED_EDGE('',*,*,#98492,.T.); #142022=ORIENTED_EDGE('',*,*,#98493,.T.); #142023=ORIENTED_EDGE('',*,*,#98444,.T.); #142024=ORIENTED_EDGE('',*,*,#98450,.T.); #142025=ORIENTED_EDGE('',*,*,#98453,.F.); #142026=ORIENTED_EDGE('',*,*,#98478,.T.); #142027=ORIENTED_EDGE('',*,*,#98494,.F.); #142028=ORIENTED_EDGE('',*,*,#98459,.T.); #142029=ORIENTED_EDGE('',*,*,#98455,.F.); #142030=ORIENTED_EDGE('',*,*,#98443,.T.); #142031=ORIENTED_EDGE('',*,*,#98383,.T.); #142032=ORIENTED_EDGE('',*,*,#98495,.T.); #142033=ORIENTED_EDGE('',*,*,#98496,.F.); #142034=ORIENTED_EDGE('',*,*,#98497,.F.); #142035=ORIENTED_EDGE('',*,*,#98498,.F.); #142036=ORIENTED_EDGE('',*,*,#98497,.T.); #142037=ORIENTED_EDGE('',*,*,#98499,.T.); #142038=ORIENTED_EDGE('',*,*,#98500,.F.); #142039=ORIENTED_EDGE('',*,*,#98501,.F.); #142040=ORIENTED_EDGE('',*,*,#98500,.T.); #142041=ORIENTED_EDGE('',*,*,#98502,.T.); #142042=ORIENTED_EDGE('',*,*,#98503,.F.); #142043=ORIENTED_EDGE('',*,*,#98504,.F.); #142044=ORIENTED_EDGE('',*,*,#98505,.F.); #142045=ORIENTED_EDGE('',*,*,#98506,.F.); #142046=ORIENTED_EDGE('',*,*,#98507,.T.); #142047=ORIENTED_EDGE('',*,*,#98503,.T.); #142048=ORIENTED_EDGE('',*,*,#98508,.F.); #142049=ORIENTED_EDGE('',*,*,#98495,.F.); #142050=ORIENTED_EDGE('',*,*,#98509,.T.); #142051=ORIENTED_EDGE('',*,*,#98510,.T.); #142052=ORIENTED_EDGE('',*,*,#98511,.T.); #142053=ORIENTED_EDGE('',*,*,#98512,.T.); #142054=ORIENTED_EDGE('',*,*,#98510,.F.); #142055=ORIENTED_EDGE('',*,*,#98513,.F.); #142056=ORIENTED_EDGE('',*,*,#98512,.F.); #142057=ORIENTED_EDGE('',*,*,#98514,.T.); #142058=ORIENTED_EDGE('',*,*,#98515,.F.); #142059=ORIENTED_EDGE('',*,*,#98505,.T.); #142060=ORIENTED_EDGE('',*,*,#98502,.F.); #142061=ORIENTED_EDGE('',*,*,#98499,.F.); #142062=ORIENTED_EDGE('',*,*,#98496,.T.); #142063=ORIENTED_EDGE('',*,*,#98508,.T.); #142064=ORIENTED_EDGE('',*,*,#98506,.T.); #142065=ORIENTED_EDGE('',*,*,#98515,.T.); #142066=ORIENTED_EDGE('',*,*,#98516,.F.); #142067=ORIENTED_EDGE('',*,*,#98517,.F.); #142068=ORIENTED_EDGE('',*,*,#98518,.F.); #142069=ORIENTED_EDGE('',*,*,#98513,.T.); #142070=ORIENTED_EDGE('',*,*,#98509,.F.); #142071=ORIENTED_EDGE('',*,*,#98498,.T.); #142072=ORIENTED_EDGE('',*,*,#98501,.T.); #142073=ORIENTED_EDGE('',*,*,#98504,.T.); #142074=ORIENTED_EDGE('',*,*,#98507,.F.); #142075=ORIENTED_EDGE('',*,*,#98517,.T.); #142076=ORIENTED_EDGE('',*,*,#98519,.T.); #142077=ORIENTED_EDGE('',*,*,#96514,.F.); #142078=ORIENTED_EDGE('',*,*,#98520,.F.); #142079=ORIENTED_EDGE('',*,*,#98521,.T.); #142080=ORIENTED_EDGE('',*,*,#98522,.T.); #142081=ORIENTED_EDGE('',*,*,#96512,.F.); #142082=ORIENTED_EDGE('',*,*,#98523,.F.); #142083=ORIENTED_EDGE('',*,*,#98524,.T.); #142084=ORIENTED_EDGE('',*,*,#98523,.T.); #142085=ORIENTED_EDGE('',*,*,#96523,.F.); #142086=ORIENTED_EDGE('',*,*,#98525,.F.); #142087=ORIENTED_EDGE('',*,*,#98526,.T.); #142088=ORIENTED_EDGE('',*,*,#98527,.T.); #142089=ORIENTED_EDGE('',*,*,#96521,.F.); #142090=ORIENTED_EDGE('',*,*,#98528,.F.); #142091=ORIENTED_EDGE('',*,*,#98529,.T.); #142092=ORIENTED_EDGE('',*,*,#98528,.T.); #142093=ORIENTED_EDGE('',*,*,#96520,.F.); #142094=ORIENTED_EDGE('',*,*,#98530,.F.); #142095=ORIENTED_EDGE('',*,*,#98531,.T.); #142096=ORIENTED_EDGE('',*,*,#98532,.T.); #142097=ORIENTED_EDGE('',*,*,#96518,.F.); #142098=ORIENTED_EDGE('',*,*,#98533,.F.); #142099=ORIENTED_EDGE('',*,*,#98534,.T.); #142100=ORIENTED_EDGE('',*,*,#98533,.T.); #142101=ORIENTED_EDGE('',*,*,#96517,.F.); #142102=ORIENTED_EDGE('',*,*,#98535,.F.); #142103=ORIENTED_EDGE('',*,*,#98536,.T.); #142104=ORIENTED_EDGE('',*,*,#98537,.T.); #142105=ORIENTED_EDGE('',*,*,#96515,.F.); #142106=ORIENTED_EDGE('',*,*,#98519,.F.); #142107=ORIENTED_EDGE('',*,*,#98538,.T.); #142108=ORIENTED_EDGE('',*,*,#98539,.F.); #142109=ORIENTED_EDGE('',*,*,#98524,.F.); #142110=ORIENTED_EDGE('',*,*,#98526,.F.); #142111=ORIENTED_EDGE('',*,*,#98540,.F.); #142112=ORIENTED_EDGE('',*,*,#98529,.F.); #142113=ORIENTED_EDGE('',*,*,#98531,.F.); #142114=ORIENTED_EDGE('',*,*,#98541,.F.); #142115=ORIENTED_EDGE('',*,*,#98534,.F.); #142116=ORIENTED_EDGE('',*,*,#98536,.F.); #142117=ORIENTED_EDGE('',*,*,#98542,.F.); #142118=ORIENTED_EDGE('',*,*,#98538,.F.); #142119=ORIENTED_EDGE('',*,*,#98521,.F.); #142120=ORIENTED_EDGE('',*,*,#98353,.T.); #142121=ORIENTED_EDGE('',*,*,#98355,.T.); #142122=ORIENTED_EDGE('',*,*,#98357,.T.); #142123=ORIENTED_EDGE('',*,*,#98359,.T.); #142124=ORIENTED_EDGE('',*,*,#98361,.T.); #142125=ORIENTED_EDGE('',*,*,#98363,.T.); #142126=ORIENTED_EDGE('',*,*,#98365,.T.); #142127=ORIENTED_EDGE('',*,*,#98367,.T.); #142128=ORIENTED_EDGE('',*,*,#98369,.T.); #142129=ORIENTED_EDGE('',*,*,#98371,.T.); #142130=ORIENTED_EDGE('',*,*,#98373,.T.); #142131=ORIENTED_EDGE('',*,*,#98375,.T.); #142132=ORIENTED_EDGE('',*,*,#98377,.T.); #142133=ORIENTED_EDGE('',*,*,#98379,.T.); #142134=ORIENTED_EDGE('',*,*,#97129,.T.); #142135=ORIENTED_EDGE('',*,*,#97169,.T.); #142136=ORIENTED_EDGE('',*,*,#97166,.T.); #142137=ORIENTED_EDGE('',*,*,#97163,.T.); #142138=ORIENTED_EDGE('',*,*,#97160,.T.); #142139=ORIENTED_EDGE('',*,*,#97157,.T.); #142140=ORIENTED_EDGE('',*,*,#97154,.T.); #142141=ORIENTED_EDGE('',*,*,#97151,.T.); #142142=ORIENTED_EDGE('',*,*,#97148,.T.); #142143=ORIENTED_EDGE('',*,*,#97145,.T.); #142144=ORIENTED_EDGE('',*,*,#97142,.T.); #142145=ORIENTED_EDGE('',*,*,#97139,.T.); #142146=ORIENTED_EDGE('',*,*,#97136,.T.); #142147=ORIENTED_EDGE('',*,*,#97133,.T.); #142148=ORIENTED_EDGE('',*,*,#98511,.F.); #142149=ORIENTED_EDGE('',*,*,#98543,.T.); #142150=ORIENTED_EDGE('',*,*,#98535,.T.); #142151=ORIENTED_EDGE('',*,*,#98544,.F.); #142152=ORIENTED_EDGE('',*,*,#98545,.T.); #142153=ORIENTED_EDGE('',*,*,#98516,.T.); #142154=ORIENTED_EDGE('',*,*,#98546,.F.); #142155=ORIENTED_EDGE('',*,*,#98537,.F.); #142156=ORIENTED_EDGE('',*,*,#96516,.F.); #142157=ORIENTED_EDGE('',*,*,#98546,.T.); #142158=ORIENTED_EDGE('',*,*,#98514,.F.); #142159=ORIENTED_EDGE('',*,*,#98544,.T.); #142160=ORIENTED_EDGE('',*,*,#98542,.T.); #142161=ORIENTED_EDGE('',*,*,#98543,.F.); #142162=ORIENTED_EDGE('',*,*,#98518,.T.); #142163=ORIENTED_EDGE('',*,*,#98545,.F.); #142164=ORIENTED_EDGE('',*,*,#98457,.F.); #142165=ORIENTED_EDGE('',*,*,#98547,.T.); #142166=ORIENTED_EDGE('',*,*,#98530,.T.); #142167=ORIENTED_EDGE('',*,*,#98548,.F.); #142168=ORIENTED_EDGE('',*,*,#98549,.T.); #142169=ORIENTED_EDGE('',*,*,#98477,.T.); #142170=ORIENTED_EDGE('',*,*,#98550,.F.); #142171=ORIENTED_EDGE('',*,*,#98532,.F.); #142172=ORIENTED_EDGE('',*,*,#96519,.F.); #142173=ORIENTED_EDGE('',*,*,#98550,.T.); #142174=ORIENTED_EDGE('',*,*,#98462,.F.); #142175=ORIENTED_EDGE('',*,*,#98548,.T.); #142176=ORIENTED_EDGE('',*,*,#98541,.T.); #142177=ORIENTED_EDGE('',*,*,#98547,.F.); #142178=ORIENTED_EDGE('',*,*,#98494,.T.); #142179=ORIENTED_EDGE('',*,*,#98549,.F.); #142180=ORIENTED_EDGE('',*,*,#98433,.F.); #142181=ORIENTED_EDGE('',*,*,#98551,.T.); #142182=ORIENTED_EDGE('',*,*,#98525,.T.); #142183=ORIENTED_EDGE('',*,*,#98552,.F.); #142184=ORIENTED_EDGE('',*,*,#98553,.T.); #142185=ORIENTED_EDGE('',*,*,#98438,.T.); #142186=ORIENTED_EDGE('',*,*,#98554,.F.); #142187=ORIENTED_EDGE('',*,*,#98527,.F.); #142188=ORIENTED_EDGE('',*,*,#96522,.F.); #142189=ORIENTED_EDGE('',*,*,#98554,.T.); #142190=ORIENTED_EDGE('',*,*,#98436,.F.); #142191=ORIENTED_EDGE('',*,*,#98552,.T.); #142192=ORIENTED_EDGE('',*,*,#98540,.T.); #142193=ORIENTED_EDGE('',*,*,#98551,.F.); #142194=ORIENTED_EDGE('',*,*,#98440,.T.); #142195=ORIENTED_EDGE('',*,*,#98553,.F.); #142196=ORIENTED_EDGE('',*,*,#98409,.F.); #142197=ORIENTED_EDGE('',*,*,#98555,.T.); #142198=ORIENTED_EDGE('',*,*,#98520,.T.); #142199=ORIENTED_EDGE('',*,*,#98556,.F.); #142200=ORIENTED_EDGE('',*,*,#98557,.T.); #142201=ORIENTED_EDGE('',*,*,#98414,.T.); #142202=ORIENTED_EDGE('',*,*,#98558,.F.); #142203=ORIENTED_EDGE('',*,*,#98522,.F.); #142204=ORIENTED_EDGE('',*,*,#96513,.F.); #142205=ORIENTED_EDGE('',*,*,#98558,.T.); #142206=ORIENTED_EDGE('',*,*,#98412,.F.); #142207=ORIENTED_EDGE('',*,*,#98556,.T.); #142208=ORIENTED_EDGE('',*,*,#98539,.T.); #142209=ORIENTED_EDGE('',*,*,#98555,.F.); #142210=ORIENTED_EDGE('',*,*,#98416,.T.); #142211=ORIENTED_EDGE('',*,*,#98557,.F.); #142212=ORIENTED_EDGE('',*,*,#98392,.T.); #142213=ORIENTED_EDGE('',*,*,#98468,.T.); #142214=ORIENTED_EDGE('',*,*,#98559,.F.); #142215=ORIENTED_EDGE('',*,*,#98489,.F.); #142216=ORIENTED_EDGE('',*,*,#98559,.T.); #142217=ORIENTED_EDGE('',*,*,#98467,.T.); #142218=ORIENTED_EDGE('',*,*,#98560,.F.); #142219=ORIENTED_EDGE('',*,*,#98490,.F.); #142220=ORIENTED_EDGE('',*,*,#98560,.T.); #142221=ORIENTED_EDGE('',*,*,#98466,.T.); #142222=ORIENTED_EDGE('',*,*,#98561,.F.); #142223=ORIENTED_EDGE('',*,*,#98491,.F.); #142224=ORIENTED_EDGE('',*,*,#98561,.T.); #142225=ORIENTED_EDGE('',*,*,#98465,.T.); #142226=ORIENTED_EDGE('',*,*,#98562,.F.); #142227=ORIENTED_EDGE('',*,*,#98492,.F.); #142228=ORIENTED_EDGE('',*,*,#98562,.T.); #142229=ORIENTED_EDGE('',*,*,#98464,.T.); #142230=ORIENTED_EDGE('',*,*,#98445,.F.); #142231=ORIENTED_EDGE('',*,*,#98493,.F.); #142232=ORIENTED_EDGE('',*,*,#98388,.T.); #142233=ORIENTED_EDGE('',*,*,#98473,.T.); #142234=ORIENTED_EDGE('',*,*,#98563,.F.); #142235=ORIENTED_EDGE('',*,*,#98484,.F.); #142236=ORIENTED_EDGE('',*,*,#98563,.T.); #142237=ORIENTED_EDGE('',*,*,#98472,.T.); #142238=ORIENTED_EDGE('',*,*,#98564,.F.); #142239=ORIENTED_EDGE('',*,*,#98485,.F.); #142240=ORIENTED_EDGE('',*,*,#98564,.T.); #142241=ORIENTED_EDGE('',*,*,#98471,.T.); #142242=ORIENTED_EDGE('',*,*,#98565,.F.); #142243=ORIENTED_EDGE('',*,*,#98486,.F.); #142244=ORIENTED_EDGE('',*,*,#98565,.T.); #142245=ORIENTED_EDGE('',*,*,#98470,.T.); #142246=ORIENTED_EDGE('',*,*,#98566,.F.); #142247=ORIENTED_EDGE('',*,*,#98487,.F.); #142248=ORIENTED_EDGE('',*,*,#98566,.T.); #142249=ORIENTED_EDGE('',*,*,#98469,.T.); #142250=ORIENTED_EDGE('',*,*,#98390,.F.); #142251=ORIENTED_EDGE('',*,*,#98488,.F.); #142252=ORIENTED_EDGE('',*,*,#98382,.T.); #142253=ORIENTED_EDGE('',*,*,#98461,.T.); #142254=ORIENTED_EDGE('',*,*,#98567,.F.); #142255=ORIENTED_EDGE('',*,*,#98479,.F.); #142256=ORIENTED_EDGE('',*,*,#98567,.T.); #142257=ORIENTED_EDGE('',*,*,#98460,.T.); #142258=ORIENTED_EDGE('',*,*,#98568,.F.); #142259=ORIENTED_EDGE('',*,*,#98480,.F.); #142260=ORIENTED_EDGE('',*,*,#98568,.T.); #142261=ORIENTED_EDGE('',*,*,#98476,.T.); #142262=ORIENTED_EDGE('',*,*,#98569,.F.); #142263=ORIENTED_EDGE('',*,*,#98481,.F.); #142264=ORIENTED_EDGE('',*,*,#98569,.T.); #142265=ORIENTED_EDGE('',*,*,#98475,.T.); #142266=ORIENTED_EDGE('',*,*,#98570,.F.); #142267=ORIENTED_EDGE('',*,*,#98482,.F.); #142268=ORIENTED_EDGE('',*,*,#98570,.T.); #142269=ORIENTED_EDGE('',*,*,#98474,.T.); #142270=ORIENTED_EDGE('',*,*,#98386,.F.); #142271=ORIENTED_EDGE('',*,*,#98483,.F.); #142272=ORIENTED_EDGE('',*,*,#98571,.T.); #142273=ORIENTED_EDGE('',*,*,#98572,.T.); #142274=ORIENTED_EDGE('',*,*,#98573,.T.); #142275=ORIENTED_EDGE('',*,*,#98574,.T.); #142276=ORIENTED_EDGE('',*,*,#98572,.F.); #142277=ORIENTED_EDGE('',*,*,#98574,.F.); #142278=ORIENTED_EDGE('',*,*,#98575,.T.); #142279=ORIENTED_EDGE('',*,*,#98576,.T.); #142280=ORIENTED_EDGE('',*,*,#98575,.F.); #142281=ORIENTED_EDGE('',*,*,#98573,.F.); #142282=ORIENTED_EDGE('',*,*,#98577,.F.); #142283=ORIENTED_EDGE('',*,*,#98578,.F.); #142284=ORIENTED_EDGE('',*,*,#98579,.F.); #142285=ORIENTED_EDGE('',*,*,#98580,.F.); #142286=ORIENTED_EDGE('',*,*,#98571,.F.); #142287=ORIENTED_EDGE('',*,*,#98581,.T.); #142288=ORIENTED_EDGE('',*,*,#98582,.T.); #142289=ORIENTED_EDGE('',*,*,#98583,.T.); #142290=ORIENTED_EDGE('',*,*,#98584,.T.); #142291=ORIENTED_EDGE('',*,*,#98585,.T.); #142292=ORIENTED_EDGE('',*,*,#98586,.T.); #142293=ORIENTED_EDGE('',*,*,#98587,.T.); #142294=ORIENTED_EDGE('',*,*,#98588,.T.); #142295=ORIENTED_EDGE('',*,*,#98589,.T.); #142296=ORIENTED_EDGE('',*,*,#98590,.T.); #142297=ORIENTED_EDGE('',*,*,#98587,.F.); #142298=ORIENTED_EDGE('',*,*,#98591,.T.); #142299=ORIENTED_EDGE('',*,*,#98592,.T.); #142300=ORIENTED_EDGE('',*,*,#98593,.T.); #142301=ORIENTED_EDGE('',*,*,#98589,.F.); #142302=ORIENTED_EDGE('',*,*,#98594,.T.); #142303=ORIENTED_EDGE('',*,*,#98585,.F.); #142304=ORIENTED_EDGE('',*,*,#98595,.T.); #142305=ORIENTED_EDGE('',*,*,#98583,.F.); #142306=ORIENTED_EDGE('',*,*,#98596,.T.); #142307=ORIENTED_EDGE('',*,*,#98597,.T.); #142308=ORIENTED_EDGE('',*,*,#98598,.T.); #142309=ORIENTED_EDGE('',*,*,#98599,.T.); #142310=ORIENTED_EDGE('',*,*,#98600,.T.); #142311=ORIENTED_EDGE('',*,*,#98597,.F.); #142312=ORIENTED_EDGE('',*,*,#98601,.T.); #142313=ORIENTED_EDGE('',*,*,#98592,.F.); #142314=ORIENTED_EDGE('',*,*,#98602,.T.); #142315=ORIENTED_EDGE('',*,*,#98603,.T.); #142316=ORIENTED_EDGE('',*,*,#98604,.T.); #142317=ORIENTED_EDGE('',*,*,#98605,.T.); #142318=ORIENTED_EDGE('',*,*,#98606,.T.); #142319=ORIENTED_EDGE('',*,*,#98607,.T.); #142320=ORIENTED_EDGE('',*,*,#98608,.T.); #142321=ORIENTED_EDGE('',*,*,#98605,.F.); #142322=ORIENTED_EDGE('',*,*,#98609,.T.); #142323=ORIENTED_EDGE('',*,*,#98610,.T.); #142324=ORIENTED_EDGE('',*,*,#98611,.T.); #142325=ORIENTED_EDGE('',*,*,#98607,.F.); #142326=ORIENTED_EDGE('',*,*,#98612,.T.); #142327=ORIENTED_EDGE('',*,*,#98603,.F.); #142328=ORIENTED_EDGE('',*,*,#98613,.T.); #142329=ORIENTED_EDGE('',*,*,#98599,.F.); #142330=ORIENTED_EDGE('',*,*,#98614,.T.); #142331=ORIENTED_EDGE('',*,*,#98615,.T.); #142332=ORIENTED_EDGE('',*,*,#98616,.T.); #142333=ORIENTED_EDGE('',*,*,#98617,.T.); #142334=ORIENTED_EDGE('',*,*,#98618,.T.); #142335=ORIENTED_EDGE('',*,*,#98615,.F.); #142336=ORIENTED_EDGE('',*,*,#98619,.T.); #142337=ORIENTED_EDGE('',*,*,#98610,.F.); #142338=ORIENTED_EDGE('',*,*,#98620,.T.); #142339=ORIENTED_EDGE('',*,*,#98621,.T.); #142340=ORIENTED_EDGE('',*,*,#98622,.T.); #142341=ORIENTED_EDGE('',*,*,#98623,.T.); #142342=ORIENTED_EDGE('',*,*,#98624,.T.); #142343=ORIENTED_EDGE('',*,*,#98625,.T.); #142344=ORIENTED_EDGE('',*,*,#98626,.T.); #142345=ORIENTED_EDGE('',*,*,#98623,.F.); #142346=ORIENTED_EDGE('',*,*,#98627,.T.); #142347=ORIENTED_EDGE('',*,*,#98628,.T.); #142348=ORIENTED_EDGE('',*,*,#98629,.T.); #142349=ORIENTED_EDGE('',*,*,#98625,.F.); #142350=ORIENTED_EDGE('',*,*,#98630,.T.); #142351=ORIENTED_EDGE('',*,*,#98621,.F.); #142352=ORIENTED_EDGE('',*,*,#98631,.T.); #142353=ORIENTED_EDGE('',*,*,#98617,.F.); #142354=ORIENTED_EDGE('',*,*,#98632,.T.); #142355=ORIENTED_EDGE('',*,*,#98633,.T.); #142356=ORIENTED_EDGE('',*,*,#98634,.T.); #142357=ORIENTED_EDGE('',*,*,#98635,.T.); #142358=ORIENTED_EDGE('',*,*,#98636,.T.); #142359=ORIENTED_EDGE('',*,*,#98633,.F.); #142360=ORIENTED_EDGE('',*,*,#98637,.T.); #142361=ORIENTED_EDGE('',*,*,#98628,.F.); #142362=ORIENTED_EDGE('',*,*,#98638,.T.); #142363=ORIENTED_EDGE('',*,*,#98639,.T.); #142364=ORIENTED_EDGE('',*,*,#98640,.T.); #142365=ORIENTED_EDGE('',*,*,#98641,.T.); #142366=ORIENTED_EDGE('',*,*,#98642,.T.); #142367=ORIENTED_EDGE('',*,*,#98643,.T.); #142368=ORIENTED_EDGE('',*,*,#98644,.T.); #142369=ORIENTED_EDGE('',*,*,#98641,.F.); #142370=ORIENTED_EDGE('',*,*,#98645,.T.); #142371=ORIENTED_EDGE('',*,*,#98646,.T.); #142372=ORIENTED_EDGE('',*,*,#98647,.T.); #142373=ORIENTED_EDGE('',*,*,#98643,.F.); #142374=ORIENTED_EDGE('',*,*,#98648,.T.); #142375=ORIENTED_EDGE('',*,*,#98639,.F.); #142376=ORIENTED_EDGE('',*,*,#98649,.T.); #142377=ORIENTED_EDGE('',*,*,#98635,.F.); #142378=ORIENTED_EDGE('',*,*,#98650,.T.); #142379=ORIENTED_EDGE('',*,*,#98581,.F.); #142380=ORIENTED_EDGE('',*,*,#98651,.T.); #142381=ORIENTED_EDGE('',*,*,#98646,.F.); #142382=ORIENTED_EDGE('',*,*,#98652,.T.); #142383=ORIENTED_EDGE('',*,*,#98606,.F.); #142384=ORIENTED_EDGE('',*,*,#98608,.F.); #142385=ORIENTED_EDGE('',*,*,#98611,.F.); #142386=ORIENTED_EDGE('',*,*,#98653,.F.); #142387=ORIENTED_EDGE('',*,*,#98618,.F.); #142388=ORIENTED_EDGE('',*,*,#98654,.F.); #142389=ORIENTED_EDGE('',*,*,#98655,.T.); #142390=ORIENTED_EDGE('',*,*,#98656,.T.); #142391=ORIENTED_EDGE('',*,*,#98624,.F.); #142392=ORIENTED_EDGE('',*,*,#98626,.F.); #142393=ORIENTED_EDGE('',*,*,#98629,.F.); #142394=ORIENTED_EDGE('',*,*,#98657,.F.); #142395=ORIENTED_EDGE('',*,*,#98631,.F.); #142396=ORIENTED_EDGE('',*,*,#98657,.T.); #142397=ORIENTED_EDGE('',*,*,#98637,.F.); #142398=ORIENTED_EDGE('',*,*,#98658,.F.); #142399=ORIENTED_EDGE('',*,*,#98659,.T.); #142400=ORIENTED_EDGE('',*,*,#98654,.T.); #142401=ORIENTED_EDGE('',*,*,#98636,.F.); #142402=ORIENTED_EDGE('',*,*,#98660,.F.); #142403=ORIENTED_EDGE('',*,*,#98661,.T.); #142404=ORIENTED_EDGE('',*,*,#98658,.T.); #142405=ORIENTED_EDGE('',*,*,#98604,.F.); #142406=ORIENTED_EDGE('',*,*,#98614,.F.); #142407=ORIENTED_EDGE('',*,*,#98598,.F.); #142408=ORIENTED_EDGE('',*,*,#98602,.F.); #142409=ORIENTED_EDGE('',*,*,#98594,.F.); #142410=ORIENTED_EDGE('',*,*,#98662,.F.); #142411=ORIENTED_EDGE('',*,*,#98663,.F.); #142412=ORIENTED_EDGE('',*,*,#98664,.F.); #142413=ORIENTED_EDGE('',*,*,#98591,.F.); #142414=ORIENTED_EDGE('',*,*,#98665,.T.); #142415=ORIENTED_EDGE('',*,*,#98666,.F.); #142416=ORIENTED_EDGE('',*,*,#98662,.T.); #142417=ORIENTED_EDGE('',*,*,#98586,.F.); #142418=ORIENTED_EDGE('',*,*,#98596,.F.); #142419=ORIENTED_EDGE('',*,*,#98582,.F.); #142420=ORIENTED_EDGE('',*,*,#98652,.F.); #142421=ORIENTED_EDGE('',*,*,#98648,.F.); #142422=ORIENTED_EDGE('',*,*,#98667,.F.); #142423=ORIENTED_EDGE('',*,*,#98668,.F.); #142424=ORIENTED_EDGE('',*,*,#98665,.F.); #142425=ORIENTED_EDGE('',*,*,#98645,.F.); #142426=ORIENTED_EDGE('',*,*,#98669,.T.); #142427=ORIENTED_EDGE('',*,*,#98670,.F.); #142428=ORIENTED_EDGE('',*,*,#98667,.T.); #142429=ORIENTED_EDGE('',*,*,#98671,.T.); #142430=ORIENTED_EDGE('',*,*,#98672,.T.); #142431=ORIENTED_EDGE('',*,*,#98673,.T.); #142432=ORIENTED_EDGE('',*,*,#98674,.T.); #142433=ORIENTED_EDGE('',*,*,#98675,.T.); #142434=ORIENTED_EDGE('',*,*,#98676,.T.); #142435=ORIENTED_EDGE('',*,*,#98673,.F.); #142436=ORIENTED_EDGE('',*,*,#98677,.T.); #142437=ORIENTED_EDGE('',*,*,#98678,.T.); #142438=ORIENTED_EDGE('',*,*,#98679,.T.); #142439=ORIENTED_EDGE('',*,*,#98675,.F.); #142440=ORIENTED_EDGE('',*,*,#98663,.T.); #142441=ORIENTED_EDGE('',*,*,#98680,.T.); #142442=ORIENTED_EDGE('',*,*,#98681,.T.); #142443=ORIENTED_EDGE('',*,*,#98678,.F.); #142444=ORIENTED_EDGE('',*,*,#98666,.T.); #142445=ORIENTED_EDGE('',*,*,#98682,.T.); #142446=ORIENTED_EDGE('',*,*,#98683,.T.); #142447=ORIENTED_EDGE('',*,*,#98680,.F.); #142448=ORIENTED_EDGE('',*,*,#98668,.T.); #142449=ORIENTED_EDGE('',*,*,#98684,.T.); #142450=ORIENTED_EDGE('',*,*,#98685,.T.); #142451=ORIENTED_EDGE('',*,*,#98682,.F.); #142452=ORIENTED_EDGE('',*,*,#98670,.T.); #142453=ORIENTED_EDGE('',*,*,#98686,.T.); #142454=ORIENTED_EDGE('',*,*,#98687,.T.); #142455=ORIENTED_EDGE('',*,*,#98684,.F.); #142456=ORIENTED_EDGE('',*,*,#98688,.T.); #142457=ORIENTED_EDGE('',*,*,#98686,.F.); #142458=ORIENTED_EDGE('',*,*,#98689,.T.); #142459=ORIENTED_EDGE('',*,*,#98671,.F.); #142460=ORIENTED_EDGE('',*,*,#98690,.T.); #142461=ORIENTED_EDGE('',*,*,#98609,.F.); #142462=ORIENTED_EDGE('',*,*,#98664,.T.); #142463=ORIENTED_EDGE('',*,*,#98677,.F.); #142464=ORIENTED_EDGE('',*,*,#98691,.T.); #142465=ORIENTED_EDGE('',*,*,#98612,.F.); #142466=ORIENTED_EDGE('',*,*,#98691,.F.); #142467=ORIENTED_EDGE('',*,*,#98672,.F.); #142468=ORIENTED_EDGE('',*,*,#98692,.F.); #142469=ORIENTED_EDGE('',*,*,#98622,.F.); #142470=ORIENTED_EDGE('',*,*,#98632,.F.); #142471=ORIENTED_EDGE('',*,*,#98616,.F.); #142472=ORIENTED_EDGE('',*,*,#98620,.F.); #142473=ORIENTED_EDGE('',*,*,#98600,.F.); #142474=ORIENTED_EDGE('',*,*,#98693,.T.); #142475=ORIENTED_EDGE('',*,*,#98694,.T.); #142476=ORIENTED_EDGE('',*,*,#98695,.T.); #142477=ORIENTED_EDGE('',*,*,#98601,.F.); #142478=ORIENTED_EDGE('',*,*,#98695,.F.); #142479=ORIENTED_EDGE('',*,*,#98696,.T.); #142480=ORIENTED_EDGE('',*,*,#98697,.T.); #142481=ORIENTED_EDGE('',*,*,#98595,.F.); #142482=ORIENTED_EDGE('',*,*,#98698,.T.); #142483=ORIENTED_EDGE('',*,*,#98584,.F.); #142484=ORIENTED_EDGE('',*,*,#98697,.F.); #142485=ORIENTED_EDGE('',*,*,#98699,.T.); #142486=ORIENTED_EDGE('',*,*,#98700,.T.); #142487=ORIENTED_EDGE('',*,*,#98651,.F.); #142488=ORIENTED_EDGE('',*,*,#98700,.F.); #142489=ORIENTED_EDGE('',*,*,#98701,.T.); #142490=ORIENTED_EDGE('',*,*,#98660,.T.); #142491=ORIENTED_EDGE('',*,*,#98649,.F.); #142492=ORIENTED_EDGE('',*,*,#98702,.T.); #142493=ORIENTED_EDGE('',*,*,#98613,.F.); #142494=ORIENTED_EDGE('',*,*,#98653,.T.); #142495=ORIENTED_EDGE('',*,*,#98619,.F.); #142496=ORIENTED_EDGE('',*,*,#98656,.F.); #142497=ORIENTED_EDGE('',*,*,#98703,.T.); #142498=ORIENTED_EDGE('',*,*,#98693,.F.); #142499=ORIENTED_EDGE('',*,*,#98701,.F.); #142500=ORIENTED_EDGE('',*,*,#98704,.T.); #142501=ORIENTED_EDGE('',*,*,#98705,.F.); #142502=ORIENTED_EDGE('',*,*,#98706,.T.); #142503=ORIENTED_EDGE('',*,*,#98699,.F.); #142504=ORIENTED_EDGE('',*,*,#98707,.F.); #142505=ORIENTED_EDGE('',*,*,#98708,.F.); #142506=ORIENTED_EDGE('',*,*,#98704,.F.); #142507=ORIENTED_EDGE('',*,*,#98696,.F.); #142508=ORIENTED_EDGE('',*,*,#98709,.T.); #142509=ORIENTED_EDGE('',*,*,#98710,.F.); #142510=ORIENTED_EDGE('',*,*,#98707,.T.); #142511=ORIENTED_EDGE('',*,*,#98694,.F.); #142512=ORIENTED_EDGE('',*,*,#98711,.F.); #142513=ORIENTED_EDGE('',*,*,#98712,.F.); #142514=ORIENTED_EDGE('',*,*,#98709,.F.); #142515=ORIENTED_EDGE('',*,*,#98593,.F.); #142516=ORIENTED_EDGE('',*,*,#98698,.F.); #142517=ORIENTED_EDGE('',*,*,#98588,.F.); #142518=ORIENTED_EDGE('',*,*,#98590,.F.); #142519=ORIENTED_EDGE('',*,*,#98576,.F.); #142520=ORIENTED_EDGE('',*,*,#98713,.T.); #142521=ORIENTED_EDGE('',*,*,#98714,.T.); #142522=ORIENTED_EDGE('',*,*,#98715,.T.); #142523=ORIENTED_EDGE('',*,*,#98577,.T.); #142524=ORIENTED_EDGE('',*,*,#98716,.T.); #142525=ORIENTED_EDGE('',*,*,#98712,.T.); #142526=ORIENTED_EDGE('',*,*,#98717,.T.); #142527=ORIENTED_EDGE('',*,*,#98580,.T.); #142528=ORIENTED_EDGE('',*,*,#98717,.F.); #142529=ORIENTED_EDGE('',*,*,#98718,.T.); #142530=ORIENTED_EDGE('',*,*,#98713,.F.); #142531=ORIENTED_EDGE('',*,*,#98719,.T.); #142532=ORIENTED_EDGE('',*,*,#98708,.T.); #142533=ORIENTED_EDGE('',*,*,#98720,.T.); #142534=ORIENTED_EDGE('',*,*,#98579,.T.); #142535=ORIENTED_EDGE('',*,*,#98720,.F.); #142536=ORIENTED_EDGE('',*,*,#98710,.T.); #142537=ORIENTED_EDGE('',*,*,#98716,.F.); #142538=ORIENTED_EDGE('',*,*,#98721,.T.); #142539=ORIENTED_EDGE('',*,*,#98722,.T.); #142540=ORIENTED_EDGE('',*,*,#98723,.T.); #142541=ORIENTED_EDGE('',*,*,#98578,.T.); #142542=ORIENTED_EDGE('',*,*,#98723,.F.); #142543=ORIENTED_EDGE('',*,*,#98705,.T.); #142544=ORIENTED_EDGE('',*,*,#98719,.F.); #142545=ORIENTED_EDGE('',*,*,#98715,.F.); #142546=ORIENTED_EDGE('',*,*,#98724,.T.); #142547=ORIENTED_EDGE('',*,*,#98721,.F.); #142548=ORIENTED_EDGE('',*,*,#98661,.F.); #142549=ORIENTED_EDGE('',*,*,#98706,.F.); #142550=ORIENTED_EDGE('',*,*,#98722,.F.); #142551=ORIENTED_EDGE('',*,*,#98725,.F.); #142552=ORIENTED_EDGE('',*,*,#98703,.F.); #142553=ORIENTED_EDGE('',*,*,#98726,.T.); #142554=ORIENTED_EDGE('',*,*,#98718,.F.); #142555=ORIENTED_EDGE('',*,*,#98711,.T.); #142556=ORIENTED_EDGE('',*,*,#98659,.F.); #142557=ORIENTED_EDGE('',*,*,#98725,.T.); #142558=ORIENTED_EDGE('',*,*,#98724,.F.); #142559=ORIENTED_EDGE('',*,*,#98727,.T.); #142560=ORIENTED_EDGE('',*,*,#98655,.F.); #142561=ORIENTED_EDGE('',*,*,#98727,.F.); #142562=ORIENTED_EDGE('',*,*,#98714,.F.); #142563=ORIENTED_EDGE('',*,*,#98726,.F.); #142564=ORIENTED_EDGE('',*,*,#98627,.F.); #142565=ORIENTED_EDGE('',*,*,#98692,.T.); #142566=ORIENTED_EDGE('',*,*,#98689,.F.); #142567=ORIENTED_EDGE('',*,*,#98728,.T.); #142568=ORIENTED_EDGE('',*,*,#98647,.F.); #142569=ORIENTED_EDGE('',*,*,#98702,.F.); #142570=ORIENTED_EDGE('',*,*,#98642,.F.); #142571=ORIENTED_EDGE('',*,*,#98644,.F.); #142572=ORIENTED_EDGE('',*,*,#98630,.F.); #142573=ORIENTED_EDGE('',*,*,#98728,.F.); #142574=ORIENTED_EDGE('',*,*,#98688,.F.); #142575=ORIENTED_EDGE('',*,*,#98669,.F.); #142576=ORIENTED_EDGE('',*,*,#98640,.F.); #142577=ORIENTED_EDGE('',*,*,#98650,.F.); #142578=ORIENTED_EDGE('',*,*,#98634,.F.); #142579=ORIENTED_EDGE('',*,*,#98638,.F.); #142580=ORIENTED_EDGE('',*,*,#98729,.F.); #142581=ORIENTED_EDGE('',*,*,#98730,.F.); #142582=ORIENTED_EDGE('',*,*,#98731,.F.); #142583=ORIENTED_EDGE('',*,*,#98732,.F.); #142584=ORIENTED_EDGE('',*,*,#98674,.F.); #142585=ORIENTED_EDGE('',*,*,#98676,.F.); #142586=ORIENTED_EDGE('',*,*,#98679,.F.); #142587=ORIENTED_EDGE('',*,*,#98681,.F.); #142588=ORIENTED_EDGE('',*,*,#98683,.F.); #142589=ORIENTED_EDGE('',*,*,#98685,.F.); #142590=ORIENTED_EDGE('',*,*,#98687,.F.); #142591=ORIENTED_EDGE('',*,*,#98690,.F.); #142592=ORIENTED_EDGE('',*,*,#98733,.F.); #142593=ORIENTED_EDGE('',*,*,#98734,.F.); #142594=ORIENTED_EDGE('',*,*,#98735,.F.); #142595=ORIENTED_EDGE('',*,*,#98736,.F.); #142596=ORIENTED_EDGE('',*,*,#98737,.F.); #142597=ORIENTED_EDGE('',*,*,#98738,.F.); #142598=ORIENTED_EDGE('',*,*,#98739,.F.); #142599=ORIENTED_EDGE('',*,*,#98740,.F.); #142600=ORIENTED_EDGE('',*,*,#98741,.F.); #142601=ORIENTED_EDGE('',*,*,#98742,.F.); #142602=ORIENTED_EDGE('',*,*,#98743,.F.); #142603=ORIENTED_EDGE('',*,*,#98744,.F.); #142604=ORIENTED_EDGE('',*,*,#98745,.F.); #142605=ORIENTED_EDGE('',*,*,#98746,.F.); #142606=ORIENTED_EDGE('',*,*,#98747,.F.); #142607=ORIENTED_EDGE('',*,*,#98748,.F.); #142608=ORIENTED_EDGE('',*,*,#98749,.F.); #142609=ORIENTED_EDGE('',*,*,#98750,.F.); #142610=ORIENTED_EDGE('',*,*,#98751,.F.); #142611=ORIENTED_EDGE('',*,*,#98752,.F.); #142612=ORIENTED_EDGE('',*,*,#98753,.F.); #142613=ORIENTED_EDGE('',*,*,#98754,.F.); #142614=ORIENTED_EDGE('',*,*,#98755,.F.); #142615=ORIENTED_EDGE('',*,*,#98756,.F.); #142616=ORIENTED_EDGE('',*,*,#98757,.F.); #142617=ORIENTED_EDGE('',*,*,#98758,.F.); #142618=ORIENTED_EDGE('',*,*,#98759,.F.); #142619=ORIENTED_EDGE('',*,*,#98760,.F.); #142620=ORIENTED_EDGE('',*,*,#98761,.F.); #142621=ORIENTED_EDGE('',*,*,#98762,.F.); #142622=ORIENTED_EDGE('',*,*,#98763,.F.); #142623=ORIENTED_EDGE('',*,*,#98764,.F.); #142624=ORIENTED_EDGE('',*,*,#98765,.F.); #142625=ORIENTED_EDGE('',*,*,#98766,.F.); #142626=ORIENTED_EDGE('',*,*,#98767,.F.); #142627=ORIENTED_EDGE('',*,*,#98768,.F.); #142628=ORIENTED_EDGE('',*,*,#98769,.F.); #142629=ORIENTED_EDGE('',*,*,#98770,.F.); #142630=ORIENTED_EDGE('',*,*,#98771,.F.); #142631=ORIENTED_EDGE('',*,*,#98772,.F.); #142632=ORIENTED_EDGE('',*,*,#98773,.F.); #142633=ORIENTED_EDGE('',*,*,#98774,.F.); #142634=ORIENTED_EDGE('',*,*,#98775,.F.); #142635=ORIENTED_EDGE('',*,*,#98776,.F.); #142636=ORIENTED_EDGE('',*,*,#98777,.F.); #142637=ORIENTED_EDGE('',*,*,#98778,.F.); #142638=ORIENTED_EDGE('',*,*,#98779,.F.); #142639=ORIENTED_EDGE('',*,*,#98780,.F.); #142640=ORIENTED_EDGE('',*,*,#98781,.F.); #142641=ORIENTED_EDGE('',*,*,#98782,.F.); #142642=ORIENTED_EDGE('',*,*,#98783,.F.); #142643=ORIENTED_EDGE('',*,*,#98784,.F.); #142644=ORIENTED_EDGE('',*,*,#98785,.F.); #142645=ORIENTED_EDGE('',*,*,#98786,.F.); #142646=ORIENTED_EDGE('',*,*,#98787,.F.); #142647=ORIENTED_EDGE('',*,*,#98788,.F.); #142648=ORIENTED_EDGE('',*,*,#98789,.F.); #142649=ORIENTED_EDGE('',*,*,#98790,.F.); #142650=ORIENTED_EDGE('',*,*,#98791,.F.); #142651=ORIENTED_EDGE('',*,*,#98792,.F.); #142652=ORIENTED_EDGE('',*,*,#98793,.F.); #142653=ORIENTED_EDGE('',*,*,#98794,.F.); #142654=ORIENTED_EDGE('',*,*,#98795,.F.); #142655=ORIENTED_EDGE('',*,*,#98796,.F.); #142656=ORIENTED_EDGE('',*,*,#98797,.F.); #142657=ORIENTED_EDGE('',*,*,#98798,.F.); #142658=ORIENTED_EDGE('',*,*,#98799,.F.); #142659=ORIENTED_EDGE('',*,*,#98800,.F.); #142660=ORIENTED_EDGE('',*,*,#98801,.F.); #142661=ORIENTED_EDGE('',*,*,#98802,.F.); #142662=ORIENTED_EDGE('',*,*,#98803,.F.); #142663=ORIENTED_EDGE('',*,*,#98804,.F.); #142664=ORIENTED_EDGE('',*,*,#98805,.F.); #142665=ORIENTED_EDGE('',*,*,#98806,.F.); #142666=ORIENTED_EDGE('',*,*,#98807,.F.); #142667=ORIENTED_EDGE('',*,*,#98808,.F.); #142668=ORIENTED_EDGE('',*,*,#98809,.F.); #142669=ORIENTED_EDGE('',*,*,#98810,.F.); #142670=ORIENTED_EDGE('',*,*,#98811,.F.); #142671=ORIENTED_EDGE('',*,*,#98812,.F.); #142672=ORIENTED_EDGE('',*,*,#98813,.F.); #142673=ORIENTED_EDGE('',*,*,#98814,.F.); #142674=ORIENTED_EDGE('',*,*,#98815,.F.); #142675=ORIENTED_EDGE('',*,*,#98816,.F.); #142676=ORIENTED_EDGE('',*,*,#98817,.F.); #142677=ORIENTED_EDGE('',*,*,#98818,.F.); #142678=ORIENTED_EDGE('',*,*,#98819,.F.); #142679=ORIENTED_EDGE('',*,*,#98820,.F.); #142680=ORIENTED_EDGE('',*,*,#98821,.F.); #142681=ORIENTED_EDGE('',*,*,#98822,.F.); #142682=ORIENTED_EDGE('',*,*,#98823,.F.); #142683=ORIENTED_EDGE('',*,*,#98824,.F.); #142684=ORIENTED_EDGE('',*,*,#98825,.F.); #142685=ORIENTED_EDGE('',*,*,#98826,.F.); #142686=ORIENTED_EDGE('',*,*,#98827,.F.); #142687=ORIENTED_EDGE('',*,*,#98828,.F.); #142688=ORIENTED_EDGE('',*,*,#98829,.F.); #142689=ORIENTED_EDGE('',*,*,#98830,.F.); #142690=ORIENTED_EDGE('',*,*,#98831,.F.); #142691=ORIENTED_EDGE('',*,*,#98832,.F.); #142692=ORIENTED_EDGE('',*,*,#98833,.F.); #142693=ORIENTED_EDGE('',*,*,#98834,.F.); #142694=ORIENTED_EDGE('',*,*,#98835,.F.); #142695=ORIENTED_EDGE('',*,*,#98836,.F.); #142696=ORIENTED_EDGE('',*,*,#98837,.F.); #142697=ORIENTED_EDGE('',*,*,#98838,.F.); #142698=ORIENTED_EDGE('',*,*,#98839,.F.); #142699=ORIENTED_EDGE('',*,*,#98840,.F.); #142700=ORIENTED_EDGE('',*,*,#98841,.F.); #142701=ORIENTED_EDGE('',*,*,#98842,.F.); #142702=ORIENTED_EDGE('',*,*,#98843,.F.); #142703=ORIENTED_EDGE('',*,*,#98844,.F.); #142704=ORIENTED_EDGE('',*,*,#98845,.F.); #142705=ORIENTED_EDGE('',*,*,#98846,.F.); #142706=ORIENTED_EDGE('',*,*,#98847,.F.); #142707=ORIENTED_EDGE('',*,*,#98848,.F.); #142708=ORIENTED_EDGE('',*,*,#98849,.F.); #142709=ORIENTED_EDGE('',*,*,#98850,.F.); #142710=ORIENTED_EDGE('',*,*,#98851,.F.); #142711=ORIENTED_EDGE('',*,*,#98852,.F.); #142712=ORIENTED_EDGE('',*,*,#98853,.F.); #142713=ORIENTED_EDGE('',*,*,#98854,.F.); #142714=ORIENTED_EDGE('',*,*,#98855,.F.); #142715=ORIENTED_EDGE('',*,*,#98856,.F.); #142716=ORIENTED_EDGE('',*,*,#98857,.F.); #142717=ORIENTED_EDGE('',*,*,#98858,.F.); #142718=ORIENTED_EDGE('',*,*,#98859,.F.); #142719=ORIENTED_EDGE('',*,*,#98860,.F.); #142720=ORIENTED_EDGE('',*,*,#98861,.F.); #142721=ORIENTED_EDGE('',*,*,#98862,.F.); #142722=ORIENTED_EDGE('',*,*,#98863,.F.); #142723=ORIENTED_EDGE('',*,*,#98864,.F.); #142724=ORIENTED_EDGE('',*,*,#98865,.F.); #142725=ORIENTED_EDGE('',*,*,#98866,.F.); #142726=ORIENTED_EDGE('',*,*,#98867,.F.); #142727=ORIENTED_EDGE('',*,*,#98868,.F.); #142728=ORIENTED_EDGE('',*,*,#98869,.F.); #142729=ORIENTED_EDGE('',*,*,#98870,.F.); #142730=ORIENTED_EDGE('',*,*,#98871,.F.); #142731=ORIENTED_EDGE('',*,*,#98872,.F.); #142732=ORIENTED_EDGE('',*,*,#98873,.F.); #142733=ORIENTED_EDGE('',*,*,#98874,.F.); #142734=ORIENTED_EDGE('',*,*,#98875,.F.); #142735=ORIENTED_EDGE('',*,*,#98876,.F.); #142736=ORIENTED_EDGE('',*,*,#98877,.F.); #142737=ORIENTED_EDGE('',*,*,#98878,.F.); #142738=ORIENTED_EDGE('',*,*,#98879,.F.); #142739=ORIENTED_EDGE('',*,*,#98880,.F.); #142740=ORIENTED_EDGE('',*,*,#98881,.F.); #142741=ORIENTED_EDGE('',*,*,#98882,.F.); #142742=ORIENTED_EDGE('',*,*,#98883,.F.); #142743=ORIENTED_EDGE('',*,*,#98884,.F.); #142744=ORIENTED_EDGE('',*,*,#98885,.F.); #142745=ORIENTED_EDGE('',*,*,#98886,.F.); #142746=ORIENTED_EDGE('',*,*,#98887,.F.); #142747=ORIENTED_EDGE('',*,*,#98888,.F.); #142748=ORIENTED_EDGE('',*,*,#98889,.F.); #142749=ORIENTED_EDGE('',*,*,#98890,.F.); #142750=ORIENTED_EDGE('',*,*,#98891,.F.); #142751=ORIENTED_EDGE('',*,*,#98892,.F.); #142752=ORIENTED_EDGE('',*,*,#98893,.F.); #142753=ORIENTED_EDGE('',*,*,#98894,.F.); #142754=ORIENTED_EDGE('',*,*,#98895,.F.); #142755=ORIENTED_EDGE('',*,*,#98896,.F.); #142756=ORIENTED_EDGE('',*,*,#98897,.F.); #142757=ORIENTED_EDGE('',*,*,#98898,.F.); #142758=ORIENTED_EDGE('',*,*,#98899,.F.); #142759=ORIENTED_EDGE('',*,*,#98900,.F.); #142760=ORIENTED_EDGE('',*,*,#98901,.F.); #142761=ORIENTED_EDGE('',*,*,#98902,.F.); #142762=ORIENTED_EDGE('',*,*,#98903,.F.); #142763=ORIENTED_EDGE('',*,*,#98904,.F.); #142764=ORIENTED_EDGE('',*,*,#98905,.F.); #142765=ORIENTED_EDGE('',*,*,#98906,.F.); #142766=ORIENTED_EDGE('',*,*,#98907,.F.); #142767=ORIENTED_EDGE('',*,*,#98908,.F.); #142768=ORIENTED_EDGE('',*,*,#98909,.F.); #142769=ORIENTED_EDGE('',*,*,#98910,.F.); #142770=ORIENTED_EDGE('',*,*,#98911,.F.); #142771=ORIENTED_EDGE('',*,*,#98825,.T.); #142772=ORIENTED_EDGE('',*,*,#98912,.F.); #142773=ORIENTED_EDGE('',*,*,#98902,.T.); #142774=ORIENTED_EDGE('',*,*,#98913,.F.); #142775=ORIENTED_EDGE('',*,*,#98826,.T.); #142776=ORIENTED_EDGE('',*,*,#98914,.F.); #142777=ORIENTED_EDGE('',*,*,#98908,.T.); #142778=ORIENTED_EDGE('',*,*,#98915,.F.); #142779=ORIENTED_EDGE('',*,*,#98916,.T.); #142780=ORIENTED_EDGE('',*,*,#98917,.F.); #142781=ORIENTED_EDGE('',*,*,#98827,.T.); #142782=ORIENTED_EDGE('',*,*,#98918,.F.); #142783=ORIENTED_EDGE('',*,*,#98880,.T.); #142784=ORIENTED_EDGE('',*,*,#98919,.F.); #142785=ORIENTED_EDGE('',*,*,#98811,.T.); #142786=ORIENTED_EDGE('',*,*,#98920,.F.); #142787=ORIENTED_EDGE('',*,*,#98829,.T.); #142788=ORIENTED_EDGE('',*,*,#98921,.F.); #142789=ORIENTED_EDGE('',*,*,#98744,.T.); #142790=ORIENTED_EDGE('',*,*,#98922,.F.); #142791=ORIENTED_EDGE('',*,*,#98808,.T.); #142792=ORIENTED_EDGE('',*,*,#98923,.F.); #142793=ORIENTED_EDGE('',*,*,#98858,.T.); #142794=ORIENTED_EDGE('',*,*,#98924,.F.); #142795=ORIENTED_EDGE('',*,*,#98834,.T.); #142796=ORIENTED_EDGE('',*,*,#98925,.F.); #142797=ORIENTED_EDGE('',*,*,#98778,.T.); #142798=ORIENTED_EDGE('',*,*,#98926,.F.); #142799=ORIENTED_EDGE('',*,*,#98835,.T.); #142800=ORIENTED_EDGE('',*,*,#98927,.F.); #142801=ORIENTED_EDGE('',*,*,#98804,.T.); #142802=ORIENTED_EDGE('',*,*,#98928,.F.); #142803=ORIENTED_EDGE('',*,*,#98929,.T.); #142804=ORIENTED_EDGE('',*,*,#98930,.F.); #142805=ORIENTED_EDGE('',*,*,#98836,.T.); #142806=ORIENTED_EDGE('',*,*,#98931,.F.); #142807=ORIENTED_EDGE('',*,*,#98838,.T.); #142808=ORIENTED_EDGE('',*,*,#98932,.F.); #142809=ORIENTED_EDGE('',*,*,#98754,.T.); #142810=ORIENTED_EDGE('',*,*,#98933,.F.); #142811=ORIENTED_EDGE('',*,*,#98842,.T.); #142812=ORIENTED_EDGE('',*,*,#98934,.F.); #142813=ORIENTED_EDGE('',*,*,#98768,.T.); #142814=ORIENTED_EDGE('',*,*,#98935,.F.); #142815=ORIENTED_EDGE('',*,*,#98847,.T.); #142816=ORIENTED_EDGE('',*,*,#98936,.F.); #142817=ORIENTED_EDGE('',*,*,#98738,.T.); #142818=ORIENTED_EDGE('',*,*,#98937,.F.); #142819=ORIENTED_EDGE('',*,*,#98807,.T.); #142820=ORIENTED_EDGE('',*,*,#98938,.F.); #142821=ORIENTED_EDGE('',*,*,#98852,.T.); #142822=ORIENTED_EDGE('',*,*,#98939,.F.); #142823=ORIENTED_EDGE('',*,*,#98940,.T.); #142824=ORIENTED_EDGE('',*,*,#98941,.F.); #142825=ORIENTED_EDGE('',*,*,#98809,.T.); #142826=ORIENTED_EDGE('',*,*,#98942,.F.); #142827=ORIENTED_EDGE('',*,*,#98812,.T.); #142828=ORIENTED_EDGE('',*,*,#98943,.F.); #142829=ORIENTED_EDGE('',*,*,#98884,.T.); #142830=ORIENTED_EDGE('',*,*,#98944,.F.); #142831=ORIENTED_EDGE('',*,*,#98816,.T.); #142832=ORIENTED_EDGE('',*,*,#98945,.F.); #142833=ORIENTED_EDGE('',*,*,#98890,.T.); #142834=ORIENTED_EDGE('',*,*,#98946,.F.); #142835=ORIENTED_EDGE('',*,*,#98820,.T.); #142836=ORIENTED_EDGE('',*,*,#98947,.F.); #142837=ORIENTED_EDGE('',*,*,#98896,.T.); #142838=ORIENTED_EDGE('',*,*,#98948,.F.); #142839=ORIENTED_EDGE('',*,*,#98823,.T.); #142840=ORIENTED_EDGE('',*,*,#98949,.F.); #142841=ORIENTED_EDGE('',*,*,#98862,.T.); #142842=ORIENTED_EDGE('',*,*,#98950,.F.); #142843=ORIENTED_EDGE('',*,*,#98951,.T.); #142844=ORIENTED_EDGE('',*,*,#98952,.F.); #142845=ORIENTED_EDGE('',*,*,#98822,.T.); #142846=ORIENTED_EDGE('',*,*,#98953,.F.); #142847=ORIENTED_EDGE('',*,*,#98954,.F.); #142848=ORIENTED_EDGE('',*,*,#98955,.F.); #142849=ORIENTED_EDGE('',*,*,#98956,.F.); #142850=ORIENTED_EDGE('',*,*,#98957,.F.); #142851=ORIENTED_EDGE('',*,*,#98951,.F.); #142852=ORIENTED_EDGE('',*,*,#98958,.F.); #142853=ORIENTED_EDGE('',*,*,#98959,.T.); #142854=ORIENTED_EDGE('',*,*,#98960,.F.); #142855=ORIENTED_EDGE('',*,*,#98821,.T.); #142856=ORIENTED_EDGE('',*,*,#98961,.F.); #142857=ORIENTED_EDGE('',*,*,#98962,.F.); #142858=ORIENTED_EDGE('',*,*,#98963,.F.); #142859=ORIENTED_EDGE('',*,*,#98959,.F.); #142860=ORIENTED_EDGE('',*,*,#98964,.F.); #142861=ORIENTED_EDGE('',*,*,#98965,.T.); #142862=ORIENTED_EDGE('',*,*,#98966,.T.); #142863=ORIENTED_EDGE('',*,*,#98900,.T.); #142864=ORIENTED_EDGE('',*,*,#98967,.T.); #142865=ORIENTED_EDGE('',*,*,#98865,.T.); #142866=ORIENTED_EDGE('',*,*,#98968,.T.); #142867=ORIENTED_EDGE('',*,*,#98969,.T.); #142868=ORIENTED_EDGE('',*,*,#98970,.F.); #142869=ORIENTED_EDGE('',*,*,#98971,.T.); #142870=ORIENTED_EDGE('',*,*,#98972,.T.); #142871=ORIENTED_EDGE('',*,*,#98956,.T.); #142872=ORIENTED_EDGE('',*,*,#98973,.F.); #142873=ORIENTED_EDGE('',*,*,#98957,.T.); #142874=ORIENTED_EDGE('',*,*,#98974,.T.); #142875=ORIENTED_EDGE('',*,*,#98863,.T.); #142876=ORIENTED_EDGE('',*,*,#98973,.T.); #142877=ORIENTED_EDGE('',*,*,#98949,.T.); #142878=ORIENTED_EDGE('',*,*,#98952,.T.); #142879=ORIENTED_EDGE('',*,*,#98864,.T.); #142880=ORIENTED_EDGE('',*,*,#98974,.F.); #142881=ORIENTED_EDGE('',*,*,#98972,.F.); #142882=ORIENTED_EDGE('',*,*,#98968,.F.); #142883=ORIENTED_EDGE('',*,*,#98975,.F.); #142884=ORIENTED_EDGE('',*,*,#98947,.T.); #142885=ORIENTED_EDGE('',*,*,#98976,.T.); #142886=ORIENTED_EDGE('',*,*,#98975,.T.); #142887=ORIENTED_EDGE('',*,*,#98867,.T.); #142888=ORIENTED_EDGE('',*,*,#98977,.T.); #142889=ORIENTED_EDGE('',*,*,#98897,.T.); #142890=ORIENTED_EDGE('',*,*,#98978,.F.); #142891=ORIENTED_EDGE('',*,*,#98977,.F.); #142892=ORIENTED_EDGE('',*,*,#98866,.T.); #142893=ORIENTED_EDGE('',*,*,#98967,.F.); #142894=ORIENTED_EDGE('',*,*,#98979,.T.); #142895=ORIENTED_EDGE('',*,*,#98962,.T.); #142896=ORIENTED_EDGE('',*,*,#98980,.T.); #142897=ORIENTED_EDGE('',*,*,#98981,.T.); #142898=ORIENTED_EDGE('',*,*,#98982,.F.); #142899=ORIENTED_EDGE('',*,*,#98963,.T.); #142900=ORIENTED_EDGE('',*,*,#98983,.T.); #142901=ORIENTED_EDGE('',*,*,#98953,.T.); #142902=ORIENTED_EDGE('',*,*,#98982,.T.); #142903=ORIENTED_EDGE('',*,*,#98950,.T.); #142904=ORIENTED_EDGE('',*,*,#98960,.T.); #142905=ORIENTED_EDGE('',*,*,#98984,.F.); #142906=ORIENTED_EDGE('',*,*,#98983,.F.); #142907=ORIENTED_EDGE('',*,*,#98979,.F.); #142908=ORIENTED_EDGE('',*,*,#98985,.F.); #142909=ORIENTED_EDGE('',*,*,#98895,.T.); #142910=ORIENTED_EDGE('',*,*,#98986,.T.); #142911=ORIENTED_EDGE('',*,*,#98961,.T.); #142912=ORIENTED_EDGE('',*,*,#98985,.T.); #142913=ORIENTED_EDGE('',*,*,#98958,.T.); #142914=ORIENTED_EDGE('',*,*,#98946,.T.); #142915=ORIENTED_EDGE('',*,*,#98980,.F.); #142916=ORIENTED_EDGE('',*,*,#98986,.F.); #142917=ORIENTED_EDGE('',*,*,#98966,.F.); #142918=ORIENTED_EDGE('',*,*,#98987,.F.); #142919=ORIENTED_EDGE('',*,*,#98868,.T.); #142920=ORIENTED_EDGE('',*,*,#98976,.F.); #142921=ORIENTED_EDGE('',*,*,#98819,.T.); #142922=ORIENTED_EDGE('',*,*,#98988,.F.); #142923=ORIENTED_EDGE('',*,*,#98989,.T.); #142924=ORIENTED_EDGE('',*,*,#98990,.F.); #142925=ORIENTED_EDGE('',*,*,#98818,.T.); #142926=ORIENTED_EDGE('',*,*,#98991,.F.); #142927=ORIENTED_EDGE('',*,*,#98992,.F.); #142928=ORIENTED_EDGE('',*,*,#98993,.F.); #142929=ORIENTED_EDGE('',*,*,#98994,.F.); #142930=ORIENTED_EDGE('',*,*,#98995,.F.); #142931=ORIENTED_EDGE('',*,*,#98989,.F.); #142932=ORIENTED_EDGE('',*,*,#98996,.F.); #142933=ORIENTED_EDGE('',*,*,#98997,.T.); #142934=ORIENTED_EDGE('',*,*,#98998,.F.); #142935=ORIENTED_EDGE('',*,*,#98817,.T.); #142936=ORIENTED_EDGE('',*,*,#98999,.F.); #142937=ORIENTED_EDGE('',*,*,#99000,.F.); #142938=ORIENTED_EDGE('',*,*,#99001,.F.); #142939=ORIENTED_EDGE('',*,*,#98997,.F.); #142940=ORIENTED_EDGE('',*,*,#99002,.F.); #142941=ORIENTED_EDGE('',*,*,#99003,.T.); #142942=ORIENTED_EDGE('',*,*,#99004,.T.); #142943=ORIENTED_EDGE('',*,*,#98894,.T.); #142944=ORIENTED_EDGE('',*,*,#99005,.T.); #142945=ORIENTED_EDGE('',*,*,#98871,.T.); #142946=ORIENTED_EDGE('',*,*,#99006,.T.); #142947=ORIENTED_EDGE('',*,*,#99007,.T.); #142948=ORIENTED_EDGE('',*,*,#99008,.F.); #142949=ORIENTED_EDGE('',*,*,#99009,.T.); #142950=ORIENTED_EDGE('',*,*,#99010,.T.); #142951=ORIENTED_EDGE('',*,*,#98994,.T.); #142952=ORIENTED_EDGE('',*,*,#99011,.F.); #142953=ORIENTED_EDGE('',*,*,#98995,.T.); #142954=ORIENTED_EDGE('',*,*,#99012,.T.); #142955=ORIENTED_EDGE('',*,*,#98869,.T.); #142956=ORIENTED_EDGE('',*,*,#99011,.T.); #142957=ORIENTED_EDGE('',*,*,#98987,.T.); #142958=ORIENTED_EDGE('',*,*,#98990,.T.); #142959=ORIENTED_EDGE('',*,*,#98870,.T.); #142960=ORIENTED_EDGE('',*,*,#99012,.F.); #142961=ORIENTED_EDGE('',*,*,#99010,.F.); #142962=ORIENTED_EDGE('',*,*,#99006,.F.); #142963=ORIENTED_EDGE('',*,*,#99013,.F.); #142964=ORIENTED_EDGE('',*,*,#98945,.T.); #142965=ORIENTED_EDGE('',*,*,#99014,.T.); #142966=ORIENTED_EDGE('',*,*,#99013,.T.); #142967=ORIENTED_EDGE('',*,*,#98873,.T.); #142968=ORIENTED_EDGE('',*,*,#99015,.T.); #142969=ORIENTED_EDGE('',*,*,#98891,.T.); #142970=ORIENTED_EDGE('',*,*,#99016,.F.); #142971=ORIENTED_EDGE('',*,*,#99015,.F.); #142972=ORIENTED_EDGE('',*,*,#98872,.T.); #142973=ORIENTED_EDGE('',*,*,#99005,.F.); #142974=ORIENTED_EDGE('',*,*,#99017,.T.); #142975=ORIENTED_EDGE('',*,*,#99000,.T.); #142976=ORIENTED_EDGE('',*,*,#99018,.T.); #142977=ORIENTED_EDGE('',*,*,#99019,.T.); #142978=ORIENTED_EDGE('',*,*,#99020,.F.); #142979=ORIENTED_EDGE('',*,*,#99001,.T.); #142980=ORIENTED_EDGE('',*,*,#99021,.T.); #142981=ORIENTED_EDGE('',*,*,#98991,.T.); #142982=ORIENTED_EDGE('',*,*,#99020,.T.); #142983=ORIENTED_EDGE('',*,*,#98988,.T.); #142984=ORIENTED_EDGE('',*,*,#98998,.T.); #142985=ORIENTED_EDGE('',*,*,#99022,.F.); #142986=ORIENTED_EDGE('',*,*,#99021,.F.); #142987=ORIENTED_EDGE('',*,*,#99017,.F.); #142988=ORIENTED_EDGE('',*,*,#99023,.F.); #142989=ORIENTED_EDGE('',*,*,#98889,.T.); #142990=ORIENTED_EDGE('',*,*,#99024,.T.); #142991=ORIENTED_EDGE('',*,*,#98999,.T.); #142992=ORIENTED_EDGE('',*,*,#99023,.T.); #142993=ORIENTED_EDGE('',*,*,#98996,.T.); #142994=ORIENTED_EDGE('',*,*,#98944,.T.); #142995=ORIENTED_EDGE('',*,*,#99018,.F.); #142996=ORIENTED_EDGE('',*,*,#99024,.F.); #142997=ORIENTED_EDGE('',*,*,#99004,.F.); #142998=ORIENTED_EDGE('',*,*,#99025,.F.); #142999=ORIENTED_EDGE('',*,*,#98874,.T.); #143000=ORIENTED_EDGE('',*,*,#99014,.F.); #143001=ORIENTED_EDGE('',*,*,#98815,.T.); #143002=ORIENTED_EDGE('',*,*,#99026,.F.); #143003=ORIENTED_EDGE('',*,*,#99027,.T.); #143004=ORIENTED_EDGE('',*,*,#99028,.F.); #143005=ORIENTED_EDGE('',*,*,#98814,.T.); #143006=ORIENTED_EDGE('',*,*,#99029,.F.); #143007=ORIENTED_EDGE('',*,*,#99030,.F.); #143008=ORIENTED_EDGE('',*,*,#99031,.F.); #143009=ORIENTED_EDGE('',*,*,#99032,.F.); #143010=ORIENTED_EDGE('',*,*,#99033,.F.); #143011=ORIENTED_EDGE('',*,*,#99027,.F.); #143012=ORIENTED_EDGE('',*,*,#99034,.F.); #143013=ORIENTED_EDGE('',*,*,#99035,.T.); #143014=ORIENTED_EDGE('',*,*,#99036,.F.); #143015=ORIENTED_EDGE('',*,*,#98813,.T.); #143016=ORIENTED_EDGE('',*,*,#99037,.F.); #143017=ORIENTED_EDGE('',*,*,#99038,.F.); #143018=ORIENTED_EDGE('',*,*,#99039,.F.); #143019=ORIENTED_EDGE('',*,*,#99035,.F.); #143020=ORIENTED_EDGE('',*,*,#99040,.F.); #143021=ORIENTED_EDGE('',*,*,#99041,.T.); #143022=ORIENTED_EDGE('',*,*,#99042,.T.); #143023=ORIENTED_EDGE('',*,*,#98888,.T.); #143024=ORIENTED_EDGE('',*,*,#99043,.T.); #143025=ORIENTED_EDGE('',*,*,#98877,.T.); #143026=ORIENTED_EDGE('',*,*,#99044,.T.); #143027=ORIENTED_EDGE('',*,*,#99045,.T.); #143028=ORIENTED_EDGE('',*,*,#99046,.F.); #143029=ORIENTED_EDGE('',*,*,#99047,.T.); #143030=ORIENTED_EDGE('',*,*,#99048,.T.); #143031=ORIENTED_EDGE('',*,*,#99032,.T.); #143032=ORIENTED_EDGE('',*,*,#99049,.F.); #143033=ORIENTED_EDGE('',*,*,#99033,.T.); #143034=ORIENTED_EDGE('',*,*,#99050,.T.); #143035=ORIENTED_EDGE('',*,*,#98875,.T.); #143036=ORIENTED_EDGE('',*,*,#99049,.T.); #143037=ORIENTED_EDGE('',*,*,#99025,.T.); #143038=ORIENTED_EDGE('',*,*,#99028,.T.); #143039=ORIENTED_EDGE('',*,*,#98876,.T.); #143040=ORIENTED_EDGE('',*,*,#99050,.F.); #143041=ORIENTED_EDGE('',*,*,#99048,.F.); #143042=ORIENTED_EDGE('',*,*,#99044,.F.); #143043=ORIENTED_EDGE('',*,*,#99051,.F.); #143044=ORIENTED_EDGE('',*,*,#98943,.T.); #143045=ORIENTED_EDGE('',*,*,#98919,.T.); #143046=ORIENTED_EDGE('',*,*,#99051,.T.); #143047=ORIENTED_EDGE('',*,*,#98879,.T.); #143048=ORIENTED_EDGE('',*,*,#99052,.T.); #143049=ORIENTED_EDGE('',*,*,#98885,.T.); #143050=ORIENTED_EDGE('',*,*,#99053,.F.); #143051=ORIENTED_EDGE('',*,*,#99052,.F.); #143052=ORIENTED_EDGE('',*,*,#98878,.T.); #143053=ORIENTED_EDGE('',*,*,#99043,.F.); #143054=ORIENTED_EDGE('',*,*,#99054,.T.); #143055=ORIENTED_EDGE('',*,*,#99038,.T.); #143056=ORIENTED_EDGE('',*,*,#99055,.T.); #143057=ORIENTED_EDGE('',*,*,#99056,.T.); #143058=ORIENTED_EDGE('',*,*,#99057,.F.); #143059=ORIENTED_EDGE('',*,*,#99039,.T.); #143060=ORIENTED_EDGE('',*,*,#99058,.T.); #143061=ORIENTED_EDGE('',*,*,#99029,.T.); #143062=ORIENTED_EDGE('',*,*,#99057,.T.); #143063=ORIENTED_EDGE('',*,*,#99026,.T.); #143064=ORIENTED_EDGE('',*,*,#99036,.T.); #143065=ORIENTED_EDGE('',*,*,#99059,.F.); #143066=ORIENTED_EDGE('',*,*,#99058,.F.); #143067=ORIENTED_EDGE('',*,*,#99054,.F.); #143068=ORIENTED_EDGE('',*,*,#99060,.F.); #143069=ORIENTED_EDGE('',*,*,#99034,.T.); #143070=ORIENTED_EDGE('',*,*,#98942,.T.); #143071=ORIENTED_EDGE('',*,*,#99060,.T.); #143072=ORIENTED_EDGE('',*,*,#98883,.T.); #143073=ORIENTED_EDGE('',*,*,#99061,.T.); #143074=ORIENTED_EDGE('',*,*,#99037,.T.); #143075=ORIENTED_EDGE('',*,*,#99055,.F.); #143076=ORIENTED_EDGE('',*,*,#99061,.F.); #143077=ORIENTED_EDGE('',*,*,#99042,.F.); #143078=ORIENTED_EDGE('',*,*,#99062,.F.); #143079=ORIENTED_EDGE('',*,*,#98846,.T.); #143080=ORIENTED_EDGE('',*,*,#99063,.F.); #143081=ORIENTED_EDGE('',*,*,#99064,.T.); #143082=ORIENTED_EDGE('',*,*,#99065,.T.); #143083=ORIENTED_EDGE('',*,*,#98730,.T.); #143084=ORIENTED_EDGE('',*,*,#99066,.T.); #143085=ORIENTED_EDGE('',*,*,#99067,.T.); #143086=ORIENTED_EDGE('',*,*,#99068,.T.); #143087=ORIENTED_EDGE('',*,*,#98731,.T.); #143088=ORIENTED_EDGE('',*,*,#99069,.T.); #143089=ORIENTED_EDGE('',*,*,#98882,.T.); #143090=ORIENTED_EDGE('',*,*,#99070,.T.); #143091=ORIENTED_EDGE('',*,*,#98732,.T.); #143092=ORIENTED_EDGE('',*,*,#99071,.T.); #143093=ORIENTED_EDGE('',*,*,#99072,.T.); #143094=ORIENTED_EDGE('',*,*,#99073,.F.); #143095=ORIENTED_EDGE('',*,*,#99074,.T.); #143096=ORIENTED_EDGE('',*,*,#99075,.T.); #143097=ORIENTED_EDGE('',*,*,#98881,.T.); #143098=ORIENTED_EDGE('',*,*,#99073,.T.); #143099=ORIENTED_EDGE('',*,*,#98918,.T.); #143100=ORIENTED_EDGE('',*,*,#99076,.T.); #143101=ORIENTED_EDGE('',*,*,#99068,.F.); #143102=ORIENTED_EDGE('',*,*,#99075,.F.); #143103=ORIENTED_EDGE('',*,*,#99071,.F.); #143104=ORIENTED_EDGE('',*,*,#99077,.F.); #143105=ORIENTED_EDGE('',*,*,#98861,.T.); #143106=ORIENTED_EDGE('',*,*,#99078,.T.); #143107=ORIENTED_EDGE('',*,*,#99079,.T.); #143108=ORIENTED_EDGE('',*,*,#99077,.T.); #143109=ORIENTED_EDGE('',*,*,#99080,.T.); #143110=ORIENTED_EDGE('',*,*,#98948,.T.); #143111=ORIENTED_EDGE('',*,*,#99065,.F.); #143112=ORIENTED_EDGE('',*,*,#99078,.F.); #143113=ORIENTED_EDGE('',*,*,#99069,.F.); #143114=ORIENTED_EDGE('',*,*,#99081,.T.); #143115=ORIENTED_EDGE('',*,*,#98729,.T.); #143116=ORIENTED_EDGE('',*,*,#99082,.T.); #143117=ORIENTED_EDGE('',*,*,#99083,.T.); #143118=ORIENTED_EDGE('',*,*,#99084,.F.); #143119=ORIENTED_EDGE('',*,*,#99085,.T.); #143120=ORIENTED_EDGE('',*,*,#99086,.T.); #143121=ORIENTED_EDGE('',*,*,#99087,.T.); #143122=ORIENTED_EDGE('',*,*,#99084,.T.); #143123=ORIENTED_EDGE('',*,*,#99063,.T.); #143124=ORIENTED_EDGE('',*,*,#99088,.T.); #143125=ORIENTED_EDGE('',*,*,#99070,.F.); #143126=ORIENTED_EDGE('',*,*,#99086,.F.); #143127=ORIENTED_EDGE('',*,*,#99082,.F.); #143128=ORIENTED_EDGE('',*,*,#99089,.F.); #143129=ORIENTED_EDGE('',*,*,#99090,.T.); #143130=ORIENTED_EDGE('',*,*,#99091,.T.); #143131=ORIENTED_EDGE('',*,*,#99092,.T.); #143132=ORIENTED_EDGE('',*,*,#99089,.T.); #143133=ORIENTED_EDGE('',*,*,#99093,.T.); #143134=ORIENTED_EDGE('',*,*,#99094,.T.); #143135=ORIENTED_EDGE('',*,*,#99081,.F.); #143136=ORIENTED_EDGE('',*,*,#99091,.F.); #143137=ORIENTED_EDGE('',*,*,#99066,.F.); #143138=ORIENTED_EDGE('',*,*,#99095,.F.); #143139=ORIENTED_EDGE('',*,*,#99064,.F.); #143140=ORIENTED_EDGE('',*,*,#99087,.F.); #143141=ORIENTED_EDGE('',*,*,#99072,.F.); #143142=ORIENTED_EDGE('',*,*,#99074,.F.); #143143=ORIENTED_EDGE('',*,*,#99096,.F.); #143144=ORIENTED_EDGE('',*,*,#99097,.F.); #143145=ORIENTED_EDGE('',*,*,#99098,.F.); #143146=ORIENTED_EDGE('',*,*,#99099,.F.); #143147=ORIENTED_EDGE('',*,*,#99100,.F.); #143148=ORIENTED_EDGE('',*,*,#99101,.F.); #143149=ORIENTED_EDGE('',*,*,#99102,.F.); #143150=ORIENTED_EDGE('',*,*,#99103,.F.); #143151=ORIENTED_EDGE('',*,*,#99104,.F.); #143152=ORIENTED_EDGE('',*,*,#99105,.F.); #143153=ORIENTED_EDGE('',*,*,#99106,.F.); #143154=ORIENTED_EDGE('',*,*,#99107,.F.); #143155=ORIENTED_EDGE('',*,*,#99096,.T.); #143156=ORIENTED_EDGE('',*,*,#99076,.F.); #143157=ORIENTED_EDGE('',*,*,#98810,.T.); #143158=ORIENTED_EDGE('',*,*,#99108,.F.); #143159=ORIENTED_EDGE('',*,*,#99109,.F.); #143160=ORIENTED_EDGE('',*,*,#99110,.F.); #143161=ORIENTED_EDGE('',*,*,#99111,.F.); #143162=ORIENTED_EDGE('',*,*,#99112,.F.); #143163=ORIENTED_EDGE('',*,*,#98940,.F.); #143164=ORIENTED_EDGE('',*,*,#99113,.T.); #143165=ORIENTED_EDGE('',*,*,#98860,.T.); #143166=ORIENTED_EDGE('',*,*,#99114,.T.); #143167=ORIENTED_EDGE('',*,*,#99115,.T.); #143168=ORIENTED_EDGE('',*,*,#99116,.F.); #143169=ORIENTED_EDGE('',*,*,#99117,.T.); #143170=ORIENTED_EDGE('',*,*,#99118,.T.); #143171=ORIENTED_EDGE('',*,*,#98856,.T.); #143172=ORIENTED_EDGE('',*,*,#99119,.T.); #143173=ORIENTED_EDGE('',*,*,#99099,.T.); #143174=ORIENTED_EDGE('',*,*,#99120,.T.); #143175=ORIENTED_EDGE('',*,*,#99121,.T.); #143176=ORIENTED_EDGE('',*,*,#99122,.F.); #143177=ORIENTED_EDGE('',*,*,#99101,.T.); #143178=ORIENTED_EDGE('',*,*,#99123,.T.); #143179=ORIENTED_EDGE('',*,*,#98853,.T.); #143180=ORIENTED_EDGE('',*,*,#99122,.T.); #143181=ORIENTED_EDGE('',*,*,#98938,.T.); #143182=ORIENTED_EDGE('',*,*,#99124,.T.); #143183=ORIENTED_EDGE('',*,*,#99125,.F.); #143184=ORIENTED_EDGE('',*,*,#99123,.F.); #143185=ORIENTED_EDGE('',*,*,#99100,.T.); #143186=ORIENTED_EDGE('',*,*,#99119,.F.); #143187=ORIENTED_EDGE('',*,*,#99126,.F.); #143188=ORIENTED_EDGE('',*,*,#99127,.T.); #143189=ORIENTED_EDGE('',*,*,#99128,.T.); #143190=ORIENTED_EDGE('',*,*,#99111,.T.); #143191=ORIENTED_EDGE('',*,*,#99129,.F.); #143192=ORIENTED_EDGE('',*,*,#99112,.T.); #143193=ORIENTED_EDGE('',*,*,#99130,.T.); #143194=ORIENTED_EDGE('',*,*,#99097,.T.); #143195=ORIENTED_EDGE('',*,*,#99129,.T.); #143196=ORIENTED_EDGE('',*,*,#99107,.T.); #143197=ORIENTED_EDGE('',*,*,#98941,.T.); #143198=ORIENTED_EDGE('',*,*,#99098,.T.); #143199=ORIENTED_EDGE('',*,*,#99130,.F.); #143200=ORIENTED_EDGE('',*,*,#99128,.F.); #143201=ORIENTED_EDGE('',*,*,#99120,.F.); #143202=ORIENTED_EDGE('',*,*,#99131,.F.); #143203=ORIENTED_EDGE('',*,*,#98923,.T.); #143204=ORIENTED_EDGE('',*,*,#98937,.T.); #143205=ORIENTED_EDGE('',*,*,#99131,.T.); #143206=ORIENTED_EDGE('',*,*,#98851,.T.); #143207=ORIENTED_EDGE('',*,*,#99132,.T.); #143208=ORIENTED_EDGE('',*,*,#98859,.T.); #143209=ORIENTED_EDGE('',*,*,#99114,.F.); #143210=ORIENTED_EDGE('',*,*,#99132,.F.); #143211=ORIENTED_EDGE('',*,*,#99118,.F.); #143212=ORIENTED_EDGE('',*,*,#99133,.F.); #143213=ORIENTED_EDGE('',*,*,#98857,.T.); #143214=ORIENTED_EDGE('',*,*,#99134,.T.); #143215=ORIENTED_EDGE('',*,*,#99108,.T.); #143216=ORIENTED_EDGE('',*,*,#99133,.T.); #143217=ORIENTED_EDGE('',*,*,#98939,.T.); #143218=ORIENTED_EDGE('',*,*,#98922,.T.); #143219=ORIENTED_EDGE('',*,*,#99135,.F.); #143220=ORIENTED_EDGE('',*,*,#99134,.F.); #143221=ORIENTED_EDGE('',*,*,#99113,.F.); #143222=ORIENTED_EDGE('',*,*,#99136,.F.); #143223=ORIENTED_EDGE('',*,*,#99102,.T.); #143224=ORIENTED_EDGE('',*,*,#99124,.F.); #143225=ORIENTED_EDGE('',*,*,#98850,.T.); #143226=ORIENTED_EDGE('',*,*,#99137,.F.); #143227=ORIENTED_EDGE('',*,*,#99138,.T.); #143228=ORIENTED_EDGE('',*,*,#99139,.F.); #143229=ORIENTED_EDGE('',*,*,#98849,.T.); #143230=ORIENTED_EDGE('',*,*,#99140,.F.); #143231=ORIENTED_EDGE('',*,*,#99141,.F.); #143232=ORIENTED_EDGE('',*,*,#99142,.F.); #143233=ORIENTED_EDGE('',*,*,#99143,.F.); #143234=ORIENTED_EDGE('',*,*,#99144,.F.); #143235=ORIENTED_EDGE('',*,*,#99138,.F.); #143236=ORIENTED_EDGE('',*,*,#99145,.F.); #143237=ORIENTED_EDGE('',*,*,#99146,.T.); #143238=ORIENTED_EDGE('',*,*,#99147,.F.); #143239=ORIENTED_EDGE('',*,*,#98848,.T.); #143240=ORIENTED_EDGE('',*,*,#99148,.F.); #143241=ORIENTED_EDGE('',*,*,#99149,.F.); #143242=ORIENTED_EDGE('',*,*,#99150,.F.); #143243=ORIENTED_EDGE('',*,*,#99146,.F.); #143244=ORIENTED_EDGE('',*,*,#99151,.F.); #143245=ORIENTED_EDGE('',*,*,#99152,.T.); #143246=ORIENTED_EDGE('',*,*,#99153,.T.); #143247=ORIENTED_EDGE('',*,*,#98742,.T.); #143248=ORIENTED_EDGE('',*,*,#99154,.T.); #143249=ORIENTED_EDGE('',*,*,#99105,.T.); #143250=ORIENTED_EDGE('',*,*,#99155,.T.); #143251=ORIENTED_EDGE('',*,*,#99156,.T.); #143252=ORIENTED_EDGE('',*,*,#99157,.F.); #143253=ORIENTED_EDGE('',*,*,#99158,.T.); #143254=ORIENTED_EDGE('',*,*,#99159,.T.); #143255=ORIENTED_EDGE('',*,*,#99143,.T.); #143256=ORIENTED_EDGE('',*,*,#99160,.F.); #143257=ORIENTED_EDGE('',*,*,#99144,.T.); #143258=ORIENTED_EDGE('',*,*,#99161,.T.); #143259=ORIENTED_EDGE('',*,*,#99103,.T.); #143260=ORIENTED_EDGE('',*,*,#99160,.T.); #143261=ORIENTED_EDGE('',*,*,#99136,.T.); #143262=ORIENTED_EDGE('',*,*,#99139,.T.); #143263=ORIENTED_EDGE('',*,*,#99104,.T.); #143264=ORIENTED_EDGE('',*,*,#99161,.F.); #143265=ORIENTED_EDGE('',*,*,#99159,.F.); #143266=ORIENTED_EDGE('',*,*,#99155,.F.); #143267=ORIENTED_EDGE('',*,*,#99162,.F.); #143268=ORIENTED_EDGE('',*,*,#98936,.T.); #143269=ORIENTED_EDGE('',*,*,#99062,.T.); #143270=ORIENTED_EDGE('',*,*,#99162,.T.); #143271=ORIENTED_EDGE('',*,*,#99095,.T.); #143272=ORIENTED_EDGE('',*,*,#99163,.T.); #143273=ORIENTED_EDGE('',*,*,#98739,.T.); #143274=ORIENTED_EDGE('',*,*,#99164,.F.); #143275=ORIENTED_EDGE('',*,*,#99163,.F.); #143276=ORIENTED_EDGE('',*,*,#99106,.T.); #143277=ORIENTED_EDGE('',*,*,#99154,.F.); #143278=ORIENTED_EDGE('',*,*,#99165,.T.); #143279=ORIENTED_EDGE('',*,*,#99149,.T.); #143280=ORIENTED_EDGE('',*,*,#99166,.T.); #143281=ORIENTED_EDGE('',*,*,#99167,.T.); #143282=ORIENTED_EDGE('',*,*,#99168,.F.); #143283=ORIENTED_EDGE('',*,*,#99150,.T.); #143284=ORIENTED_EDGE('',*,*,#99169,.T.); #143285=ORIENTED_EDGE('',*,*,#99140,.T.); #143286=ORIENTED_EDGE('',*,*,#99168,.T.); #143287=ORIENTED_EDGE('',*,*,#99137,.T.); #143288=ORIENTED_EDGE('',*,*,#99147,.T.); #143289=ORIENTED_EDGE('',*,*,#99170,.F.); #143290=ORIENTED_EDGE('',*,*,#99169,.F.); #143291=ORIENTED_EDGE('',*,*,#99165,.F.); #143292=ORIENTED_EDGE('',*,*,#99171,.F.); #143293=ORIENTED_EDGE('',*,*,#98737,.T.); #143294=ORIENTED_EDGE('',*,*,#99172,.T.); #143295=ORIENTED_EDGE('',*,*,#99148,.T.); #143296=ORIENTED_EDGE('',*,*,#99171,.T.); #143297=ORIENTED_EDGE('',*,*,#99145,.T.); #143298=ORIENTED_EDGE('',*,*,#98935,.T.); #143299=ORIENTED_EDGE('',*,*,#99166,.F.); #143300=ORIENTED_EDGE('',*,*,#99172,.F.); #143301=ORIENTED_EDGE('',*,*,#99153,.F.); #143302=ORIENTED_EDGE('',*,*,#99173,.F.); #143303=ORIENTED_EDGE('',*,*,#98824,.T.); #143304=ORIENTED_EDGE('',*,*,#99080,.F.); #143305=ORIENTED_EDGE('',*,*,#99174,.T.); #143306=ORIENTED_EDGE('',*,*,#99175,.F.); #143307=ORIENTED_EDGE('',*,*,#99176,.F.); #143308=ORIENTED_EDGE('',*,*,#99177,.F.); #143309=ORIENTED_EDGE('',*,*,#99178,.F.); #143310=ORIENTED_EDGE('',*,*,#99179,.F.); #143311=ORIENTED_EDGE('',*,*,#99180,.F.); #143312=ORIENTED_EDGE('',*,*,#99181,.F.); #143313=ORIENTED_EDGE('',*,*,#99174,.F.); #143314=ORIENTED_EDGE('',*,*,#99079,.F.); #143315=ORIENTED_EDGE('',*,*,#99067,.F.); #143316=ORIENTED_EDGE('',*,*,#99090,.F.); #143317=ORIENTED_EDGE('',*,*,#99182,.F.); #143318=ORIENTED_EDGE('',*,*,#99183,.F.); #143319=ORIENTED_EDGE('',*,*,#99184,.F.); #143320=ORIENTED_EDGE('',*,*,#99185,.F.); #143321=ORIENTED_EDGE('',*,*,#99186,.F.); #143322=ORIENTED_EDGE('',*,*,#99187,.F.); #143323=ORIENTED_EDGE('',*,*,#98828,.T.); #143324=ORIENTED_EDGE('',*,*,#99188,.F.); #143325=ORIENTED_EDGE('',*,*,#99176,.T.); #143326=ORIENTED_EDGE('',*,*,#99189,.F.); #143327=ORIENTED_EDGE('',*,*,#99190,.F.); #143328=ORIENTED_EDGE('',*,*,#99191,.F.); #143329=ORIENTED_EDGE('',*,*,#99192,.F.); #143330=ORIENTED_EDGE('',*,*,#99193,.F.); #143331=ORIENTED_EDGE('',*,*,#98916,.F.); #143332=ORIENTED_EDGE('',*,*,#99194,.T.); #143333=ORIENTED_EDGE('',*,*,#98910,.T.); #143334=ORIENTED_EDGE('',*,*,#99195,.T.); #143335=ORIENTED_EDGE('',*,*,#99196,.T.); #143336=ORIENTED_EDGE('',*,*,#99197,.F.); #143337=ORIENTED_EDGE('',*,*,#99198,.T.); #143338=ORIENTED_EDGE('',*,*,#99199,.T.); #143339=ORIENTED_EDGE('',*,*,#98906,.T.); #143340=ORIENTED_EDGE('',*,*,#99200,.T.); #143341=ORIENTED_EDGE('',*,*,#99179,.T.); #143342=ORIENTED_EDGE('',*,*,#99201,.T.); #143343=ORIENTED_EDGE('',*,*,#99202,.T.); #143344=ORIENTED_EDGE('',*,*,#99203,.F.); #143345=ORIENTED_EDGE('',*,*,#99181,.T.); #143346=ORIENTED_EDGE('',*,*,#99204,.T.); #143347=ORIENTED_EDGE('',*,*,#98903,.T.); #143348=ORIENTED_EDGE('',*,*,#99203,.T.); #143349=ORIENTED_EDGE('',*,*,#98912,.T.); #143350=ORIENTED_EDGE('',*,*,#99173,.T.); #143351=ORIENTED_EDGE('',*,*,#99205,.F.); #143352=ORIENTED_EDGE('',*,*,#99204,.F.); #143353=ORIENTED_EDGE('',*,*,#99180,.T.); #143354=ORIENTED_EDGE('',*,*,#99200,.F.); #143355=ORIENTED_EDGE('',*,*,#99206,.F.); #143356=ORIENTED_EDGE('',*,*,#99207,.T.); #143357=ORIENTED_EDGE('',*,*,#99208,.T.); #143358=ORIENTED_EDGE('',*,*,#99192,.T.); #143359=ORIENTED_EDGE('',*,*,#99209,.F.); #143360=ORIENTED_EDGE('',*,*,#99193,.T.); #143361=ORIENTED_EDGE('',*,*,#99210,.T.); #143362=ORIENTED_EDGE('',*,*,#99177,.T.); #143363=ORIENTED_EDGE('',*,*,#99209,.T.); #143364=ORIENTED_EDGE('',*,*,#99188,.T.); #143365=ORIENTED_EDGE('',*,*,#98917,.T.); #143366=ORIENTED_EDGE('',*,*,#99178,.T.); #143367=ORIENTED_EDGE('',*,*,#99210,.F.); #143368=ORIENTED_EDGE('',*,*,#99208,.F.); #143369=ORIENTED_EDGE('',*,*,#99201,.F.); #143370=ORIENTED_EDGE('',*,*,#99211,.F.); #143371=ORIENTED_EDGE('',*,*,#98901,.T.); #143372=ORIENTED_EDGE('',*,*,#99212,.T.); #143373=ORIENTED_EDGE('',*,*,#98909,.T.); #143374=ORIENTED_EDGE('',*,*,#99211,.T.); #143375=ORIENTED_EDGE('',*,*,#98914,.T.); #143376=ORIENTED_EDGE('',*,*,#98911,.T.); #143377=ORIENTED_EDGE('',*,*,#99195,.F.); #143378=ORIENTED_EDGE('',*,*,#99212,.F.); #143379=ORIENTED_EDGE('',*,*,#99199,.F.); #143380=ORIENTED_EDGE('',*,*,#99213,.F.); #143381=ORIENTED_EDGE('',*,*,#98907,.T.); #143382=ORIENTED_EDGE('',*,*,#99214,.T.); #143383=ORIENTED_EDGE('',*,*,#99189,.T.); #143384=ORIENTED_EDGE('',*,*,#99213,.T.); #143385=ORIENTED_EDGE('',*,*,#98915,.T.); #143386=ORIENTED_EDGE('',*,*,#98913,.T.); #143387=ORIENTED_EDGE('',*,*,#99215,.F.); #143388=ORIENTED_EDGE('',*,*,#99214,.F.); #143389=ORIENTED_EDGE('',*,*,#99194,.F.); #143390=ORIENTED_EDGE('',*,*,#99216,.F.); #143391=ORIENTED_EDGE('',*,*,#99182,.T.); #143392=ORIENTED_EDGE('',*,*,#99094,.F.); #143393=ORIENTED_EDGE('',*,*,#98832,.T.); #143394=ORIENTED_EDGE('',*,*,#99217,.F.); #143395=ORIENTED_EDGE('',*,*,#99218,.T.); #143396=ORIENTED_EDGE('',*,*,#99219,.F.); #143397=ORIENTED_EDGE('',*,*,#98831,.T.); #143398=ORIENTED_EDGE('',*,*,#99220,.F.); #143399=ORIENTED_EDGE('',*,*,#99221,.F.); #143400=ORIENTED_EDGE('',*,*,#99222,.F.); #143401=ORIENTED_EDGE('',*,*,#99223,.F.); #143402=ORIENTED_EDGE('',*,*,#99224,.F.); #143403=ORIENTED_EDGE('',*,*,#99218,.F.); #143404=ORIENTED_EDGE('',*,*,#99225,.F.); #143405=ORIENTED_EDGE('',*,*,#99226,.T.); #143406=ORIENTED_EDGE('',*,*,#99227,.F.); #143407=ORIENTED_EDGE('',*,*,#98830,.T.); #143408=ORIENTED_EDGE('',*,*,#99228,.F.); #143409=ORIENTED_EDGE('',*,*,#99229,.F.); #143410=ORIENTED_EDGE('',*,*,#99230,.F.); #143411=ORIENTED_EDGE('',*,*,#99226,.F.); #143412=ORIENTED_EDGE('',*,*,#99231,.F.); #143413=ORIENTED_EDGE('',*,*,#99232,.T.); #143414=ORIENTED_EDGE('',*,*,#99233,.T.); #143415=ORIENTED_EDGE('',*,*,#98748,.T.); #143416=ORIENTED_EDGE('',*,*,#99234,.T.); #143417=ORIENTED_EDGE('',*,*,#99185,.T.); #143418=ORIENTED_EDGE('',*,*,#99235,.T.); #143419=ORIENTED_EDGE('',*,*,#99236,.T.); #143420=ORIENTED_EDGE('',*,*,#99237,.F.); #143421=ORIENTED_EDGE('',*,*,#99238,.T.); #143422=ORIENTED_EDGE('',*,*,#99239,.T.); #143423=ORIENTED_EDGE('',*,*,#99223,.T.); #143424=ORIENTED_EDGE('',*,*,#99240,.F.); #143425=ORIENTED_EDGE('',*,*,#99224,.T.); #143426=ORIENTED_EDGE('',*,*,#99241,.T.); #143427=ORIENTED_EDGE('',*,*,#99183,.T.); #143428=ORIENTED_EDGE('',*,*,#99240,.T.); #143429=ORIENTED_EDGE('',*,*,#99216,.T.); #143430=ORIENTED_EDGE('',*,*,#99219,.T.); #143431=ORIENTED_EDGE('',*,*,#99184,.T.); #143432=ORIENTED_EDGE('',*,*,#99241,.F.); #143433=ORIENTED_EDGE('',*,*,#99239,.F.); #143434=ORIENTED_EDGE('',*,*,#99235,.F.); #143435=ORIENTED_EDGE('',*,*,#99242,.F.); #143436=ORIENTED_EDGE('',*,*,#98921,.T.); #143437=ORIENTED_EDGE('',*,*,#99187,.T.); #143438=ORIENTED_EDGE('',*,*,#99242,.T.); #143439=ORIENTED_EDGE('',*,*,#99175,.T.); #143440=ORIENTED_EDGE('',*,*,#99243,.T.); #143441=ORIENTED_EDGE('',*,*,#98745,.T.); #143442=ORIENTED_EDGE('',*,*,#99244,.F.); #143443=ORIENTED_EDGE('',*,*,#99243,.F.); #143444=ORIENTED_EDGE('',*,*,#99186,.T.); #143445=ORIENTED_EDGE('',*,*,#99234,.F.); #143446=ORIENTED_EDGE('',*,*,#99245,.T.); #143447=ORIENTED_EDGE('',*,*,#99229,.T.); #143448=ORIENTED_EDGE('',*,*,#99246,.T.); #143449=ORIENTED_EDGE('',*,*,#99247,.T.); #143450=ORIENTED_EDGE('',*,*,#99248,.F.); #143451=ORIENTED_EDGE('',*,*,#99230,.T.); #143452=ORIENTED_EDGE('',*,*,#99249,.T.); #143453=ORIENTED_EDGE('',*,*,#99220,.T.); #143454=ORIENTED_EDGE('',*,*,#99248,.T.); #143455=ORIENTED_EDGE('',*,*,#99217,.T.); #143456=ORIENTED_EDGE('',*,*,#99227,.T.); #143457=ORIENTED_EDGE('',*,*,#99250,.F.); #143458=ORIENTED_EDGE('',*,*,#99249,.F.); #143459=ORIENTED_EDGE('',*,*,#99245,.F.); #143460=ORIENTED_EDGE('',*,*,#99251,.F.); #143461=ORIENTED_EDGE('',*,*,#98743,.T.); #143462=ORIENTED_EDGE('',*,*,#99252,.T.); #143463=ORIENTED_EDGE('',*,*,#99228,.T.); #143464=ORIENTED_EDGE('',*,*,#99251,.T.); #143465=ORIENTED_EDGE('',*,*,#99225,.T.); #143466=ORIENTED_EDGE('',*,*,#98920,.T.); #143467=ORIENTED_EDGE('',*,*,#99246,.F.); #143468=ORIENTED_EDGE('',*,*,#99252,.F.); #143469=ORIENTED_EDGE('',*,*,#99233,.F.); #143470=ORIENTED_EDGE('',*,*,#99253,.F.); #143471=ORIENTED_EDGE('',*,*,#98833,.T.); #143472=ORIENTED_EDGE('',*,*,#99093,.F.); #143473=ORIENTED_EDGE('',*,*,#99254,.T.); #143474=ORIENTED_EDGE('',*,*,#99255,.F.); #143475=ORIENTED_EDGE('',*,*,#99256,.F.); #143476=ORIENTED_EDGE('',*,*,#99257,.F.); #143477=ORIENTED_EDGE('',*,*,#99258,.F.); #143478=ORIENTED_EDGE('',*,*,#99259,.F.); #143479=ORIENTED_EDGE('',*,*,#99260,.F.); #143480=ORIENTED_EDGE('',*,*,#99261,.F.); #143481=ORIENTED_EDGE('',*,*,#99254,.F.); #143482=ORIENTED_EDGE('',*,*,#99092,.F.); #143483=ORIENTED_EDGE('',*,*,#99083,.F.); #143484=ORIENTED_EDGE('',*,*,#99085,.F.); #143485=ORIENTED_EDGE('',*,*,#99262,.F.); #143486=ORIENTED_EDGE('',*,*,#99263,.F.); #143487=ORIENTED_EDGE('',*,*,#99264,.F.); #143488=ORIENTED_EDGE('',*,*,#99265,.F.); #143489=ORIENTED_EDGE('',*,*,#99266,.F.); #143490=ORIENTED_EDGE('',*,*,#99267,.F.); #143491=ORIENTED_EDGE('',*,*,#99268,.F.); #143492=ORIENTED_EDGE('',*,*,#99269,.F.); #143493=ORIENTED_EDGE('',*,*,#99270,.F.); #143494=ORIENTED_EDGE('',*,*,#99271,.F.); #143495=ORIENTED_EDGE('',*,*,#99272,.F.); #143496=ORIENTED_EDGE('',*,*,#99273,.F.); #143497=ORIENTED_EDGE('',*,*,#98837,.T.); #143498=ORIENTED_EDGE('',*,*,#99274,.F.); #143499=ORIENTED_EDGE('',*,*,#99256,.T.); #143500=ORIENTED_EDGE('',*,*,#99275,.F.); #143501=ORIENTED_EDGE('',*,*,#99276,.F.); #143502=ORIENTED_EDGE('',*,*,#99277,.F.); #143503=ORIENTED_EDGE('',*,*,#99278,.F.); #143504=ORIENTED_EDGE('',*,*,#99279,.F.); #143505=ORIENTED_EDGE('',*,*,#98929,.F.); #143506=ORIENTED_EDGE('',*,*,#99280,.T.); #143507=ORIENTED_EDGE('',*,*,#98806,.T.); #143508=ORIENTED_EDGE('',*,*,#99281,.T.); #143509=ORIENTED_EDGE('',*,*,#99282,.T.); #143510=ORIENTED_EDGE('',*,*,#99283,.F.); #143511=ORIENTED_EDGE('',*,*,#99284,.T.); #143512=ORIENTED_EDGE('',*,*,#99285,.T.); #143513=ORIENTED_EDGE('',*,*,#98782,.T.); #143514=ORIENTED_EDGE('',*,*,#99286,.T.); #143515=ORIENTED_EDGE('',*,*,#99259,.T.); #143516=ORIENTED_EDGE('',*,*,#99287,.T.); #143517=ORIENTED_EDGE('',*,*,#99288,.T.); #143518=ORIENTED_EDGE('',*,*,#99289,.F.); #143519=ORIENTED_EDGE('',*,*,#99261,.T.); #143520=ORIENTED_EDGE('',*,*,#99290,.T.); #143521=ORIENTED_EDGE('',*,*,#98779,.T.); #143522=ORIENTED_EDGE('',*,*,#99289,.T.); #143523=ORIENTED_EDGE('',*,*,#98925,.T.); #143524=ORIENTED_EDGE('',*,*,#99253,.T.); #143525=ORIENTED_EDGE('',*,*,#99291,.F.); #143526=ORIENTED_EDGE('',*,*,#99290,.F.); #143527=ORIENTED_EDGE('',*,*,#99260,.T.); #143528=ORIENTED_EDGE('',*,*,#99286,.F.); #143529=ORIENTED_EDGE('',*,*,#99292,.F.); #143530=ORIENTED_EDGE('',*,*,#99293,.T.); #143531=ORIENTED_EDGE('',*,*,#99294,.T.); #143532=ORIENTED_EDGE('',*,*,#99278,.T.); #143533=ORIENTED_EDGE('',*,*,#99295,.F.); #143534=ORIENTED_EDGE('',*,*,#99279,.T.); #143535=ORIENTED_EDGE('',*,*,#99296,.T.); #143536=ORIENTED_EDGE('',*,*,#99257,.T.); #143537=ORIENTED_EDGE('',*,*,#99295,.T.); #143538=ORIENTED_EDGE('',*,*,#99274,.T.); #143539=ORIENTED_EDGE('',*,*,#98930,.T.); #143540=ORIENTED_EDGE('',*,*,#99258,.T.); #143541=ORIENTED_EDGE('',*,*,#99296,.F.); #143542=ORIENTED_EDGE('',*,*,#99294,.F.); #143543=ORIENTED_EDGE('',*,*,#99287,.F.); #143544=ORIENTED_EDGE('',*,*,#99297,.F.); #143545=ORIENTED_EDGE('',*,*,#98777,.T.); #143546=ORIENTED_EDGE('',*,*,#99298,.T.); #143547=ORIENTED_EDGE('',*,*,#98805,.T.); #143548=ORIENTED_EDGE('',*,*,#99297,.T.); #143549=ORIENTED_EDGE('',*,*,#98927,.T.); #143550=ORIENTED_EDGE('',*,*,#98924,.T.); #143551=ORIENTED_EDGE('',*,*,#99281,.F.); #143552=ORIENTED_EDGE('',*,*,#99298,.F.); #143553=ORIENTED_EDGE('',*,*,#99285,.F.); #143554=ORIENTED_EDGE('',*,*,#99299,.F.); #143555=ORIENTED_EDGE('',*,*,#98803,.T.); #143556=ORIENTED_EDGE('',*,*,#99300,.T.); #143557=ORIENTED_EDGE('',*,*,#99275,.T.); #143558=ORIENTED_EDGE('',*,*,#99299,.T.); #143559=ORIENTED_EDGE('',*,*,#98928,.T.); #143560=ORIENTED_EDGE('',*,*,#98926,.T.); #143561=ORIENTED_EDGE('',*,*,#99301,.F.); #143562=ORIENTED_EDGE('',*,*,#99300,.F.); #143563=ORIENTED_EDGE('',*,*,#99280,.F.); #143564=ORIENTED_EDGE('',*,*,#99302,.F.); #143565=ORIENTED_EDGE('',*,*,#99262,.T.); #143566=ORIENTED_EDGE('',*,*,#99088,.F.); #143567=ORIENTED_EDGE('',*,*,#98845,.T.); #143568=ORIENTED_EDGE('',*,*,#99303,.F.); #143569=ORIENTED_EDGE('',*,*,#99304,.T.); #143570=ORIENTED_EDGE('',*,*,#99305,.F.); #143571=ORIENTED_EDGE('',*,*,#98844,.T.); #143572=ORIENTED_EDGE('',*,*,#99306,.F.); #143573=ORIENTED_EDGE('',*,*,#99307,.F.); #143574=ORIENTED_EDGE('',*,*,#99308,.F.); #143575=ORIENTED_EDGE('',*,*,#99309,.F.); #143576=ORIENTED_EDGE('',*,*,#99310,.F.); #143577=ORIENTED_EDGE('',*,*,#99304,.F.); #143578=ORIENTED_EDGE('',*,*,#99311,.F.); #143579=ORIENTED_EDGE('',*,*,#99312,.T.); #143580=ORIENTED_EDGE('',*,*,#99313,.F.); #143581=ORIENTED_EDGE('',*,*,#98843,.T.); #143582=ORIENTED_EDGE('',*,*,#99314,.F.); #143583=ORIENTED_EDGE('',*,*,#99315,.F.); #143584=ORIENTED_EDGE('',*,*,#99316,.F.); #143585=ORIENTED_EDGE('',*,*,#99312,.F.); #143586=ORIENTED_EDGE('',*,*,#99317,.F.); #143587=ORIENTED_EDGE('',*,*,#99318,.T.); #143588=ORIENTED_EDGE('',*,*,#99319,.T.); #143589=ORIENTED_EDGE('',*,*,#98772,.T.); #143590=ORIENTED_EDGE('',*,*,#99320,.T.); #143591=ORIENTED_EDGE('',*,*,#99265,.T.); #143592=ORIENTED_EDGE('',*,*,#99321,.T.); #143593=ORIENTED_EDGE('',*,*,#99322,.T.); #143594=ORIENTED_EDGE('',*,*,#99323,.F.); #143595=ORIENTED_EDGE('',*,*,#99324,.T.); #143596=ORIENTED_EDGE('',*,*,#99325,.T.); #143597=ORIENTED_EDGE('',*,*,#99309,.T.); #143598=ORIENTED_EDGE('',*,*,#99326,.F.); #143599=ORIENTED_EDGE('',*,*,#99310,.T.); #143600=ORIENTED_EDGE('',*,*,#99327,.T.); #143601=ORIENTED_EDGE('',*,*,#99263,.T.); #143602=ORIENTED_EDGE('',*,*,#99326,.T.); #143603=ORIENTED_EDGE('',*,*,#99302,.T.); #143604=ORIENTED_EDGE('',*,*,#99305,.T.); #143605=ORIENTED_EDGE('',*,*,#99264,.T.); #143606=ORIENTED_EDGE('',*,*,#99327,.F.); #143607=ORIENTED_EDGE('',*,*,#99325,.F.); #143608=ORIENTED_EDGE('',*,*,#99321,.F.); #143609=ORIENTED_EDGE('',*,*,#99328,.F.); #143610=ORIENTED_EDGE('',*,*,#98934,.T.); #143611=ORIENTED_EDGE('',*,*,#99329,.T.); #143612=ORIENTED_EDGE('',*,*,#99328,.T.); #143613=ORIENTED_EDGE('',*,*,#99267,.T.); #143614=ORIENTED_EDGE('',*,*,#99330,.T.); #143615=ORIENTED_EDGE('',*,*,#98769,.T.); #143616=ORIENTED_EDGE('',*,*,#99331,.F.); #143617=ORIENTED_EDGE('',*,*,#99330,.F.); #143618=ORIENTED_EDGE('',*,*,#99266,.T.); #143619=ORIENTED_EDGE('',*,*,#99320,.F.); #143620=ORIENTED_EDGE('',*,*,#99332,.T.); #143621=ORIENTED_EDGE('',*,*,#99315,.T.); #143622=ORIENTED_EDGE('',*,*,#99333,.T.); #143623=ORIENTED_EDGE('',*,*,#99334,.T.); #143624=ORIENTED_EDGE('',*,*,#99335,.F.); #143625=ORIENTED_EDGE('',*,*,#99316,.T.); #143626=ORIENTED_EDGE('',*,*,#99336,.T.); #143627=ORIENTED_EDGE('',*,*,#99306,.T.); #143628=ORIENTED_EDGE('',*,*,#99335,.T.); #143629=ORIENTED_EDGE('',*,*,#99303,.T.); #143630=ORIENTED_EDGE('',*,*,#99313,.T.); #143631=ORIENTED_EDGE('',*,*,#99337,.F.); #143632=ORIENTED_EDGE('',*,*,#99336,.F.); #143633=ORIENTED_EDGE('',*,*,#99332,.F.); #143634=ORIENTED_EDGE('',*,*,#99338,.F.); #143635=ORIENTED_EDGE('',*,*,#98767,.T.); #143636=ORIENTED_EDGE('',*,*,#99339,.T.); #143637=ORIENTED_EDGE('',*,*,#99314,.T.); #143638=ORIENTED_EDGE('',*,*,#99338,.T.); #143639=ORIENTED_EDGE('',*,*,#99311,.T.); #143640=ORIENTED_EDGE('',*,*,#98933,.T.); #143641=ORIENTED_EDGE('',*,*,#99333,.F.); #143642=ORIENTED_EDGE('',*,*,#99339,.F.); #143643=ORIENTED_EDGE('',*,*,#99319,.F.); #143644=ORIENTED_EDGE('',*,*,#99340,.F.); #143645=ORIENTED_EDGE('',*,*,#99268,.T.); #143646=ORIENTED_EDGE('',*,*,#99329,.F.); #143647=ORIENTED_EDGE('',*,*,#98841,.T.); #143648=ORIENTED_EDGE('',*,*,#99341,.F.); #143649=ORIENTED_EDGE('',*,*,#99342,.T.); #143650=ORIENTED_EDGE('',*,*,#99343,.F.); #143651=ORIENTED_EDGE('',*,*,#98840,.T.); #143652=ORIENTED_EDGE('',*,*,#99344,.F.); #143653=ORIENTED_EDGE('',*,*,#99345,.F.); #143654=ORIENTED_EDGE('',*,*,#99346,.F.); #143655=ORIENTED_EDGE('',*,*,#99347,.F.); #143656=ORIENTED_EDGE('',*,*,#99348,.F.); #143657=ORIENTED_EDGE('',*,*,#99342,.F.); #143658=ORIENTED_EDGE('',*,*,#99349,.F.); #143659=ORIENTED_EDGE('',*,*,#99350,.T.); #143660=ORIENTED_EDGE('',*,*,#99351,.F.); #143661=ORIENTED_EDGE('',*,*,#98839,.T.); #143662=ORIENTED_EDGE('',*,*,#99352,.F.); #143663=ORIENTED_EDGE('',*,*,#99353,.F.); #143664=ORIENTED_EDGE('',*,*,#99354,.F.); #143665=ORIENTED_EDGE('',*,*,#99350,.F.); #143666=ORIENTED_EDGE('',*,*,#99355,.F.); #143667=ORIENTED_EDGE('',*,*,#99356,.T.); #143668=ORIENTED_EDGE('',*,*,#99357,.T.); #143669=ORIENTED_EDGE('',*,*,#98758,.T.); #143670=ORIENTED_EDGE('',*,*,#99358,.T.); #143671=ORIENTED_EDGE('',*,*,#99271,.T.); #143672=ORIENTED_EDGE('',*,*,#99359,.T.); #143673=ORIENTED_EDGE('',*,*,#99360,.T.); #143674=ORIENTED_EDGE('',*,*,#99361,.F.); #143675=ORIENTED_EDGE('',*,*,#99362,.T.); #143676=ORIENTED_EDGE('',*,*,#99363,.T.); #143677=ORIENTED_EDGE('',*,*,#99347,.T.); #143678=ORIENTED_EDGE('',*,*,#99364,.F.); #143679=ORIENTED_EDGE('',*,*,#99348,.T.); #143680=ORIENTED_EDGE('',*,*,#99365,.T.); #143681=ORIENTED_EDGE('',*,*,#99269,.T.); #143682=ORIENTED_EDGE('',*,*,#99364,.T.); #143683=ORIENTED_EDGE('',*,*,#99340,.T.); #143684=ORIENTED_EDGE('',*,*,#99343,.T.); #143685=ORIENTED_EDGE('',*,*,#99270,.T.); #143686=ORIENTED_EDGE('',*,*,#99365,.F.); #143687=ORIENTED_EDGE('',*,*,#99363,.F.); #143688=ORIENTED_EDGE('',*,*,#99359,.F.); #143689=ORIENTED_EDGE('',*,*,#99366,.F.); #143690=ORIENTED_EDGE('',*,*,#98932,.T.); #143691=ORIENTED_EDGE('',*,*,#99273,.T.); #143692=ORIENTED_EDGE('',*,*,#99366,.T.); #143693=ORIENTED_EDGE('',*,*,#99255,.T.); #143694=ORIENTED_EDGE('',*,*,#99367,.T.); #143695=ORIENTED_EDGE('',*,*,#98755,.T.); #143696=ORIENTED_EDGE('',*,*,#99368,.F.); #143697=ORIENTED_EDGE('',*,*,#99367,.F.); #143698=ORIENTED_EDGE('',*,*,#99272,.T.); #143699=ORIENTED_EDGE('',*,*,#99358,.F.); #143700=ORIENTED_EDGE('',*,*,#99369,.T.); #143701=ORIENTED_EDGE('',*,*,#99353,.T.); #143702=ORIENTED_EDGE('',*,*,#99370,.T.); #143703=ORIENTED_EDGE('',*,*,#99371,.T.); #143704=ORIENTED_EDGE('',*,*,#99372,.F.); #143705=ORIENTED_EDGE('',*,*,#99354,.T.); #143706=ORIENTED_EDGE('',*,*,#99373,.T.); #143707=ORIENTED_EDGE('',*,*,#99344,.T.); #143708=ORIENTED_EDGE('',*,*,#99372,.T.); #143709=ORIENTED_EDGE('',*,*,#99341,.T.); #143710=ORIENTED_EDGE('',*,*,#99351,.T.); #143711=ORIENTED_EDGE('',*,*,#99374,.F.); #143712=ORIENTED_EDGE('',*,*,#99373,.F.); #143713=ORIENTED_EDGE('',*,*,#99369,.F.); #143714=ORIENTED_EDGE('',*,*,#99375,.F.); #143715=ORIENTED_EDGE('',*,*,#98753,.T.); #143716=ORIENTED_EDGE('',*,*,#99376,.T.); #143717=ORIENTED_EDGE('',*,*,#99352,.T.); #143718=ORIENTED_EDGE('',*,*,#99375,.T.); #143719=ORIENTED_EDGE('',*,*,#99349,.T.); #143720=ORIENTED_EDGE('',*,*,#98931,.T.); #143721=ORIENTED_EDGE('',*,*,#99370,.F.); #143722=ORIENTED_EDGE('',*,*,#99376,.F.); #143723=ORIENTED_EDGE('',*,*,#99357,.F.); #143724=ORIENTED_EDGE('',*,*,#99377,.F.); #143725=ORIENTED_EDGE('',*,*,#98757,.T.); #143726=ORIENTED_EDGE('',*,*,#99378,.F.); #143727=ORIENTED_EDGE('',*,*,#98750,.T.); #143728=ORIENTED_EDGE('',*,*,#99379,.F.); #143729=ORIENTED_EDGE('',*,*,#98756,.T.); #143730=ORIENTED_EDGE('',*,*,#99368,.T.); #143731=ORIENTED_EDGE('',*,*,#99380,.T.); #143732=ORIENTED_EDGE('',*,*,#99381,.F.); #143733=ORIENTED_EDGE('',*,*,#99380,.F.); #143734=ORIENTED_EDGE('',*,*,#99360,.F.); #143735=ORIENTED_EDGE('',*,*,#99362,.F.); #143736=ORIENTED_EDGE('',*,*,#99382,.F.); #143737=ORIENTED_EDGE('',*,*,#99361,.T.); #143738=ORIENTED_EDGE('',*,*,#99383,.T.); #143739=ORIENTED_EDGE('',*,*,#99384,.F.); #143740=ORIENTED_EDGE('',*,*,#99381,.T.); #143741=ORIENTED_EDGE('',*,*,#99382,.T.); #143742=ORIENTED_EDGE('',*,*,#98751,.T.); #143743=ORIENTED_EDGE('',*,*,#99384,.T.); #143744=ORIENTED_EDGE('',*,*,#99378,.T.); #143745=ORIENTED_EDGE('',*,*,#99379,.T.); #143746=ORIENTED_EDGE('',*,*,#99385,.F.); #143747=ORIENTED_EDGE('',*,*,#98771,.T.); #143748=ORIENTED_EDGE('',*,*,#99386,.F.); #143749=ORIENTED_EDGE('',*,*,#98764,.T.); #143750=ORIENTED_EDGE('',*,*,#99387,.F.); #143751=ORIENTED_EDGE('',*,*,#98770,.T.); #143752=ORIENTED_EDGE('',*,*,#99331,.T.); #143753=ORIENTED_EDGE('',*,*,#99388,.T.); #143754=ORIENTED_EDGE('',*,*,#99389,.F.); #143755=ORIENTED_EDGE('',*,*,#99388,.F.); #143756=ORIENTED_EDGE('',*,*,#99322,.F.); #143757=ORIENTED_EDGE('',*,*,#99324,.F.); #143758=ORIENTED_EDGE('',*,*,#99390,.F.); #143759=ORIENTED_EDGE('',*,*,#99323,.T.); #143760=ORIENTED_EDGE('',*,*,#99391,.T.); #143761=ORIENTED_EDGE('',*,*,#99392,.F.); #143762=ORIENTED_EDGE('',*,*,#99389,.T.); #143763=ORIENTED_EDGE('',*,*,#99390,.T.); #143764=ORIENTED_EDGE('',*,*,#98765,.T.); #143765=ORIENTED_EDGE('',*,*,#99392,.T.); #143766=ORIENTED_EDGE('',*,*,#99386,.T.); #143767=ORIENTED_EDGE('',*,*,#99387,.T.); #143768=ORIENTED_EDGE('',*,*,#99393,.F.); #143769=ORIENTED_EDGE('',*,*,#98766,.T.); #143770=ORIENTED_EDGE('',*,*,#99391,.F.); #143771=ORIENTED_EDGE('',*,*,#99308,.T.); #143772=ORIENTED_EDGE('',*,*,#99394,.F.); #143773=ORIENTED_EDGE('',*,*,#99307,.T.); #143774=ORIENTED_EDGE('',*,*,#99337,.T.); #143775=ORIENTED_EDGE('',*,*,#99395,.T.); #143776=ORIENTED_EDGE('',*,*,#99396,.F.); #143777=ORIENTED_EDGE('',*,*,#99395,.F.); #143778=ORIENTED_EDGE('',*,*,#99334,.F.); #143779=ORIENTED_EDGE('',*,*,#99318,.F.); #143780=ORIENTED_EDGE('',*,*,#99397,.F.); #143781=ORIENTED_EDGE('',*,*,#99317,.T.); #143782=ORIENTED_EDGE('',*,*,#99385,.T.); #143783=ORIENTED_EDGE('',*,*,#99398,.F.); #143784=ORIENTED_EDGE('',*,*,#99396,.T.); #143785=ORIENTED_EDGE('',*,*,#99397,.T.); #143786=ORIENTED_EDGE('',*,*,#98763,.T.); #143787=ORIENTED_EDGE('',*,*,#99398,.T.); #143788=ORIENTED_EDGE('',*,*,#99393,.T.); #143789=ORIENTED_EDGE('',*,*,#99394,.T.); #143790=ORIENTED_EDGE('',*,*,#99399,.F.); #143791=ORIENTED_EDGE('',*,*,#98752,.T.); #143792=ORIENTED_EDGE('',*,*,#99383,.F.); #143793=ORIENTED_EDGE('',*,*,#99346,.T.); #143794=ORIENTED_EDGE('',*,*,#99400,.F.); #143795=ORIENTED_EDGE('',*,*,#99345,.T.); #143796=ORIENTED_EDGE('',*,*,#99374,.T.); #143797=ORIENTED_EDGE('',*,*,#99401,.T.); #143798=ORIENTED_EDGE('',*,*,#99402,.F.); #143799=ORIENTED_EDGE('',*,*,#99401,.F.); #143800=ORIENTED_EDGE('',*,*,#99371,.F.); #143801=ORIENTED_EDGE('',*,*,#99356,.F.); #143802=ORIENTED_EDGE('',*,*,#99403,.F.); #143803=ORIENTED_EDGE('',*,*,#99355,.T.); #143804=ORIENTED_EDGE('',*,*,#99377,.T.); #143805=ORIENTED_EDGE('',*,*,#99404,.F.); #143806=ORIENTED_EDGE('',*,*,#99402,.T.); #143807=ORIENTED_EDGE('',*,*,#99403,.T.); #143808=ORIENTED_EDGE('',*,*,#98749,.T.); #143809=ORIENTED_EDGE('',*,*,#99404,.T.); #143810=ORIENTED_EDGE('',*,*,#99399,.T.); #143811=ORIENTED_EDGE('',*,*,#99400,.T.); #143812=ORIENTED_EDGE('',*,*,#99405,.F.); #143813=ORIENTED_EDGE('',*,*,#98781,.T.); #143814=ORIENTED_EDGE('',*,*,#99406,.F.); #143815=ORIENTED_EDGE('',*,*,#98774,.T.); #143816=ORIENTED_EDGE('',*,*,#99407,.F.); #143817=ORIENTED_EDGE('',*,*,#98780,.T.); #143818=ORIENTED_EDGE('',*,*,#99291,.T.); #143819=ORIENTED_EDGE('',*,*,#99408,.T.); #143820=ORIENTED_EDGE('',*,*,#99409,.F.); #143821=ORIENTED_EDGE('',*,*,#99408,.F.); #143822=ORIENTED_EDGE('',*,*,#99288,.F.); #143823=ORIENTED_EDGE('',*,*,#99293,.F.); #143824=ORIENTED_EDGE('',*,*,#99410,.F.); #143825=ORIENTED_EDGE('',*,*,#99292,.T.); #143826=ORIENTED_EDGE('',*,*,#99411,.T.); #143827=ORIENTED_EDGE('',*,*,#99412,.F.); #143828=ORIENTED_EDGE('',*,*,#99409,.T.); #143829=ORIENTED_EDGE('',*,*,#99410,.T.); #143830=ORIENTED_EDGE('',*,*,#98775,.T.); #143831=ORIENTED_EDGE('',*,*,#99412,.T.); #143832=ORIENTED_EDGE('',*,*,#99406,.T.); #143833=ORIENTED_EDGE('',*,*,#99407,.T.); #143834=ORIENTED_EDGE('',*,*,#99413,.F.); #143835=ORIENTED_EDGE('',*,*,#98747,.T.); #143836=ORIENTED_EDGE('',*,*,#99414,.F.); #143837=ORIENTED_EDGE('',*,*,#98796,.T.); #143838=ORIENTED_EDGE('',*,*,#99415,.F.); #143839=ORIENTED_EDGE('',*,*,#98746,.T.); #143840=ORIENTED_EDGE('',*,*,#99244,.T.); #143841=ORIENTED_EDGE('',*,*,#99416,.T.); #143842=ORIENTED_EDGE('',*,*,#99417,.F.); #143843=ORIENTED_EDGE('',*,*,#99416,.F.); #143844=ORIENTED_EDGE('',*,*,#99236,.F.); #143845=ORIENTED_EDGE('',*,*,#99238,.F.); #143846=ORIENTED_EDGE('',*,*,#99418,.F.); #143847=ORIENTED_EDGE('',*,*,#99237,.T.); #143848=ORIENTED_EDGE('',*,*,#99419,.T.); #143849=ORIENTED_EDGE('',*,*,#99420,.F.); #143850=ORIENTED_EDGE('',*,*,#99417,.T.); #143851=ORIENTED_EDGE('',*,*,#99418,.T.); #143852=ORIENTED_EDGE('',*,*,#98797,.T.); #143853=ORIENTED_EDGE('',*,*,#99420,.T.); #143854=ORIENTED_EDGE('',*,*,#99414,.T.); #143855=ORIENTED_EDGE('',*,*,#99415,.T.); #143856=ORIENTED_EDGE('',*,*,#99421,.F.); #143857=ORIENTED_EDGE('',*,*,#98798,.T.); #143858=ORIENTED_EDGE('',*,*,#99419,.F.); #143859=ORIENTED_EDGE('',*,*,#99222,.T.); #143860=ORIENTED_EDGE('',*,*,#99422,.F.); #143861=ORIENTED_EDGE('',*,*,#99221,.T.); #143862=ORIENTED_EDGE('',*,*,#99250,.T.); #143863=ORIENTED_EDGE('',*,*,#99423,.T.); #143864=ORIENTED_EDGE('',*,*,#99424,.F.); #143865=ORIENTED_EDGE('',*,*,#99423,.F.); #143866=ORIENTED_EDGE('',*,*,#99247,.F.); #143867=ORIENTED_EDGE('',*,*,#99232,.F.); #143868=ORIENTED_EDGE('',*,*,#99425,.F.); #143869=ORIENTED_EDGE('',*,*,#99231,.T.); #143870=ORIENTED_EDGE('',*,*,#99413,.T.); #143871=ORIENTED_EDGE('',*,*,#99426,.F.); #143872=ORIENTED_EDGE('',*,*,#99424,.T.); #143873=ORIENTED_EDGE('',*,*,#99425,.T.); #143874=ORIENTED_EDGE('',*,*,#98795,.T.); #143875=ORIENTED_EDGE('',*,*,#99426,.T.); #143876=ORIENTED_EDGE('',*,*,#99421,.T.); #143877=ORIENTED_EDGE('',*,*,#99422,.T.); #143878=ORIENTED_EDGE('',*,*,#99427,.F.); #143879=ORIENTED_EDGE('',*,*,#98905,.T.); #143880=ORIENTED_EDGE('',*,*,#99428,.F.); #143881=ORIENTED_EDGE('',*,*,#98800,.T.); #143882=ORIENTED_EDGE('',*,*,#99429,.F.); #143883=ORIENTED_EDGE('',*,*,#98904,.T.); #143884=ORIENTED_EDGE('',*,*,#99205,.T.); #143885=ORIENTED_EDGE('',*,*,#99430,.T.); #143886=ORIENTED_EDGE('',*,*,#99431,.F.); #143887=ORIENTED_EDGE('',*,*,#99430,.F.); #143888=ORIENTED_EDGE('',*,*,#99202,.F.); #143889=ORIENTED_EDGE('',*,*,#99207,.F.); #143890=ORIENTED_EDGE('',*,*,#99432,.F.); #143891=ORIENTED_EDGE('',*,*,#99206,.T.); #143892=ORIENTED_EDGE('',*,*,#99433,.T.); #143893=ORIENTED_EDGE('',*,*,#99434,.F.); #143894=ORIENTED_EDGE('',*,*,#99431,.T.); #143895=ORIENTED_EDGE('',*,*,#99432,.T.); #143896=ORIENTED_EDGE('',*,*,#98801,.T.); #143897=ORIENTED_EDGE('',*,*,#99434,.T.); #143898=ORIENTED_EDGE('',*,*,#99428,.T.); #143899=ORIENTED_EDGE('',*,*,#99429,.T.); #143900=ORIENTED_EDGE('',*,*,#99435,.F.); #143901=ORIENTED_EDGE('',*,*,#98899,.T.); #143902=ORIENTED_EDGE('',*,*,#99436,.F.); #143903=ORIENTED_EDGE('',*,*,#98788,.T.); #143904=ORIENTED_EDGE('',*,*,#99437,.F.); #143905=ORIENTED_EDGE('',*,*,#98898,.T.); #143906=ORIENTED_EDGE('',*,*,#98978,.T.); #143907=ORIENTED_EDGE('',*,*,#99438,.T.); #143908=ORIENTED_EDGE('',*,*,#99439,.F.); #143909=ORIENTED_EDGE('',*,*,#99438,.F.); #143910=ORIENTED_EDGE('',*,*,#98969,.F.); #143911=ORIENTED_EDGE('',*,*,#98971,.F.); #143912=ORIENTED_EDGE('',*,*,#99440,.F.); #143913=ORIENTED_EDGE('',*,*,#98970,.T.); #143914=ORIENTED_EDGE('',*,*,#99441,.T.); #143915=ORIENTED_EDGE('',*,*,#99442,.F.); #143916=ORIENTED_EDGE('',*,*,#99439,.T.); #143917=ORIENTED_EDGE('',*,*,#99440,.T.); #143918=ORIENTED_EDGE('',*,*,#98789,.T.); #143919=ORIENTED_EDGE('',*,*,#99442,.T.); #143920=ORIENTED_EDGE('',*,*,#99436,.T.); #143921=ORIENTED_EDGE('',*,*,#99437,.T.); #143922=ORIENTED_EDGE('',*,*,#99443,.F.); #143923=ORIENTED_EDGE('',*,*,#98893,.T.); #143924=ORIENTED_EDGE('',*,*,#99444,.F.); #143925=ORIENTED_EDGE('',*,*,#98784,.T.); #143926=ORIENTED_EDGE('',*,*,#99445,.F.); #143927=ORIENTED_EDGE('',*,*,#98892,.T.); #143928=ORIENTED_EDGE('',*,*,#99016,.T.); #143929=ORIENTED_EDGE('',*,*,#99446,.T.); #143930=ORIENTED_EDGE('',*,*,#99447,.F.); #143931=ORIENTED_EDGE('',*,*,#99446,.F.); #143932=ORIENTED_EDGE('',*,*,#99007,.F.); #143933=ORIENTED_EDGE('',*,*,#99009,.F.); #143934=ORIENTED_EDGE('',*,*,#99448,.F.); #143935=ORIENTED_EDGE('',*,*,#99008,.T.); #143936=ORIENTED_EDGE('',*,*,#99449,.T.); #143937=ORIENTED_EDGE('',*,*,#99450,.F.); #143938=ORIENTED_EDGE('',*,*,#99447,.T.); #143939=ORIENTED_EDGE('',*,*,#99448,.T.); #143940=ORIENTED_EDGE('',*,*,#98785,.T.); #143941=ORIENTED_EDGE('',*,*,#99450,.T.); #143942=ORIENTED_EDGE('',*,*,#99444,.T.); #143943=ORIENTED_EDGE('',*,*,#99445,.T.); #143944=ORIENTED_EDGE('',*,*,#99451,.F.); #143945=ORIENTED_EDGE('',*,*,#98887,.T.); #143946=ORIENTED_EDGE('',*,*,#99452,.F.); #143947=ORIENTED_EDGE('',*,*,#98734,.T.); #143948=ORIENTED_EDGE('',*,*,#99453,.F.); #143949=ORIENTED_EDGE('',*,*,#98886,.T.); #143950=ORIENTED_EDGE('',*,*,#99053,.T.); #143951=ORIENTED_EDGE('',*,*,#99454,.T.); #143952=ORIENTED_EDGE('',*,*,#99455,.F.); #143953=ORIENTED_EDGE('',*,*,#99454,.F.); #143954=ORIENTED_EDGE('',*,*,#99045,.F.); #143955=ORIENTED_EDGE('',*,*,#99047,.F.); #143956=ORIENTED_EDGE('',*,*,#99456,.F.); #143957=ORIENTED_EDGE('',*,*,#99046,.T.); #143958=ORIENTED_EDGE('',*,*,#99457,.T.); #143959=ORIENTED_EDGE('',*,*,#99458,.F.); #143960=ORIENTED_EDGE('',*,*,#99455,.T.); #143961=ORIENTED_EDGE('',*,*,#99456,.T.); #143962=ORIENTED_EDGE('',*,*,#98735,.T.); #143963=ORIENTED_EDGE('',*,*,#99458,.T.); #143964=ORIENTED_EDGE('',*,*,#99452,.T.); #143965=ORIENTED_EDGE('',*,*,#99453,.T.); #143966=ORIENTED_EDGE('',*,*,#99459,.F.); #143967=ORIENTED_EDGE('',*,*,#99110,.T.); #143968=ORIENTED_EDGE('',*,*,#99460,.F.); #143969=ORIENTED_EDGE('',*,*,#98760,.T.); #143970=ORIENTED_EDGE('',*,*,#99461,.F.); #143971=ORIENTED_EDGE('',*,*,#99109,.T.); #143972=ORIENTED_EDGE('',*,*,#99135,.T.); #143973=ORIENTED_EDGE('',*,*,#99462,.T.); #143974=ORIENTED_EDGE('',*,*,#99463,.F.); #143975=ORIENTED_EDGE('',*,*,#99462,.F.); #143976=ORIENTED_EDGE('',*,*,#99115,.F.); #143977=ORIENTED_EDGE('',*,*,#99117,.F.); #143978=ORIENTED_EDGE('',*,*,#99464,.F.); #143979=ORIENTED_EDGE('',*,*,#99116,.T.); #143980=ORIENTED_EDGE('',*,*,#99465,.T.); #143981=ORIENTED_EDGE('',*,*,#99466,.F.); #143982=ORIENTED_EDGE('',*,*,#99463,.T.); #143983=ORIENTED_EDGE('',*,*,#99464,.T.); #143984=ORIENTED_EDGE('',*,*,#98761,.T.); #143985=ORIENTED_EDGE('',*,*,#99466,.T.); #143986=ORIENTED_EDGE('',*,*,#99460,.T.); #143987=ORIENTED_EDGE('',*,*,#99461,.T.); #143988=ORIENTED_EDGE('',*,*,#99467,.F.); #143989=ORIENTED_EDGE('',*,*,#98762,.T.); #143990=ORIENTED_EDGE('',*,*,#99465,.F.); #143991=ORIENTED_EDGE('',*,*,#98855,.T.); #143992=ORIENTED_EDGE('',*,*,#99468,.F.); #143993=ORIENTED_EDGE('',*,*,#98854,.T.); #143994=ORIENTED_EDGE('',*,*,#99125,.T.); #143995=ORIENTED_EDGE('',*,*,#99469,.T.); #143996=ORIENTED_EDGE('',*,*,#99470,.F.); #143997=ORIENTED_EDGE('',*,*,#99469,.F.); #143998=ORIENTED_EDGE('',*,*,#99121,.F.); #143999=ORIENTED_EDGE('',*,*,#99127,.F.); #144000=ORIENTED_EDGE('',*,*,#99471,.F.); #144001=ORIENTED_EDGE('',*,*,#99126,.T.); #144002=ORIENTED_EDGE('',*,*,#99459,.T.); #144003=ORIENTED_EDGE('',*,*,#99472,.F.); #144004=ORIENTED_EDGE('',*,*,#99470,.T.); #144005=ORIENTED_EDGE('',*,*,#99471,.T.); #144006=ORIENTED_EDGE('',*,*,#98759,.T.); #144007=ORIENTED_EDGE('',*,*,#99472,.T.); #144008=ORIENTED_EDGE('',*,*,#99467,.T.); #144009=ORIENTED_EDGE('',*,*,#99468,.T.); #144010=ORIENTED_EDGE('',*,*,#99473,.F.); #144011=ORIENTED_EDGE('',*,*,#98736,.T.); #144012=ORIENTED_EDGE('',*,*,#99457,.F.); #144013=ORIENTED_EDGE('',*,*,#99031,.T.); #144014=ORIENTED_EDGE('',*,*,#99474,.F.); #144015=ORIENTED_EDGE('',*,*,#99030,.T.); #144016=ORIENTED_EDGE('',*,*,#99059,.T.); #144017=ORIENTED_EDGE('',*,*,#99475,.T.); #144018=ORIENTED_EDGE('',*,*,#99476,.F.); #144019=ORIENTED_EDGE('',*,*,#99475,.F.); #144020=ORIENTED_EDGE('',*,*,#99056,.F.); #144021=ORIENTED_EDGE('',*,*,#99041,.F.); #144022=ORIENTED_EDGE('',*,*,#99477,.F.); #144023=ORIENTED_EDGE('',*,*,#99040,.T.); #144024=ORIENTED_EDGE('',*,*,#99451,.T.); #144025=ORIENTED_EDGE('',*,*,#99478,.F.); #144026=ORIENTED_EDGE('',*,*,#99476,.T.); #144027=ORIENTED_EDGE('',*,*,#99477,.T.); #144028=ORIENTED_EDGE('',*,*,#98733,.T.); #144029=ORIENTED_EDGE('',*,*,#99478,.T.); #144030=ORIENTED_EDGE('',*,*,#99473,.T.); #144031=ORIENTED_EDGE('',*,*,#99474,.T.); #144032=ORIENTED_EDGE('',*,*,#99479,.F.); #144033=ORIENTED_EDGE('',*,*,#98786,.T.); #144034=ORIENTED_EDGE('',*,*,#99449,.F.); #144035=ORIENTED_EDGE('',*,*,#98993,.T.); #144036=ORIENTED_EDGE('',*,*,#99480,.F.); #144037=ORIENTED_EDGE('',*,*,#98992,.T.); #144038=ORIENTED_EDGE('',*,*,#99022,.T.); #144039=ORIENTED_EDGE('',*,*,#99481,.T.); #144040=ORIENTED_EDGE('',*,*,#99482,.F.); #144041=ORIENTED_EDGE('',*,*,#99481,.F.); #144042=ORIENTED_EDGE('',*,*,#99019,.F.); #144043=ORIENTED_EDGE('',*,*,#99003,.F.); #144044=ORIENTED_EDGE('',*,*,#99483,.F.); #144045=ORIENTED_EDGE('',*,*,#99002,.T.); #144046=ORIENTED_EDGE('',*,*,#99443,.T.); #144047=ORIENTED_EDGE('',*,*,#99484,.F.); #144048=ORIENTED_EDGE('',*,*,#99482,.T.); #144049=ORIENTED_EDGE('',*,*,#99483,.T.); #144050=ORIENTED_EDGE('',*,*,#98783,.T.); #144051=ORIENTED_EDGE('',*,*,#99484,.T.); #144052=ORIENTED_EDGE('',*,*,#99479,.T.); #144053=ORIENTED_EDGE('',*,*,#99480,.T.); #144054=ORIENTED_EDGE('',*,*,#99485,.F.); #144055=ORIENTED_EDGE('',*,*,#98790,.T.); #144056=ORIENTED_EDGE('',*,*,#99441,.F.); #144057=ORIENTED_EDGE('',*,*,#98955,.T.); #144058=ORIENTED_EDGE('',*,*,#99486,.F.); #144059=ORIENTED_EDGE('',*,*,#98954,.T.); #144060=ORIENTED_EDGE('',*,*,#98984,.T.); #144061=ORIENTED_EDGE('',*,*,#99487,.T.); #144062=ORIENTED_EDGE('',*,*,#99488,.F.); #144063=ORIENTED_EDGE('',*,*,#99487,.F.); #144064=ORIENTED_EDGE('',*,*,#98981,.F.); #144065=ORIENTED_EDGE('',*,*,#98965,.F.); #144066=ORIENTED_EDGE('',*,*,#99489,.F.); #144067=ORIENTED_EDGE('',*,*,#98964,.T.); #144068=ORIENTED_EDGE('',*,*,#99435,.T.); #144069=ORIENTED_EDGE('',*,*,#99490,.F.); #144070=ORIENTED_EDGE('',*,*,#99488,.T.); #144071=ORIENTED_EDGE('',*,*,#99489,.T.); #144072=ORIENTED_EDGE('',*,*,#98787,.T.); #144073=ORIENTED_EDGE('',*,*,#99490,.T.); #144074=ORIENTED_EDGE('',*,*,#99485,.T.); #144075=ORIENTED_EDGE('',*,*,#99486,.T.); #144076=ORIENTED_EDGE('',*,*,#99491,.F.); #144077=ORIENTED_EDGE('',*,*,#98802,.T.); #144078=ORIENTED_EDGE('',*,*,#99433,.F.); #144079=ORIENTED_EDGE('',*,*,#99191,.T.); #144080=ORIENTED_EDGE('',*,*,#99492,.F.); #144081=ORIENTED_EDGE('',*,*,#99190,.T.); #144082=ORIENTED_EDGE('',*,*,#99215,.T.); #144083=ORIENTED_EDGE('',*,*,#99493,.T.); #144084=ORIENTED_EDGE('',*,*,#99494,.F.); #144085=ORIENTED_EDGE('',*,*,#99493,.F.); #144086=ORIENTED_EDGE('',*,*,#99196,.F.); #144087=ORIENTED_EDGE('',*,*,#99198,.F.); #144088=ORIENTED_EDGE('',*,*,#99495,.F.); #144089=ORIENTED_EDGE('',*,*,#99197,.T.); #144090=ORIENTED_EDGE('',*,*,#99427,.T.); #144091=ORIENTED_EDGE('',*,*,#99496,.F.); #144092=ORIENTED_EDGE('',*,*,#99494,.T.); #144093=ORIENTED_EDGE('',*,*,#99495,.T.); #144094=ORIENTED_EDGE('',*,*,#98799,.T.); #144095=ORIENTED_EDGE('',*,*,#99496,.T.); #144096=ORIENTED_EDGE('',*,*,#99491,.T.); #144097=ORIENTED_EDGE('',*,*,#99492,.T.); #144098=ORIENTED_EDGE('',*,*,#99497,.F.); #144099=ORIENTED_EDGE('',*,*,#98776,.T.); #144100=ORIENTED_EDGE('',*,*,#99411,.F.); #144101=ORIENTED_EDGE('',*,*,#99277,.T.); #144102=ORIENTED_EDGE('',*,*,#99498,.F.); #144103=ORIENTED_EDGE('',*,*,#99276,.T.); #144104=ORIENTED_EDGE('',*,*,#99301,.T.); #144105=ORIENTED_EDGE('',*,*,#99499,.T.); #144106=ORIENTED_EDGE('',*,*,#99500,.F.); #144107=ORIENTED_EDGE('',*,*,#99499,.F.); #144108=ORIENTED_EDGE('',*,*,#99282,.F.); #144109=ORIENTED_EDGE('',*,*,#99284,.F.); #144110=ORIENTED_EDGE('',*,*,#99501,.F.); #144111=ORIENTED_EDGE('',*,*,#99283,.T.); #144112=ORIENTED_EDGE('',*,*,#99405,.T.); #144113=ORIENTED_EDGE('',*,*,#99502,.F.); #144114=ORIENTED_EDGE('',*,*,#99500,.T.); #144115=ORIENTED_EDGE('',*,*,#99501,.T.); #144116=ORIENTED_EDGE('',*,*,#98773,.T.); #144117=ORIENTED_EDGE('',*,*,#99502,.T.); #144118=ORIENTED_EDGE('',*,*,#99497,.T.); #144119=ORIENTED_EDGE('',*,*,#99498,.T.); #144120=ORIENTED_EDGE('',*,*,#99503,.F.); #144121=ORIENTED_EDGE('',*,*,#98741,.T.); #144122=ORIENTED_EDGE('',*,*,#99504,.F.); #144123=ORIENTED_EDGE('',*,*,#98792,.T.); #144124=ORIENTED_EDGE('',*,*,#99505,.F.); #144125=ORIENTED_EDGE('',*,*,#98740,.T.); #144126=ORIENTED_EDGE('',*,*,#99164,.T.); #144127=ORIENTED_EDGE('',*,*,#99506,.T.); #144128=ORIENTED_EDGE('',*,*,#99507,.F.); #144129=ORIENTED_EDGE('',*,*,#99506,.F.); #144130=ORIENTED_EDGE('',*,*,#99156,.F.); #144131=ORIENTED_EDGE('',*,*,#99158,.F.); #144132=ORIENTED_EDGE('',*,*,#99508,.F.); #144133=ORIENTED_EDGE('',*,*,#99157,.T.); #144134=ORIENTED_EDGE('',*,*,#99509,.T.); #144135=ORIENTED_EDGE('',*,*,#99510,.F.); #144136=ORIENTED_EDGE('',*,*,#99507,.T.); #144137=ORIENTED_EDGE('',*,*,#99508,.T.); #144138=ORIENTED_EDGE('',*,*,#98793,.T.); #144139=ORIENTED_EDGE('',*,*,#99510,.T.); #144140=ORIENTED_EDGE('',*,*,#99504,.T.); #144141=ORIENTED_EDGE('',*,*,#99505,.T.); #144142=ORIENTED_EDGE('',*,*,#99511,.F.); #144143=ORIENTED_EDGE('',*,*,#98794,.T.); #144144=ORIENTED_EDGE('',*,*,#99509,.F.); #144145=ORIENTED_EDGE('',*,*,#99142,.T.); #144146=ORIENTED_EDGE('',*,*,#99512,.F.); #144147=ORIENTED_EDGE('',*,*,#99141,.T.); #144148=ORIENTED_EDGE('',*,*,#99170,.T.); #144149=ORIENTED_EDGE('',*,*,#99513,.T.); #144150=ORIENTED_EDGE('',*,*,#99514,.F.); #144151=ORIENTED_EDGE('',*,*,#99513,.F.); #144152=ORIENTED_EDGE('',*,*,#99167,.F.); #144153=ORIENTED_EDGE('',*,*,#99152,.F.); #144154=ORIENTED_EDGE('',*,*,#99515,.F.); #144155=ORIENTED_EDGE('',*,*,#99151,.T.); #144156=ORIENTED_EDGE('',*,*,#99503,.T.); #144157=ORIENTED_EDGE('',*,*,#99516,.F.); #144158=ORIENTED_EDGE('',*,*,#99514,.T.); #144159=ORIENTED_EDGE('',*,*,#99515,.T.); #144160=ORIENTED_EDGE('',*,*,#98791,.T.); #144161=ORIENTED_EDGE('',*,*,#99516,.T.); #144162=ORIENTED_EDGE('',*,*,#99511,.T.); #144163=ORIENTED_EDGE('',*,*,#99512,.T.); #144164=ORIENTED_EDGE('',*,*,#99517,.F.); #144165=ORIENTED_EDGE('',*,*,#99518,.T.); #144166=ORIENTED_EDGE('',*,*,#99519,.T.); #144167=ORIENTED_EDGE('',*,*,#99520,.T.); #144168=ORIENTED_EDGE('',*,*,#99521,.F.); #144169=ORIENTED_EDGE('',*,*,#99522,.T.); #144170=ORIENTED_EDGE('',*,*,#99517,.T.); #144171=ORIENTED_EDGE('',*,*,#99523,.T.); #144172=ORIENTED_EDGE('',*,*,#99524,.F.); #144173=ORIENTED_EDGE('',*,*,#99525,.T.); #144174=ORIENTED_EDGE('',*,*,#99521,.T.); #144175=ORIENTED_EDGE('',*,*,#99526,.T.); #144176=ORIENTED_EDGE('',*,*,#99527,.F.); #144177=ORIENTED_EDGE('',*,*,#99528,.T.); #144178=ORIENTED_EDGE('',*,*,#99524,.T.); #144179=ORIENTED_EDGE('',*,*,#99529,.T.); #144180=ORIENTED_EDGE('',*,*,#99530,.F.); #144181=ORIENTED_EDGE('',*,*,#99531,.T.); #144182=ORIENTED_EDGE('',*,*,#99527,.T.); #144183=ORIENTED_EDGE('',*,*,#99532,.T.); #144184=ORIENTED_EDGE('',*,*,#99533,.F.); #144185=ORIENTED_EDGE('',*,*,#99534,.T.); #144186=ORIENTED_EDGE('',*,*,#99530,.T.); #144187=ORIENTED_EDGE('',*,*,#99535,.T.); #144188=ORIENTED_EDGE('',*,*,#99536,.F.); #144189=ORIENTED_EDGE('',*,*,#99537,.T.); #144190=ORIENTED_EDGE('',*,*,#99533,.T.); #144191=ORIENTED_EDGE('',*,*,#99538,.T.); #144192=ORIENTED_EDGE('',*,*,#99539,.F.); #144193=ORIENTED_EDGE('',*,*,#99540,.T.); #144194=ORIENTED_EDGE('',*,*,#99536,.T.); #144195=ORIENTED_EDGE('',*,*,#99541,.T.); #144196=ORIENTED_EDGE('',*,*,#99542,.F.); #144197=ORIENTED_EDGE('',*,*,#99543,.T.); #144198=ORIENTED_EDGE('',*,*,#99539,.T.); #144199=ORIENTED_EDGE('',*,*,#99544,.T.); #144200=ORIENTED_EDGE('',*,*,#99545,.F.); #144201=ORIENTED_EDGE('',*,*,#99546,.T.); #144202=ORIENTED_EDGE('',*,*,#99542,.T.); #144203=ORIENTED_EDGE('',*,*,#99547,.T.); #144204=ORIENTED_EDGE('',*,*,#99548,.F.); #144205=ORIENTED_EDGE('',*,*,#99549,.T.); #144206=ORIENTED_EDGE('',*,*,#99545,.T.); #144207=ORIENTED_EDGE('',*,*,#99550,.T.); #144208=ORIENTED_EDGE('',*,*,#99551,.F.); #144209=ORIENTED_EDGE('',*,*,#99552,.T.); #144210=ORIENTED_EDGE('',*,*,#99548,.T.); #144211=ORIENTED_EDGE('',*,*,#99553,.T.); #144212=ORIENTED_EDGE('',*,*,#99554,.F.); #144213=ORIENTED_EDGE('',*,*,#99555,.T.); #144214=ORIENTED_EDGE('',*,*,#99551,.T.); #144215=ORIENTED_EDGE('',*,*,#99556,.T.); #144216=ORIENTED_EDGE('',*,*,#99557,.F.); #144217=ORIENTED_EDGE('',*,*,#99558,.T.); #144218=ORIENTED_EDGE('',*,*,#99554,.T.); #144219=ORIENTED_EDGE('',*,*,#99559,.T.); #144220=ORIENTED_EDGE('',*,*,#99560,.F.); #144221=ORIENTED_EDGE('',*,*,#99561,.T.); #144222=ORIENTED_EDGE('',*,*,#99557,.T.); #144223=ORIENTED_EDGE('',*,*,#99562,.T.); #144224=ORIENTED_EDGE('',*,*,#99563,.F.); #144225=ORIENTED_EDGE('',*,*,#99564,.T.); #144226=ORIENTED_EDGE('',*,*,#99560,.T.); #144227=ORIENTED_EDGE('',*,*,#99565,.T.); #144228=ORIENTED_EDGE('',*,*,#99566,.F.); #144229=ORIENTED_EDGE('',*,*,#99567,.T.); #144230=ORIENTED_EDGE('',*,*,#99563,.T.); #144231=ORIENTED_EDGE('',*,*,#99568,.T.); #144232=ORIENTED_EDGE('',*,*,#99569,.F.); #144233=ORIENTED_EDGE('',*,*,#99570,.T.); #144234=ORIENTED_EDGE('',*,*,#99566,.T.); #144235=ORIENTED_EDGE('',*,*,#99571,.T.); #144236=ORIENTED_EDGE('',*,*,#99572,.F.); #144237=ORIENTED_EDGE('',*,*,#99573,.T.); #144238=ORIENTED_EDGE('',*,*,#99574,.F.); #144239=ORIENTED_EDGE('',*,*,#99575,.F.); #144240=ORIENTED_EDGE('',*,*,#99576,.F.); #144241=ORIENTED_EDGE('',*,*,#99577,.T.); #144242=ORIENTED_EDGE('',*,*,#99572,.T.); #144243=ORIENTED_EDGE('',*,*,#99578,.F.); #144244=ORIENTED_EDGE('',*,*,#99579,.F.); #144245=ORIENTED_EDGE('',*,*,#99580,.T.); #144246=ORIENTED_EDGE('',*,*,#99576,.T.); #144247=ORIENTED_EDGE('',*,*,#99581,.F.); #144248=ORIENTED_EDGE('',*,*,#99582,.F.); #144249=ORIENTED_EDGE('',*,*,#99583,.T.); #144250=ORIENTED_EDGE('',*,*,#99579,.T.); #144251=ORIENTED_EDGE('',*,*,#99584,.F.); #144252=ORIENTED_EDGE('',*,*,#99585,.F.); #144253=ORIENTED_EDGE('',*,*,#99586,.T.); #144254=ORIENTED_EDGE('',*,*,#99582,.T.); #144255=ORIENTED_EDGE('',*,*,#99587,.F.); #144256=ORIENTED_EDGE('',*,*,#99588,.F.); #144257=ORIENTED_EDGE('',*,*,#99589,.T.); #144258=ORIENTED_EDGE('',*,*,#99585,.T.); #144259=ORIENTED_EDGE('',*,*,#99590,.F.); #144260=ORIENTED_EDGE('',*,*,#99591,.F.); #144261=ORIENTED_EDGE('',*,*,#99592,.T.); #144262=ORIENTED_EDGE('',*,*,#99588,.T.); #144263=ORIENTED_EDGE('',*,*,#99593,.F.); #144264=ORIENTED_EDGE('',*,*,#99594,.F.); #144265=ORIENTED_EDGE('',*,*,#99595,.T.); #144266=ORIENTED_EDGE('',*,*,#99591,.T.); #144267=ORIENTED_EDGE('',*,*,#99596,.F.); #144268=ORIENTED_EDGE('',*,*,#99597,.F.); #144269=ORIENTED_EDGE('',*,*,#99598,.T.); #144270=ORIENTED_EDGE('',*,*,#99594,.T.); #144271=ORIENTED_EDGE('',*,*,#99599,.F.); #144272=ORIENTED_EDGE('',*,*,#99600,.F.); #144273=ORIENTED_EDGE('',*,*,#99601,.T.); #144274=ORIENTED_EDGE('',*,*,#99597,.T.); #144275=ORIENTED_EDGE('',*,*,#99602,.F.); #144276=ORIENTED_EDGE('',*,*,#99603,.F.); #144277=ORIENTED_EDGE('',*,*,#99604,.T.); #144278=ORIENTED_EDGE('',*,*,#99600,.T.); #144279=ORIENTED_EDGE('',*,*,#99605,.F.); #144280=ORIENTED_EDGE('',*,*,#99606,.F.); #144281=ORIENTED_EDGE('',*,*,#99607,.T.); #144282=ORIENTED_EDGE('',*,*,#99603,.T.); #144283=ORIENTED_EDGE('',*,*,#99608,.F.); #144284=ORIENTED_EDGE('',*,*,#99609,.F.); #144285=ORIENTED_EDGE('',*,*,#99610,.T.); #144286=ORIENTED_EDGE('',*,*,#99606,.T.); #144287=ORIENTED_EDGE('',*,*,#99611,.F.); #144288=ORIENTED_EDGE('',*,*,#99612,.F.); #144289=ORIENTED_EDGE('',*,*,#99613,.T.); #144290=ORIENTED_EDGE('',*,*,#99609,.T.); #144291=ORIENTED_EDGE('',*,*,#99614,.F.); #144292=ORIENTED_EDGE('',*,*,#99615,.F.); #144293=ORIENTED_EDGE('',*,*,#99616,.T.); #144294=ORIENTED_EDGE('',*,*,#99612,.T.); #144295=ORIENTED_EDGE('',*,*,#99617,.F.); #144296=ORIENTED_EDGE('',*,*,#99618,.F.); #144297=ORIENTED_EDGE('',*,*,#99619,.T.); #144298=ORIENTED_EDGE('',*,*,#99615,.T.); #144299=ORIENTED_EDGE('',*,*,#99620,.F.); #144300=ORIENTED_EDGE('',*,*,#99621,.F.); #144301=ORIENTED_EDGE('',*,*,#99622,.T.); #144302=ORIENTED_EDGE('',*,*,#99618,.T.); #144303=ORIENTED_EDGE('',*,*,#99623,.F.); #144304=ORIENTED_EDGE('',*,*,#99624,.T.); #144305=ORIENTED_EDGE('',*,*,#99625,.F.); #144306=ORIENTED_EDGE('',*,*,#99626,.F.); #144307=ORIENTED_EDGE('',*,*,#99627,.T.); #144308=ORIENTED_EDGE('',*,*,#99628,.F.); #144309=ORIENTED_EDGE('',*,*,#99629,.F.); #144310=ORIENTED_EDGE('',*,*,#99626,.T.); #144311=ORIENTED_EDGE('',*,*,#99630,.F.); #144312=ORIENTED_EDGE('',*,*,#99631,.F.); #144313=ORIENTED_EDGE('',*,*,#99632,.F.); #144314=ORIENTED_EDGE('',*,*,#99627,.F.); #144315=ORIENTED_EDGE('',*,*,#99629,.T.); #144316=ORIENTED_EDGE('',*,*,#99632,.T.); #144317=ORIENTED_EDGE('',*,*,#99633,.F.); #144318=ORIENTED_EDGE('',*,*,#99630,.T.); #144319=ORIENTED_EDGE('',*,*,#99625,.T.); #144320=ORIENTED_EDGE('',*,*,#99634,.F.); #144321=ORIENTED_EDGE('',*,*,#99635,.F.); #144322=ORIENTED_EDGE('',*,*,#99571,.F.); #144323=ORIENTED_EDGE('',*,*,#99568,.F.); #144324=ORIENTED_EDGE('',*,*,#99565,.F.); #144325=ORIENTED_EDGE('',*,*,#99562,.F.); #144326=ORIENTED_EDGE('',*,*,#99559,.F.); #144327=ORIENTED_EDGE('',*,*,#99556,.F.); #144328=ORIENTED_EDGE('',*,*,#99553,.F.); #144329=ORIENTED_EDGE('',*,*,#99550,.F.); #144330=ORIENTED_EDGE('',*,*,#99547,.F.); #144331=ORIENTED_EDGE('',*,*,#99544,.F.); #144332=ORIENTED_EDGE('',*,*,#99541,.F.); #144333=ORIENTED_EDGE('',*,*,#99538,.F.); #144334=ORIENTED_EDGE('',*,*,#99535,.F.); #144335=ORIENTED_EDGE('',*,*,#99532,.F.); #144336=ORIENTED_EDGE('',*,*,#99529,.F.); #144337=ORIENTED_EDGE('',*,*,#99526,.F.); #144338=ORIENTED_EDGE('',*,*,#99523,.F.); #144339=ORIENTED_EDGE('',*,*,#99520,.F.); #144340=ORIENTED_EDGE('',*,*,#99636,.F.); #144341=ORIENTED_EDGE('',*,*,#99637,.T.); #144342=ORIENTED_EDGE('',*,*,#99623,.T.); #144343=ORIENTED_EDGE('',*,*,#99620,.T.); #144344=ORIENTED_EDGE('',*,*,#99617,.T.); #144345=ORIENTED_EDGE('',*,*,#99614,.T.); #144346=ORIENTED_EDGE('',*,*,#99611,.T.); #144347=ORIENTED_EDGE('',*,*,#99608,.T.); #144348=ORIENTED_EDGE('',*,*,#99605,.T.); #144349=ORIENTED_EDGE('',*,*,#99602,.T.); #144350=ORIENTED_EDGE('',*,*,#99599,.T.); #144351=ORIENTED_EDGE('',*,*,#99596,.T.); #144352=ORIENTED_EDGE('',*,*,#99593,.T.); #144353=ORIENTED_EDGE('',*,*,#99590,.T.); #144354=ORIENTED_EDGE('',*,*,#99587,.T.); #144355=ORIENTED_EDGE('',*,*,#99584,.T.); #144356=ORIENTED_EDGE('',*,*,#99581,.T.); #144357=ORIENTED_EDGE('',*,*,#99578,.T.); #144358=ORIENTED_EDGE('',*,*,#99575,.T.); #144359=ORIENTED_EDGE('',*,*,#99638,.T.); #144360=ORIENTED_EDGE('',*,*,#99639,.T.); #144361=ORIENTED_EDGE('',*,*,#99640,.T.); #144362=ORIENTED_EDGE('',*,*,#99641,.T.); #144363=ORIENTED_EDGE('',*,*,#99636,.T.); #144364=ORIENTED_EDGE('',*,*,#99621,.T.); #144365=ORIENTED_EDGE('',*,*,#99637,.F.); #144366=ORIENTED_EDGE('',*,*,#99641,.F.); #144367=ORIENTED_EDGE('',*,*,#99642,.T.); #144368=ORIENTED_EDGE('',*,*,#99643,.F.); #144369=ORIENTED_EDGE('',*,*,#99573,.F.); #144370=ORIENTED_EDGE('',*,*,#99577,.F.); #144371=ORIENTED_EDGE('',*,*,#99580,.F.); #144372=ORIENTED_EDGE('',*,*,#99583,.F.); #144373=ORIENTED_EDGE('',*,*,#99586,.F.); #144374=ORIENTED_EDGE('',*,*,#99589,.F.); #144375=ORIENTED_EDGE('',*,*,#99592,.F.); #144376=ORIENTED_EDGE('',*,*,#99595,.F.); #144377=ORIENTED_EDGE('',*,*,#99598,.F.); #144378=ORIENTED_EDGE('',*,*,#99601,.F.); #144379=ORIENTED_EDGE('',*,*,#99604,.F.); #144380=ORIENTED_EDGE('',*,*,#99607,.F.); #144381=ORIENTED_EDGE('',*,*,#99610,.F.); #144382=ORIENTED_EDGE('',*,*,#99613,.F.); #144383=ORIENTED_EDGE('',*,*,#99616,.F.); #144384=ORIENTED_EDGE('',*,*,#99619,.F.); #144385=ORIENTED_EDGE('',*,*,#99622,.F.); #144386=ORIENTED_EDGE('',*,*,#99642,.F.); #144387=ORIENTED_EDGE('',*,*,#99640,.F.); #144388=ORIENTED_EDGE('',*,*,#99518,.F.); #144389=ORIENTED_EDGE('',*,*,#99522,.F.); #144390=ORIENTED_EDGE('',*,*,#99525,.F.); #144391=ORIENTED_EDGE('',*,*,#99528,.F.); #144392=ORIENTED_EDGE('',*,*,#99531,.F.); #144393=ORIENTED_EDGE('',*,*,#99534,.F.); #144394=ORIENTED_EDGE('',*,*,#99537,.F.); #144395=ORIENTED_EDGE('',*,*,#99540,.F.); #144396=ORIENTED_EDGE('',*,*,#99543,.F.); #144397=ORIENTED_EDGE('',*,*,#99546,.F.); #144398=ORIENTED_EDGE('',*,*,#99549,.F.); #144399=ORIENTED_EDGE('',*,*,#99552,.F.); #144400=ORIENTED_EDGE('',*,*,#99555,.F.); #144401=ORIENTED_EDGE('',*,*,#99558,.F.); #144402=ORIENTED_EDGE('',*,*,#99561,.F.); #144403=ORIENTED_EDGE('',*,*,#99564,.F.); #144404=ORIENTED_EDGE('',*,*,#99567,.F.); #144405=ORIENTED_EDGE('',*,*,#99570,.F.); #144406=ORIENTED_EDGE('',*,*,#99644,.F.); #144407=ORIENTED_EDGE('',*,*,#99628,.T.); #144408=ORIENTED_EDGE('',*,*,#99633,.T.); #144409=ORIENTED_EDGE('',*,*,#99631,.T.); #144410=ORIENTED_EDGE('',*,*,#99645,.T.); #144411=ORIENTED_EDGE('',*,*,#99646,.T.); #144412=ORIENTED_EDGE('',*,*,#99647,.T.); #144413=ORIENTED_EDGE('',*,*,#99648,.T.); #144414=ORIENTED_EDGE('',*,*,#99649,.T.); #144415=ORIENTED_EDGE('',*,*,#99650,.T.); #144416=ORIENTED_EDGE('',*,*,#99651,.T.); #144417=ORIENTED_EDGE('',*,*,#99652,.T.); #144418=ORIENTED_EDGE('',*,*,#99653,.T.); #144419=ORIENTED_EDGE('',*,*,#99654,.T.); #144420=ORIENTED_EDGE('',*,*,#99655,.T.); #144421=ORIENTED_EDGE('',*,*,#99656,.T.); #144422=ORIENTED_EDGE('',*,*,#99657,.T.); #144423=ORIENTED_EDGE('',*,*,#99658,.T.); #144424=ORIENTED_EDGE('',*,*,#99659,.T.); #144425=ORIENTED_EDGE('',*,*,#99660,.T.); #144426=ORIENTED_EDGE('',*,*,#99661,.T.); #144427=ORIENTED_EDGE('',*,*,#99662,.T.); #144428=ORIENTED_EDGE('',*,*,#99663,.T.); #144429=ORIENTED_EDGE('',*,*,#99664,.T.); #144430=ORIENTED_EDGE('',*,*,#99665,.T.); #144431=ORIENTED_EDGE('',*,*,#99666,.T.); #144432=ORIENTED_EDGE('',*,*,#99667,.T.); #144433=ORIENTED_EDGE('',*,*,#99668,.T.); #144434=ORIENTED_EDGE('',*,*,#99669,.T.); #144435=ORIENTED_EDGE('',*,*,#99670,.T.); #144436=ORIENTED_EDGE('',*,*,#99671,.T.); #144437=ORIENTED_EDGE('',*,*,#99672,.T.); #144438=ORIENTED_EDGE('',*,*,#99673,.T.); #144439=ORIENTED_EDGE('',*,*,#99674,.T.); #144440=ORIENTED_EDGE('',*,*,#99634,.T.); #144441=ORIENTED_EDGE('',*,*,#99574,.T.); #144442=ORIENTED_EDGE('',*,*,#99643,.T.); #144443=ORIENTED_EDGE('',*,*,#99675,.F.); #144444=ORIENTED_EDGE('',*,*,#99676,.T.); #144445=ORIENTED_EDGE('',*,*,#99677,.T.); #144446=ORIENTED_EDGE('',*,*,#99678,.T.); #144447=ORIENTED_EDGE('',*,*,#99679,.T.); #144448=ORIENTED_EDGE('',*,*,#99680,.T.); #144449=ORIENTED_EDGE('',*,*,#99681,.T.); #144450=ORIENTED_EDGE('',*,*,#99682,.F.); #144451=ORIENTED_EDGE('',*,*,#99683,.F.); #144452=ORIENTED_EDGE('',*,*,#99684,.T.); #144453=ORIENTED_EDGE('',*,*,#99682,.T.); #144454=ORIENTED_EDGE('',*,*,#99684,.F.); #144455=ORIENTED_EDGE('',*,*,#99683,.T.); #144456=ORIENTED_EDGE('',*,*,#99569,.T.); #144457=ORIENTED_EDGE('',*,*,#99635,.T.); #144458=ORIENTED_EDGE('',*,*,#99675,.T.); #144459=ORIENTED_EDGE('',*,*,#99644,.T.); #144460=ORIENTED_EDGE('',*,*,#99638,.F.); #144461=ORIENTED_EDGE('',*,*,#99519,.F.); #144462=ORIENTED_EDGE('',*,*,#99639,.F.); #144463=ORIENTED_EDGE('',*,*,#99685,.T.); #144464=ORIENTED_EDGE('',*,*,#99686,.F.); #144465=ORIENTED_EDGE('',*,*,#99685,.F.); #144466=ORIENTED_EDGE('',*,*,#99686,.T.); #144467=ORIENTED_EDGE('',*,*,#99687,.T.); #144468=ORIENTED_EDGE('',*,*,#99624,.F.); #144469=ORIENTED_EDGE('',*,*,#99687,.F.); #144470=ORIENTED_EDGE('',*,*,#99688,.F.); #144471=ORIENTED_EDGE('',*,*,#99659,.F.); #144472=ORIENTED_EDGE('',*,*,#99689,.F.); #144473=ORIENTED_EDGE('',*,*,#99662,.F.); #144474=ORIENTED_EDGE('',*,*,#99690,.F.); #144475=ORIENTED_EDGE('',*,*,#99688,.T.); #144476=ORIENTED_EDGE('',*,*,#99691,.F.); #144477=ORIENTED_EDGE('',*,*,#99692,.F.); #144478=ORIENTED_EDGE('',*,*,#99689,.T.); #144479=ORIENTED_EDGE('',*,*,#99693,.F.); #144480=ORIENTED_EDGE('',*,*,#99691,.T.); #144481=ORIENTED_EDGE('',*,*,#99661,.F.); #144482=ORIENTED_EDGE('',*,*,#99694,.F.); #144483=ORIENTED_EDGE('',*,*,#99674,.F.); #144484=ORIENTED_EDGE('',*,*,#99690,.T.); #144485=ORIENTED_EDGE('',*,*,#99673,.F.); #144486=ORIENTED_EDGE('',*,*,#99695,.F.); #144487=ORIENTED_EDGE('',*,*,#99660,.F.); #144488=ORIENTED_EDGE('',*,*,#99696,.F.); #144489=ORIENTED_EDGE('',*,*,#99657,.F.); #144490=ORIENTED_EDGE('',*,*,#99697,.F.); #144491=ORIENTED_EDGE('',*,*,#99655,.F.); #144492=ORIENTED_EDGE('',*,*,#99693,.T.); #144493=ORIENTED_EDGE('',*,*,#99658,.F.); #144494=ORIENTED_EDGE('',*,*,#99698,.F.); #144495=ORIENTED_EDGE('',*,*,#99680,.F.); #144496=ORIENTED_EDGE('',*,*,#99692,.T.); #144497=ORIENTED_EDGE('',*,*,#99679,.F.); #144498=ORIENTED_EDGE('',*,*,#99699,.F.); #144499=ORIENTED_EDGE('',*,*,#99663,.F.); #144500=ORIENTED_EDGE('',*,*,#99700,.F.); #144501=ORIENTED_EDGE('',*,*,#99664,.F.); #144502=ORIENTED_EDGE('',*,*,#99701,.F.); #144503=ORIENTED_EDGE('',*,*,#99668,.F.); #144504=ORIENTED_EDGE('',*,*,#99694,.T.); #144505=ORIENTED_EDGE('',*,*,#99700,.T.); #144506=ORIENTED_EDGE('',*,*,#99702,.F.); #144507=ORIENTED_EDGE('',*,*,#99697,.T.); #144508=ORIENTED_EDGE('',*,*,#99695,.T.); #144509=ORIENTED_EDGE('',*,*,#99703,.F.); #144510=ORIENTED_EDGE('',*,*,#99698,.T.); #144511=ORIENTED_EDGE('',*,*,#99696,.T.); #144512=ORIENTED_EDGE('',*,*,#99704,.F.); #144513=ORIENTED_EDGE('',*,*,#99701,.T.); #144514=ORIENTED_EDGE('',*,*,#99699,.T.); #144515=ORIENTED_EDGE('',*,*,#99705,.F.); #144516=ORIENTED_EDGE('',*,*,#99702,.T.); #144517=ORIENTED_EDGE('',*,*,#99667,.F.); #144518=ORIENTED_EDGE('',*,*,#99706,.F.); #144519=ORIENTED_EDGE('',*,*,#99669,.F.); #144520=ORIENTED_EDGE('',*,*,#99703,.T.); #144521=ORIENTED_EDGE('',*,*,#99672,.F.); #144522=ORIENTED_EDGE('',*,*,#99707,.F.); #144523=ORIENTED_EDGE('',*,*,#99656,.F.); #144524=ORIENTED_EDGE('',*,*,#99708,.F.); #144525=ORIENTED_EDGE('',*,*,#99653,.F.); #144526=ORIENTED_EDGE('',*,*,#99709,.F.); #144527=ORIENTED_EDGE('',*,*,#99651,.F.); #144528=ORIENTED_EDGE('',*,*,#99704,.T.); #144529=ORIENTED_EDGE('',*,*,#99654,.F.); #144530=ORIENTED_EDGE('',*,*,#99710,.F.); #144531=ORIENTED_EDGE('',*,*,#99681,.F.); #144532=ORIENTED_EDGE('',*,*,#99705,.T.); #144533=ORIENTED_EDGE('',*,*,#99678,.F.); #144534=ORIENTED_EDGE('',*,*,#99711,.F.); #144535=ORIENTED_EDGE('',*,*,#99665,.F.); #144536=ORIENTED_EDGE('',*,*,#99712,.F.); #144537=ORIENTED_EDGE('',*,*,#99666,.F.); #144538=ORIENTED_EDGE('',*,*,#99713,.F.); #144539=ORIENTED_EDGE('',*,*,#99645,.F.); #144540=ORIENTED_EDGE('',*,*,#99706,.T.); #144541=ORIENTED_EDGE('',*,*,#99712,.T.); #144542=ORIENTED_EDGE('',*,*,#99714,.F.); #144543=ORIENTED_EDGE('',*,*,#99709,.T.); #144544=ORIENTED_EDGE('',*,*,#99707,.T.); #144545=ORIENTED_EDGE('',*,*,#99715,.F.); #144546=ORIENTED_EDGE('',*,*,#99710,.T.); #144547=ORIENTED_EDGE('',*,*,#99708,.T.); #144548=ORIENTED_EDGE('',*,*,#99716,.F.); #144549=ORIENTED_EDGE('',*,*,#99713,.T.); #144550=ORIENTED_EDGE('',*,*,#99711,.T.); #144551=ORIENTED_EDGE('',*,*,#99717,.F.); #144552=ORIENTED_EDGE('',*,*,#99714,.T.); #144553=ORIENTED_EDGE('',*,*,#99648,.F.); #144554=ORIENTED_EDGE('',*,*,#99718,.F.); #144555=ORIENTED_EDGE('',*,*,#99670,.F.); #144556=ORIENTED_EDGE('',*,*,#99715,.T.); #144557=ORIENTED_EDGE('',*,*,#99671,.F.); #144558=ORIENTED_EDGE('',*,*,#99719,.F.); #144559=ORIENTED_EDGE('',*,*,#99652,.F.); #144560=ORIENTED_EDGE('',*,*,#99716,.T.); #144561=ORIENTED_EDGE('',*,*,#99650,.F.); #144562=ORIENTED_EDGE('',*,*,#99720,.F.); #144563=ORIENTED_EDGE('',*,*,#99676,.F.); #144564=ORIENTED_EDGE('',*,*,#99717,.T.); #144565=ORIENTED_EDGE('',*,*,#99677,.F.); #144566=ORIENTED_EDGE('',*,*,#99721,.F.); #144567=ORIENTED_EDGE('',*,*,#99646,.F.); #144568=ORIENTED_EDGE('',*,*,#99719,.T.); #144569=ORIENTED_EDGE('',*,*,#99718,.T.); #144570=ORIENTED_EDGE('',*,*,#99722,.F.); #144571=ORIENTED_EDGE('',*,*,#99721,.T.); #144572=ORIENTED_EDGE('',*,*,#99720,.T.); #144573=ORIENTED_EDGE('',*,*,#99723,.F.); #144574=ORIENTED_EDGE('',*,*,#99722,.T.); #144575=ORIENTED_EDGE('',*,*,#99647,.F.); #144576=ORIENTED_EDGE('',*,*,#99723,.T.); #144577=ORIENTED_EDGE('',*,*,#99649,.F.); #144578=ORIENTED_EDGE('',*,*,#99724,.T.); #144579=ORIENTED_EDGE('',*,*,#99725,.F.); #144580=ORIENTED_EDGE('',*,*,#99725,.T.); #144581=ORIENTED_EDGE('',*,*,#99724,.F.); #144582=ORIENTED_EDGE('',*,*,#99726,.T.); #144583=ORIENTED_EDGE('',*,*,#99727,.T.); #144584=ORIENTED_EDGE('',*,*,#99726,.F.); #144585=ORIENTED_EDGE('',*,*,#99727,.F.); #144586=ORIENTED_EDGE('',*,*,#99728,.T.); #144587=ORIENTED_EDGE('',*,*,#99728,.F.); #144588=ORIENTED_EDGE('',*,*,#99729,.T.); #144589=ORIENTED_EDGE('',*,*,#99730,.F.); #144590=ORIENTED_EDGE('',*,*,#99730,.T.); #144591=ORIENTED_EDGE('',*,*,#99731,.T.); #144592=ORIENTED_EDGE('',*,*,#99729,.F.); #144593=ORIENTED_EDGE('',*,*,#99732,.T.); #144594=ORIENTED_EDGE('',*,*,#99733,.T.); #144595=ORIENTED_EDGE('',*,*,#99734,.T.); #144596=ORIENTED_EDGE('',*,*,#99732,.F.); #144597=ORIENTED_EDGE('',*,*,#99731,.F.); #144598=ORIENTED_EDGE('',*,*,#99733,.F.); #144599=ORIENTED_EDGE('',*,*,#99734,.F.); #144600=ORIENTED_EDGE('',*,*,#99735,.T.); #144601=ORIENTED_EDGE('',*,*,#99735,.F.); #144602=ORIENTED_EDGE('',*,*,#99736,.T.); #144603=ORIENTED_EDGE('',*,*,#99737,.F.); #144604=ORIENTED_EDGE('',*,*,#99737,.T.); #144605=ORIENTED_EDGE('',*,*,#99738,.T.); #144606=ORIENTED_EDGE('',*,*,#99736,.F.); #144607=ORIENTED_EDGE('',*,*,#99739,.T.); #144608=ORIENTED_EDGE('',*,*,#99740,.T.); #144609=ORIENTED_EDGE('',*,*,#99741,.T.); #144610=ORIENTED_EDGE('',*,*,#99739,.F.); #144611=ORIENTED_EDGE('',*,*,#99738,.F.); #144612=ORIENTED_EDGE('',*,*,#99740,.F.); #144613=ORIENTED_EDGE('',*,*,#99741,.F.); #144614=ORIENTED_EDGE('',*,*,#99742,.T.); #144615=ORIENTED_EDGE('',*,*,#99742,.F.); #144616=ORIENTED_EDGE('',*,*,#99743,.T.); #144617=ORIENTED_EDGE('',*,*,#99744,.F.); #144618=ORIENTED_EDGE('',*,*,#99744,.T.); #144619=ORIENTED_EDGE('',*,*,#99745,.T.); #144620=ORIENTED_EDGE('',*,*,#99743,.F.); #144621=ORIENTED_EDGE('',*,*,#99746,.T.); #144622=ORIENTED_EDGE('',*,*,#99747,.T.); #144623=ORIENTED_EDGE('',*,*,#99748,.T.); #144624=ORIENTED_EDGE('',*,*,#99746,.F.); #144625=ORIENTED_EDGE('',*,*,#99745,.F.); #144626=ORIENTED_EDGE('',*,*,#99747,.F.); #144627=ORIENTED_EDGE('',*,*,#99748,.F.); #144628=ORIENTED_EDGE('',*,*,#99749,.T.); #144629=ORIENTED_EDGE('',*,*,#99749,.F.); #144630=ORIENTED_EDGE('',*,*,#99750,.F.); #144631=ORIENTED_EDGE('',*,*,#99751,.T.); #144632=ORIENTED_EDGE('',*,*,#99752,.T.); #144633=ORIENTED_EDGE('',*,*,#99751,.F.); #144634=ORIENTED_EDGE('',*,*,#99752,.F.); #144635=ORIENTED_EDGE('',*,*,#99753,.T.); #144636=ORIENTED_EDGE('',*,*,#99750,.T.); #144637=ORIENTED_EDGE('',*,*,#99753,.F.); #144638=ORIENTED_EDGE('',*,*,#99754,.T.); #144639=ORIENTED_EDGE('',*,*,#99755,.T.); #144640=ORIENTED_EDGE('',*,*,#99756,.T.); #144641=ORIENTED_EDGE('',*,*,#99757,.T.); #144642=ORIENTED_EDGE('',*,*,#99758,.T.); #144643=ORIENTED_EDGE('',*,*,#99759,.T.); #144644=ORIENTED_EDGE('',*,*,#99760,.T.); #144645=ORIENTED_EDGE('',*,*,#99761,.T.); #144646=ORIENTED_EDGE('',*,*,#99762,.T.); #144647=ORIENTED_EDGE('',*,*,#99763,.T.); #144648=ORIENTED_EDGE('',*,*,#99764,.T.); #144649=ORIENTED_EDGE('',*,*,#99765,.T.); #144650=ORIENTED_EDGE('',*,*,#99766,.T.); #144651=ORIENTED_EDGE('',*,*,#99767,.T.); #144652=ORIENTED_EDGE('',*,*,#99768,.T.); #144653=ORIENTED_EDGE('',*,*,#99769,.T.); #144654=ORIENTED_EDGE('',*,*,#99770,.T.); #144655=ORIENTED_EDGE('',*,*,#99771,.T.); #144656=ORIENTED_EDGE('',*,*,#99772,.T.); #144657=ORIENTED_EDGE('',*,*,#99773,.T.); #144658=ORIENTED_EDGE('',*,*,#99774,.T.); #144659=ORIENTED_EDGE('',*,*,#99775,.T.); #144660=ORIENTED_EDGE('',*,*,#99776,.T.); #144661=ORIENTED_EDGE('',*,*,#99777,.T.); #144662=ORIENTED_EDGE('',*,*,#99778,.T.); #144663=ORIENTED_EDGE('',*,*,#99779,.T.); #144664=ORIENTED_EDGE('',*,*,#99780,.T.); #144665=ORIENTED_EDGE('',*,*,#99781,.T.); #144666=ORIENTED_EDGE('',*,*,#99782,.T.); #144667=ORIENTED_EDGE('',*,*,#99783,.T.); #144668=ORIENTED_EDGE('',*,*,#99784,.T.); #144669=ORIENTED_EDGE('',*,*,#99785,.T.); #144670=ORIENTED_EDGE('',*,*,#99786,.T.); #144671=ORIENTED_EDGE('',*,*,#99787,.T.); #144672=ORIENTED_EDGE('',*,*,#99788,.T.); #144673=ORIENTED_EDGE('',*,*,#99789,.T.); #144674=ORIENTED_EDGE('',*,*,#99790,.T.); #144675=ORIENTED_EDGE('',*,*,#99791,.F.); #144676=ORIENTED_EDGE('',*,*,#99792,.F.); #144677=ORIENTED_EDGE('',*,*,#99778,.F.); #144678=ORIENTED_EDGE('',*,*,#99793,.F.); #144679=ORIENTED_EDGE('',*,*,#99773,.F.); #144680=ORIENTED_EDGE('',*,*,#99794,.F.); #144681=ORIENTED_EDGE('',*,*,#99792,.T.); #144682=ORIENTED_EDGE('',*,*,#99795,.F.); #144683=ORIENTED_EDGE('',*,*,#99796,.F.); #144684=ORIENTED_EDGE('',*,*,#99793,.T.); #144685=ORIENTED_EDGE('',*,*,#99797,.F.); #144686=ORIENTED_EDGE('',*,*,#99795,.T.); #144687=ORIENTED_EDGE('',*,*,#99772,.F.); #144688=ORIENTED_EDGE('',*,*,#99798,.F.); #144689=ORIENTED_EDGE('',*,*,#99774,.F.); #144690=ORIENTED_EDGE('',*,*,#99794,.T.); #144691=ORIENTED_EDGE('',*,*,#99777,.F.); #144692=ORIENTED_EDGE('',*,*,#99799,.F.); #144693=ORIENTED_EDGE('',*,*,#99779,.F.); #144694=ORIENTED_EDGE('',*,*,#99797,.T.); #144695=ORIENTED_EDGE('',*,*,#99783,.F.); #144696=ORIENTED_EDGE('',*,*,#99800,.F.); #144697=ORIENTED_EDGE('',*,*,#99769,.F.); #144698=ORIENTED_EDGE('',*,*,#99796,.T.); #144699=ORIENTED_EDGE('',*,*,#99768,.F.); #144700=ORIENTED_EDGE('',*,*,#99801,.F.); #144701=ORIENTED_EDGE('',*,*,#99770,.F.); #144702=ORIENTED_EDGE('',*,*,#99802,.F.); #144703=ORIENTED_EDGE('',*,*,#99771,.F.); #144704=ORIENTED_EDGE('',*,*,#99803,.F.); #144705=ORIENTED_EDGE('',*,*,#99789,.F.); #144706=ORIENTED_EDGE('',*,*,#99798,.T.); #144707=ORIENTED_EDGE('',*,*,#99802,.T.); #144708=ORIENTED_EDGE('',*,*,#99804,.F.); #144709=ORIENTED_EDGE('',*,*,#99805,.F.); #144710=ORIENTED_EDGE('',*,*,#99799,.T.); #144711=ORIENTED_EDGE('',*,*,#99806,.F.); #144712=ORIENTED_EDGE('',*,*,#99807,.F.); #144713=ORIENTED_EDGE('',*,*,#99800,.T.); #144714=ORIENTED_EDGE('',*,*,#99808,.F.); #144715=ORIENTED_EDGE('',*,*,#99803,.T.); #144716=ORIENTED_EDGE('',*,*,#99801,.T.); #144717=ORIENTED_EDGE('',*,*,#99809,.F.); #144718=ORIENTED_EDGE('',*,*,#99804,.T.); #144719=ORIENTED_EDGE('',*,*,#99788,.F.); #144720=ORIENTED_EDGE('',*,*,#99810,.F.); #144721=ORIENTED_EDGE('',*,*,#99775,.F.); #144722=ORIENTED_EDGE('',*,*,#99806,.T.); #144723=ORIENTED_EDGE('',*,*,#99776,.F.); #144724=ORIENTED_EDGE('',*,*,#99811,.F.); #144725=ORIENTED_EDGE('',*,*,#99754,.F.); #144726=ORIENTED_EDGE('',*,*,#99805,.T.); #144727=ORIENTED_EDGE('',*,*,#99757,.F.); #144728=ORIENTED_EDGE('',*,*,#99812,.F.); #144729=ORIENTED_EDGE('',*,*,#99780,.F.); #144730=ORIENTED_EDGE('',*,*,#99813,.F.); #144731=ORIENTED_EDGE('',*,*,#99781,.F.); #144732=ORIENTED_EDGE('',*,*,#99814,.F.); #144733=ORIENTED_EDGE('',*,*,#99761,.F.); #144734=ORIENTED_EDGE('',*,*,#99808,.T.); #144735=ORIENTED_EDGE('',*,*,#99782,.F.); #144736=ORIENTED_EDGE('',*,*,#99815,.F.); #144737=ORIENTED_EDGE('',*,*,#99765,.F.); #144738=ORIENTED_EDGE('',*,*,#99807,.T.); #144739=ORIENTED_EDGE('',*,*,#99764,.F.); #144740=ORIENTED_EDGE('',*,*,#99816,.F.); #144741=ORIENTED_EDGE('',*,*,#99766,.F.); #144742=ORIENTED_EDGE('',*,*,#99809,.T.); #144743=ORIENTED_EDGE('',*,*,#99767,.F.); #144744=ORIENTED_EDGE('',*,*,#99817,.F.); #144745=ORIENTED_EDGE('',*,*,#99790,.F.); #144746=ORIENTED_EDGE('',*,*,#99811,.T.); #144747=ORIENTED_EDGE('',*,*,#99810,.T.); #144748=ORIENTED_EDGE('',*,*,#99818,.F.); #144749=ORIENTED_EDGE('',*,*,#99814,.T.); #144750=ORIENTED_EDGE('',*,*,#99812,.T.); #144751=ORIENTED_EDGE('',*,*,#99819,.F.); #144752=ORIENTED_EDGE('',*,*,#99815,.T.); #144753=ORIENTED_EDGE('',*,*,#99813,.T.); #144754=ORIENTED_EDGE('',*,*,#99820,.F.); #144755=ORIENTED_EDGE('',*,*,#99817,.T.); #144756=ORIENTED_EDGE('',*,*,#99816,.T.); #144757=ORIENTED_EDGE('',*,*,#99821,.F.); #144758=ORIENTED_EDGE('',*,*,#99818,.T.); #144759=ORIENTED_EDGE('',*,*,#99787,.F.); #144760=ORIENTED_EDGE('',*,*,#99822,.F.); #144761=ORIENTED_EDGE('',*,*,#99755,.F.); #144762=ORIENTED_EDGE('',*,*,#99819,.T.); #144763=ORIENTED_EDGE('',*,*,#99756,.F.); #144764=ORIENTED_EDGE('',*,*,#99823,.F.); #144765=ORIENTED_EDGE('',*,*,#99758,.F.); #144766=ORIENTED_EDGE('',*,*,#99820,.T.); #144767=ORIENTED_EDGE('',*,*,#99760,.F.); #144768=ORIENTED_EDGE('',*,*,#99824,.F.); #144769=ORIENTED_EDGE('',*,*,#99762,.F.); #144770=ORIENTED_EDGE('',*,*,#99821,.T.); #144771=ORIENTED_EDGE('',*,*,#99763,.F.); #144772=ORIENTED_EDGE('',*,*,#99825,.F.); #144773=ORIENTED_EDGE('',*,*,#99785,.F.); #144774=ORIENTED_EDGE('',*,*,#99823,.T.); #144775=ORIENTED_EDGE('',*,*,#99822,.T.); #144776=ORIENTED_EDGE('',*,*,#99826,.F.); #144777=ORIENTED_EDGE('',*,*,#99825,.T.); #144778=ORIENTED_EDGE('',*,*,#99824,.T.); #144779=ORIENTED_EDGE('',*,*,#99827,.F.); #144780=ORIENTED_EDGE('',*,*,#99826,.T.); #144781=ORIENTED_EDGE('',*,*,#99786,.F.); #144782=ORIENTED_EDGE('',*,*,#99827,.T.); #144783=ORIENTED_EDGE('',*,*,#99759,.F.); #144784=ORIENTED_EDGE('',*,*,#99784,.F.); #144785=ORIENTED_EDGE('',*,*,#99828,.T.); #144786=ORIENTED_EDGE('',*,*,#99791,.T.); #144787=ORIENTED_EDGE('',*,*,#99828,.F.); #144788=ORIENTED_EDGE('',*,*,#99829,.F.); #144789=ORIENTED_EDGE('',*,*,#99830,.F.); #144790=ORIENTED_EDGE('',*,*,#99831,.F.); #144791=ORIENTED_EDGE('',*,*,#99832,.T.); #144792=ORIENTED_EDGE('',*,*,#99833,.T.); #144793=ORIENTED_EDGE('',*,*,#99834,.T.); #144794=ORIENTED_EDGE('',*,*,#99835,.T.); #144795=ORIENTED_EDGE('',*,*,#99836,.T.); #144796=ORIENTED_EDGE('',*,*,#99837,.T.); #144797=ORIENTED_EDGE('',*,*,#99838,.T.); #144798=ORIENTED_EDGE('',*,*,#99839,.T.); #144799=ORIENTED_EDGE('',*,*,#99840,.T.); #144800=ORIENTED_EDGE('',*,*,#99841,.T.); #144801=ORIENTED_EDGE('',*,*,#99842,.T.); #144802=ORIENTED_EDGE('',*,*,#99843,.F.); #144803=ORIENTED_EDGE('',*,*,#99844,.F.); #144804=ORIENTED_EDGE('',*,*,#99845,.F.); #144805=ORIENTED_EDGE('',*,*,#99846,.F.); #144806=ORIENTED_EDGE('',*,*,#99847,.F.); #144807=ORIENTED_EDGE('',*,*,#99848,.F.); #144808=ORIENTED_EDGE('',*,*,#99849,.F.); #144809=ORIENTED_EDGE('',*,*,#99850,.F.); #144810=ORIENTED_EDGE('',*,*,#99851,.F.); #144811=ORIENTED_EDGE('',*,*,#99852,.F.); #144812=ORIENTED_EDGE('',*,*,#99853,.F.); #144813=ORIENTED_EDGE('',*,*,#99854,.F.); #144814=ORIENTED_EDGE('',*,*,#99855,.F.); #144815=ORIENTED_EDGE('',*,*,#99856,.F.); #144816=ORIENTED_EDGE('',*,*,#99857,.F.); #144817=ORIENTED_EDGE('',*,*,#99858,.F.); #144818=ORIENTED_EDGE('',*,*,#99859,.F.); #144819=ORIENTED_EDGE('',*,*,#99860,.F.); #144820=ORIENTED_EDGE('',*,*,#99861,.F.); #144821=ORIENTED_EDGE('',*,*,#99862,.F.); #144822=ORIENTED_EDGE('',*,*,#99863,.F.); #144823=ORIENTED_EDGE('',*,*,#99864,.F.); #144824=ORIENTED_EDGE('',*,*,#99865,.F.); #144825=ORIENTED_EDGE('',*,*,#99866,.F.); #144826=ORIENTED_EDGE('',*,*,#99867,.F.); #144827=ORIENTED_EDGE('',*,*,#99868,.F.); #144828=ORIENTED_EDGE('',*,*,#99869,.F.); #144829=ORIENTED_EDGE('',*,*,#99870,.F.); #144830=ORIENTED_EDGE('',*,*,#99871,.F.); #144831=ORIENTED_EDGE('',*,*,#99851,.T.); #144832=ORIENTED_EDGE('',*,*,#99872,.T.); #144833=ORIENTED_EDGE('',*,*,#99829,.T.); #144834=ORIENTED_EDGE('',*,*,#99873,.F.); #144835=ORIENTED_EDGE('',*,*,#99874,.F.); #144836=ORIENTED_EDGE('',*,*,#99875,.T.); #144837=ORIENTED_EDGE('',*,*,#99876,.T.); #144838=ORIENTED_EDGE('',*,*,#99877,.F.); #144839=ORIENTED_EDGE('',*,*,#99878,.F.); #144840=ORIENTED_EDGE('',*,*,#99879,.F.); #144841=ORIENTED_EDGE('',*,*,#99880,.F.); #144842=ORIENTED_EDGE('',*,*,#99881,.F.); #144843=ORIENTED_EDGE('',*,*,#99882,.F.); #144844=ORIENTED_EDGE('',*,*,#99883,.F.); #144845=ORIENTED_EDGE('',*,*,#99884,.F.); #144846=ORIENTED_EDGE('',*,*,#99885,.F.); #144847=ORIENTED_EDGE('',*,*,#99886,.F.); #144848=ORIENTED_EDGE('',*,*,#99887,.F.); #144849=ORIENTED_EDGE('',*,*,#99888,.T.); #144850=ORIENTED_EDGE('',*,*,#99889,.T.); #144851=ORIENTED_EDGE('',*,*,#99890,.T.); #144852=ORIENTED_EDGE('',*,*,#99891,.F.); #144853=ORIENTED_EDGE('',*,*,#99892,.T.); #144854=ORIENTED_EDGE('',*,*,#99893,.T.); #144855=ORIENTED_EDGE('',*,*,#99894,.T.); #144856=ORIENTED_EDGE('',*,*,#99895,.T.); #144857=ORIENTED_EDGE('',*,*,#99896,.T.); #144858=ORIENTED_EDGE('',*,*,#99897,.F.); #144859=ORIENTED_EDGE('',*,*,#99898,.T.); #144860=ORIENTED_EDGE('',*,*,#99890,.F.); #144861=ORIENTED_EDGE('',*,*,#99899,.T.); #144862=ORIENTED_EDGE('',*,*,#99892,.F.); #144863=ORIENTED_EDGE('',*,*,#99900,.T.); #144864=ORIENTED_EDGE('',*,*,#99901,.F.); #144865=ORIENTED_EDGE('',*,*,#99902,.F.); #144866=ORIENTED_EDGE('',*,*,#99875,.F.); #144867=ORIENTED_EDGE('',*,*,#99903,.T.); #144868=ORIENTED_EDGE('',*,*,#99904,.F.); #144869=ORIENTED_EDGE('',*,*,#99905,.F.); #144870=ORIENTED_EDGE('',*,*,#99906,.F.); #144871=ORIENTED_EDGE('',*,*,#99907,.T.); #144872=ORIENTED_EDGE('',*,*,#99896,.F.); #144873=ORIENTED_EDGE('',*,*,#99908,.T.); #144874=ORIENTED_EDGE('',*,*,#99909,.T.); #144875=ORIENTED_EDGE('',*,*,#99860,.T.); #144876=ORIENTED_EDGE('',*,*,#99910,.T.); #144877=ORIENTED_EDGE('',*,*,#99911,.F.); #144878=ORIENTED_EDGE('',*,*,#99912,.T.); #144879=ORIENTED_EDGE('',*,*,#99866,.T.); #144880=ORIENTED_EDGE('',*,*,#99913,.T.); #144881=ORIENTED_EDGE('',*,*,#99914,.F.); #144882=ORIENTED_EDGE('',*,*,#99915,.T.); #144883=ORIENTED_EDGE('',*,*,#99916,.F.); #144884=ORIENTED_EDGE('',*,*,#99917,.T.); #144885=ORIENTED_EDGE('',*,*,#99858,.T.); #144886=ORIENTED_EDGE('',*,*,#99918,.F.); #144887=ORIENTED_EDGE('',*,*,#99919,.F.); #144888=ORIENTED_EDGE('',*,*,#99920,.F.); #144889=ORIENTED_EDGE('',*,*,#99868,.T.); #144890=ORIENTED_EDGE('',*,*,#99918,.T.); #144891=ORIENTED_EDGE('',*,*,#99867,.T.); #144892=ORIENTED_EDGE('',*,*,#99912,.F.); #144893=ORIENTED_EDGE('',*,*,#99921,.F.); #144894=ORIENTED_EDGE('',*,*,#99922,.F.); #144895=ORIENTED_EDGE('',*,*,#99923,.F.); #144896=ORIENTED_EDGE('',*,*,#99924,.T.); #144897=ORIENTED_EDGE('',*,*,#99864,.T.); #144898=ORIENTED_EDGE('',*,*,#99922,.T.); #144899=ORIENTED_EDGE('',*,*,#99863,.T.); #144900=ORIENTED_EDGE('',*,*,#99925,.F.); #144901=ORIENTED_EDGE('',*,*,#99926,.F.); #144902=ORIENTED_EDGE('',*,*,#99925,.T.); #144903=ORIENTED_EDGE('',*,*,#99862,.T.); #144904=ORIENTED_EDGE('',*,*,#99927,.F.); #144905=ORIENTED_EDGE('',*,*,#99928,.F.); #144906=ORIENTED_EDGE('',*,*,#99927,.T.); #144907=ORIENTED_EDGE('',*,*,#99861,.T.); #144908=ORIENTED_EDGE('',*,*,#99909,.F.); #144909=ORIENTED_EDGE('',*,*,#99929,.F.); #144910=ORIENTED_EDGE('',*,*,#99877,.T.); #144911=ORIENTED_EDGE('',*,*,#99930,.T.); #144912=ORIENTED_EDGE('',*,*,#99931,.F.); #144913=ORIENTED_EDGE('',*,*,#99915,.F.); #144914=ORIENTED_EDGE('',*,*,#99857,.T.); #144915=ORIENTED_EDGE('',*,*,#99932,.T.); #144916=ORIENTED_EDGE('',*,*,#99842,.F.); #144917=ORIENTED_EDGE('',*,*,#99933,.T.); #144918=ORIENTED_EDGE('',*,*,#99878,.T.); #144919=ORIENTED_EDGE('',*,*,#99933,.F.); #144920=ORIENTED_EDGE('',*,*,#99841,.F.); #144921=ORIENTED_EDGE('',*,*,#99934,.T.); #144922=ORIENTED_EDGE('',*,*,#99879,.T.); #144923=ORIENTED_EDGE('',*,*,#99934,.F.); #144924=ORIENTED_EDGE('',*,*,#99840,.F.); #144925=ORIENTED_EDGE('',*,*,#99935,.T.); #144926=ORIENTED_EDGE('',*,*,#99880,.T.); #144927=ORIENTED_EDGE('',*,*,#99935,.F.); #144928=ORIENTED_EDGE('',*,*,#99839,.F.); #144929=ORIENTED_EDGE('',*,*,#99936,.T.); #144930=ORIENTED_EDGE('',*,*,#99881,.T.); #144931=ORIENTED_EDGE('',*,*,#99936,.F.); #144932=ORIENTED_EDGE('',*,*,#99838,.F.); #144933=ORIENTED_EDGE('',*,*,#99937,.T.); #144934=ORIENTED_EDGE('',*,*,#99882,.T.); #144935=ORIENTED_EDGE('',*,*,#99937,.F.); #144936=ORIENTED_EDGE('',*,*,#99837,.F.); #144937=ORIENTED_EDGE('',*,*,#99938,.T.); #144938=ORIENTED_EDGE('',*,*,#99883,.T.); #144939=ORIENTED_EDGE('',*,*,#99938,.F.); #144940=ORIENTED_EDGE('',*,*,#99836,.F.); #144941=ORIENTED_EDGE('',*,*,#99939,.T.); #144942=ORIENTED_EDGE('',*,*,#99884,.T.); #144943=ORIENTED_EDGE('',*,*,#99939,.F.); #144944=ORIENTED_EDGE('',*,*,#99835,.F.); #144945=ORIENTED_EDGE('',*,*,#99940,.T.); #144946=ORIENTED_EDGE('',*,*,#99885,.T.); #144947=ORIENTED_EDGE('',*,*,#99940,.F.); #144948=ORIENTED_EDGE('',*,*,#99834,.F.); #144949=ORIENTED_EDGE('',*,*,#99941,.T.); #144950=ORIENTED_EDGE('',*,*,#99886,.T.); #144951=ORIENTED_EDGE('',*,*,#99941,.F.); #144952=ORIENTED_EDGE('',*,*,#99833,.F.); #144953=ORIENTED_EDGE('',*,*,#99942,.T.); #144954=ORIENTED_EDGE('',*,*,#99832,.F.); #144955=ORIENTED_EDGE('',*,*,#99943,.F.); #144956=ORIENTED_EDGE('',*,*,#99869,.T.); #144957=ORIENTED_EDGE('',*,*,#99920,.T.); #144958=ORIENTED_EDGE('',*,*,#99944,.F.); #144959=ORIENTED_EDGE('',*,*,#99945,.F.); #144960=ORIENTED_EDGE('',*,*,#99887,.T.); #144961=ORIENTED_EDGE('',*,*,#99942,.F.); #144962=ORIENTED_EDGE('',*,*,#99913,.F.); #144963=ORIENTED_EDGE('',*,*,#99865,.T.); #144964=ORIENTED_EDGE('',*,*,#99924,.F.); #144965=ORIENTED_EDGE('',*,*,#99946,.F.); #144966=ORIENTED_EDGE('',*,*,#99910,.F.); #144967=ORIENTED_EDGE('',*,*,#99859,.T.); #144968=ORIENTED_EDGE('',*,*,#99917,.F.); #144969=ORIENTED_EDGE('',*,*,#99947,.F.); #144970=ORIENTED_EDGE('',*,*,#99948,.T.); #144971=ORIENTED_EDGE('',*,*,#99843,.T.); #144972=ORIENTED_EDGE('',*,*,#99932,.F.); #144973=ORIENTED_EDGE('',*,*,#99856,.T.); #144974=ORIENTED_EDGE('',*,*,#99949,.T.); #144975=ORIENTED_EDGE('',*,*,#99844,.T.); #144976=ORIENTED_EDGE('',*,*,#99948,.F.); #144977=ORIENTED_EDGE('',*,*,#99855,.T.); #144978=ORIENTED_EDGE('',*,*,#99950,.T.); #144979=ORIENTED_EDGE('',*,*,#99845,.T.); #144980=ORIENTED_EDGE('',*,*,#99949,.F.); #144981=ORIENTED_EDGE('',*,*,#99854,.T.); #144982=ORIENTED_EDGE('',*,*,#99951,.T.); #144983=ORIENTED_EDGE('',*,*,#99846,.T.); #144984=ORIENTED_EDGE('',*,*,#99950,.F.); #144985=ORIENTED_EDGE('',*,*,#99853,.T.); #144986=ORIENTED_EDGE('',*,*,#99873,.T.); #144987=ORIENTED_EDGE('',*,*,#99847,.T.); #144988=ORIENTED_EDGE('',*,*,#99951,.F.); #144989=ORIENTED_EDGE('',*,*,#99852,.T.); #144990=ORIENTED_EDGE('',*,*,#99952,.T.); #144991=ORIENTED_EDGE('',*,*,#99830,.T.); #144992=ORIENTED_EDGE('',*,*,#99872,.F.); #144993=ORIENTED_EDGE('',*,*,#99871,.T.); #144994=ORIENTED_EDGE('',*,*,#99943,.T.); #144995=ORIENTED_EDGE('',*,*,#99831,.T.); #144996=ORIENTED_EDGE('',*,*,#99952,.F.); #144997=ORIENTED_EDGE('',*,*,#99870,.T.); #144998=ORIENTED_EDGE('',*,*,#99889,.F.); #144999=ORIENTED_EDGE('',*,*,#99953,.T.); #145000=ORIENTED_EDGE('',*,*,#99954,.F.); #145001=ORIENTED_EDGE('',*,*,#99899,.F.); #145002=ORIENTED_EDGE('',*,*,#99893,.F.); #145003=ORIENTED_EDGE('',*,*,#99902,.T.); #145004=ORIENTED_EDGE('',*,*,#99955,.F.); #145005=ORIENTED_EDGE('',*,*,#99956,.F.); #145006=ORIENTED_EDGE('',*,*,#99894,.F.); #145007=ORIENTED_EDGE('',*,*,#99956,.T.); #145008=ORIENTED_EDGE('',*,*,#99957,.F.); #145009=ORIENTED_EDGE('',*,*,#99958,.F.); #145010=ORIENTED_EDGE('',*,*,#99895,.F.); #145011=ORIENTED_EDGE('',*,*,#99958,.T.); #145012=ORIENTED_EDGE('',*,*,#99959,.F.); #145013=ORIENTED_EDGE('',*,*,#99908,.F.); #145014=ORIENTED_EDGE('',*,*,#99876,.F.); #145015=ORIENTED_EDGE('',*,*,#99905,.T.); #145016=ORIENTED_EDGE('',*,*,#99960,.F.); #145017=ORIENTED_EDGE('',*,*,#99930,.F.); #145018=ORIENTED_EDGE('',*,*,#99888,.F.); #145019=ORIENTED_EDGE('',*,*,#99945,.T.); #145020=ORIENTED_EDGE('',*,*,#99961,.F.); #145021=ORIENTED_EDGE('',*,*,#99953,.F.); #145022=ORIENTED_EDGE('',*,*,#99962,.T.); #145023=ORIENTED_EDGE('',*,*,#99897,.T.); #145024=ORIENTED_EDGE('',*,*,#99954,.T.); #145025=ORIENTED_EDGE('',*,*,#99961,.T.); #145026=ORIENTED_EDGE('',*,*,#99944,.T.); #145027=ORIENTED_EDGE('',*,*,#99919,.T.); #145028=ORIENTED_EDGE('',*,*,#99921,.T.); #145029=ORIENTED_EDGE('',*,*,#99914,.T.); #145030=ORIENTED_EDGE('',*,*,#99946,.T.); #145031=ORIENTED_EDGE('',*,*,#99923,.T.); #145032=ORIENTED_EDGE('',*,*,#99926,.T.); #145033=ORIENTED_EDGE('',*,*,#99928,.T.); #145034=ORIENTED_EDGE('',*,*,#99929,.T.); #145035=ORIENTED_EDGE('',*,*,#99911,.T.); #145036=ORIENTED_EDGE('',*,*,#99947,.T.); #145037=ORIENTED_EDGE('',*,*,#99916,.T.); #145038=ORIENTED_EDGE('',*,*,#99931,.T.); #145039=ORIENTED_EDGE('',*,*,#99960,.T.); #145040=ORIENTED_EDGE('',*,*,#99904,.T.); #145041=ORIENTED_EDGE('',*,*,#99901,.T.); #145042=ORIENTED_EDGE('',*,*,#99963,.T.); #145043=ORIENTED_EDGE('',*,*,#99906,.T.); #145044=ORIENTED_EDGE('',*,*,#99959,.T.); #145045=ORIENTED_EDGE('',*,*,#99957,.T.); #145046=ORIENTED_EDGE('',*,*,#99955,.T.); #145047=ORIENTED_EDGE('',*,*,#99898,.F.); #145048=ORIENTED_EDGE('',*,*,#99962,.F.); #145049=ORIENTED_EDGE('',*,*,#99903,.F.); #145050=ORIENTED_EDGE('',*,*,#99874,.T.); #145051=ORIENTED_EDGE('',*,*,#99900,.F.); #145052=ORIENTED_EDGE('',*,*,#99891,.T.); #145053=ORIENTED_EDGE('',*,*,#99907,.F.); #145054=ORIENTED_EDGE('',*,*,#99963,.F.); #145055=ORIENTED_EDGE('',*,*,#99850,.T.); #145056=ORIENTED_EDGE('',*,*,#99964,.T.); #145057=ORIENTED_EDGE('',*,*,#99965,.F.); #145058=ORIENTED_EDGE('',*,*,#99964,.F.); #145059=ORIENTED_EDGE('',*,*,#99965,.T.); #145060=ORIENTED_EDGE('',*,*,#99849,.T.); #145061=ORIENTED_EDGE('',*,*,#99966,.T.); #145062=ORIENTED_EDGE('',*,*,#99967,.F.); #145063=ORIENTED_EDGE('',*,*,#99966,.F.); #145064=ORIENTED_EDGE('',*,*,#99967,.T.); #145065=ORIENTED_EDGE('',*,*,#99848,.T.); #145066=ORIENTED_EDGE('',*,*,#99968,.T.); #145067=ORIENTED_EDGE('',*,*,#99969,.F.); #145068=ORIENTED_EDGE('',*,*,#99968,.F.); #145069=ORIENTED_EDGE('',*,*,#99969,.T.); #145070=ORIENTED_EDGE('',*,*,#99970,.F.); #145071=ORIENTED_EDGE('',*,*,#99971,.T.); #145072=ORIENTED_EDGE('',*,*,#99972,.T.); #145073=ORIENTED_EDGE('',*,*,#99971,.F.); #145074=ORIENTED_EDGE('',*,*,#99973,.F.); #145075=ORIENTED_EDGE('',*,*,#99974,.T.); #145076=ORIENTED_EDGE('',*,*,#99975,.T.); #145077=ORIENTED_EDGE('',*,*,#99974,.F.); #145078=ORIENTED_EDGE('',*,*,#99976,.F.); #145079=ORIENTED_EDGE('',*,*,#99977,.T.); #145080=ORIENTED_EDGE('',*,*,#99978,.T.); #145081=ORIENTED_EDGE('',*,*,#99977,.F.); #145082=ORIENTED_EDGE('',*,*,#99978,.F.); #145083=ORIENTED_EDGE('',*,*,#99979,.T.); #145084=ORIENTED_EDGE('',*,*,#99980,.T.); #145085=ORIENTED_EDGE('',*,*,#99979,.F.); #145086=ORIENTED_EDGE('',*,*,#99980,.F.); #145087=ORIENTED_EDGE('',*,*,#99981,.T.); #145088=ORIENTED_EDGE('',*,*,#99982,.T.); #145089=ORIENTED_EDGE('',*,*,#99981,.F.); #145090=ORIENTED_EDGE('',*,*,#99983,.F.); #145091=ORIENTED_EDGE('',*,*,#99984,.T.); #145092=ORIENTED_EDGE('',*,*,#99985,.T.); #145093=ORIENTED_EDGE('',*,*,#99984,.F.); #145094=ORIENTED_EDGE('',*,*,#99985,.F.); #145095=ORIENTED_EDGE('',*,*,#99986,.T.); #145096=ORIENTED_EDGE('',*,*,#99987,.T.); #145097=ORIENTED_EDGE('',*,*,#99986,.F.); #145098=ORIENTED_EDGE('',*,*,#99988,.T.); #145099=ORIENTED_EDGE('',*,*,#99989,.T.); #145100=ORIENTED_EDGE('',*,*,#99990,.T.); #145101=ORIENTED_EDGE('',*,*,#99991,.F.); #145102=ORIENTED_EDGE('',*,*,#99990,.F.); #145103=ORIENTED_EDGE('',*,*,#99992,.T.); #145104=ORIENTED_EDGE('',*,*,#99993,.T.); #145105=ORIENTED_EDGE('',*,*,#99994,.T.); #145106=ORIENTED_EDGE('',*,*,#99995,.F.); #145107=ORIENTED_EDGE('',*,*,#99996,.F.); #145108=ORIENTED_EDGE('',*,*,#99997,.T.); #145109=ORIENTED_EDGE('',*,*,#99998,.T.); #145110=ORIENTED_EDGE('',*,*,#99999,.T.); #145111=ORIENTED_EDGE('',*,*,#100000,.F.); #145112=ORIENTED_EDGE('',*,*,#100001,.F.); #145113=ORIENTED_EDGE('',*,*,#100002,.T.); #145114=ORIENTED_EDGE('',*,*,#100003,.T.); #145115=ORIENTED_EDGE('',*,*,#100004,.F.); #145116=ORIENTED_EDGE('',*,*,#100005,.F.); #145117=ORIENTED_EDGE('',*,*,#99970,.T.); #145118=ORIENTED_EDGE('',*,*,#99991,.T.); #145119=ORIENTED_EDGE('',*,*,#99987,.F.); #145120=ORIENTED_EDGE('',*,*,#99992,.F.); #145121=ORIENTED_EDGE('',*,*,#100006,.T.); #145122=ORIENTED_EDGE('',*,*,#100007,.T.); #145123=ORIENTED_EDGE('',*,*,#99993,.F.); #145124=ORIENTED_EDGE('',*,*,#100008,.T.); #145125=ORIENTED_EDGE('',*,*,#100009,.T.); #145126=ORIENTED_EDGE('',*,*,#99988,.F.); #145127=ORIENTED_EDGE('',*,*,#100010,.T.); #145128=ORIENTED_EDGE('',*,*,#100011,.T.); #145129=ORIENTED_EDGE('',*,*,#100012,.F.); #145130=ORIENTED_EDGE('',*,*,#100013,.T.); #145131=ORIENTED_EDGE('',*,*,#100014,.T.); #145132=ORIENTED_EDGE('',*,*,#100015,.F.); #145133=ORIENTED_EDGE('',*,*,#100016,.T.); #145134=ORIENTED_EDGE('',*,*,#100000,.T.); #145135=ORIENTED_EDGE('',*,*,#100017,.T.); #145136=ORIENTED_EDGE('',*,*,#100014,.F.); #145137=ORIENTED_EDGE('',*,*,#100018,.F.); #145138=ORIENTED_EDGE('',*,*,#100019,.F.); #145139=ORIENTED_EDGE('',*,*,#100020,.F.); #145140=ORIENTED_EDGE('',*,*,#100021,.F.); #145141=ORIENTED_EDGE('',*,*,#100022,.T.); #145142=ORIENTED_EDGE('',*,*,#100023,.F.); #145143=ORIENTED_EDGE('',*,*,#100024,.F.); #145144=ORIENTED_EDGE('',*,*,#100025,.F.); #145145=ORIENTED_EDGE('',*,*,#100026,.T.); #145146=ORIENTED_EDGE('',*,*,#99996,.T.); #145147=ORIENTED_EDGE('',*,*,#100027,.T.); #145148=ORIENTED_EDGE('',*,*,#100025,.T.); #145149=ORIENTED_EDGE('',*,*,#100028,.F.); #145150=ORIENTED_EDGE('',*,*,#100006,.F.); #145151=ORIENTED_EDGE('',*,*,#100029,.F.); #145152=ORIENTED_EDGE('',*,*,#100030,.T.); #145153=ORIENTED_EDGE('',*,*,#100019,.T.); #145154=ORIENTED_EDGE('',*,*,#99998,.F.); #145155=ORIENTED_EDGE('',*,*,#100031,.F.); #145156=ORIENTED_EDGE('',*,*,#100021,.T.); #145157=ORIENTED_EDGE('',*,*,#100032,.T.); #145158=ORIENTED_EDGE('',*,*,#100023,.T.); #145159=ORIENTED_EDGE('',*,*,#100033,.F.); #145160=ORIENTED_EDGE('',*,*,#99994,.F.); #145161=ORIENTED_EDGE('',*,*,#100034,.F.); #145162=ORIENTED_EDGE('',*,*,#100032,.F.); #145163=ORIENTED_EDGE('',*,*,#100020,.T.); #145164=ORIENTED_EDGE('',*,*,#100030,.F.); #145165=ORIENTED_EDGE('',*,*,#100035,.F.); #145166=ORIENTED_EDGE('',*,*,#100011,.F.); #145167=ORIENTED_EDGE('',*,*,#100036,.T.); #145168=ORIENTED_EDGE('',*,*,#100015,.T.); #145169=ORIENTED_EDGE('',*,*,#100017,.F.); #145170=ORIENTED_EDGE('',*,*,#99999,.F.); #145171=ORIENTED_EDGE('',*,*,#100034,.T.); #145172=ORIENTED_EDGE('',*,*,#100005,.T.); #145173=ORIENTED_EDGE('',*,*,#100037,.T.); #145174=ORIENTED_EDGE('',*,*,#100038,.T.); #145175=ORIENTED_EDGE('',*,*,#100039,.F.); #145176=ORIENTED_EDGE('',*,*,#100008,.F.); #145177=ORIENTED_EDGE('',*,*,#100007,.F.); #145178=ORIENTED_EDGE('',*,*,#100028,.T.); #145179=ORIENTED_EDGE('',*,*,#100024,.T.); #145180=ORIENTED_EDGE('',*,*,#100040,.T.); #145181=ORIENTED_EDGE('',*,*,#100041,.F.); #145182=ORIENTED_EDGE('',*,*,#100042,.F.); #145183=ORIENTED_EDGE('',*,*,#100038,.F.); #145184=ORIENTED_EDGE('',*,*,#100002,.F.); #145185=ORIENTED_EDGE('',*,*,#100043,.F.); #145186=ORIENTED_EDGE('',*,*,#100012,.T.); #145187=ORIENTED_EDGE('',*,*,#100036,.F.); #145188=ORIENTED_EDGE('',*,*,#100010,.F.); #145189=ORIENTED_EDGE('',*,*,#100009,.F.); #145190=ORIENTED_EDGE('',*,*,#100039,.T.); #145191=ORIENTED_EDGE('',*,*,#100042,.T.); #145192=ORIENTED_EDGE('',*,*,#100044,.F.); #145193=ORIENTED_EDGE('',*,*,#99989,.F.); #145194=ORIENTED_EDGE('',*,*,#100035,.T.); #145195=ORIENTED_EDGE('',*,*,#100029,.T.); #145196=ORIENTED_EDGE('',*,*,#100045,.T.); #145197=ORIENTED_EDGE('',*,*,#100040,.F.); #145198=ORIENTED_EDGE('',*,*,#100037,.F.); #145199=ORIENTED_EDGE('',*,*,#100004,.T.); #145200=ORIENTED_EDGE('',*,*,#100018,.T.); #145201=ORIENTED_EDGE('',*,*,#100031,.T.); #145202=ORIENTED_EDGE('',*,*,#99997,.F.); #145203=ORIENTED_EDGE('',*,*,#100026,.F.); #145204=ORIENTED_EDGE('',*,*,#99995,.T.); #145205=ORIENTED_EDGE('',*,*,#100033,.T.); #145206=ORIENTED_EDGE('',*,*,#100022,.F.); #145207=ORIENTED_EDGE('',*,*,#100027,.F.); #145208=ORIENTED_EDGE('',*,*,#100043,.T.); #145209=ORIENTED_EDGE('',*,*,#100001,.T.); #145210=ORIENTED_EDGE('',*,*,#100016,.F.); #145211=ORIENTED_EDGE('',*,*,#100013,.F.); #145212=ORIENTED_EDGE('',*,*,#100044,.T.); #145213=ORIENTED_EDGE('',*,*,#100041,.T.); #145214=ORIENTED_EDGE('',*,*,#100045,.F.); #145215=ORIENTED_EDGE('',*,*,#100003,.F.); #145216=ORIENTED_EDGE('',*,*,#99982,.F.); #145217=ORIENTED_EDGE('',*,*,#99983,.T.); #145218=ORIENTED_EDGE('',*,*,#99976,.T.); #145219=ORIENTED_EDGE('',*,*,#99975,.F.); #145220=ORIENTED_EDGE('',*,*,#99973,.T.); #145221=ORIENTED_EDGE('',*,*,#99972,.F.); #145222=ORIENTED_EDGE('',*,*,#100046,.F.); #145223=ORIENTED_EDGE('',*,*,#100047,.T.); #145224=ORIENTED_EDGE('',*,*,#100048,.T.); #145225=ORIENTED_EDGE('',*,*,#100047,.F.); #145226=ORIENTED_EDGE('',*,*,#100049,.F.); #145227=ORIENTED_EDGE('',*,*,#100050,.T.); #145228=ORIENTED_EDGE('',*,*,#100051,.T.); #145229=ORIENTED_EDGE('',*,*,#100050,.F.); #145230=ORIENTED_EDGE('',*,*,#100051,.F.); #145231=ORIENTED_EDGE('',*,*,#100046,.T.); #145232=ORIENTED_EDGE('',*,*,#100049,.T.); #145233=ORIENTED_EDGE('',*,*,#100048,.F.); #145234=ORIENTED_EDGE('',*,*,#100052,.F.); #145235=ORIENTED_EDGE('',*,*,#100053,.T.); #145236=ORIENTED_EDGE('',*,*,#100054,.T.); #145237=ORIENTED_EDGE('',*,*,#100053,.F.); #145238=ORIENTED_EDGE('',*,*,#100055,.F.); #145239=ORIENTED_EDGE('',*,*,#100056,.T.); #145240=ORIENTED_EDGE('',*,*,#100057,.T.); #145241=ORIENTED_EDGE('',*,*,#100058,.T.); #145242=ORIENTED_EDGE('',*,*,#100059,.T.); #145243=ORIENTED_EDGE('',*,*,#100060,.T.); #145244=ORIENTED_EDGE('',*,*,#100061,.T.); #145245=ORIENTED_EDGE('',*,*,#100062,.F.); #145246=ORIENTED_EDGE('',*,*,#100063,.F.); #145247=ORIENTED_EDGE('',*,*,#100064,.F.); #145248=ORIENTED_EDGE('',*,*,#100065,.T.); #145249=ORIENTED_EDGE('',*,*,#100056,.F.); #145250=ORIENTED_EDGE('',*,*,#100066,.F.); #145251=ORIENTED_EDGE('',*,*,#100067,.T.); #145252=ORIENTED_EDGE('',*,*,#100068,.T.); #145253=ORIENTED_EDGE('',*,*,#100069,.T.); #145254=ORIENTED_EDGE('',*,*,#100070,.F.); #145255=ORIENTED_EDGE('',*,*,#100071,.T.); #145256=ORIENTED_EDGE('',*,*,#100052,.T.); #145257=ORIENTED_EDGE('',*,*,#100071,.F.); #145258=ORIENTED_EDGE('',*,*,#100072,.F.); #145259=ORIENTED_EDGE('',*,*,#100073,.F.); #145260=ORIENTED_EDGE('',*,*,#100074,.F.); #145261=ORIENTED_EDGE('',*,*,#100075,.F.); #145262=ORIENTED_EDGE('',*,*,#100076,.T.); #145263=ORIENTED_EDGE('',*,*,#100066,.T.); #145264=ORIENTED_EDGE('',*,*,#100077,.F.); #145265=ORIENTED_EDGE('',*,*,#100061,.F.); #145266=ORIENTED_EDGE('',*,*,#100078,.T.); #145267=ORIENTED_EDGE('',*,*,#100057,.F.); #145268=ORIENTED_EDGE('',*,*,#100065,.F.); #145269=ORIENTED_EDGE('',*,*,#100079,.F.); #145270=ORIENTED_EDGE('',*,*,#100072,.T.); #145271=ORIENTED_EDGE('',*,*,#100070,.T.); #145272=ORIENTED_EDGE('',*,*,#100054,.F.); #145273=ORIENTED_EDGE('',*,*,#100055,.T.); #145274=ORIENTED_EDGE('',*,*,#100075,.T.); #145275=ORIENTED_EDGE('',*,*,#100080,.T.); #145276=ORIENTED_EDGE('',*,*,#100062,.T.); #145277=ORIENTED_EDGE('',*,*,#100077,.T.); #145278=ORIENTED_EDGE('',*,*,#100074,.T.); #145279=ORIENTED_EDGE('',*,*,#100081,.T.); #145280=ORIENTED_EDGE('',*,*,#100063,.T.); #145281=ORIENTED_EDGE('',*,*,#100080,.F.); #145282=ORIENTED_EDGE('',*,*,#100073,.T.); #145283=ORIENTED_EDGE('',*,*,#100079,.T.); #145284=ORIENTED_EDGE('',*,*,#100064,.T.); #145285=ORIENTED_EDGE('',*,*,#100081,.F.); #145286=ORIENTED_EDGE('',*,*,#100076,.F.); #145287=ORIENTED_EDGE('',*,*,#100060,.F.); #145288=ORIENTED_EDGE('',*,*,#100082,.F.); #145289=ORIENTED_EDGE('',*,*,#100067,.F.); #145290=ORIENTED_EDGE('',*,*,#100083,.T.); #145291=ORIENTED_EDGE('',*,*,#100058,.F.); #145292=ORIENTED_EDGE('',*,*,#100078,.F.); #145293=ORIENTED_EDGE('',*,*,#100069,.F.); #145294=ORIENTED_EDGE('',*,*,#100082,.T.); #145295=ORIENTED_EDGE('',*,*,#100059,.F.); #145296=ORIENTED_EDGE('',*,*,#100083,.F.); #145297=ORIENTED_EDGE('',*,*,#100068,.F.); #145298=CYLINDRICAL_SURFACE('',#155829,2.5); #145299=CYLINDRICAL_SURFACE('',#155834,1.); #145300=CYLINDRICAL_SURFACE('',#155838,1.); #145301=CYLINDRICAL_SURFACE('',#155845,1.); #145302=CYLINDRICAL_SURFACE('',#155848,1.); #145303=CYLINDRICAL_SURFACE('',#155887,1.); #145304=CYLINDRICAL_SURFACE('',#155890,1.); #145305=CYLINDRICAL_SURFACE('',#155896,1.); #145306=CYLINDRICAL_SURFACE('',#155898,1.); #145307=CYLINDRICAL_SURFACE('',#155901,1.); #145308=CYLINDRICAL_SURFACE('',#155902,1.); #145309=CYLINDRICAL_SURFACE('',#155903,2.7); #145310=CYLINDRICAL_SURFACE('',#155904,1.); #145311=CYLINDRICAL_SURFACE('',#155906,1.); #145312=CYLINDRICAL_SURFACE('',#155908,1.); #145313=CYLINDRICAL_SURFACE('',#155910,1.); #145314=CYLINDRICAL_SURFACE('',#155911,2.7); #145315=CYLINDRICAL_SURFACE('',#155912,1.); #145316=CYLINDRICAL_SURFACE('',#155913,1.); #145317=CYLINDRICAL_SURFACE('',#155916,1.); #145318=CYLINDRICAL_SURFACE('',#155918,1.); #145319=CYLINDRICAL_SURFACE('',#155922,1.); #145320=CYLINDRICAL_SURFACE('',#155924,1.9); #145321=CYLINDRICAL_SURFACE('',#155926,1.); #145322=CYLINDRICAL_SURFACE('',#155929,1.); #145323=CYLINDRICAL_SURFACE('',#155931,1.9); #145324=CYLINDRICAL_SURFACE('',#155933,1.); #145325=CYLINDRICAL_SURFACE('',#155936,1.); #145326=CYLINDRICAL_SURFACE('',#155939,1.); #145327=CYLINDRICAL_SURFACE('',#155943,1.); #145328=CYLINDRICAL_SURFACE('',#155947,1.); #145329=CYLINDRICAL_SURFACE('',#155954,1.); #145330=CYLINDRICAL_SURFACE('',#155957,1.); #145331=CYLINDRICAL_SURFACE('',#155989,1.6); #145332=CYLINDRICAL_SURFACE('',#155993,1.6); #145333=CYLINDRICAL_SURFACE('',#155997,0.850000000000001); #145334=CYLINDRICAL_SURFACE('',#155999,0.85); #145335=CYLINDRICAL_SURFACE('',#156007,1.49999999999999); #145336=CYLINDRICAL_SURFACE('',#156010,1.49999999999999); #145337=CYLINDRICAL_SURFACE('',#156014,1.49999999999999); #145338=CYLINDRICAL_SURFACE('',#156017,1.49999999999999); #145339=CYLINDRICAL_SURFACE('',#156021,1.49999999999998); #145340=CYLINDRICAL_SURFACE('',#156026,1.49999999999998); #145341=CYLINDRICAL_SURFACE('',#156029,1.49999999999999); #145342=CYLINDRICAL_SURFACE('',#156031,1.49999999999999); #145343=CYLINDRICAL_SURFACE('',#156035,1.49999999999999); #145344=CYLINDRICAL_SURFACE('',#156040,1.49999999999999); #145345=CYLINDRICAL_SURFACE('',#156043,1.49999999999999); #145346=CYLINDRICAL_SURFACE('',#156046,1.49999999999998); #145347=CYLINDRICAL_SURFACE('',#156051,1.49999999999999); #145348=CYLINDRICAL_SURFACE('',#156054,1.49999999999999); #145349=CYLINDRICAL_SURFACE('',#156066,0.265428267767112); #145350=CYLINDRICAL_SURFACE('',#156069,0.352990927529114); #145351=CYLINDRICAL_SURFACE('',#156076,1.03939369806201); #145352=CYLINDRICAL_SURFACE('',#156080,0.378647092091649); #145353=CYLINDRICAL_SURFACE('',#156083,0.344675731007304); #145354=CYLINDRICAL_SURFACE('',#156086,3.40379130278478); #145355=CYLINDRICAL_SURFACE('',#156089,0.131949304951907); #145356=CYLINDRICAL_SURFACE('',#156105,0.0790204591459206); #145357=CYLINDRICAL_SURFACE('',#156115,0.0927192881988342); #145358=CYLINDRICAL_SURFACE('',#156119,0.171056678958414); #145359=CYLINDRICAL_SURFACE('',#156126,0.554294244669546); #145360=CYLINDRICAL_SURFACE('',#156151,0.082591651566131); #145361=CYLINDRICAL_SURFACE('',#156156,2.49230552535781); #145362=CYLINDRICAL_SURFACE('',#156159,0.101755005383019); #145363=CYLINDRICAL_SURFACE('',#156186,0.105080016283793); #145364=CYLINDRICAL_SURFACE('',#156192,0.907949364335761); #145365=CYLINDRICAL_SURFACE('',#156196,0.906504488869213); #145366=CYLINDRICAL_SURFACE('',#156212,0.0885226614666702); #145367=CYLINDRICAL_SURFACE('',#156218,0.0727126480818447); #145368=CYLINDRICAL_SURFACE('',#156221,0.116456353091669); #145369=CYLINDRICAL_SURFACE('',#156224,1.25567486007565); #145370=CYLINDRICAL_SURFACE('',#156228,0.317275084675709); #145371=CYLINDRICAL_SURFACE('',#156238,1.29687963066282); #145372=CYLINDRICAL_SURFACE('',#156241,0.290480907447009); #145373=CYLINDRICAL_SURFACE('',#156244,0.31728639556842); #145374=CYLINDRICAL_SURFACE('',#156266,0.120112317011933); #145375=CYLINDRICAL_SURFACE('',#156272,1.4036574157623); #145376=CYLINDRICAL_SURFACE('',#156275,0.101077840553005); #145377=CYLINDRICAL_SURFACE('',#156303,3.07278685767314); #145378=CYLINDRICAL_SURFACE('',#156331,0.0984821633257116); #145379=CYLINDRICAL_SURFACE('',#156334,0.160559055238168); #145380=CYLINDRICAL_SURFACE('',#156339,5.12807534997069); #145381=CYLINDRICAL_SURFACE('',#156342,0.391939880810715); #145382=CYLINDRICAL_SURFACE('',#156345,0.363363934834027); #145383=CYLINDRICAL_SURFACE('',#156348,5.564973616412); #145384=CYLINDRICAL_SURFACE('',#156360,140.27285488118); #145385=CYLINDRICAL_SURFACE('',#156371,0.109873577481442); #145386=CYLINDRICAL_SURFACE('',#156375,0.096504721546241); #145387=CYLINDRICAL_SURFACE('',#156383,0.103028499268524); #145388=CYLINDRICAL_SURFACE('',#156388,279.908103390792); #145389=CYLINDRICAL_SURFACE('',#156392,0.15730086246219); #145390=CYLINDRICAL_SURFACE('',#156404,0.0955830208062483); #145391=CYLINDRICAL_SURFACE('',#156415,0.10706661883727); #145392=CYLINDRICAL_SURFACE('',#156418,6.6857452948253); #145393=CYLINDRICAL_SURFACE('',#156425,0.308608193941036); #145394=CYLINDRICAL_SURFACE('',#156428,0.111654330098487); #145395=CYLINDRICAL_SURFACE('',#156435,0.0939157852904324); #145396=CYLINDRICAL_SURFACE('',#156448,0.110752090831611); #145397=CYLINDRICAL_SURFACE('',#156454,85.9220935495639); #145398=CYLINDRICAL_SURFACE('',#156458,0.142791821531261); #145399=CYLINDRICAL_SURFACE('',#156470,0.11379469477288); #145400=CYLINDRICAL_SURFACE('',#156483,0.114670339574723); #145401=CYLINDRICAL_SURFACE('',#156486,8.37356948382605); #145402=CYLINDRICAL_SURFACE('',#156489,0.167974595645679); #145403=CYLINDRICAL_SURFACE('',#156498,0.0803388442998517); #145404=CYLINDRICAL_SURFACE('',#156507,0.071147615328045); #145405=CYLINDRICAL_SURFACE('',#156513,0.639229508622429); #145406=CYLINDRICAL_SURFACE('',#156516,0.101738516686471); #145407=CYLINDRICAL_SURFACE('',#156526,0.0803545135720741); #145408=CYLINDRICAL_SURFACE('',#156542,0.109558519763856); #145409=CYLINDRICAL_SURFACE('',#156546,0.54367495884949); #145410=CYLINDRICAL_SURFACE('',#156549,0.344087833409386); #145411=CYLINDRICAL_SURFACE('',#156552,0.378971309692404); #145412=CYLINDRICAL_SURFACE('',#156556,0.293619836669826); #145413=CYLINDRICAL_SURFACE('',#156559,0.264136394095827); #145414=CYLINDRICAL_SURFACE('',#156562,0.264029082685151); #145415=CYLINDRICAL_SURFACE('',#156565,0.299184192577539); #145416=CYLINDRICAL_SURFACE('',#156569,0.180680343435136); #145417=CYLINDRICAL_SURFACE('',#156572,0.0874836850753535); #145418=CYLINDRICAL_SURFACE('',#156578,0.0816292693468529); #145419=CYLINDRICAL_SURFACE('',#156587,0.0843529702984417); #145420=CYLINDRICAL_SURFACE('',#156599,0.704564852614134); #145421=CYLINDRICAL_SURFACE('',#156602,0.132251036809213); #145422=CYLINDRICAL_SURFACE('',#156607,0.0706756168662486); #145423=CYLINDRICAL_SURFACE('',#156614,0.0803773624569058); #145424=CYLINDRICAL_SURFACE('',#156617,0.0863752884796337); #145425=CYLINDRICAL_SURFACE('',#156620,0.0769468677592085); #145426=CYLINDRICAL_SURFACE('',#156633,0.142100690285224); #145427=CYLINDRICAL_SURFACE('',#156637,0.484036291432338); #145428=CYLINDRICAL_SURFACE('',#156640,0.3223536145565); #145429=CYLINDRICAL_SURFACE('',#156643,0.37093752028591); #145430=CYLINDRICAL_SURFACE('',#156647,0.293315385162109); #145431=CYLINDRICAL_SURFACE('',#156650,0.29453757886334); #145432=CYLINDRICAL_SURFACE('',#156653,0.294745645561913); #145433=CYLINDRICAL_SURFACE('',#156656,0.347548578095289); #145434=CYLINDRICAL_SURFACE('',#156660,0.303995135233414); #145435=CYLINDRICAL_SURFACE('',#156670,0.0825774793668685); #145436=CYLINDRICAL_SURFACE('',#156676,0.543423862502059); #145437=CYLINDRICAL_SURFACE('',#156679,0.343975971057414); #145438=CYLINDRICAL_SURFACE('',#156682,0.378684202275848); #145439=CYLINDRICAL_SURFACE('',#156686,0.311578027054818); #145440=CYLINDRICAL_SURFACE('',#156689,0.245434416996314); #145441=CYLINDRICAL_SURFACE('',#156692,0.24502296824357); #145442=CYLINDRICAL_SURFACE('',#156695,0.326764812824398); #145443=CYLINDRICAL_SURFACE('',#156699,0.486639356997897); #145444=CYLINDRICAL_SURFACE('',#156724,0.587083291206013); #145445=CYLINDRICAL_SURFACE('',#156736,0.0761946943620534); #145446=CYLINDRICAL_SURFACE('',#156756,0.451340418725605); #145447=CYLINDRICAL_SURFACE('',#156759,0.388970065476095); #145448=CYLINDRICAL_SURFACE('',#156762,0.286476748881277); #145449=CYLINDRICAL_SURFACE('',#156765,0.286206626010145); #145450=CYLINDRICAL_SURFACE('',#156769,32.2982839277636); #145451=CYLINDRICAL_SURFACE('',#156772,0.236433072664527); #145452=CYLINDRICAL_SURFACE('',#156775,0.3254859139424); #145453=CYLINDRICAL_SURFACE('',#156778,0.324036187315658); #145454=CYLINDRICAL_SURFACE('',#156781,0.316219382694115); #145455=CYLINDRICAL_SURFACE('',#156785,0.296473537997301); #145456=CYLINDRICAL_SURFACE('',#156812,0.652522934044474); #145457=CYLINDRICAL_SURFACE('',#156827,0.0956767466010177); #145458=CYLINDRICAL_SURFACE('',#156830,0.138688969903386); #145459=CYLINDRICAL_SURFACE('',#156837,0.982733460326619); #145460=CYLINDRICAL_SURFACE('',#156841,0.0932926415210881); #145461=CYLINDRICAL_SURFACE('',#156849,0.101418085293686); #145462=CYLINDRICAL_SURFACE('',#156852,0.107789220965039); #145463=CYLINDRICAL_SURFACE('',#156859,0.115804130965193); #145464=CYLINDRICAL_SURFACE('',#156864,2.8812552279612); #145465=CYLINDRICAL_SURFACE('',#156870,0.218596037518307); #145466=CYLINDRICAL_SURFACE('',#156876,0.105351105540061); #145467=CYLINDRICAL_SURFACE('',#156884,0.0993190417043536); #145468=CYLINDRICAL_SURFACE('',#156889,0.0971120664917781); #145469=CYLINDRICAL_SURFACE('',#156892,0.0898632385706396); #145470=CYLINDRICAL_SURFACE('',#156896,0.100905952228507); #145471=CYLINDRICAL_SURFACE('',#156899,348.455305317806); #145472=CYLINDRICAL_SURFACE('',#156902,0.160805475892715); #145473=CYLINDRICAL_SURFACE('',#156906,0.0836526259429167); #145474=CYLINDRICAL_SURFACE('',#156915,0.108549610444991); #145475=CYLINDRICAL_SURFACE('',#156919,0.0950934687395011); #145476=CYLINDRICAL_SURFACE('',#156924,0.11118723919984); #145477=CYLINDRICAL_SURFACE('',#156928,0.109612905774541); #145478=CYLINDRICAL_SURFACE('',#156936,0.249154958862724); #145479=CYLINDRICAL_SURFACE('',#156939,0.118054969269452); #145480=CYLINDRICAL_SURFACE('',#156942,0.0847856178748285); #145481=CYLINDRICAL_SURFACE('',#156947,0.104313295995252); #145482=CYLINDRICAL_SURFACE('',#156950,0.109416931167118); #145483=CYLINDRICAL_SURFACE('',#156960,0.0805836465786338); #145484=CYLINDRICAL_SURFACE('',#156972,0.294155168595165); #145485=CYLINDRICAL_SURFACE('',#156978,2.78722113545778); #145486=CYLINDRICAL_SURFACE('',#156981,0.0962220014356468); #145487=CYLINDRICAL_SURFACE('',#156987,0.0841268552050164); #145488=CYLINDRICAL_SURFACE('',#156992,0.0764899797502525); #145489=CYLINDRICAL_SURFACE('',#156997,0.0883877158796245); #145490=CYLINDRICAL_SURFACE('',#157008,0.0995224026346609); #145491=CYLINDRICAL_SURFACE('',#157011,0.114232843360382); #145492=CYLINDRICAL_SURFACE('',#157015,16.8657678583155); #145493=CYLINDRICAL_SURFACE('',#157018,24.0748940039816); #145494=CYLINDRICAL_SURFACE('',#157021,0.141604134792961); #145495=CYLINDRICAL_SURFACE('',#157024,0.0994230874670008); #145496=CYLINDRICAL_SURFACE('',#157035,0.0981109538455353); #145497=CYLINDRICAL_SURFACE('',#157040,0.0861346071658352); #145498=CYLINDRICAL_SURFACE('',#157043,0.0731367170389408); #145499=CYLINDRICAL_SURFACE('',#157057,0.879936347873292); #145500=CYLINDRICAL_SURFACE('',#157060,0.0970406552175125); #145501=CYLINDRICAL_SURFACE('',#157070,0.100323534636387); #145502=CYLINDRICAL_SURFACE('',#157073,0.0857245952819908); #145503=CYLINDRICAL_SURFACE('',#157083,0.0671005368003692); #145504=CYLINDRICAL_SURFACE('',#157090,3.43384313978357); #145505=CYLINDRICAL_SURFACE('',#157093,0.0968797538460479); #145506=CYLINDRICAL_SURFACE('',#157097,0.0746410388340077); #145507=CYLINDRICAL_SURFACE('',#157100,0.0887061323471135); #145508=CYLINDRICAL_SURFACE('',#157107,0.0941650096826741); #145509=CYLINDRICAL_SURFACE('',#157110,0.0853858937531928); #145510=CYLINDRICAL_SURFACE('',#157118,0.0838157031053365); #145511=CYLINDRICAL_SURFACE('',#157122,0.0881475158756454); #145512=CYLINDRICAL_SURFACE('',#157125,0.0928088874519576); #145513=CYLINDRICAL_SURFACE('',#157134,1.13039474500265); #145514=CYLINDRICAL_SURFACE('',#157141,0.0675886608647906); #145515=CYLINDRICAL_SURFACE('',#157148,0.0906344759468841); #145516=CYLINDRICAL_SURFACE('',#157157,0.06978186531775); #145517=CYLINDRICAL_SURFACE('',#157162,0.0943604555132428); #145518=CYLINDRICAL_SURFACE('',#157165,1.20389588210073); #145519=CYLINDRICAL_SURFACE('',#157180,0.0752251326844788); #145520=CYLINDRICAL_SURFACE('',#157185,0.742401863300724); #145521=CYLINDRICAL_SURFACE('',#157188,0.381325300865877); #145522=CYLINDRICAL_SURFACE('',#157192,0.19200434819857); #145523=CYLINDRICAL_SURFACE('',#157195,0.479698936673167); #145524=CYLINDRICAL_SURFACE('',#157199,20.8453283798994); #145525=CYLINDRICAL_SURFACE('',#157205,0.39712073345298); #145526=CYLINDRICAL_SURFACE('',#157208,0.228094183047208); #145527=CYLINDRICAL_SURFACE('',#157211,0.582978464304714); #145528=CYLINDRICAL_SURFACE('',#157218,0.0756841347768938); #145529=CYLINDRICAL_SURFACE('',#157221,0.0771142003692704); #145530=CYLINDRICAL_SURFACE('',#157224,0.107748841330819); #145531=CYLINDRICAL_SURFACE('',#157230,5.78820937839488); #145532=CYLINDRICAL_SURFACE('',#157233,0.368459060820319); #145533=CYLINDRICAL_SURFACE('',#157236,0.317981813117709); #145534=CYLINDRICAL_SURFACE('',#157239,0.317966630345945); #145535=CYLINDRICAL_SURFACE('',#157243,0.320080682181818); #145536=CYLINDRICAL_SURFACE('',#157246,0.314557244601701); #145537=CYLINDRICAL_SURFACE('',#157249,4.26042798881268); #145538=CYLINDRICAL_SURFACE('',#157257,0.0886228920642738); #145539=CYLINDRICAL_SURFACE('',#157278,0.214488448007865); #145540=CYLINDRICAL_SURFACE('',#157284,2.21103531588874); #145541=CYLINDRICAL_SURFACE('',#157316,6.13102352558469); #145542=CYLINDRICAL_SURFACE('',#157325,0.829568292213341); #145543=CYLINDRICAL_SURFACE('',#157329,0.478632643045366); #145544=CYLINDRICAL_SURFACE('',#157332,0.636204281764232); #145545=CYLINDRICAL_SURFACE('',#157335,3.65420226099984); #145546=CYLINDRICAL_SURFACE('',#157338,0.298395172352783); #145547=CYLINDRICAL_SURFACE('',#157341,0.296799944711126); #145548=CYLINDRICAL_SURFACE('',#157344,5.38504367982798); #145549=CYLINDRICAL_SURFACE('',#157347,0.279200342136261); #145550=CYLINDRICAL_SURFACE('',#157350,10.7980082348258); #145551=CYLINDRICAL_SURFACE('',#157353,0.320725851149244); #145552=CYLINDRICAL_SURFACE('',#157360,0.569872649233151); #145553=CYLINDRICAL_SURFACE('',#157369,0.114610756691635); #145554=CYLINDRICAL_SURFACE('',#157372,0.133366946221772); #145555=CYLINDRICAL_SURFACE('',#157381,0.399418936889137); #145556=CYLINDRICAL_SURFACE('',#157384,0.516683826287145); #145557=CYLINDRICAL_SURFACE('',#157387,2.69974353301576); #145558=CYLINDRICAL_SURFACE('',#157391,0.735597255059975); #145559=CYLINDRICAL_SURFACE('',#157394,2.76677571734342); #145560=CYLINDRICAL_SURFACE('',#157397,0.62644518703061); #145561=CYLINDRICAL_SURFACE('',#157402,2.1433757052772); #145562=CYLINDRICAL_SURFACE('',#157405,0.675314761436184); #145563=CYLINDRICAL_SURFACE('',#157408,3.99800314671725); #145564=CYLINDRICAL_SURFACE('',#157411,2.20948391124496); #145565=CYLINDRICAL_SURFACE('',#157414,0.461178853893065); #145566=CYLINDRICAL_SURFACE('',#157417,240.179505497823); #145567=CYLINDRICAL_SURFACE('',#157433,0.0933085936417554); #145568=CYLINDRICAL_SURFACE('',#157436,0.114610521173727); #145569=CYLINDRICAL_SURFACE('',#157440,0.10994993904873); #145570=CYLINDRICAL_SURFACE('',#157443,0.387278025180311); #145571=CYLINDRICAL_SURFACE('',#157447,0.102047519259366); #145572=CYLINDRICAL_SURFACE('',#157450,379.483999919781); #145573=CYLINDRICAL_SURFACE('',#157456,0.57391907398227); #145574=CYLINDRICAL_SURFACE('',#157469,0.104357088213544); #145575=CYLINDRICAL_SURFACE('',#157473,336.617229054441); #145576=CYLINDRICAL_SURFACE('',#157484,306.477909332998); #145577=CYLINDRICAL_SURFACE('',#157487,1.43839035079533); #145578=CYLINDRICAL_SURFACE('',#157490,0.107798172782673); #145579=CYLINDRICAL_SURFACE('',#157511,0.0818157108855619); #145580=CYLINDRICAL_SURFACE('',#157517,1.1364034645607); #145581=CYLINDRICAL_SURFACE('',#157545,0.114882294111118); #145582=CYLINDRICAL_SURFACE('',#157549,25.3746965091586); #145583=CYLINDRICAL_SURFACE('',#157552,0.403750009018796); #145584=CYLINDRICAL_SURFACE('',#157566,0.09041517556685); #145585=CYLINDRICAL_SURFACE('',#157580,0.588032848690459); #145586=CYLINDRICAL_SURFACE('',#157585,1.05734889051939); #145587=CYLINDRICAL_SURFACE('',#157613,0.108643026944015); #145588=CYLINDRICAL_SURFACE('',#157617,25.3983248189328); #145589=CYLINDRICAL_SURFACE('',#157620,0.372346562570849); #145590=CYLINDRICAL_SURFACE('',#157624,0.0796137502040458); #145591=CYLINDRICAL_SURFACE('',#157646,0.0827194577260534); #145592=CYLINDRICAL_SURFACE('',#157650,1.57337675954337); #145593=CYLINDRICAL_SURFACE('',#157666,0.0879077113401136); #145594=CYLINDRICAL_SURFACE('',#157686,0.966803330666088); #145595=CYLINDRICAL_SURFACE('',#157701,0.096179812095145); #145596=CYLINDRICAL_SURFACE('',#157715,0.100278663630625); #145597=CYLINDRICAL_SURFACE('',#157719,0.168448360150668); #145598=CYLINDRICAL_SURFACE('',#157727,1.32658812911549); #145599=CYLINDRICAL_SURFACE('',#157756,1.41084419548954); #145600=CYLINDRICAL_SURFACE('',#157760,0.250409719591695); #145601=CYLINDRICAL_SURFACE('',#157787,0.0920276188287273); #145602=CYLINDRICAL_SURFACE('',#157795,1.40759100060375); #145603=CYLINDRICAL_SURFACE('',#157798,0.143812173377603); #145604=CYLINDRICAL_SURFACE('',#157828,9.59284286809539); #145605=CYLINDRICAL_SURFACE('',#157832,2.8646602992471); #145606=CYLINDRICAL_SURFACE('',#157835,0.104304073518023); #145607=CYLINDRICAL_SURFACE('',#157838,0.0868164809883388); #145608=CYLINDRICAL_SURFACE('',#157848,0.079727369388651); #145609=CYLINDRICAL_SURFACE('',#157865,0.106962906590315); #145610=CYLINDRICAL_SURFACE('',#157871,1327.33187540894); #145611=CYLINDRICAL_SURFACE('',#157874,1.09775007537357); #145612=CYLINDRICAL_SURFACE('',#157882,0.0757945425412236); #145613=CYLINDRICAL_SURFACE('',#157890,0.451086357107417); #145614=CYLINDRICAL_SURFACE('',#157893,0.389005824862964); #145615=CYLINDRICAL_SURFACE('',#157896,0.300635967096896); #145616=CYLINDRICAL_SURFACE('',#157899,0.300640242296684); #145617=CYLINDRICAL_SURFACE('',#157903,16.2211196151122); #145618=CYLINDRICAL_SURFACE('',#157906,0.25148488541846); #145619=CYLINDRICAL_SURFACE('',#157909,0.309017071392853); #145620=CYLINDRICAL_SURFACE('',#157912,0.309013188250379); #145621=CYLINDRICAL_SURFACE('',#157915,0.328181757833409); #145622=CYLINDRICAL_SURFACE('',#157919,0.173003711527276); #145623=CYLINDRICAL_SURFACE('',#157946,0.768250501258704); #145624=CYLINDRICAL_SURFACE('',#157974,0.100723096354524); #145625=CYLINDRICAL_SURFACE('',#157978,0.543609433482281); #145626=CYLINDRICAL_SURFACE('',#157981,0.34387272412425); #145627=CYLINDRICAL_SURFACE('',#157984,0.378836963267048); #145628=CYLINDRICAL_SURFACE('',#157988,0.293575350002868); #145629=CYLINDRICAL_SURFACE('',#157991,0.264082635142084); #145630=CYLINDRICAL_SURFACE('',#157994,0.264105486012263); #145631=CYLINDRICAL_SURFACE('',#157997,0.299278712362273); #145632=CYLINDRICAL_SURFACE('',#158001,0.164206218965825); #145633=CYLINDRICAL_SURFACE('',#158027,0.588189444731063); #145634=CYLINDRICAL_SURFACE('',#158055,0.103836066881678); #145635=CYLINDRICAL_SURFACE('',#158060,0.0942142300213178); #145636=CYLINDRICAL_SURFACE('',#158070,0.122548067096823); #145637=CYLINDRICAL_SURFACE('',#158073,0.143453831820579); #145638=CYLINDRICAL_SURFACE('',#158076,2.63224026068344); #145639=CYLINDRICAL_SURFACE('',#158079,0.140884712550757); #145640=CYLINDRICAL_SURFACE('',#158085,0.107763599781879); #145641=CYLINDRICAL_SURFACE('',#158089,0.0921159665434025); #145642=CYLINDRICAL_SURFACE('',#158092,0.104245201010852); #145643=CYLINDRICAL_SURFACE('',#158096,0.111342303384736); #145644=CYLINDRICAL_SURFACE('',#158100,0.0975617549147582); #145645=CYLINDRICAL_SURFACE('',#158103,0.0977343898484736); #145646=CYLINDRICAL_SURFACE('',#158108,0.103520597259585); #145647=CYLINDRICAL_SURFACE('',#158117,1.4241732485105); #145648=CYLINDRICAL_SURFACE('',#158125,0.0899745094482644); #145649=CYLINDRICAL_SURFACE('',#158128,0.108501811418489); #145650=CYLINDRICAL_SURFACE('',#158131,0.100969627877999); #145651=CYLINDRICAL_SURFACE('',#158137,0.103829590635488); #145652=CYLINDRICAL_SURFACE('',#158142,0.112413106291549); #145653=CYLINDRICAL_SURFACE('',#158148,0.126558455668758); #145654=CYLINDRICAL_SURFACE('',#158151,8.76188283808676); #145655=CYLINDRICAL_SURFACE('',#158155,0.269615319761577); #145656=CYLINDRICAL_SURFACE('',#158161,0.117088087658825); #145657=CYLINDRICAL_SURFACE('',#158178,0.111717861191181); #145658=CYLINDRICAL_SURFACE('',#158182,54.7103672382325); #145659=CYLINDRICAL_SURFACE('',#158185,1.09466689188688); #145660=CYLINDRICAL_SURFACE('',#158188,0.1084339007221); #145661=CYLINDRICAL_SURFACE('',#158191,0.0907279503398938); #145662=CYLINDRICAL_SURFACE('',#158199,0.0994945254520605); #145663=CYLINDRICAL_SURFACE('',#158205,0.0922939845724638); #145664=CYLINDRICAL_SURFACE('',#158211,0.0953675466979498); #145665=CYLINDRICAL_SURFACE('',#158214,0.100499834371457); #145666=CYLINDRICAL_SURFACE('',#158217,9.18199104121517); #145667=CYLINDRICAL_SURFACE('',#158220,0.161219401931867); #145668=CYLINDRICAL_SURFACE('',#158227,0.1006826000789); #145669=CYLINDRICAL_SURFACE('',#158230,0.099096793945551); #145670=CYLINDRICAL_SURFACE('',#158234,0.0955837721516446); #145671=CYLINDRICAL_SURFACE('',#158245,0.10526122334965); #145672=CYLINDRICAL_SURFACE('',#158252,0.472151347641025); #145673=CYLINDRICAL_SURFACE('',#158267,0.0910975370960555); #145674=CYLINDRICAL_SURFACE('',#158281,0.0860849917201677); #145675=CYLINDRICAL_SURFACE('',#158284,1.43767015150176); #145676=CYLINDRICAL_SURFACE('',#158295,0.0927291628860055); #145677=CYLINDRICAL_SURFACE('',#158311,0.108662375172523); #145678=CYLINDRICAL_SURFACE('',#158316,0.177214113403622); #145679=CYLINDRICAL_SURFACE('',#158320,25.4130302643639); #145680=CYLINDRICAL_SURFACE('',#158323,0.385898362137759); #145681=CYLINDRICAL_SURFACE('',#158338,0.113373516442655); #145682=CYLINDRICAL_SURFACE('',#158342,0.0981804874339295); #145683=CYLINDRICAL_SURFACE('',#158352,0.583001081537042); #145684=CYLINDRICAL_SURFACE('',#158357,1.05825889329532); #145685=CYLINDRICAL_SURFACE('',#158360,0.104618649748195); #145686=CYLINDRICAL_SURFACE('',#158373,0.12979854629564); #145687=CYLINDRICAL_SURFACE('',#158379,0.0710307711965269); #145688=CYLINDRICAL_SURFACE('',#158383,0.0730091957424427); #145689=CYLINDRICAL_SURFACE('',#158386,0.0942157343893538); #145690=CYLINDRICAL_SURFACE('',#158391,0.137430903624388); #145691=CYLINDRICAL_SURFACE('',#158395,25.3360813437242); #145692=CYLINDRICAL_SURFACE('',#158398,0.580899600175405); #145693=CYLINDRICAL_SURFACE('',#158401,0.0951106516264733); #145694=CYLINDRICAL_SURFACE('',#158424,0.079428749821122); #145695=CYLINDRICAL_SURFACE('',#158429,1.25215040001154); #145696=CYLINDRICAL_SURFACE('',#158434,0.0863817165241133); #145697=CYLINDRICAL_SURFACE('',#158445,0.0980020234143598); #145698=CYLINDRICAL_SURFACE('',#158449,0.0858646284759446); #145699=CYLINDRICAL_SURFACE('',#158452,0.0784444841812187); #145700=CYLINDRICAL_SURFACE('',#158462,0.125948659391579); #145701=CYLINDRICAL_SURFACE('',#158467,312.073283384814); #145702=CYLINDRICAL_SURFACE('',#158478,297.003102069986); #145703=CYLINDRICAL_SURFACE('',#158481,0.776389061357614); #145704=CYLINDRICAL_SURFACE('',#158486,0.0825560503516368); #145705=CYLINDRICAL_SURFACE('',#158491,0.081093822933607); #145706=CYLINDRICAL_SURFACE('',#158498,4.83268300247513); #145707=CYLINDRICAL_SURFACE('',#158507,0.0890534492187509); #145708=CYLINDRICAL_SURFACE('',#158520,0.0772431502222135); #145709=CYLINDRICAL_SURFACE('',#158532,0.111348212436327); #145710=CYLINDRICAL_SURFACE('',#158536,152.077970642292); #145711=CYLINDRICAL_SURFACE('',#158539,2.05474049962968); #145712=CYLINDRICAL_SURFACE('',#158548,0.0887820099923813); #145713=CYLINDRICAL_SURFACE('',#158559,0.08927278914234); #145714=CYLINDRICAL_SURFACE('',#158566,0.0716063308507576); #145715=CYLINDRICAL_SURFACE('',#158572,0.197984975779259); #145716=CYLINDRICAL_SURFACE('',#158577,4.04233822591637); #145717=CYLINDRICAL_SURFACE('',#158580,0.102855759519561); #145718=CYLINDRICAL_SURFACE('',#158592,0.0795980456207456); #145719=CYLINDRICAL_SURFACE('',#158596,0.0869490086227168); #145720=CYLINDRICAL_SURFACE('',#158606,0.0819357888021096); #145721=CYLINDRICAL_SURFACE('',#158613,64.411522357056); #145722=CYLINDRICAL_SURFACE('',#158618,54.9859989902363); #145723=CYLINDRICAL_SURFACE('',#158622,0.0930599151437981); #145724=CYLINDRICAL_SURFACE('',#158635,0.0983262660242146); #145725=CYLINDRICAL_SURFACE('',#158652,0.521716759307679); #145726=CYLINDRICAL_SURFACE('',#158655,0.351775799190548); #145727=CYLINDRICAL_SURFACE('',#158658,0.621439901540572); #145728=CYLINDRICAL_SURFACE('',#158665,0.115527947498937); #145729=CYLINDRICAL_SURFACE('',#158669,0.097505806786671); #145730=CYLINDRICAL_SURFACE('',#158672,0.11634904301381); #145731=CYLINDRICAL_SURFACE('',#158678,0.099821576422222); #145732=CYLINDRICAL_SURFACE('',#158683,0.211911743395965); #145733=CYLINDRICAL_SURFACE('',#158686,2.19836547648086); #145734=CYLINDRICAL_SURFACE('',#158690,0.623660598178828); #145735=CYLINDRICAL_SURFACE('',#158693,0.590453657213042); #145736=CYLINDRICAL_SURFACE('',#158696,0.590531704226269); #145737=CYLINDRICAL_SURFACE('',#158699,16.1092548325408); #145738=CYLINDRICAL_SURFACE('',#158702,0.274710406974372); #145739=CYLINDRICAL_SURFACE('',#158709,0.0931502263926338); #145740=CYLINDRICAL_SURFACE('',#158715,0.113330923222045); #145741=CYLINDRICAL_SURFACE('',#158718,0.0987495873504369); #145742=CYLINDRICAL_SURFACE('',#158723,0.10006319266529); #145743=CYLINDRICAL_SURFACE('',#158727,0.103787324988396); #145744=CYLINDRICAL_SURFACE('',#158733,0.131150667376969); #145745=CYLINDRICAL_SURFACE('',#158744,0.111567372643277); #145746=CYLINDRICAL_SURFACE('',#158755,0.105148608607417); #145747=CYLINDRICAL_SURFACE('',#158758,6.45544571455692); #145748=CYLINDRICAL_SURFACE('',#158761,0.149970818185792); #145749=CYLINDRICAL_SURFACE('',#158766,0.0903466479866405); #145750=CYLINDRICAL_SURFACE('',#158769,0.105317395583459); #145751=CYLINDRICAL_SURFACE('',#158774,0.117274609382675); #145752=CYLINDRICAL_SURFACE('',#158777,0.0909728794420601); #145753=CYLINDRICAL_SURFACE('',#158781,0.0969608294658781); #145754=CYLINDRICAL_SURFACE('',#158785,0.109800187361123); #145755=CYLINDRICAL_SURFACE('',#158792,1.5135777952569); #145756=CYLINDRICAL_SURFACE('',#158796,0.875259179915979); #145757=CYLINDRICAL_SURFACE('',#158799,0.101425345485418); #145758=CYLINDRICAL_SURFACE('',#158806,5.33993922420132); #145759=CYLINDRICAL_SURFACE('',#158813,72.0904209180733); #145760=CYLINDRICAL_SURFACE('',#158818,0.109682357504355); #145761=CYLINDRICAL_SURFACE('',#158824,213.76437627911); #145762=CYLINDRICAL_SURFACE('',#158855,0.10783895636788); #145763=CYLINDRICAL_SURFACE('',#158862,0.325167490682837); #145764=CYLINDRICAL_SURFACE('',#158889,1.1666048517437); #145765=CYLINDRICAL_SURFACE('',#158917,0.115999637901432); #145766=CYLINDRICAL_SURFACE('',#158923,895.612296401589); #145767=CYLINDRICAL_SURFACE('',#158926,1.46907466066891); #145768=CYLINDRICAL_SURFACE('',#158929,0.110595418522247); #145769=CYLINDRICAL_SURFACE('',#158955,1.11597296453068); #145770=CYLINDRICAL_SURFACE('',#158982,0.115509476829782); #145771=CYLINDRICAL_SURFACE('',#158988,5.48960335300872); #145772=CYLINDRICAL_SURFACE('',#158997,0.290645359482048); #145773=CYLINDRICAL_SURFACE('',#159000,0.290952015348589); #145774=CYLINDRICAL_SURFACE('',#159003,3.23067853249477); #145775=CYLINDRICAL_SURFACE('',#159006,0.341362012714016); #145776=CYLINDRICAL_SURFACE('',#159009,4.57198878135673); #145777=CYLINDRICAL_SURFACE('',#159013,0.30382610502097); #145778=CYLINDRICAL_SURFACE('',#159016,0.303892899901544); #145779=CYLINDRICAL_SURFACE('',#159019,2.13908482149119); #145780=CYLINDRICAL_SURFACE('',#159030,2.27059347665917); #145781=CYLINDRICAL_SURFACE('',#159033,0.636185227079825); #145782=CYLINDRICAL_SURFACE('',#159036,3.72195468484384); #145783=CYLINDRICAL_SURFACE('',#159039,0.649523054316311); #145784=CYLINDRICAL_SURFACE('',#159042,3.0400951018949); #145785=CYLINDRICAL_SURFACE('',#159046,1.64184816218188); #145786=CYLINDRICAL_SURFACE('',#159049,0.704051202261948); #145787=CYLINDRICAL_SURFACE('',#159052,16.1298425116353); #145788=CYLINDRICAL_SURFACE('',#159060,0.426269419639482); #145789=CYLINDRICAL_SURFACE('',#159063,0.323611924986413); #145790=CYLINDRICAL_SURFACE('',#159066,74.0144366668227); #145791=CYLINDRICAL_SURFACE('',#159071,1.37181686390745); #145792=CYLINDRICAL_SURFACE('',#159074,0.504815864287751); #145793=CYLINDRICAL_SURFACE('',#159077,0.438357054881804); #145794=CYLINDRICAL_SURFACE('',#159086,0.0729479774096436); #145795=CYLINDRICAL_SURFACE('',#159103,0.110440696616918); #145796=CYLINDRICAL_SURFACE('',#159106,2.02581856439254); #145797=CYLINDRICAL_SURFACE('',#159109,0.545911699321393); #145798=CYLINDRICAL_SURFACE('',#159112,0.545961805255276); #145799=CYLINDRICAL_SURFACE('',#159115,0.535955110484622); #145800=CYLINDRICAL_SURFACE('',#159118,3.17840275235448); #145801=CYLINDRICAL_SURFACE('',#159121,0.11102680927058); #145802=CYLINDRICAL_SURFACE('',#159132,0.0737176957180273); #145803=CYLINDRICAL_SURFACE('',#159149,0.102426453863626); #145804=CYLINDRICAL_SURFACE('',#159154,0.339393800502251); #145805=CYLINDRICAL_SURFACE('',#159161,0.328259416009752); #145806=CYLINDRICAL_SURFACE('',#159165,0.182635570341194); #145807=CYLINDRICAL_SURFACE('',#159168,0.0988523516981202); #145808=CYLINDRICAL_SURFACE('',#159180,0.0812223099668334); #145809=CYLINDRICAL_SURFACE('',#159189,0.0795327565316845); #145810=CYLINDRICAL_SURFACE('',#159195,0.768304053162179); #145811=CYLINDRICAL_SURFACE('',#159198,0.117420620086153); #145812=CYLINDRICAL_SURFACE('',#159226,0.118536860158202); #145813=CYLINDRICAL_SURFACE('',#159230,0.543609881444916); #145814=CYLINDRICAL_SURFACE('',#159233,0.343919273891127); #145815=CYLINDRICAL_SURFACE('',#159236,0.378832516392882); #145816=CYLINDRICAL_SURFACE('',#159240,0.311434527168151); #145817=CYLINDRICAL_SURFACE('',#159243,0.245157299720516); #145818=CYLINDRICAL_SURFACE('',#159246,0.245414190793275); #145819=CYLINDRICAL_SURFACE('',#159249,0.326645123962751); #145820=CYLINDRICAL_SURFACE('',#159253,0.476108307192352); #145821=CYLINDRICAL_SURFACE('',#159278,0.587561261506494); #145822=CYLINDRICAL_SURFACE('',#159286,0.0837399734198511); #145823=CYLINDRICAL_SURFACE('',#159307,0.122816035634613); #145824=CYLINDRICAL_SURFACE('',#159310,0.109925734261558); #145825=CYLINDRICAL_SURFACE('',#159314,0.451294754539322); #145826=CYLINDRICAL_SURFACE('',#159317,0.388950906359728); #145827=CYLINDRICAL_SURFACE('',#159320,0.300499957251326); #145828=CYLINDRICAL_SURFACE('',#159323,0.300776713436281); #145829=CYLINDRICAL_SURFACE('',#159327,16.2646920055023); #145830=CYLINDRICAL_SURFACE('',#159330,0.251519686840036); #145831=CYLINDRICAL_SURFACE('',#159333,0.309018431504091); #145832=CYLINDRICAL_SURFACE('',#159336,0.309014456834864); #145833=CYLINDRICAL_SURFACE('',#159340,0.664107423117187); #145834=CYLINDRICAL_SURFACE('',#159343,0.465410348461668); #145835=CYLINDRICAL_SURFACE('',#159346,0.536689776983487); #145836=CYLINDRICAL_SURFACE('',#159349,0.536665446026793); #145837=CYLINDRICAL_SURFACE('',#159352,0.485400235296426); #145838=CYLINDRICAL_SURFACE('',#159355,0.496857845811217); #145839=CYLINDRICAL_SURFACE('',#159358,0.496669265313903); #145840=CYLINDRICAL_SURFACE('',#159361,0.500742822222396); #145841=CYLINDRICAL_SURFACE('',#159364,0.611477844226694); #145842=CYLINDRICAL_SURFACE('',#159367,0.730168809447588); #145843=CYLINDRICAL_SURFACE('',#159370,0.490704853186198); #145844=CYLINDRICAL_SURFACE('',#159373,0.676754177276971); #145845=CYLINDRICAL_SURFACE('',#159376,0.676787748281432); #145846=CYLINDRICAL_SURFACE('',#159380,0.433464131561065); #145847=CYLINDRICAL_SURFACE('',#159383,0.547987443352908); #145848=CYLINDRICAL_SURFACE('',#159387,0.338487731130758); #145849=CYLINDRICAL_SURFACE('',#159390,6.39088785384886); #145850=CYLINDRICAL_SURFACE('',#159396,0.374515557837544); #145851=CYLINDRICAL_SURFACE('',#159401,1.71939972776192); #145852=CYLINDRICAL_SURFACE('',#159404,0.477855577524143); #145853=CYLINDRICAL_SURFACE('',#159407,3.15714875961184); #145854=CYLINDRICAL_SURFACE('',#159411,0.105832571385682); #145855=CYLINDRICAL_SURFACE('',#159414,0.10779190714373); #145856=CYLINDRICAL_SURFACE('',#159417,0.442532357848748); #145857=CYLINDRICAL_SURFACE('',#159423,0.0887331011776585); #145858=CYLINDRICAL_SURFACE('',#159427,0.10514750594979); #145859=CYLINDRICAL_SURFACE('',#159436,215.224892615466); #145860=CYLINDRICAL_SURFACE('',#159439,1.88344080009686); #145861=CYLINDRICAL_SURFACE('',#159476,5.48318711210158); #145862=CYLINDRICAL_SURFACE('',#159502,0.107683202788893); #145863=CYLINDRICAL_SURFACE('',#159509,0.626958026541791); #145864=CYLINDRICAL_SURFACE('',#159512,0.129835627047221); #145865=CYLINDRICAL_SURFACE('',#159539,1.50113920200445); #145866=CYLINDRICAL_SURFACE('',#159565,0.0821050674463125); #145867=CYLINDRICAL_SURFACE('',#159568,0.106439679615692); #145868=CYLINDRICAL_SURFACE('',#159576,0.780668900489583); #145869=CYLINDRICAL_SURFACE('',#159587,0.0782875283789026); #145870=CYLINDRICAL_SURFACE('',#159590,0.0933918907492817); #145871=CYLINDRICAL_SURFACE('',#159606,1.42165991617498); #145872=CYLINDRICAL_SURFACE('',#159619,0.0750325365938363); #145873=CYLINDRICAL_SURFACE('',#159623,0.0924890516786759); #145874=CYLINDRICAL_SURFACE('',#159626,0.0876289592831825); #145875=CYLINDRICAL_SURFACE('',#159629,0.0996135341575671); #145876=CYLINDRICAL_SURFACE('',#159637,0.0944246957014825); #145877=CYLINDRICAL_SURFACE('',#159640,1.20058242690189); #145878=CYLINDRICAL_SURFACE('',#159651,0.0906771451607319); #145879=CYLINDRICAL_SURFACE('',#159655,0.0930517983495745); #145880=CYLINDRICAL_SURFACE('',#159661,0.0958221985297353); #145881=CYLINDRICAL_SURFACE('',#159669,0.113257482203567); #145882=CYLINDRICAL_SURFACE('',#159672,0.144097680882281); #145883=CYLINDRICAL_SURFACE('',#159681,6.24412944975185); #145884=CYLINDRICAL_SURFACE('',#159686,0.868215953056658); #145885=CYLINDRICAL_SURFACE('',#159713,0.0855668517365068); #145886=CYLINDRICAL_SURFACE('',#159716,1.55269497107524); #145887=CYLINDRICAL_SURFACE('',#159729,0.0814937946217679); #145888=CYLINDRICAL_SURFACE('',#159743,0.0890893319394814); #145889=CYLINDRICAL_SURFACE('',#159746,0.120613712467208); #145890=CYLINDRICAL_SURFACE('',#159753,0.929681941003976); #145891=CYLINDRICAL_SURFACE('',#159764,0.0832883208403102); #145892=CYLINDRICAL_SURFACE('',#159768,0.0952702151978979); #145893=CYLINDRICAL_SURFACE('',#159782,0.125544464076399); #145894=CYLINDRICAL_SURFACE('',#159787,86.0174320084205); #145895=CYLINDRICAL_SURFACE('',#159790,0.823498161442263); #145896=CYLINDRICAL_SURFACE('',#159793,0.128272563495561); #145897=CYLINDRICAL_SURFACE('',#159799,0.0913276694857523); #145898=CYLINDRICAL_SURFACE('',#159802,0.072155259481159); #145899=CYLINDRICAL_SURFACE('',#159806,17.7647738124498); #145900=CYLINDRICAL_SURFACE('',#159809,0.722494019976366); #145901=CYLINDRICAL_SURFACE('',#159812,0.695578185615779); #145902=CYLINDRICAL_SURFACE('',#159815,0.531907242496966); #145903=CYLINDRICAL_SURFACE('',#159818,73.3085691474745); #145904=CYLINDRICAL_SURFACE('',#159822,0.190427043195451); #145905=CYLINDRICAL_SURFACE('',#159825,0.191569621356384); #145906=CYLINDRICAL_SURFACE('',#159828,0.250498580708787); #145907=CYLINDRICAL_SURFACE('',#159831,0.251448396107815); #145908=CYLINDRICAL_SURFACE('',#159834,29.3046484212722); #145909=CYLINDRICAL_SURFACE('',#159838,0.168365330841975); #145910=CYLINDRICAL_SURFACE('',#159844,0.307906794975323); #145911=CYLINDRICAL_SURFACE('',#159847,0.102674043178854); #145912=CYLINDRICAL_SURFACE('',#159850,15.2120860771015); #145913=CYLINDRICAL_SURFACE('',#159853,0.577633880820536); #145914=CYLINDRICAL_SURFACE('',#159856,0.632679843499342); #145915=CYLINDRICAL_SURFACE('',#159859,1.23783559648902); #145916=CYLINDRICAL_SURFACE('',#159864,11.658445732573); #145917=CYLINDRICAL_SURFACE('',#159868,0.553939641115378); #145918=CYLINDRICAL_SURFACE('',#159871,0.317870194729048); #145919=CYLINDRICAL_SURFACE('',#159874,0.51501705241031); #145920=CYLINDRICAL_SURFACE('',#159877,154.994061492156); #145921=CYLINDRICAL_SURFACE('',#159881,0.103302320043662); #145922=CYLINDRICAL_SURFACE('',#159885,0.141649959491706); #145923=CYLINDRICAL_SURFACE('',#159888,10.4490138368247); #145924=CYLINDRICAL_SURFACE('',#159892,0.105928754490447); #145925=CYLINDRICAL_SURFACE('',#159895,0.102959046554667); #145926=CYLINDRICAL_SURFACE('',#159900,19.2273490705008); #145927=CYLINDRICAL_SURFACE('',#159903,0.037111357932099); #145928=CYLINDRICAL_SURFACE('',#159915,0.555572704129046); #145929=CYLINDRICAL_SURFACE('',#159930,1.11611772441692); #145930=CYLINDRICAL_SURFACE('',#159941,0.0827780936614787); #145931=CYLINDRICAL_SURFACE('',#159947,0.0999967292846247); #145932=CYLINDRICAL_SURFACE('',#159950,0.0749561892825277); #145933=CYLINDRICAL_SURFACE('',#159954,0.0818621941565929); #145934=CYLINDRICAL_SURFACE('',#159960,0.0919150570564306); #145935=CYLINDRICAL_SURFACE('',#159967,5.51016658623633); #145936=CYLINDRICAL_SURFACE('',#159970,0.105927372832035); #145937=CYLINDRICAL_SURFACE('',#159979,0.083822655620029); #145938=CYLINDRICAL_SURFACE('',#159986,0.0879873735896713); #145939=CYLINDRICAL_SURFACE('',#159990,0.0728334508367981); #145940=CYLINDRICAL_SURFACE('',#159995,0.0770968321371461); #145941=CYLINDRICAL_SURFACE('',#159998,0.105558872571584); #145942=CYLINDRICAL_SURFACE('',#160005,0.62198681094398); #145943=CYLINDRICAL_SURFACE('',#160024,0.0997475341161394); #145944=CYLINDRICAL_SURFACE('',#160028,0.0998981014945361); #145945=CYLINDRICAL_SURFACE('',#160035,1.15626054329132); #145946=CYLINDRICAL_SURFACE('',#160038,0.102720421302007); #145947=CYLINDRICAL_SURFACE('',#160046,0.0822184790668133); #145948=CYLINDRICAL_SURFACE('',#160053,0.0826416357684642); #145949=CYLINDRICAL_SURFACE('',#160056,0.0978674415403345); #145950=CYLINDRICAL_SURFACE('',#160063,0.0767543610205989); #145951=CYLINDRICAL_SURFACE('',#160067,0.103269520105372); #145952=CYLINDRICAL_SURFACE('',#160075,1.46949283264053); #145953=CYLINDRICAL_SURFACE('',#160078,0.112585393498935); #145954=CYLINDRICAL_SURFACE('',#160091,0.094671140851013); #145955=CYLINDRICAL_SURFACE('',#160094,0.0936234709506061); #145956=CYLINDRICAL_SURFACE('',#160105,0.455328495851074); #145957=CYLINDRICAL_SURFACE('',#160108,1.14061818225375); #145958=CYLINDRICAL_SURFACE('',#160112,1.37232115154424); #145959=CYLINDRICAL_SURFACE('',#160115,0.504797625370635); #145960=CYLINDRICAL_SURFACE('',#160119,0.0968124112391718); #145961=CYLINDRICAL_SURFACE('',#160126,0.945271227669055); #145962=CYLINDRICAL_SURFACE('',#160134,0.0804505249381364); #145963=CYLINDRICAL_SURFACE('',#160149,0.0786216907447893); #145964=CYLINDRICAL_SURFACE('',#160152,0.0682158132681224); #145965=CYLINDRICAL_SURFACE('',#160159,1.981480445909); #145966=CYLINDRICAL_SURFACE('',#160162,0.545885277968422); #145967=CYLINDRICAL_SURFACE('',#160165,0.546009907515036); #145968=CYLINDRICAL_SURFACE('',#160168,0.536006455375661); #145969=CYLINDRICAL_SURFACE('',#160171,3.18129675467693); #145970=CYLINDRICAL_SURFACE('',#160174,0.134785721358052); #145971=CYLINDRICAL_SURFACE('',#160181,0.0832052657118175); #145972=CYLINDRICAL_SURFACE('',#160184,0.0799306686953507); #145973=CYLINDRICAL_SURFACE('',#160208,82.2838900041996); #145974=CYLINDRICAL_SURFACE('',#160212,0.256665223726393); #145975=CYLINDRICAL_SURFACE('',#160215,0.0885324353793246); #145976=CYLINDRICAL_SURFACE('',#160241,1.5818097934408); #145977=CYLINDRICAL_SURFACE('',#160264,0.0820613773110787); #145978=CYLINDRICAL_SURFACE('',#160270,0.125580497717899); #145979=CYLINDRICAL_SURFACE('',#160274,2.8333871516081); #145980=CYLINDRICAL_SURFACE('',#160277,25.9089853597786); #145981=CYLINDRICAL_SURFACE('',#160285,0.805621888420181); #145982=CYLINDRICAL_SURFACE('',#160288,0.0815942535027723); #145983=CYLINDRICAL_SURFACE('',#160295,0.0748280645772042); #145984=CYLINDRICAL_SURFACE('',#160298,0.0769299911400495); #145985=CYLINDRICAL_SURFACE('',#160314,1.21592985019568); #145986=CYLINDRICAL_SURFACE('',#160333,0.0723135605768623); #145987=CYLINDRICAL_SURFACE('',#160344,0.17316023843655); #145988=CYLINDRICAL_SURFACE('',#160352,278.52450847173); #145989=CYLINDRICAL_SURFACE('',#160362,219.334104685377); #145990=CYLINDRICAL_SURFACE('',#160370,0.00100691981691662); #145991=CYLINDRICAL_SURFACE('',#160373,0.0482995419257896); #145992=CYLINDRICAL_SURFACE('',#160380,0.114156891437251); #145993=CYLINDRICAL_SURFACE('',#160394,0.145460617134217); #145994=CYLINDRICAL_SURFACE('',#160403,0.789838004996027); #145995=CYLINDRICAL_SURFACE('',#160430,1.11976968436531); #145996=CYLINDRICAL_SURFACE('',#160455,0.0818167826108934); #145997=CYLINDRICAL_SURFACE('',#160465,9.50612823319785); #145998=CYLINDRICAL_SURFACE('',#160468,0.114853004709298); #145999=CYLINDRICAL_SURFACE('',#160478,0.0894538556543754); #146000=CYLINDRICAL_SURFACE('',#160482,0.0927089229156866); #146001=CYLINDRICAL_SURFACE('',#160495,0.108240234750415); #146002=CYLINDRICAL_SURFACE('',#160502,0.659846844145173); #146003=CYLINDRICAL_SURFACE('',#160511,0.0791280771148861); #146004=CYLINDRICAL_SURFACE('',#160518,0.0904099798010214); #146005=CYLINDRICAL_SURFACE('',#160521,0.0777879425351801); #146006=CYLINDRICAL_SURFACE('',#160527,0.0887062320193154); #146007=CYLINDRICAL_SURFACE('',#160530,0.0642299411037684); #146008=CYLINDRICAL_SURFACE('',#160535,1.48066167544351); #146009=CYLINDRICAL_SURFACE('',#160552,1.82166242699331); #146010=CYLINDRICAL_SURFACE('',#160555,0.250569970221145); #146011=CYLINDRICAL_SURFACE('',#160558,0.282962279068968); #146012=CYLINDRICAL_SURFACE('',#160561,0.28301976577758); #146013=CYLINDRICAL_SURFACE('',#160567,0.271040787910565); #146014=CYLINDRICAL_SURFACE('',#160574,1.6978278657241); #146015=CYLINDRICAL_SURFACE('',#160577,0.289009301445836); #146016=CYLINDRICAL_SURFACE('',#160580,0.270942014133773); #146017=CYLINDRICAL_SURFACE('',#160589,0.0939012968223774); #146018=CYLINDRICAL_SURFACE('',#160593,5.77886981803066); #146019=CYLINDRICAL_SURFACE('',#160596,0.368535165471502); #146020=CYLINDRICAL_SURFACE('',#160599,0.317933487595938); #146021=CYLINDRICAL_SURFACE('',#160602,0.317998399095903); #146022=CYLINDRICAL_SURFACE('',#160606,0.30581208003195); #146023=CYLINDRICAL_SURFACE('',#160609,0.323099428576669); #146024=CYLINDRICAL_SURFACE('',#160612,7.87736104349402); #146025=CYLINDRICAL_SURFACE('',#160615,0.0988190409326017); #146026=CYLINDRICAL_SURFACE('',#160620,0.0730596809193464); #146027=CYLINDRICAL_SURFACE('',#160630,0.0849896239598226); #146028=CYLINDRICAL_SURFACE('',#160641,0.0855582020459112); #146029=CYLINDRICAL_SURFACE('',#160645,0.11307432732364); #146030=CYLINDRICAL_SURFACE('',#160650,0.809521709238272); #146031=CYLINDRICAL_SURFACE('',#160672,0.463837145145807); #146032=CYLINDRICAL_SURFACE('',#160675,0.532715976547881); #146033=CYLINDRICAL_SURFACE('',#160678,0.433311221624735); #146034=CYLINDRICAL_SURFACE('',#160682,0.775624988929301); #146035=CYLINDRICAL_SURFACE('',#160691,0.0894174463144659); #146036=CYLINDRICAL_SURFACE('',#160694,0.105536159158387); #146037=CYLINDRICAL_SURFACE('',#160707,0.0772587502574215); #146038=CYLINDRICAL_SURFACE('',#160710,0.490273133810468); #146039=CYLINDRICAL_SURFACE('',#160713,0.112832296120871); #146040=CYLINDRICAL_SURFACE('',#160717,0.0802627750456308); #146041=CYLINDRICAL_SURFACE('',#160720,0.0750285918142233); #146042=CYLINDRICAL_SURFACE('',#160726,0.0767813174326036); #146043=CYLINDRICAL_SURFACE('',#160729,0.0750264497248656); #146044=CYLINDRICAL_SURFACE('',#160732,0.0781867166202698); #146045=CYLINDRICAL_SURFACE('',#160735,0.0970708623400653); #146046=CYLINDRICAL_SURFACE('',#160738,0.0696512296956587); #146047=CYLINDRICAL_SURFACE('',#160741,0.133227435667548); #146048=CYLINDRICAL_SURFACE('',#160744,0.0783298312648638); #146049=CYLINDRICAL_SURFACE('',#160749,0.113688862682012); #146050=CYLINDRICAL_SURFACE('',#160753,0.534065050091105); #146051=CYLINDRICAL_SURFACE('',#160756,0.538218503599571); #146052=CYLINDRICAL_SURFACE('',#160759,0.538122184927304); #146053=CYLINDRICAL_SURFACE('',#160762,0.748693567377395); #146054=CYLINDRICAL_SURFACE('',#160765,0.669638000831607); #146055=CYLINDRICAL_SURFACE('',#160770,0.516875123545547); #146056=CYLINDRICAL_SURFACE('',#160780,0.104471996836836); #146057=CYLINDRICAL_SURFACE('',#160789,0.0934207615250365); #146058=CYLINDRICAL_SURFACE('',#160800,0.0855281204262666); #146059=CYLINDRICAL_SURFACE('',#160804,1.90240957339811); #146060=CYLINDRICAL_SURFACE('',#160820,0.102006983473234); #146061=CYLINDRICAL_SURFACE('',#160823,0.0701669149410027); #146062=CYLINDRICAL_SURFACE('',#160831,0.0934625301107319); #146063=CYLINDRICAL_SURFACE('',#160835,0.13010397940497); #146064=CYLINDRICAL_SURFACE('',#160840,0.696421717776839); #146065=CYLINDRICAL_SURFACE('',#160843,0.464629051956554); #146066=CYLINDRICAL_SURFACE('',#160857,0.393864448088191); #146067=CYLINDRICAL_SURFACE('',#160860,0.187716634833203); #146068=CYLINDRICAL_SURFACE('',#160863,0.461293585203558); #146069=CYLINDRICAL_SURFACE('',#160872,0.0915067841967203); #146070=CYLINDRICAL_SURFACE('',#160883,0.0794567872157119); #146071=CYLINDRICAL_SURFACE('',#160894,1.59495263285085); #146072=CYLINDRICAL_SURFACE('',#160899,0.126918550208525); #146073=CYLINDRICAL_SURFACE('',#160904,0.0942881621524251); #146074=CYLINDRICAL_SURFACE('',#160910,0.0783132189939038); #146075=CYLINDRICAL_SURFACE('',#160913,0.0979356841685491); #146076=CYLINDRICAL_SURFACE('',#160924,0.0832290881778921); #146077=CYLINDRICAL_SURFACE('',#160928,0.109578908263496); #146078=CYLINDRICAL_SURFACE('',#160931,2.52297379400951); #146079=CYLINDRICAL_SURFACE('',#160934,0.147317373467902); #146080=CYLINDRICAL_SURFACE('',#160942,0.0810185698378205); #146081=CYLINDRICAL_SURFACE('',#160948,0.077416006709361); #146082=CYLINDRICAL_SURFACE('',#160952,0.0788405794884806); #146083=CYLINDRICAL_SURFACE('',#160963,0.0974110873300085); #146084=CYLINDRICAL_SURFACE('',#160967,0.142883856119341); #146085=CYLINDRICAL_SURFACE('',#160974,0.907868211971604); #146086=CYLINDRICAL_SURFACE('',#160978,0.906225601303405); #146087=CYLINDRICAL_SURFACE('',#160982,0.0905803528341497); #146088=CYLINDRICAL_SURFACE('',#160985,0.0945950671318943); #146089=CYLINDRICAL_SURFACE('',#160997,0.0922010083552546); #146090=CYLINDRICAL_SURFACE('',#161004,0.117645803875305); #146091=CYLINDRICAL_SURFACE('',#161007,1.25579721419084); #146092=CYLINDRICAL_SURFACE('',#161011,0.378471900601505); #146093=CYLINDRICAL_SURFACE('',#161014,0.344526515694321); #146094=CYLINDRICAL_SURFACE('',#161017,3.4060329530661); #146095=CYLINDRICAL_SURFACE('',#161044,0.0528129272118819); #146096=CYLINDRICAL_SURFACE('',#161050,0.422794656742339); #146097=CYLINDRICAL_SURFACE('',#161053,0.235395242097346); #146098=CYLINDRICAL_SURFACE('',#161056,0.415384896688011); #146099=CYLINDRICAL_SURFACE('',#161075,0.10854385651048); #146100=CYLINDRICAL_SURFACE('',#161080,0.600869953995008); #146101=CYLINDRICAL_SURFACE('',#161109,3.07371147502244); #146102=CYLINDRICAL_SURFACE('',#161137,0.104045095236996); #146103=CYLINDRICAL_SURFACE('',#161142,5.13362221699515); #146104=CYLINDRICAL_SURFACE('',#161145,0.391905971269072); #146105=CYLINDRICAL_SURFACE('',#161148,0.363349032578157); #146106=CYLINDRICAL_SURFACE('',#161151,5.56872742834363); #146107=CYLINDRICAL_SURFACE('',#161165,1.03867948949606); #146108=CYLINDRICAL_SURFACE('',#161168,0.265454501078592); #146109=CYLINDRICAL_SURFACE('',#161171,0.353018924289578); #146110=CYLINDRICAL_SURFACE('',#161193,0.117340436764265); #146111=CYLINDRICAL_SURFACE('',#161196,1.25600340757748); #146112=CYLINDRICAL_SURFACE('',#161200,0.378578321844736); #146113=CYLINDRICAL_SURFACE('',#161203,0.351553358069742); #146114=CYLINDRICAL_SURFACE('',#161206,4.10989739629597); #146115=CYLINDRICAL_SURFACE('',#161209,0.220780215012419); #146116=CYLINDRICAL_SURFACE('',#161212,0.093428057605274); #146117=CYLINDRICAL_SURFACE('',#161226,0.0792636089076893); #146118=CYLINDRICAL_SURFACE('',#161238,0.103555938943582); #146119=CYLINDRICAL_SURFACE('',#161246,0.545579247804718); #146120=CYLINDRICAL_SURFACE('',#161249,0.101533624921882); #146121=CYLINDRICAL_SURFACE('',#161274,0.0998578164891769); #146122=CYLINDRICAL_SURFACE('',#161277,1.79119378407572); #146123=CYLINDRICAL_SURFACE('',#161280,0.111229110806506); #146124=CYLINDRICAL_SURFACE('',#161283,0.0856029395907034); #146125=CYLINDRICAL_SURFACE('',#161296,0.0893297215187032); #146126=CYLINDRICAL_SURFACE('',#161309,0.10974469383916); #146127=CYLINDRICAL_SURFACE('',#161315,0.907802212409617); #146128=CYLINDRICAL_SURFACE('',#161319,1.55749079923221); #146129=CYLINDRICAL_SURFACE('',#161322,0.127282945299721); #146130=CYLINDRICAL_SURFACE('',#161334,0.173022687707868); #146131=CYLINDRICAL_SURFACE('',#161337,4.23510859185589); #146132=CYLINDRICAL_SURFACE('',#161341,0.20449676184008); #146133=CYLINDRICAL_SURFACE('',#161344,0.204169754344741); #146134=CYLINDRICAL_SURFACE('',#161347,1.55535237030284); #146135=CYLINDRICAL_SURFACE('',#161351,0.110173313469605); #146136=CYLINDRICAL_SURFACE('',#161356,0.1015973392179); #146137=CYLINDRICAL_SURFACE('',#161360,0.102003394920433); #146138=CYLINDRICAL_SURFACE('',#161363,0.10441991357831); #146139=CYLINDRICAL_SURFACE('',#161366,1.1951368486174); #146140=CYLINDRICAL_SURFACE('',#161370,0.817946590532592); #146141=CYLINDRICAL_SURFACE('',#161373,1.13239417514472); #146142=CYLINDRICAL_SURFACE('',#161376,0.985405173732764); #146143=CYLINDRICAL_SURFACE('',#161383,0.493693375398089); #146144=CYLINDRICAL_SURFACE('',#161386,0.730641845416028); #146145=CYLINDRICAL_SURFACE('',#161390,0.363685550748087); #146146=CYLINDRICAL_SURFACE('',#161393,0.363303711431466); #146147=CYLINDRICAL_SURFACE('',#161396,1.59044429569808); #146148=CYLINDRICAL_SURFACE('',#161402,0.101688194750229); #146149=CYLINDRICAL_SURFACE('',#161407,0.430100736572322); #146150=CYLINDRICAL_SURFACE('',#161411,0.14078695491611); #146151=CYLINDRICAL_SURFACE('',#161416,0.0847361674765533); #146152=CYLINDRICAL_SURFACE('',#161422,0.0922386641883044); #146153=CYLINDRICAL_SURFACE('',#161425,0.30420345908717); #146154=CYLINDRICAL_SURFACE('',#161430,0.310677105498894); #146155=CYLINDRICAL_SURFACE('',#161433,0.106096432029266); #146156=CYLINDRICAL_SURFACE('',#161437,0.106151315031239); #146157=CYLINDRICAL_SURFACE('',#161444,0.105544653327252); #146158=CYLINDRICAL_SURFACE('',#161447,0.386166105554116); #146159=CYLINDRICAL_SURFACE('',#161452,2.26863355391151); #146160=CYLINDRICAL_SURFACE('',#161456,0.0711172830668271); #146161=CYLINDRICAL_SURFACE('',#161459,0.0879212102005686); #146162=CYLINDRICAL_SURFACE('',#161470,0.080813350909455); #146163=CYLINDRICAL_SURFACE('',#161480,0.115096766315758); #146164=CYLINDRICAL_SURFACE('',#161483,0.120614733998599); #146165=CYLINDRICAL_SURFACE('',#161487,0.543587129460462); #146166=CYLINDRICAL_SURFACE('',#161490,0.343842759707508); #146167=CYLINDRICAL_SURFACE('',#161493,0.378886225352417); #146168=CYLINDRICAL_SURFACE('',#161497,0.311578114971753); #146169=CYLINDRICAL_SURFACE('',#161500,0.245204090834266); #146170=CYLINDRICAL_SURFACE('',#161503,0.245334275352755); #146171=CYLINDRICAL_SURFACE('',#161506,0.326659764883052); #146172=CYLINDRICAL_SURFACE('',#161510,0.456575555255316); #146173=CYLINDRICAL_SURFACE('',#161524,0.0971275965486188); #146174=CYLINDRICAL_SURFACE('',#161529,0.0816276910909876); #146175=CYLINDRICAL_SURFACE('',#161538,0.694489605953538); #146176=CYLINDRICAL_SURFACE('',#161541,0.136054440103362); #146177=CYLINDRICAL_SURFACE('',#161544,0.0894287826766391); #146178=CYLINDRICAL_SURFACE('',#161555,0.0880557175079391); #146179=CYLINDRICAL_SURFACE('',#161563,0.0759140801901698); #146180=CYLINDRICAL_SURFACE('',#161571,0.119010918686516); #146181=CYLINDRICAL_SURFACE('',#161575,0.450783790653535); #146182=CYLINDRICAL_SURFACE('',#161578,0.389065286759462); #146183=CYLINDRICAL_SURFACE('',#161581,0.300954959244989); #146184=CYLINDRICAL_SURFACE('',#161584,0.300252752226508); #146185=CYLINDRICAL_SURFACE('',#161588,16.1821702523097); #146186=CYLINDRICAL_SURFACE('',#161591,0.251343230514551); #146187=CYLINDRICAL_SURFACE('',#161594,0.309186200582238); #146188=CYLINDRICAL_SURFACE('',#161597,0.308818685139844); #146189=CYLINDRICAL_SURFACE('',#161600,0.328204285316308); #146190=CYLINDRICAL_SURFACE('',#161604,0.194506785283678); #146191=CYLINDRICAL_SURFACE('',#161623,0.0758191076595685); #146192=CYLINDRICAL_SURFACE('',#161629,0.0766103533764459); #146193=CYLINDRICAL_SURFACE('',#161632,0.718802136635455); #146194=CYLINDRICAL_SURFACE('',#161638,0.372165499702963); #146195=CYLINDRICAL_SURFACE('',#161641,0.191625363355633); #146196=CYLINDRICAL_SURFACE('',#161644,0.481023357563821); #146197=CYLINDRICAL_SURFACE('',#161654,0.397074696072706); #146198=CYLINDRICAL_SURFACE('',#161657,0.228290313590442); #146199=CYLINDRICAL_SURFACE('',#161660,0.582583324833357); #146200=CYLINDRICAL_SURFACE('',#161670,5.75781575954018); #146201=CYLINDRICAL_SURFACE('',#161673,0.368544195302438); #146202=CYLINDRICAL_SURFACE('',#161676,0.317979168218311); #146203=CYLINDRICAL_SURFACE('',#161679,0.317971077717947); #146204=CYLINDRICAL_SURFACE('',#161683,0.320886016363045); #146205=CYLINDRICAL_SURFACE('',#161686,0.314759433963017); #146206=CYLINDRICAL_SURFACE('',#161689,4.25876314048782); #146207=CYLINDRICAL_SURFACE('',#161718,0.107095550303003); #146208=CYLINDRICAL_SURFACE('',#161723,1.22034494980744); #146209=CYLINDRICAL_SURFACE('',#161769,0.97564724635306); #146210=CYLINDRICAL_SURFACE('',#161772,0.0984494176289004); #146211=CYLINDRICAL_SURFACE('',#161798,0.0878102617973137); #146212=CYLINDRICAL_SURFACE('',#161804,0.149657985350025); #146213=CYLINDRICAL_SURFACE('',#161810,7.31167008347585); #146214=CYLINDRICAL_SURFACE('',#161821,0.0787504969046963); #146215=CYLINDRICAL_SURFACE('',#161830,0.0723972329841722); #146216=CYLINDRICAL_SURFACE('',#161842,0.153716681492421); #146217=CYLINDRICAL_SURFACE('',#161845,16.6290130756); #146218=CYLINDRICAL_SURFACE('',#161848,24.0549124985804); #146219=CYLINDRICAL_SURFACE('',#161851,0.0986413777051538); #146220=CYLINDRICAL_SURFACE('',#161879,0.11627448185548); #146221=CYLINDRICAL_SURFACE('',#161884,0.898974373509987); #146222=CYLINDRICAL_SURFACE('',#161914,3.43004098426302); #146223=CYLINDRICAL_SURFACE('',#161917,0.108897396509477); #146224=CYLINDRICAL_SURFACE('',#161946,0.127259560709917); #146225=CYLINDRICAL_SURFACE('',#161953,1.11563274969427); #146226=CYLINDRICAL_SURFACE('',#161964,0.284907135226517); #146227=CYLINDRICAL_SURFACE('',#161967,5.90554905204761); #146228=CYLINDRICAL_SURFACE('',#161970,0.283209428183533); #146229=CYLINDRICAL_SURFACE('',#161973,11.6618662553054); #146230=CYLINDRICAL_SURFACE('',#161976,0.28704150588442); #146231=CYLINDRICAL_SURFACE('',#161979,0.286989444289458); #146232=CYLINDRICAL_SURFACE('',#161982,1.06279894101389); #146233=CYLINDRICAL_SURFACE('',#161986,0.522836819410157); #146234=CYLINDRICAL_SURFACE('',#161989,0.630533102697036); #146235=CYLINDRICAL_SURFACE('',#161992,2.55044051599746); #146236=CYLINDRICAL_SURFACE('',#161996,0.661543785482483); #146237=CYLINDRICAL_SURFACE('',#161999,1.62960098314593); #146238=CYLINDRICAL_SURFACE('',#162002,2.28567831703376); #146239=CYLINDRICAL_SURFACE('',#162005,0.703482606856437); #146240=CYLINDRICAL_SURFACE('',#162008,3.66600049305884); #146241=CYLINDRICAL_SURFACE('',#162011,0.599978751524513); #146242=CYLINDRICAL_SURFACE('',#162014,6.43900249269026); #146243=CYLINDRICAL_SURFACE('',#162017,0.632257269661307); #146244=CYLINDRICAL_SURFACE('',#162020,0.965590205308063); #146245=CYLINDRICAL_SURFACE('',#162024,0.874659614709999); #146246=CYLINDRICAL_SURFACE('',#162027,133.101721207455); #146247=CYLINDRICAL_SURFACE('',#162031,12.8854309881372); #146248=CYLINDRICAL_SURFACE('',#162035,1.73085227173609); #146249=CYLINDRICAL_SURFACE('',#162041,13.2024127450994); #146250=CYLINDRICAL_SURFACE('',#162047,0.445692545591108); #146251=CYLINDRICAL_SURFACE('',#162050,117.453493077241); #146252=CYLINDRICAL_SURFACE('',#162055,51.2208081831886); #146253=CYLINDRICAL_SURFACE('',#162064,1.25822912596729); #146254=CYLINDRICAL_SURFACE('',#162067,21.0150378184121); #146255=CYLINDRICAL_SURFACE('',#162070,37.8281081632431); #146256=CYLINDRICAL_SURFACE('',#162073,0.399814968425919); #146257=CYLINDRICAL_SURFACE('',#162077,1827.98491551723); #146258=CYLINDRICAL_SURFACE('',#162081,154.829294606447); #146259=CYLINDRICAL_SURFACE('',#162085,1.09616107300747); #146260=CYLINDRICAL_SURFACE('',#162090,76.0580184775208); #146261=CYLINDRICAL_SURFACE('',#162094,15.3624703089236); #146262=CYLINDRICAL_SURFACE('',#162098,154.890973106455); #146263=CYLINDRICAL_SURFACE('',#162119,0.113553774990916); #146264=CYLINDRICAL_SURFACE('',#162122,3.11990785915084); #146265=CYLINDRICAL_SURFACE('',#162141,0.0772681576067515); #146266=CYLINDRICAL_SURFACE('',#162151,0.107624609781888); #146267=CYLINDRICAL_SURFACE('',#162155,149.7487751003); #146268=CYLINDRICAL_SURFACE('',#162158,1.00560298311548); #146269=CYLINDRICAL_SURFACE('',#162185,0.0935402765903955); #146270=CYLINDRICAL_SURFACE('',#162192,4.12930911065181); #146271=CYLINDRICAL_SURFACE('',#162213,0.0790644841746479); #146272=CYLINDRICAL_SURFACE('',#162222,0.0988250835271017); #146273=CYLINDRICAL_SURFACE('',#162225,0.123903289704695); #146274=CYLINDRICAL_SURFACE('',#162229,64.9533835711175); #146275=CYLINDRICAL_SURFACE('',#162234,2.21099597575617); #146276=CYLINDRICAL_SURFACE('',#162242,0.079483108272724); #146277=CYLINDRICAL_SURFACE('',#162290,1.); #146278=CYLINDRICAL_SURFACE('',#162294,1.); #146279=CYLINDRICAL_SURFACE('',#162307,2.5); #146280=CYLINDRICAL_SURFACE('',#162311,1.); #146281=CYLINDRICAL_SURFACE('',#162319,1.); #146282=CYLINDRICAL_SURFACE('',#162322,1.); #146283=CYLINDRICAL_SURFACE('',#162325,1.); #146284=CYLINDRICAL_SURFACE('',#162348,1.); #146285=CYLINDRICAL_SURFACE('',#162360,1.); #146286=CYLINDRICAL_SURFACE('',#162363,1.); #146287=CYLINDRICAL_SURFACE('',#162365,1.); #146288=CYLINDRICAL_SURFACE('',#162373,1.); #146289=CYLINDRICAL_SURFACE('',#162375,1.); #146290=CYLINDRICAL_SURFACE('',#162376,1.); #146291=CYLINDRICAL_SURFACE('',#162377,1.); #146292=CYLINDRICAL_SURFACE('',#162378,0.999999999999999); #146293=CYLINDRICAL_SURFACE('',#162380,1.); #146294=CYLINDRICAL_SURFACE('',#162381,2.7); #146295=CYLINDRICAL_SURFACE('',#162384,1.); #146296=CYLINDRICAL_SURFACE('',#162387,1.); #146297=CYLINDRICAL_SURFACE('',#162390,1.); #146298=CYLINDRICAL_SURFACE('',#162393,1.9); #146299=CYLINDRICAL_SURFACE('',#162395,1.); #146300=CYLINDRICAL_SURFACE('',#162398,1.); #146301=CYLINDRICAL_SURFACE('',#162400,1.9); #146302=CYLINDRICAL_SURFACE('',#162402,1.); #146303=CYLINDRICAL_SURFACE('',#162404,1.); #146304=CYLINDRICAL_SURFACE('',#162406,0.6355); #146305=CYLINDRICAL_SURFACE('',#162410,0.6355); #146306=CYLINDRICAL_SURFACE('',#162417,0.499999999999999); #146307=CYLINDRICAL_SURFACE('',#162420,0.5); #146308=CYLINDRICAL_SURFACE('',#162423,0.5); #146309=CYLINDRICAL_SURFACE('',#162426,0.5); #146310=CYLINDRICAL_SURFACE('',#162433,1.85); #146311=CYLINDRICAL_SURFACE('',#162436,1.85); #146312=CYLINDRICAL_SURFACE('',#162439,1.85); #146313=CYLINDRICAL_SURFACE('',#162463,1.49999999999999); #146314=CYLINDRICAL_SURFACE('',#162465,1.49999999999999); #146315=CYLINDRICAL_SURFACE('',#162468,1.49999999999999); #146316=CYLINDRICAL_SURFACE('',#162471,1.49999999999999); #146317=CYLINDRICAL_SURFACE('',#162472,1.49999999999999); #146318=CYLINDRICAL_SURFACE('',#162474,1.49999999999999); #146319=CYLINDRICAL_SURFACE('',#162477,1.49999999999999); #146320=CYLINDRICAL_SURFACE('',#162479,1.49999999999999); #146321=CYLINDRICAL_SURFACE('',#162482,1.49999999999999); #146322=CYLINDRICAL_SURFACE('',#162485,1.49999999999999); #146323=CYLINDRICAL_SURFACE('',#162488,1.49999999999999); #146324=CYLINDRICAL_SURFACE('',#162491,1.49999999999999); #146325=CYLINDRICAL_SURFACE('',#162493,1.49999999999999); #146326=CYLINDRICAL_SURFACE('',#162496,1.49999999999999); #146327=CYLINDRICAL_SURFACE('',#162510,0.45); #146328=CYLINDRICAL_SURFACE('',#162516,0.572668331506584); #146329=CYLINDRICAL_SURFACE('',#162518,0.572668331506584); #146330=CYLINDRICAL_SURFACE('',#162519,0.572668331506584); #146331=CYLINDRICAL_SURFACE('',#162520,0.572668331506584); #146332=CYLINDRICAL_SURFACE('',#162521,0.572668331506584); #146333=CYLINDRICAL_SURFACE('',#162522,0.572668331506584); #146334=CYLINDRICAL_SURFACE('',#162523,0.572668331506584); #146335=CYLINDRICAL_SURFACE('',#162524,0.572668331506584); #146336=CYLINDRICAL_SURFACE('',#162525,0.572668331506584); #146337=CYLINDRICAL_SURFACE('',#162526,0.572668331506584); #146338=CYLINDRICAL_SURFACE('',#162527,0.572668331506584); #146339=CYLINDRICAL_SURFACE('',#162528,0.572668331506584); #146340=CYLINDRICAL_SURFACE('',#162529,0.572668331506584); #146341=CYLINDRICAL_SURFACE('',#162530,0.572668331506584); #146342=CYLINDRICAL_SURFACE('',#162531,0.572668331506584); #146343=CYLINDRICAL_SURFACE('',#162532,0.572668331506584); #146344=CYLINDRICAL_SURFACE('',#162537,0.799999999999999); #146345=CYLINDRICAL_SURFACE('',#162539,0.799999999999999); #146346=CYLINDRICAL_SURFACE('',#162540,0.799999999999999); #146347=CYLINDRICAL_SURFACE('',#162541,0.799999999999999); #146348=CYLINDRICAL_SURFACE('',#162542,0.799999999999999); #146349=CYLINDRICAL_SURFACE('',#162543,0.799999999999999); #146350=CYLINDRICAL_SURFACE('',#162544,0.799999999999999); #146351=CYLINDRICAL_SURFACE('',#162545,0.799999999999999); #146352=CYLINDRICAL_SURFACE('',#162546,0.799999999999999); #146353=CYLINDRICAL_SURFACE('',#162547,0.799999999999999); #146354=CYLINDRICAL_SURFACE('',#162548,0.799999999999999); #146355=CYLINDRICAL_SURFACE('',#162549,0.799999999999999); #146356=CYLINDRICAL_SURFACE('',#162550,0.799999999999999); #146357=CYLINDRICAL_SURFACE('',#162551,0.799999999999999); #146358=CYLINDRICAL_SURFACE('',#162552,0.799999999999999); #146359=CYLINDRICAL_SURFACE('',#162553,0.799999999999999); #146360=CYLINDRICAL_SURFACE('',#162557,0.799999999999999); #146361=CYLINDRICAL_SURFACE('',#162582,1.5); #146362=CYLINDRICAL_SURFACE('',#162614,0.075); #146363=CYLINDRICAL_SURFACE('',#162615,0.075); #146364=CYLINDRICAL_SURFACE('',#162616,0.075); #146365=CYLINDRICAL_SURFACE('',#162617,0.075); #146366=CYLINDRICAL_SURFACE('',#162618,0.075); #146367=CYLINDRICAL_SURFACE('',#162619,0.075); #146368=CYLINDRICAL_SURFACE('',#162622,0.572668331506584); #146369=CYLINDRICAL_SURFACE('',#162624,0.489523388315608); #146370=CYLINDRICAL_SURFACE('',#162627,0.183509676017389); #146371=CYLINDRICAL_SURFACE('',#162630,0.170577015064482); #146372=CYLINDRICAL_SURFACE('',#162633,0.156075685945464); #146373=CYLINDRICAL_SURFACE('',#162636,0.429310695671037); #146374=CYLINDRICAL_SURFACE('',#162639,0.507611198953031); #146375=CYLINDRICAL_SURFACE('',#162643,0.487436669368577); #146376=CYLINDRICAL_SURFACE('',#162646,0.184129527666576); #146377=CYLINDRICAL_SURFACE('',#162649,0.172794542778188); #146378=CYLINDRICAL_SURFACE('',#162652,0.160814001864765); #146379=CYLINDRICAL_SURFACE('',#162655,0.44563717947812); #146380=CYLINDRICAL_SURFACE('',#162658,0.504193838910022); #146381=CYLINDRICAL_SURFACE('',#162662,0.472410467170647); #146382=CYLINDRICAL_SURFACE('',#162666,0.575937579755273); #146383=CYLINDRICAL_SURFACE('',#162669,0.574777470005506); #146384=CYLINDRICAL_SURFACE('',#162672,0.308508090295954); #146385=CYLINDRICAL_SURFACE('',#162675,0.229501836593286); #146386=CYLINDRICAL_SURFACE('',#162678,0.239573448085599); #146387=CYLINDRICAL_SURFACE('',#162681,0.233857392704913); #146388=CYLINDRICAL_SURFACE('',#162684,0.47340415640383); #146389=CYLINDRICAL_SURFACE('',#162688,0.549708575924296); #146390=CYLINDRICAL_SURFACE('',#162691,0.505589636043687); #146391=CYLINDRICAL_SURFACE('',#162694,0.314013218534985); #146392=CYLINDRICAL_SURFACE('',#162697,0.228672013569146); #146393=CYLINDRICAL_SURFACE('',#162700,0.240350797645731); #146394=CYLINDRICAL_SURFACE('',#162703,0.233859677923241); #146395=CYLINDRICAL_SURFACE('',#162716,0.0443378159988876); #146396=CYLINDRICAL_SURFACE('',#162722,0.0443489730123518); #146397=CYLINDRICAL_SURFACE('',#162725,0.0289781244189755); #146398=CYLINDRICAL_SURFACE('',#162728,0.0289893918946641); #146399=CYLINDRICAL_SURFACE('',#162731,0.0443489730124983); #146400=CYLINDRICAL_SURFACE('',#162737,0.0443486557739281); #146401=CYLINDRICAL_SURFACE('',#162740,0.028986892622492); #146402=CYLINDRICAL_SURFACE('',#162743,0.0292757399477257); #146403=CYLINDRICAL_SURFACE('',#162746,0.0432792614129548); #146404=CYLINDRICAL_SURFACE('',#162750,0.0432792614129252); #146405=CYLINDRICAL_SURFACE('',#162753,0.0292717850867756); #146406=CYLINDRICAL_SURFACE('',#162756,0.0289830854726306); #146407=CYLINDRICAL_SURFACE('',#162759,0.0443486557741561); #146408=CYLINDRICAL_SURFACE('',#162767,0.0453114375107961); #146409=CYLINDRICAL_SURFACE('',#162770,0.0289868926224743); #146410=CYLINDRICAL_SURFACE('',#162773,0.0292757399477379); #146411=CYLINDRICAL_SURFACE('',#162776,0.0442133820949951); #146412=CYLINDRICAL_SURFACE('',#162780,0.0432687449892022); #146413=CYLINDRICAL_SURFACE('',#162783,0.0292757399477467); #146414=CYLINDRICAL_SURFACE('',#162786,0.0289868926223165); #146415=CYLINDRICAL_SURFACE('',#162791,0.0432813913614984); #146416=CYLINDRICAL_SURFACE('',#162794,0.0292757399477467); #146417=CYLINDRICAL_SURFACE('',#162797,0.0289868926223165); #146418=CYLINDRICAL_SURFACE('',#162800,0.0443509012997476); #146419=CYLINDRICAL_SURFACE('',#162806,0.0602596808897183); #146420=CYLINDRICAL_SURFACE('',#162809,0.0332135792382723); #146421=CYLINDRICAL_SURFACE('',#162812,0.030076463684095); #146422=CYLINDRICAL_SURFACE('',#162815,0.0278980815136134); #146423=CYLINDRICAL_SURFACE('',#162818,0.0717869078779357); #146424=CYLINDRICAL_SURFACE('',#162824,0.0443486557741463); #146425=CYLINDRICAL_SURFACE('',#162827,0.0289856437904895); #146426=CYLINDRICAL_SURFACE('',#162830,0.029274436511713); #146427=CYLINDRICAL_SURFACE('',#162833,0.0432792614129177); #146428=CYLINDRICAL_SURFACE('',#162839,0.229999335795175); #146429=CYLINDRICAL_SURFACE('',#162842,0.235454701760642); #146430=CYLINDRICAL_SURFACE('',#162845,0.465166300256154); #146431=CYLINDRICAL_SURFACE('',#162848,0.263436800870804); #146432=CYLINDRICAL_SURFACE('',#162852,0.317356598229452); #146433=CYLINDRICAL_SURFACE('',#162855,0.324134141057704); #146434=CYLINDRICAL_SURFACE('',#162858,0.235435299595306); #146435=CYLINDRICAL_SURFACE('',#162862,0.290028961545218); #146436=CYLINDRICAL_SURFACE('',#162865,0.382937067451516); #146437=CYLINDRICAL_SURFACE('',#162868,0.423194313499812); #146438=CYLINDRICAL_SURFACE('',#162872,0.370978251342659); #146439=CYLINDRICAL_SURFACE('',#162875,0.519312538281613); #146440=CYLINDRICAL_SURFACE('',#162878,0.286111123874982); #146441=CYLINDRICAL_SURFACE('',#162881,0.302843988339408); #146442=CYLINDRICAL_SURFACE('',#162885,0.0443495332541075); #146443=CYLINDRICAL_SURFACE('',#162888,0.028975274737432); #146444=CYLINDRICAL_SURFACE('',#162891,0.0289865411052196); #146445=CYLINDRICAL_SURFACE('',#162894,0.0443495332539866); #146446=CYLINDRICAL_SURFACE('',#162900,0.044349216006776); #146447=CYLINDRICAL_SURFACE('',#162903,0.0289865411052169); #146448=CYLINDRICAL_SURFACE('',#162906,0.0292753724967908); #146449=CYLINDRICAL_SURFACE('',#162909,0.0432797944092354); #146450=CYLINDRICAL_SURFACE('',#162914,0.0444845729306093); #146451=CYLINDRICAL_SURFACE('',#162921,0.0444845729304544); #146452=CYLINDRICAL_SURFACE('',#162924,0.0285797567943216); #146453=CYLINDRICAL_SURFACE('',#162927,0.0285685567398483); #146454=CYLINDRICAL_SURFACE('',#162930,0.0444845729306093); #146455=CYLINDRICAL_SURFACE('',#162936,0.0454421488902862); #146456=CYLINDRICAL_SURFACE('',#162939,0.0285717457489806); #146457=CYLINDRICAL_SURFACE('',#162942,0.0288599383650117); #146458=CYLINDRICAL_SURFACE('',#162945,0.0443371117014335); #146459=CYLINDRICAL_SURFACE('',#162949,0.0443371117013423); #146460=CYLINDRICAL_SURFACE('',#162952,0.0288602738468052); #146461=CYLINDRICAL_SURFACE('',#162955,0.0285720705476683); #146462=CYLINDRICAL_SURFACE('',#162958,0.0454421488902006); #146463=CYLINDRICAL_SURFACE('',#162967,0.0444742306507911); #146464=CYLINDRICAL_SURFACE('',#162970,0.0285685567398139); #146465=CYLINDRICAL_SURFACE('',#162973,0.0285797555816507); #146466=CYLINDRICAL_SURFACE('',#162976,0.0444742306506887); #146467=CYLINDRICAL_SURFACE('',#162980,0.0438367356458716); #146468=CYLINDRICAL_SURFACE('',#162983,0.0290452802300811); #146469=CYLINDRICAL_SURFACE('',#162986,0.0285682512359236); #146470=CYLINDRICAL_SURFACE('',#163225,0.4711695296381); #146471=CYLINDRICAL_SURFACE('',#163232,0.232292298815854); #146472=CYLINDRICAL_SURFACE('',#163238,262.891551625706); #146473=CYLINDRICAL_SURFACE('',#163245,0.261458198023405); #146474=CYLINDRICAL_SURFACE('',#163256,0.205450449933165); #146475=CYLINDRICAL_SURFACE('',#163259,0.205431675312929); #146476=CYLINDRICAL_SURFACE('',#163262,1.80384511127809); #146477=CYLINDRICAL_SURFACE('',#163265,0.252146234513854); #146478=CYLINDRICAL_SURFACE('',#163268,3.75651869317059); #146479=CYLINDRICAL_SURFACE('',#163272,0.342247030243571); #146480=CYLINDRICAL_SURFACE('',#163275,31.206007957384); #146481=CYLINDRICAL_SURFACE('',#163279,0.213809072948922); #146482=CYLINDRICAL_SURFACE('',#163282,0.213963853145564); #146483=CYLINDRICAL_SURFACE('',#163285,1.30635836932393); #146484=CYLINDRICAL_SURFACE('',#163296,1.32742030680907); #146485=CYLINDRICAL_SURFACE('',#163299,0.508628657495111); #146486=CYLINDRICAL_SURFACE('',#163302,1.71560641779373); #146487=CYLINDRICAL_SURFACE('',#163305,0.52288235448892); #146488=CYLINDRICAL_SURFACE('',#163308,2.3881430158703); #146489=CYLINDRICAL_SURFACE('',#163312,0.926106625186713); #146490=CYLINDRICAL_SURFACE('',#163315,0.590929598963666); #146491=CYLINDRICAL_SURFACE('',#163325,0.223868249682709); #146492=CYLINDRICAL_SURFACE('',#163333,2.98411536475282); #146493=CYLINDRICAL_SURFACE('',#163337,37.9579597313055); #146494=CYLINDRICAL_SURFACE('',#163346,0.291596932857946); #146495=CYLINDRICAL_SURFACE('',#163349,0.625044625663341); #146496=CYLINDRICAL_SURFACE('',#163352,1.85088093130349); #146497=CYLINDRICAL_SURFACE('',#163355,0.221859684323989); #146498=CYLINDRICAL_SURFACE('',#163358,2.51083026413856); #146499=CYLINDRICAL_SURFACE('',#163361,0.196146238887865); #146500=CYLINDRICAL_SURFACE('',#163364,3.92734807944862); #146501=CYLINDRICAL_SURFACE('',#163367,0.235871169744455); #146502=CYLINDRICAL_SURFACE('',#163370,0.598968989057616); #146503=CYLINDRICAL_SURFACE('',#163374,1.65080333067321); #146504=CYLINDRICAL_SURFACE('',#163377,0.758525764445018); #146505=CYLINDRICAL_SURFACE('',#163380,0.777151857458348); #146506=CYLINDRICAL_SURFACE('',#163383,0.900333632573191); #146507=CYLINDRICAL_SURFACE('',#163386,0.328342340693158); #146508=CYLINDRICAL_SURFACE('',#163389,52.6711075102641); #146509=CYLINDRICAL_SURFACE('',#163393,0.150460490313196); #146510=CYLINDRICAL_SURFACE('',#163400,0.362957601708432); #146511=CYLINDRICAL_SURFACE('',#163419,0.282507982795975); #146512=CYLINDRICAL_SURFACE('',#163423,0.463098240089891); #146513=CYLINDRICAL_SURFACE('',#163426,1.92436498649442); #146514=CYLINDRICAL_SURFACE('',#163430,0.691399661188851); #146515=CYLINDRICAL_SURFACE('',#163435,0.497508004755111); #146516=CYLINDRICAL_SURFACE('',#163439,38.0807215806145); #146517=CYLINDRICAL_SURFACE('',#163443,0.369911918080622); #146518=CYLINDRICAL_SURFACE('',#163447,0.216836819577142); #146519=CYLINDRICAL_SURFACE('',#163452,10.6334222834806); #146520=CYLINDRICAL_SURFACE('',#163455,0.414448566663085); #146521=CYLINDRICAL_SURFACE('',#163458,0.414408099847214); #146522=CYLINDRICAL_SURFACE('',#163461,0.393610669959157); #146523=CYLINDRICAL_SURFACE('',#163464,0.393597993619064); #146524=CYLINDRICAL_SURFACE('',#163467,1201.59929751322); #146525=CYLINDRICAL_SURFACE('',#163471,0.152308368143938); #146526=CYLINDRICAL_SURFACE('',#163474,0.152234060527666); #146527=CYLINDRICAL_SURFACE('',#163477,0.183708572129307); #146528=CYLINDRICAL_SURFACE('',#163480,0.183651976535932); #146529=CYLINDRICAL_SURFACE('',#163483,24.7039219973148); #146530=CYLINDRICAL_SURFACE('',#163493,0.112395185019036); #146531=CYLINDRICAL_SURFACE('',#163497,0.102692315205584); #146532=CYLINDRICAL_SURFACE('',#163502,0.110514100360598); #146533=CYLINDRICAL_SURFACE('',#163505,7.12669066868632); #146534=CYLINDRICAL_SURFACE('',#163508,0.382954556192301); #146535=CYLINDRICAL_SURFACE('',#163511,0.382945810031774); #146536=CYLINDRICAL_SURFACE('',#163514,0.405942283048342); #146537=CYLINDRICAL_SURFACE('',#163517,0.405957079523016); #146538=CYLINDRICAL_SURFACE('',#163520,65.1974042928939); #146539=CYLINDRICAL_SURFACE('',#163524,0.177531332523115); #146540=CYLINDRICAL_SURFACE('',#163527,0.239930602944943); #146541=CYLINDRICAL_SURFACE('',#163547,0.202636689620507); #146542=CYLINDRICAL_SURFACE('',#163550,0.101531257223946); #146543=CYLINDRICAL_SURFACE('',#163553,0.0905076999095326); #146544=CYLINDRICAL_SURFACE('',#163556,0.203462902869442); #146545=CYLINDRICAL_SURFACE('',#163559,0.314786539683222); #146546=CYLINDRICAL_SURFACE('',#163563,1.44854943558696); #146547=CYLINDRICAL_SURFACE('',#163566,0.91269206909336); #146548=CYLINDRICAL_SURFACE('',#163569,0.344942656087782); #146549=CYLINDRICAL_SURFACE('',#163572,0.212968503393382); #146550=CYLINDRICAL_SURFACE('',#163575,0.250556363998664); #146551=CYLINDRICAL_SURFACE('',#163578,0.3519960704734); #146552=CYLINDRICAL_SURFACE('',#163582,0.108496248519986); #146553=CYLINDRICAL_SURFACE('',#163585,0.0707036951304107); #146554=CYLINDRICAL_SURFACE('',#163588,0.07071502164645); #146555=CYLINDRICAL_SURFACE('',#163591,0.108496248519851); #146556=CYLINDRICAL_SURFACE('',#163597,0.109121260556872); #146557=CYLINDRICAL_SURFACE('',#163600,0.0694765628461521); #146558=CYLINDRICAL_SURFACE('',#163603,0.0707038715797792); #146559=CYLINDRICAL_SURFACE('',#163606,0.108496559646453); #146560=CYLINDRICAL_SURFACE('',#163610,0.108496275811553); #146561=CYLINDRICAL_SURFACE('',#163613,0.0698966430448508); #146562=CYLINDRICAL_SURFACE('',#163616,0.0707113546029838); #146563=CYLINDRICAL_SURFACE('',#163619,0.108492652604365); #146564=CYLINDRICAL_SURFACE('',#163630,0.106917428735818); #146565=CYLINDRICAL_SURFACE('',#163633,0.0703574281451216); #146566=CYLINDRICAL_SURFACE('',#163636,0.074715981047499); #146567=CYLINDRICAL_SURFACE('',#163639,0.13254135843241); #146568=CYLINDRICAL_SURFACE('',#163644,0.0434088165876379); #146569=CYLINDRICAL_SURFACE('',#163647,0.0288561320692388); #146570=CYLINDRICAL_SURFACE('',#163650,0.0285691133080356); #146571=CYLINDRICAL_SURFACE('',#163653,0.0444860623524708); #146572=CYLINDRICAL_SURFACE('',#163657,0.338564688561444); #146573=CYLINDRICAL_SURFACE('',#163660,0.326444021433566); #146574=CYLINDRICAL_SURFACE('',#163663,0.233361507083498); #146575=CYLINDRICAL_SURFACE('',#163666,0.211720562514748); #146576=CYLINDRICAL_SURFACE('',#163669,0.211891072389934); #146577=CYLINDRICAL_SURFACE('',#163672,0.669763086065227); #146578=CYLINDRICAL_SURFACE('',#163675,0.738893732425675); #146579=CYLINDRICAL_SURFACE('',#163678,0.242835056143211); #146580=CYLINDRICAL_SURFACE('',#163681,0.137616092571522); #146581=CYLINDRICAL_SURFACE('',#163684,0.131417184268319); #146582=CYLINDRICAL_SURFACE('',#163687,0.160233370016414); #146583=CYLINDRICAL_SURFACE('',#163690,0.234322386752354); #146584=CYLINDRICAL_SURFACE('',#163693,0.234857056279478); #146585=CYLINDRICAL_SURFACE('',#163696,0.153966709840376); #146586=CYLINDRICAL_SURFACE('',#163699,0.0407682875769751); #146587=CYLINDRICAL_SURFACE('',#163702,0.0287297953648173); #146588=CYLINDRICAL_SURFACE('',#163705,0.0285690272315243); #146589=CYLINDRICAL_SURFACE('',#163708,0.0444859805449508); #146590=CYLINDRICAL_SURFACE('',#163712,0.0444723457915883); #146591=CYLINDRICAL_SURFACE('',#163715,0.0285738012063845); #146592=CYLINDRICAL_SURFACE('',#163718,0.0285827588789977); #146593=CYLINDRICAL_SURFACE('',#163721,0.0444726661081538); #146594=CYLINDRICAL_SURFACE('',#163725,0.325013486132913); #146595=CYLINDRICAL_SURFACE('',#163728,0.290661167274782); #146596=CYLINDRICAL_SURFACE('',#163731,0.217867936230988); #146597=CYLINDRICAL_SURFACE('',#163734,0.181605901622077); #146598=CYLINDRICAL_SURFACE('',#163737,0.458789061890661); #146599=CYLINDRICAL_SURFACE('',#163740,0.600070403571969); #146600=CYLINDRICAL_SURFACE('',#163743,0.168163232525655); #146601=CYLINDRICAL_SURFACE('',#163746,0.147600386523511); #146602=CYLINDRICAL_SURFACE('',#163749,0.177065729538042); #146603=CYLINDRICAL_SURFACE('',#163752,0.265746465598408); #146604=CYLINDRICAL_SURFACE('',#163755,0.27902419078977); #146605=CYLINDRICAL_SURFACE('',#163758,0.169225781232446); #146606=CYLINDRICAL_SURFACE('',#163761,0.040767711175847); #146607=CYLINDRICAL_SURFACE('',#163764,0.0287214892060299); #146608=CYLINDRICAL_SURFACE('',#163767,0.0285828449970037); #146609=CYLINDRICAL_SURFACE('',#163770,0.0454408669257554); #146610=CYLINDRICAL_SURFACE('',#163774,0.28218423687047); #146611=CYLINDRICAL_SURFACE('',#163777,0.315181505751133); #146612=CYLINDRICAL_SURFACE('',#163780,0.335759038167211); #146613=CYLINDRICAL_SURFACE('',#163784,0.305896831311742); #146614=CYLINDRICAL_SURFACE('',#163787,0.465777250889683); #146615=CYLINDRICAL_SURFACE('',#163790,0.217486707199167); #146616=CYLINDRICAL_SURFACE('',#163793,0.288275209698152); #146617=CYLINDRICAL_SURFACE('',#163796,0.305888682340838); #146618=CYLINDRICAL_SURFACE('',#163799,0.14943027945824); #146619=CYLINDRICAL_SURFACE('',#163802,0.0438397471002843); #146620=CYLINDRICAL_SURFACE('',#163805,0.0278387822554378); #146621=CYLINDRICAL_SURFACE('',#163808,0.0285421101094147); #146622=CYLINDRICAL_SURFACE('',#163811,0.0461585284397416); #146623=CYLINDRICAL_SURFACE('',#163815,0.0451973818450255); #146624=CYLINDRICAL_SURFACE('',#163818,0.0285421113131647); #146625=CYLINDRICAL_SURFACE('',#163821,0.0285332051639272); #146626=CYLINDRICAL_SURFACE('',#163824,0.0451999278262505); #146627=CYLINDRICAL_SURFACE('',#163828,0.367704632954565); #146628=CYLINDRICAL_SURFACE('',#163831,0.342552291865131); #146629=CYLINDRICAL_SURFACE('',#163834,0.351251086189632); #146630=CYLINDRICAL_SURFACE('',#163837,0.401782275561055); #146631=CYLINDRICAL_SURFACE('',#163841,0.352282652298221); #146632=CYLINDRICAL_SURFACE('',#163844,0.385372533265889); #146633=CYLINDRICAL_SURFACE('',#163847,0.495454197255667); #146634=CYLINDRICAL_SURFACE('',#163850,0.324353198574108); #146635=CYLINDRICAL_SURFACE('',#163853,0.373939339470431); #146636=CYLINDRICAL_SURFACE('',#163856,0.354409899624969); #146637=CYLINDRICAL_SURFACE('',#163859,0.395744499243176); #146638=CYLINDRICAL_SURFACE('',#163862,0.0814434297455761); #146639=CYLINDRICAL_SURFACE('',#163865,0.0282593625215414); #146640=CYLINDRICAL_SURFACE('',#163868,0.0286304710297997); #146641=CYLINDRICAL_SURFACE('',#163871,0.0356869089292637); #146642=CYLINDRICAL_SURFACE('',#163874,0.423370026859385); #146643=CYLINDRICAL_SURFACE('',#163879,0.0563693156239115); #146644=CYLINDRICAL_SURFACE('',#163882,0.0283499995840763); #146645=CYLINDRICAL_SURFACE('',#163885,0.0295846303833189); #146646=CYLINDRICAL_SURFACE('',#163888,0.0378302157398102); #146647=CYLINDRICAL_SURFACE('',#163892,0.0586598082561043); #146648=CYLINDRICAL_SURFACE('',#163895,0.0283623411457182); #146649=CYLINDRICAL_SURFACE('',#163898,0.0295783267193154); #146650=CYLINDRICAL_SURFACE('',#163901,0.0293325557009485); #146651=CYLINDRICAL_SURFACE('',#163904,0.0514815348594892); #146652=CYLINDRICAL_SURFACE('',#163909,0.0432737591259333); #146653=CYLINDRICAL_SURFACE('',#163912,0.0292642293664474); #146654=CYLINDRICAL_SURFACE('',#163915,0.0301066375455032); #146655=CYLINDRICAL_SURFACE('',#163918,0.0432734703738721); #146656=CYLINDRICAL_SURFACE('',#163924,0.0443391709601616); #146657=CYLINDRICAL_SURFACE('',#163927,0.0289868926224743); #146658=CYLINDRICAL_SURFACE('',#163930,0.0292757399477379); #146659=CYLINDRICAL_SURFACE('',#163933,0.0432700595312855); #146660=CYLINDRICAL_SURFACE('',#163937,0.043265796995563); #146661=CYLINDRICAL_SURFACE('',#163940,0.0292752454316323); #146662=CYLINDRICAL_SURFACE('',#163943,0.0289864165752311); #146663=CYLINDRICAL_SURFACE('',#163946,0.0443346816249289); #146664=CYLINDRICAL_SURFACE('',#163951,0.351462281758959); #146665=CYLINDRICAL_SURFACE('',#163954,0.114931628345485); #146666=CYLINDRICAL_SURFACE('',#163957,0.0861440940959071); #146667=CYLINDRICAL_SURFACE('',#163960,0.117083297628564); #146668=CYLINDRICAL_SURFACE('',#163965,0.0432792614129252); #146669=CYLINDRICAL_SURFACE('',#163968,0.0292717850867934); #146670=CYLINDRICAL_SURFACE('',#163971,0.0289830854726185); #146671=CYLINDRICAL_SURFACE('',#163974,0.0443486557741579); #146672=CYLINDRICAL_SURFACE('',#163979,0.316895012208117); #146673=CYLINDRICAL_SURFACE('',#163982,0.123959910562287); #146674=CYLINDRICAL_SURFACE('',#163985,0.0878516272565407); #146675=CYLINDRICAL_SURFACE('',#163988,0.111069601781927); #146676=CYLINDRICAL_SURFACE('',#163993,0.0432779468490837); #146677=CYLINDRICAL_SURFACE('',#163996,0.0292757399477467); #146678=CYLINDRICAL_SURFACE('',#163999,0.0289868926223165); #146679=CYLINDRICAL_SURFACE('',#164002,0.0443473007916555); #146680=CYLINDRICAL_SURFACE('',#164007,0.176807902994905); #146681=CYLINDRICAL_SURFACE('',#164010,0.13729323260609); #146682=CYLINDRICAL_SURFACE('',#164013,0.159216250041529); #146683=CYLINDRICAL_SURFACE('',#164016,0.310313883912738); #146684=CYLINDRICAL_SURFACE('',#164019,0.185652181268335); #146685=CYLINDRICAL_SURFACE('',#164022,0.139136248816034); #146686=CYLINDRICAL_SURFACE('',#164025,0.215992930027188); #146687=CYLINDRICAL_SURFACE('',#164030,0.50761119895304); #146688=CYLINDRICAL_SURFACE('',#164034,0.487441704131233); #146689=CYLINDRICAL_SURFACE('',#164037,0.184128688670565); #146690=CYLINDRICAL_SURFACE('',#164040,0.172780351028419); #146691=CYLINDRICAL_SURFACE('',#164043,0.160819032121146); #146692=CYLINDRICAL_SURFACE('',#164046,0.445639309036577); #146693=CYLINDRICAL_SURFACE('',#164049,0.504193838909676); #146694=CYLINDRICAL_SURFACE('',#164053,0.489528460455034); #146695=CYLINDRICAL_SURFACE('',#164056,0.183508024167084); #146696=CYLINDRICAL_SURFACE('',#164059,0.170576389890376); #146697=CYLINDRICAL_SURFACE('',#164062,0.156064078109326); #146698=CYLINDRICAL_SURFACE('',#164065,0.429269730705235); #146699=CYLINDRICAL_SURFACE('',#164069,0.575908891621597); #146700=CYLINDRICAL_SURFACE('',#164072,0.574703077495105); #146701=CYLINDRICAL_SURFACE('',#164075,0.308508090295954); #146702=CYLINDRICAL_SURFACE('',#164078,0.229516341258137); #146703=CYLINDRICAL_SURFACE('',#164081,0.239570206742336); #146704=CYLINDRICAL_SURFACE('',#164084,0.233857392704834); #146705=CYLINDRICAL_SURFACE('',#164087,0.473406710254505); #146706=CYLINDRICAL_SURFACE('',#164091,0.549705085422083); #146707=CYLINDRICAL_SURFACE('',#164094,0.505575048547671); #146708=CYLINDRICAL_SURFACE('',#164097,0.314037018896032); #146709=CYLINDRICAL_SURFACE('',#164100,0.228695293927653); #146710=CYLINDRICAL_SURFACE('',#164103,0.240334492284197); #146711=CYLINDRICAL_SURFACE('',#164106,0.23385727095303); #146712=CYLINDRICAL_SURFACE('',#164109,0.472407489793381); #146713=CYLINDRICAL_SURFACE('',#164113,0.504165652210112); #146714=CYLINDRICAL_SURFACE('',#164117,0.489523388315615); #146715=CYLINDRICAL_SURFACE('',#164120,0.183508852967711); #146716=CYLINDRICAL_SURFACE('',#164123,0.170574032072487); #146717=CYLINDRICAL_SURFACE('',#164126,0.15607615883191); #146718=CYLINDRICAL_SURFACE('',#164129,0.429326852664135); #146719=CYLINDRICAL_SURFACE('',#164132,0.507579461271923); #146720=CYLINDRICAL_SURFACE('',#164136,0.487446776024711); #146721=CYLINDRICAL_SURFACE('',#164139,0.184123745193634); #146722=CYLINDRICAL_SURFACE('',#164142,0.172792768804612); #146723=CYLINDRICAL_SURFACE('',#164145,0.160815888647101); #146724=CYLINDRICAL_SURFACE('',#164148,0.445642897690341); #146725=CYLINDRICAL_SURFACE('',#164151,0.473412260144164); #146726=CYLINDRICAL_SURFACE('',#164155,0.549662281957652); #146727=CYLINDRICAL_SURFACE('',#164158,0.505589636043687); #146728=CYLINDRICAL_SURFACE('',#164161,0.314051776167692); #146729=CYLINDRICAL_SURFACE('',#164164,0.228685193282565); #146730=CYLINDRICAL_SURFACE('',#164167,0.240350797645731); #146731=CYLINDRICAL_SURFACE('',#164170,0.233859677923241); #146732=CYLINDRICAL_SURFACE('',#164173,0.472410038281985); #146733=CYLINDRICAL_SURFACE('',#164177,0.575934112079727); #146734=CYLINDRICAL_SURFACE('',#164180,0.574777470004307); #146735=CYLINDRICAL_SURFACE('',#164183,0.308520650347544); #146736=CYLINDRICAL_SURFACE('',#164186,0.229506248238759); #146737=CYLINDRICAL_SURFACE('',#164189,0.23957344808567); #146738=CYLINDRICAL_SURFACE('',#164192,0.233859809044011); #146739=CYLINDRICAL_SURFACE('',#164196,0.44567181658924); #146740=CYLINDRICAL_SURFACE('',#164199,0.504165176818363); #146741=CYLINDRICAL_SURFACE('',#164203,0.489522925979842); #146742=CYLINDRICAL_SURFACE('',#164206,0.183509676017425); #146743=CYLINDRICAL_SURFACE('',#164209,0.170572299408212); #146744=CYLINDRICAL_SURFACE('',#164212,0.156091053057979); #146745=CYLINDRICAL_SURFACE('',#164215,0.429285462890802); #146746=CYLINDRICAL_SURFACE('',#164218,0.507582796171907); #146747=CYLINDRICAL_SURFACE('',#164222,0.487436669368577); #146748=CYLINDRICAL_SURFACE('',#164225,0.184129527666722); #146749=CYLINDRICAL_SURFACE('',#164228,0.172794542778184); #146750=CYLINDRICAL_SURFACE('',#164231,0.160814001864634); #146751=CYLINDRICAL_SURFACE('',#164235,0.575938013338401); #146752=CYLINDRICAL_SURFACE('',#164238,0.574598266375336); #146753=CYLINDRICAL_SURFACE('',#164241,0.308483817450676); #146754=CYLINDRICAL_SURFACE('',#164244,0.229513265611847); #146755=CYLINDRICAL_SURFACE('',#164247,0.239573448085599); #146756=CYLINDRICAL_SURFACE('',#164250,0.233859809044043); #146757=CYLINDRICAL_SURFACE('',#164253,0.473409264135311); #146758=CYLINDRICAL_SURFACE('',#164257,0.549662718325322); #146759=CYLINDRICAL_SURFACE('',#164260,0.505532748660458); #146760=CYLINDRICAL_SURFACE('',#164263,0.314051776168009); #146761=CYLINDRICAL_SURFACE('',#164266,0.228683321502552); #146762=CYLINDRICAL_SURFACE('',#164269,0.24034433607085); #146763=CYLINDRICAL_SURFACE('',#164272,0.233857270952817); #146764=CYLINDRICAL_SURFACE('',#164275,0.472405370218504); #146765=CYLINDRICAL_SURFACE('',#164279,0.170572299408212); #146766=CYLINDRICAL_SURFACE('',#164282,0.156056396121761); #146767=CYLINDRICAL_SURFACE('',#164285,0.429293677777956); #146768=CYLINDRICAL_SURFACE('',#164288,0.507607387503819); #146769=CYLINDRICAL_SURFACE('',#164292,0.487441704131233); #146770=CYLINDRICAL_SURFACE('',#164295,0.184122080166372); #146771=CYLINDRICAL_SURFACE('',#164298,0.172796967257581); #146772=CYLINDRICAL_SURFACE('',#164301,0.160814001864914); #146773=CYLINDRICAL_SURFACE('',#164304,0.445658092375271); #146774=CYLINDRICAL_SURFACE('',#164307,0.504179745158337); #146775=CYLINDRICAL_SURFACE('',#164311,0.489523388315506); #146776=CYLINDRICAL_SURFACE('',#164314,0.183509676017425); #146777=CYLINDRICAL_SURFACE('',#164318,0.575934545442775); #146778=CYLINDRICAL_SURFACE('',#164321,0.574738254556235); #146779=CYLINDRICAL_SURFACE('',#164324,0.308495953388461); #146780=CYLINDRICAL_SURFACE('',#164327,0.229513265611946); #146781=CYLINDRICAL_SURFACE('',#164330,0.239575762277209); #146782=CYLINDRICAL_SURFACE('',#164333,0.233857392704888); #146783=CYLINDRICAL_SURFACE('',#164336,0.47340970624724); #146784=CYLINDRICAL_SURFACE('',#164340,0.549685641991797); #146785=CYLINDRICAL_SURFACE('',#164343,0.505589636043687); #146786=CYLINDRICAL_SURFACE('',#164346,0.314064405682105); #146787=CYLINDRICAL_SURFACE('',#164349,0.228683321502332); #146788=CYLINDRICAL_SURFACE('',#164352,0.240354028568699); #146789=CYLINDRICAL_SURFACE('',#164355,0.233858479113733); #146790=CYLINDRICAL_SURFACE('',#164358,0.472407918679554); #146791=CYLINDRICAL_SURFACE('',#164362,0.206320709707091); #146792=CYLINDRICAL_SURFACE('',#164365,0.24592753911019); #146793=CYLINDRICAL_SURFACE('',#164368,0.275348308650874); #146794=CYLINDRICAL_SURFACE('',#164371,0.296772878222221); #146795=CYLINDRICAL_SURFACE('',#164374,0.261738626767832); #146796=CYLINDRICAL_SURFACE('',#164377,0.176679579929886); #146797=CYLINDRICAL_SURFACE('',#164380,0.0275728405117515); #146798=CYLINDRICAL_SURFACE('',#164383,0.0284296187750053); #146799=CYLINDRICAL_SURFACE('',#164386,0.0309249118173252); #146800=CYLINDRICAL_SURFACE('',#164389,0.0373637240618477); #146801=CYLINDRICAL_SURFACE('',#164392,0.205417120509216); #146802=CYLINDRICAL_SURFACE('',#164395,0.231377493466242); #146803=CYLINDRICAL_SURFACE('',#164398,0.21500765982256); #146804=CYLINDRICAL_SURFACE('',#164401,0.188790606835456); #146805=CYLINDRICAL_SURFACE('',#164404,0.154269538154912); #146806=CYLINDRICAL_SURFACE('',#164407,0.25144621171956); #146807=CYLINDRICAL_SURFACE('',#164410,1.38679426238017); #146808=CYLINDRICAL_SURFACE('',#164413,10.7258403325035); #146809=CYLINDRICAL_SURFACE('',#164419,0.0433942087146088); #146810=CYLINDRICAL_SURFACE('',#164422,0.0288617152212035); #146811=CYLINDRICAL_SURFACE('',#164425,0.0296655156574975); #146812=CYLINDRICAL_SURFACE('',#164428,0.0433942087145394); #146813=CYLINDRICAL_SURFACE('',#164434,7.1931193973481); #146814=CYLINDRICAL_SURFACE('',#164437,1.35616401355896); #146815=CYLINDRICAL_SURFACE('',#164440,0.297856901259951); #146816=CYLINDRICAL_SURFACE('',#164444,0.252205382445037); #146817=CYLINDRICAL_SURFACE('',#164447,0.390891325651986); #146818=CYLINDRICAL_SURFACE('',#164450,0.0435401367778246); #146819=CYLINDRICAL_SURFACE('',#164453,0.0270277196325284); #146820=CYLINDRICAL_SURFACE('',#164456,0.0291715912206724); #146821=CYLINDRICAL_SURFACE('',#164459,0.0363052661028724); #146822=CYLINDRICAL_SURFACE('',#164462,0.31176413860513); #146823=CYLINDRICAL_SURFACE('',#164465,0.42912885836153); #146824=CYLINDRICAL_SURFACE('',#164468,0.313477312445168); #146825=CYLINDRICAL_SURFACE('',#164471,0.265814099434032); #146826=CYLINDRICAL_SURFACE('',#164474,0.244005483703484); #146827=CYLINDRICAL_SURFACE('',#164477,0.294206133493496); #146828=CYLINDRICAL_SURFACE('',#164480,0.245639802801309); #146829=CYLINDRICAL_SURFACE('',#164483,0.209870175636673); #146830=CYLINDRICAL_SURFACE('',#164486,0.225061030767273); #146831=CYLINDRICAL_SURFACE('',#164489,0.267145395218756); #146832=CYLINDRICAL_SURFACE('',#164492,0.336841313698095); #146833=CYLINDRICAL_SURFACE('',#164495,0.103388464819578); #146834=CYLINDRICAL_SURFACE('',#164498,0.0274557848414917); #146835=CYLINDRICAL_SURFACE('',#164501,0.0295530253576982); #146836=CYLINDRICAL_SURFACE('',#164504,0.0292637227224144); #146837=CYLINDRICAL_SURFACE('',#164507,0.0606668021493943); #146838=CYLINDRICAL_SURFACE('',#164510,0.213907884456129); #146839=CYLINDRICAL_SURFACE('',#164513,0.295898792215715); #146840=CYLINDRICAL_SURFACE('',#164516,0.205381422628962); #146841=CYLINDRICAL_SURFACE('',#164519,0.165042130593373); #146842=CYLINDRICAL_SURFACE('',#164522,0.159164853809206); #146843=CYLINDRICAL_SURFACE('',#164525,0.190835467951765); #146844=CYLINDRICAL_SURFACE('',#164529,0.037250771487066); #146845=CYLINDRICAL_SURFACE('',#164532,0.0297725900909152); #146846=CYLINDRICAL_SURFACE('',#164535,0.0289829097359276); #146847=CYLINDRICAL_SURFACE('',#164538,0.0443472481477258); #146848=CYLINDRICAL_SURFACE('',#164541,0.312970668335992); #146849=CYLINDRICAL_SURFACE('',#164544,0.235407443485122); #146850=CYLINDRICAL_SURFACE('',#164547,0.190728315898584); #146851=CYLINDRICAL_SURFACE('',#164550,0.203105143323525); #146852=CYLINDRICAL_SURFACE('',#164554,0.236335123080579); #146853=CYLINDRICAL_SURFACE('',#164557,0.344757497151971); #146854=CYLINDRICAL_SURFACE('',#164560,0.0384218529082707); #146855=CYLINDRICAL_SURFACE('',#164563,0.0276418178955155); #146856=CYLINDRICAL_SURFACE('',#164566,0.0315593865200459); #146857=CYLINDRICAL_SURFACE('',#164569,0.0577642398759277); #146858=CYLINDRICAL_SURFACE('',#164572,0.450578629581794); #146859=CYLINDRICAL_SURFACE('',#164575,0.371972847890008); #146860=CYLINDRICAL_SURFACE('',#164578,0.293973454196966); #146861=CYLINDRICAL_SURFACE('',#164581,0.331021721422415); #146862=CYLINDRICAL_SURFACE('',#164584,0.299406112367216); #146863=CYLINDRICAL_SURFACE('',#164587,0.258469049756723); #146864=CYLINDRICAL_SURFACE('',#164590,0.408842452646167); #146865=CYLINDRICAL_SURFACE('',#164593,0.611227642162195); #146866=CYLINDRICAL_SURFACE('',#164598,0.0432829602211957); #146867=CYLINDRICAL_SURFACE('',#164601,0.0292759165858239); #146868=CYLINDRICAL_SURFACE('',#164604,0.0300950692470173); #146869=CYLINDRICAL_SURFACE('',#164607,0.0432829602211936); #146870=CYLINDRICAL_SURFACE('',#164612,0.0354366190332351); #146871=CYLINDRICAL_SURFACE('',#164615,0.0275533276279964); #146872=CYLINDRICAL_SURFACE('',#164618,0.0732801473278548); #146873=CYLINDRICAL_SURFACE('',#164621,0.397780346714577); #146874=CYLINDRICAL_SURFACE('',#164624,0.205483086390831); #146875=CYLINDRICAL_SURFACE('',#164627,0.234169221707195); #146876=CYLINDRICAL_SURFACE('',#164630,0.27454500930083); #146877=CYLINDRICAL_SURFACE('',#164634,0.0976575619055984); #146878=CYLINDRICAL_SURFACE('',#164637,0.0950783723278382); #146879=CYLINDRICAL_SURFACE('',#164640,0.0950643279487721); #146880=CYLINDRICAL_SURFACE('',#164643,0.0967621366266124); #146881=CYLINDRICAL_SURFACE('',#164647,0.097649093044783); #146882=CYLINDRICAL_SURFACE('',#164650,0.0950792523334402); #146883=CYLINDRICAL_SURFACE('',#164653,0.0950523674042696); #146884=CYLINDRICAL_SURFACE('',#164656,0.0967586234805663); #146885=CYLINDRICAL_SURFACE('',#164661,0.0707041879744284); #146886=CYLINDRICAL_SURFACE('',#164664,0.108495497620949); #146887=CYLINDRICAL_SURFACE('',#164668,0.108495713605373); #146888=CYLINDRICAL_SURFACE('',#164671,0.0699002155592939); #146889=CYLINDRICAL_SURFACE('',#164674,0.070714878639347); #146890=CYLINDRICAL_SURFACE('',#164677,0.108492090416945); #146891=CYLINDRICAL_SURFACE('',#164683,0.121490682275862); #146892=CYLINDRICAL_SURFACE('',#164686,0.0674128365149032); #146893=CYLINDRICAL_SURFACE('',#164689,0.07922848441295); #146894=CYLINDRICAL_SURFACE('',#164692,0.0659094944280161); #146895=CYLINDRICAL_SURFACE('',#164695,0.18056460348981); #146896=CYLINDRICAL_SURFACE('',#164701,0.108491874349791); #146897=CYLINDRICAL_SURFACE('',#164704,0.0699121471686965); #146898=CYLINDRICAL_SURFACE('',#164746,1.20719093763926); #146899=CYLINDRICAL_SURFACE('',#164757,0.240147262030805); #146900=CYLINDRICAL_SURFACE('',#164762,0.159646211563815); #146901=CYLINDRICAL_SURFACE('',#164765,0.103503906288692); #146902=CYLINDRICAL_SURFACE('',#164769,0.104258958346473); #146903=CYLINDRICAL_SURFACE('',#164780,0.25268585995587); #146904=CYLINDRICAL_SURFACE('',#164795,0.238837214643923); #146905=CYLINDRICAL_SURFACE('',#164798,0.445026795388965); #146906=CYLINDRICAL_SURFACE('',#164802,0.241323005241786); #146907=CYLINDRICAL_SURFACE('',#164805,0.241364972295168); #146908=CYLINDRICAL_SURFACE('',#164808,7.41752941689855); #146909=CYLINDRICAL_SURFACE('',#164816,0.209299340662245); #146910=CYLINDRICAL_SURFACE('',#164820,1.14620127218312); #146911=CYLINDRICAL_SURFACE('',#164823,0.425906097423365); #146912=CYLINDRICAL_SURFACE('',#164826,2.22870153366681); #146913=CYLINDRICAL_SURFACE('',#164830,0.158420681327344); #146914=CYLINDRICAL_SURFACE('',#164836,0.258048479299808); #146915=CYLINDRICAL_SURFACE('',#164844,44.8722173812362); #146916=CYLINDRICAL_SURFACE('',#164851,0.324311477178623); #146917=CYLINDRICAL_SURFACE('',#164854,68.1141391749284); #146918=CYLINDRICAL_SURFACE('',#164859,9.42214489235881); #146919=CYLINDRICAL_SURFACE('',#164863,8.70108178510002); #146920=CYLINDRICAL_SURFACE('',#164866,26.1600521677399); #146921=CYLINDRICAL_SURFACE('',#164871,0.717600072245246); #146922=CYLINDRICAL_SURFACE('',#164874,14.8664875848278); #146923=CYLINDRICAL_SURFACE('',#164877,24.1164460154097); #146924=CYLINDRICAL_SURFACE('',#164881,1772.45176783022); #146925=CYLINDRICAL_SURFACE('',#164886,89.0650627334713); #146926=CYLINDRICAL_SURFACE('',#164891,0.341431965628458); #146927=CYLINDRICAL_SURFACE('',#164896,129.512659143039); #146928=CYLINDRICAL_SURFACE('',#164901,185.210443454206); #146929=CYLINDRICAL_SURFACE('',#164906,0.606605969965533); #146930=CYLINDRICAL_SURFACE('',#164909,95.3835267872043); #146931=CYLINDRICAL_SURFACE('',#164914,171.929009855456); #146932=CYLINDRICAL_SURFACE('',#164918,3.93692973996247); #146933=CYLINDRICAL_SURFACE('',#164921,0.198763728319708); #146934=CYLINDRICAL_SURFACE('',#164924,0.754227112636658); #146935=CYLINDRICAL_SURFACE('',#164928,0.303522511811694); #146936=CYLINDRICAL_SURFACE('',#164931,1.01744340083161); #146937=CYLINDRICAL_SURFACE('',#164934,0.887440416842689); #146938=CYLINDRICAL_SURFACE('',#164937,0.261121672468422); #146939=CYLINDRICAL_SURFACE('',#164940,4.0160534787265); #146940=CYLINDRICAL_SURFACE('',#164943,0.200332530860115); #146941=CYLINDRICAL_SURFACE('',#164947,0.47002757515453); #146942=CYLINDRICAL_SURFACE('',#164950,0.470019157567777); #146943=CYLINDRICAL_SURFACE('',#164953,3.36954102541506); #146944=CYLINDRICAL_SURFACE('',#164956,0.448137396006305); #146945=CYLINDRICAL_SURFACE('',#164959,2.08132266103829); #146946=CYLINDRICAL_SURFACE('',#164962,0.455744542457292); #146947=CYLINDRICAL_SURFACE('',#164965,3.82688134497807); #146948=CYLINDRICAL_SURFACE('',#164968,0.458411367376749); #146949=CYLINDRICAL_SURFACE('',#164971,0.458443164995881); #146950=CYLINDRICAL_SURFACE('',#164977,0.503387797468703); #146951=CYLINDRICAL_SURFACE('',#164980,1.31437519604408); #146952=CYLINDRICAL_SURFACE('',#164984,0.235637137799392); #146953=CYLINDRICAL_SURFACE('',#164987,3.96622211682589); #146954=CYLINDRICAL_SURFACE('',#164991,0.291271157566743); #146955=CYLINDRICAL_SURFACE('',#164997,0.104142204344483); #146956=CYLINDRICAL_SURFACE('',#165004,0.300773839794931); #146957=CYLINDRICAL_SURFACE('',#165009,8.50534599138624); #146958=CYLINDRICAL_SURFACE('',#165012,1.87104005455492); #146959=CYLINDRICAL_SURFACE('',#165019,0.11049815059695); #146960=CYLINDRICAL_SURFACE('',#165022,0.111213298935851); #146961=CYLINDRICAL_SURFACE('',#165025,3.84343209693742); #146962=CYLINDRICAL_SURFACE('',#165029,0.146149709543177); #146963=CYLINDRICAL_SURFACE('',#165032,0.14626774079178); #146964=CYLINDRICAL_SURFACE('',#165035,1.14250543354542); #146965=CYLINDRICAL_SURFACE('',#165048,0.150653534729039); #146966=CYLINDRICAL_SURFACE('',#165054,0.51350222752363); #146967=CYLINDRICAL_SURFACE('',#165061,0.107738167607179); #146968=CYLINDRICAL_SURFACE('',#165064,0.0703131585952056); #146969=CYLINDRICAL_SURFACE('',#165067,0.0711361886854023); #146970=CYLINDRICAL_SURFACE('',#165070,0.107735626072187); #146971=CYLINDRICAL_SURFACE('',#165076,0.107735431947268); #146972=CYLINDRICAL_SURFACE('',#165079,0.0703210439247936); #146973=CYLINDRICAL_SURFACE('',#165082,0.071128136905359); #146974=CYLINDRICAL_SURFACE('',#165085,0.107735469693617); #146975=CYLINDRICAL_SURFACE('',#165092,0.108492723560574); #146976=CYLINDRICAL_SURFACE('',#165095,0.0699112700817259); #146977=CYLINDRICAL_SURFACE('',#165098,0.0707033119015819); #146978=CYLINDRICAL_SURFACE('',#165101,0.10849634677013); #146979=CYLINDRICAL_SURFACE('',#165105,0.108494929956906); #146980=CYLINDRICAL_SURFACE('',#165108,0.0698969726296153); #146981=CYLINDRICAL_SURFACE('',#165111,0.070711676765724); #146982=CYLINDRICAL_SURFACE('',#165114,0.10849130670477); #146983=CYLINDRICAL_SURFACE('',#165119,0.108494367757689); #146984=CYLINDRICAL_SURFACE('',#165123,0.108496843482648); #146985=CYLINDRICAL_SURFACE('',#165126,0.0699002155592411); #146986=CYLINDRICAL_SURFACE('',#165129,0.0707148786393964); #146987=CYLINDRICAL_SURFACE('',#165132,0.108493220256518); #146988=CYLINDRICAL_SURFACE('',#165138,0.130626185762766); #146989=CYLINDRICAL_SURFACE('',#165141,0.0737791814064384); #146990=CYLINDRICAL_SURFACE('',#165144,0.0711278693866873); #146991=CYLINDRICAL_SURFACE('',#165147,0.107738474980282); #146992=CYLINDRICAL_SURFACE('',#165151,0.107738474980338); #146993=CYLINDRICAL_SURFACE('',#165154,0.0703207581613561); #146994=CYLINDRICAL_SURFACE('',#165157,0.0744227167193258); #146995=CYLINDRICAL_SURFACE('',#165160,0.129396386513299); #146996=CYLINDRICAL_SURFACE('',#165167,0.10617239934679); #146997=CYLINDRICAL_SURFACE('',#165170,0.0707846881687084); #146998=CYLINDRICAL_SURFACE('',#165173,0.0711230743999495); #146999=CYLINDRICAL_SURFACE('',#165176,0.106798613230632); #147000=CYLINDRICAL_SURFACE('',#165181,0.108494056640473); #147001=CYLINDRICAL_SURFACE('',#165184,0.0707000150810648); #147002=CYLINDRICAL_SURFACE('',#165187,0.0707113420851763); #147003=CYLINDRICAL_SURFACE('',#165190,0.108494056640595); #147004=CYLINDRICAL_SURFACE('',#165196,0.109122105471012); #147005=CYLINDRICAL_SURFACE('',#165199,0.0694731123668953); #147006=CYLINDRICAL_SURFACE('',#165202,0.0707001884782323); #147007=CYLINDRICAL_SURFACE('',#165206,0.0976539525955023); #147008=CYLINDRICAL_SURFACE('',#165209,0.0959049385616558); #147009=CYLINDRICAL_SURFACE('',#165212,0.0953517992783129); #147010=CYLINDRICAL_SURFACE('',#165215,0.0961985402476545); #147011=CYLINDRICAL_SURFACE('',#165219,0.0976576599405115); #147012=CYLINDRICAL_SURFACE('',#165222,0.0959161306077343); #147013=CYLINDRICAL_SURFACE('',#165225,0.0953517992783129); #147014=CYLINDRICAL_SURFACE('',#165228,0.0962070719723387); #147015=CYLINDRICAL_SURFACE('',#165237,0.0526614173139026); #147016=CYLINDRICAL_SURFACE('',#165240,0.029709933515828); #147017=CYLINDRICAL_SURFACE('',#165243,0.0292798682992207); #147018=CYLINDRICAL_SURFACE('',#165246,0.03079567476986); #147019=CYLINDRICAL_SURFACE('',#165249,0.0275743636754722); #147020=CYLINDRICAL_SURFACE('',#165252,0.0542538381265406); #147021=CYLINDRICAL_SURFACE('',#165256,0.0558906321658074); #147022=CYLINDRICAL_SURFACE('',#165259,0.0271291000568577); #147023=CYLINDRICAL_SURFACE('',#165262,0.0307978407839818); #147024=CYLINDRICAL_SURFACE('',#165265,0.0308329218661331); #147025=CYLINDRICAL_SURFACE('',#165268,0.0271168497589251); #147026=CYLINDRICAL_SURFACE('',#165271,0.0558892681909329); #147027=CYLINDRICAL_SURFACE('',#165279,0.0542657462371804); #147028=CYLINDRICAL_SURFACE('',#165282,0.0297056683231127); #147029=CYLINDRICAL_SURFACE('',#165285,0.0292771463031229); #147030=CYLINDRICAL_SURFACE('',#165288,0.0307937850739897); #147031=CYLINDRICAL_SURFACE('',#165291,0.0271367913393838); #147032=CYLINDRICAL_SURFACE('',#165294,0.0575494980407085); #147033=CYLINDRICAL_SURFACE('',#165298,0.0542670515580616); #147034=CYLINDRICAL_SURFACE('',#165301,0.0297191970131737); #147035=CYLINDRICAL_SURFACE('',#165304,0.029244225215854); #147036=CYLINDRICAL_SURFACE('',#165307,0.0308288708476559); #147037=CYLINDRICAL_SURFACE('',#165310,0.0271245462072774); #147038=CYLINDRICAL_SURFACE('',#165313,0.057553148740794); #147039=CYLINDRICAL_SURFACE('',#165318,0.513647390689057); #147040=CYLINDRICAL_SURFACE('',#165322,0.498079402212246); #147041=CYLINDRICAL_SURFACE('',#165325,0.183811622334844); #147042=CYLINDRICAL_SURFACE('',#165328,0.169905314694222); #147043=CYLINDRICAL_SURFACE('',#165331,0.156914547928127); #147044=CYLINDRICAL_SURFACE('',#165334,0.435494103168299); #147045=CYLINDRICAL_SURFACE('',#165337,0.517101878724816); #147046=CYLINDRICAL_SURFACE('',#165341,0.496006817060559); #147047=CYLINDRICAL_SURFACE('',#165344,0.184419683083632); #147048=CYLINDRICAL_SURFACE('',#165347,0.17207714374053); #147049=CYLINDRICAL_SURFACE('',#165350,0.161725937539612); #147050=CYLINDRICAL_SURFACE('',#165353,0.452121031094158); #147051=CYLINDRICAL_SURFACE('',#165356,0.480020113519717); #147052=CYLINDRICAL_SURFACE('',#165360,0.586941028470221); #147053=CYLINDRICAL_SURFACE('',#165363,0.584136324171433); #147054=CYLINDRICAL_SURFACE('',#165366,0.31221700344597); #147055=CYLINDRICAL_SURFACE('',#165369,0.230179477777227); #147056=CYLINDRICAL_SURFACE('',#165372,0.238360628390267); #147057=CYLINDRICAL_SURFACE('',#165375,0.233702519896372); #147058=CYLINDRICAL_SURFACE('',#165378,0.481042940385386); #147059=CYLINDRICAL_SURFACE('',#165382,0.560151886474762); #147060=CYLINDRICAL_SURFACE('',#165385,0.513962537339686); #147061=CYLINDRICAL_SURFACE('',#165388,0.317822935637724); #147062=CYLINDRICAL_SURFACE('',#165391,0.229321045443042); #147063=CYLINDRICAL_SURFACE('',#165394,0.239140965689235); #147064=CYLINDRICAL_SURFACE('',#165397,0.233703589869953); #147065=CYLINDRICAL_SURFACE('',#165401,0.0373637240618477); #147066=CYLINDRICAL_SURFACE('',#165404,0.205417120509216); #147067=CYLINDRICAL_SURFACE('',#165407,0.231375976000332); #147068=CYLINDRICAL_SURFACE('',#165410,0.215007357685168); #147069=CYLINDRICAL_SURFACE('',#165413,0.188789328647213); #147070=CYLINDRICAL_SURFACE('',#165416,0.154269538154923); #147071=CYLINDRICAL_SURFACE('',#165419,0.251446211719493); #147072=CYLINDRICAL_SURFACE('',#165422,1.38680026289845); #147073=CYLINDRICAL_SURFACE('',#165425,10.7260723162389); #147074=CYLINDRICAL_SURFACE('',#165431,0.0433942087145803); #147075=CYLINDRICAL_SURFACE('',#165434,0.0288605702181978); #147076=CYLINDRICAL_SURFACE('',#165437,0.0296669372614485); #147077=CYLINDRICAL_SURFACE('',#165440,0.0433965366492903); #147078=CYLINDRICAL_SURFACE('',#165446,7.19301415166893); #147079=CYLINDRICAL_SURFACE('',#165449,1.35612297997077); #147080=CYLINDRICAL_SURFACE('',#165452,0.297856901259951); #147081=CYLINDRICAL_SURFACE('',#165455,0.206320709707091); #147082=CYLINDRICAL_SURFACE('',#165458,0.24592882874443); #147083=CYLINDRICAL_SURFACE('',#165461,0.275348308650856); #147084=CYLINDRICAL_SURFACE('',#165464,0.29677633079542); #147085=CYLINDRICAL_SURFACE('',#165467,0.261738626767832); #147086=CYLINDRICAL_SURFACE('',#165470,0.176678913406825); #147087=CYLINDRICAL_SURFACE('',#165473,0.0275716052964241); #147088=CYLINDRICAL_SURFACE('',#165476,0.0284315845213975); #147089=CYLINDRICAL_SURFACE('',#165479,0.0309240284924323); #147090=CYLINDRICAL_SURFACE('',#165483,0.156076158831973); #147091=CYLINDRICAL_SURFACE('',#165486,0.429285462891081); #147092=CYLINDRICAL_SURFACE('',#165489,0.507582796171561); #147093=CYLINDRICAL_SURFACE('',#165493,0.487436207684112); #147094=CYLINDRICAL_SURFACE('',#165496,0.184130353740535); #147095=CYLINDRICAL_SURFACE('',#165499,0.172780351028419); #147096=CYLINDRICAL_SURFACE('',#165502,0.160814001864914); #147097=CYLINDRICAL_SURFACE('',#165505,0.445671822724296); #147098=CYLINDRICAL_SURFACE('',#165508,0.504165176817688); #147099=CYLINDRICAL_SURFACE('',#165512,0.489522925979724); #147100=CYLINDRICAL_SURFACE('',#165515,0.183509676017425); #147101=CYLINDRICAL_SURFACE('',#165518,0.170572299408212); #147102=CYLINDRICAL_SURFACE('',#165521,0.233857270953023); #147103=CYLINDRICAL_SURFACE('',#165524,0.472405370218644); #147104=CYLINDRICAL_SURFACE('',#165528,0.575934545442775); #147105=CYLINDRICAL_SURFACE('',#165531,0.574598266376363); #147106=CYLINDRICAL_SURFACE('',#165534,0.308483817451509); #147107=CYLINDRICAL_SURFACE('',#165537,0.229515146845709); #147108=CYLINDRICAL_SURFACE('',#165540,0.23957344808567); #147109=CYLINDRICAL_SURFACE('',#165543,0.233859809044011); #147110=CYLINDRICAL_SURFACE('',#165546,0.473408836151111); #147111=CYLINDRICAL_SURFACE('',#165550,0.54965922811503); #147112=CYLINDRICAL_SURFACE('',#165553,0.50554487340826); #147113=CYLINDRICAL_SURFACE('',#165556,0.31403886344897); #147114=CYLINDRICAL_SURFACE('',#165559,0.228698295979726); #147115=CYLINDRICAL_SURFACE('',#165562,0.24032570187658); #147116=CYLINDRICAL_SURFACE('',#165566,0.0288609129261361); #147117=CYLINDRICAL_SURFACE('',#165569,0.0433968178947014); #147118=CYLINDRICAL_SURFACE('',#165573,0.0434073979259004); #147119=CYLINDRICAL_SURFACE('',#165576,0.0288569470891533); #147120=CYLINDRICAL_SURFACE('',#165579,0.0285688634637624); #147121=CYLINDRICAL_SURFACE('',#165582,0.0444836867235162); #147122=CYLINDRICAL_SURFACE('',#165588,0.0602619330360216); #147123=CYLINDRICAL_SURFACE('',#165591,0.0326819376017972); #147124=CYLINDRICAL_SURFACE('',#165594,0.0296415117840547); #147125=CYLINDRICAL_SURFACE('',#165597,0.027944303252832); #147126=CYLINDRICAL_SURFACE('',#165600,0.0721876582590845); #147127=CYLINDRICAL_SURFACE('',#165606,0.0444727789700149); #147128=CYLINDRICAL_SURFACE('',#165609,0.0285726828573938); #147129=CYLINDRICAL_SURFACE('',#165638,0.750000000008809); #147130=CYLINDRICAL_SURFACE('',#165641,0.749999999995712); #147131=CYLINDRICAL_SURFACE('',#165644,0.749999999999727); #147132=CYLINDRICAL_SURFACE('',#165647,0.750000000008815); #147133=CYLINDRICAL_SURFACE('',#166012,0.25); #147134=CYLINDRICAL_SURFACE('',#166013,0.25); #147135=CYLINDRICAL_SURFACE('',#166014,0.25); #147136=CYLINDRICAL_SURFACE('',#166015,0.25); #147137=CYLINDRICAL_SURFACE('',#166016,0.25); #147138=CYLINDRICAL_SURFACE('',#166017,0.250000000000002); #147139=CYLINDRICAL_SURFACE('',#166018,0.25); #147140=CYLINDRICAL_SURFACE('',#166019,0.25); #147141=CYLINDRICAL_SURFACE('',#166020,0.25); #147142=CYLINDRICAL_SURFACE('',#166021,0.25); #147143=CYLINDRICAL_SURFACE('',#166022,0.25); #147144=CYLINDRICAL_SURFACE('',#166023,0.250000000000002); #147145=CYLINDRICAL_SURFACE('',#166024,0.25); #147146=CYLINDRICAL_SURFACE('',#166025,0.25); #147147=CYLINDRICAL_SURFACE('',#166026,0.25); #147148=CYLINDRICAL_SURFACE('',#166027,0.25); #147149=CYLINDRICAL_SURFACE('',#166028,0.25); #147150=CYLINDRICAL_SURFACE('',#166029,0.25); #147151=CYLINDRICAL_SURFACE('',#166030,0.25); #147152=CYLINDRICAL_SURFACE('',#166031,0.25); #147153=CYLINDRICAL_SURFACE('',#166032,0.25); #147154=CYLINDRICAL_SURFACE('',#166033,0.249999999999999); #147155=CYLINDRICAL_SURFACE('',#166034,0.25); #147156=CYLINDRICAL_SURFACE('',#166035,0.25); #147157=CYLINDRICAL_SURFACE('',#166036,0.25); #147158=CYLINDRICAL_SURFACE('',#166037,0.249999999999999); #147159=CYLINDRICAL_SURFACE('',#166038,0.25); #147160=CYLINDRICAL_SURFACE('',#166039,0.25); #147161=CYLINDRICAL_SURFACE('',#166040,0.25); #147162=CYLINDRICAL_SURFACE('',#166041,0.25); #147163=CYLINDRICAL_SURFACE('',#166042,0.25); #147164=CYLINDRICAL_SURFACE('',#166043,0.25); #147165=CYLINDRICAL_SURFACE('',#166056,0.210823452519849); #147166=CYLINDRICAL_SURFACE('',#166060,476.614013288481); #147167=CYLINDRICAL_SURFACE('',#166063,0.210687101256699); #147168=CYLINDRICAL_SURFACE('',#166067,0.210645205955733); #147169=CYLINDRICAL_SURFACE('',#166070,477.403794178001); #147170=CYLINDRICAL_SURFACE('',#166073,0.21597598787342); #147171=CYLINDRICAL_SURFACE('',#166076,0.215990056208658); #147172=CYLINDRICAL_SURFACE('',#166080,0.25599005620866); #147173=CYLINDRICAL_SURFACE('',#166083,0.25597598787342); #147174=CYLINDRICAL_SURFACE('',#166086,477.443794178001); #147175=CYLINDRICAL_SURFACE('',#166089,0.250645205955733); #147176=CYLINDRICAL_SURFACE('',#166093,0.2506871012567); #147177=CYLINDRICAL_SURFACE('',#166096,476.65401328848); #147178=CYLINDRICAL_SURFACE('',#166100,0.250823452519848); #147179=CYLINDRICAL_SURFACE('',#166307,0.0500833502041141); #147180=CYLINDRICAL_SURFACE('',#166310,0.0500833502041201); #147181=CYLINDRICAL_SURFACE('',#166313,0.0500833202039285); #147182=CYLINDRICAL_SURFACE('',#166316,0.0500833202039171); #147183=CYLINDRICAL_SURFACE('',#166320,0.0500833002037917); #147184=CYLINDRICAL_SURFACE('',#166323,0.0500833002037853); #147185=CYLINDRICAL_SURFACE('',#166341,0.183015546586792); #147186=CYLINDRICAL_SURFACE('',#166345,0.0500840002128782); #147187=CYLINDRICAL_SURFACE('',#166348,0.0500835002053955); #147188=CYLINDRICAL_SURFACE('',#166355,0.0969145532086281); #147189=CYLINDRICAL_SURFACE('',#166367,0.610230713176411); #147190=CYLINDRICAL_SURFACE('',#166369,0.333027513471502); #147191=CYLINDRICAL_SURFACE('',#166372,0.0500830002028896); #147192=CYLINDRICAL_SURFACE('',#166375,0.0500840002128774); #147193=CYLINDRICAL_SURFACE('',#166384,0.23555166944425); #147194=CYLINDRICAL_SURFACE('',#166388,0.161215512427943); #147195=CYLINDRICAL_SURFACE('',#166390,0.105885647885909); #147196=CYLINDRICAL_SURFACE('',#166393,0.103287197789879); #147197=CYLINDRICAL_SURFACE('',#166404,0.262932401255248); #147198=CYLINDRICAL_SURFACE('',#166411,1.22388400856687); #147199=CYLINDRICAL_SURFACE('',#166429,0.241958708249275); #147200=CYLINDRICAL_SURFACE('',#166431,0.450801077196293); #147201=CYLINDRICAL_SURFACE('',#166434,0.244478941988021); #147202=CYLINDRICAL_SURFACE('',#166436,0.244490250579952); #147203=CYLINDRICAL_SURFACE('',#166438,7.55922919644539); #147204=CYLINDRICAL_SURFACE('',#166445,0.211853718065751); #147205=CYLINDRICAL_SURFACE('',#166448,1.16111908541571); #147206=CYLINDRICAL_SURFACE('',#166450,0.434029075685786); #147207=CYLINDRICAL_SURFACE('',#166452,2.22917088613974); #147208=CYLINDRICAL_SURFACE('',#166455,0.106146818485615); #147209=CYLINDRICAL_SURFACE('',#166459,0.262182557462925); #147210=CYLINDRICAL_SURFACE('',#166470,0.323108280799626); #147211=CYLINDRICAL_SURFACE('',#166472,69.9148593284141); #147212=CYLINDRICAL_SURFACE('',#166476,9.82798410723636); #147213=CYLINDRICAL_SURFACE('',#166479,9.05573558339489); #147214=CYLINDRICAL_SURFACE('',#166481,24.290023347691); #147215=CYLINDRICAL_SURFACE('',#166485,0.723727038973066); #147216=CYLINDRICAL_SURFACE('',#166487,15.0559214203855); #147217=CYLINDRICAL_SURFACE('',#166489,24.6558614612671); #147218=CYLINDRICAL_SURFACE('',#166492,380.382773381606); #147219=CYLINDRICAL_SURFACE('',#166497,89.1740455161799); #147220=CYLINDRICAL_SURFACE('',#166502,0.408606990634095); #147221=CYLINDRICAL_SURFACE('',#166507,124.389616346185); #147222=CYLINDRICAL_SURFACE('',#166511,187.448139382943); #147223=CYLINDRICAL_SURFACE('',#166515,0.615717081353188); #147224=CYLINDRICAL_SURFACE('',#166517,94.2563569715436); #147225=CYLINDRICAL_SURFACE('',#166521,165.230814159376); #147226=CYLINDRICAL_SURFACE('',#166523,39.7342963892715); #147227=CYLINDRICAL_SURFACE('',#166526,3.99376525025406); #147228=CYLINDRICAL_SURFACE('',#166529,0.201373669847464); #147229=CYLINDRICAL_SURFACE('',#166532,0.762093138721706); #147230=CYLINDRICAL_SURFACE('',#166536,0.303456802098297); #147231=CYLINDRICAL_SURFACE('',#166539,2.42876931082613); #147232=CYLINDRICAL_SURFACE('',#166542,0.213777600377781); #147233=CYLINDRICAL_SURFACE('',#166545,4.22807650848817); #147234=CYLINDRICAL_SURFACE('',#166548,0.202995179653108); #147235=CYLINDRICAL_SURFACE('',#166552,0.476211731233283); #147236=CYLINDRICAL_SURFACE('',#166554,0.476193816473941); #147237=CYLINDRICAL_SURFACE('',#166556,3.41372798574692); #147238=CYLINDRICAL_SURFACE('',#166558,0.45410334653796); #147239=CYLINDRICAL_SURFACE('',#166560,2.10966135380489); #147240=CYLINDRICAL_SURFACE('',#166562,0.461830387713028); #147241=CYLINDRICAL_SURFACE('',#166564,3.88451822837275); #147242=CYLINDRICAL_SURFACE('',#166566,0.464358653623571); #147243=CYLINDRICAL_SURFACE('',#166568,0.464329790863348); #147244=CYLINDRICAL_SURFACE('',#166573,8.65558860143348); #147245=CYLINDRICAL_SURFACE('',#166575,1.89839482827203); #147246=CYLINDRICAL_SURFACE('',#166582,0.108045040194681); #147247=CYLINDRICAL_SURFACE('',#166584,0.108557897468159); #147248=CYLINDRICAL_SURFACE('',#166586,3.8758875550181); #147249=CYLINDRICAL_SURFACE('',#166589,0.148015778526987); #147250=CYLINDRICAL_SURFACE('',#166591,0.148198428401196); #147251=CYLINDRICAL_SURFACE('',#166593,1.16881321986783); #147252=CYLINDRICAL_SURFACE('',#166596,0.102015200737329); #147253=CYLINDRICAL_SURFACE('',#166605,0.150293195077599); #147254=CYLINDRICAL_SURFACE('',#166610,0.520343663222811); #147255=CYLINDRICAL_SURFACE('',#166613,0.510163463639636); #147256=CYLINDRICAL_SURFACE('',#166615,1.33187146572603); #147257=CYLINDRICAL_SURFACE('',#166618,0.238891413771643); #147258=CYLINDRICAL_SURFACE('',#166620,4.03669819447025); #147259=CYLINDRICAL_SURFACE('',#166623,0.29512953253308); #147260=CYLINDRICAL_SURFACE('',#166628,0.106109549188675); #147261=CYLINDRICAL_SURFACE('',#166634,0.241954012621613); #147262=CYLINDRICAL_SURFACE('',#166643,0.0500836002064979); #147263=CYLINDRICAL_SURFACE('',#166646,0.0500841002029979); #147264=CYLINDRICAL_SURFACE('',#166650,0.0500830502029163); #147265=CYLINDRICAL_SURFACE('',#166653,0.0500835502059195); #147266=CYLINDRICAL_SURFACE('',#166656,0.0500835502059151); #147267=CYLINDRICAL_SURFACE('',#166659,0.0500835502059195); #147268=CYLINDRICAL_SURFACE('',#166662,0.0500833002037939); #147269=CYLINDRICAL_SURFACE('',#166665,0.0500833002037853); #147270=CYLINDRICAL_SURFACE('',#166668,0.0500833002037939); #147271=CYLINDRICAL_SURFACE('',#166672,0.0500833502041157); #147272=CYLINDRICAL_SURFACE('',#166675,0.0500833502041141); #147273=CYLINDRICAL_SURFACE('',#166679,0.0500833002037853); #147274=CYLINDRICAL_SURFACE('',#166683,0.0500833502041141); #147275=CYLINDRICAL_SURFACE('',#166687,0.0700606884374637); #147276=CYLINDRICAL_SURFACE('',#166690,0.070059289335826); #147277=CYLINDRICAL_SURFACE('',#166694,0.0500833502041157); #147278=CYLINDRICAL_SURFACE('',#166697,0.0500833002037941); #147279=CYLINDRICAL_SURFACE('',#166700,0.0500833002037939); #147280=CYLINDRICAL_SURFACE('',#166703,0.0700588540354114); #147281=CYLINDRICAL_SURFACE('',#166707,0.0700598949703058); #147282=CYLINDRICAL_SURFACE('',#166710,0.0500835502058929); #147283=CYLINDRICAL_SURFACE('',#166713,0.0500835502059151); #147284=CYLINDRICAL_SURFACE('',#166721,0.20127190394834); #147285=CYLINDRICAL_SURFACE('',#166723,99.2413124099222); #147286=CYLINDRICAL_SURFACE('',#166729,0.512881119229251); #147287=CYLINDRICAL_SURFACE('',#166733,0.986995347731086); #147288=CYLINDRICAL_SURFACE('',#166737,0.761307805659162); #147289=CYLINDRICAL_SURFACE('',#166739,0.808673731342257); #147290=CYLINDRICAL_SURFACE('',#166743,0.146694332664811); #147291=CYLINDRICAL_SURFACE('',#166752,0.108998179934271); #147292=CYLINDRICAL_SURFACE('',#166757,0.502965558301613); #147293=CYLINDRICAL_SURFACE('',#166763,0.101246339671849); #147294=CYLINDRICAL_SURFACE('',#166769,0.386126257231983); #147295=CYLINDRICAL_SURFACE('',#166774,0.235379004744645); #147296=CYLINDRICAL_SURFACE('',#166778,0.13898212105023); #147297=CYLINDRICAL_SURFACE('',#166783,0.108401633425189); #147298=CYLINDRICAL_SURFACE('',#166785,0.108139383837308); #147299=CYLINDRICAL_SURFACE('',#166789,6.16942037230328); #147300=CYLINDRICAL_SURFACE('',#166791,0.175356689810468); #147301=CYLINDRICAL_SURFACE('',#166794,0.097906412602545); #147302=CYLINDRICAL_SURFACE('',#166796,0.0985044292532088); #147303=CYLINDRICAL_SURFACE('',#166800,0.536370080867927); #147304=CYLINDRICAL_SURFACE('',#166802,0.708943908285709); #147305=CYLINDRICAL_SURFACE('',#166807,0.368602761275533); #147306=CYLINDRICAL_SURFACE('',#166814,2.45419086988112); #147307=CYLINDRICAL_SURFACE('',#166824,0.476836816515291); #147308=CYLINDRICAL_SURFACE('',#166830,0.235041233091359); #147309=CYLINDRICAL_SURFACE('',#166835,270.229376762508); #147310=CYLINDRICAL_SURFACE('',#166841,0.264451625672836); #147311=CYLINDRICAL_SURFACE('',#166856,0.208164567024017); #147312=CYLINDRICAL_SURFACE('',#166859,0.208133078493029); #147313=CYLINDRICAL_SURFACE('',#166862,1.82816413444533); #147314=CYLINDRICAL_SURFACE('',#166865,0.29223963292079); #147315=CYLINDRICAL_SURFACE('',#166868,4.54676734950187); #147316=CYLINDRICAL_SURFACE('',#166871,2.38277415270361); #147317=CYLINDRICAL_SURFACE('',#166874,0.987449839745425); #147318=CYLINDRICAL_SURFACE('',#166876,0.586409234153325); #147319=CYLINDRICAL_SURFACE('',#166885,0.227081782674123); #147320=CYLINDRICAL_SURFACE('',#166888,0.345251403572759); #147321=CYLINDRICAL_SURFACE('',#166890,31.0836617553845); #147322=CYLINDRICAL_SURFACE('',#166893,0.216741158869119); #147323=CYLINDRICAL_SURFACE('',#166895,0.216715319919285); #147324=CYLINDRICAL_SURFACE('',#166897,1.32231171426951); #147325=CYLINDRICAL_SURFACE('',#166907,1.34480952412839); #147326=CYLINDRICAL_SURFACE('',#166909,0.515435602514637); #147327=CYLINDRICAL_SURFACE('',#166911,1.73760822920164); #147328=CYLINDRICAL_SURFACE('',#166913,0.52981682605875); #147329=CYLINDRICAL_SURFACE('',#166919,3.01685511019933); #147330=CYLINDRICAL_SURFACE('',#166922,36.2565399977837); #147331=CYLINDRICAL_SURFACE('',#166930,0.602475071421405); #147332=CYLINDRICAL_SURFACE('',#166934,0.295496714781099); #147333=CYLINDRICAL_SURFACE('',#166937,0.633433396662912); #147334=CYLINDRICAL_SURFACE('',#166940,1.87527496975162); #147335=CYLINDRICAL_SURFACE('',#166943,0.22481827700737); #147336=CYLINDRICAL_SURFACE('',#166946,2.54353670078804); #147337=CYLINDRICAL_SURFACE('',#166949,0.19878636006642); #147338=CYLINDRICAL_SURFACE('',#166952,3.99114658864244); #147339=CYLINDRICAL_SURFACE('',#166955,0.239024906182648); #147340=CYLINDRICAL_SURFACE('',#166958,0.367669241873683); #147341=CYLINDRICAL_SURFACE('',#166976,0.285802114418119); #147342=CYLINDRICAL_SURFACE('',#166979,0.47450381436989); #147343=CYLINDRICAL_SURFACE('',#166981,1.96210062265339); #147344=CYLINDRICAL_SURFACE('',#166984,0.599148397756274); #147345=CYLINDRICAL_SURFACE('',#166986,8.20807382234522); #147346=CYLINDRICAL_SURFACE('',#166988,0.557232729749377); #147347=CYLINDRICAL_SURFACE('',#166990,0.772163647780963); #147348=CYLINDRICAL_SURFACE('',#166992,1.72367625894348); #147349=CYLINDRICAL_SURFACE('',#166994,0.545510942736715); #147350=CYLINDRICAL_SURFACE('',#166996,1.30922624790214); #147351=CYLINDRICAL_SURFACE('',#166998,0.3296152624401); #147352=CYLINDRICAL_SURFACE('',#167000,53.2475325693691); #147353=CYLINDRICAL_SURFACE('',#167003,0.158659128120392); #147354=CYLINDRICAL_SURFACE('',#167010,0.180156375680117); #147355=CYLINDRICAL_SURFACE('',#167012,0.17995681596171); #147356=CYLINDRICAL_SURFACE('',#167014,26.3915090591176); #147357=CYLINDRICAL_SURFACE('',#167017,0.125978557368907); #147358=CYLINDRICAL_SURFACE('',#167021,0.219886717353648); #147359=CYLINDRICAL_SURFACE('',#167025,10.7863447638923); #147360=CYLINDRICAL_SURFACE('',#167027,0.419828705151074); #147361=CYLINDRICAL_SURFACE('',#167029,0.419878552182758); #147362=CYLINDRICAL_SURFACE('',#167031,0.398857618786274); #147363=CYLINDRICAL_SURFACE('',#167033,0.398797280813799); #147364=CYLINDRICAL_SURFACE('',#167035,2861.30242527465); #147365=CYLINDRICAL_SURFACE('',#167038,0.154489256364209); #147366=CYLINDRICAL_SURFACE('',#167040,0.154395426433253); #147367=CYLINDRICAL_SURFACE('',#167042,0.186184722917856); #147368=CYLINDRICAL_SURFACE('',#167044,0.186083616467684); #147369=CYLINDRICAL_SURFACE('',#167046,25.126724913302); #147370=CYLINDRICAL_SURFACE('',#167055,0.115580052252601); #147371=CYLINDRICAL_SURFACE('',#167058,0.103824390969805); #147372=CYLINDRICAL_SURFACE('',#167062,0.112421775767908); #147373=CYLINDRICAL_SURFACE('',#167064,7.2219472327056); #147374=CYLINDRICAL_SURFACE('',#167066,0.391217951152284); #147375=CYLINDRICAL_SURFACE('',#167068,0.391095496672695); #147376=CYLINDRICAL_SURFACE('',#167070,0.422745620727355); #147377=CYLINDRICAL_SURFACE('',#167072,3.15716364651926); #147378=CYLINDRICAL_SURFACE('',#167075,0.1480953199624); #147379=CYLINDRICAL_SURFACE('',#167077,0.147973187265042); #147380=CYLINDRICAL_SURFACE('',#167086,0.0488476630418783); #147381=CYLINDRICAL_SURFACE('',#167089,0.0500840502029106); #147382=CYLINDRICAL_SURFACE('',#167112,0.0453529579803883); #147383=CYLINDRICAL_SURFACE('',#167115,0.0500841002029898); #147384=CYLINDRICAL_SURFACE('',#167150,0.0500843502071174); #147385=CYLINDRICAL_SURFACE('',#167153,0.0500840502119003); #147386=CYLINDRICAL_SURFACE('',#167156,0.0500835502049141); #147387=CYLINDRICAL_SURFACE('',#167159,0.0500838502031195); #147388=CYLINDRICAL_SURFACE('',#167166,0.4); #147389=CYLINDRICAL_SURFACE('',#167168,0.399999999999999); #147390=CYLINDRICAL_SURFACE('',#167170,0.399999999999999); #147391=CYLINDRICAL_SURFACE('',#167172,0.399999999999999); #147392=CYLINDRICAL_SURFACE('',#167174,0.4); #147393=CYLINDRICAL_SURFACE('',#167176,0.399999999999999); #147394=CYLINDRICAL_SURFACE('',#167178,0.399999999999999); #147395=CYLINDRICAL_SURFACE('',#167180,0.4); #147396=CYLINDRICAL_SURFACE('',#167182,0.4); #147397=CYLINDRICAL_SURFACE('',#167184,0.399999999999999); #147398=CYLINDRICAL_SURFACE('',#167186,0.4); #147399=CYLINDRICAL_SURFACE('',#167188,0.400000000000001); #147400=CYLINDRICAL_SURFACE('',#167190,0.400000000000001); #147401=CYLINDRICAL_SURFACE('',#167192,0.399999999999999); #147402=CYLINDRICAL_SURFACE('',#167261,0.400000000000003); #147403=CYLINDRICAL_SURFACE('',#167262,0.200000000000003); #147404=CYLINDRICAL_SURFACE('',#167269,0.400000000000003); #147405=CYLINDRICAL_SURFACE('',#167270,0.200000000000003); #147406=CYLINDRICAL_SURFACE('',#167277,0.4); #147407=CYLINDRICAL_SURFACE('',#167278,0.2); #147408=CYLINDRICAL_SURFACE('',#167285,0.4); #147409=CYLINDRICAL_SURFACE('',#167286,0.2); #147410=CYLINDRICAL_SURFACE('',#167288,0.0999999999999996); #147411=CYLINDRICAL_SURFACE('',#167290,0.0999999999999988); #147412=CYLINDRICAL_SURFACE('',#167293,0.0999999999999996); #147413=CYLINDRICAL_SURFACE('',#167295,0.0999999999999996); #147414=CYLINDRICAL_SURFACE('',#167298,0.1); #147415=CYLINDRICAL_SURFACE('',#167300,0.0999999999999998); #147416=CYLINDRICAL_SURFACE('',#167310,0.125); #147417=CYLINDRICAL_SURFACE('',#167313,0.01); #147418=CYLINDRICAL_SURFACE('',#167316,0.01); #147419=CYLINDRICAL_SURFACE('',#167323,0.01); #147420=CYLINDRICAL_SURFACE('',#167325,0.01); #147421=CYLINDRICAL_SURFACE('',#167328,0.01); #147422=CYLINDRICAL_SURFACE('',#167335,0.01); #147423=CYLINDRICAL_SURFACE('',#167337,0.01); #147424=CYLINDRICAL_SURFACE('',#167340,0.01); #147425=CYLINDRICAL_SURFACE('',#167347,0.01); #147426=CYLINDRICAL_SURFACE('',#167349,0.01); #147427=CYLINDRICAL_SURFACE('',#167352,0.01); #147428=CYLINDRICAL_SURFACE('',#167359,0.01); #147429=CYLINDRICAL_SURFACE('',#167363,0.04); #147430=CYLINDRICAL_SURFACE('',#167371,0.04); #147431=CYLINDRICAL_SURFACE('',#167374,0.12); #147432=CYLINDRICAL_SURFACE('',#167377,0.12); #147433=CYLINDRICAL_SURFACE('',#167379,0.01); #147434=CYLINDRICAL_SURFACE('',#167386,0.01); #147435=CYLINDRICAL_SURFACE('',#167391,0.01); #147436=CYLINDRICAL_SURFACE('',#167396,0.01); #147437=CYLINDRICAL_SURFACE('',#167401,0.12); #147438=CYLINDRICAL_SURFACE('',#167403,0.04); #147439=CYLINDRICAL_SURFACE('',#167410,0.04); #147440=CYLINDRICAL_SURFACE('',#167417,0.24); #147441=CYLINDRICAL_SURFACE('',#167420,0.24); #147442=CYLINDRICAL_SURFACE('',#167425,0.24); #147443=CYLINDRICAL_SURFACE('',#167428,0.24); #147444=CYLINDRICAL_SURFACE('',#167433,0.24); #147445=CYLINDRICAL_SURFACE('',#167437,0.24); #147446=CYLINDRICAL_SURFACE('',#167444,0.24); #147447=CYLINDRICAL_SURFACE('',#167445,0.24); #147448=CYLINDRICAL_SURFACE('',#167447,0.12); #147449=CYLINDRICAL_SURFACE('',#167482,0.01); #147450=CYLINDRICAL_SURFACE('',#167485,0.01); #147451=CYLINDRICAL_SURFACE('',#167488,0.01); #147452=CYLINDRICAL_SURFACE('',#167491,0.01); #147453=CYLINDRICAL_SURFACE('',#167494,0.01); #147454=CYLINDRICAL_SURFACE('',#167497,0.01); #147455=CYLINDRICAL_SURFACE('',#167500,0.01); #147456=CYLINDRICAL_SURFACE('',#167503,0.01); #147457=CYLINDRICAL_SURFACE('',#167506,0.01); #147458=CYLINDRICAL_SURFACE('',#167509,0.01); #147459=CYLINDRICAL_SURFACE('',#167512,0.01); #147460=CYLINDRICAL_SURFACE('',#167515,0.01); #147461=CYLINDRICAL_SURFACE('',#167518,0.01); #147462=CYLINDRICAL_SURFACE('',#167521,0.01); #147463=CYLINDRICAL_SURFACE('',#167524,0.01); #147464=CYLINDRICAL_SURFACE('',#167527,0.01); #147465=CYLINDRICAL_SURFACE('',#167530,0.01); #147466=CYLINDRICAL_SURFACE('',#167533,0.01); #147467=CYLINDRICAL_SURFACE('',#167536,0.01); #147468=CYLINDRICAL_SURFACE('',#167540,0.01); #147469=CYLINDRICAL_SURFACE('',#167544,0.01); #147470=CYLINDRICAL_SURFACE('',#167547,0.01); #147471=CYLINDRICAL_SURFACE('',#167550,0.01); #147472=CYLINDRICAL_SURFACE('',#167553,0.01); #147473=CYLINDRICAL_SURFACE('',#167561,0.01); #147474=CYLINDRICAL_SURFACE('',#167565,0.01); #147475=CYLINDRICAL_SURFACE('',#167568,0.01); #147476=CYLINDRICAL_SURFACE('',#167573,0.01); #147477=CYLINDRICAL_SURFACE('',#167577,0.01); #147478=CYLINDRICAL_SURFACE('',#167579,0.01); #147479=CYLINDRICAL_SURFACE('',#167583,0.01); #147480=CYLINDRICAL_SURFACE('',#167587,0.01); #147481=CYLINDRICAL_SURFACE('',#167590,0.01); #147482=CYLINDRICAL_SURFACE('',#167593,0.01); #147483=CYLINDRICAL_SURFACE('',#167596,0.01); #147484=CYLINDRICAL_SURFACE('',#167604,0.01); #147485=CYLINDRICAL_SURFACE('',#167608,0.01); #147486=CYLINDRICAL_SURFACE('',#167611,0.01); #147487=CYLINDRICAL_SURFACE('',#167616,0.01); #147488=CYLINDRICAL_SURFACE('',#167620,0.01); #147489=CYLINDRICAL_SURFACE('',#167622,0.01); #147490=CYLINDRICAL_SURFACE('',#167626,0.01); #147491=CYLINDRICAL_SURFACE('',#167630,0.01); #147492=CYLINDRICAL_SURFACE('',#167633,0.01); #147493=CYLINDRICAL_SURFACE('',#167636,0.01); #147494=CYLINDRICAL_SURFACE('',#167639,0.01); #147495=CYLINDRICAL_SURFACE('',#167646,0.01); #147496=CYLINDRICAL_SURFACE('',#167650,0.01); #147497=CYLINDRICAL_SURFACE('',#167653,0.01); #147498=CYLINDRICAL_SURFACE('',#167659,0.01); #147499=CYLINDRICAL_SURFACE('',#167662,0.01); #147500=CYLINDRICAL_SURFACE('',#167665,0.01); #147501=CYLINDRICAL_SURFACE('',#167668,0.01); #147502=CYLINDRICAL_SURFACE('',#167671,0.01); #147503=CYLINDRICAL_SURFACE('',#167674,0.01); #147504=CYLINDRICAL_SURFACE('',#167680,0.01); #147505=CYLINDRICAL_SURFACE('',#167686,0.01); #147506=CYLINDRICAL_SURFACE('',#167689,0.01); #147507=CYLINDRICAL_SURFACE('',#167695,0.01); #147508=CYLINDRICAL_SURFACE('',#167707,0.01); #147509=CYLINDRICAL_SURFACE('',#167710,0.01); #147510=CYLINDRICAL_SURFACE('',#167713,0.01); #147511=CYLINDRICAL_SURFACE('',#167716,0.01); #147512=CYLINDRICAL_SURFACE('',#167719,0.01); #147513=CYLINDRICAL_SURFACE('',#167726,0.01); #147514=CYLINDRICAL_SURFACE('',#167729,0.01); #147515=CYLINDRICAL_SURFACE('',#167735,0.01); #147516=CYLINDRICAL_SURFACE('',#167738,0.01); #147517=CYLINDRICAL_SURFACE('',#167743,0.01); #147518=CYLINDRICAL_SURFACE('',#167745,0.01); #147519=CYLINDRICAL_SURFACE('',#167749,0.01); #147520=CYLINDRICAL_SURFACE('',#167753,0.01); #147521=CYLINDRICAL_SURFACE('',#167756,0.01); #147522=CYLINDRICAL_SURFACE('',#167759,0.01); #147523=CYLINDRICAL_SURFACE('',#167762,0.01); #147524=CYLINDRICAL_SURFACE('',#167769,0.01); #147525=CYLINDRICAL_SURFACE('',#167773,0.01); #147526=CYLINDRICAL_SURFACE('',#167776,0.01); #147527=CYLINDRICAL_SURFACE('',#167781,0.01); #147528=CYLINDRICAL_SURFACE('',#167785,0.01); #147529=CYLINDRICAL_SURFACE('',#167792,0.01); #147530=CYLINDRICAL_SURFACE('',#167796,0.01); #147531=CYLINDRICAL_SURFACE('',#167799,0.01); #147532=CYLINDRICAL_SURFACE('',#167802,0.01); #147533=CYLINDRICAL_SURFACE('',#167805,0.01); #147534=CYLINDRICAL_SURFACE('',#167811,0.01); #147535=CYLINDRICAL_SURFACE('',#167814,0.01); #147536=CYLINDRICAL_SURFACE('',#167819,0.01); #147537=CYLINDRICAL_SURFACE('',#167823,0.01); #147538=CYLINDRICAL_SURFACE('',#167828,0.01); #147539=CYLINDRICAL_SURFACE('',#167830,0.01); #147540=CYLINDRICAL_SURFACE('',#167834,0.01); #147541=CYLINDRICAL_SURFACE('',#167838,0.01); #147542=CYLINDRICAL_SURFACE('',#167841,0.01); #147543=CYLINDRICAL_SURFACE('',#167844,0.01); #147544=CYLINDRICAL_SURFACE('',#167847,0.01); #147545=CYLINDRICAL_SURFACE('',#167854,0.01); #147546=CYLINDRICAL_SURFACE('',#167858,0.01); #147547=CYLINDRICAL_SURFACE('',#167861,0.01); #147548=CYLINDRICAL_SURFACE('',#167866,0.01); #147549=CYLINDRICAL_SURFACE('',#167870,0.01); #147550=CYLINDRICAL_SURFACE('',#167879,0.01); #147551=CYLINDRICAL_SURFACE('',#167883,0.01); #147552=CYLINDRICAL_SURFACE('',#167886,0.01); #147553=CYLINDRICAL_SURFACE('',#167889,0.01); #147554=CYLINDRICAL_SURFACE('',#167892,0.01); #147555=CYLINDRICAL_SURFACE('',#167898,0.01); #147556=CYLINDRICAL_SURFACE('',#167901,0.01); #147557=CYLINDRICAL_SURFACE('',#167906,0.01); #147558=CYLINDRICAL_SURFACE('',#167910,0.01); #147559=CYLINDRICAL_SURFACE('',#167915,0.01); #147560=CYLINDRICAL_SURFACE('',#167917,0.01); #147561=CYLINDRICAL_SURFACE('',#167921,0.01); #147562=CYLINDRICAL_SURFACE('',#167925,0.01); #147563=CYLINDRICAL_SURFACE('',#167928,0.01); #147564=CYLINDRICAL_SURFACE('',#167931,0.01); #147565=CYLINDRICAL_SURFACE('',#167934,0.01); #147566=CYLINDRICAL_SURFACE('',#167942,0.01); #147567=CYLINDRICAL_SURFACE('',#167946,0.01); #147568=CYLINDRICAL_SURFACE('',#167949,0.01); #147569=CYLINDRICAL_SURFACE('',#167954,0.01); #147570=CYLINDRICAL_SURFACE('',#167958,0.01); #147571=CYLINDRICAL_SURFACE('',#167960,0.01); #147572=CYLINDRICAL_SURFACE('',#167964,0.01); #147573=CYLINDRICAL_SURFACE('',#167968,0.01); #147574=CYLINDRICAL_SURFACE('',#167971,0.01); #147575=CYLINDRICAL_SURFACE('',#167974,0.01); #147576=CYLINDRICAL_SURFACE('',#167977,0.01); #147577=CYLINDRICAL_SURFACE('',#167984,0.01); #147578=CYLINDRICAL_SURFACE('',#167988,0.01); #147579=CYLINDRICAL_SURFACE('',#167991,0.01); #147580=CYLINDRICAL_SURFACE('',#167996,0.01); #147581=CYLINDRICAL_SURFACE('',#168000,0.01); #147582=CYLINDRICAL_SURFACE('',#168003,0.01); #147583=CYLINDRICAL_SURFACE('',#168008,0.005); #147584=CYLINDRICAL_SURFACE('',#168010,0.01); #147585=CYLINDRICAL_SURFACE('',#168013,0.01); #147586=CYLINDRICAL_SURFACE('',#168018,0.005); #147587=CYLINDRICAL_SURFACE('',#168020,0.01); #147588=CYLINDRICAL_SURFACE('',#168022,0.01); #147589=CYLINDRICAL_SURFACE('',#168026,0.005); #147590=CYLINDRICAL_SURFACE('',#168028,0.01); #147591=CYLINDRICAL_SURFACE('',#168030,0.01); #147592=CYLINDRICAL_SURFACE('',#168034,0.005); #147593=CYLINDRICAL_SURFACE('',#168036,0.01); #147594=CYLINDRICAL_SURFACE('',#168039,0.01); #147595=CYLINDRICAL_SURFACE('',#168044,0.005); #147596=CYLINDRICAL_SURFACE('',#168046,0.01); #147597=CYLINDRICAL_SURFACE('',#168049,0.01); #147598=CYLINDRICAL_SURFACE('',#168054,0.005); #147599=CYLINDRICAL_SURFACE('',#168056,0.01); #147600=CYLINDRICAL_SURFACE('',#168058,0.01); #147601=CYLINDRICAL_SURFACE('',#168062,0.005); #147602=CYLINDRICAL_SURFACE('',#168064,0.01); #147603=CYLINDRICAL_SURFACE('',#168067,0.01); #147604=CYLINDRICAL_SURFACE('',#168072,0.005); #147605=CYLINDRICAL_SURFACE('',#168074,0.01); #147606=CYLINDRICAL_SURFACE('',#168077,0.01); #147607=CYLINDRICAL_SURFACE('',#168082,0.005); #147608=CYLINDRICAL_SURFACE('',#168084,0.01); #147609=CYLINDRICAL_SURFACE('',#168087,0.01); #147610=CYLINDRICAL_SURFACE('',#168092,0.005); #147611=CYLINDRICAL_SURFACE('',#168094,0.01); #147612=CYLINDRICAL_SURFACE('',#168097,0.01); #147613=CYLINDRICAL_SURFACE('',#168102,0.005); #147614=CYLINDRICAL_SURFACE('',#168104,0.01); #147615=CYLINDRICAL_SURFACE('',#168107,0.01); #147616=CYLINDRICAL_SURFACE('',#168112,0.005); #147617=CYLINDRICAL_SURFACE('',#168114,0.01); #147618=CYLINDRICAL_SURFACE('',#168116,0.01); #147619=CYLINDRICAL_SURFACE('',#168120,0.005); #147620=CYLINDRICAL_SURFACE('',#168122,0.01); #147621=CYLINDRICAL_SURFACE('',#168124,0.01); #147622=CYLINDRICAL_SURFACE('',#168128,0.005); #147623=CYLINDRICAL_SURFACE('',#168130,0.01); #147624=CYLINDRICAL_SURFACE('',#168132,0.01); #147625=CYLINDRICAL_SURFACE('',#168136,0.005); #147626=CYLINDRICAL_SURFACE('',#168138,0.01); #147627=CYLINDRICAL_SURFACE('',#168140,0.01); #147628=CYLINDRICAL_SURFACE('',#168144,0.005); #147629=CYLINDRICAL_SURFACE('',#168146,0.01); #147630=CYLINDRICAL_SURFACE('',#168148,0.01); #147631=CYLINDRICAL_SURFACE('',#168152,0.005); #147632=CYLINDRICAL_SURFACE('',#168154,0.01); #147633=CYLINDRICAL_SURFACE('',#168156,0.01); #147634=CYLINDRICAL_SURFACE('',#168160,0.005); #147635=CYLINDRICAL_SURFACE('',#168162,0.01); #147636=CYLINDRICAL_SURFACE('',#168165,0.01); #147637=CYLINDRICAL_SURFACE('',#168170,0.005); #147638=CYLINDRICAL_SURFACE('',#168172,0.01); #147639=CYLINDRICAL_SURFACE('',#168174,0.01); #147640=CYLINDRICAL_SURFACE('',#168178,0.005); #147641=CYLINDRICAL_SURFACE('',#168181,2.4635); #147642=CYLINDRICAL_SURFACE('',#168183,2.4635); #147643=CYLINDRICAL_SURFACE('',#168184,2.4635); #147644=CYLINDRICAL_SURFACE('',#168185,2.4635); #147645=CYLINDRICAL_SURFACE('',#168186,2.4635); #147646=CYLINDRICAL_SURFACE('',#168187,2.4635); #147647=CYLINDRICAL_SURFACE('',#168188,2.4635); #147648=CYLINDRICAL_SURFACE('',#168189,2.4635); #147649=CYLINDRICAL_SURFACE('',#168190,2.4635); #147650=CYLINDRICAL_SURFACE('',#168191,2.4635); #147651=CYLINDRICAL_SURFACE('',#168192,2.4635); #147652=CYLINDRICAL_SURFACE('',#168193,2.4635); #147653=CYLINDRICAL_SURFACE('',#168194,2.4635); #147654=CYLINDRICAL_SURFACE('',#168195,2.4635); #147655=CYLINDRICAL_SURFACE('',#168196,2.4635); #147656=CYLINDRICAL_SURFACE('',#168197,2.4635); #147657=CYLINDRICAL_SURFACE('',#168198,2.4635); #147658=CYLINDRICAL_SURFACE('',#168199,2.4635); #147659=CYLINDRICAL_SURFACE('',#168200,2.17775); #147660=CYLINDRICAL_SURFACE('',#168202,2.17775); #147661=CYLINDRICAL_SURFACE('',#168203,2.17775); #147662=CYLINDRICAL_SURFACE('',#168204,2.17775); #147663=CYLINDRICAL_SURFACE('',#168205,2.17775); #147664=CYLINDRICAL_SURFACE('',#168206,2.17775); #147665=CYLINDRICAL_SURFACE('',#168207,2.17775); #147666=CYLINDRICAL_SURFACE('',#168208,2.17775); #147667=CYLINDRICAL_SURFACE('',#168209,2.17775); #147668=CYLINDRICAL_SURFACE('',#168210,2.17775); #147669=CYLINDRICAL_SURFACE('',#168211,2.17775); #147670=CYLINDRICAL_SURFACE('',#168212,2.17775); #147671=CYLINDRICAL_SURFACE('',#168213,2.17775); #147672=CYLINDRICAL_SURFACE('',#168214,2.17775); #147673=CYLINDRICAL_SURFACE('',#168215,2.17775); #147674=CYLINDRICAL_SURFACE('',#168216,2.17775); #147675=CYLINDRICAL_SURFACE('',#168217,2.17775); #147676=CYLINDRICAL_SURFACE('',#168223,1.5); #147677=CYLINDRICAL_SURFACE('',#168229,2.17775); #147678=CYLINDRICAL_SURFACE('',#168241,2.); #147679=CYLINDRICAL_SURFACE('',#168244,2.4635); #147680=CYLINDRICAL_SURFACE('',#168247,2.125); #147681=CYLINDRICAL_SURFACE('',#168281,0.25); #147682=CYLINDRICAL_SURFACE('',#168289,0.25); #147683=CYLINDRICAL_SURFACE('',#168298,0.25); #147684=CYLINDRICAL_SURFACE('',#168307,0.25); #147685=CYLINDRICAL_SURFACE('',#168317,2.42778640450004); #147686=CYLINDRICAL_SURFACE('',#168359,2.425); #147687=CYLINDRICAL_SURFACE('',#168377,3.); #147688=CYLINDRICAL_SURFACE('',#168387,3.); #147689=CYLINDRICAL_SURFACE('',#168389,3.); #147690=CYLINDRICAL_SURFACE('',#168391,3.); #147691=CYLINDRICAL_SURFACE('',#168393,3.); #147692=CYLINDRICAL_SURFACE('',#168399,1.); #147693=CYLINDRICAL_SURFACE('',#168402,1.); #147694=CYLINDRICAL_SURFACE('',#168405,1.); #147695=CYLINDRICAL_SURFACE('',#168408,0.2); #147696=CYLINDRICAL_SURFACE('',#168412,0.25); #147697=CYLINDRICAL_SURFACE('',#168414,0.25); #147698=CYLINDRICAL_SURFACE('',#168418,2.); #147699=CYLINDRICAL_SURFACE('',#168420,2.); #147700=CYLINDRICAL_SURFACE('',#168423,3.); #147701=CYLINDRICAL_SURFACE('',#168438,0.5); #147702=CYLINDRICAL_SURFACE('',#168441,0.500000000000001); #147703=CYLINDRICAL_SURFACE('',#168444,0.500000000000001); #147704=CYLINDRICAL_SURFACE('',#168453,0.762); #147705=CYLINDRICAL_SURFACE('',#168456,1.225); #147706=CYLINDRICAL_SURFACE('',#168463,1.525); #147707=CYLINDRICAL_SURFACE('',#168468,1.225); #147708=CYLINDRICAL_SURFACE('',#168473,1.725); #147709=CYLINDRICAL_SURFACE('',#168504,0.355000000000001); #147710=CYLINDRICAL_SURFACE('',#168507,0.762000000000001); #147711=CYLINDRICAL_SURFACE('',#168515,0.228); #147712=CYLINDRICAL_SURFACE('',#168522,0.355); #147713=ADVANCED_FACE('',(#6546),#145298,.F.); #147714=ADVANCED_FACE('',(#6547),#1191,.F.); #147715=ADVANCED_FACE('',(#6548),#1192,.T.); #147716=ADVANCED_FACE('',(#6549),#145299,.F.); #147717=ADVANCED_FACE('',(#6550),#145300,.F.); #147718=ADVANCED_FACE('',(#6551),#1193,.F.); #147719=ADVANCED_FACE('',(#6552),#1194,.F.); #147720=ADVANCED_FACE('',(#6553),#145301,.F.); #147721=ADVANCED_FACE('',(#6554),#145302,.F.); #147722=ADVANCED_FACE('',(#6555),#1195,.F.); #147723=ADVANCED_FACE('',(#6556),#1196,.F.); #147724=ADVANCED_FACE('',(#6557),#1197,.F.); #147725=ADVANCED_FACE('',(#6558),#1198,.F.); #147726=ADVANCED_FACE('',(#6559,#956),#1199,.T.); #147727=ADVANCED_FACE('',(#6560),#1200,.T.); #147728=ADVANCED_FACE('',(#6561),#145303,.F.); #147729=ADVANCED_FACE('',(#6562),#1201,.F.); #147730=ADVANCED_FACE('',(#6563),#145304,.F.); #147731=ADVANCED_FACE('',(#6564),#1202,.F.); #147732=ADVANCED_FACE('',(#6565),#1203,.F.); #147733=ADVANCED_FACE('',(#6566),#145305,.F.); #147734=ADVANCED_FACE('',(#6567),#1204,.F.); #147735=ADVANCED_FACE('',(#6568),#145306,.F.); #147736=ADVANCED_FACE('',(#6569),#1205,.F.); #147737=ADVANCED_FACE('',(#6570),#1206,.F.); #147738=ADVANCED_FACE('',(#6571),#145307,.F.); #147739=ADVANCED_FACE('',(#6572),#145308,.F.); #147740=ADVANCED_FACE('',(#6573),#145309,.T.); #147741=ADVANCED_FACE('',(#6574),#145310,.F.); #147742=ADVANCED_FACE('',(#6575),#1207,.F.); #147743=ADVANCED_FACE('',(#6576),#145311,.F.); #147744=ADVANCED_FACE('',(#6577),#1208,.F.); #147745=ADVANCED_FACE('',(#6578),#145312,.F.); #147746=ADVANCED_FACE('',(#6579),#1209,.F.); #147747=ADVANCED_FACE('',(#6580),#145313,.F.); #147748=ADVANCED_FACE('',(#6581),#145314,.T.); #147749=ADVANCED_FACE('',(#6582),#145315,.F.); #147750=ADVANCED_FACE('',(#6583),#145316,.F.); #147751=ADVANCED_FACE('',(#6584),#1210,.F.); #147752=ADVANCED_FACE('',(#6585),#145317,.F.); #147753=ADVANCED_FACE('',(#6586),#145318,.F.); #147754=ADVANCED_FACE('',(#6587),#1211,.F.); #147755=ADVANCED_FACE('',(#6588),#1212,.F.); #147756=ADVANCED_FACE('',(#6589),#145319,.F.); #147757=ADVANCED_FACE('',(#6590),#145320,.T.); #147758=ADVANCED_FACE('',(#6591),#145321,.F.); #147759=ADVANCED_FACE('',(#6592),#1213,.F.); #147760=ADVANCED_FACE('',(#6593),#145322,.F.); #147761=ADVANCED_FACE('',(#6594),#145323,.T.); #147762=ADVANCED_FACE('',(#6595),#145324,.F.); #147763=ADVANCED_FACE('',(#6596),#1214,.F.); #147764=ADVANCED_FACE('',(#6597),#145325,.F.); #147765=ADVANCED_FACE('',(#6598),#145326,.F.); #147766=ADVANCED_FACE('',(#6599),#1215,.F.); #147767=ADVANCED_FACE('',(#6600),#145327,.F.); #147768=ADVANCED_FACE('',(#6601),#1216,.F.); #147769=ADVANCED_FACE('',(#6602),#145328,.F.); #147770=ADVANCED_FACE('',(#6603),#1217,.F.); #147771=ADVANCED_FACE('',(#6604),#1218,.F.); #147772=ADVANCED_FACE('',(#6605),#145329,.F.); #147773=ADVANCED_FACE('',(#6606),#1219,.F.); #147774=ADVANCED_FACE('',(#6607),#145330,.F.); #147775=ADVANCED_FACE('',(#6608),#1220,.F.); #147776=ADVANCED_FACE('',(#6609),#1221,.F.); #147777=ADVANCED_FACE('',(#6610),#1222,.F.); #147778=ADVANCED_FACE('',(#6611),#1223,.F.); #147779=ADVANCED_FACE('',(#6612),#1224,.F.); #147780=ADVANCED_FACE('',(#6613),#1225,.F.); #147781=ADVANCED_FACE('',(#6614),#1226,.F.); #147782=ADVANCED_FACE('',(#6615),#1227,.F.); #147783=ADVANCED_FACE('',(#6616),#1228,.F.); #147784=ADVANCED_FACE('',(#6617),#1229,.F.); #147785=ADVANCED_FACE('',(#6618),#1230,.T.); #147786=ADVANCED_FACE('',(#6619),#1231,.T.); #147787=ADVANCED_FACE('',(#6620,#957,#958,#959),#1232,.T.); #147788=ADVANCED_FACE('',(#6621),#1233,.F.); #147789=ADVANCED_FACE('',(#6622),#145331,.F.); #147790=ADVANCED_FACE('',(#6623,#960),#1234,.T.); #147791=ADVANCED_FACE('',(#6624),#145332,.F.); #147792=ADVANCED_FACE('',(#6625,#961),#1235,.T.); #147793=ADVANCED_FACE('',(#6626),#145333,.F.); #147794=ADVANCED_FACE('',(#6627),#145334,.F.); #147795=ADVANCED_FACE('',(#6628),#1236,.T.); #147796=ADVANCED_FACE('',(#6629),#1237,.T.); #147797=ADVANCED_FACE('',(#6630),#1238,.T.); #147798=ADVANCED_FACE('',(#6631),#1239,.T.); #147799=ADVANCED_FACE('',(#6632),#1240,.T.); #147800=ADVANCED_FACE('',(#6633),#1241,.F.); #147801=ADVANCED_FACE('',(#6634),#145335,.F.); #147802=ADVANCED_FACE('',(#6635),#1242,.F.); #147803=ADVANCED_FACE('',(#6636),#145336,.F.); #147804=ADVANCED_FACE('',(#6637),#1243,.F.); #147805=ADVANCED_FACE('',(#6638),#1244,.F.); #147806=ADVANCED_FACE('',(#6639),#145337,.F.); #147807=ADVANCED_FACE('',(#6640),#1245,.F.); #147808=ADVANCED_FACE('',(#6641),#145338,.F.); #147809=ADVANCED_FACE('',(#6642),#1246,.F.); #147810=ADVANCED_FACE('',(#6643),#1247,.F.); #147811=ADVANCED_FACE('',(#6644),#145339,.F.); #147812=ADVANCED_FACE('',(#6645),#1248,.F.); #147813=ADVANCED_FACE('',(#6646),#1249,.F.); #147814=ADVANCED_FACE('',(#6647),#1250,.F.); #147815=ADVANCED_FACE('',(#6648),#145340,.F.); #147816=ADVANCED_FACE('',(#6649),#1251,.F.); #147817=ADVANCED_FACE('',(#6650),#145341,.F.); #147818=ADVANCED_FACE('',(#6651),#145342,.F.); #147819=ADVANCED_FACE('',(#6652),#1252,.F.); #147820=ADVANCED_FACE('',(#6653),#1253,.F.); #147821=ADVANCED_FACE('',(#6654),#145343,.F.); #147822=ADVANCED_FACE('',(#6655),#1254,.F.); #147823=ADVANCED_FACE('',(#6656),#1255,.F.); #147824=ADVANCED_FACE('',(#6657),#1256,.F.); #147825=ADVANCED_FACE('',(#6658),#145344,.F.); #147826=ADVANCED_FACE('',(#6659),#1257,.F.); #147827=ADVANCED_FACE('',(#6660),#145345,.F.); #147828=ADVANCED_FACE('',(#6661),#1258,.F.); #147829=ADVANCED_FACE('',(#6662),#145346,.F.); #147830=ADVANCED_FACE('',(#6663),#1259,.F.); #147831=ADVANCED_FACE('',(#6664),#1260,.F.); #147832=ADVANCED_FACE('',(#6665),#1261,.F.); #147833=ADVANCED_FACE('',(#6666),#145347,.F.); #147834=ADVANCED_FACE('',(#6667),#1262,.F.); #147835=ADVANCED_FACE('',(#6668),#145348,.F.); #147836=ADVANCED_FACE('',(#6669),#1263,.T.); #147837=ADVANCED_FACE('',(#6670),#1264,.T.); #147838=ADVANCED_FACE('',(#6671),#1265,.T.); #147839=ADVANCED_FACE('',(#6672),#1266,.T.); #147840=ADVANCED_FACE('',(#6673),#1267,.T.); #147841=ADVANCED_FACE('',(#6674),#1268,.T.); #147842=ADVANCED_FACE('',(#6675),#1269,.T.); #147843=ADVANCED_FACE('',(#6676),#1270,.T.); #147844=ADVANCED_FACE('',(#6677,#962,#963),#1271,.T.); #147845=ADVANCED_FACE('',(#6678),#145349,.T.); #147846=ADVANCED_FACE('',(#6679),#145350,.T.); #147847=ADVANCED_FACE('',(#6680),#1272,.F.); #147848=ADVANCED_FACE('',(#6681),#1273,.F.); #147849=ADVANCED_FACE('',(#6682),#1274,.F.); #147850=ADVANCED_FACE('',(#6683),#1275,.F.); #147851=ADVANCED_FACE('',(#6684),#145351,.T.); #147852=ADVANCED_FACE('',(#6685),#1276,.F.); #147853=ADVANCED_FACE('',(#6686),#145352,.F.); #147854=ADVANCED_FACE('',(#6687),#145353,.F.); #147855=ADVANCED_FACE('',(#6688),#145354,.F.); #147856=ADVANCED_FACE('',(#6689),#145355,.F.); #147857=ADVANCED_FACE('',(#6690),#1277,.F.); #147858=ADVANCED_FACE('',(#6691),#1278,.F.); #147859=ADVANCED_FACE('',(#6692),#1279,.F.); #147860=ADVANCED_FACE('',(#6693),#1280,.F.); #147861=ADVANCED_FACE('',(#6694),#1281,.F.); #147862=ADVANCED_FACE('',(#6695),#1282,.F.); #147863=ADVANCED_FACE('',(#6696),#1283,.F.); #147864=ADVANCED_FACE('',(#6697),#1284,.F.); #147865=ADVANCED_FACE('',(#6698),#1285,.F.); #147866=ADVANCED_FACE('',(#6699),#1286,.F.); #147867=ADVANCED_FACE('',(#6700),#1287,.F.); #147868=ADVANCED_FACE('',(#6701),#1288,.F.); #147869=ADVANCED_FACE('',(#6702),#1289,.F.); #147870=ADVANCED_FACE('',(#6703),#145356,.F.); #147871=ADVANCED_FACE('',(#6704),#1290,.F.); #147872=ADVANCED_FACE('',(#6705),#1291,.F.); #147873=ADVANCED_FACE('',(#6706),#1292,.F.); #147874=ADVANCED_FACE('',(#6707),#1293,.F.); #147875=ADVANCED_FACE('',(#6708),#1294,.F.); #147876=ADVANCED_FACE('',(#6709),#1295,.F.); #147877=ADVANCED_FACE('',(#6710),#1296,.F.); #147878=ADVANCED_FACE('',(#6711),#145357,.F.); #147879=ADVANCED_FACE('',(#6712),#1297,.F.); #147880=ADVANCED_FACE('',(#6713),#145358,.F.); #147881=ADVANCED_FACE('',(#6714),#1298,.F.); #147882=ADVANCED_FACE('',(#6715),#1299,.F.); #147883=ADVANCED_FACE('',(#6716),#1300,.F.); #147884=ADVANCED_FACE('',(#6717),#1301,.F.); #147885=ADVANCED_FACE('',(#6718),#145359,.F.); #147886=ADVANCED_FACE('',(#6719),#1302,.F.); #147887=ADVANCED_FACE('',(#6720),#1303,.F.); #147888=ADVANCED_FACE('',(#6721),#1304,.F.); #147889=ADVANCED_FACE('',(#6722),#1305,.F.); #147890=ADVANCED_FACE('',(#6723),#1306,.F.); #147891=ADVANCED_FACE('',(#6724),#1307,.F.); #147892=ADVANCED_FACE('',(#6725),#1308,.F.); #147893=ADVANCED_FACE('',(#6726),#1309,.F.); #147894=ADVANCED_FACE('',(#6727),#1310,.F.); #147895=ADVANCED_FACE('',(#6728),#1311,.F.); #147896=ADVANCED_FACE('',(#6729),#1312,.F.); #147897=ADVANCED_FACE('',(#6730),#1313,.F.); #147898=ADVANCED_FACE('',(#6731),#1314,.F.); #147899=ADVANCED_FACE('',(#6732),#1315,.F.); #147900=ADVANCED_FACE('',(#6733),#1316,.F.); #147901=ADVANCED_FACE('',(#6734),#1317,.F.); #147902=ADVANCED_FACE('',(#6735),#1318,.F.); #147903=ADVANCED_FACE('',(#6736),#1319,.F.); #147904=ADVANCED_FACE('',(#6737),#1320,.F.); #147905=ADVANCED_FACE('',(#6738),#1321,.F.); #147906=ADVANCED_FACE('',(#6739),#1322,.F.); #147907=ADVANCED_FACE('',(#6740),#1323,.F.); #147908=ADVANCED_FACE('',(#6741),#145360,.F.); #147909=ADVANCED_FACE('',(#6742),#1324,.F.); #147910=ADVANCED_FACE('',(#6743),#1325,.F.); #147911=ADVANCED_FACE('',(#6744),#145361,.F.); #147912=ADVANCED_FACE('',(#6745),#145362,.F.); #147913=ADVANCED_FACE('',(#6746),#1326,.F.); #147914=ADVANCED_FACE('',(#6747),#1327,.F.); #147915=ADVANCED_FACE('',(#6748),#1328,.F.); #147916=ADVANCED_FACE('',(#6749),#1329,.F.); #147917=ADVANCED_FACE('',(#6750),#1330,.F.); #147918=ADVANCED_FACE('',(#6751),#1331,.F.); #147919=ADVANCED_FACE('',(#6752),#1332,.F.); #147920=ADVANCED_FACE('',(#6753),#1333,.F.); #147921=ADVANCED_FACE('',(#6754),#1334,.F.); #147922=ADVANCED_FACE('',(#6755),#1335,.F.); #147923=ADVANCED_FACE('',(#6756),#1336,.F.); #147924=ADVANCED_FACE('',(#6757),#1337,.F.); #147925=ADVANCED_FACE('',(#6758),#1338,.F.); #147926=ADVANCED_FACE('',(#6759),#1339,.F.); #147927=ADVANCED_FACE('',(#6760),#1340,.F.); #147928=ADVANCED_FACE('',(#6761),#1341,.F.); #147929=ADVANCED_FACE('',(#6762),#1342,.F.); #147930=ADVANCED_FACE('',(#6763),#1343,.F.); #147931=ADVANCED_FACE('',(#6764),#1344,.F.); #147932=ADVANCED_FACE('',(#6765),#1345,.F.); #147933=ADVANCED_FACE('',(#6766),#1346,.F.); #147934=ADVANCED_FACE('',(#6767),#1347,.F.); #147935=ADVANCED_FACE('',(#6768),#1348,.F.); #147936=ADVANCED_FACE('',(#6769),#1349,.F.); #147937=ADVANCED_FACE('',(#6770),#145363,.F.); #147938=ADVANCED_FACE('',(#6771),#1350,.F.); #147939=ADVANCED_FACE('',(#6772),#1351,.F.); #147940=ADVANCED_FACE('',(#6773),#1352,.F.); #147941=ADVANCED_FACE('',(#6774),#145364,.T.); #147942=ADVANCED_FACE('',(#6775),#1353,.F.); #147943=ADVANCED_FACE('',(#6776),#145365,.F.); #147944=ADVANCED_FACE('',(#6777),#1354,.F.); #147945=ADVANCED_FACE('',(#6778),#1355,.F.); #147946=ADVANCED_FACE('',(#6779),#1356,.F.); #147947=ADVANCED_FACE('',(#6780),#1357,.F.); #147948=ADVANCED_FACE('',(#6781),#1358,.F.); #147949=ADVANCED_FACE('',(#6782),#1359,.F.); #147950=ADVANCED_FACE('',(#6783),#1360,.F.); #147951=ADVANCED_FACE('',(#6784),#1361,.F.); #147952=ADVANCED_FACE('',(#6785),#1362,.F.); #147953=ADVANCED_FACE('',(#6786),#1363,.F.); #147954=ADVANCED_FACE('',(#6787),#1364,.F.); #147955=ADVANCED_FACE('',(#6788),#1365,.F.); #147956=ADVANCED_FACE('',(#6789),#1366,.F.); #147957=ADVANCED_FACE('',(#6790),#145366,.F.); #147958=ADVANCED_FACE('',(#6791),#1367,.F.); #147959=ADVANCED_FACE('',(#6792),#1368,.F.); #147960=ADVANCED_FACE('',(#6793),#1369,.F.); #147961=ADVANCED_FACE('',(#6794),#145367,.F.); #147962=ADVANCED_FACE('',(#6795),#145368,.F.); #147963=ADVANCED_FACE('',(#6796),#145369,.F.); #147964=ADVANCED_FACE('',(#6797,#964),#1370,.T.); #147965=ADVANCED_FACE('',(#6798),#145370,.T.); #147966=ADVANCED_FACE('',(#6799),#1371,.F.); #147967=ADVANCED_FACE('',(#6800),#1372,.F.); #147968=ADVANCED_FACE('',(#6801),#1373,.F.); #147969=ADVANCED_FACE('',(#6802),#1374,.F.); #147970=ADVANCED_FACE('',(#6803),#1375,.F.); #147971=ADVANCED_FACE('',(#6804),#1376,.F.); #147972=ADVANCED_FACE('',(#6805),#1377,.F.); #147973=ADVANCED_FACE('',(#6806),#145371,.T.); #147974=ADVANCED_FACE('',(#6807),#145372,.T.); #147975=ADVANCED_FACE('',(#6808),#145373,.T.); #147976=ADVANCED_FACE('',(#6809),#1378,.F.); #147977=ADVANCED_FACE('',(#6810),#1379,.F.); #147978=ADVANCED_FACE('',(#6811),#1380,.F.); #147979=ADVANCED_FACE('',(#6812),#1381,.F.); #147980=ADVANCED_FACE('',(#6813),#1382,.F.); #147981=ADVANCED_FACE('',(#6814),#1383,.F.); #147982=ADVANCED_FACE('',(#6815),#1384,.F.); #147983=ADVANCED_FACE('',(#6816),#1385,.F.); #147984=ADVANCED_FACE('',(#6817),#1386,.F.); #147985=ADVANCED_FACE('',(#6818),#1387,.F.); #147986=ADVANCED_FACE('',(#6819),#1388,.F.); #147987=ADVANCED_FACE('',(#6820),#1389,.F.); #147988=ADVANCED_FACE('',(#6821),#1390,.F.); #147989=ADVANCED_FACE('',(#6822),#1391,.F.); #147990=ADVANCED_FACE('',(#6823),#1392,.F.); #147991=ADVANCED_FACE('',(#6824),#1393,.F.); #147992=ADVANCED_FACE('',(#6825),#1394,.F.); #147993=ADVANCED_FACE('',(#6826),#1395,.F.); #147994=ADVANCED_FACE('',(#6827),#1396,.F.); #147995=ADVANCED_FACE('',(#6828),#145374,.F.); #147996=ADVANCED_FACE('',(#6829),#1397,.F.); #147997=ADVANCED_FACE('',(#6830),#1398,.F.); #147998=ADVANCED_FACE('',(#6831),#1399,.F.); #147999=ADVANCED_FACE('',(#6832),#145375,.F.); #148000=ADVANCED_FACE('',(#6833),#145376,.F.); #148001=ADVANCED_FACE('',(#6834),#1400,.F.); #148002=ADVANCED_FACE('',(#6835),#1401,.F.); #148003=ADVANCED_FACE('',(#6836),#1402,.F.); #148004=ADVANCED_FACE('',(#6837),#1403,.F.); #148005=ADVANCED_FACE('',(#6838),#1404,.F.); #148006=ADVANCED_FACE('',(#6839),#1405,.F.); #148007=ADVANCED_FACE('',(#6840),#1406,.F.); #148008=ADVANCED_FACE('',(#6841),#1407,.F.); #148009=ADVANCED_FACE('',(#6842),#1408,.F.); #148010=ADVANCED_FACE('',(#6843),#1409,.F.); #148011=ADVANCED_FACE('',(#6844),#1410,.F.); #148012=ADVANCED_FACE('',(#6845),#1411,.F.); #148013=ADVANCED_FACE('',(#6846),#1412,.F.); #148014=ADVANCED_FACE('',(#6847),#1413,.F.); #148015=ADVANCED_FACE('',(#6848),#1414,.F.); #148016=ADVANCED_FACE('',(#6849),#1415,.F.); #148017=ADVANCED_FACE('',(#6850),#1416,.F.); #148018=ADVANCED_FACE('',(#6851),#1417,.F.); #148019=ADVANCED_FACE('',(#6852),#1418,.F.); #148020=ADVANCED_FACE('',(#6853),#1419,.F.); #148021=ADVANCED_FACE('',(#6854),#1420,.F.); #148022=ADVANCED_FACE('',(#6855),#1421,.F.); #148023=ADVANCED_FACE('',(#6856),#1422,.F.); #148024=ADVANCED_FACE('',(#6857),#1423,.F.); #148025=ADVANCED_FACE('',(#6858),#1424,.F.); #148026=ADVANCED_FACE('',(#6859),#145377,.F.); #148027=ADVANCED_FACE('',(#6860),#1425,.F.); #148028=ADVANCED_FACE('',(#6861),#1426,.F.); #148029=ADVANCED_FACE('',(#6862),#1427,.F.); #148030=ADVANCED_FACE('',(#6863),#1428,.F.); #148031=ADVANCED_FACE('',(#6864),#1429,.F.); #148032=ADVANCED_FACE('',(#6865),#1430,.F.); #148033=ADVANCED_FACE('',(#6866),#1431,.F.); #148034=ADVANCED_FACE('',(#6867),#1432,.F.); #148035=ADVANCED_FACE('',(#6868),#1433,.F.); #148036=ADVANCED_FACE('',(#6869),#1434,.F.); #148037=ADVANCED_FACE('',(#6870),#1435,.F.); #148038=ADVANCED_FACE('',(#6871),#1436,.F.); #148039=ADVANCED_FACE('',(#6872),#1437,.F.); #148040=ADVANCED_FACE('',(#6873),#1438,.F.); #148041=ADVANCED_FACE('',(#6874),#1439,.F.); #148042=ADVANCED_FACE('',(#6875),#1440,.F.); #148043=ADVANCED_FACE('',(#6876),#1441,.F.); #148044=ADVANCED_FACE('',(#6877),#1442,.F.); #148045=ADVANCED_FACE('',(#6878),#1443,.F.); #148046=ADVANCED_FACE('',(#6879),#1444,.F.); #148047=ADVANCED_FACE('',(#6880),#1445,.F.); #148048=ADVANCED_FACE('',(#6881),#1446,.F.); #148049=ADVANCED_FACE('',(#6882),#1447,.F.); #148050=ADVANCED_FACE('',(#6883),#1448,.F.); #148051=ADVANCED_FACE('',(#6884),#1449,.F.); #148052=ADVANCED_FACE('',(#6885),#145378,.F.); #148053=ADVANCED_FACE('',(#6886),#145379,.F.); #148054=ADVANCED_FACE('',(#6887),#1450,.F.); #148055=ADVANCED_FACE('',(#6888),#1451,.F.); #148056=ADVANCED_FACE('',(#6889),#145380,.F.); #148057=ADVANCED_FACE('',(#6890),#145381,.F.); #148058=ADVANCED_FACE('',(#6891),#145382,.F.); #148059=ADVANCED_FACE('',(#6892),#145383,.F.); #148060=ADVANCED_FACE('',(#6893),#1452,.F.); #148061=ADVANCED_FACE('',(#6894),#1453,.F.); #148062=ADVANCED_FACE('',(#6895),#1454,.F.); #148063=ADVANCED_FACE('',(#6896),#1455,.F.); #148064=ADVANCED_FACE('',(#6897),#1456,.F.); #148065=ADVANCED_FACE('',(#6898),#1457,.F.); #148066=ADVANCED_FACE('',(#6899),#1458,.F.); #148067=ADVANCED_FACE('',(#6900,#965),#1459,.T.); #148068=ADVANCED_FACE('',(#6901),#1460,.F.); #148069=ADVANCED_FACE('',(#6902),#145384,.F.); #148070=ADVANCED_FACE('',(#6903),#1461,.F.); #148071=ADVANCED_FACE('',(#6904),#1462,.F.); #148072=ADVANCED_FACE('',(#6905),#1463,.F.); #148073=ADVANCED_FACE('',(#6906),#1464,.T.); #148074=ADVANCED_FACE('',(#6907),#1465,.F.); #148075=ADVANCED_FACE('',(#6908),#1466,.F.); #148076=ADVANCED_FACE('',(#6909),#1467,.F.); #148077=ADVANCED_FACE('',(#6910),#1468,.F.); #148078=ADVANCED_FACE('',(#6911),#145385,.F.); #148079=ADVANCED_FACE('',(#6912),#1469,.F.); #148080=ADVANCED_FACE('',(#6913),#145386,.F.); #148081=ADVANCED_FACE('',(#6914),#1470,.F.); #148082=ADVANCED_FACE('',(#6915),#1471,.F.); #148083=ADVANCED_FACE('',(#6916),#1472,.F.); #148084=ADVANCED_FACE('',(#6917),#1473,.F.); #148085=ADVANCED_FACE('',(#6918),#1474,.F.); #148086=ADVANCED_FACE('',(#6919),#145387,.F.); #148087=ADVANCED_FACE('',(#6920),#1475,.F.); #148088=ADVANCED_FACE('',(#6921),#1476,.F.); #148089=ADVANCED_FACE('',(#6922),#145388,.T.); #148090=ADVANCED_FACE('',(#6923),#1477,.F.); #148091=ADVANCED_FACE('',(#6924),#145389,.F.); #148092=ADVANCED_FACE('',(#6925),#1478,.F.); #148093=ADVANCED_FACE('',(#6926),#1479,.F.); #148094=ADVANCED_FACE('',(#6927),#1480,.F.); #148095=ADVANCED_FACE('',(#6928),#1481,.F.); #148096=ADVANCED_FACE('',(#6929),#1482,.F.); #148097=ADVANCED_FACE('',(#6930),#1483,.F.); #148098=ADVANCED_FACE('',(#6931),#1484,.F.); #148099=ADVANCED_FACE('',(#6932),#1485,.F.); #148100=ADVANCED_FACE('',(#6933),#1486,.F.); #148101=ADVANCED_FACE('',(#6934),#145390,.F.); #148102=ADVANCED_FACE('',(#6935),#1487,.F.); #148103=ADVANCED_FACE('',(#6936),#1488,.F.); #148104=ADVANCED_FACE('',(#6937),#1489,.F.); #148105=ADVANCED_FACE('',(#6938),#1490,.F.); #148106=ADVANCED_FACE('',(#6939),#1491,.F.); #148107=ADVANCED_FACE('',(#6940),#1492,.F.); #148108=ADVANCED_FACE('',(#6941),#1493,.F.); #148109=ADVANCED_FACE('',(#6942),#1494,.F.); #148110=ADVANCED_FACE('',(#6943),#145391,.F.); #148111=ADVANCED_FACE('',(#6944),#145392,.F.); #148112=ADVANCED_FACE('',(#6945),#1495,.F.); #148113=ADVANCED_FACE('',(#6946),#1496,.F.); #148114=ADVANCED_FACE('',(#6947),#1497,.F.); #148115=ADVANCED_FACE('',(#6948),#1498,.F.); #148116=ADVANCED_FACE('',(#6949),#145393,.F.); #148117=ADVANCED_FACE('',(#6950),#145394,.F.); #148118=ADVANCED_FACE('',(#6951),#1499,.F.); #148119=ADVANCED_FACE('',(#6952),#1500,.F.); #148120=ADVANCED_FACE('',(#6953),#1501,.F.); #148121=ADVANCED_FACE('',(#6954),#1502,.F.); #148122=ADVANCED_FACE('',(#6955),#145395,.F.); #148123=ADVANCED_FACE('',(#6956),#1503,.F.); #148124=ADVANCED_FACE('',(#6957),#1504,.F.); #148125=ADVANCED_FACE('',(#6958),#1505,.F.); #148126=ADVANCED_FACE('',(#6959),#1506,.F.); #148127=ADVANCED_FACE('',(#6960),#1507,.F.); #148128=ADVANCED_FACE('',(#6961),#1508,.F.); #148129=ADVANCED_FACE('',(#6962),#1509,.F.); #148130=ADVANCED_FACE('',(#6963),#1510,.F.); #148131=ADVANCED_FACE('',(#6964),#1511,.F.); #148132=ADVANCED_FACE('',(#6965),#1512,.F.); #148133=ADVANCED_FACE('',(#6966),#145396,.F.); #148134=ADVANCED_FACE('',(#6967),#1513,.F.); #148135=ADVANCED_FACE('',(#6968),#1514,.F.); #148136=ADVANCED_FACE('',(#6969),#1515,.F.); #148137=ADVANCED_FACE('',(#6970),#145397,.T.); #148138=ADVANCED_FACE('',(#6971),#1516,.F.); #148139=ADVANCED_FACE('',(#6972),#145398,.F.); #148140=ADVANCED_FACE('',(#6973),#1517,.F.); #148141=ADVANCED_FACE('',(#6974),#1518,.F.); #148142=ADVANCED_FACE('',(#6975),#1519,.F.); #148143=ADVANCED_FACE('',(#6976),#1520,.F.); #148144=ADVANCED_FACE('',(#6977),#1521,.F.); #148145=ADVANCED_FACE('',(#6978),#1522,.F.); #148146=ADVANCED_FACE('',(#6979),#1523,.F.); #148147=ADVANCED_FACE('',(#6980),#1524,.F.); #148148=ADVANCED_FACE('',(#6981),#1525,.F.); #148149=ADVANCED_FACE('',(#6982),#145399,.F.); #148150=ADVANCED_FACE('',(#6983),#1526,.F.); #148151=ADVANCED_FACE('',(#6984),#1527,.F.); #148152=ADVANCED_FACE('',(#6985),#1528,.F.); #148153=ADVANCED_FACE('',(#6986),#1529,.F.); #148154=ADVANCED_FACE('',(#6987),#1530,.F.); #148155=ADVANCED_FACE('',(#6988),#1531,.F.); #148156=ADVANCED_FACE('',(#6989),#1532,.F.); #148157=ADVANCED_FACE('',(#6990),#1533,.F.); #148158=ADVANCED_FACE('',(#6991),#1534,.F.); #148159=ADVANCED_FACE('',(#6992),#1535,.F.); #148160=ADVANCED_FACE('',(#6993),#145400,.F.); #148161=ADVANCED_FACE('',(#6994),#145401,.F.); #148162=ADVANCED_FACE('',(#6995),#145402,.F.); #148163=ADVANCED_FACE('',(#6996),#1536,.F.); #148164=ADVANCED_FACE('',(#6997),#1537,.F.); #148165=ADVANCED_FACE('',(#6998),#1538,.F.); #148166=ADVANCED_FACE('',(#6999),#1539,.F.); #148167=ADVANCED_FACE('',(#7000),#1540,.F.); #148168=ADVANCED_FACE('',(#7001),#1541,.T.); #148169=ADVANCED_FACE('',(#7002),#145403,.F.); #148170=ADVANCED_FACE('',(#7003),#1542,.F.); #148171=ADVANCED_FACE('',(#7004),#1543,.F.); #148172=ADVANCED_FACE('',(#7005),#1544,.F.); #148173=ADVANCED_FACE('',(#7006),#1545,.F.); #148174=ADVANCED_FACE('',(#7007),#1546,.F.); #148175=ADVANCED_FACE('',(#7008),#1547,.F.); #148176=ADVANCED_FACE('',(#7009),#145404,.F.); #148177=ADVANCED_FACE('',(#7010),#1548,.F.); #148178=ADVANCED_FACE('',(#7011),#1549,.F.); #148179=ADVANCED_FACE('',(#7012),#1550,.F.); #148180=ADVANCED_FACE('',(#7013),#145405,.F.); #148181=ADVANCED_FACE('',(#7014),#145406,.F.); #148182=ADVANCED_FACE('',(#7015),#1551,.F.); #148183=ADVANCED_FACE('',(#7016),#1552,.F.); #148184=ADVANCED_FACE('',(#7017),#1553,.F.); #148185=ADVANCED_FACE('',(#7018),#1554,.F.); #148186=ADVANCED_FACE('',(#7019),#1555,.F.); #148187=ADVANCED_FACE('',(#7020),#1556,.F.); #148188=ADVANCED_FACE('',(#7021),#1557,.F.); #148189=ADVANCED_FACE('',(#7022),#145407,.F.); #148190=ADVANCED_FACE('',(#7023),#1558,.F.); #148191=ADVANCED_FACE('',(#7024),#1559,.F.); #148192=ADVANCED_FACE('',(#7025),#1560,.F.); #148193=ADVANCED_FACE('',(#7026),#1561,.F.); #148194=ADVANCED_FACE('',(#7027),#1562,.F.); #148195=ADVANCED_FACE('',(#7028),#1563,.F.); #148196=ADVANCED_FACE('',(#7029),#1564,.F.); #148197=ADVANCED_FACE('',(#7030),#1565,.F.); #148198=ADVANCED_FACE('',(#7031),#1566,.F.); #148199=ADVANCED_FACE('',(#7032),#1567,.F.); #148200=ADVANCED_FACE('',(#7033),#1568,.F.); #148201=ADVANCED_FACE('',(#7034),#1569,.F.); #148202=ADVANCED_FACE('',(#7035),#1570,.F.); #148203=ADVANCED_FACE('',(#7036),#145408,.F.); #148204=ADVANCED_FACE('',(#7037),#1571,.F.); #148205=ADVANCED_FACE('',(#7038),#145409,.F.); #148206=ADVANCED_FACE('',(#7039),#145410,.F.); #148207=ADVANCED_FACE('',(#7040),#145411,.F.); #148208=ADVANCED_FACE('',(#7041),#1572,.F.); #148209=ADVANCED_FACE('',(#7042),#145412,.T.); #148210=ADVANCED_FACE('',(#7043),#145413,.T.); #148211=ADVANCED_FACE('',(#7044),#145414,.T.); #148212=ADVANCED_FACE('',(#7045),#145415,.T.); #148213=ADVANCED_FACE('',(#7046),#1573,.F.); #148214=ADVANCED_FACE('',(#7047),#145416,.F.); #148215=ADVANCED_FACE('',(#7048),#145417,.F.); #148216=ADVANCED_FACE('',(#7049),#1574,.F.); #148217=ADVANCED_FACE('',(#7050),#1575,.F.); #148218=ADVANCED_FACE('',(#7051),#1576,.F.); #148219=ADVANCED_FACE('',(#7052),#145418,.F.); #148220=ADVANCED_FACE('',(#7053),#1577,.F.); #148221=ADVANCED_FACE('',(#7054),#1578,.F.); #148222=ADVANCED_FACE('',(#7055),#1579,.F.); #148223=ADVANCED_FACE('',(#7056),#1580,.F.); #148224=ADVANCED_FACE('',(#7057),#1581,.F.); #148225=ADVANCED_FACE('',(#7058),#1582,.F.); #148226=ADVANCED_FACE('',(#7059),#145419,.F.); #148227=ADVANCED_FACE('',(#7060),#1583,.F.); #148228=ADVANCED_FACE('',(#7061),#1584,.F.); #148229=ADVANCED_FACE('',(#7062),#1585,.F.); #148230=ADVANCED_FACE('',(#7063),#1586,.F.); #148231=ADVANCED_FACE('',(#7064),#1587,.F.); #148232=ADVANCED_FACE('',(#7065),#1588,.F.); #148233=ADVANCED_FACE('',(#7066),#1589,.F.); #148234=ADVANCED_FACE('',(#7067),#1590,.F.); #148235=ADVANCED_FACE('',(#7068),#1591,.F.); #148236=ADVANCED_FACE('',(#7069),#145420,.F.); #148237=ADVANCED_FACE('',(#7070),#145421,.F.); #148238=ADVANCED_FACE('',(#7071),#1592,.F.); #148239=ADVANCED_FACE('',(#7072),#1593,.F.); #148240=ADVANCED_FACE('',(#7073),#145422,.F.); #148241=ADVANCED_FACE('',(#7074),#1594,.F.); #148242=ADVANCED_FACE('',(#7075),#1595,.F.); #148243=ADVANCED_FACE('',(#7076),#1596,.F.); #148244=ADVANCED_FACE('',(#7077),#1597,.F.); #148245=ADVANCED_FACE('',(#7078),#145423,.F.); #148246=ADVANCED_FACE('',(#7079),#145424,.F.); #148247=ADVANCED_FACE('',(#7080),#145425,.F.); #148248=ADVANCED_FACE('',(#7081),#1598,.F.); #148249=ADVANCED_FACE('',(#7082),#1599,.F.); #148250=ADVANCED_FACE('',(#7083),#1600,.F.); #148251=ADVANCED_FACE('',(#7084),#1601,.F.); #148252=ADVANCED_FACE('',(#7085),#1602,.F.); #148253=ADVANCED_FACE('',(#7086),#1603,.F.); #148254=ADVANCED_FACE('',(#7087),#1604,.F.); #148255=ADVANCED_FACE('',(#7088),#1605,.F.); #148256=ADVANCED_FACE('',(#7089),#1606,.F.); #148257=ADVANCED_FACE('',(#7090),#1607,.F.); #148258=ADVANCED_FACE('',(#7091),#145426,.F.); #148259=ADVANCED_FACE('',(#7092),#1608,.F.); #148260=ADVANCED_FACE('',(#7093),#145427,.F.); #148261=ADVANCED_FACE('',(#7094),#145428,.F.); #148262=ADVANCED_FACE('',(#7095),#145429,.F.); #148263=ADVANCED_FACE('',(#7096),#1609,.F.); #148264=ADVANCED_FACE('',(#7097),#145430,.T.); #148265=ADVANCED_FACE('',(#7098),#145431,.T.); #148266=ADVANCED_FACE('',(#7099),#145432,.T.); #148267=ADVANCED_FACE('',(#7100),#145433,.T.); #148268=ADVANCED_FACE('',(#7101),#1610,.F.); #148269=ADVANCED_FACE('',(#7102),#145434,.F.); #148270=ADVANCED_FACE('',(#7103),#1611,.F.); #148271=ADVANCED_FACE('',(#7104),#1612,.F.); #148272=ADVANCED_FACE('',(#7105),#1613,.F.); #148273=ADVANCED_FACE('',(#7106),#1614,.F.); #148274=ADVANCED_FACE('',(#7107),#1615,.F.); #148275=ADVANCED_FACE('',(#7108),#1616,.F.); #148276=ADVANCED_FACE('',(#7109),#1617,.F.); #148277=ADVANCED_FACE('',(#7110),#145435,.F.); #148278=ADVANCED_FACE('',(#7111),#1618,.T.); #148279=ADVANCED_FACE('',(#7112),#1619,.F.); #148280=ADVANCED_FACE('',(#7113),#1620,.F.); #148281=ADVANCED_FACE('',(#7114),#145436,.F.); #148282=ADVANCED_FACE('',(#7115),#145437,.F.); #148283=ADVANCED_FACE('',(#7116),#145438,.F.); #148284=ADVANCED_FACE('',(#7117),#1621,.F.); #148285=ADVANCED_FACE('',(#7118),#145439,.T.); #148286=ADVANCED_FACE('',(#7119),#145440,.T.); #148287=ADVANCED_FACE('',(#7120),#145441,.T.); #148288=ADVANCED_FACE('',(#7121),#145442,.T.); #148289=ADVANCED_FACE('',(#7122),#1622,.F.); #148290=ADVANCED_FACE('',(#7123),#145443,.F.); #148291=ADVANCED_FACE('',(#7124),#1623,.F.); #148292=ADVANCED_FACE('',(#7125),#1624,.F.); #148293=ADVANCED_FACE('',(#7126),#1625,.F.); #148294=ADVANCED_FACE('',(#7127),#1626,.F.); #148295=ADVANCED_FACE('',(#7128),#1627,.F.); #148296=ADVANCED_FACE('',(#7129),#1628,.F.); #148297=ADVANCED_FACE('',(#7130),#1629,.F.); #148298=ADVANCED_FACE('',(#7131),#1630,.F.); #148299=ADVANCED_FACE('',(#7132),#1631,.F.); #148300=ADVANCED_FACE('',(#7133),#1632,.F.); #148301=ADVANCED_FACE('',(#7134),#1633,.F.); #148302=ADVANCED_FACE('',(#7135),#1634,.F.); #148303=ADVANCED_FACE('',(#7136),#1635,.F.); #148304=ADVANCED_FACE('',(#7137),#1636,.F.); #148305=ADVANCED_FACE('',(#7138),#1637,.F.); #148306=ADVANCED_FACE('',(#7139),#1638,.F.); #148307=ADVANCED_FACE('',(#7140),#1639,.F.); #148308=ADVANCED_FACE('',(#7141),#1640,.F.); #148309=ADVANCED_FACE('',(#7142),#1641,.F.); #148310=ADVANCED_FACE('',(#7143),#1642,.F.); #148311=ADVANCED_FACE('',(#7144),#1643,.F.); #148312=ADVANCED_FACE('',(#7145),#1644,.F.); #148313=ADVANCED_FACE('',(#7146),#145444,.F.); #148314=ADVANCED_FACE('',(#7147),#1645,.F.); #148315=ADVANCED_FACE('',(#7148),#1646,.F.); #148316=ADVANCED_FACE('',(#7149),#1647,.F.); #148317=ADVANCED_FACE('',(#7150),#1648,.F.); #148318=ADVANCED_FACE('',(#7151),#1649,.F.); #148319=ADVANCED_FACE('',(#7152),#1650,.F.); #148320=ADVANCED_FACE('',(#7153),#1651,.F.); #148321=ADVANCED_FACE('',(#7154),#1652,.F.); #148322=ADVANCED_FACE('',(#7155),#1653,.F.); #148323=ADVANCED_FACE('',(#7156),#145445,.F.); #148324=ADVANCED_FACE('',(#7157),#1654,.F.); #148325=ADVANCED_FACE('',(#7158),#1655,.F.); #148326=ADVANCED_FACE('',(#7159),#1656,.F.); #148327=ADVANCED_FACE('',(#7160),#1657,.F.); #148328=ADVANCED_FACE('',(#7161),#1658,.F.); #148329=ADVANCED_FACE('',(#7162),#1659,.F.); #148330=ADVANCED_FACE('',(#7163),#1660,.F.); #148331=ADVANCED_FACE('',(#7164),#1661,.F.); #148332=ADVANCED_FACE('',(#7165),#1662,.F.); #148333=ADVANCED_FACE('',(#7166),#1663,.F.); #148334=ADVANCED_FACE('',(#7167),#1664,.F.); #148335=ADVANCED_FACE('',(#7168),#1665,.F.); #148336=ADVANCED_FACE('',(#7169),#1666,.F.); #148337=ADVANCED_FACE('',(#7170),#1667,.F.); #148338=ADVANCED_FACE('',(#7171),#1668,.F.); #148339=ADVANCED_FACE('',(#7172),#1669,.F.); #148340=ADVANCED_FACE('',(#7173),#1670,.F.); #148341=ADVANCED_FACE('',(#7174),#145446,.F.); #148342=ADVANCED_FACE('',(#7175),#145447,.F.); #148343=ADVANCED_FACE('',(#7176),#145448,.F.); #148344=ADVANCED_FACE('',(#7177),#145449,.F.); #148345=ADVANCED_FACE('',(#7178),#1671,.F.); #148346=ADVANCED_FACE('',(#7179),#145450,.F.); #148347=ADVANCED_FACE('',(#7180),#145451,.T.); #148348=ADVANCED_FACE('',(#7181),#145452,.T.); #148349=ADVANCED_FACE('',(#7182),#145453,.T.); #148350=ADVANCED_FACE('',(#7183),#145454,.T.); #148351=ADVANCED_FACE('',(#7184),#1672,.F.); #148352=ADVANCED_FACE('',(#7185),#145455,.F.); #148353=ADVANCED_FACE('',(#7186),#1673,.F.); #148354=ADVANCED_FACE('',(#7187),#1674,.F.); #148355=ADVANCED_FACE('',(#7188),#1675,.F.); #148356=ADVANCED_FACE('',(#7189),#1676,.F.); #148357=ADVANCED_FACE('',(#7190),#1677,.F.); #148358=ADVANCED_FACE('',(#7191),#1678,.F.); #148359=ADVANCED_FACE('',(#7192),#1679,.F.); #148360=ADVANCED_FACE('',(#7193),#1680,.F.); #148361=ADVANCED_FACE('',(#7194),#1681,.F.); #148362=ADVANCED_FACE('',(#7195),#1682,.F.); #148363=ADVANCED_FACE('',(#7196),#1683,.F.); #148364=ADVANCED_FACE('',(#7197),#1684,.F.); #148365=ADVANCED_FACE('',(#7198),#1685,.F.); #148366=ADVANCED_FACE('',(#7199),#1686,.F.); #148367=ADVANCED_FACE('',(#7200),#1687,.F.); #148368=ADVANCED_FACE('',(#7201),#1688,.F.); #148369=ADVANCED_FACE('',(#7202),#1689,.F.); #148370=ADVANCED_FACE('',(#7203),#1690,.F.); #148371=ADVANCED_FACE('',(#7204),#1691,.F.); #148372=ADVANCED_FACE('',(#7205),#1692,.F.); #148373=ADVANCED_FACE('',(#7206),#1693,.F.); #148374=ADVANCED_FACE('',(#7207),#1694,.F.); #148375=ADVANCED_FACE('',(#7208),#1695,.F.); #148376=ADVANCED_FACE('',(#7209),#1696,.F.); #148377=ADVANCED_FACE('',(#7210),#145456,.F.); #148378=ADVANCED_FACE('',(#7211),#1697,.F.); #148379=ADVANCED_FACE('',(#7212),#1698,.F.); #148380=ADVANCED_FACE('',(#7213),#1699,.F.); #148381=ADVANCED_FACE('',(#7214),#1700,.F.); #148382=ADVANCED_FACE('',(#7215),#1701,.F.); #148383=ADVANCED_FACE('',(#7216),#1702,.F.); #148384=ADVANCED_FACE('',(#7217),#1703,.F.); #148385=ADVANCED_FACE('',(#7218),#1704,.F.); #148386=ADVANCED_FACE('',(#7219),#1705,.F.); #148387=ADVANCED_FACE('',(#7220),#1706,.F.); #148388=ADVANCED_FACE('',(#7221),#1707,.F.); #148389=ADVANCED_FACE('',(#7222),#1708,.F.); #148390=ADVANCED_FACE('',(#7223),#145457,.F.); #148391=ADVANCED_FACE('',(#7224),#145458,.F.); #148392=ADVANCED_FACE('',(#7225),#1709,.F.); #148393=ADVANCED_FACE('',(#7226),#1710,.F.); #148394=ADVANCED_FACE('',(#7227),#1711,.F.); #148395=ADVANCED_FACE('',(#7228),#1712,.F.); #148396=ADVANCED_FACE('',(#7229),#145459,.F.); #148397=ADVANCED_FACE('',(#7230),#1713,.F.); #148398=ADVANCED_FACE('',(#7231),#145460,.F.); #148399=ADVANCED_FACE('',(#7232),#1714,.F.); #148400=ADVANCED_FACE('',(#7233),#1715,.F.); #148401=ADVANCED_FACE('',(#7234),#1716,.F.); #148402=ADVANCED_FACE('',(#7235),#1717,.F.); #148403=ADVANCED_FACE('',(#7236),#1718,.T.); #148404=ADVANCED_FACE('',(#7237),#145461,.F.); #148405=ADVANCED_FACE('',(#7238),#145462,.F.); #148406=ADVANCED_FACE('',(#7239),#1719,.F.); #148407=ADVANCED_FACE('',(#7240),#1720,.F.); #148408=ADVANCED_FACE('',(#7241),#1721,.F.); #148409=ADVANCED_FACE('',(#7242),#1722,.F.); #148410=ADVANCED_FACE('',(#7243),#145463,.F.); #148411=ADVANCED_FACE('',(#7244),#1723,.F.); #148412=ADVANCED_FACE('',(#7245),#1724,.F.); #148413=ADVANCED_FACE('',(#7246),#145464,.F.); #148414=ADVANCED_FACE('',(#7247),#1725,.F.); #148415=ADVANCED_FACE('',(#7248),#1726,.F.); #148416=ADVANCED_FACE('',(#7249),#1727,.F.); #148417=ADVANCED_FACE('',(#7250),#145465,.F.); #148418=ADVANCED_FACE('',(#7251),#1728,.F.); #148419=ADVANCED_FACE('',(#7252),#1729,.F.); #148420=ADVANCED_FACE('',(#7253),#1730,.F.); #148421=ADVANCED_FACE('',(#7254),#145466,.F.); #148422=ADVANCED_FACE('',(#7255),#1731,.F.); #148423=ADVANCED_FACE('',(#7256),#1732,.F.); #148424=ADVANCED_FACE('',(#7257),#1733,.F.); #148425=ADVANCED_FACE('',(#7258),#1734,.F.); #148426=ADVANCED_FACE('',(#7259),#1735,.F.); #148427=ADVANCED_FACE('',(#7260),#145467,.F.); #148428=ADVANCED_FACE('',(#7261),#1736,.F.); #148429=ADVANCED_FACE('',(#7262),#1737,.F.); #148430=ADVANCED_FACE('',(#7263),#145468,.F.); #148431=ADVANCED_FACE('',(#7264),#145469,.F.); #148432=ADVANCED_FACE('',(#7265),#1738,.F.); #148433=ADVANCED_FACE('',(#7266),#145470,.F.); #148434=ADVANCED_FACE('',(#7267),#145471,.F.); #148435=ADVANCED_FACE('',(#7268),#145472,.F.); #148436=ADVANCED_FACE('',(#7269),#1739,.F.); #148437=ADVANCED_FACE('',(#7270),#145473,.F.); #148438=ADVANCED_FACE('',(#7271),#1740,.F.); #148439=ADVANCED_FACE('',(#7272),#1741,.F.); #148440=ADVANCED_FACE('',(#7273),#1742,.F.); #148441=ADVANCED_FACE('',(#7274),#1743,.F.); #148442=ADVANCED_FACE('',(#7275),#1744,.F.); #148443=ADVANCED_FACE('',(#7276),#1745,.F.); #148444=ADVANCED_FACE('',(#7277),#145474,.F.); #148445=ADVANCED_FACE('',(#7278),#1746,.F.); #148446=ADVANCED_FACE('',(#7279),#145475,.F.); #148447=ADVANCED_FACE('',(#7280),#1747,.F.); #148448=ADVANCED_FACE('',(#7281),#1748,.F.); #148449=ADVANCED_FACE('',(#7282),#145476,.F.); #148450=ADVANCED_FACE('',(#7283),#1749,.F.); #148451=ADVANCED_FACE('',(#7284),#145477,.F.); #148452=ADVANCED_FACE('',(#7285),#1750,.F.); #148453=ADVANCED_FACE('',(#7286),#1751,.F.); #148454=ADVANCED_FACE('',(#7287),#1752,.F.); #148455=ADVANCED_FACE('',(#7288),#1753,.F.); #148456=ADVANCED_FACE('',(#7289),#1754,.F.); #148457=ADVANCED_FACE('',(#7290),#145478,.F.); #148458=ADVANCED_FACE('',(#7291),#145479,.F.); #148459=ADVANCED_FACE('',(#7292),#145480,.F.); #148460=ADVANCED_FACE('',(#7293),#1755,.F.); #148461=ADVANCED_FACE('',(#7294),#1756,.F.); #148462=ADVANCED_FACE('',(#7295),#145481,.F.); #148463=ADVANCED_FACE('',(#7296),#145482,.F.); #148464=ADVANCED_FACE('',(#7297),#1757,.F.); #148465=ADVANCED_FACE('',(#7298),#1758,.F.); #148466=ADVANCED_FACE('',(#7299),#1759,.F.); #148467=ADVANCED_FACE('',(#7300),#1760,.T.); #148468=ADVANCED_FACE('',(#7301),#1761,.F.); #148469=ADVANCED_FACE('',(#7302),#1762,.F.); #148470=ADVANCED_FACE('',(#7303),#1763,.F.); #148471=ADVANCED_FACE('',(#7304),#145483,.F.); #148472=ADVANCED_FACE('',(#7305),#1764,.F.); #148473=ADVANCED_FACE('',(#7306),#1765,.F.); #148474=ADVANCED_FACE('',(#7307),#1766,.F.); #148475=ADVANCED_FACE('',(#7308),#1767,.F.); #148476=ADVANCED_FACE('',(#7309),#1768,.F.); #148477=ADVANCED_FACE('',(#7310),#1769,.F.); #148478=ADVANCED_FACE('',(#7311),#1770,.F.); #148479=ADVANCED_FACE('',(#7312),#1771,.F.); #148480=ADVANCED_FACE('',(#7313),#1772,.F.); #148481=ADVANCED_FACE('',(#7314),#145484,.T.); #148482=ADVANCED_FACE('',(#7315),#1773,.F.); #148483=ADVANCED_FACE('',(#7316),#1774,.F.); #148484=ADVANCED_FACE('',(#7317),#1775,.F.); #148485=ADVANCED_FACE('',(#7318),#145485,.F.); #148486=ADVANCED_FACE('',(#7319),#145486,.F.); #148487=ADVANCED_FACE('',(#7320),#1776,.F.); #148488=ADVANCED_FACE('',(#7321),#1777,.F.); #148489=ADVANCED_FACE('',(#7322),#1778,.F.); #148490=ADVANCED_FACE('',(#7323),#145487,.F.); #148491=ADVANCED_FACE('',(#7324),#1779,.F.); #148492=ADVANCED_FACE('',(#7325),#1780,.F.); #148493=ADVANCED_FACE('',(#7326),#145488,.F.); #148494=ADVANCED_FACE('',(#7327),#1781,.F.); #148495=ADVANCED_FACE('',(#7328),#1782,.F.); #148496=ADVANCED_FACE('',(#7329),#145489,.F.); #148497=ADVANCED_FACE('',(#7330),#1783,.F.); #148498=ADVANCED_FACE('',(#7331),#1784,.F.); #148499=ADVANCED_FACE('',(#7332),#1785,.F.); #148500=ADVANCED_FACE('',(#7333),#1786,.F.); #148501=ADVANCED_FACE('',(#7334),#1787,.F.); #148502=ADVANCED_FACE('',(#7335),#1788,.F.); #148503=ADVANCED_FACE('',(#7336),#1789,.F.); #148504=ADVANCED_FACE('',(#7337),#1790,.F.); #148505=ADVANCED_FACE('',(#7338),#145490,.F.); #148506=ADVANCED_FACE('',(#7339),#145491,.F.); #148507=ADVANCED_FACE('',(#7340),#1791,.F.); #148508=ADVANCED_FACE('',(#7341),#145492,.F.); #148509=ADVANCED_FACE('',(#7342),#145493,.F.); #148510=ADVANCED_FACE('',(#7343),#145494,.F.); #148511=ADVANCED_FACE('',(#7344),#145495,.F.); #148512=ADVANCED_FACE('',(#7345),#1792,.F.); #148513=ADVANCED_FACE('',(#7346),#1793,.F.); #148514=ADVANCED_FACE('',(#7347),#1794,.F.); #148515=ADVANCED_FACE('',(#7348),#1795,.F.); #148516=ADVANCED_FACE('',(#7349),#1796,.F.); #148517=ADVANCED_FACE('',(#7350),#1797,.F.); #148518=ADVANCED_FACE('',(#7351),#1798,.F.); #148519=ADVANCED_FACE('',(#7352),#1799,.F.); #148520=ADVANCED_FACE('',(#7353),#145496,.F.); #148521=ADVANCED_FACE('',(#7354),#1800,.F.); #148522=ADVANCED_FACE('',(#7355),#1801,.F.); #148523=ADVANCED_FACE('',(#7356),#145497,.F.); #148524=ADVANCED_FACE('',(#7357),#145498,.F.); #148525=ADVANCED_FACE('',(#7358),#1802,.F.); #148526=ADVANCED_FACE('',(#7359),#1803,.F.); #148527=ADVANCED_FACE('',(#7360),#1804,.F.); #148528=ADVANCED_FACE('',(#7361),#1805,.F.); #148529=ADVANCED_FACE('',(#7362),#1806,.F.); #148530=ADVANCED_FACE('',(#7363),#1807,.F.); #148531=ADVANCED_FACE('',(#7364),#1808,.F.); #148532=ADVANCED_FACE('',(#7365),#1809,.F.); #148533=ADVANCED_FACE('',(#7366),#1810,.F.); #148534=ADVANCED_FACE('',(#7367),#1811,.F.); #148535=ADVANCED_FACE('',(#7368),#1812,.F.); #148536=ADVANCED_FACE('',(#7369),#145499,.F.); #148537=ADVANCED_FACE('',(#7370),#145500,.F.); #148538=ADVANCED_FACE('',(#7371),#1813,.F.); #148539=ADVANCED_FACE('',(#7372),#1814,.F.); #148540=ADVANCED_FACE('',(#7373),#1815,.F.); #148541=ADVANCED_FACE('',(#7374),#1816,.F.); #148542=ADVANCED_FACE('',(#7375),#1817,.F.); #148543=ADVANCED_FACE('',(#7376),#1818,.F.); #148544=ADVANCED_FACE('',(#7377),#1819,.F.); #148545=ADVANCED_FACE('',(#7378),#145501,.F.); #148546=ADVANCED_FACE('',(#7379),#145502,.F.); #148547=ADVANCED_FACE('',(#7380),#1820,.F.); #148548=ADVANCED_FACE('',(#7381),#1821,.F.); #148549=ADVANCED_FACE('',(#7382),#1822,.F.); #148550=ADVANCED_FACE('',(#7383),#1823,.F.); #148551=ADVANCED_FACE('',(#7384),#1824,.F.); #148552=ADVANCED_FACE('',(#7385),#1825,.F.); #148553=ADVANCED_FACE('',(#7386),#1826,.F.); #148554=ADVANCED_FACE('',(#7387),#145503,.F.); #148555=ADVANCED_FACE('',(#7388),#1827,.F.); #148556=ADVANCED_FACE('',(#7389),#1828,.F.); #148557=ADVANCED_FACE('',(#7390),#1829,.F.); #148558=ADVANCED_FACE('',(#7391),#1830,.F.); #148559=ADVANCED_FACE('',(#7392),#145504,.F.); #148560=ADVANCED_FACE('',(#7393),#145505,.F.); #148561=ADVANCED_FACE('',(#7394),#1831,.F.); #148562=ADVANCED_FACE('',(#7395),#145506,.F.); #148563=ADVANCED_FACE('',(#7396),#145507,.F.); #148564=ADVANCED_FACE('',(#7397),#1832,.F.); #148565=ADVANCED_FACE('',(#7398),#1833,.F.); #148566=ADVANCED_FACE('',(#7399),#1834,.F.); #148567=ADVANCED_FACE('',(#7400),#1835,.F.); #148568=ADVANCED_FACE('',(#7401),#145508,.F.); #148569=ADVANCED_FACE('',(#7402),#145509,.F.); #148570=ADVANCED_FACE('',(#7403),#1836,.F.); #148571=ADVANCED_FACE('',(#7404),#1837,.F.); #148572=ADVANCED_FACE('',(#7405),#1838,.F.); #148573=ADVANCED_FACE('',(#7406),#1839,.F.); #148574=ADVANCED_FACE('',(#7407),#1840,.F.); #148575=ADVANCED_FACE('',(#7408),#145510,.F.); #148576=ADVANCED_FACE('',(#7409),#1841,.F.); #148577=ADVANCED_FACE('',(#7410),#145511,.F.); #148578=ADVANCED_FACE('',(#7411),#145512,.F.); #148579=ADVANCED_FACE('',(#7412),#1842,.F.); #148580=ADVANCED_FACE('',(#7413),#1843,.F.); #148581=ADVANCED_FACE('',(#7414),#1844,.F.); #148582=ADVANCED_FACE('',(#7415),#1845,.F.); #148583=ADVANCED_FACE('',(#7416),#1846,.F.); #148584=ADVANCED_FACE('',(#7417),#1847,.F.); #148585=ADVANCED_FACE('',(#7418),#145513,.F.); #148586=ADVANCED_FACE('',(#7419),#1848,.F.); #148587=ADVANCED_FACE('',(#7420),#1849,.F.); #148588=ADVANCED_FACE('',(#7421),#1850,.F.); #148589=ADVANCED_FACE('',(#7422),#1851,.F.); #148590=ADVANCED_FACE('',(#7423),#145514,.F.); #148591=ADVANCED_FACE('',(#7424),#1852,.F.); #148592=ADVANCED_FACE('',(#7425),#1853,.F.); #148593=ADVANCED_FACE('',(#7426),#1854,.F.); #148594=ADVANCED_FACE('',(#7427),#1855,.F.); #148595=ADVANCED_FACE('',(#7428),#145515,.F.); #148596=ADVANCED_FACE('',(#7429),#1856,.F.); #148597=ADVANCED_FACE('',(#7430),#1857,.F.); #148598=ADVANCED_FACE('',(#7431),#1858,.F.); #148599=ADVANCED_FACE('',(#7432),#1859,.F.); #148600=ADVANCED_FACE('',(#7433),#1860,.F.); #148601=ADVANCED_FACE('',(#7434),#1861,.F.); #148602=ADVANCED_FACE('',(#7435),#145516,.F.); #148603=ADVANCED_FACE('',(#7436),#1862,.F.); #148604=ADVANCED_FACE('',(#7437),#1863,.F.); #148605=ADVANCED_FACE('',(#7438),#145517,.F.); #148606=ADVANCED_FACE('',(#7439),#145518,.F.); #148607=ADVANCED_FACE('',(#7440),#1864,.F.); #148608=ADVANCED_FACE('',(#7441),#1865,.F.); #148609=ADVANCED_FACE('',(#7442),#1866,.F.); #148610=ADVANCED_FACE('',(#7443),#1867,.F.); #148611=ADVANCED_FACE('',(#7444),#1868,.F.); #148612=ADVANCED_FACE('',(#7445),#1869,.F.); #148613=ADVANCED_FACE('',(#7446),#1870,.F.); #148614=ADVANCED_FACE('',(#7447),#1871,.F.); #148615=ADVANCED_FACE('',(#7448),#1872,.F.); #148616=ADVANCED_FACE('',(#7449),#1873,.F.); #148617=ADVANCED_FACE('',(#7450),#1874,.F.); #148618=ADVANCED_FACE('',(#7451),#1875,.F.); #148619=ADVANCED_FACE('',(#7452),#145519,.F.); #148620=ADVANCED_FACE('',(#7453),#1876,.T.); #148621=ADVANCED_FACE('',(#7454),#1877,.F.); #148622=ADVANCED_FACE('',(#7455),#145520,.T.); #148623=ADVANCED_FACE('',(#7456),#145521,.T.); #148624=ADVANCED_FACE('',(#7457),#1878,.F.); #148625=ADVANCED_FACE('',(#7458),#145522,.T.); #148626=ADVANCED_FACE('',(#7459),#145523,.T.); #148627=ADVANCED_FACE('',(#7460),#1879,.F.); #148628=ADVANCED_FACE('',(#7461),#145524,.T.); #148629=ADVANCED_FACE('',(#7462),#1880,.F.); #148630=ADVANCED_FACE('',(#7463),#1881,.F.); #148631=ADVANCED_FACE('',(#7464),#1882,.F.); #148632=ADVANCED_FACE('',(#7465),#145525,.T.); #148633=ADVANCED_FACE('',(#7466),#145526,.T.); #148634=ADVANCED_FACE('',(#7467),#145527,.T.); #148635=ADVANCED_FACE('',(#7468),#1883,.F.); #148636=ADVANCED_FACE('',(#7469),#1884,.F.); #148637=ADVANCED_FACE('',(#7470),#1885,.F.); #148638=ADVANCED_FACE('',(#7471),#1886,.F.); #148639=ADVANCED_FACE('',(#7472),#145528,.F.); #148640=ADVANCED_FACE('',(#7473),#145529,.F.); #148641=ADVANCED_FACE('',(#7474),#145530,.F.); #148642=ADVANCED_FACE('',(#7475),#1887,.F.); #148643=ADVANCED_FACE('',(#7476),#1888,.F.); #148644=ADVANCED_FACE('',(#7477),#1889,.F.); #148645=ADVANCED_FACE('',(#7478),#145531,.F.); #148646=ADVANCED_FACE('',(#7479),#145532,.F.); #148647=ADVANCED_FACE('',(#7480),#145533,.F.); #148648=ADVANCED_FACE('',(#7481),#145534,.F.); #148649=ADVANCED_FACE('',(#7482),#1890,.F.); #148650=ADVANCED_FACE('',(#7483),#145535,.F.); #148651=ADVANCED_FACE('',(#7484),#145536,.F.); #148652=ADVANCED_FACE('',(#7485),#145537,.F.); #148653=ADVANCED_FACE('',(#7486),#1891,.F.); #148654=ADVANCED_FACE('',(#7487),#1892,.F.); #148655=ADVANCED_FACE('',(#7488),#1893,.F.); #148656=ADVANCED_FACE('',(#7489),#1894,.F.); #148657=ADVANCED_FACE('',(#7490),#1895,.F.); #148658=ADVANCED_FACE('',(#7491),#145538,.F.); #148659=ADVANCED_FACE('',(#7492),#1896,.F.); #148660=ADVANCED_FACE('',(#7493),#1897,.F.); #148661=ADVANCED_FACE('',(#7494),#1898,.F.); #148662=ADVANCED_FACE('',(#7495),#1899,.F.); #148663=ADVANCED_FACE('',(#7496),#1900,.F.); #148664=ADVANCED_FACE('',(#7497),#1901,.F.); #148665=ADVANCED_FACE('',(#7498),#1902,.F.); #148666=ADVANCED_FACE('',(#7499),#1903,.F.); #148667=ADVANCED_FACE('',(#7500),#1904,.F.); #148668=ADVANCED_FACE('',(#7501),#1905,.F.); #148669=ADVANCED_FACE('',(#7502),#1906,.F.); #148670=ADVANCED_FACE('',(#7503),#1907,.F.); #148671=ADVANCED_FACE('',(#7504),#1908,.F.); #148672=ADVANCED_FACE('',(#7505),#1909,.F.); #148673=ADVANCED_FACE('',(#7506),#1910,.F.); #148674=ADVANCED_FACE('',(#7507),#1911,.F.); #148675=ADVANCED_FACE('',(#7508),#1912,.F.); #148676=ADVANCED_FACE('',(#7509),#1913,.F.); #148677=ADVANCED_FACE('',(#7510),#145539,.F.); #148678=ADVANCED_FACE('',(#7511),#1914,.F.); #148679=ADVANCED_FACE('',(#7512),#1915,.F.); #148680=ADVANCED_FACE('',(#7513),#1916,.F.); #148681=ADVANCED_FACE('',(#7514),#145540,.F.); #148682=ADVANCED_FACE('',(#7515),#1917,.F.); #148683=ADVANCED_FACE('',(#7516),#1918,.F.); #148684=ADVANCED_FACE('',(#7517),#1919,.F.); #148685=ADVANCED_FACE('',(#7518),#1920,.F.); #148686=ADVANCED_FACE('',(#7519),#1921,.F.); #148687=ADVANCED_FACE('',(#7520),#1922,.F.); #148688=ADVANCED_FACE('',(#7521),#1923,.F.); #148689=ADVANCED_FACE('',(#7522),#1924,.F.); #148690=ADVANCED_FACE('',(#7523),#1925,.F.); #148691=ADVANCED_FACE('',(#7524),#1926,.F.); #148692=ADVANCED_FACE('',(#7525),#1927,.F.); #148693=ADVANCED_FACE('',(#7526),#1928,.F.); #148694=ADVANCED_FACE('',(#7527),#1929,.F.); #148695=ADVANCED_FACE('',(#7528),#1930,.F.); #148696=ADVANCED_FACE('',(#7529),#1931,.F.); #148697=ADVANCED_FACE('',(#7530),#1932,.F.); #148698=ADVANCED_FACE('',(#7531),#1933,.F.); #148699=ADVANCED_FACE('',(#7532,#966,#967),#1934,.T.); #148700=ADVANCED_FACE('',(#7533),#1935,.F.); #148701=ADVANCED_FACE('',(#7534),#1936,.F.); #148702=ADVANCED_FACE('',(#7535),#1937,.F.); #148703=ADVANCED_FACE('',(#7536),#1938,.F.); #148704=ADVANCED_FACE('',(#7537),#1939,.F.); #148705=ADVANCED_FACE('',(#7538),#1940,.F.); #148706=ADVANCED_FACE('',(#7539),#1941,.F.); #148707=ADVANCED_FACE('',(#7540),#1942,.F.); #148708=ADVANCED_FACE('',(#7541),#1943,.F.); #148709=ADVANCED_FACE('',(#7542),#1944,.F.); #148710=ADVANCED_FACE('',(#7543),#1945,.F.); #148711=ADVANCED_FACE('',(#7544),#145541,.T.); #148712=ADVANCED_FACE('',(#7545),#1946,.T.); #148713=ADVANCED_FACE('',(#7546),#1947,.F.); #148714=ADVANCED_FACE('',(#7547),#1948,.F.); #148715=ADVANCED_FACE('',(#7548),#1949,.F.); #148716=ADVANCED_FACE('',(#7549),#1950,.F.); #148717=ADVANCED_FACE('',(#7550),#1951,.F.); #148718=ADVANCED_FACE('',(#7551),#145542,.T.); #148719=ADVANCED_FACE('',(#7552),#1952,.F.); #148720=ADVANCED_FACE('',(#7553),#145543,.T.); #148721=ADVANCED_FACE('',(#7554),#145544,.T.); #148722=ADVANCED_FACE('',(#7555),#145545,.T.); #148723=ADVANCED_FACE('',(#7556),#145546,.T.); #148724=ADVANCED_FACE('',(#7557),#145547,.T.); #148725=ADVANCED_FACE('',(#7558),#145548,.T.); #148726=ADVANCED_FACE('',(#7559),#145549,.T.); #148727=ADVANCED_FACE('',(#7560),#145550,.T.); #148728=ADVANCED_FACE('',(#7561),#145551,.T.); #148729=ADVANCED_FACE('',(#7562),#1953,.F.); #148730=ADVANCED_FACE('',(#7563),#1954,.F.); #148731=ADVANCED_FACE('',(#7564),#1955,.F.); #148732=ADVANCED_FACE('',(#7565),#1956,.F.); #148733=ADVANCED_FACE('',(#7566),#145552,.F.); #148734=ADVANCED_FACE('',(#7567),#1957,.F.); #148735=ADVANCED_FACE('',(#7568),#1958,.F.); #148736=ADVANCED_FACE('',(#7569),#1959,.F.); #148737=ADVANCED_FACE('',(#7570),#1960,.F.); #148738=ADVANCED_FACE('',(#7571),#1961,.F.); #148739=ADVANCED_FACE('',(#7572),#1962,.F.); #148740=ADVANCED_FACE('',(#7573),#145553,.F.); #148741=ADVANCED_FACE('',(#7574),#145554,.F.); #148742=ADVANCED_FACE('',(#7575),#1963,.F.); #148743=ADVANCED_FACE('',(#7576),#1964,.F.); #148744=ADVANCED_FACE('',(#7577),#1965,.F.); #148745=ADVANCED_FACE('',(#7578),#1966,.F.); #148746=ADVANCED_FACE('',(#7579),#1967,.F.); #148747=ADVANCED_FACE('',(#7580),#1968,.F.); #148748=ADVANCED_FACE('',(#7581),#145555,.F.); #148749=ADVANCED_FACE('',(#7582),#145556,.F.); #148750=ADVANCED_FACE('',(#7583),#145557,.T.); #148751=ADVANCED_FACE('',(#7584),#1969,.F.); #148752=ADVANCED_FACE('',(#7585),#145558,.F.); #148753=ADVANCED_FACE('',(#7586),#145559,.F.); #148754=ADVANCED_FACE('',(#7587),#145560,.F.); #148755=ADVANCED_FACE('',(#7588),#1970,.F.); #148756=ADVANCED_FACE('',(#7589),#1971,.F.); #148757=ADVANCED_FACE('',(#7590),#145561,.F.); #148758=ADVANCED_FACE('',(#7591),#145562,.F.); #148759=ADVANCED_FACE('',(#7592),#145563,.F.); #148760=ADVANCED_FACE('',(#7593),#145564,.F.); #148761=ADVANCED_FACE('',(#7594),#145565,.F.); #148762=ADVANCED_FACE('',(#7595),#145566,.T.); #148763=ADVANCED_FACE('',(#7596),#1972,.F.); #148764=ADVANCED_FACE('',(#7597,#968),#1973,.T.); #148765=ADVANCED_FACE('',(#7598),#1974,.F.); #148766=ADVANCED_FACE('',(#7599),#1975,.F.); #148767=ADVANCED_FACE('',(#7600),#1976,.F.); #148768=ADVANCED_FACE('',(#7601),#1977,.F.); #148769=ADVANCED_FACE('',(#7602),#1978,.F.); #148770=ADVANCED_FACE('',(#7603),#1979,.F.); #148771=ADVANCED_FACE('',(#7604),#1980,.F.); #148772=ADVANCED_FACE('',(#7605),#1981,.F.); #148773=ADVANCED_FACE('',(#7606),#1982,.F.); #148774=ADVANCED_FACE('',(#7607),#1983,.F.); #148775=ADVANCED_FACE('',(#7608),#1984,.F.); #148776=ADVANCED_FACE('',(#7609),#145567,.F.); #148777=ADVANCED_FACE('',(#7610),#145568,.F.); #148778=ADVANCED_FACE('',(#7611),#1985,.F.); #148779=ADVANCED_FACE('',(#7612),#145569,.F.); #148780=ADVANCED_FACE('',(#7613),#145570,.F.); #148781=ADVANCED_FACE('',(#7614),#1986,.F.); #148782=ADVANCED_FACE('',(#7615),#145571,.F.); #148783=ADVANCED_FACE('',(#7616),#145572,.F.); #148784=ADVANCED_FACE('',(#7617),#1987,.F.); #148785=ADVANCED_FACE('',(#7618),#1988,.F.); #148786=ADVANCED_FACE('',(#7619),#1989,.F.); #148787=ADVANCED_FACE('',(#7620),#145573,.F.); #148788=ADVANCED_FACE('',(#7621),#1990,.F.); #148789=ADVANCED_FACE('',(#7622),#1991,.T.); #148790=ADVANCED_FACE('',(#7623),#1992,.F.); #148791=ADVANCED_FACE('',(#7624),#1993,.F.); #148792=ADVANCED_FACE('',(#7625),#1994,.F.); #148793=ADVANCED_FACE('',(#7626),#1995,.F.); #148794=ADVANCED_FACE('',(#7627),#1996,.F.); #148795=ADVANCED_FACE('',(#7628),#1997,.F.); #148796=ADVANCED_FACE('',(#7629),#1998,.F.); #148797=ADVANCED_FACE('',(#7630),#1999,.F.); #148798=ADVANCED_FACE('',(#7631),#145574,.F.); #148799=ADVANCED_FACE('',(#7632),#2000,.F.); #148800=ADVANCED_FACE('',(#7633),#145575,.T.); #148801=ADVANCED_FACE('',(#7634),#2001,.F.); #148802=ADVANCED_FACE('',(#7635),#2002,.F.); #148803=ADVANCED_FACE('',(#7636),#2003,.F.); #148804=ADVANCED_FACE('',(#7637),#2004,.F.); #148805=ADVANCED_FACE('',(#7638),#2005,.F.); #148806=ADVANCED_FACE('',(#7639),#2006,.F.); #148807=ADVANCED_FACE('',(#7640),#2007,.F.); #148808=ADVANCED_FACE('',(#7641),#2008,.F.); #148809=ADVANCED_FACE('',(#7642),#145576,.T.); #148810=ADVANCED_FACE('',(#7643),#145577,.F.); #148811=ADVANCED_FACE('',(#7644),#145578,.F.); #148812=ADVANCED_FACE('',(#7645),#2009,.F.); #148813=ADVANCED_FACE('',(#7646),#2010,.F.); #148814=ADVANCED_FACE('',(#7647),#2011,.F.); #148815=ADVANCED_FACE('',(#7648),#2012,.F.); #148816=ADVANCED_FACE('',(#7649),#2013,.F.); #148817=ADVANCED_FACE('',(#7650),#2014,.F.); #148818=ADVANCED_FACE('',(#7651),#2015,.F.); #148819=ADVANCED_FACE('',(#7652),#2016,.F.); #148820=ADVANCED_FACE('',(#7653),#2017,.F.); #148821=ADVANCED_FACE('',(#7654),#2018,.F.); #148822=ADVANCED_FACE('',(#7655),#2019,.F.); #148823=ADVANCED_FACE('',(#7656),#2020,.F.); #148824=ADVANCED_FACE('',(#7657),#2021,.F.); #148825=ADVANCED_FACE('',(#7658),#2022,.F.); #148826=ADVANCED_FACE('',(#7659),#2023,.F.); #148827=ADVANCED_FACE('',(#7660),#2024,.F.); #148828=ADVANCED_FACE('',(#7661),#2025,.F.); #148829=ADVANCED_FACE('',(#7662),#2026,.F.); #148830=ADVANCED_FACE('',(#7663),#145579,.F.); #148831=ADVANCED_FACE('',(#7664),#2027,.F.); #148832=ADVANCED_FACE('',(#7665),#2028,.F.); #148833=ADVANCED_FACE('',(#7666),#2029,.F.); #148834=ADVANCED_FACE('',(#7667),#145580,.F.); #148835=ADVANCED_FACE('',(#7668),#2030,.F.); #148836=ADVANCED_FACE('',(#7669),#2031,.F.); #148837=ADVANCED_FACE('',(#7670),#2032,.F.); #148838=ADVANCED_FACE('',(#7671),#2033,.F.); #148839=ADVANCED_FACE('',(#7672),#2034,.F.); #148840=ADVANCED_FACE('',(#7673),#2035,.F.); #148841=ADVANCED_FACE('',(#7674),#2036,.F.); #148842=ADVANCED_FACE('',(#7675),#2037,.F.); #148843=ADVANCED_FACE('',(#7676),#2038,.F.); #148844=ADVANCED_FACE('',(#7677),#2039,.F.); #148845=ADVANCED_FACE('',(#7678),#2040,.F.); #148846=ADVANCED_FACE('',(#7679),#2041,.F.); #148847=ADVANCED_FACE('',(#7680),#2042,.F.); #148848=ADVANCED_FACE('',(#7681),#2043,.F.); #148849=ADVANCED_FACE('',(#7682),#2044,.F.); #148850=ADVANCED_FACE('',(#7683),#2045,.F.); #148851=ADVANCED_FACE('',(#7684),#2046,.F.); #148852=ADVANCED_FACE('',(#7685),#2047,.F.); #148853=ADVANCED_FACE('',(#7686),#2048,.F.); #148854=ADVANCED_FACE('',(#7687),#2049,.F.); #148855=ADVANCED_FACE('',(#7688),#2050,.F.); #148856=ADVANCED_FACE('',(#7689),#2051,.F.); #148857=ADVANCED_FACE('',(#7690),#2052,.F.); #148858=ADVANCED_FACE('',(#7691),#2053,.F.); #148859=ADVANCED_FACE('',(#7692),#2054,.F.); #148860=ADVANCED_FACE('',(#7693),#145581,.F.); #148861=ADVANCED_FACE('',(#7694),#2055,.F.); #148862=ADVANCED_FACE('',(#7695),#145582,.T.); #148863=ADVANCED_FACE('',(#7696),#145583,.F.); #148864=ADVANCED_FACE('',(#7697),#2056,.F.); #148865=ADVANCED_FACE('',(#7698),#2057,.F.); #148866=ADVANCED_FACE('',(#7699),#2058,.F.); #148867=ADVANCED_FACE('',(#7700),#2059,.F.); #148868=ADVANCED_FACE('',(#7701),#2060,.F.); #148869=ADVANCED_FACE('',(#7702),#2061,.F.); #148870=ADVANCED_FACE('',(#7703),#2062,.F.); #148871=ADVANCED_FACE('',(#7704),#2063,.F.); #148872=ADVANCED_FACE('',(#7705),#2064,.F.); #148873=ADVANCED_FACE('',(#7706),#2065,.F.); #148874=ADVANCED_FACE('',(#7707),#2066,.F.); #148875=ADVANCED_FACE('',(#7708),#145584,.F.); #148876=ADVANCED_FACE('',(#7709),#2067,.F.); #148877=ADVANCED_FACE('',(#7710),#2068,.F.); #148878=ADVANCED_FACE('',(#7711),#2069,.F.); #148879=ADVANCED_FACE('',(#7712),#2070,.F.); #148880=ADVANCED_FACE('',(#7713),#2071,.F.); #148881=ADVANCED_FACE('',(#7714),#2072,.F.); #148882=ADVANCED_FACE('',(#7715),#2073,.F.); #148883=ADVANCED_FACE('',(#7716),#2074,.F.); #148884=ADVANCED_FACE('',(#7717),#2075,.F.); #148885=ADVANCED_FACE('',(#7718),#2076,.F.); #148886=ADVANCED_FACE('',(#7719),#2077,.F.); #148887=ADVANCED_FACE('',(#7720),#145585,.F.); #148888=ADVANCED_FACE('',(#7721),#2078,.F.); #148889=ADVANCED_FACE('',(#7722),#2079,.F.); #148890=ADVANCED_FACE('',(#7723),#145586,.F.); #148891=ADVANCED_FACE('',(#7724),#2080,.F.); #148892=ADVANCED_FACE('',(#7725),#2081,.F.); #148893=ADVANCED_FACE('',(#7726),#2082,.F.); #148894=ADVANCED_FACE('',(#7727),#2083,.F.); #148895=ADVANCED_FACE('',(#7728),#2084,.F.); #148896=ADVANCED_FACE('',(#7729),#2085,.F.); #148897=ADVANCED_FACE('',(#7730),#2086,.F.); #148898=ADVANCED_FACE('',(#7731),#2087,.F.); #148899=ADVANCED_FACE('',(#7732),#2088,.F.); #148900=ADVANCED_FACE('',(#7733),#2089,.F.); #148901=ADVANCED_FACE('',(#7734),#2090,.F.); #148902=ADVANCED_FACE('',(#7735),#2091,.F.); #148903=ADVANCED_FACE('',(#7736),#2092,.F.); #148904=ADVANCED_FACE('',(#7737),#2093,.F.); #148905=ADVANCED_FACE('',(#7738),#2094,.F.); #148906=ADVANCED_FACE('',(#7739),#2095,.F.); #148907=ADVANCED_FACE('',(#7740),#2096,.F.); #148908=ADVANCED_FACE('',(#7741),#2097,.F.); #148909=ADVANCED_FACE('',(#7742),#2098,.F.); #148910=ADVANCED_FACE('',(#7743),#2099,.F.); #148911=ADVANCED_FACE('',(#7744),#2100,.F.); #148912=ADVANCED_FACE('',(#7745),#2101,.F.); #148913=ADVANCED_FACE('',(#7746),#2102,.F.); #148914=ADVANCED_FACE('',(#7747),#2103,.F.); #148915=ADVANCED_FACE('',(#7748),#2104,.F.); #148916=ADVANCED_FACE('',(#7749),#145587,.F.); #148917=ADVANCED_FACE('',(#7750),#2105,.F.); #148918=ADVANCED_FACE('',(#7751),#145588,.T.); #148919=ADVANCED_FACE('',(#7752),#145589,.F.); #148920=ADVANCED_FACE('',(#7753),#2106,.F.); #148921=ADVANCED_FACE('',(#7754),#145590,.F.); #148922=ADVANCED_FACE('',(#7755),#2107,.F.); #148923=ADVANCED_FACE('',(#7756),#2108,.F.); #148924=ADVANCED_FACE('',(#7757),#2109,.F.); #148925=ADVANCED_FACE('',(#7758),#2110,.F.); #148926=ADVANCED_FACE('',(#7759),#2111,.F.); #148927=ADVANCED_FACE('',(#7760),#2112,.F.); #148928=ADVANCED_FACE('',(#7761),#2113,.F.); #148929=ADVANCED_FACE('',(#7762),#2114,.F.); #148930=ADVANCED_FACE('',(#7763),#2115,.F.); #148931=ADVANCED_FACE('',(#7764),#2116,.F.); #148932=ADVANCED_FACE('',(#7765),#2117,.F.); #148933=ADVANCED_FACE('',(#7766),#2118,.F.); #148934=ADVANCED_FACE('',(#7767),#2119,.F.); #148935=ADVANCED_FACE('',(#7768),#2120,.F.); #148936=ADVANCED_FACE('',(#7769),#2121,.F.); #148937=ADVANCED_FACE('',(#7770),#2122,.F.); #148938=ADVANCED_FACE('',(#7771),#2123,.F.); #148939=ADVANCED_FACE('',(#7772),#2124,.F.); #148940=ADVANCED_FACE('',(#7773),#2125,.F.); #148941=ADVANCED_FACE('',(#7774),#145591,.F.); #148942=ADVANCED_FACE('',(#7775),#2126,.F.); #148943=ADVANCED_FACE('',(#7776),#145592,.F.); #148944=ADVANCED_FACE('',(#7777),#2127,.F.); #148945=ADVANCED_FACE('',(#7778),#2128,.F.); #148946=ADVANCED_FACE('',(#7779),#2129,.F.); #148947=ADVANCED_FACE('',(#7780),#2130,.F.); #148948=ADVANCED_FACE('',(#7781),#2131,.F.); #148949=ADVANCED_FACE('',(#7782),#2132,.F.); #148950=ADVANCED_FACE('',(#7783),#2133,.F.); #148951=ADVANCED_FACE('',(#7784),#2134,.F.); #148952=ADVANCED_FACE('',(#7785),#2135,.F.); #148953=ADVANCED_FACE('',(#7786),#2136,.F.); #148954=ADVANCED_FACE('',(#7787),#2137,.F.); #148955=ADVANCED_FACE('',(#7788),#2138,.F.); #148956=ADVANCED_FACE('',(#7789),#2139,.F.); #148957=ADVANCED_FACE('',(#7790),#145593,.F.); #148958=ADVANCED_FACE('',(#7791),#2140,.F.); #148959=ADVANCED_FACE('',(#7792),#2141,.F.); #148960=ADVANCED_FACE('',(#7793),#2142,.T.); #148961=ADVANCED_FACE('',(#7794),#2143,.F.); #148962=ADVANCED_FACE('',(#7795),#2144,.F.); #148963=ADVANCED_FACE('',(#7796),#2145,.F.); #148964=ADVANCED_FACE('',(#7797),#2146,.F.); #148965=ADVANCED_FACE('',(#7798),#2147,.F.); #148966=ADVANCED_FACE('',(#7799),#2148,.F.); #148967=ADVANCED_FACE('',(#7800),#2149,.F.); #148968=ADVANCED_FACE('',(#7801),#2150,.F.); #148969=ADVANCED_FACE('',(#7802),#2151,.F.); #148970=ADVANCED_FACE('',(#7803),#2152,.F.); #148971=ADVANCED_FACE('',(#7804),#2153,.F.); #148972=ADVANCED_FACE('',(#7805),#2154,.F.); #148973=ADVANCED_FACE('',(#7806),#2155,.F.); #148974=ADVANCED_FACE('',(#7807),#2156,.F.); #148975=ADVANCED_FACE('',(#7808),#145594,.F.); #148976=ADVANCED_FACE('',(#7809),#2157,.F.); #148977=ADVANCED_FACE('',(#7810),#2158,.F.); #148978=ADVANCED_FACE('',(#7811),#2159,.F.); #148979=ADVANCED_FACE('',(#7812),#2160,.F.); #148980=ADVANCED_FACE('',(#7813),#2161,.F.); #148981=ADVANCED_FACE('',(#7814),#2162,.F.); #148982=ADVANCED_FACE('',(#7815),#2163,.F.); #148983=ADVANCED_FACE('',(#7816),#2164,.F.); #148984=ADVANCED_FACE('',(#7817),#2165,.F.); #148985=ADVANCED_FACE('',(#7818),#2166,.F.); #148986=ADVANCED_FACE('',(#7819),#2167,.F.); #148987=ADVANCED_FACE('',(#7820),#2168,.F.); #148988=ADVANCED_FACE('',(#7821),#145595,.F.); #148989=ADVANCED_FACE('',(#7822),#2169,.F.); #148990=ADVANCED_FACE('',(#7823),#2170,.F.); #148991=ADVANCED_FACE('',(#7824),#2171,.F.); #148992=ADVANCED_FACE('',(#7825),#2172,.F.); #148993=ADVANCED_FACE('',(#7826),#2173,.F.); #148994=ADVANCED_FACE('',(#7827),#2174,.F.); #148995=ADVANCED_FACE('',(#7828),#2175,.F.); #148996=ADVANCED_FACE('',(#7829),#2176,.F.); #148997=ADVANCED_FACE('',(#7830),#2177,.F.); #148998=ADVANCED_FACE('',(#7831),#2178,.F.); #148999=ADVANCED_FACE('',(#7832),#2179,.F.); #149000=ADVANCED_FACE('',(#7833),#145596,.F.); #149001=ADVANCED_FACE('',(#7834),#2180,.F.); #149002=ADVANCED_FACE('',(#7835),#145597,.T.); #149003=ADVANCED_FACE('',(#7836),#2181,.F.); #149004=ADVANCED_FACE('',(#7837),#2182,.F.); #149005=ADVANCED_FACE('',(#7838),#2183,.F.); #149006=ADVANCED_FACE('',(#7839),#2184,.F.); #149007=ADVANCED_FACE('',(#7840),#2185,.F.); #149008=ADVANCED_FACE('',(#7841),#145598,.F.); #149009=ADVANCED_FACE('',(#7842),#2186,.F.); #149010=ADVANCED_FACE('',(#7843),#2187,.F.); #149011=ADVANCED_FACE('',(#7844),#2188,.F.); #149012=ADVANCED_FACE('',(#7845),#2189,.F.); #149013=ADVANCED_FACE('',(#7846),#2190,.F.); #149014=ADVANCED_FACE('',(#7847),#2191,.F.); #149015=ADVANCED_FACE('',(#7848),#2192,.F.); #149016=ADVANCED_FACE('',(#7849),#2193,.F.); #149017=ADVANCED_FACE('',(#7850),#2194,.F.); #149018=ADVANCED_FACE('',(#7851),#2195,.F.); #149019=ADVANCED_FACE('',(#7852),#2196,.F.); #149020=ADVANCED_FACE('',(#7853),#2197,.F.); #149021=ADVANCED_FACE('',(#7854),#2198,.F.); #149022=ADVANCED_FACE('',(#7855),#2199,.F.); #149023=ADVANCED_FACE('',(#7856),#2200,.F.); #149024=ADVANCED_FACE('',(#7857),#2201,.F.); #149025=ADVANCED_FACE('',(#7858),#2202,.F.); #149026=ADVANCED_FACE('',(#7859),#2203,.F.); #149027=ADVANCED_FACE('',(#7860),#2204,.F.); #149028=ADVANCED_FACE('',(#7861),#2205,.F.); #149029=ADVANCED_FACE('',(#7862),#2206,.F.); #149030=ADVANCED_FACE('',(#7863),#2207,.F.); #149031=ADVANCED_FACE('',(#7864),#2208,.F.); #149032=ADVANCED_FACE('',(#7865),#2209,.F.); #149033=ADVANCED_FACE('',(#7866),#2210,.F.); #149034=ADVANCED_FACE('',(#7867),#2211,.F.); #149035=ADVANCED_FACE('',(#7868),#145599,.F.); #149036=ADVANCED_FACE('',(#7869),#2212,.F.); #149037=ADVANCED_FACE('',(#7870),#145600,.F.); #149038=ADVANCED_FACE('',(#7871),#2213,.F.); #149039=ADVANCED_FACE('',(#7872),#2214,.F.); #149040=ADVANCED_FACE('',(#7873),#2215,.F.); #149041=ADVANCED_FACE('',(#7874),#2216,.F.); #149042=ADVANCED_FACE('',(#7875),#2217,.F.); #149043=ADVANCED_FACE('',(#7876),#2218,.F.); #149044=ADVANCED_FACE('',(#7877),#2219,.F.); #149045=ADVANCED_FACE('',(#7878),#2220,.F.); #149046=ADVANCED_FACE('',(#7879),#2221,.F.); #149047=ADVANCED_FACE('',(#7880),#2222,.F.); #149048=ADVANCED_FACE('',(#7881),#2223,.F.); #149049=ADVANCED_FACE('',(#7882),#2224,.F.); #149050=ADVANCED_FACE('',(#7883),#2225,.F.); #149051=ADVANCED_FACE('',(#7884),#2226,.F.); #149052=ADVANCED_FACE('',(#7885),#2227,.F.); #149053=ADVANCED_FACE('',(#7886),#2228,.F.); #149054=ADVANCED_FACE('',(#7887),#2229,.F.); #149055=ADVANCED_FACE('',(#7888),#2230,.F.); #149056=ADVANCED_FACE('',(#7889),#2231,.F.); #149057=ADVANCED_FACE('',(#7890),#2232,.F.); #149058=ADVANCED_FACE('',(#7891),#2233,.F.); #149059=ADVANCED_FACE('',(#7892),#2234,.F.); #149060=ADVANCED_FACE('',(#7893),#2235,.F.); #149061=ADVANCED_FACE('',(#7894),#2236,.F.); #149062=ADVANCED_FACE('',(#7895),#145601,.F.); #149063=ADVANCED_FACE('',(#7896),#2237,.F.); #149064=ADVANCED_FACE('',(#7897),#2238,.F.); #149065=ADVANCED_FACE('',(#7898),#2239,.F.); #149066=ADVANCED_FACE('',(#7899),#2240,.F.); #149067=ADVANCED_FACE('',(#7900),#2241,.F.); #149068=ADVANCED_FACE('',(#7901),#145602,.F.); #149069=ADVANCED_FACE('',(#7902),#145603,.F.); #149070=ADVANCED_FACE('',(#7903),#2242,.F.); #149071=ADVANCED_FACE('',(#7904),#2243,.F.); #149072=ADVANCED_FACE('',(#7905),#2244,.F.); #149073=ADVANCED_FACE('',(#7906),#2245,.F.); #149074=ADVANCED_FACE('',(#7907),#2246,.F.); #149075=ADVANCED_FACE('',(#7908),#2247,.F.); #149076=ADVANCED_FACE('',(#7909),#2248,.F.); #149077=ADVANCED_FACE('',(#7910),#2249,.F.); #149078=ADVANCED_FACE('',(#7911),#2250,.F.); #149079=ADVANCED_FACE('',(#7912),#2251,.F.); #149080=ADVANCED_FACE('',(#7913),#2252,.F.); #149081=ADVANCED_FACE('',(#7914),#2253,.F.); #149082=ADVANCED_FACE('',(#7915),#2254,.F.); #149083=ADVANCED_FACE('',(#7916),#2255,.F.); #149084=ADVANCED_FACE('',(#7917),#2256,.F.); #149085=ADVANCED_FACE('',(#7918),#2257,.F.); #149086=ADVANCED_FACE('',(#7919),#2258,.F.); #149087=ADVANCED_FACE('',(#7920),#2259,.F.); #149088=ADVANCED_FACE('',(#7921),#2260,.F.); #149089=ADVANCED_FACE('',(#7922),#2261,.F.); #149090=ADVANCED_FACE('',(#7923),#2262,.F.); #149091=ADVANCED_FACE('',(#7924),#2263,.F.); #149092=ADVANCED_FACE('',(#7925),#2264,.F.); #149093=ADVANCED_FACE('',(#7926),#2265,.F.); #149094=ADVANCED_FACE('',(#7927),#2266,.F.); #149095=ADVANCED_FACE('',(#7928),#2267,.F.); #149096=ADVANCED_FACE('',(#7929),#2268,.F.); #149097=ADVANCED_FACE('',(#7930),#145604,.F.); #149098=ADVANCED_FACE('',(#7931),#2269,.F.); #149099=ADVANCED_FACE('',(#7932),#145605,.F.); #149100=ADVANCED_FACE('',(#7933),#145606,.F.); #149101=ADVANCED_FACE('',(#7934),#145607,.F.); #149102=ADVANCED_FACE('',(#7935),#2270,.F.); #149103=ADVANCED_FACE('',(#7936),#2271,.F.); #149104=ADVANCED_FACE('',(#7937),#2272,.F.); #149105=ADVANCED_FACE('',(#7938),#2273,.F.); #149106=ADVANCED_FACE('',(#7939),#2274,.F.); #149107=ADVANCED_FACE('',(#7940),#2275,.F.); #149108=ADVANCED_FACE('',(#7941),#2276,.F.); #149109=ADVANCED_FACE('',(#7942),#145608,.F.); #149110=ADVANCED_FACE('',(#7943),#2277,.F.); #149111=ADVANCED_FACE('',(#7944),#2278,.F.); #149112=ADVANCED_FACE('',(#7945),#2279,.F.); #149113=ADVANCED_FACE('',(#7946),#2280,.F.); #149114=ADVANCED_FACE('',(#7947),#2281,.F.); #149115=ADVANCED_FACE('',(#7948),#2282,.F.); #149116=ADVANCED_FACE('',(#7949),#2283,.F.); #149117=ADVANCED_FACE('',(#7950),#2284,.F.); #149118=ADVANCED_FACE('',(#7951),#2285,.F.); #149119=ADVANCED_FACE('',(#7952),#2286,.F.); #149120=ADVANCED_FACE('',(#7953),#2287,.F.); #149121=ADVANCED_FACE('',(#7954),#2288,.F.); #149122=ADVANCED_FACE('',(#7955),#2289,.F.); #149123=ADVANCED_FACE('',(#7956),#2290,.F.); #149124=ADVANCED_FACE('',(#7957),#145609,.F.); #149125=ADVANCED_FACE('',(#7958),#2291,.F.); #149126=ADVANCED_FACE('',(#7959),#2292,.F.); #149127=ADVANCED_FACE('',(#7960),#2293,.F.); #149128=ADVANCED_FACE('',(#7961),#145610,.T.); #149129=ADVANCED_FACE('',(#7962),#145611,.F.); #149130=ADVANCED_FACE('',(#7963),#2294,.F.); #149131=ADVANCED_FACE('',(#7964),#2295,.F.); #149132=ADVANCED_FACE('',(#7965),#2296,.F.); #149133=ADVANCED_FACE('',(#7966),#2297,.F.); #149134=ADVANCED_FACE('',(#7967),#2298,.F.); #149135=ADVANCED_FACE('',(#7968),#145612,.F.); #149136=ADVANCED_FACE('',(#7969),#2299,.F.); #149137=ADVANCED_FACE('',(#7970),#2300,.F.); #149138=ADVANCED_FACE('',(#7971),#2301,.F.); #149139=ADVANCED_FACE('',(#7972),#2302,.F.); #149140=ADVANCED_FACE('',(#7973),#2303,.T.); #149141=ADVANCED_FACE('',(#7974),#145613,.F.); #149142=ADVANCED_FACE('',(#7975),#145614,.F.); #149143=ADVANCED_FACE('',(#7976),#145615,.F.); #149144=ADVANCED_FACE('',(#7977),#145616,.F.); #149145=ADVANCED_FACE('',(#7978),#2304,.F.); #149146=ADVANCED_FACE('',(#7979),#145617,.T.); #149147=ADVANCED_FACE('',(#7980),#145618,.T.); #149148=ADVANCED_FACE('',(#7981),#145619,.T.); #149149=ADVANCED_FACE('',(#7982),#145620,.T.); #149150=ADVANCED_FACE('',(#7983),#145621,.T.); #149151=ADVANCED_FACE('',(#7984),#2305,.F.); #149152=ADVANCED_FACE('',(#7985),#145622,.F.); #149153=ADVANCED_FACE('',(#7986),#2306,.F.); #149154=ADVANCED_FACE('',(#7987),#2307,.F.); #149155=ADVANCED_FACE('',(#7988),#2308,.F.); #149156=ADVANCED_FACE('',(#7989),#2309,.F.); #149157=ADVANCED_FACE('',(#7990),#2310,.F.); #149158=ADVANCED_FACE('',(#7991),#2311,.F.); #149159=ADVANCED_FACE('',(#7992),#2312,.F.); #149160=ADVANCED_FACE('',(#7993),#2313,.F.); #149161=ADVANCED_FACE('',(#7994),#2314,.F.); #149162=ADVANCED_FACE('',(#7995),#2315,.F.); #149163=ADVANCED_FACE('',(#7996),#2316,.F.); #149164=ADVANCED_FACE('',(#7997),#2317,.F.); #149165=ADVANCED_FACE('',(#7998),#2318,.F.); #149166=ADVANCED_FACE('',(#7999),#2319,.F.); #149167=ADVANCED_FACE('',(#8000),#2320,.F.); #149168=ADVANCED_FACE('',(#8001),#2321,.F.); #149169=ADVANCED_FACE('',(#8002),#2322,.F.); #149170=ADVANCED_FACE('',(#8003),#2323,.F.); #149171=ADVANCED_FACE('',(#8004),#2324,.F.); #149172=ADVANCED_FACE('',(#8005),#2325,.F.); #149173=ADVANCED_FACE('',(#8006),#2326,.F.); #149174=ADVANCED_FACE('',(#8007),#2327,.F.); #149175=ADVANCED_FACE('',(#8008),#2328,.F.); #149176=ADVANCED_FACE('',(#8009),#2329,.F.); #149177=ADVANCED_FACE('',(#8010),#145623,.F.); #149178=ADVANCED_FACE('',(#8011),#2330,.F.); #149179=ADVANCED_FACE('',(#8012),#2331,.F.); #149180=ADVANCED_FACE('',(#8013),#2332,.F.); #149181=ADVANCED_FACE('',(#8014),#2333,.F.); #149182=ADVANCED_FACE('',(#8015),#2334,.F.); #149183=ADVANCED_FACE('',(#8016),#2335,.F.); #149184=ADVANCED_FACE('',(#8017),#2336,.F.); #149185=ADVANCED_FACE('',(#8018),#2337,.F.); #149186=ADVANCED_FACE('',(#8019),#2338,.F.); #149187=ADVANCED_FACE('',(#8020),#2339,.F.); #149188=ADVANCED_FACE('',(#8021),#2340,.F.); #149189=ADVANCED_FACE('',(#8022),#2341,.F.); #149190=ADVANCED_FACE('',(#8023),#2342,.F.); #149191=ADVANCED_FACE('',(#8024),#2343,.F.); #149192=ADVANCED_FACE('',(#8025),#2344,.F.); #149193=ADVANCED_FACE('',(#8026),#2345,.F.); #149194=ADVANCED_FACE('',(#8027),#2346,.F.); #149195=ADVANCED_FACE('',(#8028),#2347,.F.); #149196=ADVANCED_FACE('',(#8029),#2348,.F.); #149197=ADVANCED_FACE('',(#8030),#2349,.F.); #149198=ADVANCED_FACE('',(#8031),#2350,.F.); #149199=ADVANCED_FACE('',(#8032),#2351,.F.); #149200=ADVANCED_FACE('',(#8033),#2352,.F.); #149201=ADVANCED_FACE('',(#8034),#2353,.F.); #149202=ADVANCED_FACE('',(#8035),#2354,.F.); #149203=ADVANCED_FACE('',(#8036),#145624,.F.); #149204=ADVANCED_FACE('',(#8037),#2355,.F.); #149205=ADVANCED_FACE('',(#8038),#145625,.F.); #149206=ADVANCED_FACE('',(#8039),#145626,.F.); #149207=ADVANCED_FACE('',(#8040),#145627,.F.); #149208=ADVANCED_FACE('',(#8041),#2356,.F.); #149209=ADVANCED_FACE('',(#8042),#145628,.T.); #149210=ADVANCED_FACE('',(#8043),#145629,.T.); #149211=ADVANCED_FACE('',(#8044),#145630,.T.); #149212=ADVANCED_FACE('',(#8045),#145631,.T.); #149213=ADVANCED_FACE('',(#8046),#2357,.F.); #149214=ADVANCED_FACE('',(#8047),#145632,.F.); #149215=ADVANCED_FACE('',(#8048),#2358,.F.); #149216=ADVANCED_FACE('',(#8049),#2359,.F.); #149217=ADVANCED_FACE('',(#8050),#2360,.F.); #149218=ADVANCED_FACE('',(#8051),#2361,.F.); #149219=ADVANCED_FACE('',(#8052),#2362,.F.); #149220=ADVANCED_FACE('',(#8053),#2363,.F.); #149221=ADVANCED_FACE('',(#8054),#2364,.F.); #149222=ADVANCED_FACE('',(#8055),#2365,.F.); #149223=ADVANCED_FACE('',(#8056),#2366,.F.); #149224=ADVANCED_FACE('',(#8057),#2367,.F.); #149225=ADVANCED_FACE('',(#8058),#2368,.F.); #149226=ADVANCED_FACE('',(#8059),#2369,.F.); #149227=ADVANCED_FACE('',(#8060),#2370,.F.); #149228=ADVANCED_FACE('',(#8061),#2371,.F.); #149229=ADVANCED_FACE('',(#8062),#2372,.F.); #149230=ADVANCED_FACE('',(#8063),#2373,.F.); #149231=ADVANCED_FACE('',(#8064),#2374,.F.); #149232=ADVANCED_FACE('',(#8065),#2375,.F.); #149233=ADVANCED_FACE('',(#8066),#2376,.F.); #149234=ADVANCED_FACE('',(#8067),#2377,.F.); #149235=ADVANCED_FACE('',(#8068),#2378,.F.); #149236=ADVANCED_FACE('',(#8069),#2379,.F.); #149237=ADVANCED_FACE('',(#8070),#2380,.F.); #149238=ADVANCED_FACE('',(#8071),#145633,.F.); #149239=ADVANCED_FACE('',(#8072),#2381,.F.); #149240=ADVANCED_FACE('',(#8073),#2382,.F.); #149241=ADVANCED_FACE('',(#8074),#2383,.F.); #149242=ADVANCED_FACE('',(#8075),#2384,.F.); #149243=ADVANCED_FACE('',(#8076),#2385,.F.); #149244=ADVANCED_FACE('',(#8077),#2386,.F.); #149245=ADVANCED_FACE('',(#8078),#2387,.F.); #149246=ADVANCED_FACE('',(#8079),#2388,.F.); #149247=ADVANCED_FACE('',(#8080),#2389,.F.); #149248=ADVANCED_FACE('',(#8081),#2390,.F.); #149249=ADVANCED_FACE('',(#8082),#2391,.F.); #149250=ADVANCED_FACE('',(#8083),#2392,.F.); #149251=ADVANCED_FACE('',(#8084),#2393,.F.); #149252=ADVANCED_FACE('',(#8085),#2394,.F.); #149253=ADVANCED_FACE('',(#8086),#2395,.F.); #149254=ADVANCED_FACE('',(#8087),#2396,.F.); #149255=ADVANCED_FACE('',(#8088),#2397,.F.); #149256=ADVANCED_FACE('',(#8089),#2398,.F.); #149257=ADVANCED_FACE('',(#8090),#2399,.F.); #149258=ADVANCED_FACE('',(#8091),#2400,.F.); #149259=ADVANCED_FACE('',(#8092),#2401,.F.); #149260=ADVANCED_FACE('',(#8093),#2402,.F.); #149261=ADVANCED_FACE('',(#8094),#2403,.F.); #149262=ADVANCED_FACE('',(#8095),#2404,.F.); #149263=ADVANCED_FACE('',(#8096),#2405,.F.); #149264=ADVANCED_FACE('',(#8097),#145634,.F.); #149265=ADVANCED_FACE('',(#8098),#2406,.F.); #149266=ADVANCED_FACE('',(#8099),#2407,.T.); #149267=ADVANCED_FACE('',(#8100),#145635,.F.); #149268=ADVANCED_FACE('',(#8101),#2408,.F.); #149269=ADVANCED_FACE('',(#8102),#2409,.F.); #149270=ADVANCED_FACE('',(#8103),#2410,.F.); #149271=ADVANCED_FACE('',(#8104),#2411,.F.); #149272=ADVANCED_FACE('',(#8105),#2412,.F.); #149273=ADVANCED_FACE('',(#8106),#2413,.F.); #149274=ADVANCED_FACE('',(#8107),#2414,.F.); #149275=ADVANCED_FACE('',(#8108),#145636,.F.); #149276=ADVANCED_FACE('',(#8109),#145637,.F.); #149277=ADVANCED_FACE('',(#8110),#145638,.F.); #149278=ADVANCED_FACE('',(#8111),#145639,.F.); #149279=ADVANCED_FACE('',(#8112),#2415,.F.); #149280=ADVANCED_FACE('',(#8113),#2416,.F.); #149281=ADVANCED_FACE('',(#8114),#2417,.F.); #149282=ADVANCED_FACE('',(#8115),#145640,.F.); #149283=ADVANCED_FACE('',(#8116),#2418,.F.); #149284=ADVANCED_FACE('',(#8117),#145641,.F.); #149285=ADVANCED_FACE('',(#8118),#145642,.F.); #149286=ADVANCED_FACE('',(#8119),#2419,.F.); #149287=ADVANCED_FACE('',(#8120),#145643,.F.); #149288=ADVANCED_FACE('',(#8121),#2420,.F.); #149289=ADVANCED_FACE('',(#8122),#145644,.F.); #149290=ADVANCED_FACE('',(#8123),#145645,.F.); #149291=ADVANCED_FACE('',(#8124),#2421,.F.); #149292=ADVANCED_FACE('',(#8125),#2422,.F.); #149293=ADVANCED_FACE('',(#8126),#145646,.F.); #149294=ADVANCED_FACE('',(#8127),#2423,.F.); #149295=ADVANCED_FACE('',(#8128),#2424,.F.); #149296=ADVANCED_FACE('',(#8129),#2425,.F.); #149297=ADVANCED_FACE('',(#8130),#2426,.F.); #149298=ADVANCED_FACE('',(#8131),#2427,.F.); #149299=ADVANCED_FACE('',(#8132),#2428,.F.); #149300=ADVANCED_FACE('',(#8133),#145647,.F.); #149301=ADVANCED_FACE('',(#8134),#2429,.F.); #149302=ADVANCED_FACE('',(#8135),#2430,.F.); #149303=ADVANCED_FACE('',(#8136),#2431,.F.); #149304=ADVANCED_FACE('',(#8137),#2432,.F.); #149305=ADVANCED_FACE('',(#8138),#2433,.F.); #149306=ADVANCED_FACE('',(#8139),#145648,.F.); #149307=ADVANCED_FACE('',(#8140),#145649,.F.); #149308=ADVANCED_FACE('',(#8141),#145650,.F.); #149309=ADVANCED_FACE('',(#8142),#2434,.F.); #149310=ADVANCED_FACE('',(#8143),#2435,.F.); #149311=ADVANCED_FACE('',(#8144),#2436,.F.); #149312=ADVANCED_FACE('',(#8145),#145651,.F.); #149313=ADVANCED_FACE('',(#8146),#2437,.F.); #149314=ADVANCED_FACE('',(#8147),#2438,.F.); #149315=ADVANCED_FACE('',(#8148),#145652,.F.); #149316=ADVANCED_FACE('',(#8149),#2439,.F.); #149317=ADVANCED_FACE('',(#8150),#2440,.F.); #149318=ADVANCED_FACE('',(#8151),#2441,.F.); #149319=ADVANCED_FACE('',(#8152),#145653,.F.); #149320=ADVANCED_FACE('',(#8153),#145654,.F.); #149321=ADVANCED_FACE('',(#8154),#2442,.F.); #149322=ADVANCED_FACE('',(#8155),#145655,.F.); #149323=ADVANCED_FACE('',(#8156),#2443,.F.); #149324=ADVANCED_FACE('',(#8157),#2444,.F.); #149325=ADVANCED_FACE('',(#8158),#2445,.F.); #149326=ADVANCED_FACE('',(#8159),#145656,.F.); #149327=ADVANCED_FACE('',(#8160),#2446,.F.); #149328=ADVANCED_FACE('',(#8161),#2447,.F.); #149329=ADVANCED_FACE('',(#8162),#2448,.F.); #149330=ADVANCED_FACE('',(#8163),#2449,.F.); #149331=ADVANCED_FACE('',(#8164),#2450,.F.); #149332=ADVANCED_FACE('',(#8165),#2451,.F.); #149333=ADVANCED_FACE('',(#8166),#2452,.F.); #149334=ADVANCED_FACE('',(#8167),#2453,.F.); #149335=ADVANCED_FACE('',(#8168),#2454,.F.); #149336=ADVANCED_FACE('',(#8169),#2455,.F.); #149337=ADVANCED_FACE('',(#8170),#2456,.F.); #149338=ADVANCED_FACE('',(#8171),#2457,.F.); #149339=ADVANCED_FACE('',(#8172),#2458,.F.); #149340=ADVANCED_FACE('',(#8173),#2459,.F.); #149341=ADVANCED_FACE('',(#8174),#145657,.F.); #149342=ADVANCED_FACE('',(#8175),#2460,.F.); #149343=ADVANCED_FACE('',(#8176),#145658,.T.); #149344=ADVANCED_FACE('',(#8177),#145659,.F.); #149345=ADVANCED_FACE('',(#8178),#145660,.F.); #149346=ADVANCED_FACE('',(#8179),#145661,.F.); #149347=ADVANCED_FACE('',(#8180),#2461,.F.); #149348=ADVANCED_FACE('',(#8181),#2462,.F.); #149349=ADVANCED_FACE('',(#8182),#2463,.F.); #149350=ADVANCED_FACE('',(#8183),#2464,.F.); #149351=ADVANCED_FACE('',(#8184),#2465,.F.); #149352=ADVANCED_FACE('',(#8185),#145662,.F.); #149353=ADVANCED_FACE('',(#8186),#2466,.F.); #149354=ADVANCED_FACE('',(#8187),#2467,.F.); #149355=ADVANCED_FACE('',(#8188),#2468,.F.); #149356=ADVANCED_FACE('',(#8189),#145663,.F.); #149357=ADVANCED_FACE('',(#8190),#2469,.F.); #149358=ADVANCED_FACE('',(#8191),#2470,.F.); #149359=ADVANCED_FACE('',(#8192),#2471,.F.); #149360=ADVANCED_FACE('',(#8193),#145664,.F.); #149361=ADVANCED_FACE('',(#8194),#145665,.F.); #149362=ADVANCED_FACE('',(#8195),#145666,.F.); #149363=ADVANCED_FACE('',(#8196),#145667,.F.); #149364=ADVANCED_FACE('',(#8197),#2472,.F.); #149365=ADVANCED_FACE('',(#8198),#2473,.F.); #149366=ADVANCED_FACE('',(#8199),#2474,.F.); #149367=ADVANCED_FACE('',(#8200),#2475,.F.); #149368=ADVANCED_FACE('',(#8201),#145668,.F.); #149369=ADVANCED_FACE('',(#8202),#145669,.F.); #149370=ADVANCED_FACE('',(#8203),#2476,.F.); #149371=ADVANCED_FACE('',(#8204),#145670,.F.); #149372=ADVANCED_FACE('',(#8205),#2477,.F.); #149373=ADVANCED_FACE('',(#8206),#2478,.F.); #149374=ADVANCED_FACE('',(#8207),#2479,.F.); #149375=ADVANCED_FACE('',(#8208),#2480,.F.); #149376=ADVANCED_FACE('',(#8209),#2481,.F.); #149377=ADVANCED_FACE('',(#8210),#2482,.F.); #149378=ADVANCED_FACE('',(#8211),#2483,.F.); #149379=ADVANCED_FACE('',(#8212),#2484,.F.); #149380=ADVANCED_FACE('',(#8213),#145671,.F.); #149381=ADVANCED_FACE('',(#8214),#2485,.F.); #149382=ADVANCED_FACE('',(#8215),#2486,.F.); #149383=ADVANCED_FACE('',(#8216),#2487,.F.); #149384=ADVANCED_FACE('',(#8217),#2488,.F.); #149385=ADVANCED_FACE('',(#8218),#145672,.F.); #149386=ADVANCED_FACE('',(#8219),#2489,.F.); #149387=ADVANCED_FACE('',(#8220),#2490,.F.); #149388=ADVANCED_FACE('',(#8221),#2491,.F.); #149389=ADVANCED_FACE('',(#8222),#2492,.F.); #149390=ADVANCED_FACE('',(#8223),#2493,.F.); #149391=ADVANCED_FACE('',(#8224),#2494,.F.); #149392=ADVANCED_FACE('',(#8225),#2495,.F.); #149393=ADVANCED_FACE('',(#8226),#2496,.F.); #149394=ADVANCED_FACE('',(#8227),#2497,.F.); #149395=ADVANCED_FACE('',(#8228),#2498,.F.); #149396=ADVANCED_FACE('',(#8229),#2499,.F.); #149397=ADVANCED_FACE('',(#8230),#2500,.T.); #149398=ADVANCED_FACE('',(#8231),#145673,.F.); #149399=ADVANCED_FACE('',(#8232),#2501,.F.); #149400=ADVANCED_FACE('',(#8233),#2502,.F.); #149401=ADVANCED_FACE('',(#8234),#2503,.F.); #149402=ADVANCED_FACE('',(#8235),#2504,.F.); #149403=ADVANCED_FACE('',(#8236),#2505,.F.); #149404=ADVANCED_FACE('',(#8237),#2506,.F.); #149405=ADVANCED_FACE('',(#8238),#2507,.F.); #149406=ADVANCED_FACE('',(#8239),#2508,.F.); #149407=ADVANCED_FACE('',(#8240),#2509,.F.); #149408=ADVANCED_FACE('',(#8241),#2510,.F.); #149409=ADVANCED_FACE('',(#8242),#2511,.F.); #149410=ADVANCED_FACE('',(#8243),#145674,.F.); #149411=ADVANCED_FACE('',(#8244),#145675,.F.); #149412=ADVANCED_FACE('',(#8245),#2512,.F.); #149413=ADVANCED_FACE('',(#8246),#2513,.F.); #149414=ADVANCED_FACE('',(#8247),#2514,.F.); #149415=ADVANCED_FACE('',(#8248),#2515,.F.); #149416=ADVANCED_FACE('',(#8249),#2516,.F.); #149417=ADVANCED_FACE('',(#8250),#2517,.F.); #149418=ADVANCED_FACE('',(#8251),#2518,.F.); #149419=ADVANCED_FACE('',(#8252),#2519,.F.); #149420=ADVANCED_FACE('',(#8253),#145676,.F.); #149421=ADVANCED_FACE('',(#8254),#2520,.F.); #149422=ADVANCED_FACE('',(#8255),#2521,.F.); #149423=ADVANCED_FACE('',(#8256),#2522,.F.); #149424=ADVANCED_FACE('',(#8257),#2523,.F.); #149425=ADVANCED_FACE('',(#8258),#2524,.F.); #149426=ADVANCED_FACE('',(#8259),#2525,.F.); #149427=ADVANCED_FACE('',(#8260),#2526,.F.); #149428=ADVANCED_FACE('',(#8261),#2527,.F.); #149429=ADVANCED_FACE('',(#8262),#2528,.F.); #149430=ADVANCED_FACE('',(#8263),#2529,.F.); #149431=ADVANCED_FACE('',(#8264),#2530,.F.); #149432=ADVANCED_FACE('',(#8265),#2531,.F.); #149433=ADVANCED_FACE('',(#8266),#2532,.F.); #149434=ADVANCED_FACE('',(#8267),#145677,.F.); #149435=ADVANCED_FACE('',(#8268),#2533,.F.); #149436=ADVANCED_FACE('',(#8269),#2534,.F.); #149437=ADVANCED_FACE('',(#8270),#145678,.F.); #149438=ADVANCED_FACE('',(#8271),#2535,.F.); #149439=ADVANCED_FACE('',(#8272),#145679,.T.); #149440=ADVANCED_FACE('',(#8273),#145680,.F.); #149441=ADVANCED_FACE('',(#8274),#2536,.F.); #149442=ADVANCED_FACE('',(#8275),#2537,.F.); #149443=ADVANCED_FACE('',(#8276),#2538,.F.); #149444=ADVANCED_FACE('',(#8277),#2539,.F.); #149445=ADVANCED_FACE('',(#8278),#2540,.F.); #149446=ADVANCED_FACE('',(#8279),#2541,.F.); #149447=ADVANCED_FACE('',(#8280),#2542,.F.); #149448=ADVANCED_FACE('',(#8281),#2543,.F.); #149449=ADVANCED_FACE('',(#8282),#2544,.F.); #149450=ADVANCED_FACE('',(#8283),#2545,.F.); #149451=ADVANCED_FACE('',(#8284),#2546,.F.); #149452=ADVANCED_FACE('',(#8285),#2547,.F.); #149453=ADVANCED_FACE('',(#8286),#145681,.F.); #149454=ADVANCED_FACE('',(#8287),#2548,.F.); #149455=ADVANCED_FACE('',(#8288),#145682,.F.); #149456=ADVANCED_FACE('',(#8289),#2549,.F.); #149457=ADVANCED_FACE('',(#8290),#2550,.F.); #149458=ADVANCED_FACE('',(#8291),#2551,.F.); #149459=ADVANCED_FACE('',(#8292),#2552,.F.); #149460=ADVANCED_FACE('',(#8293),#2553,.F.); #149461=ADVANCED_FACE('',(#8294),#2554,.F.); #149462=ADVANCED_FACE('',(#8295),#2555,.F.); #149463=ADVANCED_FACE('',(#8296),#145683,.F.); #149464=ADVANCED_FACE('',(#8297),#2556,.F.); #149465=ADVANCED_FACE('',(#8298),#2557,.F.); #149466=ADVANCED_FACE('',(#8299),#145684,.F.); #149467=ADVANCED_FACE('',(#8300),#145685,.F.); #149468=ADVANCED_FACE('',(#8301),#2558,.F.); #149469=ADVANCED_FACE('',(#8302),#2559,.F.); #149470=ADVANCED_FACE('',(#8303),#2560,.F.); #149471=ADVANCED_FACE('',(#8304),#2561,.F.); #149472=ADVANCED_FACE('',(#8305),#2562,.F.); #149473=ADVANCED_FACE('',(#8306),#2563,.F.); #149474=ADVANCED_FACE('',(#8307),#2564,.F.); #149475=ADVANCED_FACE('',(#8308),#2565,.F.); #149476=ADVANCED_FACE('',(#8309),#2566,.F.); #149477=ADVANCED_FACE('',(#8310),#2567,.F.); #149478=ADVANCED_FACE('',(#8311),#145686,.F.); #149479=ADVANCED_FACE('',(#8312),#2568,.F.); #149480=ADVANCED_FACE('',(#8313),#2569,.F.); #149481=ADVANCED_FACE('',(#8314),#2570,.F.); #149482=ADVANCED_FACE('',(#8315),#145687,.F.); #149483=ADVANCED_FACE('',(#8316),#2571,.F.); #149484=ADVANCED_FACE('',(#8317),#145688,.F.); #149485=ADVANCED_FACE('',(#8318),#145689,.F.); #149486=ADVANCED_FACE('',(#8319),#2572,.F.); #149487=ADVANCED_FACE('',(#8320),#2573,.F.); #149488=ADVANCED_FACE('',(#8321),#145690,.F.); #149489=ADVANCED_FACE('',(#8322),#2574,.F.); #149490=ADVANCED_FACE('',(#8323),#145691,.T.); #149491=ADVANCED_FACE('',(#8324),#145692,.F.); #149492=ADVANCED_FACE('',(#8325),#145693,.F.); #149493=ADVANCED_FACE('',(#8326),#2575,.F.); #149494=ADVANCED_FACE('',(#8327),#2576,.F.); #149495=ADVANCED_FACE('',(#8328),#2577,.F.); #149496=ADVANCED_FACE('',(#8329),#2578,.F.); #149497=ADVANCED_FACE('',(#8330),#2579,.F.); #149498=ADVANCED_FACE('',(#8331),#2580,.F.); #149499=ADVANCED_FACE('',(#8332),#2581,.F.); #149500=ADVANCED_FACE('',(#8333),#2582,.F.); #149501=ADVANCED_FACE('',(#8334),#2583,.F.); #149502=ADVANCED_FACE('',(#8335),#2584,.F.); #149503=ADVANCED_FACE('',(#8336),#2585,.F.); #149504=ADVANCED_FACE('',(#8337),#2586,.F.); #149505=ADVANCED_FACE('',(#8338),#2587,.F.); #149506=ADVANCED_FACE('',(#8339),#2588,.F.); #149507=ADVANCED_FACE('',(#8340),#2589,.F.); #149508=ADVANCED_FACE('',(#8341),#2590,.F.); #149509=ADVANCED_FACE('',(#8342),#2591,.F.); #149510=ADVANCED_FACE('',(#8343),#2592,.F.); #149511=ADVANCED_FACE('',(#8344),#2593,.F.); #149512=ADVANCED_FACE('',(#8345),#2594,.F.); #149513=ADVANCED_FACE('',(#8346),#145694,.F.); #149514=ADVANCED_FACE('',(#8347),#2595,.F.); #149515=ADVANCED_FACE('',(#8348),#2596,.F.); #149516=ADVANCED_FACE('',(#8349),#145695,.F.); #149517=ADVANCED_FACE('',(#8350),#2597,.F.); #149518=ADVANCED_FACE('',(#8351),#2598,.F.); #149519=ADVANCED_FACE('',(#8352),#145696,.F.); #149520=ADVANCED_FACE('',(#8353),#2599,.F.); #149521=ADVANCED_FACE('',(#8354),#2600,.F.); #149522=ADVANCED_FACE('',(#8355),#2601,.F.); #149523=ADVANCED_FACE('',(#8356),#2602,.F.); #149524=ADVANCED_FACE('',(#8357),#2603,.F.); #149525=ADVANCED_FACE('',(#8358),#2604,.F.); #149526=ADVANCED_FACE('',(#8359),#2605,.F.); #149527=ADVANCED_FACE('',(#8360),#2606,.F.); #149528=ADVANCED_FACE('',(#8361),#145697,.F.); #149529=ADVANCED_FACE('',(#8362),#2607,.F.); #149530=ADVANCED_FACE('',(#8363),#145698,.F.); #149531=ADVANCED_FACE('',(#8364),#145699,.F.); #149532=ADVANCED_FACE('',(#8365),#2608,.F.); #149533=ADVANCED_FACE('',(#8366),#2609,.F.); #149534=ADVANCED_FACE('',(#8367),#2610,.F.); #149535=ADVANCED_FACE('',(#8368),#2611,.F.); #149536=ADVANCED_FACE('',(#8369),#2612,.F.); #149537=ADVANCED_FACE('',(#8370),#2613,.F.); #149538=ADVANCED_FACE('',(#8371),#2614,.F.); #149539=ADVANCED_FACE('',(#8372),#145700,.F.); #149540=ADVANCED_FACE('',(#8373),#2615,.F.); #149541=ADVANCED_FACE('',(#8374),#2616,.F.); #149542=ADVANCED_FACE('',(#8375),#145701,.T.); #149543=ADVANCED_FACE('',(#8376),#2617,.F.); #149544=ADVANCED_FACE('',(#8377),#2618,.F.); #149545=ADVANCED_FACE('',(#8378),#2619,.F.); #149546=ADVANCED_FACE('',(#8379),#2620,.F.); #149547=ADVANCED_FACE('',(#8380),#2621,.F.); #149548=ADVANCED_FACE('',(#8381),#2622,.F.); #149549=ADVANCED_FACE('',(#8382),#2623,.F.); #149550=ADVANCED_FACE('',(#8383),#2624,.F.); #149551=ADVANCED_FACE('',(#8384),#145702,.T.); #149552=ADVANCED_FACE('',(#8385),#145703,.F.); #149553=ADVANCED_FACE('',(#8386),#2625,.F.); #149554=ADVANCED_FACE('',(#8387),#2626,.F.); #149555=ADVANCED_FACE('',(#8388),#145704,.F.); #149556=ADVANCED_FACE('',(#8389),#2627,.F.); #149557=ADVANCED_FACE('',(#8390),#2628,.F.); #149558=ADVANCED_FACE('',(#8391),#145705,.F.); #149559=ADVANCED_FACE('',(#8392),#2629,.F.); #149560=ADVANCED_FACE('',(#8393),#2630,.F.); #149561=ADVANCED_FACE('',(#8394),#2631,.T.); #149562=ADVANCED_FACE('',(#8395),#2632,.F.); #149563=ADVANCED_FACE('',(#8396),#145706,.F.); #149564=ADVANCED_FACE('',(#8397),#2633,.F.); #149565=ADVANCED_FACE('',(#8398),#2634,.F.); #149566=ADVANCED_FACE('',(#8399),#2635,.F.); #149567=ADVANCED_FACE('',(#8400),#2636,.F.); #149568=ADVANCED_FACE('',(#8401),#2637,.F.); #149569=ADVANCED_FACE('',(#8402),#2638,.F.); #149570=ADVANCED_FACE('',(#8403),#145707,.F.); #149571=ADVANCED_FACE('',(#8404),#2639,.F.); #149572=ADVANCED_FACE('',(#8405),#2640,.F.); #149573=ADVANCED_FACE('',(#8406),#2641,.F.); #149574=ADVANCED_FACE('',(#8407),#2642,.F.); #149575=ADVANCED_FACE('',(#8408),#2643,.F.); #149576=ADVANCED_FACE('',(#8409),#2644,.F.); #149577=ADVANCED_FACE('',(#8410),#2645,.F.); #149578=ADVANCED_FACE('',(#8411),#2646,.F.); #149579=ADVANCED_FACE('',(#8412),#2647,.F.); #149580=ADVANCED_FACE('',(#8413),#2648,.F.); #149581=ADVANCED_FACE('',(#8414),#145708,.F.); #149582=ADVANCED_FACE('',(#8415),#2649,.F.); #149583=ADVANCED_FACE('',(#8416),#2650,.F.); #149584=ADVANCED_FACE('',(#8417),#2651,.F.); #149585=ADVANCED_FACE('',(#8418),#2652,.F.); #149586=ADVANCED_FACE('',(#8419),#2653,.F.); #149587=ADVANCED_FACE('',(#8420),#2654,.F.); #149588=ADVANCED_FACE('',(#8421),#2655,.F.); #149589=ADVANCED_FACE('',(#8422),#2656,.F.); #149590=ADVANCED_FACE('',(#8423),#2657,.F.); #149591=ADVANCED_FACE('',(#8424),#145709,.F.); #149592=ADVANCED_FACE('',(#8425),#2658,.F.); #149593=ADVANCED_FACE('',(#8426),#145710,.T.); #149594=ADVANCED_FACE('',(#8427),#145711,.F.); #149595=ADVANCED_FACE('',(#8428),#2659,.F.); #149596=ADVANCED_FACE('',(#8429),#2660,.F.); #149597=ADVANCED_FACE('',(#8430),#2661,.F.); #149598=ADVANCED_FACE('',(#8431),#2662,.F.); #149599=ADVANCED_FACE('',(#8432),#2663,.F.); #149600=ADVANCED_FACE('',(#8433),#2664,.F.); #149601=ADVANCED_FACE('',(#8434),#145712,.F.); #149602=ADVANCED_FACE('',(#8435),#2665,.F.); #149603=ADVANCED_FACE('',(#8436),#2666,.F.); #149604=ADVANCED_FACE('',(#8437),#2667,.F.); #149605=ADVANCED_FACE('',(#8438),#2668,.F.); #149606=ADVANCED_FACE('',(#8439),#2669,.F.); #149607=ADVANCED_FACE('',(#8440),#2670,.F.); #149608=ADVANCED_FACE('',(#8441),#2671,.F.); #149609=ADVANCED_FACE('',(#8442),#2672,.F.); #149610=ADVANCED_FACE('',(#8443),#145713,.F.); #149611=ADVANCED_FACE('',(#8444),#2673,.F.); #149612=ADVANCED_FACE('',(#8445),#2674,.F.); #149613=ADVANCED_FACE('',(#8446),#2675,.F.); #149614=ADVANCED_FACE('',(#8447),#2676,.F.); #149615=ADVANCED_FACE('',(#8448),#145714,.F.); #149616=ADVANCED_FACE('',(#8449),#2677,.F.); #149617=ADVANCED_FACE('',(#8450),#2678,.F.); #149618=ADVANCED_FACE('',(#8451),#2679,.F.); #149619=ADVANCED_FACE('',(#8452),#145715,.F.); #149620=ADVANCED_FACE('',(#8453),#2680,.F.); #149621=ADVANCED_FACE('',(#8454),#2681,.F.); #149622=ADVANCED_FACE('',(#8455),#145716,.F.); #149623=ADVANCED_FACE('',(#8456),#145717,.F.); #149624=ADVANCED_FACE('',(#8457),#2682,.F.); #149625=ADVANCED_FACE('',(#8458),#2683,.F.); #149626=ADVANCED_FACE('',(#8459),#2684,.F.); #149627=ADVANCED_FACE('',(#8460),#2685,.F.); #149628=ADVANCED_FACE('',(#8461),#2686,.F.); #149629=ADVANCED_FACE('',(#8462),#2687,.F.); #149630=ADVANCED_FACE('',(#8463),#2688,.F.); #149631=ADVANCED_FACE('',(#8464),#2689,.F.); #149632=ADVANCED_FACE('',(#8465),#2690,.F.); #149633=ADVANCED_FACE('',(#8466),#145718,.F.); #149634=ADVANCED_FACE('',(#8467),#2691,.F.); #149635=ADVANCED_FACE('',(#8468),#145719,.F.); #149636=ADVANCED_FACE('',(#8469),#2692,.F.); #149637=ADVANCED_FACE('',(#8470),#2693,.F.); #149638=ADVANCED_FACE('',(#8471),#2694,.F.); #149639=ADVANCED_FACE('',(#8472),#2695,.F.); #149640=ADVANCED_FACE('',(#8473),#2696,.F.); #149641=ADVANCED_FACE('',(#8474),#2697,.F.); #149642=ADVANCED_FACE('',(#8475),#2698,.F.); #149643=ADVANCED_FACE('',(#8476),#145720,.F.); #149644=ADVANCED_FACE('',(#8477),#2699,.F.); #149645=ADVANCED_FACE('',(#8478),#2700,.F.); #149646=ADVANCED_FACE('',(#8479),#2701,.F.); #149647=ADVANCED_FACE('',(#8480),#2702,.F.); #149648=ADVANCED_FACE('',(#8481),#145721,.T.); #149649=ADVANCED_FACE('',(#8482),#2703,.F.); #149650=ADVANCED_FACE('',(#8483),#2704,.F.); #149651=ADVANCED_FACE('',(#8484),#145722,.F.); #149652=ADVANCED_FACE('',(#8485),#2705,.F.); #149653=ADVANCED_FACE('',(#8486),#145723,.F.); #149654=ADVANCED_FACE('',(#8487),#2706,.F.); #149655=ADVANCED_FACE('',(#8488),#2707,.F.); #149656=ADVANCED_FACE('',(#8489),#2708,.F.); #149657=ADVANCED_FACE('',(#8490),#2709,.F.); #149658=ADVANCED_FACE('',(#8491),#2710,.F.); #149659=ADVANCED_FACE('',(#8492),#2711,.F.); #149660=ADVANCED_FACE('',(#8493),#2712,.F.); #149661=ADVANCED_FACE('',(#8494),#2713,.F.); #149662=ADVANCED_FACE('',(#8495),#2714,.F.); #149663=ADVANCED_FACE('',(#8496),#2715,.F.); #149664=ADVANCED_FACE('',(#8497),#145724,.F.); #149665=ADVANCED_FACE('',(#8498),#2716,.F.); #149666=ADVANCED_FACE('',(#8499),#2717,.F.); #149667=ADVANCED_FACE('',(#8500),#2718,.F.); #149668=ADVANCED_FACE('',(#8501),#2719,.F.); #149669=ADVANCED_FACE('',(#8502),#2720,.F.); #149670=ADVANCED_FACE('',(#8503),#2721,.F.); #149671=ADVANCED_FACE('',(#8504),#2722,.F.); #149672=ADVANCED_FACE('',(#8505),#2723,.F.); #149673=ADVANCED_FACE('',(#8506),#2724,.F.); #149674=ADVANCED_FACE('',(#8507),#2725,.F.); #149675=ADVANCED_FACE('',(#8508),#2726,.F.); #149676=ADVANCED_FACE('',(#8509),#2727,.F.); #149677=ADVANCED_FACE('',(#8510),#2728,.T.); #149678=ADVANCED_FACE('',(#8511),#2729,.F.); #149679=ADVANCED_FACE('',(#8512),#145725,.T.); #149680=ADVANCED_FACE('',(#8513),#145726,.T.); #149681=ADVANCED_FACE('',(#8514),#145727,.T.); #149682=ADVANCED_FACE('',(#8515),#2730,.F.); #149683=ADVANCED_FACE('',(#8516),#2731,.F.); #149684=ADVANCED_FACE('',(#8517),#2732,.F.); #149685=ADVANCED_FACE('',(#8518),#2733,.F.); #149686=ADVANCED_FACE('',(#8519),#145728,.F.); #149687=ADVANCED_FACE('',(#8520),#2734,.F.); #149688=ADVANCED_FACE('',(#8521),#145729,.F.); #149689=ADVANCED_FACE('',(#8522),#145730,.F.); #149690=ADVANCED_FACE('',(#8523),#2735,.F.); #149691=ADVANCED_FACE('',(#8524),#2736,.F.); #149692=ADVANCED_FACE('',(#8525),#2737,.F.); #149693=ADVANCED_FACE('',(#8526),#145731,.F.); #149694=ADVANCED_FACE('',(#8527),#2738,.F.); #149695=ADVANCED_FACE('',(#8528),#2739,.F.); #149696=ADVANCED_FACE('',(#8529),#145732,.F.); #149697=ADVANCED_FACE('',(#8530),#145733,.F.); #149698=ADVANCED_FACE('',(#8531),#2740,.F.); #149699=ADVANCED_FACE('',(#8532),#145734,.F.); #149700=ADVANCED_FACE('',(#8533),#145735,.F.); #149701=ADVANCED_FACE('',(#8534),#145736,.F.); #149702=ADVANCED_FACE('',(#8535),#145737,.F.); #149703=ADVANCED_FACE('',(#8536),#145738,.F.); #149704=ADVANCED_FACE('',(#8537),#2741,.F.); #149705=ADVANCED_FACE('',(#8538),#2742,.F.); #149706=ADVANCED_FACE('',(#8539),#2743,.F.); #149707=ADVANCED_FACE('',(#8540),#2744,.F.); #149708=ADVANCED_FACE('',(#8541),#145739,.F.); #149709=ADVANCED_FACE('',(#8542),#2745,.F.); #149710=ADVANCED_FACE('',(#8543),#2746,.F.); #149711=ADVANCED_FACE('',(#8544),#2747,.F.); #149712=ADVANCED_FACE('',(#8545),#145740,.F.); #149713=ADVANCED_FACE('',(#8546),#145741,.F.); #149714=ADVANCED_FACE('',(#8547),#2748,.F.); #149715=ADVANCED_FACE('',(#8548),#2749,.F.); #149716=ADVANCED_FACE('',(#8549),#145742,.F.); #149717=ADVANCED_FACE('',(#8550),#2750,.F.); #149718=ADVANCED_FACE('',(#8551),#145743,.F.); #149719=ADVANCED_FACE('',(#8552),#2751,.F.); #149720=ADVANCED_FACE('',(#8553),#2752,.F.); #149721=ADVANCED_FACE('',(#8554),#2753,.F.); #149722=ADVANCED_FACE('',(#8555),#145744,.F.); #149723=ADVANCED_FACE('',(#8556),#2754,.F.); #149724=ADVANCED_FACE('',(#8557),#2755,.F.); #149725=ADVANCED_FACE('',(#8558),#2756,.F.); #149726=ADVANCED_FACE('',(#8559),#2757,.F.); #149727=ADVANCED_FACE('',(#8560),#2758,.F.); #149728=ADVANCED_FACE('',(#8561),#2759,.F.); #149729=ADVANCED_FACE('',(#8562),#2760,.F.); #149730=ADVANCED_FACE('',(#8563),#2761,.F.); #149731=ADVANCED_FACE('',(#8564),#145745,.F.); #149732=ADVANCED_FACE('',(#8565),#2762,.F.); #149733=ADVANCED_FACE('',(#8566),#2763,.F.); #149734=ADVANCED_FACE('',(#8567),#2764,.F.); #149735=ADVANCED_FACE('',(#8568),#2765,.F.); #149736=ADVANCED_FACE('',(#8569),#2766,.F.); #149737=ADVANCED_FACE('',(#8570),#2767,.F.); #149738=ADVANCED_FACE('',(#8571),#2768,.F.); #149739=ADVANCED_FACE('',(#8572),#2769,.F.); #149740=ADVANCED_FACE('',(#8573),#145746,.F.); #149741=ADVANCED_FACE('',(#8574),#145747,.F.); #149742=ADVANCED_FACE('',(#8575),#145748,.F.); #149743=ADVANCED_FACE('',(#8576),#2770,.F.); #149744=ADVANCED_FACE('',(#8577),#2771,.F.); #149745=ADVANCED_FACE('',(#8578),#145749,.F.); #149746=ADVANCED_FACE('',(#8579),#145750,.F.); #149747=ADVANCED_FACE('',(#8580),#2772,.F.); #149748=ADVANCED_FACE('',(#8581),#2773,.F.); #149749=ADVANCED_FACE('',(#8582),#145751,.F.); #149750=ADVANCED_FACE('',(#8583),#145752,.F.); #149751=ADVANCED_FACE('',(#8584),#2774,.F.); #149752=ADVANCED_FACE('',(#8585),#145753,.F.); #149753=ADVANCED_FACE('',(#8586),#2775,.F.); #149754=ADVANCED_FACE('',(#8587),#145754,.F.); #149755=ADVANCED_FACE('',(#8588),#2776,.F.); #149756=ADVANCED_FACE('',(#8589),#2777,.F.); #149757=ADVANCED_FACE('',(#8590),#2778,.F.); #149758=ADVANCED_FACE('',(#8591),#2779,.F.); #149759=ADVANCED_FACE('',(#8592),#145755,.T.); #149760=ADVANCED_FACE('',(#8593),#2780,.F.); #149761=ADVANCED_FACE('',(#8594),#145756,.F.); #149762=ADVANCED_FACE('',(#8595),#145757,.F.); #149763=ADVANCED_FACE('',(#8596),#2781,.F.); #149764=ADVANCED_FACE('',(#8597),#2782,.F.); #149765=ADVANCED_FACE('',(#8598,#969),#2783,.T.); #149766=ADVANCED_FACE('',(#8599),#2784,.F.); #149767=ADVANCED_FACE('',(#8600),#145758,.F.); #149768=ADVANCED_FACE('',(#8601),#2785,.F.); #149769=ADVANCED_FACE('',(#8602),#2786,.F.); #149770=ADVANCED_FACE('',(#8603),#2787,.F.); #149771=ADVANCED_FACE('',(#8604),#2788,.F.); #149772=ADVANCED_FACE('',(#8605),#145759,.T.); #149773=ADVANCED_FACE('',(#8606),#2789,.F.); #149774=ADVANCED_FACE('',(#8607),#2790,.F.); #149775=ADVANCED_FACE('',(#8608),#145760,.F.); #149776=ADVANCED_FACE('',(#8609),#2791,.F.); #149777=ADVANCED_FACE('',(#8610),#2792,.F.); #149778=ADVANCED_FACE('',(#8611),#2793,.T.); #149779=ADVANCED_FACE('',(#8612),#145761,.T.); #149780=ADVANCED_FACE('',(#8613),#2794,.F.); #149781=ADVANCED_FACE('',(#8614),#2795,.F.); #149782=ADVANCED_FACE('',(#8615),#2796,.F.); #149783=ADVANCED_FACE('',(#8616),#2797,.F.); #149784=ADVANCED_FACE('',(#8617),#2798,.F.); #149785=ADVANCED_FACE('',(#8618),#2799,.F.); #149786=ADVANCED_FACE('',(#8619),#2800,.F.); #149787=ADVANCED_FACE('',(#8620),#2801,.F.); #149788=ADVANCED_FACE('',(#8621),#2802,.F.); #149789=ADVANCED_FACE('',(#8622),#2803,.F.); #149790=ADVANCED_FACE('',(#8623),#2804,.F.); #149791=ADVANCED_FACE('',(#8624),#2805,.F.); #149792=ADVANCED_FACE('',(#8625),#2806,.F.); #149793=ADVANCED_FACE('',(#8626),#2807,.F.); #149794=ADVANCED_FACE('',(#8627),#2808,.F.); #149795=ADVANCED_FACE('',(#8628),#2809,.F.); #149796=ADVANCED_FACE('',(#8629),#2810,.F.); #149797=ADVANCED_FACE('',(#8630),#2811,.F.); #149798=ADVANCED_FACE('',(#8631),#2812,.F.); #149799=ADVANCED_FACE('',(#8632),#2813,.F.); #149800=ADVANCED_FACE('',(#8633),#2814,.F.); #149801=ADVANCED_FACE('',(#8634),#2815,.F.); #149802=ADVANCED_FACE('',(#8635),#2816,.F.); #149803=ADVANCED_FACE('',(#8636),#2817,.F.); #149804=ADVANCED_FACE('',(#8637),#2818,.F.); #149805=ADVANCED_FACE('',(#8638),#2819,.F.); #149806=ADVANCED_FACE('',(#8639),#2820,.F.); #149807=ADVANCED_FACE('',(#8640),#2821,.F.); #149808=ADVANCED_FACE('',(#8641),#145762,.F.); #149809=ADVANCED_FACE('',(#8642),#2822,.F.); #149810=ADVANCED_FACE('',(#8643),#2823,.F.); #149811=ADVANCED_FACE('',(#8644),#2824,.F.); #149812=ADVANCED_FACE('',(#8645),#2825,.F.); #149813=ADVANCED_FACE('',(#8646),#145763,.F.); #149814=ADVANCED_FACE('',(#8647),#2826,.F.); #149815=ADVANCED_FACE('',(#8648),#2827,.F.); #149816=ADVANCED_FACE('',(#8649),#2828,.F.); #149817=ADVANCED_FACE('',(#8650),#2829,.F.); #149818=ADVANCED_FACE('',(#8651),#2830,.F.); #149819=ADVANCED_FACE('',(#8652),#2831,.F.); #149820=ADVANCED_FACE('',(#8653),#2832,.F.); #149821=ADVANCED_FACE('',(#8654),#2833,.F.); #149822=ADVANCED_FACE('',(#8655),#2834,.F.); #149823=ADVANCED_FACE('',(#8656),#2835,.F.); #149824=ADVANCED_FACE('',(#8657),#2836,.F.); #149825=ADVANCED_FACE('',(#8658),#2837,.F.); #149826=ADVANCED_FACE('',(#8659),#2838,.F.); #149827=ADVANCED_FACE('',(#8660),#2839,.F.); #149828=ADVANCED_FACE('',(#8661),#2840,.F.); #149829=ADVANCED_FACE('',(#8662),#2841,.F.); #149830=ADVANCED_FACE('',(#8663),#2842,.F.); #149831=ADVANCED_FACE('',(#8664),#2843,.F.); #149832=ADVANCED_FACE('',(#8665),#2844,.F.); #149833=ADVANCED_FACE('',(#8666),#2845,.F.); #149834=ADVANCED_FACE('',(#8667),#2846,.F.); #149835=ADVANCED_FACE('',(#8668),#2847,.F.); #149836=ADVANCED_FACE('',(#8669),#2848,.F.); #149837=ADVANCED_FACE('',(#8670),#2849,.F.); #149838=ADVANCED_FACE('',(#8671),#145764,.F.); #149839=ADVANCED_FACE('',(#8672),#2850,.F.); #149840=ADVANCED_FACE('',(#8673),#2851,.F.); #149841=ADVANCED_FACE('',(#8674),#2852,.F.); #149842=ADVANCED_FACE('',(#8675),#2853,.F.); #149843=ADVANCED_FACE('',(#8676),#2854,.F.); #149844=ADVANCED_FACE('',(#8677),#2855,.F.); #149845=ADVANCED_FACE('',(#8678),#2856,.F.); #149846=ADVANCED_FACE('',(#8679),#2857,.F.); #149847=ADVANCED_FACE('',(#8680),#2858,.F.); #149848=ADVANCED_FACE('',(#8681),#2859,.F.); #149849=ADVANCED_FACE('',(#8682),#2860,.F.); #149850=ADVANCED_FACE('',(#8683),#2861,.F.); #149851=ADVANCED_FACE('',(#8684),#2862,.F.); #149852=ADVANCED_FACE('',(#8685),#2863,.F.); #149853=ADVANCED_FACE('',(#8686),#2864,.F.); #149854=ADVANCED_FACE('',(#8687),#2865,.F.); #149855=ADVANCED_FACE('',(#8688),#2866,.F.); #149856=ADVANCED_FACE('',(#8689),#2867,.F.); #149857=ADVANCED_FACE('',(#8690),#2868,.F.); #149858=ADVANCED_FACE('',(#8691),#2869,.F.); #149859=ADVANCED_FACE('',(#8692),#2870,.F.); #149860=ADVANCED_FACE('',(#8693),#2871,.F.); #149861=ADVANCED_FACE('',(#8694),#2872,.F.); #149862=ADVANCED_FACE('',(#8695),#2873,.F.); #149863=ADVANCED_FACE('',(#8696),#2874,.F.); #149864=ADVANCED_FACE('',(#8697),#145765,.F.); #149865=ADVANCED_FACE('',(#8698),#2875,.F.); #149866=ADVANCED_FACE('',(#8699),#2876,.F.); #149867=ADVANCED_FACE('',(#8700),#2877,.F.); #149868=ADVANCED_FACE('',(#8701),#145766,.T.); #149869=ADVANCED_FACE('',(#8702),#145767,.F.); #149870=ADVANCED_FACE('',(#8703),#145768,.F.); #149871=ADVANCED_FACE('',(#8704),#2878,.F.); #149872=ADVANCED_FACE('',(#8705),#2879,.F.); #149873=ADVANCED_FACE('',(#8706),#2880,.F.); #149874=ADVANCED_FACE('',(#8707),#2881,.F.); #149875=ADVANCED_FACE('',(#8708),#2882,.F.); #149876=ADVANCED_FACE('',(#8709),#2883,.F.); #149877=ADVANCED_FACE('',(#8710),#2884,.F.); #149878=ADVANCED_FACE('',(#8711),#2885,.F.); #149879=ADVANCED_FACE('',(#8712),#2886,.F.); #149880=ADVANCED_FACE('',(#8713),#2887,.F.); #149881=ADVANCED_FACE('',(#8714),#2888,.F.); #149882=ADVANCED_FACE('',(#8715),#2889,.F.); #149883=ADVANCED_FACE('',(#8716),#2890,.F.); #149884=ADVANCED_FACE('',(#8717),#2891,.F.); #149885=ADVANCED_FACE('',(#8718),#2892,.F.); #149886=ADVANCED_FACE('',(#8719),#2893,.F.); #149887=ADVANCED_FACE('',(#8720),#2894,.F.); #149888=ADVANCED_FACE('',(#8721),#2895,.F.); #149889=ADVANCED_FACE('',(#8722),#2896,.F.); #149890=ADVANCED_FACE('',(#8723),#2897,.F.); #149891=ADVANCED_FACE('',(#8724),#2898,.F.); #149892=ADVANCED_FACE('',(#8725),#2899,.F.); #149893=ADVANCED_FACE('',(#8726),#2900,.F.); #149894=ADVANCED_FACE('',(#8727),#145769,.F.); #149895=ADVANCED_FACE('',(#8728),#2901,.F.); #149896=ADVANCED_FACE('',(#8729),#2902,.F.); #149897=ADVANCED_FACE('',(#8730),#2903,.F.); #149898=ADVANCED_FACE('',(#8731),#2904,.F.); #149899=ADVANCED_FACE('',(#8732),#2905,.F.); #149900=ADVANCED_FACE('',(#8733),#2906,.F.); #149901=ADVANCED_FACE('',(#8734),#2907,.F.); #149902=ADVANCED_FACE('',(#8735),#2908,.F.); #149903=ADVANCED_FACE('',(#8736),#2909,.F.); #149904=ADVANCED_FACE('',(#8737),#2910,.F.); #149905=ADVANCED_FACE('',(#8738),#2911,.F.); #149906=ADVANCED_FACE('',(#8739),#2912,.F.); #149907=ADVANCED_FACE('',(#8740),#2913,.F.); #149908=ADVANCED_FACE('',(#8741),#2914,.F.); #149909=ADVANCED_FACE('',(#8742),#2915,.F.); #149910=ADVANCED_FACE('',(#8743),#2916,.F.); #149911=ADVANCED_FACE('',(#8744),#2917,.F.); #149912=ADVANCED_FACE('',(#8745),#2918,.F.); #149913=ADVANCED_FACE('',(#8746),#2919,.F.); #149914=ADVANCED_FACE('',(#8747),#2920,.F.); #149915=ADVANCED_FACE('',(#8748),#2921,.F.); #149916=ADVANCED_FACE('',(#8749),#2922,.F.); #149917=ADVANCED_FACE('',(#8750),#2923,.F.); #149918=ADVANCED_FACE('',(#8751),#2924,.F.); #149919=ADVANCED_FACE('',(#8752),#145770,.F.); #149920=ADVANCED_FACE('',(#8753),#2925,.F.); #149921=ADVANCED_FACE('',(#8754),#2926,.F.); #149922=ADVANCED_FACE('',(#8755),#2927,.F.); #149923=ADVANCED_FACE('',(#8756),#145771,.F.); #149924=ADVANCED_FACE('',(#8757),#2928,.F.); #149925=ADVANCED_FACE('',(#8758),#2929,.F.); #149926=ADVANCED_FACE('',(#8759,#970),#2930,.T.); #149927=ADVANCED_FACE('',(#8760),#2931,.F.); #149928=ADVANCED_FACE('',(#8761),#2932,.F.); #149929=ADVANCED_FACE('',(#8762),#2933,.F.); #149930=ADVANCED_FACE('',(#8763),#145772,.T.); #149931=ADVANCED_FACE('',(#8764),#145773,.T.); #149932=ADVANCED_FACE('',(#8765),#145774,.T.); #149933=ADVANCED_FACE('',(#8766),#145775,.T.); #149934=ADVANCED_FACE('',(#8767),#145776,.T.); #149935=ADVANCED_FACE('',(#8768),#2934,.F.); #149936=ADVANCED_FACE('',(#8769),#145777,.T.); #149937=ADVANCED_FACE('',(#8770),#145778,.T.); #149938=ADVANCED_FACE('',(#8771),#145779,.T.); #149939=ADVANCED_FACE('',(#8772),#2935,.F.); #149940=ADVANCED_FACE('',(#8773),#2936,.F.); #149941=ADVANCED_FACE('',(#8774),#2937,.F.); #149942=ADVANCED_FACE('',(#8775),#2938,.F.); #149943=ADVANCED_FACE('',(#8776),#2939,.F.); #149944=ADVANCED_FACE('',(#8777),#2940,.F.); #149945=ADVANCED_FACE('',(#8778),#2941,.F.); #149946=ADVANCED_FACE('',(#8779),#2942,.F.); #149947=ADVANCED_FACE('',(#8780),#145780,.F.); #149948=ADVANCED_FACE('',(#8781),#145781,.F.); #149949=ADVANCED_FACE('',(#8782),#145782,.F.); #149950=ADVANCED_FACE('',(#8783),#145783,.F.); #149951=ADVANCED_FACE('',(#8784),#145784,.F.); #149952=ADVANCED_FACE('',(#8785),#2943,.F.); #149953=ADVANCED_FACE('',(#8786),#145785,.F.); #149954=ADVANCED_FACE('',(#8787),#145786,.F.); #149955=ADVANCED_FACE('',(#8788),#145787,.F.); #149956=ADVANCED_FACE('',(#8789),#2944,.F.); #149957=ADVANCED_FACE('',(#8790),#2945,.F.); #149958=ADVANCED_FACE('',(#8791),#2946,.F.); #149959=ADVANCED_FACE('',(#8792),#2947,.F.); #149960=ADVANCED_FACE('',(#8793),#2948,.F.); #149961=ADVANCED_FACE('',(#8794),#145788,.F.); #149962=ADVANCED_FACE('',(#8795),#145789,.F.); #149963=ADVANCED_FACE('',(#8796),#145790,.F.); #149964=ADVANCED_FACE('',(#8797),#2949,.F.); #149965=ADVANCED_FACE('',(#8798,#971),#2950,.T.); #149966=ADVANCED_FACE('',(#8799),#145791,.T.); #149967=ADVANCED_FACE('',(#8800),#145792,.T.); #149968=ADVANCED_FACE('',(#8801),#145793,.T.); #149969=ADVANCED_FACE('',(#8802),#2951,.F.); #149970=ADVANCED_FACE('',(#8803),#2952,.F.); #149971=ADVANCED_FACE('',(#8804),#2953,.F.); #149972=ADVANCED_FACE('',(#8805),#2954,.F.); #149973=ADVANCED_FACE('',(#8806),#2955,.F.); #149974=ADVANCED_FACE('',(#8807),#2956,.F.); #149975=ADVANCED_FACE('',(#8808),#145794,.F.); #149976=ADVANCED_FACE('',(#8809),#2957,.F.); #149977=ADVANCED_FACE('',(#8810),#2958,.F.); #149978=ADVANCED_FACE('',(#8811),#2959,.F.); #149979=ADVANCED_FACE('',(#8812),#2960,.F.); #149980=ADVANCED_FACE('',(#8813),#2961,.F.); #149981=ADVANCED_FACE('',(#8814),#2962,.F.); #149982=ADVANCED_FACE('',(#8815),#2963,.F.); #149983=ADVANCED_FACE('',(#8816),#2964,.F.); #149984=ADVANCED_FACE('',(#8817),#2965,.F.); #149985=ADVANCED_FACE('',(#8818),#2966,.F.); #149986=ADVANCED_FACE('',(#8819),#2967,.F.); #149987=ADVANCED_FACE('',(#8820),#2968,.F.); #149988=ADVANCED_FACE('',(#8821),#2969,.F.); #149989=ADVANCED_FACE('',(#8822),#2970,.F.); #149990=ADVANCED_FACE('',(#8823),#145795,.F.); #149991=ADVANCED_FACE('',(#8824),#145796,.F.); #149992=ADVANCED_FACE('',(#8825),#145797,.F.); #149993=ADVANCED_FACE('',(#8826),#145798,.F.); #149994=ADVANCED_FACE('',(#8827),#145799,.F.); #149995=ADVANCED_FACE('',(#8828),#145800,.F.); #149996=ADVANCED_FACE('',(#8829),#145801,.F.); #149997=ADVANCED_FACE('',(#8830),#2971,.F.); #149998=ADVANCED_FACE('',(#8831),#2972,.F.); #149999=ADVANCED_FACE('',(#8832),#2973,.F.); #150000=ADVANCED_FACE('',(#8833),#2974,.F.); #150001=ADVANCED_FACE('',(#8834),#2975,.F.); #150002=ADVANCED_FACE('',(#8835),#2976,.F.); #150003=ADVANCED_FACE('',(#8836),#2977,.F.); #150004=ADVANCED_FACE('',(#8837),#2978,.F.); #150005=ADVANCED_FACE('',(#8838),#145802,.F.); #150006=ADVANCED_FACE('',(#8839),#2979,.F.); #150007=ADVANCED_FACE('',(#8840),#2980,.F.); #150008=ADVANCED_FACE('',(#8841),#2981,.F.); #150009=ADVANCED_FACE('',(#8842),#2982,.F.); #150010=ADVANCED_FACE('',(#8843),#2983,.F.); #150011=ADVANCED_FACE('',(#8844),#2984,.F.); #150012=ADVANCED_FACE('',(#8845),#2985,.F.); #150013=ADVANCED_FACE('',(#8846),#2986,.F.); #150014=ADVANCED_FACE('',(#8847),#2987,.F.); #150015=ADVANCED_FACE('',(#8848),#2988,.F.); #150016=ADVANCED_FACE('',(#8849),#2989,.F.); #150017=ADVANCED_FACE('',(#8850),#2990,.F.); #150018=ADVANCED_FACE('',(#8851),#2991,.F.); #150019=ADVANCED_FACE('',(#8852),#2992,.F.); #150020=ADVANCED_FACE('',(#8853),#145803,.F.); #150021=ADVANCED_FACE('',(#8854),#2993,.F.); #150022=ADVANCED_FACE('',(#8855),#2994,.F.); #150023=ADVANCED_FACE('',(#8856),#145804,.F.); #150024=ADVANCED_FACE('',(#8857),#2995,.F.); #150025=ADVANCED_FACE('',(#8858),#2996,.F.); #150026=ADVANCED_FACE('',(#8859),#2997,.F.); #150027=ADVANCED_FACE('',(#8860,#972),#2998,.T.); #150028=ADVANCED_FACE('',(#8861),#145805,.T.); #150029=ADVANCED_FACE('',(#8862),#2999,.F.); #150030=ADVANCED_FACE('',(#8863),#145806,.F.); #150031=ADVANCED_FACE('',(#8864),#145807,.F.); #150032=ADVANCED_FACE('',(#8865),#3000,.F.); #150033=ADVANCED_FACE('',(#8866),#3001,.F.); #150034=ADVANCED_FACE('',(#8867),#3002,.F.); #150035=ADVANCED_FACE('',(#8868),#3003,.F.); #150036=ADVANCED_FACE('',(#8869),#3004,.F.); #150037=ADVANCED_FACE('',(#8870),#3005,.F.); #150038=ADVANCED_FACE('',(#8871),#3006,.F.); #150039=ADVANCED_FACE('',(#8872),#3007,.F.); #150040=ADVANCED_FACE('',(#8873),#3008,.F.); #150041=ADVANCED_FACE('',(#8874),#145808,.F.); #150042=ADVANCED_FACE('',(#8875),#3009,.F.); #150043=ADVANCED_FACE('',(#8876),#3010,.F.); #150044=ADVANCED_FACE('',(#8877),#3011,.F.); #150045=ADVANCED_FACE('',(#8878),#3012,.F.); #150046=ADVANCED_FACE('',(#8879),#3013,.F.); #150047=ADVANCED_FACE('',(#8880),#3014,.F.); #150048=ADVANCED_FACE('',(#8881),#145809,.F.); #150049=ADVANCED_FACE('',(#8882),#3015,.F.); #150050=ADVANCED_FACE('',(#8883),#3016,.F.); #150051=ADVANCED_FACE('',(#8884),#3017,.F.); #150052=ADVANCED_FACE('',(#8885),#145810,.F.); #150053=ADVANCED_FACE('',(#8886),#145811,.F.); #150054=ADVANCED_FACE('',(#8887),#3018,.F.); #150055=ADVANCED_FACE('',(#8888),#3019,.F.); #150056=ADVANCED_FACE('',(#8889),#3020,.F.); #150057=ADVANCED_FACE('',(#8890),#3021,.F.); #150058=ADVANCED_FACE('',(#8891),#3022,.F.); #150059=ADVANCED_FACE('',(#8892),#3023,.F.); #150060=ADVANCED_FACE('',(#8893),#3024,.F.); #150061=ADVANCED_FACE('',(#8894),#3025,.F.); #150062=ADVANCED_FACE('',(#8895),#3026,.F.); #150063=ADVANCED_FACE('',(#8896),#3027,.F.); #150064=ADVANCED_FACE('',(#8897),#3028,.F.); #150065=ADVANCED_FACE('',(#8898),#3029,.F.); #150066=ADVANCED_FACE('',(#8899),#3030,.F.); #150067=ADVANCED_FACE('',(#8900),#3031,.F.); #150068=ADVANCED_FACE('',(#8901),#3032,.F.); #150069=ADVANCED_FACE('',(#8902),#3033,.F.); #150070=ADVANCED_FACE('',(#8903),#3034,.F.); #150071=ADVANCED_FACE('',(#8904),#3035,.F.); #150072=ADVANCED_FACE('',(#8905),#3036,.F.); #150073=ADVANCED_FACE('',(#8906),#3037,.F.); #150074=ADVANCED_FACE('',(#8907),#3038,.F.); #150075=ADVANCED_FACE('',(#8908),#3039,.F.); #150076=ADVANCED_FACE('',(#8909),#3040,.F.); #150077=ADVANCED_FACE('',(#8910),#3041,.F.); #150078=ADVANCED_FACE('',(#8911),#3042,.F.); #150079=ADVANCED_FACE('',(#8912),#145812,.F.); #150080=ADVANCED_FACE('',(#8913),#3043,.F.); #150081=ADVANCED_FACE('',(#8914),#145813,.F.); #150082=ADVANCED_FACE('',(#8915),#145814,.F.); #150083=ADVANCED_FACE('',(#8916),#145815,.F.); #150084=ADVANCED_FACE('',(#8917),#3044,.F.); #150085=ADVANCED_FACE('',(#8918),#145816,.T.); #150086=ADVANCED_FACE('',(#8919),#145817,.T.); #150087=ADVANCED_FACE('',(#8920),#145818,.T.); #150088=ADVANCED_FACE('',(#8921),#145819,.T.); #150089=ADVANCED_FACE('',(#8922),#3045,.F.); #150090=ADVANCED_FACE('',(#8923),#145820,.F.); #150091=ADVANCED_FACE('',(#8924),#3046,.F.); #150092=ADVANCED_FACE('',(#8925),#3047,.F.); #150093=ADVANCED_FACE('',(#8926),#3048,.F.); #150094=ADVANCED_FACE('',(#8927),#3049,.F.); #150095=ADVANCED_FACE('',(#8928),#3050,.F.); #150096=ADVANCED_FACE('',(#8929),#3051,.F.); #150097=ADVANCED_FACE('',(#8930),#3052,.F.); #150098=ADVANCED_FACE('',(#8931),#3053,.F.); #150099=ADVANCED_FACE('',(#8932),#3054,.F.); #150100=ADVANCED_FACE('',(#8933),#3055,.F.); #150101=ADVANCED_FACE('',(#8934),#3056,.F.); #150102=ADVANCED_FACE('',(#8935),#3057,.F.); #150103=ADVANCED_FACE('',(#8936),#3058,.F.); #150104=ADVANCED_FACE('',(#8937),#3059,.F.); #150105=ADVANCED_FACE('',(#8938),#3060,.F.); #150106=ADVANCED_FACE('',(#8939),#3061,.F.); #150107=ADVANCED_FACE('',(#8940),#3062,.F.); #150108=ADVANCED_FACE('',(#8941),#3063,.F.); #150109=ADVANCED_FACE('',(#8942),#3064,.F.); #150110=ADVANCED_FACE('',(#8943),#3065,.F.); #150111=ADVANCED_FACE('',(#8944),#3066,.F.); #150112=ADVANCED_FACE('',(#8945),#3067,.F.); #150113=ADVANCED_FACE('',(#8946),#145821,.F.); #150114=ADVANCED_FACE('',(#8947),#3068,.F.); #150115=ADVANCED_FACE('',(#8948),#3069,.F.); #150116=ADVANCED_FACE('',(#8949),#3070,.F.); #150117=ADVANCED_FACE('',(#8950),#3071,.F.); #150118=ADVANCED_FACE('',(#8951),#3072,.F.); #150119=ADVANCED_FACE('',(#8952),#145822,.F.); #150120=ADVANCED_FACE('',(#8953),#3073,.F.); #150121=ADVANCED_FACE('',(#8954),#3074,.F.); #150122=ADVANCED_FACE('',(#8955),#3075,.F.); #150123=ADVANCED_FACE('',(#8956),#3076,.F.); #150124=ADVANCED_FACE('',(#8957),#3077,.F.); #150125=ADVANCED_FACE('',(#8958),#3078,.F.); #150126=ADVANCED_FACE('',(#8959),#3079,.F.); #150127=ADVANCED_FACE('',(#8960),#3080,.F.); #150128=ADVANCED_FACE('',(#8961),#3081,.F.); #150129=ADVANCED_FACE('',(#8962),#3082,.F.); #150130=ADVANCED_FACE('',(#8963),#3083,.F.); #150131=ADVANCED_FACE('',(#8964),#3084,.F.); #150132=ADVANCED_FACE('',(#8965),#3085,.F.); #150133=ADVANCED_FACE('',(#8966),#3086,.F.); #150134=ADVANCED_FACE('',(#8967),#3087,.F.); #150135=ADVANCED_FACE('',(#8968),#3088,.F.); #150136=ADVANCED_FACE('',(#8969),#3089,.F.); #150137=ADVANCED_FACE('',(#8970),#3090,.F.); #150138=ADVANCED_FACE('',(#8971),#145823,.F.); #150139=ADVANCED_FACE('',(#8972),#145824,.F.); #150140=ADVANCED_FACE('',(#8973),#3091,.F.); #150141=ADVANCED_FACE('',(#8974),#145825,.F.); #150142=ADVANCED_FACE('',(#8975),#145826,.F.); #150143=ADVANCED_FACE('',(#8976),#145827,.F.); #150144=ADVANCED_FACE('',(#8977),#145828,.F.); #150145=ADVANCED_FACE('',(#8978),#3092,.F.); #150146=ADVANCED_FACE('',(#8979),#145829,.T.); #150147=ADVANCED_FACE('',(#8980),#145830,.T.); #150148=ADVANCED_FACE('',(#8981),#145831,.T.); #150149=ADVANCED_FACE('',(#8982),#145832,.T.); #150150=ADVANCED_FACE('',(#8983),#3093,.T.); #150151=ADVANCED_FACE('',(#8984),#145833,.T.); #150152=ADVANCED_FACE('',(#8985),#145834,.T.); #150153=ADVANCED_FACE('',(#8986),#145835,.T.); #150154=ADVANCED_FACE('',(#8987),#145836,.T.); #150155=ADVANCED_FACE('',(#8988),#145837,.T.); #150156=ADVANCED_FACE('',(#8989),#145838,.T.); #150157=ADVANCED_FACE('',(#8990),#145839,.T.); #150158=ADVANCED_FACE('',(#8991),#145840,.F.); #150159=ADVANCED_FACE('',(#8992),#145841,.F.); #150160=ADVANCED_FACE('',(#8993),#145842,.F.); #150161=ADVANCED_FACE('',(#8994),#145843,.F.); #150162=ADVANCED_FACE('',(#8995),#145844,.F.); #150163=ADVANCED_FACE('',(#8996),#145845,.F.); #150164=ADVANCED_FACE('',(#8997,#973),#3094,.T.); #150165=ADVANCED_FACE('',(#8998),#145846,.F.); #150166=ADVANCED_FACE('',(#8999),#145847,.F.); #150167=ADVANCED_FACE('',(#9000),#3095,.F.); #150168=ADVANCED_FACE('',(#9001),#145848,.T.); #150169=ADVANCED_FACE('',(#9002),#145849,.T.); #150170=ADVANCED_FACE('',(#9003),#3096,.F.); #150171=ADVANCED_FACE('',(#9004),#3097,.F.); #150172=ADVANCED_FACE('',(#9005),#3098,.F.); #150173=ADVANCED_FACE('',(#9006),#145850,.F.); #150174=ADVANCED_FACE('',(#9007),#3099,.F.); #150175=ADVANCED_FACE('',(#9008),#3100,.F.); #150176=ADVANCED_FACE('',(#9009),#145851,.F.); #150177=ADVANCED_FACE('',(#9010),#145852,.F.); #150178=ADVANCED_FACE('',(#9011),#145853,.T.); #150179=ADVANCED_FACE('',(#9012),#3101,.F.); #150180=ADVANCED_FACE('',(#9013),#145854,.F.); #150181=ADVANCED_FACE('',(#9014),#145855,.F.); #150182=ADVANCED_FACE('',(#9015),#145856,.F.); #150183=ADVANCED_FACE('',(#9016),#3102,.F.); #150184=ADVANCED_FACE('',(#9017),#3103,.F.); #150185=ADVANCED_FACE('',(#9018),#3104,.F.); #150186=ADVANCED_FACE('',(#9019),#145857,.F.); #150187=ADVANCED_FACE('',(#9020),#3105,.F.); #150188=ADVANCED_FACE('',(#9021),#145858,.F.); #150189=ADVANCED_FACE('',(#9022),#3106,.F.); #150190=ADVANCED_FACE('',(#9023),#3107,.F.); #150191=ADVANCED_FACE('',(#9024),#3108,.F.); #150192=ADVANCED_FACE('',(#9025),#3109,.F.); #150193=ADVANCED_FACE('',(#9026),#3110,.F.); #150194=ADVANCED_FACE('',(#9027),#3111,.T.); #150195=ADVANCED_FACE('',(#9028),#145859,.T.); #150196=ADVANCED_FACE('',(#9029),#145860,.T.); #150197=ADVANCED_FACE('',(#9030),#3112,.F.); #150198=ADVANCED_FACE('',(#9031),#3113,.F.); #150199=ADVANCED_FACE('',(#9032),#3114,.F.); #150200=ADVANCED_FACE('',(#9033),#3115,.F.); #150201=ADVANCED_FACE('',(#9034),#3116,.F.); #150202=ADVANCED_FACE('',(#9035),#3117,.F.); #150203=ADVANCED_FACE('',(#9036),#3118,.F.); #150204=ADVANCED_FACE('',(#9037),#3119,.F.); #150205=ADVANCED_FACE('',(#9038),#3120,.F.); #150206=ADVANCED_FACE('',(#9039),#3121,.F.); #150207=ADVANCED_FACE('',(#9040),#3122,.F.); #150208=ADVANCED_FACE('',(#9041),#3123,.F.); #150209=ADVANCED_FACE('',(#9042),#3124,.F.); #150210=ADVANCED_FACE('',(#9043),#3125,.F.); #150211=ADVANCED_FACE('',(#9044),#3126,.F.); #150212=ADVANCED_FACE('',(#9045),#3127,.F.); #150213=ADVANCED_FACE('',(#9046),#3128,.F.); #150214=ADVANCED_FACE('',(#9047),#3129,.F.); #150215=ADVANCED_FACE('',(#9048),#3130,.F.); #150216=ADVANCED_FACE('',(#9049),#3131,.F.); #150217=ADVANCED_FACE('',(#9050),#3132,.F.); #150218=ADVANCED_FACE('',(#9051),#3133,.F.); #150219=ADVANCED_FACE('',(#9052),#3134,.F.); #150220=ADVANCED_FACE('',(#9053),#3135,.F.); #150221=ADVANCED_FACE('',(#9054),#3136,.F.); #150222=ADVANCED_FACE('',(#9055),#3137,.F.); #150223=ADVANCED_FACE('',(#9056),#3138,.F.); #150224=ADVANCED_FACE('',(#9057),#3139,.F.); #150225=ADVANCED_FACE('',(#9058),#3140,.F.); #150226=ADVANCED_FACE('',(#9059),#3141,.F.); #150227=ADVANCED_FACE('',(#9060),#3142,.F.); #150228=ADVANCED_FACE('',(#9061),#3143,.F.); #150229=ADVANCED_FACE('',(#9062),#3144,.F.); #150230=ADVANCED_FACE('',(#9063),#3145,.F.); #150231=ADVANCED_FACE('',(#9064),#145861,.F.); #150232=ADVANCED_FACE('',(#9065),#3146,.F.); #150233=ADVANCED_FACE('',(#9066),#3147,.F.); #150234=ADVANCED_FACE('',(#9067),#3148,.F.); #150235=ADVANCED_FACE('',(#9068),#3149,.F.); #150236=ADVANCED_FACE('',(#9069),#3150,.F.); #150237=ADVANCED_FACE('',(#9070),#3151,.F.); #150238=ADVANCED_FACE('',(#9071),#3152,.F.); #150239=ADVANCED_FACE('',(#9072),#3153,.F.); #150240=ADVANCED_FACE('',(#9073),#3154,.F.); #150241=ADVANCED_FACE('',(#9074),#3155,.F.); #150242=ADVANCED_FACE('',(#9075),#3156,.F.); #150243=ADVANCED_FACE('',(#9076),#3157,.F.); #150244=ADVANCED_FACE('',(#9077),#3158,.F.); #150245=ADVANCED_FACE('',(#9078),#3159,.F.); #150246=ADVANCED_FACE('',(#9079),#3160,.F.); #150247=ADVANCED_FACE('',(#9080),#3161,.F.); #150248=ADVANCED_FACE('',(#9081),#3162,.F.); #150249=ADVANCED_FACE('',(#9082),#3163,.F.); #150250=ADVANCED_FACE('',(#9083),#3164,.F.); #150251=ADVANCED_FACE('',(#9084),#3165,.F.); #150252=ADVANCED_FACE('',(#9085),#3166,.F.); #150253=ADVANCED_FACE('',(#9086),#3167,.F.); #150254=ADVANCED_FACE('',(#9087),#3168,.F.); #150255=ADVANCED_FACE('',(#9088),#145862,.F.); #150256=ADVANCED_FACE('',(#9089),#3169,.F.); #150257=ADVANCED_FACE('',(#9090),#3170,.F.); #150258=ADVANCED_FACE('',(#9091),#3171,.F.); #150259=ADVANCED_FACE('',(#9092),#3172,.F.); #150260=ADVANCED_FACE('',(#9093),#145863,.F.); #150261=ADVANCED_FACE('',(#9094),#145864,.F.); #150262=ADVANCED_FACE('',(#9095),#3173,.F.); #150263=ADVANCED_FACE('',(#9096),#3174,.F.); #150264=ADVANCED_FACE('',(#9097),#3175,.F.); #150265=ADVANCED_FACE('',(#9098),#3176,.F.); #150266=ADVANCED_FACE('',(#9099),#3177,.F.); #150267=ADVANCED_FACE('',(#9100),#3178,.F.); #150268=ADVANCED_FACE('',(#9101),#3179,.F.); #150269=ADVANCED_FACE('',(#9102),#3180,.F.); #150270=ADVANCED_FACE('',(#9103),#3181,.F.); #150271=ADVANCED_FACE('',(#9104),#3182,.F.); #150272=ADVANCED_FACE('',(#9105),#3183,.F.); #150273=ADVANCED_FACE('',(#9106),#3184,.F.); #150274=ADVANCED_FACE('',(#9107),#3185,.F.); #150275=ADVANCED_FACE('',(#9108),#3186,.F.); #150276=ADVANCED_FACE('',(#9109),#3187,.F.); #150277=ADVANCED_FACE('',(#9110),#3188,.F.); #150278=ADVANCED_FACE('',(#9111),#3189,.F.); #150279=ADVANCED_FACE('',(#9112),#3190,.F.); #150280=ADVANCED_FACE('',(#9113),#3191,.F.); #150281=ADVANCED_FACE('',(#9114),#3192,.F.); #150282=ADVANCED_FACE('',(#9115),#3193,.F.); #150283=ADVANCED_FACE('',(#9116),#3194,.F.); #150284=ADVANCED_FACE('',(#9117),#3195,.F.); #150285=ADVANCED_FACE('',(#9118),#3196,.F.); #150286=ADVANCED_FACE('',(#9119),#145865,.F.); #150287=ADVANCED_FACE('',(#9120),#3197,.F.); #150288=ADVANCED_FACE('',(#9121),#3198,.F.); #150289=ADVANCED_FACE('',(#9122),#3199,.F.); #150290=ADVANCED_FACE('',(#9123),#3200,.F.); #150291=ADVANCED_FACE('',(#9124),#3201,.F.); #150292=ADVANCED_FACE('',(#9125),#3202,.F.); #150293=ADVANCED_FACE('',(#9126),#3203,.F.); #150294=ADVANCED_FACE('',(#9127),#3204,.F.); #150295=ADVANCED_FACE('',(#9128),#3205,.F.); #150296=ADVANCED_FACE('',(#9129),#3206,.F.); #150297=ADVANCED_FACE('',(#9130),#3207,.F.); #150298=ADVANCED_FACE('',(#9131),#3208,.F.); #150299=ADVANCED_FACE('',(#9132),#3209,.F.); #150300=ADVANCED_FACE('',(#9133),#3210,.F.); #150301=ADVANCED_FACE('',(#9134),#3211,.F.); #150302=ADVANCED_FACE('',(#9135),#3212,.F.); #150303=ADVANCED_FACE('',(#9136),#3213,.F.); #150304=ADVANCED_FACE('',(#9137),#3214,.F.); #150305=ADVANCED_FACE('',(#9138),#3215,.F.); #150306=ADVANCED_FACE('',(#9139),#3216,.F.); #150307=ADVANCED_FACE('',(#9140),#3217,.F.); #150308=ADVANCED_FACE('',(#9141),#3218,.F.); #150309=ADVANCED_FACE('',(#9142),#3219,.F.); #150310=ADVANCED_FACE('',(#9143),#145866,.F.); #150311=ADVANCED_FACE('',(#9144),#145867,.F.); #150312=ADVANCED_FACE('',(#9145),#3220,.F.); #150313=ADVANCED_FACE('',(#9146),#3221,.F.); #150314=ADVANCED_FACE('',(#9147),#3222,.F.); #150315=ADVANCED_FACE('',(#9148),#3223,.F.); #150316=ADVANCED_FACE('',(#9149),#3224,.F.); #150317=ADVANCED_FACE('',(#9150),#145868,.F.); #150318=ADVANCED_FACE('',(#9151),#3225,.F.); #150319=ADVANCED_FACE('',(#9152),#3226,.F.); #150320=ADVANCED_FACE('',(#9153),#3227,.F.); #150321=ADVANCED_FACE('',(#9154),#3228,.F.); #150322=ADVANCED_FACE('',(#9155),#3229,.F.); #150323=ADVANCED_FACE('',(#9156),#3230,.F.); #150324=ADVANCED_FACE('',(#9157),#3231,.F.); #150325=ADVANCED_FACE('',(#9158),#3232,.F.); #150326=ADVANCED_FACE('',(#9159),#145869,.F.); #150327=ADVANCED_FACE('',(#9160),#145870,.F.); #150328=ADVANCED_FACE('',(#9161),#3233,.F.); #150329=ADVANCED_FACE('',(#9162),#3234,.F.); #150330=ADVANCED_FACE('',(#9163),#3235,.F.); #150331=ADVANCED_FACE('',(#9164),#3236,.F.); #150332=ADVANCED_FACE('',(#9165),#3237,.F.); #150333=ADVANCED_FACE('',(#9166),#3238,.F.); #150334=ADVANCED_FACE('',(#9167),#3239,.F.); #150335=ADVANCED_FACE('',(#9168),#3240,.F.); #150336=ADVANCED_FACE('',(#9169),#3241,.F.); #150337=ADVANCED_FACE('',(#9170),#3242,.F.); #150338=ADVANCED_FACE('',(#9171),#3243,.F.); #150339=ADVANCED_FACE('',(#9172),#3244,.F.); #150340=ADVANCED_FACE('',(#9173),#3245,.F.); #150341=ADVANCED_FACE('',(#9174),#145871,.F.); #150342=ADVANCED_FACE('',(#9175),#3246,.F.); #150343=ADVANCED_FACE('',(#9176),#3247,.F.); #150344=ADVANCED_FACE('',(#9177),#3248,.F.); #150345=ADVANCED_FACE('',(#9178),#3249,.F.); #150346=ADVANCED_FACE('',(#9179),#3250,.F.); #150347=ADVANCED_FACE('',(#9180),#3251,.F.); #150348=ADVANCED_FACE('',(#9181),#3252,.F.); #150349=ADVANCED_FACE('',(#9182),#3253,.F.); #150350=ADVANCED_FACE('',(#9183),#3254,.F.); #150351=ADVANCED_FACE('',(#9184,#974),#3255,.T.); #150352=ADVANCED_FACE('',(#9185),#145872,.F.); #150353=ADVANCED_FACE('',(#9186),#3256,.F.); #150354=ADVANCED_FACE('',(#9187),#145873,.F.); #150355=ADVANCED_FACE('',(#9188),#145874,.F.); #150356=ADVANCED_FACE('',(#9189),#145875,.F.); #150357=ADVANCED_FACE('',(#9190),#3257,.F.); #150358=ADVANCED_FACE('',(#9191),#3258,.F.); #150359=ADVANCED_FACE('',(#9192),#3259,.F.); #150360=ADVANCED_FACE('',(#9193),#3260,.F.); #150361=ADVANCED_FACE('',(#9194),#3261,.F.); #150362=ADVANCED_FACE('',(#9195),#145876,.F.); #150363=ADVANCED_FACE('',(#9196),#145877,.F.); #150364=ADVANCED_FACE('',(#9197),#3262,.F.); #150365=ADVANCED_FACE('',(#9198),#3263,.F.); #150366=ADVANCED_FACE('',(#9199),#3264,.F.); #150367=ADVANCED_FACE('',(#9200),#3265,.F.); #150368=ADVANCED_FACE('',(#9201),#3266,.F.); #150369=ADVANCED_FACE('',(#9202),#3267,.F.); #150370=ADVANCED_FACE('',(#9203),#3268,.F.); #150371=ADVANCED_FACE('',(#9204),#3269,.F.); #150372=ADVANCED_FACE('',(#9205),#145878,.F.); #150373=ADVANCED_FACE('',(#9206),#3270,.F.); #150374=ADVANCED_FACE('',(#9207),#145879,.F.); #150375=ADVANCED_FACE('',(#9208),#3271,.F.); #150376=ADVANCED_FACE('',(#9209),#3272,.F.); #150377=ADVANCED_FACE('',(#9210),#3273,.F.); #150378=ADVANCED_FACE('',(#9211),#145880,.F.); #150379=ADVANCED_FACE('',(#9212),#3274,.F.); #150380=ADVANCED_FACE('',(#9213),#3275,.F.); #150381=ADVANCED_FACE('',(#9214),#3276,.F.); #150382=ADVANCED_FACE('',(#9215),#3277,.F.); #150383=ADVANCED_FACE('',(#9216),#3278,.F.); #150384=ADVANCED_FACE('',(#9217),#145881,.F.); #150385=ADVANCED_FACE('',(#9218),#145882,.F.); #150386=ADVANCED_FACE('',(#9219),#3279,.F.); #150387=ADVANCED_FACE('',(#9220),#3280,.F.); #150388=ADVANCED_FACE('',(#9221),#3281,.F.); #150389=ADVANCED_FACE('',(#9222),#3282,.F.); #150390=ADVANCED_FACE('',(#9223),#3283,.F.); #150391=ADVANCED_FACE('',(#9224),#3284,.F.); #150392=ADVANCED_FACE('',(#9225),#145883,.F.); #150393=ADVANCED_FACE('',(#9226),#3285,.F.); #150394=ADVANCED_FACE('',(#9227),#3286,.F.); #150395=ADVANCED_FACE('',(#9228),#145884,.F.); #150396=ADVANCED_FACE('',(#9229),#3287,.F.); #150397=ADVANCED_FACE('',(#9230),#3288,.F.); #150398=ADVANCED_FACE('',(#9231),#3289,.F.); #150399=ADVANCED_FACE('',(#9232),#3290,.F.); #150400=ADVANCED_FACE('',(#9233),#3291,.F.); #150401=ADVANCED_FACE('',(#9234),#3292,.F.); #150402=ADVANCED_FACE('',(#9235),#3293,.F.); #150403=ADVANCED_FACE('',(#9236),#3294,.F.); #150404=ADVANCED_FACE('',(#9237),#3295,.F.); #150405=ADVANCED_FACE('',(#9238),#3296,.F.); #150406=ADVANCED_FACE('',(#9239),#3297,.F.); #150407=ADVANCED_FACE('',(#9240),#3298,.F.); #150408=ADVANCED_FACE('',(#9241),#3299,.F.); #150409=ADVANCED_FACE('',(#9242),#3300,.F.); #150410=ADVANCED_FACE('',(#9243),#3301,.F.); #150411=ADVANCED_FACE('',(#9244),#3302,.F.); #150412=ADVANCED_FACE('',(#9245),#3303,.F.); #150413=ADVANCED_FACE('',(#9246),#3304,.F.); #150414=ADVANCED_FACE('',(#9247),#3305,.F.); #150415=ADVANCED_FACE('',(#9248),#3306,.F.); #150416=ADVANCED_FACE('',(#9249),#3307,.F.); #150417=ADVANCED_FACE('',(#9250),#3308,.F.); #150418=ADVANCED_FACE('',(#9251),#3309,.F.); #150419=ADVANCED_FACE('',(#9252),#3310,.F.); #150420=ADVANCED_FACE('',(#9253),#145885,.F.); #150421=ADVANCED_FACE('',(#9254),#145886,.F.); #150422=ADVANCED_FACE('',(#9255),#3311,.F.); #150423=ADVANCED_FACE('',(#9256),#3312,.F.); #150424=ADVANCED_FACE('',(#9257),#3313,.F.); #150425=ADVANCED_FACE('',(#9258),#3314,.F.); #150426=ADVANCED_FACE('',(#9259),#3315,.F.); #150427=ADVANCED_FACE('',(#9260),#3316,.F.); #150428=ADVANCED_FACE('',(#9261),#3317,.F.); #150429=ADVANCED_FACE('',(#9262),#3318,.F.); #150430=ADVANCED_FACE('',(#9263),#3319,.F.); #150431=ADVANCED_FACE('',(#9264),#3320,.F.); #150432=ADVANCED_FACE('',(#9265),#145887,.F.); #150433=ADVANCED_FACE('',(#9266),#3321,.F.); #150434=ADVANCED_FACE('',(#9267),#3322,.F.); #150435=ADVANCED_FACE('',(#9268),#3323,.F.); #150436=ADVANCED_FACE('',(#9269),#3324,.F.); #150437=ADVANCED_FACE('',(#9270),#3325,.F.); #150438=ADVANCED_FACE('',(#9271),#3326,.F.); #150439=ADVANCED_FACE('',(#9272),#3327,.F.); #150440=ADVANCED_FACE('',(#9273),#3328,.F.); #150441=ADVANCED_FACE('',(#9274),#3329,.F.); #150442=ADVANCED_FACE('',(#9275),#3330,.F.); #150443=ADVANCED_FACE('',(#9276),#3331,.F.); #150444=ADVANCED_FACE('',(#9277),#145888,.F.); #150445=ADVANCED_FACE('',(#9278),#145889,.F.); #150446=ADVANCED_FACE('',(#9279),#3332,.F.); #150447=ADVANCED_FACE('',(#9280),#3333,.F.); #150448=ADVANCED_FACE('',(#9281),#3334,.F.); #150449=ADVANCED_FACE('',(#9282),#3335,.F.); #150450=ADVANCED_FACE('',(#9283),#145890,.F.); #150451=ADVANCED_FACE('',(#9284),#3336,.F.); #150452=ADVANCED_FACE('',(#9285),#3337,.F.); #150453=ADVANCED_FACE('',(#9286),#3338,.F.); #150454=ADVANCED_FACE('',(#9287),#3339,.F.); #150455=ADVANCED_FACE('',(#9288),#3340,.F.); #150456=ADVANCED_FACE('',(#9289),#3341,.F.); #150457=ADVANCED_FACE('',(#9290),#3342,.F.); #150458=ADVANCED_FACE('',(#9291),#3343,.F.); #150459=ADVANCED_FACE('',(#9292),#145891,.F.); #150460=ADVANCED_FACE('',(#9293),#3344,.F.); #150461=ADVANCED_FACE('',(#9294),#145892,.F.); #150462=ADVANCED_FACE('',(#9295),#3345,.F.); #150463=ADVANCED_FACE('',(#9296),#3346,.F.); #150464=ADVANCED_FACE('',(#9297),#3347,.F.); #150465=ADVANCED_FACE('',(#9298),#3348,.F.); #150466=ADVANCED_FACE('',(#9299),#3349,.F.); #150467=ADVANCED_FACE('',(#9300),#3350,.F.); #150468=ADVANCED_FACE('',(#9301),#3351,.F.); #150469=ADVANCED_FACE('',(#9302),#3352,.F.); #150470=ADVANCED_FACE('',(#9303),#3353,.F.); #150471=ADVANCED_FACE('',(#9304),#3354,.F.); #150472=ADVANCED_FACE('',(#9305),#3355,.F.); #150473=ADVANCED_FACE('',(#9306),#145893,.F.); #150474=ADVANCED_FACE('',(#9307),#3356,.F.); #150475=ADVANCED_FACE('',(#9308),#3357,.F.); #150476=ADVANCED_FACE('',(#9309),#145894,.T.); #150477=ADVANCED_FACE('',(#9310),#145895,.F.); #150478=ADVANCED_FACE('',(#9311),#145896,.F.); #150479=ADVANCED_FACE('',(#9312),#3358,.F.); #150480=ADVANCED_FACE('',(#9313),#3359,.F.); #150481=ADVANCED_FACE('',(#9314),#3360,.F.); #150482=ADVANCED_FACE('',(#9315),#145897,.F.); #150483=ADVANCED_FACE('',(#9316),#145898,.F.); #150484=ADVANCED_FACE('',(#9317),#3361,.T.); #150485=ADVANCED_FACE('',(#9318),#145899,.F.); #150486=ADVANCED_FACE('',(#9319),#145900,.F.); #150487=ADVANCED_FACE('',(#9320),#145901,.F.); #150488=ADVANCED_FACE('',(#9321),#145902,.F.); #150489=ADVANCED_FACE('',(#9322),#145903,.F.); #150490=ADVANCED_FACE('',(#9323),#3362,.F.); #150491=ADVANCED_FACE('',(#9324),#145904,.T.); #150492=ADVANCED_FACE('',(#9325),#145905,.T.); #150493=ADVANCED_FACE('',(#9326),#145906,.T.); #150494=ADVANCED_FACE('',(#9327),#145907,.T.); #150495=ADVANCED_FACE('',(#9328),#145908,.T.); #150496=ADVANCED_FACE('',(#9329),#3363,.F.); #150497=ADVANCED_FACE('',(#9330),#145909,.F.); #150498=ADVANCED_FACE('',(#9331),#3364,.F.); #150499=ADVANCED_FACE('',(#9332),#3365,.F.); #150500=ADVANCED_FACE('',(#9333),#3366,.F.); #150501=ADVANCED_FACE('',(#9334),#145910,.F.); #150502=ADVANCED_FACE('',(#9335),#145911,.F.); #150503=ADVANCED_FACE('',(#9336),#145912,.F.); #150504=ADVANCED_FACE('',(#9337),#145913,.F.); #150505=ADVANCED_FACE('',(#9338),#145914,.F.); #150506=ADVANCED_FACE('',(#9339),#145915,.F.); #150507=ADVANCED_FACE('',(#9340),#3367,.F.); #150508=ADVANCED_FACE('',(#9341),#3368,.F.); #150509=ADVANCED_FACE('',(#9342),#145916,.F.); #150510=ADVANCED_FACE('',(#9343),#3369,.F.); #150511=ADVANCED_FACE('',(#9344),#145917,.T.); #150512=ADVANCED_FACE('',(#9345),#145918,.T.); #150513=ADVANCED_FACE('',(#9346),#145919,.T.); #150514=ADVANCED_FACE('',(#9347),#145920,.T.); #150515=ADVANCED_FACE('',(#9348),#3370,.F.); #150516=ADVANCED_FACE('',(#9349),#145921,.F.); #150517=ADVANCED_FACE('',(#9350),#3371,.F.); #150518=ADVANCED_FACE('',(#9351),#145922,.F.); #150519=ADVANCED_FACE('',(#9352),#145923,.T.); #150520=ADVANCED_FACE('',(#9353),#3372,.F.); #150521=ADVANCED_FACE('',(#9354),#145924,.F.); #150522=ADVANCED_FACE('',(#9355),#145925,.F.); #150523=ADVANCED_FACE('',(#9356),#3373,.T.); #150524=ADVANCED_FACE('',(#9357),#3374,.F.); #150525=ADVANCED_FACE('',(#9358),#145926,.T.); #150526=ADVANCED_FACE('',(#9359),#145927,.T.); #150527=ADVANCED_FACE('',(#9360),#3375,.F.); #150528=ADVANCED_FACE('',(#9361),#3376,.F.); #150529=ADVANCED_FACE('',(#9362),#3377,.F.); #150530=ADVANCED_FACE('',(#9363),#3378,.F.); #150531=ADVANCED_FACE('',(#9364),#3379,.F.); #150532=ADVANCED_FACE('',(#9365),#3380,.F.); #150533=ADVANCED_FACE('',(#9366),#3381,.F.); #150534=ADVANCED_FACE('',(#9367),#3382,.F.); #150535=ADVANCED_FACE('',(#9368),#3383,.F.); #150536=ADVANCED_FACE('',(#9369),#145928,.F.); #150537=ADVANCED_FACE('',(#9370),#3384,.F.); #150538=ADVANCED_FACE('',(#9371),#3385,.F.); #150539=ADVANCED_FACE('',(#9372),#3386,.F.); #150540=ADVANCED_FACE('',(#9373),#3387,.F.); #150541=ADVANCED_FACE('',(#9374),#3388,.F.); #150542=ADVANCED_FACE('',(#9375),#3389,.F.); #150543=ADVANCED_FACE('',(#9376),#3390,.F.); #150544=ADVANCED_FACE('',(#9377),#3391,.F.); #150545=ADVANCED_FACE('',(#9378),#3392,.F.); #150546=ADVANCED_FACE('',(#9379),#3393,.F.); #150547=ADVANCED_FACE('',(#9380),#3394,.F.); #150548=ADVANCED_FACE('',(#9381),#3395,.F.); #150549=ADVANCED_FACE('',(#9382),#145929,.F.); #150550=ADVANCED_FACE('',(#9383),#3396,.F.); #150551=ADVANCED_FACE('',(#9384),#3397,.F.); #150552=ADVANCED_FACE('',(#9385),#3398,.F.); #150553=ADVANCED_FACE('',(#9386),#3399,.F.); #150554=ADVANCED_FACE('',(#9387),#3400,.F.); #150555=ADVANCED_FACE('',(#9388),#3401,.F.); #150556=ADVANCED_FACE('',(#9389),#3402,.F.); #150557=ADVANCED_FACE('',(#9390),#3403,.F.); #150558=ADVANCED_FACE('',(#9391),#145930,.F.); #150559=ADVANCED_FACE('',(#9392),#3404,.F.); #150560=ADVANCED_FACE('',(#9393),#3405,.F.); #150561=ADVANCED_FACE('',(#9394),#3406,.F.); #150562=ADVANCED_FACE('',(#9395),#145931,.F.); #150563=ADVANCED_FACE('',(#9396),#145932,.F.); #150564=ADVANCED_FACE('',(#9397),#3407,.F.); #150565=ADVANCED_FACE('',(#9398),#145933,.F.); #150566=ADVANCED_FACE('',(#9399),#3408,.F.); #150567=ADVANCED_FACE('',(#9400),#3409,.F.); #150568=ADVANCED_FACE('',(#9401),#3410,.F.); #150569=ADVANCED_FACE('',(#9402),#145934,.F.); #150570=ADVANCED_FACE('',(#9403),#3411,.F.); #150571=ADVANCED_FACE('',(#9404),#3412,.F.); #150572=ADVANCED_FACE('',(#9405),#3413,.F.); #150573=ADVANCED_FACE('',(#9406),#3414,.F.); #150574=ADVANCED_FACE('',(#9407),#145935,.F.); #150575=ADVANCED_FACE('',(#9408),#145936,.F.); #150576=ADVANCED_FACE('',(#9409),#3415,.F.); #150577=ADVANCED_FACE('',(#9410),#3416,.F.); #150578=ADVANCED_FACE('',(#9411),#3417,.F.); #150579=ADVANCED_FACE('',(#9412),#3418,.F.); #150580=ADVANCED_FACE('',(#9413),#3419,.F.); #150581=ADVANCED_FACE('',(#9414),#3420,.F.); #150582=ADVANCED_FACE('',(#9415),#145937,.F.); #150583=ADVANCED_FACE('',(#9416),#3421,.F.); #150584=ADVANCED_FACE('',(#9417),#3422,.F.); #150585=ADVANCED_FACE('',(#9418),#3423,.F.); #150586=ADVANCED_FACE('',(#9419),#3424,.F.); #150587=ADVANCED_FACE('',(#9420),#145938,.F.); #150588=ADVANCED_FACE('',(#9421),#3425,.F.); #150589=ADVANCED_FACE('',(#9422),#145939,.F.); #150590=ADVANCED_FACE('',(#9423),#3426,.F.); #150591=ADVANCED_FACE('',(#9424),#3427,.F.); #150592=ADVANCED_FACE('',(#9425),#145940,.F.); #150593=ADVANCED_FACE('',(#9426),#145941,.F.); #150594=ADVANCED_FACE('',(#9427),#3428,.F.); #150595=ADVANCED_FACE('',(#9428),#3429,.F.); #150596=ADVANCED_FACE('',(#9429),#3430,.F.); #150597=ADVANCED_FACE('',(#9430),#3431,.F.); #150598=ADVANCED_FACE('',(#9431),#145942,.F.); #150599=ADVANCED_FACE('',(#9432),#3432,.F.); #150600=ADVANCED_FACE('',(#9433),#3433,.F.); #150601=ADVANCED_FACE('',(#9434),#3434,.F.); #150602=ADVANCED_FACE('',(#9435),#3435,.F.); #150603=ADVANCED_FACE('',(#9436),#3436,.F.); #150604=ADVANCED_FACE('',(#9437),#3437,.F.); #150605=ADVANCED_FACE('',(#9438),#3438,.F.); #150606=ADVANCED_FACE('',(#9439),#3439,.F.); #150607=ADVANCED_FACE('',(#9440),#3440,.F.); #150608=ADVANCED_FACE('',(#9441),#3441,.F.); #150609=ADVANCED_FACE('',(#9442),#3442,.F.); #150610=ADVANCED_FACE('',(#9443),#3443,.F.); #150611=ADVANCED_FACE('',(#9444),#3444,.F.); #150612=ADVANCED_FACE('',(#9445),#3445,.F.); #150613=ADVANCED_FACE('',(#9446),#3446,.F.); #150614=ADVANCED_FACE('',(#9447),#3447,.F.); #150615=ADVANCED_FACE('',(#9448),#145943,.F.); #150616=ADVANCED_FACE('',(#9449),#3448,.F.); #150617=ADVANCED_FACE('',(#9450),#145944,.F.); #150618=ADVANCED_FACE('',(#9451),#3449,.F.); #150619=ADVANCED_FACE('',(#9452),#3450,.F.); #150620=ADVANCED_FACE('',(#9453),#3451,.F.); #150621=ADVANCED_FACE('',(#9454),#3452,.F.); #150622=ADVANCED_FACE('',(#9455),#145945,.F.); #150623=ADVANCED_FACE('',(#9456),#145946,.F.); #150624=ADVANCED_FACE('',(#9457),#3453,.F.); #150625=ADVANCED_FACE('',(#9458),#3454,.F.); #150626=ADVANCED_FACE('',(#9459),#3455,.F.); #150627=ADVANCED_FACE('',(#9460),#3456,.F.); #150628=ADVANCED_FACE('',(#9461),#3457,.F.); #150629=ADVANCED_FACE('',(#9462),#145947,.F.); #150630=ADVANCED_FACE('',(#9463),#3458,.F.); #150631=ADVANCED_FACE('',(#9464),#3459,.F.); #150632=ADVANCED_FACE('',(#9465),#3460,.F.); #150633=ADVANCED_FACE('',(#9466),#3461,.F.); #150634=ADVANCED_FACE('',(#9467),#145948,.F.); #150635=ADVANCED_FACE('',(#9468),#145949,.F.); #150636=ADVANCED_FACE('',(#9469),#3462,.F.); #150637=ADVANCED_FACE('',(#9470),#3463,.F.); #150638=ADVANCED_FACE('',(#9471),#3464,.F.); #150639=ADVANCED_FACE('',(#9472),#3465,.F.); #150640=ADVANCED_FACE('',(#9473),#145950,.F.); #150641=ADVANCED_FACE('',(#9474),#3466,.F.); #150642=ADVANCED_FACE('',(#9475),#145951,.F.); #150643=ADVANCED_FACE('',(#9476),#3467,.F.); #150644=ADVANCED_FACE('',(#9477),#3468,.F.); #150645=ADVANCED_FACE('',(#9478),#3469,.F.); #150646=ADVANCED_FACE('',(#9479),#3470,.F.); #150647=ADVANCED_FACE('',(#9480),#3471,.F.); #150648=ADVANCED_FACE('',(#9481),#145952,.F.); #150649=ADVANCED_FACE('',(#9482),#145953,.F.); #150650=ADVANCED_FACE('',(#9483),#3472,.F.); #150651=ADVANCED_FACE('',(#9484),#3473,.F.); #150652=ADVANCED_FACE('',(#9485),#3474,.F.); #150653=ADVANCED_FACE('',(#9486),#3475,.F.); #150654=ADVANCED_FACE('',(#9487),#3476,.F.); #150655=ADVANCED_FACE('',(#9488),#3477,.F.); #150656=ADVANCED_FACE('',(#9489),#3478,.F.); #150657=ADVANCED_FACE('',(#9490),#3479,.F.); #150658=ADVANCED_FACE('',(#9491),#3480,.F.); #150659=ADVANCED_FACE('',(#9492),#3481,.F.); #150660=ADVANCED_FACE('',(#9493),#145954,.F.); #150661=ADVANCED_FACE('',(#9494),#145955,.F.); #150662=ADVANCED_FACE('',(#9495),#3482,.F.); #150663=ADVANCED_FACE('',(#9496),#3483,.F.); #150664=ADVANCED_FACE('',(#9497),#3484,.F.); #150665=ADVANCED_FACE('',(#9498),#3485,.F.); #150666=ADVANCED_FACE('',(#9499),#3486,.F.); #150667=ADVANCED_FACE('',(#9500),#3487,.F.); #150668=ADVANCED_FACE('',(#9501),#3488,.F.); #150669=ADVANCED_FACE('',(#9502,#975),#3489,.T.); #150670=ADVANCED_FACE('',(#9503),#145956,.T.); #150671=ADVANCED_FACE('',(#9504),#145957,.T.); #150672=ADVANCED_FACE('',(#9505),#3490,.F.); #150673=ADVANCED_FACE('',(#9506),#145958,.T.); #150674=ADVANCED_FACE('',(#9507),#145959,.T.); #150675=ADVANCED_FACE('',(#9508),#3491,.F.); #150676=ADVANCED_FACE('',(#9509),#145960,.F.); #150677=ADVANCED_FACE('',(#9510),#3492,.F.); #150678=ADVANCED_FACE('',(#9511),#3493,.F.); #150679=ADVANCED_FACE('',(#9512),#3494,.F.); #150680=ADVANCED_FACE('',(#9513),#3495,.F.); #150681=ADVANCED_FACE('',(#9514),#145961,.F.); #150682=ADVANCED_FACE('',(#9515),#3496,.F.); #150683=ADVANCED_FACE('',(#9516),#3497,.F.); #150684=ADVANCED_FACE('',(#9517),#3498,.F.); #150685=ADVANCED_FACE('',(#9518),#3499,.F.); #150686=ADVANCED_FACE('',(#9519),#3500,.F.); #150687=ADVANCED_FACE('',(#9520),#145962,.F.); #150688=ADVANCED_FACE('',(#9521),#3501,.F.); #150689=ADVANCED_FACE('',(#9522),#3502,.F.); #150690=ADVANCED_FACE('',(#9523),#3503,.F.); #150691=ADVANCED_FACE('',(#9524),#3504,.F.); #150692=ADVANCED_FACE('',(#9525),#3505,.F.); #150693=ADVANCED_FACE('',(#9526),#3506,.F.); #150694=ADVANCED_FACE('',(#9527),#3507,.F.); #150695=ADVANCED_FACE('',(#9528),#3508,.F.); #150696=ADVANCED_FACE('',(#9529),#3509,.F.); #150697=ADVANCED_FACE('',(#9530),#3510,.F.); #150698=ADVANCED_FACE('',(#9531),#3511,.F.); #150699=ADVANCED_FACE('',(#9532),#3512,.F.); #150700=ADVANCED_FACE('',(#9533),#145963,.F.); #150701=ADVANCED_FACE('',(#9534),#145964,.F.); #150702=ADVANCED_FACE('',(#9535),#3513,.F.); #150703=ADVANCED_FACE('',(#9536),#3514,.F.); #150704=ADVANCED_FACE('',(#9537),#3515,.F.); #150705=ADVANCED_FACE('',(#9538),#3516,.F.); #150706=ADVANCED_FACE('',(#9539),#145965,.F.); #150707=ADVANCED_FACE('',(#9540),#145966,.F.); #150708=ADVANCED_FACE('',(#9541),#145967,.F.); #150709=ADVANCED_FACE('',(#9542),#145968,.F.); #150710=ADVANCED_FACE('',(#9543),#145969,.F.); #150711=ADVANCED_FACE('',(#9544),#145970,.F.); #150712=ADVANCED_FACE('',(#9545),#3517,.F.); #150713=ADVANCED_FACE('',(#9546),#3518,.F.); #150714=ADVANCED_FACE('',(#9547),#3519,.F.); #150715=ADVANCED_FACE('',(#9548),#3520,.F.); #150716=ADVANCED_FACE('',(#9549),#145971,.F.); #150717=ADVANCED_FACE('',(#9550),#145972,.F.); #150718=ADVANCED_FACE('',(#9551),#3521,.F.); #150719=ADVANCED_FACE('',(#9552),#3522,.F.); #150720=ADVANCED_FACE('',(#9553),#3523,.F.); #150721=ADVANCED_FACE('',(#9554),#3524,.F.); #150722=ADVANCED_FACE('',(#9555),#3525,.F.); #150723=ADVANCED_FACE('',(#9556),#3526,.F.); #150724=ADVANCED_FACE('',(#9557),#3527,.F.); #150725=ADVANCED_FACE('',(#9558),#3528,.F.); #150726=ADVANCED_FACE('',(#9559),#3529,.F.); #150727=ADVANCED_FACE('',(#9560),#3530,.F.); #150728=ADVANCED_FACE('',(#9561),#3531,.F.); #150729=ADVANCED_FACE('',(#9562),#3532,.F.); #150730=ADVANCED_FACE('',(#9563),#3533,.F.); #150731=ADVANCED_FACE('',(#9564),#3534,.F.); #150732=ADVANCED_FACE('',(#9565,#976),#3535,.T.); #150733=ADVANCED_FACE('',(#9566),#3536,.F.); #150734=ADVANCED_FACE('',(#9567),#3537,.F.); #150735=ADVANCED_FACE('',(#9568),#3538,.F.); #150736=ADVANCED_FACE('',(#9569),#3539,.F.); #150737=ADVANCED_FACE('',(#9570),#3540,.F.); #150738=ADVANCED_FACE('',(#9571),#3541,.F.); #150739=ADVANCED_FACE('',(#9572),#145973,.F.); #150740=ADVANCED_FACE('',(#9573),#3542,.T.); #150741=ADVANCED_FACE('',(#9574),#145974,.F.); #150742=ADVANCED_FACE('',(#9575),#145975,.F.); #150743=ADVANCED_FACE('',(#9576),#3543,.F.); #150744=ADVANCED_FACE('',(#9577),#3544,.F.); #150745=ADVANCED_FACE('',(#9578),#3545,.F.); #150746=ADVANCED_FACE('',(#9579),#3546,.F.); #150747=ADVANCED_FACE('',(#9580),#3547,.F.); #150748=ADVANCED_FACE('',(#9581),#3548,.F.); #150749=ADVANCED_FACE('',(#9582),#3549,.F.); #150750=ADVANCED_FACE('',(#9583),#3550,.F.); #150751=ADVANCED_FACE('',(#9584),#3551,.F.); #150752=ADVANCED_FACE('',(#9585),#3552,.F.); #150753=ADVANCED_FACE('',(#9586),#3553,.F.); #150754=ADVANCED_FACE('',(#9587),#3554,.F.); #150755=ADVANCED_FACE('',(#9588),#3555,.F.); #150756=ADVANCED_FACE('',(#9589),#3556,.F.); #150757=ADVANCED_FACE('',(#9590),#3557,.F.); #150758=ADVANCED_FACE('',(#9591),#3558,.F.); #150759=ADVANCED_FACE('',(#9592),#3559,.F.); #150760=ADVANCED_FACE('',(#9593),#3560,.F.); #150761=ADVANCED_FACE('',(#9594),#3561,.F.); #150762=ADVANCED_FACE('',(#9595),#3562,.F.); #150763=ADVANCED_FACE('',(#9596),#3563,.F.); #150764=ADVANCED_FACE('',(#9597),#3564,.F.); #150765=ADVANCED_FACE('',(#9598),#3565,.F.); #150766=ADVANCED_FACE('',(#9599),#145976,.F.); #150767=ADVANCED_FACE('',(#9600),#3566,.F.); #150768=ADVANCED_FACE('',(#9601),#3567,.F.); #150769=ADVANCED_FACE('',(#9602),#3568,.F.); #150770=ADVANCED_FACE('',(#9603),#3569,.F.); #150771=ADVANCED_FACE('',(#9604),#3570,.F.); #150772=ADVANCED_FACE('',(#9605),#3571,.F.); #150773=ADVANCED_FACE('',(#9606),#3572,.F.); #150774=ADVANCED_FACE('',(#9607),#3573,.F.); #150775=ADVANCED_FACE('',(#9608),#3574,.F.); #150776=ADVANCED_FACE('',(#9609),#3575,.F.); #150777=ADVANCED_FACE('',(#9610),#3576,.F.); #150778=ADVANCED_FACE('',(#9611),#3577,.F.); #150779=ADVANCED_FACE('',(#9612),#3578,.F.); #150780=ADVANCED_FACE('',(#9613),#3579,.F.); #150781=ADVANCED_FACE('',(#9614),#3580,.F.); #150782=ADVANCED_FACE('',(#9615),#3581,.F.); #150783=ADVANCED_FACE('',(#9616),#3582,.F.); #150784=ADVANCED_FACE('',(#9617),#3583,.F.); #150785=ADVANCED_FACE('',(#9618),#3584,.F.); #150786=ADVANCED_FACE('',(#9619),#3585,.F.); #150787=ADVANCED_FACE('',(#9620),#145977,.F.); #150788=ADVANCED_FACE('',(#9621),#3586,.F.); #150789=ADVANCED_FACE('',(#9622),#3587,.F.); #150790=ADVANCED_FACE('',(#9623),#3588,.F.); #150791=ADVANCED_FACE('',(#9624),#145978,.F.); #150792=ADVANCED_FACE('',(#9625),#3589,.F.); #150793=ADVANCED_FACE('',(#9626),#145979,.F.); #150794=ADVANCED_FACE('',(#9627),#145980,.T.); #150795=ADVANCED_FACE('',(#9628),#3590,.F.); #150796=ADVANCED_FACE('',(#9629),#3591,.F.); #150797=ADVANCED_FACE('',(#9630),#3592,.F.); #150798=ADVANCED_FACE('',(#9631),#3593,.F.); #150799=ADVANCED_FACE('',(#9632),#3594,.F.); #150800=ADVANCED_FACE('',(#9633),#145981,.F.); #150801=ADVANCED_FACE('',(#9634),#145982,.F.); #150802=ADVANCED_FACE('',(#9635),#3595,.F.); #150803=ADVANCED_FACE('',(#9636),#3596,.F.); #150804=ADVANCED_FACE('',(#9637),#3597,.F.); #150805=ADVANCED_FACE('',(#9638),#3598,.F.); #150806=ADVANCED_FACE('',(#9639),#145983,.F.); #150807=ADVANCED_FACE('',(#9640),#145984,.F.); #150808=ADVANCED_FACE('',(#9641),#3599,.F.); #150809=ADVANCED_FACE('',(#9642),#3600,.F.); #150810=ADVANCED_FACE('',(#9643),#3601,.F.); #150811=ADVANCED_FACE('',(#9644),#3602,.F.); #150812=ADVANCED_FACE('',(#9645),#3603,.F.); #150813=ADVANCED_FACE('',(#9646),#3604,.F.); #150814=ADVANCED_FACE('',(#9647),#3605,.F.); #150815=ADVANCED_FACE('',(#9648),#3606,.F.); #150816=ADVANCED_FACE('',(#9649),#3607,.F.); #150817=ADVANCED_FACE('',(#9650),#3608,.F.); #150818=ADVANCED_FACE('',(#9651),#3609,.F.); #150819=ADVANCED_FACE('',(#9652),#3610,.F.); #150820=ADVANCED_FACE('',(#9653),#3611,.F.); #150821=ADVANCED_FACE('',(#9654),#145985,.F.); #150822=ADVANCED_FACE('',(#9655),#3612,.F.); #150823=ADVANCED_FACE('',(#9656),#3613,.F.); #150824=ADVANCED_FACE('',(#9657),#3614,.F.); #150825=ADVANCED_FACE('',(#9658),#3615,.F.); #150826=ADVANCED_FACE('',(#9659),#3616,.F.); #150827=ADVANCED_FACE('',(#9660),#3617,.F.); #150828=ADVANCED_FACE('',(#9661),#3618,.F.); #150829=ADVANCED_FACE('',(#9662),#3619,.F.); #150830=ADVANCED_FACE('',(#9663),#3620,.F.); #150831=ADVANCED_FACE('',(#9664),#3621,.F.); #150832=ADVANCED_FACE('',(#9665),#3622,.F.); #150833=ADVANCED_FACE('',(#9666),#3623,.F.); #150834=ADVANCED_FACE('',(#9667),#3624,.F.); #150835=ADVANCED_FACE('',(#9668),#3625,.F.); #150836=ADVANCED_FACE('',(#9669),#3626,.F.); #150837=ADVANCED_FACE('',(#9670),#3627,.F.); #150838=ADVANCED_FACE('',(#9671),#145986,.F.); #150839=ADVANCED_FACE('',(#9672),#3628,.F.); #150840=ADVANCED_FACE('',(#9673),#3629,.F.); #150841=ADVANCED_FACE('',(#9674),#3630,.F.); #150842=ADVANCED_FACE('',(#9675),#3631,.F.); #150843=ADVANCED_FACE('',(#9676),#3632,.F.); #150844=ADVANCED_FACE('',(#9677),#3633,.F.); #150845=ADVANCED_FACE('',(#9678),#3634,.F.); #150846=ADVANCED_FACE('',(#9679),#3635,.F.); #150847=ADVANCED_FACE('',(#9680),#145987,.F.); #150848=ADVANCED_FACE('',(#9681),#3636,.F.); #150849=ADVANCED_FACE('',(#9682),#3637,.F.); #150850=ADVANCED_FACE('',(#9683),#3638,.F.); #150851=ADVANCED_FACE('',(#9684),#3639,.F.); #150852=ADVANCED_FACE('',(#9685),#3640,.F.); #150853=ADVANCED_FACE('',(#9686),#145988,.F.); #150854=ADVANCED_FACE('',(#9687),#3641,.F.); #150855=ADVANCED_FACE('',(#9688),#3642,.F.); #150856=ADVANCED_FACE('',(#9689),#3643,.F.); #150857=ADVANCED_FACE('',(#9690),#3644,.F.); #150858=ADVANCED_FACE('',(#9691),#3645,.F.); #150859=ADVANCED_FACE('',(#9692),#3646,.F.); #150860=ADVANCED_FACE('',(#9693),#3647,.T.); #150861=ADVANCED_FACE('',(#9694),#145989,.T.); #150862=ADVANCED_FACE('',(#9695),#3648,.F.); #150863=ADVANCED_FACE('',(#9696),#3649,.F.); #150864=ADVANCED_FACE('',(#9697),#3650,.F.); #150865=ADVANCED_FACE('',(#9698),#3651,.F.); #150866=ADVANCED_FACE('',(#9699),#3652,.F.); #150867=ADVANCED_FACE('',(#9700),#145990,.T.); #150868=ADVANCED_FACE('',(#9701),#145991,.T.); #150869=ADVANCED_FACE('',(#9702),#3653,.F.); #150870=ADVANCED_FACE('',(#9703),#3654,.F.); #150871=ADVANCED_FACE('',(#9704),#3655,.F.); #150872=ADVANCED_FACE('',(#9705),#3656,.F.); #150873=ADVANCED_FACE('',(#9706),#145992,.F.); #150874=ADVANCED_FACE('',(#9707),#3657,.F.); #150875=ADVANCED_FACE('',(#9708),#3658,.F.); #150876=ADVANCED_FACE('',(#9709),#3659,.F.); #150877=ADVANCED_FACE('',(#9710),#3660,.F.); #150878=ADVANCED_FACE('',(#9711),#3661,.F.); #150879=ADVANCED_FACE('',(#9712),#3662,.F.); #150880=ADVANCED_FACE('',(#9713),#3663,.F.); #150881=ADVANCED_FACE('',(#9714),#3664,.F.); #150882=ADVANCED_FACE('',(#9715),#3665,.F.); #150883=ADVANCED_FACE('',(#9716),#3666,.F.); #150884=ADVANCED_FACE('',(#9717),#3667,.F.); #150885=ADVANCED_FACE('',(#9718),#145993,.F.); #150886=ADVANCED_FACE('',(#9719),#3668,.F.); #150887=ADVANCED_FACE('',(#9720),#3669,.F.); #150888=ADVANCED_FACE('',(#9721),#3670,.F.); #150889=ADVANCED_FACE('',(#9722),#3671,.F.); #150890=ADVANCED_FACE('',(#9723),#3672,.F.); #150891=ADVANCED_FACE('',(#9724),#3673,.F.); #150892=ADVANCED_FACE('',(#9725),#145994,.F.); #150893=ADVANCED_FACE('',(#9726),#3674,.F.); #150894=ADVANCED_FACE('',(#9727),#3675,.F.); #150895=ADVANCED_FACE('',(#9728),#3676,.F.); #150896=ADVANCED_FACE('',(#9729),#3677,.F.); #150897=ADVANCED_FACE('',(#9730),#3678,.F.); #150898=ADVANCED_FACE('',(#9731),#3679,.F.); #150899=ADVANCED_FACE('',(#9732),#3680,.F.); #150900=ADVANCED_FACE('',(#9733),#3681,.F.); #150901=ADVANCED_FACE('',(#9734),#3682,.F.); #150902=ADVANCED_FACE('',(#9735),#3683,.F.); #150903=ADVANCED_FACE('',(#9736),#3684,.F.); #150904=ADVANCED_FACE('',(#9737),#3685,.F.); #150905=ADVANCED_FACE('',(#9738),#3686,.F.); #150906=ADVANCED_FACE('',(#9739),#3687,.F.); #150907=ADVANCED_FACE('',(#9740),#3688,.F.); #150908=ADVANCED_FACE('',(#9741),#3689,.F.); #150909=ADVANCED_FACE('',(#9742),#3690,.F.); #150910=ADVANCED_FACE('',(#9743),#3691,.F.); #150911=ADVANCED_FACE('',(#9744),#3692,.F.); #150912=ADVANCED_FACE('',(#9745),#3693,.F.); #150913=ADVANCED_FACE('',(#9746),#3694,.F.); #150914=ADVANCED_FACE('',(#9747),#3695,.F.); #150915=ADVANCED_FACE('',(#9748),#3696,.F.); #150916=ADVANCED_FACE('',(#9749),#3697,.F.); #150917=ADVANCED_FACE('',(#9750),#145995,.F.); #150918=ADVANCED_FACE('',(#9751),#3698,.F.); #150919=ADVANCED_FACE('',(#9752),#3699,.F.); #150920=ADVANCED_FACE('',(#9753),#3700,.F.); #150921=ADVANCED_FACE('',(#9754),#3701,.F.); #150922=ADVANCED_FACE('',(#9755),#3702,.F.); #150923=ADVANCED_FACE('',(#9756),#3703,.F.); #150924=ADVANCED_FACE('',(#9757),#3704,.F.); #150925=ADVANCED_FACE('',(#9758),#3705,.F.); #150926=ADVANCED_FACE('',(#9759),#3706,.F.); #150927=ADVANCED_FACE('',(#9760),#3707,.F.); #150928=ADVANCED_FACE('',(#9761),#3708,.F.); #150929=ADVANCED_FACE('',(#9762),#3709,.F.); #150930=ADVANCED_FACE('',(#9763),#3710,.F.); #150931=ADVANCED_FACE('',(#9764),#3711,.F.); #150932=ADVANCED_FACE('',(#9765),#3712,.F.); #150933=ADVANCED_FACE('',(#9766),#3713,.F.); #150934=ADVANCED_FACE('',(#9767),#3714,.F.); #150935=ADVANCED_FACE('',(#9768),#3715,.F.); #150936=ADVANCED_FACE('',(#9769),#3716,.F.); #150937=ADVANCED_FACE('',(#9770),#3717,.F.); #150938=ADVANCED_FACE('',(#9771),#3718,.F.); #150939=ADVANCED_FACE('',(#9772),#3719,.F.); #150940=ADVANCED_FACE('',(#9773),#145996,.F.); #150941=ADVANCED_FACE('',(#9774),#3720,.F.); #150942=ADVANCED_FACE('',(#9775),#3721,.F.); #150943=ADVANCED_FACE('',(#9776),#3722,.F.); #150944=ADVANCED_FACE('',(#9777),#3723,.F.); #150945=ADVANCED_FACE('',(#9778),#3724,.F.); #150946=ADVANCED_FACE('',(#9779),#3725,.F.); #150947=ADVANCED_FACE('',(#9780),#3726,.F.); #150948=ADVANCED_FACE('',(#9781),#145997,.F.); #150949=ADVANCED_FACE('',(#9782),#145998,.F.); #150950=ADVANCED_FACE('',(#9783),#3727,.F.); #150951=ADVANCED_FACE('',(#9784),#3728,.F.); #150952=ADVANCED_FACE('',(#9785),#3729,.F.); #150953=ADVANCED_FACE('',(#9786),#3730,.F.); #150954=ADVANCED_FACE('',(#9787),#3731,.F.); #150955=ADVANCED_FACE('',(#9788),#3732,.F.); #150956=ADVANCED_FACE('',(#9789),#3733,.F.); #150957=ADVANCED_FACE('',(#9790),#145999,.F.); #150958=ADVANCED_FACE('',(#9791),#3734,.F.); #150959=ADVANCED_FACE('',(#9792),#146000,.F.); #150960=ADVANCED_FACE('',(#9793),#3735,.F.); #150961=ADVANCED_FACE('',(#9794),#3736,.F.); #150962=ADVANCED_FACE('',(#9795),#3737,.F.); #150963=ADVANCED_FACE('',(#9796),#3738,.F.); #150964=ADVANCED_FACE('',(#9797),#3739,.F.); #150965=ADVANCED_FACE('',(#9798),#3740,.F.); #150966=ADVANCED_FACE('',(#9799),#3741,.F.); #150967=ADVANCED_FACE('',(#9800),#3742,.F.); #150968=ADVANCED_FACE('',(#9801),#3743,.F.); #150969=ADVANCED_FACE('',(#9802),#3744,.F.); #150970=ADVANCED_FACE('',(#9803),#146001,.F.); #150971=ADVANCED_FACE('',(#9804),#3745,.F.); #150972=ADVANCED_FACE('',(#9805),#3746,.F.); #150973=ADVANCED_FACE('',(#9806),#3747,.F.); #150974=ADVANCED_FACE('',(#9807),#3748,.F.); #150975=ADVANCED_FACE('',(#9808),#146002,.F.); #150976=ADVANCED_FACE('',(#9809),#3749,.F.); #150977=ADVANCED_FACE('',(#9810),#3750,.F.); #150978=ADVANCED_FACE('',(#9811),#3751,.F.); #150979=ADVANCED_FACE('',(#9812),#3752,.F.); #150980=ADVANCED_FACE('',(#9813),#3753,.F.); #150981=ADVANCED_FACE('',(#9814),#3754,.F.); #150982=ADVANCED_FACE('',(#9815),#146003,.F.); #150983=ADVANCED_FACE('',(#9816),#3755,.F.); #150984=ADVANCED_FACE('',(#9817),#3756,.F.); #150985=ADVANCED_FACE('',(#9818),#3757,.F.); #150986=ADVANCED_FACE('',(#9819),#3758,.F.); #150987=ADVANCED_FACE('',(#9820),#146004,.F.); #150988=ADVANCED_FACE('',(#9821),#146005,.F.); #150989=ADVANCED_FACE('',(#9822),#3759,.F.); #150990=ADVANCED_FACE('',(#9823),#3760,.F.); #150991=ADVANCED_FACE('',(#9824),#3761,.F.); #150992=ADVANCED_FACE('',(#9825),#146006,.F.); #150993=ADVANCED_FACE('',(#9826),#146007,.F.); #150994=ADVANCED_FACE('',(#9827),#3762,.F.); #150995=ADVANCED_FACE('',(#9828),#3763,.F.); #150996=ADVANCED_FACE('',(#9829),#146008,.F.); #150997=ADVANCED_FACE('',(#9830),#3764,.F.); #150998=ADVANCED_FACE('',(#9831),#3765,.F.); #150999=ADVANCED_FACE('',(#9832),#3766,.F.); #151000=ADVANCED_FACE('',(#9833),#3767,.F.); #151001=ADVANCED_FACE('',(#9834),#3768,.F.); #151002=ADVANCED_FACE('',(#9835),#3769,.F.); #151003=ADVANCED_FACE('',(#9836),#3770,.F.); #151004=ADVANCED_FACE('',(#9837),#3771,.F.); #151005=ADVANCED_FACE('',(#9838),#3772,.F.); #151006=ADVANCED_FACE('',(#9839),#3773,.F.); #151007=ADVANCED_FACE('',(#9840),#3774,.F.); #151008=ADVANCED_FACE('',(#9841),#3775,.F.); #151009=ADVANCED_FACE('',(#9842),#3776,.F.); #151010=ADVANCED_FACE('',(#9843,#977),#3777,.T.); #151011=ADVANCED_FACE('',(#9844),#146009,.T.); #151012=ADVANCED_FACE('',(#9845),#146010,.T.); #151013=ADVANCED_FACE('',(#9846),#146011,.T.); #151014=ADVANCED_FACE('',(#9847),#146012,.T.); #151015=ADVANCED_FACE('',(#9848),#3778,.F.); #151016=ADVANCED_FACE('',(#9849),#3779,.F.); #151017=ADVANCED_FACE('',(#9850),#3780,.F.); #151018=ADVANCED_FACE('',(#9851),#146013,.T.); #151019=ADVANCED_FACE('',(#9852),#3781,.F.); #151020=ADVANCED_FACE('',(#9853),#3782,.F.); #151021=ADVANCED_FACE('',(#9854),#3783,.F.); #151022=ADVANCED_FACE('',(#9855),#3784,.F.); #151023=ADVANCED_FACE('',(#9856),#146014,.T.); #151024=ADVANCED_FACE('',(#9857),#146015,.T.); #151025=ADVANCED_FACE('',(#9858),#146016,.T.); #151026=ADVANCED_FACE('',(#9859),#3785,.F.); #151027=ADVANCED_FACE('',(#9860),#3786,.F.); #151028=ADVANCED_FACE('',(#9861),#3787,.F.); #151029=ADVANCED_FACE('',(#9862),#3788,.F.); #151030=ADVANCED_FACE('',(#9863),#3789,.F.); #151031=ADVANCED_FACE('',(#9864),#3790,.F.); #151032=ADVANCED_FACE('',(#9865),#146017,.F.); #151033=ADVANCED_FACE('',(#9866),#3791,.F.); #151034=ADVANCED_FACE('',(#9867),#146018,.F.); #151035=ADVANCED_FACE('',(#9868),#146019,.F.); #151036=ADVANCED_FACE('',(#9869),#146020,.F.); #151037=ADVANCED_FACE('',(#9870),#146021,.F.); #151038=ADVANCED_FACE('',(#9871),#3792,.F.); #151039=ADVANCED_FACE('',(#9872),#146022,.F.); #151040=ADVANCED_FACE('',(#9873),#146023,.F.); #151041=ADVANCED_FACE('',(#9874),#146024,.F.); #151042=ADVANCED_FACE('',(#9875),#146025,.F.); #151043=ADVANCED_FACE('',(#9876),#3793,.F.); #151044=ADVANCED_FACE('',(#9877),#3794,.F.); #151045=ADVANCED_FACE('',(#9878),#146026,.F.); #151046=ADVANCED_FACE('',(#9879),#3795,.F.); #151047=ADVANCED_FACE('',(#9880),#3796,.F.); #151048=ADVANCED_FACE('',(#9881),#3797,.F.); #151049=ADVANCED_FACE('',(#9882),#3798,.F.); #151050=ADVANCED_FACE('',(#9883),#3799,.F.); #151051=ADVANCED_FACE('',(#9884),#3800,.F.); #151052=ADVANCED_FACE('',(#9885),#3801,.F.); #151053=ADVANCED_FACE('',(#9886),#146027,.F.); #151054=ADVANCED_FACE('',(#9887),#3802,.F.); #151055=ADVANCED_FACE('',(#9888),#3803,.F.); #151056=ADVANCED_FACE('',(#9889),#3804,.F.); #151057=ADVANCED_FACE('',(#9890),#3805,.F.); #151058=ADVANCED_FACE('',(#9891),#3806,.F.); #151059=ADVANCED_FACE('',(#9892),#3807,.F.); #151060=ADVANCED_FACE('',(#9893),#3808,.F.); #151061=ADVANCED_FACE('',(#9894),#3809,.F.); #151062=ADVANCED_FACE('',(#9895),#146028,.F.); #151063=ADVANCED_FACE('',(#9896),#3810,.F.); #151064=ADVANCED_FACE('',(#9897),#146029,.F.); #151065=ADVANCED_FACE('',(#9898),#3811,.F.); #151066=ADVANCED_FACE('',(#9899),#3812,.F.); #151067=ADVANCED_FACE('',(#9900),#146030,.F.); #151068=ADVANCED_FACE('',(#9901),#3813,.F.); #151069=ADVANCED_FACE('',(#9902),#3814,.F.); #151070=ADVANCED_FACE('',(#9903),#3815,.F.); #151071=ADVANCED_FACE('',(#9904),#3816,.F.); #151072=ADVANCED_FACE('',(#9905),#3817,.F.); #151073=ADVANCED_FACE('',(#9906),#3818,.F.); #151074=ADVANCED_FACE('',(#9907),#3819,.F.); #151075=ADVANCED_FACE('',(#9908),#3820,.F.); #151076=ADVANCED_FACE('',(#9909),#3821,.F.); #151077=ADVANCED_FACE('',(#9910),#3822,.F.); #151078=ADVANCED_FACE('',(#9911),#3823,.F.); #151079=ADVANCED_FACE('',(#9912),#3824,.F.); #151080=ADVANCED_FACE('',(#9913),#3825,.F.); #151081=ADVANCED_FACE('',(#9914),#3826,.F.); #151082=ADVANCED_FACE('',(#9915),#3827,.F.); #151083=ADVANCED_FACE('',(#9916),#3828,.F.); #151084=ADVANCED_FACE('',(#9917),#3829,.F.); #151085=ADVANCED_FACE('',(#9918),#3830,.F.); #151086=ADVANCED_FACE('',(#9919,#978,#979),#3831,.T.); #151087=ADVANCED_FACE('',(#9920),#146031,.T.); #151088=ADVANCED_FACE('',(#9921),#146032,.T.); #151089=ADVANCED_FACE('',(#9922),#146033,.T.); #151090=ADVANCED_FACE('',(#9923),#3832,.F.); #151091=ADVANCED_FACE('',(#9924),#146034,.F.); #151092=ADVANCED_FACE('',(#9925),#3833,.F.); #151093=ADVANCED_FACE('',(#9926),#3834,.F.); #151094=ADVANCED_FACE('',(#9927),#3835,.F.); #151095=ADVANCED_FACE('',(#9928),#3836,.F.); #151096=ADVANCED_FACE('',(#9929),#3837,.F.); #151097=ADVANCED_FACE('',(#9930),#3838,.F.); #151098=ADVANCED_FACE('',(#9931),#146035,.F.); #151099=ADVANCED_FACE('',(#9932),#146036,.F.); #151100=ADVANCED_FACE('',(#9933),#3839,.F.); #151101=ADVANCED_FACE('',(#9934),#3840,.F.); #151102=ADVANCED_FACE('',(#9935),#3841,.F.); #151103=ADVANCED_FACE('',(#9936),#3842,.F.); #151104=ADVANCED_FACE('',(#9937),#3843,.F.); #151105=ADVANCED_FACE('',(#9938),#3844,.F.); #151106=ADVANCED_FACE('',(#9939),#3845,.F.); #151107=ADVANCED_FACE('',(#9940),#3846,.F.); #151108=ADVANCED_FACE('',(#9941),#3847,.F.); #151109=ADVANCED_FACE('',(#9942),#3848,.F.); #151110=ADVANCED_FACE('',(#9943),#146037,.F.); #151111=ADVANCED_FACE('',(#9944),#146038,.F.); #151112=ADVANCED_FACE('',(#9945),#146039,.F.); #151113=ADVANCED_FACE('',(#9946),#3849,.F.); #151114=ADVANCED_FACE('',(#9947),#146040,.F.); #151115=ADVANCED_FACE('',(#9948),#146041,.F.); #151116=ADVANCED_FACE('',(#9949),#3850,.F.); #151117=ADVANCED_FACE('',(#9950),#3851,.F.); #151118=ADVANCED_FACE('',(#9951),#3852,.F.); #151119=ADVANCED_FACE('',(#9952),#146042,.F.); #151120=ADVANCED_FACE('',(#9953),#146043,.F.); #151121=ADVANCED_FACE('',(#9954),#146044,.F.); #151122=ADVANCED_FACE('',(#9955),#146045,.F.); #151123=ADVANCED_FACE('',(#9956),#146046,.F.); #151124=ADVANCED_FACE('',(#9957),#146047,.F.); #151125=ADVANCED_FACE('',(#9958),#146048,.F.); #151126=ADVANCED_FACE('',(#9959),#3853,.F.); #151127=ADVANCED_FACE('',(#9960),#3854,.F.); #151128=ADVANCED_FACE('',(#9961),#146049,.F.); #151129=ADVANCED_FACE('',(#9962),#3855,.F.); #151130=ADVANCED_FACE('',(#9963),#146050,.F.); #151131=ADVANCED_FACE('',(#9964),#146051,.F.); #151132=ADVANCED_FACE('',(#9965),#146052,.F.); #151133=ADVANCED_FACE('',(#9966),#146053,.F.); #151134=ADVANCED_FACE('',(#9967),#146054,.F.); #151135=ADVANCED_FACE('',(#9968),#3856,.F.); #151136=ADVANCED_FACE('',(#9969),#3857,.F.); #151137=ADVANCED_FACE('',(#9970),#146055,.F.); #151138=ADVANCED_FACE('',(#9971),#3858,.F.); #151139=ADVANCED_FACE('',(#9972),#3859,.F.); #151140=ADVANCED_FACE('',(#9973),#3860,.F.); #151141=ADVANCED_FACE('',(#9974),#3861,.F.); #151142=ADVANCED_FACE('',(#9975),#3862,.F.); #151143=ADVANCED_FACE('',(#9976),#3863,.F.); #151144=ADVANCED_FACE('',(#9977),#3864,.F.); #151145=ADVANCED_FACE('',(#9978),#146056,.F.); #151146=ADVANCED_FACE('',(#9979),#3865,.F.); #151147=ADVANCED_FACE('',(#9980),#3866,.F.); #151148=ADVANCED_FACE('',(#9981),#3867,.F.); #151149=ADVANCED_FACE('',(#9982),#3868,.F.); #151150=ADVANCED_FACE('',(#9983),#3869,.F.); #151151=ADVANCED_FACE('',(#9984),#3870,.F.); #151152=ADVANCED_FACE('',(#9985),#146057,.F.); #151153=ADVANCED_FACE('',(#9986),#3871,.F.); #151154=ADVANCED_FACE('',(#9987),#3872,.F.); #151155=ADVANCED_FACE('',(#9988),#3873,.F.); #151156=ADVANCED_FACE('',(#9989),#3874,.F.); #151157=ADVANCED_FACE('',(#9990),#3875,.F.); #151158=ADVANCED_FACE('',(#9991),#3876,.F.); #151159=ADVANCED_FACE('',(#9992),#3877,.F.); #151160=ADVANCED_FACE('',(#9993),#3878,.F.); #151161=ADVANCED_FACE('',(#9994),#146058,.F.); #151162=ADVANCED_FACE('',(#9995),#3879,.F.); #151163=ADVANCED_FACE('',(#9996),#146059,.F.); #151164=ADVANCED_FACE('',(#9997),#3880,.F.); #151165=ADVANCED_FACE('',(#9998),#3881,.F.); #151166=ADVANCED_FACE('',(#9999),#3882,.F.); #151167=ADVANCED_FACE('',(#10000),#3883,.F.); #151168=ADVANCED_FACE('',(#10001),#3884,.F.); #151169=ADVANCED_FACE('',(#10002),#3885,.F.); #151170=ADVANCED_FACE('',(#10003),#3886,.F.); #151171=ADVANCED_FACE('',(#10004),#3887,.F.); #151172=ADVANCED_FACE('',(#10005),#3888,.F.); #151173=ADVANCED_FACE('',(#10006),#3889,.F.); #151174=ADVANCED_FACE('',(#10007),#3890,.F.); #151175=ADVANCED_FACE('',(#10008),#3891,.F.); #151176=ADVANCED_FACE('',(#10009),#3892,.F.); #151177=ADVANCED_FACE('',(#10010),#146060,.F.); #151178=ADVANCED_FACE('',(#10011),#146061,.F.); #151179=ADVANCED_FACE('',(#10012),#3893,.F.); #151180=ADVANCED_FACE('',(#10013),#3894,.F.); #151181=ADVANCED_FACE('',(#10014),#3895,.F.); #151182=ADVANCED_FACE('',(#10015),#3896,.F.); #151183=ADVANCED_FACE('',(#10016),#3897,.F.); #151184=ADVANCED_FACE('',(#10017),#146062,.F.); #151185=ADVANCED_FACE('',(#10018),#3898,.F.); #151186=ADVANCED_FACE('',(#10019),#146063,.F.); #151187=ADVANCED_FACE('',(#10020),#3899,.F.); #151188=ADVANCED_FACE('',(#10021),#3900,.F.); #151189=ADVANCED_FACE('',(#10022),#146064,.T.); #151190=ADVANCED_FACE('',(#10023),#146065,.T.); #151191=ADVANCED_FACE('',(#10024),#3901,.T.); #151192=ADVANCED_FACE('',(#10025),#3902,.F.); #151193=ADVANCED_FACE('',(#10026),#3903,.F.); #151194=ADVANCED_FACE('',(#10027),#3904,.F.); #151195=ADVANCED_FACE('',(#10028),#3905,.F.); #151196=ADVANCED_FACE('',(#10029),#3906,.F.); #151197=ADVANCED_FACE('',(#10030),#3907,.F.); #151198=ADVANCED_FACE('',(#10031),#3908,.F.); #151199=ADVANCED_FACE('',(#10032),#3909,.F.); #151200=ADVANCED_FACE('',(#10033),#3910,.F.); #151201=ADVANCED_FACE('',(#10034),#3911,.F.); #151202=ADVANCED_FACE('',(#10035),#146066,.T.); #151203=ADVANCED_FACE('',(#10036),#146067,.T.); #151204=ADVANCED_FACE('',(#10037),#146068,.T.); #151205=ADVANCED_FACE('',(#10038),#3912,.F.); #151206=ADVANCED_FACE('',(#10039),#3913,.F.); #151207=ADVANCED_FACE('',(#10040),#3914,.F.); #151208=ADVANCED_FACE('',(#10041),#3915,.F.); #151209=ADVANCED_FACE('',(#10042),#3916,.F.); #151210=ADVANCED_FACE('',(#10043),#3917,.F.); #151211=ADVANCED_FACE('',(#10044),#146069,.F.); #151212=ADVANCED_FACE('',(#10045),#3918,.F.); #151213=ADVANCED_FACE('',(#10046),#3919,.F.); #151214=ADVANCED_FACE('',(#10047),#3920,.F.); #151215=ADVANCED_FACE('',(#10048),#3921,.F.); #151216=ADVANCED_FACE('',(#10049),#3922,.F.); #151217=ADVANCED_FACE('',(#10050),#3923,.F.); #151218=ADVANCED_FACE('',(#10051),#3924,.F.); #151219=ADVANCED_FACE('',(#10052),#3925,.F.); #151220=ADVANCED_FACE('',(#10053),#146070,.F.); #151221=ADVANCED_FACE('',(#10054),#3926,.F.); #151222=ADVANCED_FACE('',(#10055),#3927,.F.); #151223=ADVANCED_FACE('',(#10056),#3928,.F.); #151224=ADVANCED_FACE('',(#10057),#3929,.F.); #151225=ADVANCED_FACE('',(#10058),#3930,.F.); #151226=ADVANCED_FACE('',(#10059),#3931,.F.); #151227=ADVANCED_FACE('',(#10060),#3932,.F.); #151228=ADVANCED_FACE('',(#10061),#3933,.F.); #151229=ADVANCED_FACE('',(#10062),#146071,.F.); #151230=ADVANCED_FACE('',(#10063),#3934,.F.); #151231=ADVANCED_FACE('',(#10064),#3935,.F.); #151232=ADVANCED_FACE('',(#10065),#146072,.F.); #151233=ADVANCED_FACE('',(#10066),#3936,.F.); #151234=ADVANCED_FACE('',(#10067),#3937,.F.); #151235=ADVANCED_FACE('',(#10068),#146073,.F.); #151236=ADVANCED_FACE('',(#10069),#3938,.F.); #151237=ADVANCED_FACE('',(#10070),#3939,.F.); #151238=ADVANCED_FACE('',(#10071),#3940,.F.); #151239=ADVANCED_FACE('',(#10072),#146074,.F.); #151240=ADVANCED_FACE('',(#10073),#146075,.F.); #151241=ADVANCED_FACE('',(#10074),#3941,.F.); #151242=ADVANCED_FACE('',(#10075),#3942,.F.); #151243=ADVANCED_FACE('',(#10076),#3943,.F.); #151244=ADVANCED_FACE('',(#10077),#3944,.F.); #151245=ADVANCED_FACE('',(#10078),#3945,.F.); #151246=ADVANCED_FACE('',(#10079),#3946,.F.); #151247=ADVANCED_FACE('',(#10080),#3947,.F.); #151248=ADVANCED_FACE('',(#10081),#3948,.F.); #151249=ADVANCED_FACE('',(#10082),#146076,.F.); #151250=ADVANCED_FACE('',(#10083),#3949,.F.); #151251=ADVANCED_FACE('',(#10084),#146077,.F.); #151252=ADVANCED_FACE('',(#10085),#146078,.F.); #151253=ADVANCED_FACE('',(#10086),#146079,.F.); #151254=ADVANCED_FACE('',(#10087),#3950,.F.); #151255=ADVANCED_FACE('',(#10088),#3951,.F.); #151256=ADVANCED_FACE('',(#10089),#3952,.F.); #151257=ADVANCED_FACE('',(#10090),#3953,.F.); #151258=ADVANCED_FACE('',(#10091),#3954,.F.); #151259=ADVANCED_FACE('',(#10092),#146080,.F.); #151260=ADVANCED_FACE('',(#10093),#3955,.F.); #151261=ADVANCED_FACE('',(#10094),#3956,.F.); #151262=ADVANCED_FACE('',(#10095),#3957,.F.); #151263=ADVANCED_FACE('',(#10096),#146081,.F.); #151264=ADVANCED_FACE('',(#10097),#3958,.F.); #151265=ADVANCED_FACE('',(#10098),#146082,.F.); #151266=ADVANCED_FACE('',(#10099),#3959,.F.); #151267=ADVANCED_FACE('',(#10100),#3960,.F.); #151268=ADVANCED_FACE('',(#10101),#3961,.F.); #151269=ADVANCED_FACE('',(#10102),#3962,.F.); #151270=ADVANCED_FACE('',(#10103),#3963,.F.); #151271=ADVANCED_FACE('',(#10104),#3964,.F.); #151272=ADVANCED_FACE('',(#10105),#3965,.F.); #151273=ADVANCED_FACE('',(#10106),#3966,.F.); #151274=ADVANCED_FACE('',(#10107),#146083,.F.); #151275=ADVANCED_FACE('',(#10108),#3967,.F.); #151276=ADVANCED_FACE('',(#10109),#146084,.F.); #151277=ADVANCED_FACE('',(#10110),#3968,.F.); #151278=ADVANCED_FACE('',(#10111),#3969,.F.); #151279=ADVANCED_FACE('',(#10112),#3970,.F.); #151280=ADVANCED_FACE('',(#10113),#3971,.F.); #151281=ADVANCED_FACE('',(#10114),#146085,.T.); #151282=ADVANCED_FACE('',(#10115),#3972,.F.); #151283=ADVANCED_FACE('',(#10116),#146086,.F.); #151284=ADVANCED_FACE('',(#10117),#3973,.F.); #151285=ADVANCED_FACE('',(#10118),#146087,.F.); #151286=ADVANCED_FACE('',(#10119),#146088,.F.); #151287=ADVANCED_FACE('',(#10120),#3974,.F.); #151288=ADVANCED_FACE('',(#10121),#3975,.F.); #151289=ADVANCED_FACE('',(#10122),#3976,.F.); #151290=ADVANCED_FACE('',(#10123),#3977,.F.); #151291=ADVANCED_FACE('',(#10124),#3978,.F.); #151292=ADVANCED_FACE('',(#10125),#3979,.F.); #151293=ADVANCED_FACE('',(#10126),#3980,.F.); #151294=ADVANCED_FACE('',(#10127),#3981,.F.); #151295=ADVANCED_FACE('',(#10128),#3982,.F.); #151296=ADVANCED_FACE('',(#10129),#146089,.F.); #151297=ADVANCED_FACE('',(#10130),#3983,.F.); #151298=ADVANCED_FACE('',(#10131),#3984,.F.); #151299=ADVANCED_FACE('',(#10132),#3985,.F.); #151300=ADVANCED_FACE('',(#10133),#3986,.F.); #151301=ADVANCED_FACE('',(#10134),#146090,.F.); #151302=ADVANCED_FACE('',(#10135),#146091,.F.); #151303=ADVANCED_FACE('',(#10136),#3987,.F.); #151304=ADVANCED_FACE('',(#10137),#146092,.F.); #151305=ADVANCED_FACE('',(#10138),#146093,.F.); #151306=ADVANCED_FACE('',(#10139),#146094,.F.); #151307=ADVANCED_FACE('',(#10140),#3988,.F.); #151308=ADVANCED_FACE('',(#10141),#3989,.F.); #151309=ADVANCED_FACE('',(#10142),#3990,.F.); #151310=ADVANCED_FACE('',(#10143),#3991,.F.); #151311=ADVANCED_FACE('',(#10144),#3992,.F.); #151312=ADVANCED_FACE('',(#10145),#3993,.F.); #151313=ADVANCED_FACE('',(#10146),#3994,.F.); #151314=ADVANCED_FACE('',(#10147),#3995,.F.); #151315=ADVANCED_FACE('',(#10148),#3996,.F.); #151316=ADVANCED_FACE('',(#10149,#980),#3997,.T.); #151317=ADVANCED_FACE('',(#10150),#3998,.F.); #151318=ADVANCED_FACE('',(#10151),#3999,.F.); #151319=ADVANCED_FACE('',(#10152),#4000,.F.); #151320=ADVANCED_FACE('',(#10153),#4001,.F.); #151321=ADVANCED_FACE('',(#10154),#4002,.F.); #151322=ADVANCED_FACE('',(#10155),#4003,.F.); #151323=ADVANCED_FACE('',(#10156),#4004,.F.); #151324=ADVANCED_FACE('',(#10157),#4005,.F.); #151325=ADVANCED_FACE('',(#10158),#4006,.F.); #151326=ADVANCED_FACE('',(#10159),#4007,.F.); #151327=ADVANCED_FACE('',(#10160),#4008,.F.); #151328=ADVANCED_FACE('',(#10161),#4009,.F.); #151329=ADVANCED_FACE('',(#10162),#4010,.F.); #151330=ADVANCED_FACE('',(#10163),#4011,.F.); #151331=ADVANCED_FACE('',(#10164),#146095,.F.); #151332=ADVANCED_FACE('',(#10165),#4012,.F.); #151333=ADVANCED_FACE('',(#10166),#4013,.F.); #151334=ADVANCED_FACE('',(#10167),#4014,.F.); #151335=ADVANCED_FACE('',(#10168),#146096,.T.); #151336=ADVANCED_FACE('',(#10169),#146097,.T.); #151337=ADVANCED_FACE('',(#10170),#146098,.T.); #151338=ADVANCED_FACE('',(#10171),#4015,.F.); #151339=ADVANCED_FACE('',(#10172),#4016,.F.); #151340=ADVANCED_FACE('',(#10173),#4017,.F.); #151341=ADVANCED_FACE('',(#10174),#4018,.F.); #151342=ADVANCED_FACE('',(#10175),#4019,.F.); #151343=ADVANCED_FACE('',(#10176),#4020,.F.); #151344=ADVANCED_FACE('',(#10177),#4021,.F.); #151345=ADVANCED_FACE('',(#10178),#4022,.F.); #151346=ADVANCED_FACE('',(#10179),#4023,.F.); #151347=ADVANCED_FACE('',(#10180),#4024,.F.); #151348=ADVANCED_FACE('',(#10181),#4025,.F.); #151349=ADVANCED_FACE('',(#10182),#4026,.F.); #151350=ADVANCED_FACE('',(#10183),#4027,.F.); #151351=ADVANCED_FACE('',(#10184),#4028,.F.); #151352=ADVANCED_FACE('',(#10185),#4029,.F.); #151353=ADVANCED_FACE('',(#10186),#4030,.F.); #151354=ADVANCED_FACE('',(#10187),#146099,.F.); #151355=ADVANCED_FACE('',(#10188),#4031,.F.); #151356=ADVANCED_FACE('',(#10189),#4032,.F.); #151357=ADVANCED_FACE('',(#10190),#146100,.F.); #151358=ADVANCED_FACE('',(#10191),#4033,.F.); #151359=ADVANCED_FACE('',(#10192),#4034,.F.); #151360=ADVANCED_FACE('',(#10193),#4035,.F.); #151361=ADVANCED_FACE('',(#10194),#4036,.F.); #151362=ADVANCED_FACE('',(#10195),#4037,.F.); #151363=ADVANCED_FACE('',(#10196),#4038,.F.); #151364=ADVANCED_FACE('',(#10197),#4039,.F.); #151365=ADVANCED_FACE('',(#10198),#4040,.F.); #151366=ADVANCED_FACE('',(#10199),#4041,.F.); #151367=ADVANCED_FACE('',(#10200),#4042,.F.); #151368=ADVANCED_FACE('',(#10201),#4043,.F.); #151369=ADVANCED_FACE('',(#10202),#4044,.F.); #151370=ADVANCED_FACE('',(#10203),#4045,.F.); #151371=ADVANCED_FACE('',(#10204),#4046,.F.); #151372=ADVANCED_FACE('',(#10205),#4047,.F.); #151373=ADVANCED_FACE('',(#10206),#4048,.F.); #151374=ADVANCED_FACE('',(#10207),#4049,.F.); #151375=ADVANCED_FACE('',(#10208),#4050,.F.); #151376=ADVANCED_FACE('',(#10209),#4051,.F.); #151377=ADVANCED_FACE('',(#10210),#4052,.F.); #151378=ADVANCED_FACE('',(#10211),#4053,.F.); #151379=ADVANCED_FACE('',(#10212),#4054,.F.); #151380=ADVANCED_FACE('',(#10213),#4055,.F.); #151381=ADVANCED_FACE('',(#10214),#4056,.F.); #151382=ADVANCED_FACE('',(#10215),#4057,.F.); #151383=ADVANCED_FACE('',(#10216),#4058,.F.); #151384=ADVANCED_FACE('',(#10217),#146101,.F.); #151385=ADVANCED_FACE('',(#10218),#4059,.F.); #151386=ADVANCED_FACE('',(#10219),#4060,.F.); #151387=ADVANCED_FACE('',(#10220),#4061,.F.); #151388=ADVANCED_FACE('',(#10221),#4062,.F.); #151389=ADVANCED_FACE('',(#10222),#4063,.F.); #151390=ADVANCED_FACE('',(#10223),#4064,.F.); #151391=ADVANCED_FACE('',(#10224),#4065,.F.); #151392=ADVANCED_FACE('',(#10225),#4066,.F.); #151393=ADVANCED_FACE('',(#10226),#4067,.F.); #151394=ADVANCED_FACE('',(#10227),#4068,.F.); #151395=ADVANCED_FACE('',(#10228),#4069,.F.); #151396=ADVANCED_FACE('',(#10229),#4070,.F.); #151397=ADVANCED_FACE('',(#10230),#4071,.F.); #151398=ADVANCED_FACE('',(#10231),#4072,.F.); #151399=ADVANCED_FACE('',(#10232),#4073,.F.); #151400=ADVANCED_FACE('',(#10233),#4074,.F.); #151401=ADVANCED_FACE('',(#10234),#4075,.F.); #151402=ADVANCED_FACE('',(#10235),#4076,.F.); #151403=ADVANCED_FACE('',(#10236),#4077,.F.); #151404=ADVANCED_FACE('',(#10237),#4078,.F.); #151405=ADVANCED_FACE('',(#10238),#4079,.F.); #151406=ADVANCED_FACE('',(#10239),#4080,.F.); #151407=ADVANCED_FACE('',(#10240),#4081,.F.); #151408=ADVANCED_FACE('',(#10241),#4082,.F.); #151409=ADVANCED_FACE('',(#10242),#4083,.F.); #151410=ADVANCED_FACE('',(#10243),#146102,.F.); #151411=ADVANCED_FACE('',(#10244),#4084,.F.); #151412=ADVANCED_FACE('',(#10245),#4085,.F.); #151413=ADVANCED_FACE('',(#10246),#146103,.F.); #151414=ADVANCED_FACE('',(#10247),#146104,.F.); #151415=ADVANCED_FACE('',(#10248),#146105,.F.); #151416=ADVANCED_FACE('',(#10249),#146106,.F.); #151417=ADVANCED_FACE('',(#10250),#4086,.F.); #151418=ADVANCED_FACE('',(#10251),#4087,.F.); #151419=ADVANCED_FACE('',(#10252),#4088,.F.); #151420=ADVANCED_FACE('',(#10253),#4089,.F.); #151421=ADVANCED_FACE('',(#10254),#4090,.F.); #151422=ADVANCED_FACE('',(#10255),#4091,.F.); #151423=ADVANCED_FACE('',(#10256),#4092,.F.); #151424=ADVANCED_FACE('',(#10257),#4093,.F.); #151425=ADVANCED_FACE('',(#10258),#4094,.F.); #151426=ADVANCED_FACE('',(#10259,#981),#4095,.T.); #151427=ADVANCED_FACE('',(#10260),#4096,.F.); #151428=ADVANCED_FACE('',(#10261),#146107,.T.); #151429=ADVANCED_FACE('',(#10262),#146108,.T.); #151430=ADVANCED_FACE('',(#10263),#146109,.T.); #151431=ADVANCED_FACE('',(#10264),#4097,.F.); #151432=ADVANCED_FACE('',(#10265),#4098,.F.); #151433=ADVANCED_FACE('',(#10266),#4099,.F.); #151434=ADVANCED_FACE('',(#10267),#4100,.F.); #151435=ADVANCED_FACE('',(#10268),#4101,.F.); #151436=ADVANCED_FACE('',(#10269),#4102,.F.); #151437=ADVANCED_FACE('',(#10270),#4103,.F.); #151438=ADVANCED_FACE('',(#10271),#4104,.F.); #151439=ADVANCED_FACE('',(#10272),#4105,.F.); #151440=ADVANCED_FACE('',(#10273),#4106,.F.); #151441=ADVANCED_FACE('',(#10274),#4107,.F.); #151442=ADVANCED_FACE('',(#10275),#4108,.F.); #151443=ADVANCED_FACE('',(#10276),#4109,.F.); #151444=ADVANCED_FACE('',(#10277),#4110,.F.); #151445=ADVANCED_FACE('',(#10278),#4111,.F.); #151446=ADVANCED_FACE('',(#10279),#4112,.F.); #151447=ADVANCED_FACE('',(#10280),#4113,.F.); #151448=ADVANCED_FACE('',(#10281),#4114,.F.); #151449=ADVANCED_FACE('',(#10282),#4115,.F.); #151450=ADVANCED_FACE('',(#10283),#146110,.F.); #151451=ADVANCED_FACE('',(#10284),#146111,.F.); #151452=ADVANCED_FACE('',(#10285),#4116,.F.); #151453=ADVANCED_FACE('',(#10286),#146112,.F.); #151454=ADVANCED_FACE('',(#10287),#146113,.F.); #151455=ADVANCED_FACE('',(#10288),#146114,.F.); #151456=ADVANCED_FACE('',(#10289),#146115,.F.); #151457=ADVANCED_FACE('',(#10290),#146116,.F.); #151458=ADVANCED_FACE('',(#10291),#4117,.F.); #151459=ADVANCED_FACE('',(#10292),#4118,.F.); #151460=ADVANCED_FACE('',(#10293),#4119,.F.); #151461=ADVANCED_FACE('',(#10294),#4120,.F.); #151462=ADVANCED_FACE('',(#10295),#4121,.F.); #151463=ADVANCED_FACE('',(#10296),#4122,.F.); #151464=ADVANCED_FACE('',(#10297),#4123,.F.); #151465=ADVANCED_FACE('',(#10298),#4124,.F.); #151466=ADVANCED_FACE('',(#10299),#4125,.F.); #151467=ADVANCED_FACE('',(#10300),#4126,.F.); #151468=ADVANCED_FACE('',(#10301),#4127,.F.); #151469=ADVANCED_FACE('',(#10302),#146117,.F.); #151470=ADVANCED_FACE('',(#10303),#4128,.F.); #151471=ADVANCED_FACE('',(#10304),#4129,.F.); #151472=ADVANCED_FACE('',(#10305),#4130,.F.); #151473=ADVANCED_FACE('',(#10306),#4131,.F.); #151474=ADVANCED_FACE('',(#10307),#4132,.F.); #151475=ADVANCED_FACE('',(#10308),#4133,.F.); #151476=ADVANCED_FACE('',(#10309),#4134,.F.); #151477=ADVANCED_FACE('',(#10310),#4135,.F.); #151478=ADVANCED_FACE('',(#10311),#4136,.F.); #151479=ADVANCED_FACE('',(#10312),#146118,.F.); #151480=ADVANCED_FACE('',(#10313),#4137,.F.); #151481=ADVANCED_FACE('',(#10314),#4138,.F.); #151482=ADVANCED_FACE('',(#10315),#4139,.F.); #151483=ADVANCED_FACE('',(#10316),#4140,.F.); #151484=ADVANCED_FACE('',(#10317),#4141,.F.); #151485=ADVANCED_FACE('',(#10318),#146119,.F.); #151486=ADVANCED_FACE('',(#10319),#146120,.F.); #151487=ADVANCED_FACE('',(#10320),#4142,.F.); #151488=ADVANCED_FACE('',(#10321),#4143,.F.); #151489=ADVANCED_FACE('',(#10322),#4144,.F.); #151490=ADVANCED_FACE('',(#10323),#4145,.F.); #151491=ADVANCED_FACE('',(#10324),#4146,.F.); #151492=ADVANCED_FACE('',(#10325),#4147,.F.); #151493=ADVANCED_FACE('',(#10326),#4148,.F.); #151494=ADVANCED_FACE('',(#10327),#4149,.F.); #151495=ADVANCED_FACE('',(#10328),#4150,.F.); #151496=ADVANCED_FACE('',(#10329),#4151,.F.); #151497=ADVANCED_FACE('',(#10330),#4152,.F.); #151498=ADVANCED_FACE('',(#10331),#4153,.F.); #151499=ADVANCED_FACE('',(#10332),#4154,.F.); #151500=ADVANCED_FACE('',(#10333),#4155,.F.); #151501=ADVANCED_FACE('',(#10334),#4156,.F.); #151502=ADVANCED_FACE('',(#10335),#4157,.F.); #151503=ADVANCED_FACE('',(#10336),#4158,.F.); #151504=ADVANCED_FACE('',(#10337),#4159,.F.); #151505=ADVANCED_FACE('',(#10338),#4160,.F.); #151506=ADVANCED_FACE('',(#10339),#4161,.F.); #151507=ADVANCED_FACE('',(#10340),#4162,.F.); #151508=ADVANCED_FACE('',(#10341),#4163,.F.); #151509=ADVANCED_FACE('',(#10342),#146121,.F.); #151510=ADVANCED_FACE('',(#10343),#146122,.F.); #151511=ADVANCED_FACE('',(#10344),#146123,.F.); #151512=ADVANCED_FACE('',(#10345),#146124,.F.); #151513=ADVANCED_FACE('',(#10346),#4164,.F.); #151514=ADVANCED_FACE('',(#10347),#4165,.F.); #151515=ADVANCED_FACE('',(#10348),#4166,.F.); #151516=ADVANCED_FACE('',(#10349),#4167,.F.); #151517=ADVANCED_FACE('',(#10350),#4168,.F.); #151518=ADVANCED_FACE('',(#10351),#4169,.F.); #151519=ADVANCED_FACE('',(#10352),#4170,.F.); #151520=ADVANCED_FACE('',(#10353),#4171,.F.); #151521=ADVANCED_FACE('',(#10354),#4172,.F.); #151522=ADVANCED_FACE('',(#10355),#4173,.F.); #151523=ADVANCED_FACE('',(#10356),#146125,.F.); #151524=ADVANCED_FACE('',(#10357),#4174,.F.); #151525=ADVANCED_FACE('',(#10358),#4175,.F.); #151526=ADVANCED_FACE('',(#10359),#4176,.F.); #151527=ADVANCED_FACE('',(#10360),#4177,.F.); #151528=ADVANCED_FACE('',(#10361),#4178,.F.); #151529=ADVANCED_FACE('',(#10362),#4179,.F.); #151530=ADVANCED_FACE('',(#10363),#4180,.F.); #151531=ADVANCED_FACE('',(#10364),#4181,.F.); #151532=ADVANCED_FACE('',(#10365),#4182,.F.); #151533=ADVANCED_FACE('',(#10366),#4183,.F.); #151534=ADVANCED_FACE('',(#10367),#146126,.F.); #151535=ADVANCED_FACE('',(#10368),#4184,.F.); #151536=ADVANCED_FACE('',(#10369),#4185,.F.); #151537=ADVANCED_FACE('',(#10370),#4186,.F.); #151538=ADVANCED_FACE('',(#10371),#146127,.T.); #151539=ADVANCED_FACE('',(#10372),#4187,.F.); #151540=ADVANCED_FACE('',(#10373),#146128,.F.); #151541=ADVANCED_FACE('',(#10374),#146129,.F.); #151542=ADVANCED_FACE('',(#10375),#4188,.F.); #151543=ADVANCED_FACE('',(#10376,#982),#4189,.T.); #151544=ADVANCED_FACE('',(#10377),#4190,.F.); #151545=ADVANCED_FACE('',(#10378),#4191,.F.); #151546=ADVANCED_FACE('',(#10379),#4192,.F.); #151547=ADVANCED_FACE('',(#10380),#4193,.F.); #151548=ADVANCED_FACE('',(#10381),#4194,.F.); #151549=ADVANCED_FACE('',(#10382),#4195,.F.); #151550=ADVANCED_FACE('',(#10383),#4196,.F.); #151551=ADVANCED_FACE('',(#10384),#146130,.F.); #151552=ADVANCED_FACE('',(#10385),#146131,.F.); #151553=ADVANCED_FACE('',(#10386),#4197,.F.); #151554=ADVANCED_FACE('',(#10387),#146132,.T.); #151555=ADVANCED_FACE('',(#10388),#146133,.T.); #151556=ADVANCED_FACE('',(#10389),#146134,.T.); #151557=ADVANCED_FACE('',(#10390),#4198,.F.); #151558=ADVANCED_FACE('',(#10391),#146135,.F.); #151559=ADVANCED_FACE('',(#10392),#4199,.F.); #151560=ADVANCED_FACE('',(#10393),#4200,.F.); #151561=ADVANCED_FACE('',(#10394),#146136,.F.); #151562=ADVANCED_FACE('',(#10395),#4201,.F.); #151563=ADVANCED_FACE('',(#10396),#146137,.F.); #151564=ADVANCED_FACE('',(#10397),#146138,.F.); #151565=ADVANCED_FACE('',(#10398),#146139,.F.); #151566=ADVANCED_FACE('',(#10399),#4202,.F.); #151567=ADVANCED_FACE('',(#10400),#146140,.F.); #151568=ADVANCED_FACE('',(#10401),#146141,.F.); #151569=ADVANCED_FACE('',(#10402),#146142,.F.); #151570=ADVANCED_FACE('',(#10403),#4203,.F.); #151571=ADVANCED_FACE('',(#10404),#4204,.F.); #151572=ADVANCED_FACE('',(#10405),#4205,.F.); #151573=ADVANCED_FACE('',(#10406),#4206,.F.); #151574=ADVANCED_FACE('',(#10407),#146143,.F.); #151575=ADVANCED_FACE('',(#10408),#146144,.F.); #151576=ADVANCED_FACE('',(#10409),#4207,.F.); #151577=ADVANCED_FACE('',(#10410),#146145,.T.); #151578=ADVANCED_FACE('',(#10411),#146146,.T.); #151579=ADVANCED_FACE('',(#10412),#146147,.T.); #151580=ADVANCED_FACE('',(#10413),#4208,.F.); #151581=ADVANCED_FACE('',(#10414),#4209,.F.); #151582=ADVANCED_FACE('',(#10415),#4210,.F.); #151583=ADVANCED_FACE('',(#10416),#146148,.F.); #151584=ADVANCED_FACE('',(#10417),#4211,.F.); #151585=ADVANCED_FACE('',(#10418),#4212,.F.); #151586=ADVANCED_FACE('',(#10419),#146149,.F.); #151587=ADVANCED_FACE('',(#10420),#4213,.F.); #151588=ADVANCED_FACE('',(#10421),#146150,.F.); #151589=ADVANCED_FACE('',(#10422),#4214,.T.); #151590=ADVANCED_FACE('',(#10423),#4215,.F.); #151591=ADVANCED_FACE('',(#10424),#146151,.F.); #151592=ADVANCED_FACE('',(#10425),#4216,.F.); #151593=ADVANCED_FACE('',(#10426),#4217,.F.); #151594=ADVANCED_FACE('',(#10427),#4218,.F.); #151595=ADVANCED_FACE('',(#10428),#146152,.F.); #151596=ADVANCED_FACE('',(#10429),#146153,.F.); #151597=ADVANCED_FACE('',(#10430),#4219,.F.); #151598=ADVANCED_FACE('',(#10431),#4220,.F.); #151599=ADVANCED_FACE('',(#10432),#146154,.F.); #151600=ADVANCED_FACE('',(#10433),#146155,.F.); #151601=ADVANCED_FACE('',(#10434),#4221,.F.); #151602=ADVANCED_FACE('',(#10435),#146156,.F.); #151603=ADVANCED_FACE('',(#10436),#4222,.F.); #151604=ADVANCED_FACE('',(#10437),#4223,.F.); #151605=ADVANCED_FACE('',(#10438),#4224,.F.); #151606=ADVANCED_FACE('',(#10439),#4225,.F.); #151607=ADVANCED_FACE('',(#10440),#146157,.F.); #151608=ADVANCED_FACE('',(#10441),#146158,.F.); #151609=ADVANCED_FACE('',(#10442),#4226,.F.); #151610=ADVANCED_FACE('',(#10443),#4227,.F.); #151611=ADVANCED_FACE('',(#10444),#146159,.F.); #151612=ADVANCED_FACE('',(#10445),#4228,.T.); #151613=ADVANCED_FACE('',(#10446),#146160,.F.); #151614=ADVANCED_FACE('',(#10447),#146161,.F.); #151615=ADVANCED_FACE('',(#10448),#4229,.F.); #151616=ADVANCED_FACE('',(#10449),#4230,.F.); #151617=ADVANCED_FACE('',(#10450),#4231,.F.); #151618=ADVANCED_FACE('',(#10451),#4232,.F.); #151619=ADVANCED_FACE('',(#10452),#4233,.F.); #151620=ADVANCED_FACE('',(#10453),#4234,.F.); #151621=ADVANCED_FACE('',(#10454),#4235,.F.); #151622=ADVANCED_FACE('',(#10455),#4236,.F.); #151623=ADVANCED_FACE('',(#10456),#146162,.F.); #151624=ADVANCED_FACE('',(#10457),#4237,.F.); #151625=ADVANCED_FACE('',(#10458),#4238,.F.); #151626=ADVANCED_FACE('',(#10459),#4239,.F.); #151627=ADVANCED_FACE('',(#10460),#4240,.F.); #151628=ADVANCED_FACE('',(#10461),#4241,.F.); #151629=ADVANCED_FACE('',(#10462),#4242,.F.); #151630=ADVANCED_FACE('',(#10463),#4243,.F.); #151631=ADVANCED_FACE('',(#10464),#146163,.F.); #151632=ADVANCED_FACE('',(#10465),#146164,.F.); #151633=ADVANCED_FACE('',(#10466),#4244,.F.); #151634=ADVANCED_FACE('',(#10467),#146165,.F.); #151635=ADVANCED_FACE('',(#10468),#146166,.F.); #151636=ADVANCED_FACE('',(#10469),#146167,.F.); #151637=ADVANCED_FACE('',(#10470),#4245,.F.); #151638=ADVANCED_FACE('',(#10471),#146168,.T.); #151639=ADVANCED_FACE('',(#10472),#146169,.T.); #151640=ADVANCED_FACE('',(#10473),#146170,.T.); #151641=ADVANCED_FACE('',(#10474),#146171,.T.); #151642=ADVANCED_FACE('',(#10475),#4246,.F.); #151643=ADVANCED_FACE('',(#10476),#146172,.F.); #151644=ADVANCED_FACE('',(#10477),#4247,.F.); #151645=ADVANCED_FACE('',(#10478),#4248,.F.); #151646=ADVANCED_FACE('',(#10479),#4249,.F.); #151647=ADVANCED_FACE('',(#10480),#4250,.F.); #151648=ADVANCED_FACE('',(#10481),#4251,.F.); #151649=ADVANCED_FACE('',(#10482),#4252,.F.); #151650=ADVANCED_FACE('',(#10483),#4253,.F.); #151651=ADVANCED_FACE('',(#10484),#4254,.F.); #151652=ADVANCED_FACE('',(#10485),#4255,.F.); #151653=ADVANCED_FACE('',(#10486),#4256,.F.); #151654=ADVANCED_FACE('',(#10487),#4257,.F.); #151655=ADVANCED_FACE('',(#10488),#146173,.F.); #151656=ADVANCED_FACE('',(#10489),#4258,.F.); #151657=ADVANCED_FACE('',(#10490),#4259,.F.); #151658=ADVANCED_FACE('',(#10491),#146174,.F.); #151659=ADVANCED_FACE('',(#10492),#4260,.F.); #151660=ADVANCED_FACE('',(#10493),#4261,.F.); #151661=ADVANCED_FACE('',(#10494),#4262,.F.); #151662=ADVANCED_FACE('',(#10495),#4263,.F.); #151663=ADVANCED_FACE('',(#10496),#4264,.F.); #151664=ADVANCED_FACE('',(#10497),#4265,.F.); #151665=ADVANCED_FACE('',(#10498),#146175,.F.); #151666=ADVANCED_FACE('',(#10499),#146176,.F.); #151667=ADVANCED_FACE('',(#10500),#146177,.F.); #151668=ADVANCED_FACE('',(#10501),#4266,.F.); #151669=ADVANCED_FACE('',(#10502),#4267,.F.); #151670=ADVANCED_FACE('',(#10503),#4268,.F.); #151671=ADVANCED_FACE('',(#10504),#4269,.F.); #151672=ADVANCED_FACE('',(#10505),#4270,.F.); #151673=ADVANCED_FACE('',(#10506),#4271,.F.); #151674=ADVANCED_FACE('',(#10507),#4272,.F.); #151675=ADVANCED_FACE('',(#10508),#4273,.F.); #151676=ADVANCED_FACE('',(#10509),#146178,.F.); #151677=ADVANCED_FACE('',(#10510),#4274,.F.); #151678=ADVANCED_FACE('',(#10511),#4275,.F.); #151679=ADVANCED_FACE('',(#10512),#4276,.F.); #151680=ADVANCED_FACE('',(#10513),#4277,.F.); #151681=ADVANCED_FACE('',(#10514),#4278,.F.); #151682=ADVANCED_FACE('',(#10515),#146179,.F.); #151683=ADVANCED_FACE('',(#10516),#4279,.F.); #151684=ADVANCED_FACE('',(#10517),#4280,.F.); #151685=ADVANCED_FACE('',(#10518),#4281,.F.); #151686=ADVANCED_FACE('',(#10519),#4282,.F.); #151687=ADVANCED_FACE('',(#10520),#4283,.F.); #151688=ADVANCED_FACE('',(#10521),#146180,.F.); #151689=ADVANCED_FACE('',(#10522),#4284,.F.); #151690=ADVANCED_FACE('',(#10523),#146181,.F.); #151691=ADVANCED_FACE('',(#10524),#146182,.F.); #151692=ADVANCED_FACE('',(#10525),#146183,.F.); #151693=ADVANCED_FACE('',(#10526),#146184,.F.); #151694=ADVANCED_FACE('',(#10527),#4285,.F.); #151695=ADVANCED_FACE('',(#10528),#146185,.T.); #151696=ADVANCED_FACE('',(#10529),#146186,.T.); #151697=ADVANCED_FACE('',(#10530),#146187,.T.); #151698=ADVANCED_FACE('',(#10531),#146188,.T.); #151699=ADVANCED_FACE('',(#10532),#146189,.T.); #151700=ADVANCED_FACE('',(#10533),#4286,.F.); #151701=ADVANCED_FACE('',(#10534),#146190,.F.); #151702=ADVANCED_FACE('',(#10535),#4287,.F.); #151703=ADVANCED_FACE('',(#10536),#4288,.F.); #151704=ADVANCED_FACE('',(#10537),#4289,.F.); #151705=ADVANCED_FACE('',(#10538),#4290,.F.); #151706=ADVANCED_FACE('',(#10539),#4291,.F.); #151707=ADVANCED_FACE('',(#10540),#4292,.F.); #151708=ADVANCED_FACE('',(#10541),#4293,.F.); #151709=ADVANCED_FACE('',(#10542),#4294,.F.); #151710=ADVANCED_FACE('',(#10543),#4295,.F.); #151711=ADVANCED_FACE('',(#10544),#4296,.F.); #151712=ADVANCED_FACE('',(#10545),#4297,.F.); #151713=ADVANCED_FACE('',(#10546),#4298,.F.); #151714=ADVANCED_FACE('',(#10547),#4299,.F.); #151715=ADVANCED_FACE('',(#10548),#4300,.F.); #151716=ADVANCED_FACE('',(#10549),#4301,.F.); #151717=ADVANCED_FACE('',(#10550),#4302,.F.); #151718=ADVANCED_FACE('',(#10551),#146191,.F.); #151719=ADVANCED_FACE('',(#10552),#4303,.F.); #151720=ADVANCED_FACE('',(#10553),#4304,.F.); #151721=ADVANCED_FACE('',(#10554),#4305,.F.); #151722=ADVANCED_FACE('',(#10555),#146192,.F.); #151723=ADVANCED_FACE('',(#10556),#146193,.F.); #151724=ADVANCED_FACE('',(#10557),#4306,.F.); #151725=ADVANCED_FACE('',(#10558),#4307,.F.); #151726=ADVANCED_FACE('',(#10559),#4308,.T.); #151727=ADVANCED_FACE('',(#10560),#146194,.T.); #151728=ADVANCED_FACE('',(#10561),#146195,.T.); #151729=ADVANCED_FACE('',(#10562),#146196,.T.); #151730=ADVANCED_FACE('',(#10563),#4309,.F.); #151731=ADVANCED_FACE('',(#10564),#4310,.F.); #151732=ADVANCED_FACE('',(#10565),#4311,.F.); #151733=ADVANCED_FACE('',(#10566),#4312,.F.); #151734=ADVANCED_FACE('',(#10567),#4313,.F.); #151735=ADVANCED_FACE('',(#10568),#4314,.F.); #151736=ADVANCED_FACE('',(#10569),#4315,.F.); #151737=ADVANCED_FACE('',(#10570),#146197,.T.); #151738=ADVANCED_FACE('',(#10571),#146198,.T.); #151739=ADVANCED_FACE('',(#10572),#146199,.T.); #151740=ADVANCED_FACE('',(#10573),#4316,.F.); #151741=ADVANCED_FACE('',(#10574),#4317,.F.); #151742=ADVANCED_FACE('',(#10575),#4318,.F.); #151743=ADVANCED_FACE('',(#10576),#4319,.F.); #151744=ADVANCED_FACE('',(#10577),#4320,.F.); #151745=ADVANCED_FACE('',(#10578),#4321,.F.); #151746=ADVANCED_FACE('',(#10579),#4322,.F.); #151747=ADVANCED_FACE('',(#10580),#146200,.F.); #151748=ADVANCED_FACE('',(#10581),#146201,.F.); #151749=ADVANCED_FACE('',(#10582),#146202,.F.); #151750=ADVANCED_FACE('',(#10583),#146203,.F.); #151751=ADVANCED_FACE('',(#10584),#4323,.F.); #151752=ADVANCED_FACE('',(#10585),#146204,.F.); #151753=ADVANCED_FACE('',(#10586),#146205,.F.); #151754=ADVANCED_FACE('',(#10587),#146206,.F.); #151755=ADVANCED_FACE('',(#10588),#4324,.F.); #151756=ADVANCED_FACE('',(#10589),#4325,.F.); #151757=ADVANCED_FACE('',(#10590),#4326,.F.); #151758=ADVANCED_FACE('',(#10591),#4327,.F.); #151759=ADVANCED_FACE('',(#10592),#4328,.F.); #151760=ADVANCED_FACE('',(#10593),#4329,.F.); #151761=ADVANCED_FACE('',(#10594),#4330,.F.); #151762=ADVANCED_FACE('',(#10595),#4331,.F.); #151763=ADVANCED_FACE('',(#10596),#4332,.F.); #151764=ADVANCED_FACE('',(#10597),#4333,.F.); #151765=ADVANCED_FACE('',(#10598),#4334,.F.); #151766=ADVANCED_FACE('',(#10599),#4335,.F.); #151767=ADVANCED_FACE('',(#10600),#4336,.F.); #151768=ADVANCED_FACE('',(#10601),#4337,.F.); #151769=ADVANCED_FACE('',(#10602),#4338,.F.); #151770=ADVANCED_FACE('',(#10603),#4339,.F.); #151771=ADVANCED_FACE('',(#10604),#4340,.F.); #151772=ADVANCED_FACE('',(#10605),#4341,.F.); #151773=ADVANCED_FACE('',(#10606),#4342,.F.); #151774=ADVANCED_FACE('',(#10607),#4343,.F.); #151775=ADVANCED_FACE('',(#10608),#4344,.F.); #151776=ADVANCED_FACE('',(#10609),#4345,.F.); #151777=ADVANCED_FACE('',(#10610),#4346,.F.); #151778=ADVANCED_FACE('',(#10611),#4347,.F.); #151779=ADVANCED_FACE('',(#10612),#4348,.F.); #151780=ADVANCED_FACE('',(#10613),#4349,.F.); #151781=ADVANCED_FACE('',(#10614),#146207,.F.); #151782=ADVANCED_FACE('',(#10615),#4350,.F.); #151783=ADVANCED_FACE('',(#10616),#4351,.F.); #151784=ADVANCED_FACE('',(#10617),#146208,.F.); #151785=ADVANCED_FACE('',(#10618),#4352,.F.); #151786=ADVANCED_FACE('',(#10619),#4353,.F.); #151787=ADVANCED_FACE('',(#10620),#4354,.F.); #151788=ADVANCED_FACE('',(#10621),#4355,.F.); #151789=ADVANCED_FACE('',(#10622),#4356,.F.); #151790=ADVANCED_FACE('',(#10623),#4357,.F.); #151791=ADVANCED_FACE('',(#10624),#4358,.F.); #151792=ADVANCED_FACE('',(#10625),#4359,.F.); #151793=ADVANCED_FACE('',(#10626),#4360,.F.); #151794=ADVANCED_FACE('',(#10627),#4361,.F.); #151795=ADVANCED_FACE('',(#10628),#4362,.F.); #151796=ADVANCED_FACE('',(#10629),#4363,.F.); #151797=ADVANCED_FACE('',(#10630),#4364,.F.); #151798=ADVANCED_FACE('',(#10631),#4365,.F.); #151799=ADVANCED_FACE('',(#10632),#4366,.F.); #151800=ADVANCED_FACE('',(#10633),#4367,.F.); #151801=ADVANCED_FACE('',(#10634),#4368,.F.); #151802=ADVANCED_FACE('',(#10635),#4369,.F.); #151803=ADVANCED_FACE('',(#10636),#4370,.F.); #151804=ADVANCED_FACE('',(#10637),#4371,.F.); #151805=ADVANCED_FACE('',(#10638),#4372,.F.); #151806=ADVANCED_FACE('',(#10639),#4373,.F.); #151807=ADVANCED_FACE('',(#10640),#4374,.F.); #151808=ADVANCED_FACE('',(#10641,#983,#984),#4375,.T.); #151809=ADVANCED_FACE('',(#10642),#4376,.F.); #151810=ADVANCED_FACE('',(#10643),#4377,.F.); #151811=ADVANCED_FACE('',(#10644),#4378,.F.); #151812=ADVANCED_FACE('',(#10645),#4379,.F.); #151813=ADVANCED_FACE('',(#10646),#4380,.F.); #151814=ADVANCED_FACE('',(#10647),#4381,.F.); #151815=ADVANCED_FACE('',(#10648),#4382,.F.); #151816=ADVANCED_FACE('',(#10649),#4383,.F.); #151817=ADVANCED_FACE('',(#10650),#4384,.F.); #151818=ADVANCED_FACE('',(#10651),#4385,.F.); #151819=ADVANCED_FACE('',(#10652),#4386,.F.); #151820=ADVANCED_FACE('',(#10653),#4387,.F.); #151821=ADVANCED_FACE('',(#10654),#4388,.F.); #151822=ADVANCED_FACE('',(#10655),#4389,.F.); #151823=ADVANCED_FACE('',(#10656),#4390,.F.); #151824=ADVANCED_FACE('',(#10657),#4391,.F.); #151825=ADVANCED_FACE('',(#10658),#4392,.F.); #151826=ADVANCED_FACE('',(#10659),#4393,.F.); #151827=ADVANCED_FACE('',(#10660),#4394,.F.); #151828=ADVANCED_FACE('',(#10661),#146209,.F.); #151829=ADVANCED_FACE('',(#10662),#146210,.F.); #151830=ADVANCED_FACE('',(#10663),#4395,.F.); #151831=ADVANCED_FACE('',(#10664),#4396,.F.); #151832=ADVANCED_FACE('',(#10665),#4397,.F.); #151833=ADVANCED_FACE('',(#10666),#4398,.F.); #151834=ADVANCED_FACE('',(#10667),#4399,.F.); #151835=ADVANCED_FACE('',(#10668),#4400,.F.); #151836=ADVANCED_FACE('',(#10669),#4401,.F.); #151837=ADVANCED_FACE('',(#10670),#4402,.F.); #151838=ADVANCED_FACE('',(#10671),#4403,.F.); #151839=ADVANCED_FACE('',(#10672),#4404,.F.); #151840=ADVANCED_FACE('',(#10673),#4405,.F.); #151841=ADVANCED_FACE('',(#10674),#4406,.F.); #151842=ADVANCED_FACE('',(#10675),#4407,.F.); #151843=ADVANCED_FACE('',(#10676),#4408,.F.); #151844=ADVANCED_FACE('',(#10677),#4409,.F.); #151845=ADVANCED_FACE('',(#10678),#4410,.F.); #151846=ADVANCED_FACE('',(#10679),#4411,.F.); #151847=ADVANCED_FACE('',(#10680),#4412,.F.); #151848=ADVANCED_FACE('',(#10681),#4413,.F.); #151849=ADVANCED_FACE('',(#10682),#4414,.F.); #151850=ADVANCED_FACE('',(#10683),#4415,.F.); #151851=ADVANCED_FACE('',(#10684),#4416,.F.); #151852=ADVANCED_FACE('',(#10685),#4417,.F.); #151853=ADVANCED_FACE('',(#10686),#146211,.F.); #151854=ADVANCED_FACE('',(#10687),#4418,.F.); #151855=ADVANCED_FACE('',(#10688),#4419,.F.); #151856=ADVANCED_FACE('',(#10689),#4420,.F.); #151857=ADVANCED_FACE('',(#10690),#146212,.T.); #151858=ADVANCED_FACE('',(#10691),#4421,.F.); #151859=ADVANCED_FACE('',(#10692),#4422,.F.); #151860=ADVANCED_FACE('',(#10693),#4423,.F.); #151861=ADVANCED_FACE('',(#10694),#146213,.F.); #151862=ADVANCED_FACE('',(#10695),#4424,.F.); #151863=ADVANCED_FACE('',(#10696),#4425,.F.); #151864=ADVANCED_FACE('',(#10697),#4426,.F.); #151865=ADVANCED_FACE('',(#10698),#4427,.F.); #151866=ADVANCED_FACE('',(#10699),#4428,.F.); #151867=ADVANCED_FACE('',(#10700),#4429,.F.); #151868=ADVANCED_FACE('',(#10701),#4430,.F.); #151869=ADVANCED_FACE('',(#10702),#4431,.F.); #151870=ADVANCED_FACE('',(#10703),#146214,.F.); #151871=ADVANCED_FACE('',(#10704),#4432,.F.); #151872=ADVANCED_FACE('',(#10705),#4433,.F.); #151873=ADVANCED_FACE('',(#10706),#4434,.F.); #151874=ADVANCED_FACE('',(#10707),#4435,.F.); #151875=ADVANCED_FACE('',(#10708),#4436,.F.); #151876=ADVANCED_FACE('',(#10709),#4437,.F.); #151877=ADVANCED_FACE('',(#10710),#146215,.F.); #151878=ADVANCED_FACE('',(#10711),#4438,.F.); #151879=ADVANCED_FACE('',(#10712),#4439,.F.); #151880=ADVANCED_FACE('',(#10713),#4440,.F.); #151881=ADVANCED_FACE('',(#10714),#4441,.F.); #151882=ADVANCED_FACE('',(#10715),#4442,.F.); #151883=ADVANCED_FACE('',(#10716),#4443,.F.); #151884=ADVANCED_FACE('',(#10717),#4444,.F.); #151885=ADVANCED_FACE('',(#10718),#4445,.F.); #151886=ADVANCED_FACE('',(#10719),#4446,.F.); #151887=ADVANCED_FACE('',(#10720),#146216,.F.); #151888=ADVANCED_FACE('',(#10721),#146217,.F.); #151889=ADVANCED_FACE('',(#10722),#146218,.F.); #151890=ADVANCED_FACE('',(#10723),#146219,.F.); #151891=ADVANCED_FACE('',(#10724),#4447,.F.); #151892=ADVANCED_FACE('',(#10725),#4448,.F.); #151893=ADVANCED_FACE('',(#10726),#4449,.F.); #151894=ADVANCED_FACE('',(#10727),#4450,.F.); #151895=ADVANCED_FACE('',(#10728),#4451,.F.); #151896=ADVANCED_FACE('',(#10729),#4452,.F.); #151897=ADVANCED_FACE('',(#10730),#4453,.F.); #151898=ADVANCED_FACE('',(#10731),#4454,.F.); #151899=ADVANCED_FACE('',(#10732),#4455,.F.); #151900=ADVANCED_FACE('',(#10733),#4456,.F.); #151901=ADVANCED_FACE('',(#10734),#4457,.F.); #151902=ADVANCED_FACE('',(#10735),#4458,.F.); #151903=ADVANCED_FACE('',(#10736),#4459,.F.); #151904=ADVANCED_FACE('',(#10737),#4460,.F.); #151905=ADVANCED_FACE('',(#10738),#4461,.F.); #151906=ADVANCED_FACE('',(#10739),#4462,.F.); #151907=ADVANCED_FACE('',(#10740),#4463,.F.); #151908=ADVANCED_FACE('',(#10741),#4464,.F.); #151909=ADVANCED_FACE('',(#10742),#4465,.F.); #151910=ADVANCED_FACE('',(#10743),#4466,.F.); #151911=ADVANCED_FACE('',(#10744),#4467,.F.); #151912=ADVANCED_FACE('',(#10745),#4468,.F.); #151913=ADVANCED_FACE('',(#10746),#4469,.F.); #151914=ADVANCED_FACE('',(#10747),#4470,.F.); #151915=ADVANCED_FACE('',(#10748),#4471,.F.); #151916=ADVANCED_FACE('',(#10749),#146220,.F.); #151917=ADVANCED_FACE('',(#10750),#4472,.F.); #151918=ADVANCED_FACE('',(#10751),#4473,.F.); #151919=ADVANCED_FACE('',(#10752),#146221,.F.); #151920=ADVANCED_FACE('',(#10753),#4474,.F.); #151921=ADVANCED_FACE('',(#10754),#4475,.F.); #151922=ADVANCED_FACE('',(#10755),#4476,.F.); #151923=ADVANCED_FACE('',(#10756),#4477,.F.); #151924=ADVANCED_FACE('',(#10757),#4478,.F.); #151925=ADVANCED_FACE('',(#10758),#4479,.F.); #151926=ADVANCED_FACE('',(#10759),#4480,.F.); #151927=ADVANCED_FACE('',(#10760),#4481,.F.); #151928=ADVANCED_FACE('',(#10761),#4482,.F.); #151929=ADVANCED_FACE('',(#10762),#4483,.F.); #151930=ADVANCED_FACE('',(#10763),#4484,.F.); #151931=ADVANCED_FACE('',(#10764),#4485,.F.); #151932=ADVANCED_FACE('',(#10765),#4486,.F.); #151933=ADVANCED_FACE('',(#10766),#4487,.F.); #151934=ADVANCED_FACE('',(#10767),#4488,.F.); #151935=ADVANCED_FACE('',(#10768),#4489,.F.); #151936=ADVANCED_FACE('',(#10769),#4490,.F.); #151937=ADVANCED_FACE('',(#10770),#4491,.F.); #151938=ADVANCED_FACE('',(#10771),#4492,.F.); #151939=ADVANCED_FACE('',(#10772),#4493,.F.); #151940=ADVANCED_FACE('',(#10773),#4494,.F.); #151941=ADVANCED_FACE('',(#10774),#4495,.F.); #151942=ADVANCED_FACE('',(#10775),#4496,.F.); #151943=ADVANCED_FACE('',(#10776),#4497,.F.); #151944=ADVANCED_FACE('',(#10777),#4498,.F.); #151945=ADVANCED_FACE('',(#10778),#4499,.F.); #151946=ADVANCED_FACE('',(#10779),#4500,.F.); #151947=ADVANCED_FACE('',(#10780),#146222,.F.); #151948=ADVANCED_FACE('',(#10781),#146223,.F.); #151949=ADVANCED_FACE('',(#10782),#4501,.F.); #151950=ADVANCED_FACE('',(#10783),#4502,.F.); #151951=ADVANCED_FACE('',(#10784),#4503,.F.); #151952=ADVANCED_FACE('',(#10785),#4504,.F.); #151953=ADVANCED_FACE('',(#10786),#4505,.F.); #151954=ADVANCED_FACE('',(#10787),#4506,.F.); #151955=ADVANCED_FACE('',(#10788),#4507,.F.); #151956=ADVANCED_FACE('',(#10789),#4508,.F.); #151957=ADVANCED_FACE('',(#10790),#4509,.F.); #151958=ADVANCED_FACE('',(#10791),#4510,.F.); #151959=ADVANCED_FACE('',(#10792),#4511,.F.); #151960=ADVANCED_FACE('',(#10793),#4512,.F.); #151961=ADVANCED_FACE('',(#10794),#4513,.F.); #151962=ADVANCED_FACE('',(#10795),#4514,.F.); #151963=ADVANCED_FACE('',(#10796),#4515,.F.); #151964=ADVANCED_FACE('',(#10797),#4516,.F.); #151965=ADVANCED_FACE('',(#10798),#4517,.F.); #151966=ADVANCED_FACE('',(#10799),#4518,.F.); #151967=ADVANCED_FACE('',(#10800),#4519,.F.); #151968=ADVANCED_FACE('',(#10801),#4520,.F.); #151969=ADVANCED_FACE('',(#10802),#4521,.F.); #151970=ADVANCED_FACE('',(#10803),#4522,.F.); #151971=ADVANCED_FACE('',(#10804),#4523,.F.); #151972=ADVANCED_FACE('',(#10805),#4524,.F.); #151973=ADVANCED_FACE('',(#10806),#4525,.F.); #151974=ADVANCED_FACE('',(#10807),#4526,.F.); #151975=ADVANCED_FACE('',(#10808),#146224,.F.); #151976=ADVANCED_FACE('',(#10809),#4527,.F.); #151977=ADVANCED_FACE('',(#10810),#4528,.F.); #151978=ADVANCED_FACE('',(#10811),#4529,.F.); #151979=ADVANCED_FACE('',(#10812),#4530,.F.); #151980=ADVANCED_FACE('',(#10813),#146225,.F.); #151981=ADVANCED_FACE('',(#10814),#4531,.F.); #151982=ADVANCED_FACE('',(#10815),#4532,.F.); #151983=ADVANCED_FACE('',(#10816),#4533,.F.); #151984=ADVANCED_FACE('',(#10817),#4534,.F.); #151985=ADVANCED_FACE('',(#10818),#4535,.F.); #151986=ADVANCED_FACE('',(#10819),#4536,.F.); #151987=ADVANCED_FACE('',(#10820),#4537,.F.); #151988=ADVANCED_FACE('',(#10821),#4538,.T.); #151989=ADVANCED_FACE('',(#10822),#146226,.T.); #151990=ADVANCED_FACE('',(#10823),#146227,.T.); #151991=ADVANCED_FACE('',(#10824),#146228,.T.); #151992=ADVANCED_FACE('',(#10825),#146229,.T.); #151993=ADVANCED_FACE('',(#10826),#146230,.T.); #151994=ADVANCED_FACE('',(#10827),#146231,.T.); #151995=ADVANCED_FACE('',(#10828),#146232,.T.); #151996=ADVANCED_FACE('',(#10829),#4539,.F.); #151997=ADVANCED_FACE('',(#10830),#146233,.T.); #151998=ADVANCED_FACE('',(#10831),#146234,.T.); #151999=ADVANCED_FACE('',(#10832),#146235,.T.); #152000=ADVANCED_FACE('',(#10833),#4540,.F.); #152001=ADVANCED_FACE('',(#10834),#146236,.F.); #152002=ADVANCED_FACE('',(#10835),#146237,.F.); #152003=ADVANCED_FACE('',(#10836),#146238,.F.); #152004=ADVANCED_FACE('',(#10837),#146239,.F.); #152005=ADVANCED_FACE('',(#10838),#146240,.F.); #152006=ADVANCED_FACE('',(#10839),#146241,.F.); #152007=ADVANCED_FACE('',(#10840),#146242,.F.); #152008=ADVANCED_FACE('',(#10841),#146243,.F.); #152009=ADVANCED_FACE('',(#10842),#146244,.F.); #152010=ADVANCED_FACE('',(#10843,#985),#4541,.T.); #152011=ADVANCED_FACE('',(#10844),#146245,.F.); #152012=ADVANCED_FACE('',(#10845),#146246,.F.); #152013=ADVANCED_FACE('',(#10846),#4542,.F.); #152014=ADVANCED_FACE('',(#10847),#146247,.T.); #152015=ADVANCED_FACE('',(#10848),#4543,.F.); #152016=ADVANCED_FACE('',(#10849),#146248,.F.); #152017=ADVANCED_FACE('',(#10850),#4544,.F.); #152018=ADVANCED_FACE('',(#10851),#4545,.F.); #152019=ADVANCED_FACE('',(#10852),#4546,.F.); #152020=ADVANCED_FACE('',(#10853),#146249,.T.); #152021=ADVANCED_FACE('',(#10854),#4547,.F.); #152022=ADVANCED_FACE('',(#10855),#4548,.F.); #152023=ADVANCED_FACE('',(#10856),#4549,.F.); #152024=ADVANCED_FACE('',(#10857),#146250,.F.); #152025=ADVANCED_FACE('',(#10858),#146251,.F.); #152026=ADVANCED_FACE('',(#10859),#4550,.F.); #152027=ADVANCED_FACE('',(#10860),#4551,.F.); #152028=ADVANCED_FACE('',(#10861),#146252,.F.); #152029=ADVANCED_FACE('',(#10862),#4552,.F.); #152030=ADVANCED_FACE('',(#10863),#4553,.F.); #152031=ADVANCED_FACE('',(#10864),#4554,.F.); #152032=ADVANCED_FACE('',(#10865),#4555,.F.); #152033=ADVANCED_FACE('',(#10866),#4556,.F.); #152034=ADVANCED_FACE('',(#10867),#4557,.F.); #152035=ADVANCED_FACE('',(#10868),#146253,.F.); #152036=ADVANCED_FACE('',(#10869),#146254,.F.); #152037=ADVANCED_FACE('',(#10870),#146255,.F.); #152038=ADVANCED_FACE('',(#10871),#146256,.F.); #152039=ADVANCED_FACE('',(#10872),#4558,.F.); #152040=ADVANCED_FACE('',(#10873),#146257,.F.); #152041=ADVANCED_FACE('',(#10874),#4559,.F.); #152042=ADVANCED_FACE('',(#10875),#146258,.T.); #152043=ADVANCED_FACE('',(#10876),#4560,.F.); #152044=ADVANCED_FACE('',(#10877),#146259,.F.); #152045=ADVANCED_FACE('',(#10878),#4561,.F.); #152046=ADVANCED_FACE('',(#10879),#4562,.F.); #152047=ADVANCED_FACE('',(#10880),#146260,.F.); #152048=ADVANCED_FACE('',(#10881),#4563,.F.); #152049=ADVANCED_FACE('',(#10882),#146261,.T.); #152050=ADVANCED_FACE('',(#10883),#4564,.F.); #152051=ADVANCED_FACE('',(#10884),#146262,.F.); #152052=ADVANCED_FACE('',(#10885),#4565,.F.); #152053=ADVANCED_FACE('',(#10886),#4566,.F.); #152054=ADVANCED_FACE('',(#10887),#4567,.F.); #152055=ADVANCED_FACE('',(#10888),#4568,.T.); #152056=ADVANCED_FACE('',(#10889),#4569,.F.); #152057=ADVANCED_FACE('',(#10890),#4570,.F.); #152058=ADVANCED_FACE('',(#10891),#4571,.F.); #152059=ADVANCED_FACE('',(#10892),#4572,.F.); #152060=ADVANCED_FACE('',(#10893),#4573,.F.); #152061=ADVANCED_FACE('',(#10894),#4574,.F.); #152062=ADVANCED_FACE('',(#10895),#4575,.F.); #152063=ADVANCED_FACE('',(#10896),#4576,.F.); #152064=ADVANCED_FACE('',(#10897),#4577,.F.); #152065=ADVANCED_FACE('',(#10898),#4578,.F.); #152066=ADVANCED_FACE('',(#10899),#4579,.F.); #152067=ADVANCED_FACE('',(#10900),#4580,.F.); #152068=ADVANCED_FACE('',(#10901),#4581,.F.); #152069=ADVANCED_FACE('',(#10902),#4582,.F.); #152070=ADVANCED_FACE('',(#10903),#146263,.F.); #152071=ADVANCED_FACE('',(#10904),#146264,.F.); #152072=ADVANCED_FACE('',(#10905),#4583,.F.); #152073=ADVANCED_FACE('',(#10906),#4584,.F.); #152074=ADVANCED_FACE('',(#10907),#4585,.F.); #152075=ADVANCED_FACE('',(#10908),#4586,.F.); #152076=ADVANCED_FACE('',(#10909),#4587,.F.); #152077=ADVANCED_FACE('',(#10910),#4588,.F.); #152078=ADVANCED_FACE('',(#10911),#4589,.F.); #152079=ADVANCED_FACE('',(#10912),#4590,.F.); #152080=ADVANCED_FACE('',(#10913),#4591,.F.); #152081=ADVANCED_FACE('',(#10914),#4592,.F.); #152082=ADVANCED_FACE('',(#10915),#4593,.F.); #152083=ADVANCED_FACE('',(#10916),#4594,.F.); #152084=ADVANCED_FACE('',(#10917),#4595,.F.); #152085=ADVANCED_FACE('',(#10918),#4596,.F.); #152086=ADVANCED_FACE('',(#10919),#4597,.F.); #152087=ADVANCED_FACE('',(#10920),#4598,.F.); #152088=ADVANCED_FACE('',(#10921),#146265,.F.); #152089=ADVANCED_FACE('',(#10922),#4599,.F.); #152090=ADVANCED_FACE('',(#10923),#4600,.F.); #152091=ADVANCED_FACE('',(#10924),#4601,.F.); #152092=ADVANCED_FACE('',(#10925),#4602,.F.); #152093=ADVANCED_FACE('',(#10926),#4603,.F.); #152094=ADVANCED_FACE('',(#10927),#4604,.F.); #152095=ADVANCED_FACE('',(#10928),#4605,.F.); #152096=ADVANCED_FACE('',(#10929),#146266,.F.); #152097=ADVANCED_FACE('',(#10930),#4606,.F.); #152098=ADVANCED_FACE('',(#10931),#146267,.T.); #152099=ADVANCED_FACE('',(#10932),#146268,.F.); #152100=ADVANCED_FACE('',(#10933),#4607,.F.); #152101=ADVANCED_FACE('',(#10934),#4608,.F.); #152102=ADVANCED_FACE('',(#10935),#4609,.F.); #152103=ADVANCED_FACE('',(#10936),#4610,.F.); #152104=ADVANCED_FACE('',(#10937),#4611,.F.); #152105=ADVANCED_FACE('',(#10938),#4612,.F.); #152106=ADVANCED_FACE('',(#10939),#4613,.F.); #152107=ADVANCED_FACE('',(#10940),#4614,.F.); #152108=ADVANCED_FACE('',(#10941),#4615,.F.); #152109=ADVANCED_FACE('',(#10942),#4616,.F.); #152110=ADVANCED_FACE('',(#10943),#4617,.F.); #152111=ADVANCED_FACE('',(#10944),#4618,.F.); #152112=ADVANCED_FACE('',(#10945),#4619,.F.); #152113=ADVANCED_FACE('',(#10946),#4620,.F.); #152114=ADVANCED_FACE('',(#10947),#4621,.F.); #152115=ADVANCED_FACE('',(#10948),#4622,.F.); #152116=ADVANCED_FACE('',(#10949),#4623,.F.); #152117=ADVANCED_FACE('',(#10950),#4624,.F.); #152118=ADVANCED_FACE('',(#10951),#4625,.F.); #152119=ADVANCED_FACE('',(#10952),#4626,.F.); #152120=ADVANCED_FACE('',(#10953),#4627,.F.); #152121=ADVANCED_FACE('',(#10954),#4628,.F.); #152122=ADVANCED_FACE('',(#10955),#4629,.F.); #152123=ADVANCED_FACE('',(#10956),#4630,.F.); #152124=ADVANCED_FACE('',(#10957),#146269,.F.); #152125=ADVANCED_FACE('',(#10958),#4631,.F.); #152126=ADVANCED_FACE('',(#10959),#4632,.F.); #152127=ADVANCED_FACE('',(#10960),#4633,.F.); #152128=ADVANCED_FACE('',(#10961),#4634,.F.); #152129=ADVANCED_FACE('',(#10962),#146270,.F.); #152130=ADVANCED_FACE('',(#10963),#4635,.F.); #152131=ADVANCED_FACE('',(#10964),#4636,.F.); #152132=ADVANCED_FACE('',(#10965),#4637,.F.); #152133=ADVANCED_FACE('',(#10966),#4638,.F.); #152134=ADVANCED_FACE('',(#10967),#4639,.F.); #152135=ADVANCED_FACE('',(#10968),#4640,.F.); #152136=ADVANCED_FACE('',(#10969),#4641,.F.); #152137=ADVANCED_FACE('',(#10970),#4642,.F.); #152138=ADVANCED_FACE('',(#10971),#4643,.F.); #152139=ADVANCED_FACE('',(#10972),#4644,.F.); #152140=ADVANCED_FACE('',(#10973),#4645,.F.); #152141=ADVANCED_FACE('',(#10974),#4646,.F.); #152142=ADVANCED_FACE('',(#10975),#4647,.F.); #152143=ADVANCED_FACE('',(#10976),#4648,.F.); #152144=ADVANCED_FACE('',(#10977),#4649,.F.); #152145=ADVANCED_FACE('',(#10978),#4650,.F.); #152146=ADVANCED_FACE('',(#10979),#4651,.F.); #152147=ADVANCED_FACE('',(#10980),#4652,.F.); #152148=ADVANCED_FACE('',(#10981),#146271,.F.); #152149=ADVANCED_FACE('',(#10982),#4653,.F.); #152150=ADVANCED_FACE('',(#10983),#4654,.F.); #152151=ADVANCED_FACE('',(#10984),#4655,.F.); #152152=ADVANCED_FACE('',(#10985),#4656,.F.); #152153=ADVANCED_FACE('',(#10986),#4657,.F.); #152154=ADVANCED_FACE('',(#10987),#4658,.F.); #152155=ADVANCED_FACE('',(#10988),#146272,.F.); #152156=ADVANCED_FACE('',(#10989),#146273,.F.); #152157=ADVANCED_FACE('',(#10990),#4659,.F.); #152158=ADVANCED_FACE('',(#10991),#146274,.T.); #152159=ADVANCED_FACE('',(#10992),#4660,.F.); #152160=ADVANCED_FACE('',(#10993),#4661,.F.); #152161=ADVANCED_FACE('',(#10994),#146275,.F.); #152162=ADVANCED_FACE('',(#10995),#4662,.F.); #152163=ADVANCED_FACE('',(#10996),#4663,.F.); #152164=ADVANCED_FACE('',(#10997),#4664,.F.); #152165=ADVANCED_FACE('',(#10998),#4665,.F.); #152166=ADVANCED_FACE('',(#10999),#4666,.F.); #152167=ADVANCED_FACE('',(#11000),#146276,.F.); #152168=ADVANCED_FACE('',(#11001),#4667,.F.); #152169=ADVANCED_FACE('',(#11002),#4668,.F.); #152170=ADVANCED_FACE('',(#11003),#4669,.F.); #152171=ADVANCED_FACE('',(#11004),#4670,.F.); #152172=ADVANCED_FACE('',(#11005),#4671,.T.); #152173=ADVANCED_FACE('',(#11006,#986,#987,#988,#989,#990,#991,#992,#993, #994,#995,#996,#997,#998,#999,#1000,#1001,#1002,#1003,#1004,#1005,#1006, #1007,#1008,#1009,#1010,#1011,#1012,#1013,#1014,#1015,#1016,#1017,#1018, #1019,#1020,#1021,#1022,#1023,#1024,#1025,#1026,#1027,#1028,#1029,#1030, #1031,#1032,#1033,#1034,#1035,#1036,#1037,#1038,#1039,#1040),#4672,.F.); #152174=ADVANCED_FACE('',(#11007),#4673,.F.); #152175=ADVANCED_FACE('',(#11008),#4674,.F.); #152176=ADVANCED_FACE('',(#11009),#4675,.F.); #152177=ADVANCED_FACE('',(#11010),#4676,.F.); #152178=ADVANCED_FACE('',(#11011),#4677,.F.); #152179=ADVANCED_FACE('',(#11012),#4678,.F.); #152180=ADVANCED_FACE('',(#11013),#4679,.F.); #152181=ADVANCED_FACE('',(#11014),#4680,.F.); #152182=ADVANCED_FACE('',(#11015),#4681,.F.); #152183=ADVANCED_FACE('',(#11016),#4682,.F.); #152184=ADVANCED_FACE('',(#11017),#4683,.F.); #152185=ADVANCED_FACE('',(#11018),#4684,.F.); #152186=ADVANCED_FACE('',(#11019),#4685,.F.); #152187=ADVANCED_FACE('',(#11020),#4686,.F.); #152188=ADVANCED_FACE('',(#11021),#4687,.F.); #152189=ADVANCED_FACE('',(#11022),#4688,.F.); #152190=ADVANCED_FACE('',(#11023),#4689,.F.); #152191=ADVANCED_FACE('',(#11024),#4690,.F.); #152192=ADVANCED_FACE('',(#11025),#4691,.F.); #152193=ADVANCED_FACE('',(#11026),#4692,.F.); #152194=ADVANCED_FACE('',(#11027),#4693,.F.); #152195=ADVANCED_FACE('',(#11028),#146277,.F.); #152196=ADVANCED_FACE('',(#11029),#4694,.F.); #152197=ADVANCED_FACE('',(#11030),#146278,.F.); #152198=ADVANCED_FACE('',(#11031,#1041,#1042,#1043),#4695,.T.); #152199=ADVANCED_FACE('',(#11032),#4696,.F.); #152200=ADVANCED_FACE('',(#11033),#146279,.F.); #152201=ADVANCED_FACE('',(#11034),#4697,.T.); #152202=ADVANCED_FACE('',(#11035),#146280,.F.); #152203=ADVANCED_FACE('',(#11036),#4698,.F.); #152204=ADVANCED_FACE('',(#11037),#4699,.F.); #152205=ADVANCED_FACE('',(#11038),#146281,.F.); #152206=ADVANCED_FACE('',(#11039),#146282,.F.); #152207=ADVANCED_FACE('',(#11040),#4700,.F.); #152208=ADVANCED_FACE('',(#11041),#146283,.F.); #152209=ADVANCED_FACE('',(#11042),#4701,.F.); #152210=ADVANCED_FACE('',(#11043),#4702,.F.); #152211=ADVANCED_FACE('',(#11044),#4703,.T.); #152212=ADVANCED_FACE('',(#11045),#4704,.T.); #152213=ADVANCED_FACE('',(#11046),#146284,.F.); #152214=ADVANCED_FACE('',(#11047,#1044),#4705,.T.); #152215=ADVANCED_FACE('',(#11048),#146285,.F.); #152216=ADVANCED_FACE('',(#11049),#4706,.T.); #152217=ADVANCED_FACE('',(#11050),#146286,.T.); #152218=ADVANCED_FACE('',(#11051),#146287,.F.); #152219=ADVANCED_FACE('',(#11052),#4707,.F.); #152220=ADVANCED_FACE('',(#11053),#4708,.T.); #152221=ADVANCED_FACE('',(#11054,#1045,#1046,#1047),#4709,.T.); #152222=ADVANCED_FACE('',(#11055),#146288,.F.); #152223=ADVANCED_FACE('',(#11056),#4710,.F.); #152224=ADVANCED_FACE('',(#11057),#146289,.F.); #152225=ADVANCED_FACE('',(#11058),#146290,.F.); #152226=ADVANCED_FACE('',(#11059),#146291,.F.); #152227=ADVANCED_FACE('',(#11060),#146292,.F.); #152228=ADVANCED_FACE('',(#11061),#4711,.F.); #152229=ADVANCED_FACE('',(#11062),#146293,.F.); #152230=ADVANCED_FACE('',(#11063),#146294,.T.); #152231=ADVANCED_FACE('',(#11064),#4712,.F.); #152232=ADVANCED_FACE('',(#11065),#4713,.F.); #152233=ADVANCED_FACE('',(#11066),#146295,.F.); #152234=ADVANCED_FACE('',(#11067),#4714,.F.); #152235=ADVANCED_FACE('',(#11068),#146296,.F.); #152236=ADVANCED_FACE('',(#11069),#4715,.F.); #152237=ADVANCED_FACE('',(#11070),#146297,.F.); #152238=ADVANCED_FACE('',(#11071),#4716,.F.); #152239=ADVANCED_FACE('',(#11072),#146298,.T.); #152240=ADVANCED_FACE('',(#11073),#146299,.F.); #152241=ADVANCED_FACE('',(#11074),#4717,.F.); #152242=ADVANCED_FACE('',(#11075),#146300,.F.); #152243=ADVANCED_FACE('',(#11076),#146301,.T.); #152244=ADVANCED_FACE('',(#11077),#146302,.F.); #152245=ADVANCED_FACE('',(#11078),#146303,.F.); #152246=ADVANCED_FACE('',(#11079),#146304,.F.); #152247=ADVANCED_FACE('',(#11080),#4718,.T.); #152248=ADVANCED_FACE('',(#11081),#146305,.F.); #152249=ADVANCED_FACE('',(#11082),#4719,.T.); #152250=ADVANCED_FACE('',(#11083),#560,.F.); #152251=ADVANCED_FACE('',(#11084),#4720,.F.); #152252=ADVANCED_FACE('',(#11085),#146306,.F.); #152253=ADVANCED_FACE('',(#11086),#561,.F.); #152254=ADVANCED_FACE('',(#11087),#146307,.F.); #152255=ADVANCED_FACE('',(#11088),#562,.F.); #152256=ADVANCED_FACE('',(#11089),#146308,.F.); #152257=ADVANCED_FACE('',(#11090),#563,.F.); #152258=ADVANCED_FACE('',(#11091),#146309,.F.); #152259=ADVANCED_FACE('',(#11092),#564,.F.); #152260=ADVANCED_FACE('',(#11093,#1048,#1049,#1050),#4721,.T.); #152261=ADVANCED_FACE('',(#11094),#146310,.F.); #152262=ADVANCED_FACE('',(#11095),#565,.F.); #152263=ADVANCED_FACE('',(#11096),#146311,.F.); #152264=ADVANCED_FACE('',(#11097),#566,.F.); #152265=ADVANCED_FACE('',(#11098),#146312,.F.); #152266=ADVANCED_FACE('',(#11099),#4722,.T.); #152267=ADVANCED_FACE('',(#11100),#4723,.T.); #152268=ADVANCED_FACE('',(#11101),#4724,.T.); #152269=ADVANCED_FACE('',(#11102),#4725,.F.); #152270=ADVANCED_FACE('',(#11103,#1051,#1052),#4726,.F.); #152271=ADVANCED_FACE('',(#11104),#4727,.T.); #152272=ADVANCED_FACE('',(#11105),#4728,.F.); #152273=ADVANCED_FACE('',(#11106),#4729,.T.); #152274=ADVANCED_FACE('',(#11107),#4730,.T.); #152275=ADVANCED_FACE('',(#11108),#146313,.F.); #152276=ADVANCED_FACE('',(#11109),#4731,.F.); #152277=ADVANCED_FACE('',(#11110),#146314,.F.); #152278=ADVANCED_FACE('',(#11111),#4732,.F.); #152279=ADVANCED_FACE('',(#11112),#4733,.F.); #152280=ADVANCED_FACE('',(#11113),#146315,.F.); #152281=ADVANCED_FACE('',(#11114),#4734,.F.); #152282=ADVANCED_FACE('',(#11115),#4735,.F.); #152283=ADVANCED_FACE('',(#11116),#146316,.F.); #152284=ADVANCED_FACE('',(#11117),#146317,.F.); #152285=ADVANCED_FACE('',(#11118),#4736,.F.); #152286=ADVANCED_FACE('',(#11119),#146318,.F.); #152287=ADVANCED_FACE('',(#11120),#4737,.F.); #152288=ADVANCED_FACE('',(#11121),#4738,.F.); #152289=ADVANCED_FACE('',(#11122),#146319,.F.); #152290=ADVANCED_FACE('',(#11123),#4739,.F.); #152291=ADVANCED_FACE('',(#11124),#146320,.F.); #152292=ADVANCED_FACE('',(#11125),#4740,.F.); #152293=ADVANCED_FACE('',(#11126),#4741,.F.); #152294=ADVANCED_FACE('',(#11127),#146321,.F.); #152295=ADVANCED_FACE('',(#11128),#4742,.F.); #152296=ADVANCED_FACE('',(#11129),#4743,.F.); #152297=ADVANCED_FACE('',(#11130),#146322,.F.); #152298=ADVANCED_FACE('',(#11131),#4744,.F.); #152299=ADVANCED_FACE('',(#11132),#4745,.F.); #152300=ADVANCED_FACE('',(#11133),#146323,.F.); #152301=ADVANCED_FACE('',(#11134),#4746,.F.); #152302=ADVANCED_FACE('',(#11135),#4747,.F.); #152303=ADVANCED_FACE('',(#11136),#146324,.F.); #152304=ADVANCED_FACE('',(#11137),#4748,.F.); #152305=ADVANCED_FACE('',(#11138),#146325,.F.); #152306=ADVANCED_FACE('',(#11139),#4749,.F.); #152307=ADVANCED_FACE('',(#11140),#4750,.F.); #152308=ADVANCED_FACE('',(#11141),#146326,.F.); #152309=ADVANCED_FACE('',(#11142),#4751,.F.); #152310=ADVANCED_FACE('',(#11143),#4752,.T.); #152311=ADVANCED_FACE('',(#11144),#4753,.T.); #152312=ADVANCED_FACE('',(#11145),#4754,.T.); #152313=ADVANCED_FACE('',(#11146),#4755,.T.); #152314=ADVANCED_FACE('',(#11147),#4756,.T.); #152315=ADVANCED_FACE('',(#11148),#4757,.T.); #152316=ADVANCED_FACE('',(#11149),#4758,.F.); #152317=ADVANCED_FACE('',(#11150),#4759,.F.); #152318=ADVANCED_FACE('',(#11151),#4760,.T.); #152319=ADVANCED_FACE('',(#11152),#4761,.F.); #152320=ADVANCED_FACE('',(#11153),#146327,.T.); #152321=ADVANCED_FACE('',(#11154),#567,.T.); #152322=ADVANCED_FACE('',(#11155),#4762,.F.); #152323=ADVANCED_FACE('',(#11156),#146328,.T.); #152324=ADVANCED_FACE('',(#11157),#146329,.T.); #152325=ADVANCED_FACE('',(#11158),#146330,.T.); #152326=ADVANCED_FACE('',(#11159),#146331,.T.); #152327=ADVANCED_FACE('',(#11160),#146332,.T.); #152328=ADVANCED_FACE('',(#11161),#146333,.T.); #152329=ADVANCED_FACE('',(#11162),#146334,.T.); #152330=ADVANCED_FACE('',(#11163),#146335,.T.); #152331=ADVANCED_FACE('',(#11164),#146336,.T.); #152332=ADVANCED_FACE('',(#11165),#146337,.T.); #152333=ADVANCED_FACE('',(#11166),#146338,.T.); #152334=ADVANCED_FACE('',(#11167),#146339,.T.); #152335=ADVANCED_FACE('',(#11168),#146340,.T.); #152336=ADVANCED_FACE('',(#11169),#146341,.T.); #152337=ADVANCED_FACE('',(#11170),#146342,.T.); #152338=ADVANCED_FACE('',(#11171),#146343,.T.); #152339=ADVANCED_FACE('',(#11172),#568,.T.); #152340=ADVANCED_FACE('',(#11173),#569,.T.); #152341=ADVANCED_FACE('',(#11174),#146344,.T.); #152342=ADVANCED_FACE('',(#11175),#146345,.T.); #152343=ADVANCED_FACE('',(#11176),#146346,.T.); #152344=ADVANCED_FACE('',(#11177),#146347,.T.); #152345=ADVANCED_FACE('',(#11178),#146348,.T.); #152346=ADVANCED_FACE('',(#11179),#146349,.T.); #152347=ADVANCED_FACE('',(#11180),#146350,.T.); #152348=ADVANCED_FACE('',(#11181),#146351,.T.); #152349=ADVANCED_FACE('',(#11182),#146352,.T.); #152350=ADVANCED_FACE('',(#11183),#146353,.T.); #152351=ADVANCED_FACE('',(#11184),#146354,.T.); #152352=ADVANCED_FACE('',(#11185),#146355,.T.); #152353=ADVANCED_FACE('',(#11186),#146356,.T.); #152354=ADVANCED_FACE('',(#11187),#146357,.T.); #152355=ADVANCED_FACE('',(#11188),#146358,.T.); #152356=ADVANCED_FACE('',(#11189),#146359,.T.); #152357=ADVANCED_FACE('',(#11190),#146360,.T.); #152358=ADVANCED_FACE('',(#11191),#570,.T.); #152359=ADVANCED_FACE('',(#11192),#571,.T.); #152360=ADVANCED_FACE('',(#11193),#339,.F.); #152361=ADVANCED_FACE('',(#11194),#572,.F.); #152362=ADVANCED_FACE('',(#11195),#573,.F.); #152363=ADVANCED_FACE('',(#11196),#340,.T.); #152364=ADVANCED_FACE('',(#11197),#574,.T.); #152365=ADVANCED_FACE('',(#11198),#341,.T.); #152366=ADVANCED_FACE('',(#11199),#146361,.T.); #152367=ADVANCED_FACE('',(#11200),#342,.T.); #152368=ADVANCED_FACE('',(#11201),#575,.T.); #152369=ADVANCED_FACE('',(#11202),#343,.T.); #152370=ADVANCED_FACE('',(#11203,#1053),#4763,.F.); #152371=ADVANCED_FACE('',(#11204),#4764,.F.); #152372=ADVANCED_FACE('',(#11205),#4765,.F.); #152373=ADVANCED_FACE('',(#11206),#4766,.F.); #152374=ADVANCED_FACE('',(#11207),#4767,.F.); #152375=ADVANCED_FACE('',(#11208),#4768,.F.); #152376=ADVANCED_FACE('',(#11209,#1054),#4769,.F.); #152377=ADVANCED_FACE('',(#11210),#4770,.F.); #152378=ADVANCED_FACE('',(#11211),#4771,.F.); #152379=ADVANCED_FACE('',(#11212),#4772,.F.); #152380=ADVANCED_FACE('',(#11213),#4773,.F.); #152381=ADVANCED_FACE('',(#11214),#4774,.F.); #152382=ADVANCED_FACE('',(#11215),#4775,.F.); #152383=ADVANCED_FACE('',(#11216),#4776,.F.); #152384=ADVANCED_FACE('',(#11217),#146362,.F.); #152385=ADVANCED_FACE('',(#11218),#146363,.F.); #152386=ADVANCED_FACE('',(#11219),#146364,.F.); #152387=ADVANCED_FACE('',(#11220),#146365,.F.); #152388=ADVANCED_FACE('',(#11221),#146366,.F.); #152389=ADVANCED_FACE('',(#11222),#146367,.F.); #152390=ADVANCED_FACE('',(#11223),#4777,.F.); #152391=ADVANCED_FACE('',(#11224),#337,.T.); #152392=ADVANCED_FACE('',(#11225),#146368,.T.); #152393=ADVANCED_FACE('',(#11226),#338,.T.); #152394=ADVANCED_FACE('',(#11227),#146369,.T.); #152395=ADVANCED_FACE('',(#11228),#146370,.T.); #152396=ADVANCED_FACE('',(#11229),#146371,.T.); #152397=ADVANCED_FACE('',(#11230),#146372,.T.); #152398=ADVANCED_FACE('',(#11231),#146373,.T.); #152399=ADVANCED_FACE('',(#11232),#146374,.T.); #152400=ADVANCED_FACE('',(#11233),#4778,.F.); #152401=ADVANCED_FACE('',(#11234),#146375,.T.); #152402=ADVANCED_FACE('',(#11235),#146376,.T.); #152403=ADVANCED_FACE('',(#11236),#146377,.T.); #152404=ADVANCED_FACE('',(#11237),#146378,.T.); #152405=ADVANCED_FACE('',(#11238),#146379,.T.); #152406=ADVANCED_FACE('',(#11239),#146380,.T.); #152407=ADVANCED_FACE('',(#11240),#4779,.F.); #152408=ADVANCED_FACE('',(#11241),#146381,.F.); #152409=ADVANCED_FACE('',(#11242),#4780,.F.); #152410=ADVANCED_FACE('',(#11243),#146382,.F.); #152411=ADVANCED_FACE('',(#11244),#146383,.F.); #152412=ADVANCED_FACE('',(#11245),#146384,.F.); #152413=ADVANCED_FACE('',(#11246),#146385,.F.); #152414=ADVANCED_FACE('',(#11247),#146386,.F.); #152415=ADVANCED_FACE('',(#11248),#146387,.F.); #152416=ADVANCED_FACE('',(#11249),#146388,.F.); #152417=ADVANCED_FACE('',(#11250),#4781,.F.); #152418=ADVANCED_FACE('',(#11251),#146389,.F.); #152419=ADVANCED_FACE('',(#11252),#146390,.F.); #152420=ADVANCED_FACE('',(#11253),#146391,.F.); #152421=ADVANCED_FACE('',(#11254),#146392,.F.); #152422=ADVANCED_FACE('',(#11255),#146393,.F.); #152423=ADVANCED_FACE('',(#11256),#146394,.F.); #152424=ADVANCED_FACE('',(#11257,#1055),#4782,.T.); #152425=ADVANCED_FACE('',(#11258),#4783,.F.); #152426=ADVANCED_FACE('',(#11259),#4784,.F.); #152427=ADVANCED_FACE('',(#11260),#4785,.F.); #152428=ADVANCED_FACE('',(#11261),#4786,.F.); #152429=ADVANCED_FACE('',(#11262),#4787,.F.); #152430=ADVANCED_FACE('',(#11263),#4788,.F.); #152431=ADVANCED_FACE('',(#11264),#4789,.F.); #152432=ADVANCED_FACE('',(#11265),#4790,.F.); #152433=ADVANCED_FACE('',(#11266),#4791,.F.); #152434=ADVANCED_FACE('',(#11267),#146395,.F.); #152435=ADVANCED_FACE('',(#11268),#4792,.F.); #152436=ADVANCED_FACE('',(#11269),#4793,.F.); #152437=ADVANCED_FACE('',(#11270),#4794,.F.); #152438=ADVANCED_FACE('',(#11271),#146396,.F.); #152439=ADVANCED_FACE('',(#11272),#146397,.F.); #152440=ADVANCED_FACE('',(#11273),#146398,.F.); #152441=ADVANCED_FACE('',(#11274),#146399,.F.); #152442=ADVANCED_FACE('',(#11275),#4795,.F.); #152443=ADVANCED_FACE('',(#11276),#4796,.F.); #152444=ADVANCED_FACE('',(#11277),#4797,.F.); #152445=ADVANCED_FACE('',(#11278),#146400,.F.); #152446=ADVANCED_FACE('',(#11279),#146401,.F.); #152447=ADVANCED_FACE('',(#11280),#146402,.F.); #152448=ADVANCED_FACE('',(#11281),#146403,.F.); #152449=ADVANCED_FACE('',(#11282),#4798,.F.); #152450=ADVANCED_FACE('',(#11283),#146404,.F.); #152451=ADVANCED_FACE('',(#11284),#146405,.F.); #152452=ADVANCED_FACE('',(#11285),#146406,.F.); #152453=ADVANCED_FACE('',(#11286),#146407,.F.); #152454=ADVANCED_FACE('',(#11287),#4799,.F.); #152455=ADVANCED_FACE('',(#11288),#4800,.F.); #152456=ADVANCED_FACE('',(#11289),#4801,.F.); #152457=ADVANCED_FACE('',(#11290),#4802,.F.); #152458=ADVANCED_FACE('',(#11291),#4803,.F.); #152459=ADVANCED_FACE('',(#11292),#146408,.F.); #152460=ADVANCED_FACE('',(#11293),#146409,.F.); #152461=ADVANCED_FACE('',(#11294),#146410,.F.); #152462=ADVANCED_FACE('',(#11295),#146411,.F.); #152463=ADVANCED_FACE('',(#11296),#4804,.F.); #152464=ADVANCED_FACE('',(#11297),#146412,.F.); #152465=ADVANCED_FACE('',(#11298),#146413,.F.); #152466=ADVANCED_FACE('',(#11299),#146414,.F.); #152467=ADVANCED_FACE('',(#11300,#1056),#4805,.T.); #152468=ADVANCED_FACE('',(#11301),#4806,.F.); #152469=ADVANCED_FACE('',(#11302),#146415,.F.); #152470=ADVANCED_FACE('',(#11303),#146416,.F.); #152471=ADVANCED_FACE('',(#11304),#146417,.F.); #152472=ADVANCED_FACE('',(#11305),#146418,.F.); #152473=ADVANCED_FACE('',(#11306),#4807,.F.); #152474=ADVANCED_FACE('',(#11307),#4808,.F.); #152475=ADVANCED_FACE('',(#11308),#4809,.F.); #152476=ADVANCED_FACE('',(#11309),#146419,.F.); #152477=ADVANCED_FACE('',(#11310),#146420,.F.); #152478=ADVANCED_FACE('',(#11311),#146421,.F.); #152479=ADVANCED_FACE('',(#11312),#146422,.F.); #152480=ADVANCED_FACE('',(#11313),#146423,.F.); #152481=ADVANCED_FACE('',(#11314),#4810,.F.); #152482=ADVANCED_FACE('',(#11315),#4811,.F.); #152483=ADVANCED_FACE('',(#11316),#4812,.F.); #152484=ADVANCED_FACE('',(#11317),#146424,.F.); #152485=ADVANCED_FACE('',(#11318),#146425,.F.); #152486=ADVANCED_FACE('',(#11319),#146426,.F.); #152487=ADVANCED_FACE('',(#11320),#146427,.F.); #152488=ADVANCED_FACE('',(#11321),#4813,.T.); #152489=ADVANCED_FACE('',(#11322),#4814,.F.); #152490=ADVANCED_FACE('',(#11323),#4815,.F.); #152491=ADVANCED_FACE('',(#11324),#146428,.T.); #152492=ADVANCED_FACE('',(#11325),#146429,.T.); #152493=ADVANCED_FACE('',(#11326),#146430,.T.); #152494=ADVANCED_FACE('',(#11327),#146431,.T.); #152495=ADVANCED_FACE('',(#11328),#4816,.F.); #152496=ADVANCED_FACE('',(#11329),#146432,.T.); #152497=ADVANCED_FACE('',(#11330),#146433,.T.); #152498=ADVANCED_FACE('',(#11331),#146434,.T.); #152499=ADVANCED_FACE('',(#11332),#4817,.F.); #152500=ADVANCED_FACE('',(#11333),#146435,.F.); #152501=ADVANCED_FACE('',(#11334),#146436,.F.); #152502=ADVANCED_FACE('',(#11335),#146437,.F.); #152503=ADVANCED_FACE('',(#11336),#4818,.F.); #152504=ADVANCED_FACE('',(#11337),#146438,.F.); #152505=ADVANCED_FACE('',(#11338),#146439,.F.); #152506=ADVANCED_FACE('',(#11339),#146440,.F.); #152507=ADVANCED_FACE('',(#11340),#146441,.F.); #152508=ADVANCED_FACE('',(#11341),#4819,.F.); #152509=ADVANCED_FACE('',(#11342),#146442,.F.); #152510=ADVANCED_FACE('',(#11343),#146443,.F.); #152511=ADVANCED_FACE('',(#11344),#146444,.F.); #152512=ADVANCED_FACE('',(#11345),#146445,.F.); #152513=ADVANCED_FACE('',(#11346),#4820,.F.); #152514=ADVANCED_FACE('',(#11347),#4821,.F.); #152515=ADVANCED_FACE('',(#11348),#4822,.F.); #152516=ADVANCED_FACE('',(#11349),#146446,.F.); #152517=ADVANCED_FACE('',(#11350),#146447,.F.); #152518=ADVANCED_FACE('',(#11351),#146448,.F.); #152519=ADVANCED_FACE('',(#11352),#146449,.F.); #152520=ADVANCED_FACE('',(#11353),#4823,.F.); #152521=ADVANCED_FACE('',(#11354,#1057),#4824,.T.); #152522=ADVANCED_FACE('',(#11355),#146450,.F.); #152523=ADVANCED_FACE('',(#11356),#4825,.F.); #152524=ADVANCED_FACE('',(#11357),#4826,.F.); #152525=ADVANCED_FACE('',(#11358),#4827,.F.); #152526=ADVANCED_FACE('',(#11359),#4828,.F.); #152527=ADVANCED_FACE('',(#11360),#146451,.F.); #152528=ADVANCED_FACE('',(#11361),#146452,.F.); #152529=ADVANCED_FACE('',(#11362),#146453,.F.); #152530=ADVANCED_FACE('',(#11363),#146454,.F.); #152531=ADVANCED_FACE('',(#11364),#4829,.F.); #152532=ADVANCED_FACE('',(#11365),#4830,.F.); #152533=ADVANCED_FACE('',(#11366),#4831,.F.); #152534=ADVANCED_FACE('',(#11367),#146455,.F.); #152535=ADVANCED_FACE('',(#11368),#146456,.F.); #152536=ADVANCED_FACE('',(#11369),#146457,.F.); #152537=ADVANCED_FACE('',(#11370),#146458,.F.); #152538=ADVANCED_FACE('',(#11371),#4832,.F.); #152539=ADVANCED_FACE('',(#11372),#146459,.F.); #152540=ADVANCED_FACE('',(#11373),#146460,.F.); #152541=ADVANCED_FACE('',(#11374),#146461,.F.); #152542=ADVANCED_FACE('',(#11375),#146462,.F.); #152543=ADVANCED_FACE('',(#11376),#4833,.F.); #152544=ADVANCED_FACE('',(#11377),#4834,.F.); #152545=ADVANCED_FACE('',(#11378),#4835,.F.); #152546=ADVANCED_FACE('',(#11379),#4836,.F.); #152547=ADVANCED_FACE('',(#11380),#4837,.F.); #152548=ADVANCED_FACE('',(#11381),#4838,.F.); #152549=ADVANCED_FACE('',(#11382),#146463,.F.); #152550=ADVANCED_FACE('',(#11383),#146464,.F.); #152551=ADVANCED_FACE('',(#11384),#146465,.F.); #152552=ADVANCED_FACE('',(#11385),#146466,.F.); #152553=ADVANCED_FACE('',(#11386),#4839,.F.); #152554=ADVANCED_FACE('',(#11387),#146467,.F.); #152555=ADVANCED_FACE('',(#11388),#146468,.F.); #152556=ADVANCED_FACE('',(#11389),#146469,.F.); #152557=ADVANCED_FACE('',(#11390),#4840,.T.); #152558=ADVANCED_FACE('',(#11391),#4841,.F.); #152559=ADVANCED_FACE('',(#11392),#4842,.F.); #152560=ADVANCED_FACE('',(#11393),#4843,.F.); #152561=ADVANCED_FACE('',(#11394),#4844,.F.); #152562=ADVANCED_FACE('',(#11395),#4845,.F.); #152563=ADVANCED_FACE('',(#11396),#4846,.F.); #152564=ADVANCED_FACE('',(#11397),#4847,.F.); #152565=ADVANCED_FACE('',(#11398),#4848,.F.); #152566=ADVANCED_FACE('',(#11399),#4849,.F.); #152567=ADVANCED_FACE('',(#11400),#4850,.F.); #152568=ADVANCED_FACE('',(#11401),#4851,.F.); #152569=ADVANCED_FACE('',(#11402),#4852,.F.); #152570=ADVANCED_FACE('',(#11403),#4853,.F.); #152571=ADVANCED_FACE('',(#11404),#4854,.F.); #152572=ADVANCED_FACE('',(#11405),#4855,.F.); #152573=ADVANCED_FACE('',(#11406),#4856,.F.); #152574=ADVANCED_FACE('',(#11407),#4857,.F.); #152575=ADVANCED_FACE('',(#11408),#4858,.F.); #152576=ADVANCED_FACE('',(#11409),#4859,.F.); #152577=ADVANCED_FACE('',(#11410),#4860,.F.); #152578=ADVANCED_FACE('',(#11411),#4861,.F.); #152579=ADVANCED_FACE('',(#11412),#4862,.F.); #152580=ADVANCED_FACE('',(#11413),#4863,.F.); #152581=ADVANCED_FACE('',(#11414),#4864,.F.); #152582=ADVANCED_FACE('',(#11415),#4865,.F.); #152583=ADVANCED_FACE('',(#11416),#4866,.F.); #152584=ADVANCED_FACE('',(#11417),#4867,.F.); #152585=ADVANCED_FACE('',(#11418),#4868,.F.); #152586=ADVANCED_FACE('',(#11419),#4869,.F.); #152587=ADVANCED_FACE('',(#11420),#4870,.F.); #152588=ADVANCED_FACE('',(#11421),#4871,.F.); #152589=ADVANCED_FACE('',(#11422),#4872,.F.); #152590=ADVANCED_FACE('',(#11423),#4873,.F.); #152591=ADVANCED_FACE('',(#11424),#4874,.F.); #152592=ADVANCED_FACE('',(#11425),#4875,.F.); #152593=ADVANCED_FACE('',(#11426),#4876,.F.); #152594=ADVANCED_FACE('',(#11427),#4877,.F.); #152595=ADVANCED_FACE('',(#11428),#4878,.F.); #152596=ADVANCED_FACE('',(#11429),#4879,.F.); #152597=ADVANCED_FACE('',(#11430),#4880,.F.); #152598=ADVANCED_FACE('',(#11431),#4881,.F.); #152599=ADVANCED_FACE('',(#11432),#4882,.F.); #152600=ADVANCED_FACE('',(#11433),#4883,.F.); #152601=ADVANCED_FACE('',(#11434),#4884,.F.); #152602=ADVANCED_FACE('',(#11435),#4885,.F.); #152603=ADVANCED_FACE('',(#11436),#4886,.F.); #152604=ADVANCED_FACE('',(#11437),#4887,.F.); #152605=ADVANCED_FACE('',(#11438),#4888,.F.); #152606=ADVANCED_FACE('',(#11439),#4889,.F.); #152607=ADVANCED_FACE('',(#11440),#4890,.F.); #152608=ADVANCED_FACE('',(#11441),#4891,.F.); #152609=ADVANCED_FACE('',(#11442),#4892,.F.); #152610=ADVANCED_FACE('',(#11443),#4893,.F.); #152611=ADVANCED_FACE('',(#11444),#4894,.F.); #152612=ADVANCED_FACE('',(#11445),#4895,.F.); #152613=ADVANCED_FACE('',(#11446),#4896,.F.); #152614=ADVANCED_FACE('',(#11447),#4897,.F.); #152615=ADVANCED_FACE('',(#11448),#4898,.F.); #152616=ADVANCED_FACE('',(#11449),#4899,.F.); #152617=ADVANCED_FACE('',(#11450),#4900,.F.); #152618=ADVANCED_FACE('',(#11451),#4901,.F.); #152619=ADVANCED_FACE('',(#11452),#4902,.F.); #152620=ADVANCED_FACE('',(#11453),#4903,.F.); #152621=ADVANCED_FACE('',(#11454),#4904,.F.); #152622=ADVANCED_FACE('',(#11455),#4905,.F.); #152623=ADVANCED_FACE('',(#11456),#4906,.F.); #152624=ADVANCED_FACE('',(#11457),#4907,.F.); #152625=ADVANCED_FACE('',(#11458),#4908,.F.); #152626=ADVANCED_FACE('',(#11459),#4909,.F.); #152627=ADVANCED_FACE('',(#11460),#4910,.F.); #152628=ADVANCED_FACE('',(#11461),#4911,.F.); #152629=ADVANCED_FACE('',(#11462),#4912,.F.); #152630=ADVANCED_FACE('',(#11463),#4913,.F.); #152631=ADVANCED_FACE('',(#11464),#4914,.F.); #152632=ADVANCED_FACE('',(#11465),#4915,.F.); #152633=ADVANCED_FACE('',(#11466),#4916,.F.); #152634=ADVANCED_FACE('',(#11467),#4917,.F.); #152635=ADVANCED_FACE('',(#11468),#4918,.F.); #152636=ADVANCED_FACE('',(#11469),#4919,.F.); #152637=ADVANCED_FACE('',(#11470),#4920,.F.); #152638=ADVANCED_FACE('',(#11471),#4921,.F.); #152639=ADVANCED_FACE('',(#11472),#4922,.F.); #152640=ADVANCED_FACE('',(#11473),#4923,.F.); #152641=ADVANCED_FACE('',(#11474),#4924,.F.); #152642=ADVANCED_FACE('',(#11475),#4925,.F.); #152643=ADVANCED_FACE('',(#11476),#4926,.F.); #152644=ADVANCED_FACE('',(#11477),#4927,.F.); #152645=ADVANCED_FACE('',(#11478),#4928,.F.); #152646=ADVANCED_FACE('',(#11479),#4929,.F.); #152647=ADVANCED_FACE('',(#11480),#4930,.F.); #152648=ADVANCED_FACE('',(#11481),#4931,.F.); #152649=ADVANCED_FACE('',(#11482),#4932,.F.); #152650=ADVANCED_FACE('',(#11483),#4933,.F.); #152651=ADVANCED_FACE('',(#11484),#4934,.F.); #152652=ADVANCED_FACE('',(#11485),#4935,.F.); #152653=ADVANCED_FACE('',(#11486),#4936,.F.); #152654=ADVANCED_FACE('',(#11487),#4937,.F.); #152655=ADVANCED_FACE('',(#11488),#4938,.F.); #152656=ADVANCED_FACE('',(#11489),#4939,.F.); #152657=ADVANCED_FACE('',(#11490),#4940,.F.); #152658=ADVANCED_FACE('',(#11491),#4941,.F.); #152659=ADVANCED_FACE('',(#11492),#4942,.F.); #152660=ADVANCED_FACE('',(#11493),#4943,.F.); #152661=ADVANCED_FACE('',(#11494),#4944,.F.); #152662=ADVANCED_FACE('',(#11495),#4945,.F.); #152663=ADVANCED_FACE('',(#11496),#4946,.F.); #152664=ADVANCED_FACE('',(#11497),#4947,.F.); #152665=ADVANCED_FACE('',(#11498),#4948,.F.); #152666=ADVANCED_FACE('',(#11499),#4949,.F.); #152667=ADVANCED_FACE('',(#11500),#4950,.F.); #152668=ADVANCED_FACE('',(#11501),#4951,.F.); #152669=ADVANCED_FACE('',(#11502),#4952,.F.); #152670=ADVANCED_FACE('',(#11503),#4953,.F.); #152671=ADVANCED_FACE('',(#11504),#4954,.F.); #152672=ADVANCED_FACE('',(#11505),#4955,.F.); #152673=ADVANCED_FACE('',(#11506),#4956,.F.); #152674=ADVANCED_FACE('',(#11507),#4957,.F.); #152675=ADVANCED_FACE('',(#11508),#4958,.F.); #152676=ADVANCED_FACE('',(#11509),#4959,.F.); #152677=ADVANCED_FACE('',(#11510),#4960,.F.); #152678=ADVANCED_FACE('',(#11511),#4961,.F.); #152679=ADVANCED_FACE('',(#11512),#4962,.F.); #152680=ADVANCED_FACE('',(#11513),#4963,.F.); #152681=ADVANCED_FACE('',(#11514),#4964,.F.); #152682=ADVANCED_FACE('',(#11515),#4965,.F.); #152683=ADVANCED_FACE('',(#11516),#4966,.F.); #152684=ADVANCED_FACE('',(#11517),#4967,.F.); #152685=ADVANCED_FACE('',(#11518),#4968,.F.); #152686=ADVANCED_FACE('',(#11519),#4969,.F.); #152687=ADVANCED_FACE('',(#11520),#4970,.F.); #152688=ADVANCED_FACE('',(#11521),#4971,.F.); #152689=ADVANCED_FACE('',(#11522),#4972,.F.); #152690=ADVANCED_FACE('',(#11523),#4973,.F.); #152691=ADVANCED_FACE('',(#11524),#4974,.F.); #152692=ADVANCED_FACE('',(#11525),#4975,.F.); #152693=ADVANCED_FACE('',(#11526),#4976,.F.); #152694=ADVANCED_FACE('',(#11527),#4977,.F.); #152695=ADVANCED_FACE('',(#11528),#4978,.F.); #152696=ADVANCED_FACE('',(#11529),#4979,.F.); #152697=ADVANCED_FACE('',(#11530),#4980,.F.); #152698=ADVANCED_FACE('',(#11531),#4981,.F.); #152699=ADVANCED_FACE('',(#11532),#4982,.F.); #152700=ADVANCED_FACE('',(#11533),#4983,.F.); #152701=ADVANCED_FACE('',(#11534),#4984,.F.); #152702=ADVANCED_FACE('',(#11535),#4985,.F.); #152703=ADVANCED_FACE('',(#11536),#4986,.F.); #152704=ADVANCED_FACE('',(#11537),#4987,.F.); #152705=ADVANCED_FACE('',(#11538),#4988,.F.); #152706=ADVANCED_FACE('',(#11539),#4989,.F.); #152707=ADVANCED_FACE('',(#11540),#4990,.F.); #152708=ADVANCED_FACE('',(#11541),#4991,.F.); #152709=ADVANCED_FACE('',(#11542),#4992,.F.); #152710=ADVANCED_FACE('',(#11543),#4993,.F.); #152711=ADVANCED_FACE('',(#11544),#4994,.F.); #152712=ADVANCED_FACE('',(#11545),#4995,.F.); #152713=ADVANCED_FACE('',(#11546),#4996,.F.); #152714=ADVANCED_FACE('',(#11547),#4997,.F.); #152715=ADVANCED_FACE('',(#11548),#4998,.F.); #152716=ADVANCED_FACE('',(#11549),#4999,.F.); #152717=ADVANCED_FACE('',(#11550),#5000,.F.); #152718=ADVANCED_FACE('',(#11551),#5001,.F.); #152719=ADVANCED_FACE('',(#11552),#5002,.F.); #152720=ADVANCED_FACE('',(#11553),#5003,.F.); #152721=ADVANCED_FACE('',(#11554),#5004,.F.); #152722=ADVANCED_FACE('',(#11555),#5005,.F.); #152723=ADVANCED_FACE('',(#11556),#5006,.F.); #152724=ADVANCED_FACE('',(#11557),#5007,.F.); #152725=ADVANCED_FACE('',(#11558),#5008,.F.); #152726=ADVANCED_FACE('',(#11559),#5009,.F.); #152727=ADVANCED_FACE('',(#11560),#5010,.F.); #152728=ADVANCED_FACE('',(#11561),#5011,.F.); #152729=ADVANCED_FACE('',(#11562),#5012,.F.); #152730=ADVANCED_FACE('',(#11563),#5013,.F.); #152731=ADVANCED_FACE('',(#11564),#5014,.F.); #152732=ADVANCED_FACE('',(#11565),#5015,.F.); #152733=ADVANCED_FACE('',(#11566),#5016,.F.); #152734=ADVANCED_FACE('',(#11567),#5017,.F.); #152735=ADVANCED_FACE('',(#11568),#5018,.F.); #152736=ADVANCED_FACE('',(#11569),#5019,.F.); #152737=ADVANCED_FACE('',(#11570),#5020,.F.); #152738=ADVANCED_FACE('',(#11571),#5021,.F.); #152739=ADVANCED_FACE('',(#11572),#5022,.F.); #152740=ADVANCED_FACE('',(#11573),#5023,.F.); #152741=ADVANCED_FACE('',(#11574),#5024,.F.); #152742=ADVANCED_FACE('',(#11575),#5025,.F.); #152743=ADVANCED_FACE('',(#11576),#5026,.F.); #152744=ADVANCED_FACE('',(#11577),#5027,.F.); #152745=ADVANCED_FACE('',(#11578),#5028,.F.); #152746=ADVANCED_FACE('',(#11579),#5029,.F.); #152747=ADVANCED_FACE('',(#11580),#5030,.F.); #152748=ADVANCED_FACE('',(#11581),#5031,.F.); #152749=ADVANCED_FACE('',(#11582),#5032,.F.); #152750=ADVANCED_FACE('',(#11583),#5033,.F.); #152751=ADVANCED_FACE('',(#11584),#5034,.F.); #152752=ADVANCED_FACE('',(#11585),#5035,.F.); #152753=ADVANCED_FACE('',(#11586),#5036,.F.); #152754=ADVANCED_FACE('',(#11587),#5037,.F.); #152755=ADVANCED_FACE('',(#11588),#5038,.F.); #152756=ADVANCED_FACE('',(#11589),#5039,.F.); #152757=ADVANCED_FACE('',(#11590),#5040,.F.); #152758=ADVANCED_FACE('',(#11591),#5041,.F.); #152759=ADVANCED_FACE('',(#11592),#5042,.F.); #152760=ADVANCED_FACE('',(#11593),#5043,.F.); #152761=ADVANCED_FACE('',(#11594),#5044,.F.); #152762=ADVANCED_FACE('',(#11595),#5045,.F.); #152763=ADVANCED_FACE('',(#11596),#5046,.F.); #152764=ADVANCED_FACE('',(#11597),#5047,.F.); #152765=ADVANCED_FACE('',(#11598),#5048,.F.); #152766=ADVANCED_FACE('',(#11599),#5049,.F.); #152767=ADVANCED_FACE('',(#11600),#5050,.F.); #152768=ADVANCED_FACE('',(#11601),#5051,.F.); #152769=ADVANCED_FACE('',(#11602),#5052,.F.); #152770=ADVANCED_FACE('',(#11603),#5053,.F.); #152771=ADVANCED_FACE('',(#11604),#5054,.F.); #152772=ADVANCED_FACE('',(#11605),#5055,.F.); #152773=ADVANCED_FACE('',(#11606),#5056,.F.); #152774=ADVANCED_FACE('',(#11607),#5057,.F.); #152775=ADVANCED_FACE('',(#11608),#5058,.F.); #152776=ADVANCED_FACE('',(#11609),#5059,.F.); #152777=ADVANCED_FACE('',(#11610),#5060,.F.); #152778=ADVANCED_FACE('',(#11611),#5061,.F.); #152779=ADVANCED_FACE('',(#11612),#5062,.F.); #152780=ADVANCED_FACE('',(#11613),#5063,.F.); #152781=ADVANCED_FACE('',(#11614),#5064,.F.); #152782=ADVANCED_FACE('',(#11615),#5065,.F.); #152783=ADVANCED_FACE('',(#11616),#5066,.F.); #152784=ADVANCED_FACE('',(#11617),#5067,.F.); #152785=ADVANCED_FACE('',(#11618),#5068,.F.); #152786=ADVANCED_FACE('',(#11619),#5069,.F.); #152787=ADVANCED_FACE('',(#11620,#1058),#5070,.F.); #152788=ADVANCED_FACE('',(#11621),#5071,.F.); #152789=ADVANCED_FACE('',(#11622),#5072,.F.); #152790=ADVANCED_FACE('',(#11623),#5073,.F.); #152791=ADVANCED_FACE('',(#11624),#5074,.F.); #152792=ADVANCED_FACE('',(#11625),#5075,.F.); #152793=ADVANCED_FACE('',(#11626),#146470,.F.); #152794=ADVANCED_FACE('',(#11627),#5076,.F.); #152795=ADVANCED_FACE('',(#11628),#5077,.F.); #152796=ADVANCED_FACE('',(#11629),#5078,.F.); #152797=ADVANCED_FACE('',(#11630),#5079,.F.); #152798=ADVANCED_FACE('',(#11631),#146471,.F.); #152799=ADVANCED_FACE('',(#11632),#5080,.F.); #152800=ADVANCED_FACE('',(#11633),#5081,.F.); #152801=ADVANCED_FACE('',(#11634),#5082,.F.); #152802=ADVANCED_FACE('',(#11635),#146472,.F.); #152803=ADVANCED_FACE('',(#11636),#5083,.F.); #152804=ADVANCED_FACE('',(#11637),#5084,.F.); #152805=ADVANCED_FACE('',(#11638),#5085,.F.); #152806=ADVANCED_FACE('',(#11639),#5086,.F.); #152807=ADVANCED_FACE('',(#11640),#146473,.F.); #152808=ADVANCED_FACE('',(#11641),#5087,.F.); #152809=ADVANCED_FACE('',(#11642),#5088,.F.); #152810=ADVANCED_FACE('',(#11643),#5089,.F.); #152811=ADVANCED_FACE('',(#11644),#5090,.F.); #152812=ADVANCED_FACE('',(#11645),#5091,.T.); #152813=ADVANCED_FACE('',(#11646),#5092,.F.); #152814=ADVANCED_FACE('',(#11647),#5093,.F.); #152815=ADVANCED_FACE('',(#11648),#5094,.F.); #152816=ADVANCED_FACE('',(#11649),#146474,.T.); #152817=ADVANCED_FACE('',(#11650),#146475,.T.); #152818=ADVANCED_FACE('',(#11651),#146476,.T.); #152819=ADVANCED_FACE('',(#11652),#146477,.T.); #152820=ADVANCED_FACE('',(#11653),#146478,.T.); #152821=ADVANCED_FACE('',(#11654),#5095,.F.); #152822=ADVANCED_FACE('',(#11655),#146479,.F.); #152823=ADVANCED_FACE('',(#11656),#146480,.F.); #152824=ADVANCED_FACE('',(#11657),#5096,.F.); #152825=ADVANCED_FACE('',(#11658),#146481,.T.); #152826=ADVANCED_FACE('',(#11659),#146482,.T.); #152827=ADVANCED_FACE('',(#11660),#146483,.T.); #152828=ADVANCED_FACE('',(#11661),#5097,.F.); #152829=ADVANCED_FACE('',(#11662),#5098,.F.); #152830=ADVANCED_FACE('',(#11663),#5099,.F.); #152831=ADVANCED_FACE('',(#11664),#5100,.F.); #152832=ADVANCED_FACE('',(#11665),#5101,.F.); #152833=ADVANCED_FACE('',(#11666),#5102,.F.); #152834=ADVANCED_FACE('',(#11667),#5103,.F.); #152835=ADVANCED_FACE('',(#11668),#5104,.F.); #152836=ADVANCED_FACE('',(#11669),#146484,.F.); #152837=ADVANCED_FACE('',(#11670),#146485,.F.); #152838=ADVANCED_FACE('',(#11671),#146486,.F.); #152839=ADVANCED_FACE('',(#11672),#146487,.F.); #152840=ADVANCED_FACE('',(#11673),#146488,.F.); #152841=ADVANCED_FACE('',(#11674),#5105,.F.); #152842=ADVANCED_FACE('',(#11675),#146489,.F.); #152843=ADVANCED_FACE('',(#11676),#146490,.F.); #152844=ADVANCED_FACE('',(#11677),#5106,.F.); #152845=ADVANCED_FACE('',(#11678),#5107,.F.); #152846=ADVANCED_FACE('',(#11679),#5108,.F.); #152847=ADVANCED_FACE('',(#11680),#5109,.F.); #152848=ADVANCED_FACE('',(#11681),#5110,.F.); #152849=ADVANCED_FACE('',(#11682),#5111,.F.); #152850=ADVANCED_FACE('',(#11683),#5112,.F.); #152851=ADVANCED_FACE('',(#11684),#146491,.F.); #152852=ADVANCED_FACE('',(#11685),#5113,.F.); #152853=ADVANCED_FACE('',(#11686,#1059),#5114,.T.); #152854=ADVANCED_FACE('',(#11687),#5115,.F.); #152855=ADVANCED_FACE('',(#11688),#5116,.F.); #152856=ADVANCED_FACE('',(#11689),#5117,.F.); #152857=ADVANCED_FACE('',(#11690),#146492,.F.); #152858=ADVANCED_FACE('',(#11691),#5118,.F.); #152859=ADVANCED_FACE('',(#11692),#146493,.T.); #152860=ADVANCED_FACE('',(#11693),#5119,.F.); #152861=ADVANCED_FACE('',(#11694),#5120,.F.); #152862=ADVANCED_FACE('',(#11695),#5121,.F.); #152863=ADVANCED_FACE('',(#11696),#5122,.F.); #152864=ADVANCED_FACE('',(#11697),#5123,.F.); #152865=ADVANCED_FACE('',(#11698),#5124,.T.); #152866=ADVANCED_FACE('',(#11699),#146494,.T.); #152867=ADVANCED_FACE('',(#11700),#146495,.T.); #152868=ADVANCED_FACE('',(#11701),#146496,.T.); #152869=ADVANCED_FACE('',(#11702),#146497,.T.); #152870=ADVANCED_FACE('',(#11703),#146498,.T.); #152871=ADVANCED_FACE('',(#11704),#146499,.T.); #152872=ADVANCED_FACE('',(#11705),#146500,.T.); #152873=ADVANCED_FACE('',(#11706),#146501,.T.); #152874=ADVANCED_FACE('',(#11707),#146502,.T.); #152875=ADVANCED_FACE('',(#11708),#5125,.F.); #152876=ADVANCED_FACE('',(#11709),#146503,.F.); #152877=ADVANCED_FACE('',(#11710),#146504,.F.); #152878=ADVANCED_FACE('',(#11711),#146505,.F.); #152879=ADVANCED_FACE('',(#11712),#146506,.F.); #152880=ADVANCED_FACE('',(#11713),#146507,.F.); #152881=ADVANCED_FACE('',(#11714),#146508,.T.); #152882=ADVANCED_FACE('',(#11715),#5126,.F.); #152883=ADVANCED_FACE('',(#11716),#146509,.F.); #152884=ADVANCED_FACE('',(#11717),#5127,.F.); #152885=ADVANCED_FACE('',(#11718),#5128,.F.); #152886=ADVANCED_FACE('',(#11719),#5129,.F.); #152887=ADVANCED_FACE('',(#11720),#5130,.F.); #152888=ADVANCED_FACE('',(#11721),#146510,.F.); #152889=ADVANCED_FACE('',(#11722),#5131,.F.); #152890=ADVANCED_FACE('',(#11723),#5132,.F.); #152891=ADVANCED_FACE('',(#11724),#5133,.F.); #152892=ADVANCED_FACE('',(#11725),#5134,.F.); #152893=ADVANCED_FACE('',(#11726),#5135,.F.); #152894=ADVANCED_FACE('',(#11727),#5136,.F.); #152895=ADVANCED_FACE('',(#11728),#5137,.F.); #152896=ADVANCED_FACE('',(#11729),#5138,.F.); #152897=ADVANCED_FACE('',(#11730),#5139,.F.); #152898=ADVANCED_FACE('',(#11731),#5140,.F.); #152899=ADVANCED_FACE('',(#11732),#5141,.F.); #152900=ADVANCED_FACE('',(#11733),#5142,.F.); #152901=ADVANCED_FACE('',(#11734),#5143,.F.); #152902=ADVANCED_FACE('',(#11735),#5144,.F.); #152903=ADVANCED_FACE('',(#11736),#5145,.F.); #152904=ADVANCED_FACE('',(#11737),#5146,.F.); #152905=ADVANCED_FACE('',(#11738),#146511,.F.); #152906=ADVANCED_FACE('',(#11739),#5147,.F.); #152907=ADVANCED_FACE('',(#11740),#146512,.F.); #152908=ADVANCED_FACE('',(#11741),#146513,.T.); #152909=ADVANCED_FACE('',(#11742),#5148,.F.); #152910=ADVANCED_FACE('',(#11743),#146514,.F.); #152911=ADVANCED_FACE('',(#11744),#5149,.F.); #152912=ADVANCED_FACE('',(#11745),#5150,.F.); #152913=ADVANCED_FACE('',(#11746),#146515,.F.); #152914=ADVANCED_FACE('',(#11747,#1060),#5151,.T.); #152915=ADVANCED_FACE('',(#11748),#146516,.T.); #152916=ADVANCED_FACE('',(#11749),#5152,.F.); #152917=ADVANCED_FACE('',(#11750),#146517,.F.); #152918=ADVANCED_FACE('',(#11751),#5153,.F.); #152919=ADVANCED_FACE('',(#11752),#146518,.F.); #152920=ADVANCED_FACE('',(#11753),#5154,.F.); #152921=ADVANCED_FACE('',(#11754),#5155,.F.); #152922=ADVANCED_FACE('',(#11755),#146519,.F.); #152923=ADVANCED_FACE('',(#11756),#146520,.F.); #152924=ADVANCED_FACE('',(#11757),#146521,.F.); #152925=ADVANCED_FACE('',(#11758),#146522,.F.); #152926=ADVANCED_FACE('',(#11759),#146523,.F.); #152927=ADVANCED_FACE('',(#11760),#146524,.F.); #152928=ADVANCED_FACE('',(#11761),#5156,.F.); #152929=ADVANCED_FACE('',(#11762),#146525,.T.); #152930=ADVANCED_FACE('',(#11763),#146526,.T.); #152931=ADVANCED_FACE('',(#11764),#146527,.T.); #152932=ADVANCED_FACE('',(#11765),#146528,.T.); #152933=ADVANCED_FACE('',(#11766),#146529,.T.); #152934=ADVANCED_FACE('',(#11767),#5157,.F.); #152935=ADVANCED_FACE('',(#11768),#5158,.F.); #152936=ADVANCED_FACE('',(#11769),#5159,.F.); #152937=ADVANCED_FACE('',(#11770),#5160,.F.); #152938=ADVANCED_FACE('',(#11771),#5161,.F.); #152939=ADVANCED_FACE('',(#11772),#5162,.F.); #152940=ADVANCED_FACE('',(#11773),#5163,.F.); #152941=ADVANCED_FACE('',(#11774),#146530,.F.); #152942=ADVANCED_FACE('',(#11775),#5164,.F.); #152943=ADVANCED_FACE('',(#11776),#146531,.F.); #152944=ADVANCED_FACE('',(#11777),#5165,.F.); #152945=ADVANCED_FACE('',(#11778),#5166,.F.); #152946=ADVANCED_FACE('',(#11779),#146532,.F.); #152947=ADVANCED_FACE('',(#11780),#146533,.F.); #152948=ADVANCED_FACE('',(#11781),#146534,.F.); #152949=ADVANCED_FACE('',(#11782),#146535,.F.); #152950=ADVANCED_FACE('',(#11783),#146536,.F.); #152951=ADVANCED_FACE('',(#11784),#146537,.F.); #152952=ADVANCED_FACE('',(#11785),#146538,.F.); #152953=ADVANCED_FACE('',(#11786),#5167,.F.); #152954=ADVANCED_FACE('',(#11787),#146539,.T.); #152955=ADVANCED_FACE('',(#11788),#146540,.T.); #152956=ADVANCED_FACE('',(#11789),#5168,.T.); #152957=ADVANCED_FACE('',(#11790),#5169,.F.); #152958=ADVANCED_FACE('',(#11791),#5170,.F.); #152959=ADVANCED_FACE('',(#11792),#5171,.F.); #152960=ADVANCED_FACE('',(#11793),#5172,.F.); #152961=ADVANCED_FACE('',(#11794),#5173,.F.); #152962=ADVANCED_FACE('',(#11795),#5174,.F.); #152963=ADVANCED_FACE('',(#11796),#5175,.F.); #152964=ADVANCED_FACE('',(#11797),#5176,.F.); #152965=ADVANCED_FACE('',(#11798),#5177,.F.); #152966=ADVANCED_FACE('',(#11799),#5178,.F.); #152967=ADVANCED_FACE('',(#11800),#5179,.F.); #152968=ADVANCED_FACE('',(#11801),#5180,.F.); #152969=ADVANCED_FACE('',(#11802),#5181,.F.); #152970=ADVANCED_FACE('',(#11803,#1061),#5182,.F.); #152971=ADVANCED_FACE('',(#11804),#5183,.F.); #152972=ADVANCED_FACE('',(#11805),#5184,.F.); #152973=ADVANCED_FACE('',(#11806),#146541,.T.); #152974=ADVANCED_FACE('',(#11807),#146542,.T.); #152975=ADVANCED_FACE('',(#11808),#146543,.T.); #152976=ADVANCED_FACE('',(#11809),#146544,.T.); #152977=ADVANCED_FACE('',(#11810),#146545,.T.); #152978=ADVANCED_FACE('',(#11811),#5185,.F.); #152979=ADVANCED_FACE('',(#11812),#146546,.F.); #152980=ADVANCED_FACE('',(#11813),#146547,.F.); #152981=ADVANCED_FACE('',(#11814),#146548,.F.); #152982=ADVANCED_FACE('',(#11815),#146549,.F.); #152983=ADVANCED_FACE('',(#11816),#146550,.F.); #152984=ADVANCED_FACE('',(#11817),#146551,.F.); #152985=ADVANCED_FACE('',(#11818),#5186,.F.); #152986=ADVANCED_FACE('',(#11819),#146552,.F.); #152987=ADVANCED_FACE('',(#11820),#146553,.F.); #152988=ADVANCED_FACE('',(#11821),#146554,.F.); #152989=ADVANCED_FACE('',(#11822),#146555,.F.); #152990=ADVANCED_FACE('',(#11823),#5187,.F.); #152991=ADVANCED_FACE('',(#11824),#5188,.F.); #152992=ADVANCED_FACE('',(#11825),#5189,.F.); #152993=ADVANCED_FACE('',(#11826),#146556,.F.); #152994=ADVANCED_FACE('',(#11827),#146557,.F.); #152995=ADVANCED_FACE('',(#11828),#146558,.F.); #152996=ADVANCED_FACE('',(#11829),#146559,.F.); #152997=ADVANCED_FACE('',(#11830),#5190,.F.); #152998=ADVANCED_FACE('',(#11831),#146560,.F.); #152999=ADVANCED_FACE('',(#11832),#146561,.F.); #153000=ADVANCED_FACE('',(#11833),#146562,.F.); #153001=ADVANCED_FACE('',(#11834),#146563,.F.); #153002=ADVANCED_FACE('',(#11835),#5191,.F.); #153003=ADVANCED_FACE('',(#11836),#5192,.F.); #153004=ADVANCED_FACE('',(#11837),#5193,.F.); #153005=ADVANCED_FACE('',(#11838),#5194,.F.); #153006=ADVANCED_FACE('',(#11839),#5195,.F.); #153007=ADVANCED_FACE('',(#11840),#5196,.F.); #153008=ADVANCED_FACE('',(#11841),#5197,.F.); #153009=ADVANCED_FACE('',(#11842),#5198,.F.); #153010=ADVANCED_FACE('',(#11843),#146564,.F.); #153011=ADVANCED_FACE('',(#11844),#146565,.F.); #153012=ADVANCED_FACE('',(#11845),#146566,.F.); #153013=ADVANCED_FACE('',(#11846),#146567,.F.); #153014=ADVANCED_FACE('',(#11847,#1062),#5199,.T.); #153015=ADVANCED_FACE('',(#11848),#5200,.F.); #153016=ADVANCED_FACE('',(#11849),#146568,.F.); #153017=ADVANCED_FACE('',(#11850),#146569,.F.); #153018=ADVANCED_FACE('',(#11851),#146570,.F.); #153019=ADVANCED_FACE('',(#11852),#146571,.F.); #153020=ADVANCED_FACE('',(#11853),#5201,.F.); #153021=ADVANCED_FACE('',(#11854),#146572,.F.); #153022=ADVANCED_FACE('',(#11855),#146573,.F.); #153023=ADVANCED_FACE('',(#11856),#146574,.F.); #153024=ADVANCED_FACE('',(#11857),#146575,.F.); #153025=ADVANCED_FACE('',(#11858),#146576,.F.); #153026=ADVANCED_FACE('',(#11859),#146577,.F.); #153027=ADVANCED_FACE('',(#11860),#146578,.T.); #153028=ADVANCED_FACE('',(#11861),#146579,.T.); #153029=ADVANCED_FACE('',(#11862),#146580,.T.); #153030=ADVANCED_FACE('',(#11863),#146581,.T.); #153031=ADVANCED_FACE('',(#11864),#146582,.T.); #153032=ADVANCED_FACE('',(#11865),#146583,.T.); #153033=ADVANCED_FACE('',(#11866),#146584,.T.); #153034=ADVANCED_FACE('',(#11867),#146585,.T.); #153035=ADVANCED_FACE('',(#11868),#146586,.F.); #153036=ADVANCED_FACE('',(#11869),#146587,.F.); #153037=ADVANCED_FACE('',(#11870),#146588,.F.); #153038=ADVANCED_FACE('',(#11871),#146589,.F.); #153039=ADVANCED_FACE('',(#11872),#5202,.F.); #153040=ADVANCED_FACE('',(#11873),#146590,.F.); #153041=ADVANCED_FACE('',(#11874),#146591,.F.); #153042=ADVANCED_FACE('',(#11875),#146592,.F.); #153043=ADVANCED_FACE('',(#11876),#146593,.F.); #153044=ADVANCED_FACE('',(#11877),#5203,.F.); #153045=ADVANCED_FACE('',(#11878),#146594,.F.); #153046=ADVANCED_FACE('',(#11879),#146595,.F.); #153047=ADVANCED_FACE('',(#11880),#146596,.F.); #153048=ADVANCED_FACE('',(#11881),#146597,.F.); #153049=ADVANCED_FACE('',(#11882),#146598,.F.); #153050=ADVANCED_FACE('',(#11883),#146599,.T.); #153051=ADVANCED_FACE('',(#11884),#146600,.T.); #153052=ADVANCED_FACE('',(#11885),#146601,.T.); #153053=ADVANCED_FACE('',(#11886),#146602,.T.); #153054=ADVANCED_FACE('',(#11887),#146603,.T.); #153055=ADVANCED_FACE('',(#11888),#146604,.T.); #153056=ADVANCED_FACE('',(#11889),#146605,.T.); #153057=ADVANCED_FACE('',(#11890),#146606,.F.); #153058=ADVANCED_FACE('',(#11891),#146607,.F.); #153059=ADVANCED_FACE('',(#11892),#146608,.F.); #153060=ADVANCED_FACE('',(#11893),#146609,.F.); #153061=ADVANCED_FACE('',(#11894),#5204,.T.); #153062=ADVANCED_FACE('',(#11895),#146610,.T.); #153063=ADVANCED_FACE('',(#11896),#146611,.T.); #153064=ADVANCED_FACE('',(#11897),#146612,.T.); #153065=ADVANCED_FACE('',(#11898),#5205,.F.); #153066=ADVANCED_FACE('',(#11899),#146613,.T.); #153067=ADVANCED_FACE('',(#11900),#146614,.T.); #153068=ADVANCED_FACE('',(#11901),#146615,.T.); #153069=ADVANCED_FACE('',(#11902),#146616,.T.); #153070=ADVANCED_FACE('',(#11903),#146617,.T.); #153071=ADVANCED_FACE('',(#11904),#146618,.T.); #153072=ADVANCED_FACE('',(#11905),#146619,.F.); #153073=ADVANCED_FACE('',(#11906),#146620,.F.); #153074=ADVANCED_FACE('',(#11907),#146621,.F.); #153075=ADVANCED_FACE('',(#11908),#146622,.F.); #153076=ADVANCED_FACE('',(#11909),#5206,.F.); #153077=ADVANCED_FACE('',(#11910),#146623,.F.); #153078=ADVANCED_FACE('',(#11911),#146624,.F.); #153079=ADVANCED_FACE('',(#11912),#146625,.F.); #153080=ADVANCED_FACE('',(#11913),#146626,.F.); #153081=ADVANCED_FACE('',(#11914),#5207,.F.); #153082=ADVANCED_FACE('',(#11915),#146627,.F.); #153083=ADVANCED_FACE('',(#11916),#146628,.F.); #153084=ADVANCED_FACE('',(#11917),#146629,.F.); #153085=ADVANCED_FACE('',(#11918),#146630,.F.); #153086=ADVANCED_FACE('',(#11919),#5208,.F.); #153087=ADVANCED_FACE('',(#11920),#146631,.F.); #153088=ADVANCED_FACE('',(#11921),#146632,.F.); #153089=ADVANCED_FACE('',(#11922),#146633,.F.); #153090=ADVANCED_FACE('',(#11923),#146634,.F.); #153091=ADVANCED_FACE('',(#11924),#146635,.F.); #153092=ADVANCED_FACE('',(#11925),#146636,.F.); #153093=ADVANCED_FACE('',(#11926),#146637,.F.); #153094=ADVANCED_FACE('',(#11927),#146638,.F.); #153095=ADVANCED_FACE('',(#11928),#146639,.F.); #153096=ADVANCED_FACE('',(#11929),#146640,.F.); #153097=ADVANCED_FACE('',(#11930),#146641,.F.); #153098=ADVANCED_FACE('',(#11931),#146642,.T.); #153099=ADVANCED_FACE('',(#11932),#5209,.T.); #153100=ADVANCED_FACE('',(#11933),#5210,.F.); #153101=ADVANCED_FACE('',(#11934),#146643,.F.); #153102=ADVANCED_FACE('',(#11935),#146644,.F.); #153103=ADVANCED_FACE('',(#11936),#146645,.F.); #153104=ADVANCED_FACE('',(#11937),#146646,.F.); #153105=ADVANCED_FACE('',(#11938),#5211,.F.); #153106=ADVANCED_FACE('',(#11939),#146647,.F.); #153107=ADVANCED_FACE('',(#11940),#146648,.F.); #153108=ADVANCED_FACE('',(#11941),#146649,.F.); #153109=ADVANCED_FACE('',(#11942),#146650,.F.); #153110=ADVANCED_FACE('',(#11943),#146651,.F.); #153111=ADVANCED_FACE('',(#11944),#5212,.T.); #153112=ADVANCED_FACE('',(#11945),#5213,.F.); #153113=ADVANCED_FACE('',(#11946),#146652,.F.); #153114=ADVANCED_FACE('',(#11947),#146653,.F.); #153115=ADVANCED_FACE('',(#11948),#146654,.F.); #153116=ADVANCED_FACE('',(#11949),#146655,.F.); #153117=ADVANCED_FACE('',(#11950),#5214,.F.); #153118=ADVANCED_FACE('',(#11951),#5215,.F.); #153119=ADVANCED_FACE('',(#11952),#5216,.F.); #153120=ADVANCED_FACE('',(#11953),#146656,.F.); #153121=ADVANCED_FACE('',(#11954),#146657,.F.); #153122=ADVANCED_FACE('',(#11955),#146658,.F.); #153123=ADVANCED_FACE('',(#11956),#146659,.F.); #153124=ADVANCED_FACE('',(#11957),#5217,.F.); #153125=ADVANCED_FACE('',(#11958),#146660,.F.); #153126=ADVANCED_FACE('',(#11959),#146661,.F.); #153127=ADVANCED_FACE('',(#11960),#146662,.F.); #153128=ADVANCED_FACE('',(#11961),#146663,.F.); #153129=ADVANCED_FACE('',(#11962),#5218,.F.); #153130=ADVANCED_FACE('',(#11963),#5219,.F.); #153131=ADVANCED_FACE('',(#11964),#146664,.T.); #153132=ADVANCED_FACE('',(#11965),#146665,.T.); #153133=ADVANCED_FACE('',(#11966),#146666,.T.); #153134=ADVANCED_FACE('',(#11967),#146667,.T.); #153135=ADVANCED_FACE('',(#11968),#5220,.F.); #153136=ADVANCED_FACE('',(#11969),#5221,.F.); #153137=ADVANCED_FACE('',(#11970),#146668,.F.); #153138=ADVANCED_FACE('',(#11971),#146669,.F.); #153139=ADVANCED_FACE('',(#11972),#146670,.F.); #153140=ADVANCED_FACE('',(#11973),#146671,.F.); #153141=ADVANCED_FACE('',(#11974),#5222,.F.); #153142=ADVANCED_FACE('',(#11975),#5223,.F.); #153143=ADVANCED_FACE('',(#11976),#146672,.T.); #153144=ADVANCED_FACE('',(#11977),#146673,.T.); #153145=ADVANCED_FACE('',(#11978),#146674,.T.); #153146=ADVANCED_FACE('',(#11979),#146675,.T.); #153147=ADVANCED_FACE('',(#11980),#5224,.F.); #153148=ADVANCED_FACE('',(#11981),#5225,.F.); #153149=ADVANCED_FACE('',(#11982),#146676,.F.); #153150=ADVANCED_FACE('',(#11983),#146677,.F.); #153151=ADVANCED_FACE('',(#11984),#146678,.F.); #153152=ADVANCED_FACE('',(#11985),#146679,.F.); #153153=ADVANCED_FACE('',(#11986),#5226,.F.); #153154=ADVANCED_FACE('',(#11987),#5227,.F.); #153155=ADVANCED_FACE('',(#11988),#146680,.F.); #153156=ADVANCED_FACE('',(#11989),#146681,.F.); #153157=ADVANCED_FACE('',(#11990),#146682,.F.); #153158=ADVANCED_FACE('',(#11991),#146683,.F.); #153159=ADVANCED_FACE('',(#11992),#146684,.F.); #153160=ADVANCED_FACE('',(#11993),#146685,.F.); #153161=ADVANCED_FACE('',(#11994),#146686,.F.); #153162=ADVANCED_FACE('',(#11995),#5228,.F.); #153163=ADVANCED_FACE('',(#11996),#5229,.T.); #153164=ADVANCED_FACE('',(#11997),#146687,.T.); #153165=ADVANCED_FACE('',(#11998),#5230,.F.); #153166=ADVANCED_FACE('',(#11999),#146688,.T.); #153167=ADVANCED_FACE('',(#12000),#146689,.T.); #153168=ADVANCED_FACE('',(#12001),#146690,.T.); #153169=ADVANCED_FACE('',(#12002),#146691,.T.); #153170=ADVANCED_FACE('',(#12003),#146692,.T.); #153171=ADVANCED_FACE('',(#12004),#146693,.T.); #153172=ADVANCED_FACE('',(#12005),#5231,.F.); #153173=ADVANCED_FACE('',(#12006),#146694,.T.); #153174=ADVANCED_FACE('',(#12007),#146695,.T.); #153175=ADVANCED_FACE('',(#12008),#146696,.T.); #153176=ADVANCED_FACE('',(#12009),#146697,.T.); #153177=ADVANCED_FACE('',(#12010),#146698,.T.); #153178=ADVANCED_FACE('',(#12011),#5232,.F.); #153179=ADVANCED_FACE('',(#12012),#146699,.F.); #153180=ADVANCED_FACE('',(#12013),#146700,.F.); #153181=ADVANCED_FACE('',(#12014),#146701,.F.); #153182=ADVANCED_FACE('',(#12015),#146702,.F.); #153183=ADVANCED_FACE('',(#12016),#146703,.F.); #153184=ADVANCED_FACE('',(#12017),#146704,.F.); #153185=ADVANCED_FACE('',(#12018),#146705,.F.); #153186=ADVANCED_FACE('',(#12019),#5233,.F.); #153187=ADVANCED_FACE('',(#12020),#146706,.F.); #153188=ADVANCED_FACE('',(#12021),#146707,.F.); #153189=ADVANCED_FACE('',(#12022),#146708,.F.); #153190=ADVANCED_FACE('',(#12023),#146709,.F.); #153191=ADVANCED_FACE('',(#12024),#146710,.F.); #153192=ADVANCED_FACE('',(#12025),#146711,.F.); #153193=ADVANCED_FACE('',(#12026),#146712,.F.); #153194=ADVANCED_FACE('',(#12027,#1063),#5234,.T.); #153195=ADVANCED_FACE('',(#12028),#146713,.T.); #153196=ADVANCED_FACE('',(#12029),#5235,.F.); #153197=ADVANCED_FACE('',(#12030),#146714,.T.); #153198=ADVANCED_FACE('',(#12031),#146715,.T.); #153199=ADVANCED_FACE('',(#12032),#146716,.T.); #153200=ADVANCED_FACE('',(#12033),#146717,.T.); #153201=ADVANCED_FACE('',(#12034),#146718,.T.); #153202=ADVANCED_FACE('',(#12035),#146719,.T.); #153203=ADVANCED_FACE('',(#12036),#5236,.F.); #153204=ADVANCED_FACE('',(#12037),#146720,.T.); #153205=ADVANCED_FACE('',(#12038),#146721,.T.); #153206=ADVANCED_FACE('',(#12039),#146722,.T.); #153207=ADVANCED_FACE('',(#12040),#146723,.T.); #153208=ADVANCED_FACE('',(#12041),#146724,.T.); #153209=ADVANCED_FACE('',(#12042),#146725,.F.); #153210=ADVANCED_FACE('',(#12043),#5237,.F.); #153211=ADVANCED_FACE('',(#12044),#146726,.F.); #153212=ADVANCED_FACE('',(#12045),#146727,.F.); #153213=ADVANCED_FACE('',(#12046),#146728,.F.); #153214=ADVANCED_FACE('',(#12047),#146729,.F.); #153215=ADVANCED_FACE('',(#12048),#146730,.F.); #153216=ADVANCED_FACE('',(#12049),#146731,.F.); #153217=ADVANCED_FACE('',(#12050),#146732,.F.); #153218=ADVANCED_FACE('',(#12051),#5238,.F.); #153219=ADVANCED_FACE('',(#12052),#146733,.F.); #153220=ADVANCED_FACE('',(#12053),#146734,.F.); #153221=ADVANCED_FACE('',(#12054),#146735,.F.); #153222=ADVANCED_FACE('',(#12055),#146736,.F.); #153223=ADVANCED_FACE('',(#12056),#146737,.F.); #153224=ADVANCED_FACE('',(#12057),#146738,.F.); #153225=ADVANCED_FACE('',(#12058,#1064),#5239,.T.); #153226=ADVANCED_FACE('',(#12059),#146739,.T.); #153227=ADVANCED_FACE('',(#12060),#146740,.T.); #153228=ADVANCED_FACE('',(#12061),#5240,.F.); #153229=ADVANCED_FACE('',(#12062),#146741,.T.); #153230=ADVANCED_FACE('',(#12063),#146742,.T.); #153231=ADVANCED_FACE('',(#12064),#146743,.T.); #153232=ADVANCED_FACE('',(#12065),#146744,.T.); #153233=ADVANCED_FACE('',(#12066),#146745,.T.); #153234=ADVANCED_FACE('',(#12067),#146746,.T.); #153235=ADVANCED_FACE('',(#12068),#5241,.F.); #153236=ADVANCED_FACE('',(#12069),#146747,.T.); #153237=ADVANCED_FACE('',(#12070),#146748,.T.); #153238=ADVANCED_FACE('',(#12071),#146749,.T.); #153239=ADVANCED_FACE('',(#12072),#146750,.T.); #153240=ADVANCED_FACE('',(#12073),#5242,.F.); #153241=ADVANCED_FACE('',(#12074),#146751,.F.); #153242=ADVANCED_FACE('',(#12075),#146752,.F.); #153243=ADVANCED_FACE('',(#12076),#146753,.F.); #153244=ADVANCED_FACE('',(#12077),#146754,.F.); #153245=ADVANCED_FACE('',(#12078),#146755,.F.); #153246=ADVANCED_FACE('',(#12079),#146756,.F.); #153247=ADVANCED_FACE('',(#12080),#146757,.F.); #153248=ADVANCED_FACE('',(#12081),#5243,.F.); #153249=ADVANCED_FACE('',(#12082),#146758,.F.); #153250=ADVANCED_FACE('',(#12083),#146759,.F.); #153251=ADVANCED_FACE('',(#12084),#146760,.F.); #153252=ADVANCED_FACE('',(#12085),#146761,.F.); #153253=ADVANCED_FACE('',(#12086),#146762,.F.); #153254=ADVANCED_FACE('',(#12087),#146763,.F.); #153255=ADVANCED_FACE('',(#12088),#146764,.F.); #153256=ADVANCED_FACE('',(#12089,#1065),#5244,.T.); #153257=ADVANCED_FACE('',(#12090),#146765,.T.); #153258=ADVANCED_FACE('',(#12091),#146766,.T.); #153259=ADVANCED_FACE('',(#12092),#146767,.T.); #153260=ADVANCED_FACE('',(#12093),#146768,.T.); #153261=ADVANCED_FACE('',(#12094),#5245,.F.); #153262=ADVANCED_FACE('',(#12095),#146769,.T.); #153263=ADVANCED_FACE('',(#12096),#146770,.T.); #153264=ADVANCED_FACE('',(#12097),#146771,.T.); #153265=ADVANCED_FACE('',(#12098),#146772,.T.); #153266=ADVANCED_FACE('',(#12099),#146773,.T.); #153267=ADVANCED_FACE('',(#12100),#146774,.T.); #153268=ADVANCED_FACE('',(#12101),#5246,.F.); #153269=ADVANCED_FACE('',(#12102),#146775,.T.); #153270=ADVANCED_FACE('',(#12103),#146776,.T.); #153271=ADVANCED_FACE('',(#12104),#5247,.F.); #153272=ADVANCED_FACE('',(#12105),#146777,.F.); #153273=ADVANCED_FACE('',(#12106),#146778,.F.); #153274=ADVANCED_FACE('',(#12107),#146779,.F.); #153275=ADVANCED_FACE('',(#12108),#146780,.F.); #153276=ADVANCED_FACE('',(#12109),#146781,.F.); #153277=ADVANCED_FACE('',(#12110),#146782,.F.); #153278=ADVANCED_FACE('',(#12111),#146783,.F.); #153279=ADVANCED_FACE('',(#12112),#5248,.F.); #153280=ADVANCED_FACE('',(#12113),#146784,.F.); #153281=ADVANCED_FACE('',(#12114),#146785,.F.); #153282=ADVANCED_FACE('',(#12115),#146786,.F.); #153283=ADVANCED_FACE('',(#12116),#146787,.F.); #153284=ADVANCED_FACE('',(#12117),#146788,.F.); #153285=ADVANCED_FACE('',(#12118),#146789,.F.); #153286=ADVANCED_FACE('',(#12119),#146790,.F.); #153287=ADVANCED_FACE('',(#12120,#1066),#5249,.T.); #153288=ADVANCED_FACE('',(#12121),#146791,.F.); #153289=ADVANCED_FACE('',(#12122),#146792,.F.); #153290=ADVANCED_FACE('',(#12123),#146793,.F.); #153291=ADVANCED_FACE('',(#12124),#146794,.F.); #153292=ADVANCED_FACE('',(#12125),#146795,.F.); #153293=ADVANCED_FACE('',(#12126),#146796,.F.); #153294=ADVANCED_FACE('',(#12127),#146797,.F.); #153295=ADVANCED_FACE('',(#12128),#146798,.F.); #153296=ADVANCED_FACE('',(#12129),#146799,.F.); #153297=ADVANCED_FACE('',(#12130),#146800,.F.); #153298=ADVANCED_FACE('',(#12131),#146801,.T.); #153299=ADVANCED_FACE('',(#12132),#146802,.T.); #153300=ADVANCED_FACE('',(#12133),#146803,.T.); #153301=ADVANCED_FACE('',(#12134),#146804,.T.); #153302=ADVANCED_FACE('',(#12135),#146805,.T.); #153303=ADVANCED_FACE('',(#12136),#146806,.T.); #153304=ADVANCED_FACE('',(#12137),#146807,.T.); #153305=ADVANCED_FACE('',(#12138),#146808,.T.); #153306=ADVANCED_FACE('',(#12139),#5250,.F.); #153307=ADVANCED_FACE('',(#12140),#5251,.F.); #153308=ADVANCED_FACE('',(#12141),#5252,.F.); #153309=ADVANCED_FACE('',(#12142),#146809,.F.); #153310=ADVANCED_FACE('',(#12143),#146810,.F.); #153311=ADVANCED_FACE('',(#12144),#146811,.F.); #153312=ADVANCED_FACE('',(#12145),#146812,.F.); #153313=ADVANCED_FACE('',(#12146),#5253,.F.); #153314=ADVANCED_FACE('',(#12147),#5254,.F.); #153315=ADVANCED_FACE('',(#12148),#5255,.F.); #153316=ADVANCED_FACE('',(#12149),#146813,.F.); #153317=ADVANCED_FACE('',(#12150),#146814,.F.); #153318=ADVANCED_FACE('',(#12151),#146815,.F.); #153319=ADVANCED_FACE('',(#12152),#5256,.T.); #153320=ADVANCED_FACE('',(#12153),#146816,.T.); #153321=ADVANCED_FACE('',(#12154),#146817,.T.); #153322=ADVANCED_FACE('',(#12155),#146818,.F.); #153323=ADVANCED_FACE('',(#12156),#146819,.F.); #153324=ADVANCED_FACE('',(#12157),#146820,.F.); #153325=ADVANCED_FACE('',(#12158),#146821,.F.); #153326=ADVANCED_FACE('',(#12159),#146822,.F.); #153327=ADVANCED_FACE('',(#12160),#146823,.F.); #153328=ADVANCED_FACE('',(#12161),#146824,.F.); #153329=ADVANCED_FACE('',(#12162),#146825,.F.); #153330=ADVANCED_FACE('',(#12163),#146826,.F.); #153331=ADVANCED_FACE('',(#12164),#146827,.F.); #153332=ADVANCED_FACE('',(#12165),#146828,.F.); #153333=ADVANCED_FACE('',(#12166),#146829,.F.); #153334=ADVANCED_FACE('',(#12167),#146830,.F.); #153335=ADVANCED_FACE('',(#12168),#146831,.F.); #153336=ADVANCED_FACE('',(#12169),#146832,.F.); #153337=ADVANCED_FACE('',(#12170),#146833,.F.); #153338=ADVANCED_FACE('',(#12171),#146834,.F.); #153339=ADVANCED_FACE('',(#12172),#146835,.F.); #153340=ADVANCED_FACE('',(#12173),#146836,.F.); #153341=ADVANCED_FACE('',(#12174),#146837,.F.); #153342=ADVANCED_FACE('',(#12175),#146838,.T.); #153343=ADVANCED_FACE('',(#12176),#146839,.T.); #153344=ADVANCED_FACE('',(#12177),#146840,.T.); #153345=ADVANCED_FACE('',(#12178),#146841,.T.); #153346=ADVANCED_FACE('',(#12179),#146842,.T.); #153347=ADVANCED_FACE('',(#12180),#146843,.T.); #153348=ADVANCED_FACE('',(#12181),#5257,.F.); #153349=ADVANCED_FACE('',(#12182),#146844,.F.); #153350=ADVANCED_FACE('',(#12183),#146845,.F.); #153351=ADVANCED_FACE('',(#12184),#146846,.F.); #153352=ADVANCED_FACE('',(#12185),#146847,.F.); #153353=ADVANCED_FACE('',(#12186),#146848,.T.); #153354=ADVANCED_FACE('',(#12187),#146849,.T.); #153355=ADVANCED_FACE('',(#12188),#146850,.T.); #153356=ADVANCED_FACE('',(#12189),#146851,.T.); #153357=ADVANCED_FACE('',(#12190),#5258,.T.); #153358=ADVANCED_FACE('',(#12191),#146852,.T.); #153359=ADVANCED_FACE('',(#12192),#146853,.T.); #153360=ADVANCED_FACE('',(#12193),#146854,.F.); #153361=ADVANCED_FACE('',(#12194),#146855,.F.); #153362=ADVANCED_FACE('',(#12195),#146856,.F.); #153363=ADVANCED_FACE('',(#12196),#146857,.F.); #153364=ADVANCED_FACE('',(#12197),#146858,.F.); #153365=ADVANCED_FACE('',(#12198),#146859,.F.); #153366=ADVANCED_FACE('',(#12199),#146860,.F.); #153367=ADVANCED_FACE('',(#12200),#146861,.F.); #153368=ADVANCED_FACE('',(#12201),#146862,.F.); #153369=ADVANCED_FACE('',(#12202),#146863,.F.); #153370=ADVANCED_FACE('',(#12203),#146864,.F.); #153371=ADVANCED_FACE('',(#12204),#146865,.F.); #153372=ADVANCED_FACE('',(#12205),#5259,.F.); #153373=ADVANCED_FACE('',(#12206),#5260,.F.); #153374=ADVANCED_FACE('',(#12207),#146866,.F.); #153375=ADVANCED_FACE('',(#12208),#146867,.F.); #153376=ADVANCED_FACE('',(#12209),#146868,.F.); #153377=ADVANCED_FACE('',(#12210),#146869,.F.); #153378=ADVANCED_FACE('',(#12211),#5261,.F.); #153379=ADVANCED_FACE('',(#12212),#5262,.F.); #153380=ADVANCED_FACE('',(#12213),#146870,.F.); #153381=ADVANCED_FACE('',(#12214),#146871,.F.); #153382=ADVANCED_FACE('',(#12215),#146872,.F.); #153383=ADVANCED_FACE('',(#12216),#146873,.T.); #153384=ADVANCED_FACE('',(#12217),#146874,.T.); #153385=ADVANCED_FACE('',(#12218),#146875,.T.); #153386=ADVANCED_FACE('',(#12219),#146876,.T.); #153387=ADVANCED_FACE('',(#12220),#5263,.T.); #153388=ADVANCED_FACE('',(#12221),#146877,.F.); #153389=ADVANCED_FACE('',(#12222),#146878,.F.); #153390=ADVANCED_FACE('',(#12223),#146879,.F.); #153391=ADVANCED_FACE('',(#12224),#146880,.F.); #153392=ADVANCED_FACE('',(#12225),#5264,.F.); #153393=ADVANCED_FACE('',(#12226),#146881,.F.); #153394=ADVANCED_FACE('',(#12227),#146882,.F.); #153395=ADVANCED_FACE('',(#12228),#146883,.F.); #153396=ADVANCED_FACE('',(#12229),#146884,.F.); #153397=ADVANCED_FACE('',(#12230),#5265,.F.); #153398=ADVANCED_FACE('',(#12231),#5266,.T.); #153399=ADVANCED_FACE('',(#12232),#146885,.F.); #153400=ADVANCED_FACE('',(#12233),#146886,.F.); #153401=ADVANCED_FACE('',(#12234),#5267,.F.); #153402=ADVANCED_FACE('',(#12235),#146887,.F.); #153403=ADVANCED_FACE('',(#12236),#146888,.F.); #153404=ADVANCED_FACE('',(#12237),#146889,.F.); #153405=ADVANCED_FACE('',(#12238),#146890,.F.); #153406=ADVANCED_FACE('',(#12239),#5268,.F.); #153407=ADVANCED_FACE('',(#12240),#5269,.F.); #153408=ADVANCED_FACE('',(#12241),#5270,.F.); #153409=ADVANCED_FACE('',(#12242),#146891,.F.); #153410=ADVANCED_FACE('',(#12243),#146892,.F.); #153411=ADVANCED_FACE('',(#12244),#146893,.F.); #153412=ADVANCED_FACE('',(#12245),#146894,.F.); #153413=ADVANCED_FACE('',(#12246),#146895,.F.); #153414=ADVANCED_FACE('',(#12247),#5271,.F.); #153415=ADVANCED_FACE('',(#12248),#5272,.F.); #153416=ADVANCED_FACE('',(#12249),#5273,.F.); #153417=ADVANCED_FACE('',(#12250),#146896,.F.); #153418=ADVANCED_FACE('',(#12251),#146897,.F.); #153419=ADVANCED_FACE('',(#12252),#5274,.T.); #153420=ADVANCED_FACE('',(#12253),#5275,.F.); #153421=ADVANCED_FACE('',(#12254),#5276,.F.); #153422=ADVANCED_FACE('',(#12255),#5277,.F.); #153423=ADVANCED_FACE('',(#12256),#5278,.F.); #153424=ADVANCED_FACE('',(#12257),#5279,.F.); #153425=ADVANCED_FACE('',(#12258),#5280,.F.); #153426=ADVANCED_FACE('',(#12259),#5281,.F.); #153427=ADVANCED_FACE('',(#12260),#5282,.F.); #153428=ADVANCED_FACE('',(#12261),#5283,.F.); #153429=ADVANCED_FACE('',(#12262),#5284,.F.); #153430=ADVANCED_FACE('',(#12263),#5285,.F.); #153431=ADVANCED_FACE('',(#12264),#5286,.F.); #153432=ADVANCED_FACE('',(#12265),#5287,.F.); #153433=ADVANCED_FACE('',(#12266),#5288,.F.); #153434=ADVANCED_FACE('',(#12267),#5289,.F.); #153435=ADVANCED_FACE('',(#12268),#5290,.F.); #153436=ADVANCED_FACE('',(#12269),#5291,.F.); #153437=ADVANCED_FACE('',(#12270),#5292,.F.); #153438=ADVANCED_FACE('',(#12271),#5293,.F.); #153439=ADVANCED_FACE('',(#12272),#5294,.F.); #153440=ADVANCED_FACE('',(#12273),#5295,.F.); #153441=ADVANCED_FACE('',(#12274),#5296,.F.); #153442=ADVANCED_FACE('',(#12275),#5297,.F.); #153443=ADVANCED_FACE('',(#12276),#5298,.F.); #153444=ADVANCED_FACE('',(#12277),#5299,.F.); #153445=ADVANCED_FACE('',(#12278),#5300,.F.); #153446=ADVANCED_FACE('',(#12279,#1067),#5301,.F.); #153447=ADVANCED_FACE('',(#12280),#5302,.F.); #153448=ADVANCED_FACE('',(#12281),#5303,.F.); #153449=ADVANCED_FACE('',(#12282),#5304,.F.); #153450=ADVANCED_FACE('',(#12283),#5305,.F.); #153451=ADVANCED_FACE('',(#12284),#5306,.F.); #153452=ADVANCED_FACE('',(#12285),#5307,.F.); #153453=ADVANCED_FACE('',(#12286),#5308,.F.); #153454=ADVANCED_FACE('',(#12287),#5309,.F.); #153455=ADVANCED_FACE('',(#12288),#5310,.F.); #153456=ADVANCED_FACE('',(#12289),#5311,.F.); #153457=ADVANCED_FACE('',(#12290),#5312,.F.); #153458=ADVANCED_FACE('',(#12291),#146898,.F.); #153459=ADVANCED_FACE('',(#12292),#5313,.F.); #153460=ADVANCED_FACE('',(#12293),#5314,.F.); #153461=ADVANCED_FACE('',(#12294),#5315,.F.); #153462=ADVANCED_FACE('',(#12295),#5316,.F.); #153463=ADVANCED_FACE('',(#12296),#5317,.F.); #153464=ADVANCED_FACE('',(#12297),#5318,.F.); #153465=ADVANCED_FACE('',(#12298),#5319,.F.); #153466=ADVANCED_FACE('',(#12299),#5320,.F.); #153467=ADVANCED_FACE('',(#12300),#146899,.F.); #153468=ADVANCED_FACE('',(#12301),#5321,.F.); #153469=ADVANCED_FACE('',(#12302),#5322,.F.); #153470=ADVANCED_FACE('',(#12303),#146900,.F.); #153471=ADVANCED_FACE('',(#12304),#146901,.F.); #153472=ADVANCED_FACE('',(#12305),#5323,.F.); #153473=ADVANCED_FACE('',(#12306),#146902,.F.); #153474=ADVANCED_FACE('',(#12307),#5324,.F.); #153475=ADVANCED_FACE('',(#12308),#5325,.F.); #153476=ADVANCED_FACE('',(#12309),#5326,.F.); #153477=ADVANCED_FACE('',(#12310),#5327,.F.); #153478=ADVANCED_FACE('',(#12311),#5328,.F.); #153479=ADVANCED_FACE('',(#12312),#5329,.F.); #153480=ADVANCED_FACE('',(#12313),#5330,.F.); #153481=ADVANCED_FACE('',(#12314),#5331,.F.); #153482=ADVANCED_FACE('',(#12315),#146903,.F.); #153483=ADVANCED_FACE('',(#12316),#5332,.F.); #153484=ADVANCED_FACE('',(#12317),#5333,.F.); #153485=ADVANCED_FACE('',(#12318),#5334,.F.); #153486=ADVANCED_FACE('',(#12319),#5335,.T.); #153487=ADVANCED_FACE('',(#12320),#5336,.F.); #153488=ADVANCED_FACE('',(#12321),#5337,.F.); #153489=ADVANCED_FACE('',(#12322),#5338,.F.); #153490=ADVANCED_FACE('',(#12323),#5339,.F.); #153491=ADVANCED_FACE('',(#12324),#5340,.F.); #153492=ADVANCED_FACE('',(#12325),#5341,.F.); #153493=ADVANCED_FACE('',(#12326),#5342,.F.); #153494=ADVANCED_FACE('',(#12327),#5343,.F.); #153495=ADVANCED_FACE('',(#12328),#146904,.F.); #153496=ADVANCED_FACE('',(#12329),#146905,.F.); #153497=ADVANCED_FACE('',(#12330),#5344,.F.); #153498=ADVANCED_FACE('',(#12331),#146906,.T.); #153499=ADVANCED_FACE('',(#12332),#146907,.T.); #153500=ADVANCED_FACE('',(#12333),#146908,.T.); #153501=ADVANCED_FACE('',(#12334),#5345,.F.); #153502=ADVANCED_FACE('',(#12335),#5346,.F.); #153503=ADVANCED_FACE('',(#12336),#5347,.F.); #153504=ADVANCED_FACE('',(#12337),#5348,.F.); #153505=ADVANCED_FACE('',(#12338),#5349,.F.); #153506=ADVANCED_FACE('',(#12339),#146909,.F.); #153507=ADVANCED_FACE('',(#12340),#5350,.F.); #153508=ADVANCED_FACE('',(#12341),#146910,.F.); #153509=ADVANCED_FACE('',(#12342),#146911,.F.); #153510=ADVANCED_FACE('',(#12343),#146912,.T.); #153511=ADVANCED_FACE('',(#12344),#5351,.F.); #153512=ADVANCED_FACE('',(#12345),#146913,.F.); #153513=ADVANCED_FACE('',(#12346),#5352,.F.); #153514=ADVANCED_FACE('',(#12347),#5353,.F.); #153515=ADVANCED_FACE('',(#12348),#5354,.F.); #153516=ADVANCED_FACE('',(#12349),#146914,.F.); #153517=ADVANCED_FACE('',(#12350),#5355,.F.); #153518=ADVANCED_FACE('',(#12351),#5356,.F.); #153519=ADVANCED_FACE('',(#12352),#5357,.F.); #153520=ADVANCED_FACE('',(#12353),#5358,.F.); #153521=ADVANCED_FACE('',(#12354),#5359,.T.); #153522=ADVANCED_FACE('',(#12355),#146915,.F.); #153523=ADVANCED_FACE('',(#12356),#5360,.F.); #153524=ADVANCED_FACE('',(#12357),#5361,.F.); #153525=ADVANCED_FACE('',(#12358),#5362,.F.); #153526=ADVANCED_FACE('',(#12359),#5363,.F.); #153527=ADVANCED_FACE('',(#12360),#146916,.F.); #153528=ADVANCED_FACE('',(#12361),#146917,.F.); #153529=ADVANCED_FACE('',(#12362),#5364,.F.); #153530=ADVANCED_FACE('',(#12363),#5365,.F.); #153531=ADVANCED_FACE('',(#12364),#146918,.F.); #153532=ADVANCED_FACE('',(#12365),#5366,.F.); #153533=ADVANCED_FACE('',(#12366),#146919,.T.); #153534=ADVANCED_FACE('',(#12367),#146920,.T.); #153535=ADVANCED_FACE('',(#12368),#5367,.F.); #153536=ADVANCED_FACE('',(#12369),#5368,.F.); #153537=ADVANCED_FACE('',(#12370),#146921,.F.); #153538=ADVANCED_FACE('',(#12371),#146922,.F.); #153539=ADVANCED_FACE('',(#12372),#146923,.F.); #153540=ADVANCED_FACE('',(#12373),#5369,.F.); #153541=ADVANCED_FACE('',(#12374),#146924,.T.); #153542=ADVANCED_FACE('',(#12375),#5370,.F.); #153543=ADVANCED_FACE('',(#12376),#5371,.F.); #153544=ADVANCED_FACE('',(#12377),#146925,.T.); #153545=ADVANCED_FACE('',(#12378),#5372,.F.); #153546=ADVANCED_FACE('',(#12379),#5373,.F.); #153547=ADVANCED_FACE('',(#12380),#146926,.F.); #153548=ADVANCED_FACE('',(#12381),#5374,.F.); #153549=ADVANCED_FACE('',(#12382),#5375,.F.); #153550=ADVANCED_FACE('',(#12383),#146927,.F.); #153551=ADVANCED_FACE('',(#12384),#5376,.F.); #153552=ADVANCED_FACE('',(#12385),#5377,.F.); #153553=ADVANCED_FACE('',(#12386),#146928,.F.); #153554=ADVANCED_FACE('',(#12387),#5378,.F.); #153555=ADVANCED_FACE('',(#12388),#5379,.F.); #153556=ADVANCED_FACE('',(#12389),#146929,.F.); #153557=ADVANCED_FACE('',(#12390),#146930,.F.); #153558=ADVANCED_FACE('',(#12391),#5380,.F.); #153559=ADVANCED_FACE('',(#12392),#5381,.F.); #153560=ADVANCED_FACE('',(#12393),#146931,.F.); #153561=ADVANCED_FACE('',(#12394),#5382,.T.); #153562=ADVANCED_FACE('',(#12395),#146932,.T.); #153563=ADVANCED_FACE('',(#12396),#146933,.T.); #153564=ADVANCED_FACE('',(#12397),#146934,.T.); #153565=ADVANCED_FACE('',(#12398),#5383,.F.); #153566=ADVANCED_FACE('',(#12399),#146935,.T.); #153567=ADVANCED_FACE('',(#12400),#146936,.T.); #153568=ADVANCED_FACE('',(#12401),#146937,.T.); #153569=ADVANCED_FACE('',(#12402),#146938,.T.); #153570=ADVANCED_FACE('',(#12403),#146939,.T.); #153571=ADVANCED_FACE('',(#12404),#146940,.T.); #153572=ADVANCED_FACE('',(#12405),#5384,.F.); #153573=ADVANCED_FACE('',(#12406),#146941,.F.); #153574=ADVANCED_FACE('',(#12407),#146942,.F.); #153575=ADVANCED_FACE('',(#12408),#146943,.F.); #153576=ADVANCED_FACE('',(#12409),#146944,.F.); #153577=ADVANCED_FACE('',(#12410),#146945,.F.); #153578=ADVANCED_FACE('',(#12411),#146946,.F.); #153579=ADVANCED_FACE('',(#12412),#146947,.F.); #153580=ADVANCED_FACE('',(#12413),#146948,.F.); #153581=ADVANCED_FACE('',(#12414),#146949,.F.); #153582=ADVANCED_FACE('',(#12415),#5385,.F.); #153583=ADVANCED_FACE('',(#12416,#1068),#5386,.T.); #153584=ADVANCED_FACE('',(#12417),#5387,.F.); #153585=ADVANCED_FACE('',(#12418),#146950,.F.); #153586=ADVANCED_FACE('',(#12419),#146951,.F.); #153587=ADVANCED_FACE('',(#12420),#5388,.F.); #153588=ADVANCED_FACE('',(#12421),#146952,.F.); #153589=ADVANCED_FACE('',(#12422),#146953,.F.); #153590=ADVANCED_FACE('',(#12423),#5389,.F.); #153591=ADVANCED_FACE('',(#12424),#146954,.T.); #153592=ADVANCED_FACE('',(#12425),#5390,.F.); #153593=ADVANCED_FACE('',(#12426),#5391,.F.); #153594=ADVANCED_FACE('',(#12427),#5392,.F.); #153595=ADVANCED_FACE('',(#12428),#146955,.F.); #153596=ADVANCED_FACE('',(#12429),#5393,.F.); #153597=ADVANCED_FACE('',(#12430),#5394,.F.); #153598=ADVANCED_FACE('',(#12431),#5395,.F.); #153599=ADVANCED_FACE('',(#12432),#5396,.F.); #153600=ADVANCED_FACE('',(#12433),#146956,.F.); #153601=ADVANCED_FACE('',(#12434),#5397,.F.); #153602=ADVANCED_FACE('',(#12435),#5398,.F.); #153603=ADVANCED_FACE('',(#12436),#146957,.F.); #153604=ADVANCED_FACE('',(#12437),#146958,.F.); #153605=ADVANCED_FACE('',(#12438),#5399,.F.); #153606=ADVANCED_FACE('',(#12439),#5400,.F.); #153607=ADVANCED_FACE('',(#12440),#5401,.F.); #153608=ADVANCED_FACE('',(#12441),#5402,.F.); #153609=ADVANCED_FACE('',(#12442),#146959,.F.); #153610=ADVANCED_FACE('',(#12443),#146960,.F.); #153611=ADVANCED_FACE('',(#12444),#146961,.F.); #153612=ADVANCED_FACE('',(#12445),#5403,.F.); #153613=ADVANCED_FACE('',(#12446),#146962,.T.); #153614=ADVANCED_FACE('',(#12447),#146963,.T.); #153615=ADVANCED_FACE('',(#12448),#146964,.T.); #153616=ADVANCED_FACE('',(#12449),#5404,.F.); #153617=ADVANCED_FACE('',(#12450),#5405,.F.); #153618=ADVANCED_FACE('',(#12451),#5406,.F.); #153619=ADVANCED_FACE('',(#12452),#5407,.F.); #153620=ADVANCED_FACE('',(#12453),#5408,.F.); #153621=ADVANCED_FACE('',(#12454),#5409,.F.); #153622=ADVANCED_FACE('',(#12455),#5410,.F.); #153623=ADVANCED_FACE('',(#12456),#5411,.F.); #153624=ADVANCED_FACE('',(#12457),#5412,.F.); #153625=ADVANCED_FACE('',(#12458),#5413,.F.); #153626=ADVANCED_FACE('',(#12459),#146965,.F.); #153627=ADVANCED_FACE('',(#12460),#5414,.F.); #153628=ADVANCED_FACE('',(#12461),#5415,.F.); #153629=ADVANCED_FACE('',(#12462),#5416,.F.); #153630=ADVANCED_FACE('',(#12463),#146966,.F.); #153631=ADVANCED_FACE('',(#12464),#5417,.T.); #153632=ADVANCED_FACE('',(#12465),#5418,.F.); #153633=ADVANCED_FACE('',(#12466),#5419,.F.); #153634=ADVANCED_FACE('',(#12467),#5420,.F.); #153635=ADVANCED_FACE('',(#12468),#146967,.F.); #153636=ADVANCED_FACE('',(#12469),#146968,.F.); #153637=ADVANCED_FACE('',(#12470),#146969,.F.); #153638=ADVANCED_FACE('',(#12471),#146970,.F.); #153639=ADVANCED_FACE('',(#12472),#5421,.F.); #153640=ADVANCED_FACE('',(#12473),#5422,.F.); #153641=ADVANCED_FACE('',(#12474),#5423,.F.); #153642=ADVANCED_FACE('',(#12475),#146971,.F.); #153643=ADVANCED_FACE('',(#12476),#146972,.F.); #153644=ADVANCED_FACE('',(#12477),#146973,.F.); #153645=ADVANCED_FACE('',(#12478),#146974,.F.); #153646=ADVANCED_FACE('',(#12479),#5424,.F.); #153647=ADVANCED_FACE('',(#12480),#5425,.F.); #153648=ADVANCED_FACE('',(#12481),#5426,.F.); #153649=ADVANCED_FACE('',(#12482),#5427,.F.); #153650=ADVANCED_FACE('',(#12483),#146975,.F.); #153651=ADVANCED_FACE('',(#12484),#146976,.F.); #153652=ADVANCED_FACE('',(#12485),#146977,.F.); #153653=ADVANCED_FACE('',(#12486),#146978,.F.); #153654=ADVANCED_FACE('',(#12487),#5428,.F.); #153655=ADVANCED_FACE('',(#12488),#146979,.F.); #153656=ADVANCED_FACE('',(#12489),#146980,.F.); #153657=ADVANCED_FACE('',(#12490),#146981,.F.); #153658=ADVANCED_FACE('',(#12491),#146982,.F.); #153659=ADVANCED_FACE('',(#12492),#5429,.F.); #153660=ADVANCED_FACE('',(#12493),#5430,.T.); #153661=ADVANCED_FACE('',(#12494),#146983,.F.); #153662=ADVANCED_FACE('',(#12495),#5431,.F.); #153663=ADVANCED_FACE('',(#12496),#146984,.F.); #153664=ADVANCED_FACE('',(#12497),#146985,.F.); #153665=ADVANCED_FACE('',(#12498),#146986,.F.); #153666=ADVANCED_FACE('',(#12499),#146987,.F.); #153667=ADVANCED_FACE('',(#12500),#5432,.F.); #153668=ADVANCED_FACE('',(#12501),#5433,.F.); #153669=ADVANCED_FACE('',(#12502),#5434,.F.); #153670=ADVANCED_FACE('',(#12503),#146988,.F.); #153671=ADVANCED_FACE('',(#12504),#146989,.F.); #153672=ADVANCED_FACE('',(#12505),#146990,.F.); #153673=ADVANCED_FACE('',(#12506),#146991,.F.); #153674=ADVANCED_FACE('',(#12507),#5435,.F.); #153675=ADVANCED_FACE('',(#12508),#146992,.F.); #153676=ADVANCED_FACE('',(#12509),#146993,.F.); #153677=ADVANCED_FACE('',(#12510),#146994,.F.); #153678=ADVANCED_FACE('',(#12511),#146995,.F.); #153679=ADVANCED_FACE('',(#12512),#5436,.F.); #153680=ADVANCED_FACE('',(#12513),#5437,.F.); #153681=ADVANCED_FACE('',(#12514),#5438,.F.); #153682=ADVANCED_FACE('',(#12515),#5439,.F.); #153683=ADVANCED_FACE('',(#12516),#146996,.F.); #153684=ADVANCED_FACE('',(#12517),#146997,.F.); #153685=ADVANCED_FACE('',(#12518),#146998,.F.); #153686=ADVANCED_FACE('',(#12519),#146999,.F.); #153687=ADVANCED_FACE('',(#12520),#5440,.F.); #153688=ADVANCED_FACE('',(#12521),#5441,.F.); #153689=ADVANCED_FACE('',(#12522),#147000,.F.); #153690=ADVANCED_FACE('',(#12523),#147001,.F.); #153691=ADVANCED_FACE('',(#12524),#147002,.F.); #153692=ADVANCED_FACE('',(#12525),#147003,.F.); #153693=ADVANCED_FACE('',(#12526),#5442,.F.); #153694=ADVANCED_FACE('',(#12527),#5443,.F.); #153695=ADVANCED_FACE('',(#12528),#5444,.F.); #153696=ADVANCED_FACE('',(#12529),#147004,.F.); #153697=ADVANCED_FACE('',(#12530),#147005,.F.); #153698=ADVANCED_FACE('',(#12531),#147006,.F.); #153699=ADVANCED_FACE('',(#12532),#5445,.T.); #153700=ADVANCED_FACE('',(#12533),#147007,.F.); #153701=ADVANCED_FACE('',(#12534),#147008,.F.); #153702=ADVANCED_FACE('',(#12535),#147009,.F.); #153703=ADVANCED_FACE('',(#12536),#147010,.F.); #153704=ADVANCED_FACE('',(#12537),#5446,.F.); #153705=ADVANCED_FACE('',(#12538),#147011,.F.); #153706=ADVANCED_FACE('',(#12539),#147012,.F.); #153707=ADVANCED_FACE('',(#12540),#147013,.F.); #153708=ADVANCED_FACE('',(#12541),#147014,.F.); #153709=ADVANCED_FACE('',(#12542),#5447,.F.); #153710=ADVANCED_FACE('',(#12543),#5448,.T.); #153711=ADVANCED_FACE('',(#12544),#5449,.F.); #153712=ADVANCED_FACE('',(#12545),#5450,.F.); #153713=ADVANCED_FACE('',(#12546),#5451,.F.); #153714=ADVANCED_FACE('',(#12547),#5452,.F.); #153715=ADVANCED_FACE('',(#12548),#147015,.F.); #153716=ADVANCED_FACE('',(#12549),#147016,.F.); #153717=ADVANCED_FACE('',(#12550),#147017,.F.); #153718=ADVANCED_FACE('',(#12551),#147018,.F.); #153719=ADVANCED_FACE('',(#12552),#147019,.F.); #153720=ADVANCED_FACE('',(#12553),#147020,.F.); #153721=ADVANCED_FACE('',(#12554),#5453,.F.); #153722=ADVANCED_FACE('',(#12555),#147021,.F.); #153723=ADVANCED_FACE('',(#12556),#147022,.F.); #153724=ADVANCED_FACE('',(#12557),#147023,.F.); #153725=ADVANCED_FACE('',(#12558),#147024,.F.); #153726=ADVANCED_FACE('',(#12559),#147025,.F.); #153727=ADVANCED_FACE('',(#12560),#147026,.F.); #153728=ADVANCED_FACE('',(#12561),#5454,.F.); #153729=ADVANCED_FACE('',(#12562),#5455,.F.); #153730=ADVANCED_FACE('',(#12563),#5456,.F.); #153731=ADVANCED_FACE('',(#12564),#5457,.F.); #153732=ADVANCED_FACE('',(#12565),#5458,.F.); #153733=ADVANCED_FACE('',(#12566),#147027,.F.); #153734=ADVANCED_FACE('',(#12567),#147028,.F.); #153735=ADVANCED_FACE('',(#12568),#147029,.F.); #153736=ADVANCED_FACE('',(#12569),#147030,.F.); #153737=ADVANCED_FACE('',(#12570),#147031,.F.); #153738=ADVANCED_FACE('',(#12571),#147032,.F.); #153739=ADVANCED_FACE('',(#12572),#5459,.F.); #153740=ADVANCED_FACE('',(#12573),#147033,.F.); #153741=ADVANCED_FACE('',(#12574),#147034,.F.); #153742=ADVANCED_FACE('',(#12575),#147035,.F.); #153743=ADVANCED_FACE('',(#12576),#147036,.F.); #153744=ADVANCED_FACE('',(#12577),#147037,.F.); #153745=ADVANCED_FACE('',(#12578),#147038,.F.); #153746=ADVANCED_FACE('',(#12579),#5460,.F.); #153747=ADVANCED_FACE('',(#12580),#5461,.T.); #153748=ADVANCED_FACE('',(#12581),#147039,.T.); #153749=ADVANCED_FACE('',(#12582),#5462,.F.); #153750=ADVANCED_FACE('',(#12583),#147040,.T.); #153751=ADVANCED_FACE('',(#12584),#147041,.T.); #153752=ADVANCED_FACE('',(#12585),#147042,.T.); #153753=ADVANCED_FACE('',(#12586),#147043,.T.); #153754=ADVANCED_FACE('',(#12587),#147044,.T.); #153755=ADVANCED_FACE('',(#12588),#147045,.T.); #153756=ADVANCED_FACE('',(#12589),#5463,.F.); #153757=ADVANCED_FACE('',(#12590),#147046,.T.); #153758=ADVANCED_FACE('',(#12591),#147047,.T.); #153759=ADVANCED_FACE('',(#12592),#147048,.T.); #153760=ADVANCED_FACE('',(#12593),#147049,.T.); #153761=ADVANCED_FACE('',(#12594),#147050,.T.); #153762=ADVANCED_FACE('',(#12595),#147051,.F.); #153763=ADVANCED_FACE('',(#12596),#5464,.F.); #153764=ADVANCED_FACE('',(#12597),#147052,.F.); #153765=ADVANCED_FACE('',(#12598),#147053,.F.); #153766=ADVANCED_FACE('',(#12599),#147054,.F.); #153767=ADVANCED_FACE('',(#12600),#147055,.F.); #153768=ADVANCED_FACE('',(#12601),#147056,.F.); #153769=ADVANCED_FACE('',(#12602),#147057,.F.); #153770=ADVANCED_FACE('',(#12603),#147058,.F.); #153771=ADVANCED_FACE('',(#12604),#5465,.F.); #153772=ADVANCED_FACE('',(#12605),#147059,.F.); #153773=ADVANCED_FACE('',(#12606),#147060,.F.); #153774=ADVANCED_FACE('',(#12607),#147061,.F.); #153775=ADVANCED_FACE('',(#12608),#147062,.F.); #153776=ADVANCED_FACE('',(#12609),#147063,.F.); #153777=ADVANCED_FACE('',(#12610),#147064,.F.); #153778=ADVANCED_FACE('',(#12611,#1069),#5466,.T.); #153779=ADVANCED_FACE('',(#12612),#147065,.F.); #153780=ADVANCED_FACE('',(#12613),#147066,.T.); #153781=ADVANCED_FACE('',(#12614),#147067,.T.); #153782=ADVANCED_FACE('',(#12615),#147068,.T.); #153783=ADVANCED_FACE('',(#12616),#147069,.T.); #153784=ADVANCED_FACE('',(#12617),#147070,.T.); #153785=ADVANCED_FACE('',(#12618),#147071,.T.); #153786=ADVANCED_FACE('',(#12619),#147072,.T.); #153787=ADVANCED_FACE('',(#12620),#147073,.T.); #153788=ADVANCED_FACE('',(#12621),#5467,.F.); #153789=ADVANCED_FACE('',(#12622),#5468,.F.); #153790=ADVANCED_FACE('',(#12623),#5469,.F.); #153791=ADVANCED_FACE('',(#12624),#147074,.F.); #153792=ADVANCED_FACE('',(#12625),#147075,.F.); #153793=ADVANCED_FACE('',(#12626),#147076,.F.); #153794=ADVANCED_FACE('',(#12627),#147077,.F.); #153795=ADVANCED_FACE('',(#12628),#5470,.F.); #153796=ADVANCED_FACE('',(#12629),#5471,.F.); #153797=ADVANCED_FACE('',(#12630),#5472,.F.); #153798=ADVANCED_FACE('',(#12631),#147078,.F.); #153799=ADVANCED_FACE('',(#12632),#147079,.F.); #153800=ADVANCED_FACE('',(#12633),#147080,.F.); #153801=ADVANCED_FACE('',(#12634),#147081,.F.); #153802=ADVANCED_FACE('',(#12635),#147082,.F.); #153803=ADVANCED_FACE('',(#12636),#147083,.F.); #153804=ADVANCED_FACE('',(#12637),#147084,.F.); #153805=ADVANCED_FACE('',(#12638),#147085,.F.); #153806=ADVANCED_FACE('',(#12639),#147086,.F.); #153807=ADVANCED_FACE('',(#12640),#147087,.F.); #153808=ADVANCED_FACE('',(#12641),#147088,.F.); #153809=ADVANCED_FACE('',(#12642),#147089,.F.); #153810=ADVANCED_FACE('',(#12643),#5473,.T.); #153811=ADVANCED_FACE('',(#12644),#147090,.T.); #153812=ADVANCED_FACE('',(#12645),#147091,.T.); #153813=ADVANCED_FACE('',(#12646),#147092,.T.); #153814=ADVANCED_FACE('',(#12647),#5474,.F.); #153815=ADVANCED_FACE('',(#12648),#147093,.T.); #153816=ADVANCED_FACE('',(#12649),#147094,.T.); #153817=ADVANCED_FACE('',(#12650),#147095,.T.); #153818=ADVANCED_FACE('',(#12651),#147096,.T.); #153819=ADVANCED_FACE('',(#12652),#147097,.T.); #153820=ADVANCED_FACE('',(#12653),#147098,.T.); #153821=ADVANCED_FACE('',(#12654),#5475,.F.); #153822=ADVANCED_FACE('',(#12655),#147099,.T.); #153823=ADVANCED_FACE('',(#12656),#147100,.T.); #153824=ADVANCED_FACE('',(#12657),#147101,.T.); #153825=ADVANCED_FACE('',(#12658),#147102,.F.); #153826=ADVANCED_FACE('',(#12659),#147103,.F.); #153827=ADVANCED_FACE('',(#12660),#5476,.F.); #153828=ADVANCED_FACE('',(#12661),#147104,.F.); #153829=ADVANCED_FACE('',(#12662),#147105,.F.); #153830=ADVANCED_FACE('',(#12663),#147106,.F.); #153831=ADVANCED_FACE('',(#12664),#147107,.F.); #153832=ADVANCED_FACE('',(#12665),#147108,.F.); #153833=ADVANCED_FACE('',(#12666),#147109,.F.); #153834=ADVANCED_FACE('',(#12667),#147110,.F.); #153835=ADVANCED_FACE('',(#12668),#5477,.F.); #153836=ADVANCED_FACE('',(#12669),#147111,.F.); #153837=ADVANCED_FACE('',(#12670),#147112,.F.); #153838=ADVANCED_FACE('',(#12671),#147113,.F.); #153839=ADVANCED_FACE('',(#12672),#147114,.F.); #153840=ADVANCED_FACE('',(#12673),#147115,.F.); #153841=ADVANCED_FACE('',(#12674,#1070),#5478,.T.); #153842=ADVANCED_FACE('',(#12675),#147116,.F.); #153843=ADVANCED_FACE('',(#12676),#147117,.F.); #153844=ADVANCED_FACE('',(#12677),#5479,.F.); #153845=ADVANCED_FACE('',(#12678),#147118,.F.); #153846=ADVANCED_FACE('',(#12679),#147119,.F.); #153847=ADVANCED_FACE('',(#12680),#147120,.F.); #153848=ADVANCED_FACE('',(#12681),#147121,.F.); #153849=ADVANCED_FACE('',(#12682),#5480,.F.); #153850=ADVANCED_FACE('',(#12683),#5481,.F.); #153851=ADVANCED_FACE('',(#12684),#5482,.F.); #153852=ADVANCED_FACE('',(#12685),#147122,.F.); #153853=ADVANCED_FACE('',(#12686),#147123,.F.); #153854=ADVANCED_FACE('',(#12687),#147124,.F.); #153855=ADVANCED_FACE('',(#12688),#147125,.F.); #153856=ADVANCED_FACE('',(#12689),#147126,.F.); #153857=ADVANCED_FACE('',(#12690),#5483,.F.); #153858=ADVANCED_FACE('',(#12691),#5484,.F.); #153859=ADVANCED_FACE('',(#12692),#5485,.F.); #153860=ADVANCED_FACE('',(#12693),#147127,.F.); #153861=ADVANCED_FACE('',(#12694),#147128,.F.); #153862=ADVANCED_FACE('',(#12695),#5486,.T.); #153863=ADVANCED_FACE('',(#12696,#1071,#1072,#1073,#1074,#1075,#1076,#1077, #1078,#1079,#1080,#1081,#1082,#1083,#1084,#1085,#1086,#1087,#1088,#1089, #1090,#1091,#1092,#1093,#1094,#1095,#1096,#1097,#1098,#1099,#1100,#1101, #1102,#1103,#1104,#1105,#1106,#1107,#1108,#1109,#1110,#1111,#1112,#1113, #1114,#1115),#5487,.T.); #153864=ADVANCED_FACE('',(#12697),#5488,.T.); #153865=ADVANCED_FACE('',(#12698),#5489,.T.); #153866=ADVANCED_FACE('',(#12699),#5490,.T.); #153867=ADVANCED_FACE('',(#12700,#1116),#5491,.T.); #153868=ADVANCED_FACE('',(#12701),#5492,.T.); #153869=ADVANCED_FACE('',(#12702),#5493,.T.); #153870=ADVANCED_FACE('',(#12703),#5494,.T.); #153871=ADVANCED_FACE('',(#12704),#5495,.T.); #153872=ADVANCED_FACE('',(#12705),#5496,.T.); #153873=ADVANCED_FACE('',(#12706,#1117),#5497,.T.); #153874=ADVANCED_FACE('',(#12707),#5498,.T.); #153875=ADVANCED_FACE('',(#12708),#5499,.T.); #153876=ADVANCED_FACE('',(#12709),#5500,.T.); #153877=ADVANCED_FACE('',(#12710),#5501,.T.); #153878=ADVANCED_FACE('',(#12711),#5502,.T.); #153879=ADVANCED_FACE('',(#12712),#5503,.T.); #153880=ADVANCED_FACE('',(#12713,#1118),#5504,.T.); #153881=ADVANCED_FACE('',(#12714),#5505,.T.); #153882=ADVANCED_FACE('',(#12715),#5506,.T.); #153883=ADVANCED_FACE('',(#12716),#5507,.T.); #153884=ADVANCED_FACE('',(#12717),#147129,.T.); #153885=ADVANCED_FACE('',(#12718),#5508,.T.); #153886=ADVANCED_FACE('',(#12719),#147130,.T.); #153887=ADVANCED_FACE('',(#12720),#5509,.T.); #153888=ADVANCED_FACE('',(#12721),#147131,.T.); #153889=ADVANCED_FACE('',(#12722),#5510,.T.); #153890=ADVANCED_FACE('',(#12723),#147132,.T.); #153891=ADVANCED_FACE('',(#12724),#5511,.T.); #153892=ADVANCED_FACE('',(#12725),#5512,.F.); #153893=ADVANCED_FACE('',(#12726,#1119),#5513,.F.); #153894=ADVANCED_FACE('',(#12727),#5514,.F.); #153895=ADVANCED_FACE('',(#12728),#5515,.F.); #153896=ADVANCED_FACE('',(#12729),#5516,.F.); #153897=ADVANCED_FACE('',(#12730),#5517,.F.); #153898=ADVANCED_FACE('',(#12731),#5518,.F.); #153899=ADVANCED_FACE('',(#12732),#5519,.F.); #153900=ADVANCED_FACE('',(#12733),#5520,.F.); #153901=ADVANCED_FACE('',(#12734),#5521,.F.); #153902=ADVANCED_FACE('',(#12735),#5522,.F.); #153903=ADVANCED_FACE('',(#12736),#5523,.F.); #153904=ADVANCED_FACE('',(#12737),#5524,.F.); #153905=ADVANCED_FACE('',(#12738),#5525,.F.); #153906=ADVANCED_FACE('',(#12739),#5526,.F.); #153907=ADVANCED_FACE('',(#12740),#5527,.F.); #153908=ADVANCED_FACE('',(#12741),#5528,.F.); #153909=ADVANCED_FACE('',(#12742),#5529,.F.); #153910=ADVANCED_FACE('',(#12743),#5530,.F.); #153911=ADVANCED_FACE('',(#12744),#5531,.F.); #153912=ADVANCED_FACE('',(#12745),#5532,.F.); #153913=ADVANCED_FACE('',(#12746),#5533,.F.); #153914=ADVANCED_FACE('',(#12747),#5534,.F.); #153915=ADVANCED_FACE('',(#12748),#5535,.F.); #153916=ADVANCED_FACE('',(#12749),#5536,.F.); #153917=ADVANCED_FACE('',(#12750),#5537,.F.); #153918=ADVANCED_FACE('',(#12751),#5538,.F.); #153919=ADVANCED_FACE('',(#12752),#5539,.F.); #153920=ADVANCED_FACE('',(#12753),#5540,.F.); #153921=ADVANCED_FACE('',(#12754),#5541,.F.); #153922=ADVANCED_FACE('',(#12755),#5542,.F.); #153923=ADVANCED_FACE('',(#12756),#5543,.F.); #153924=ADVANCED_FACE('',(#12757),#5544,.F.); #153925=ADVANCED_FACE('',(#12758),#5545,.F.); #153926=ADVANCED_FACE('',(#12759),#5546,.T.); #153927=ADVANCED_FACE('',(#12760),#5547,.F.); #153928=ADVANCED_FACE('',(#12761),#5548,.F.); #153929=ADVANCED_FACE('',(#12762),#5549,.F.); #153930=ADVANCED_FACE('',(#12763),#5550,.F.); #153931=ADVANCED_FACE('',(#12764),#5551,.F.); #153932=ADVANCED_FACE('',(#12765),#5552,.F.); #153933=ADVANCED_FACE('',(#12766),#5553,.F.); #153934=ADVANCED_FACE('',(#12767),#5554,.F.); #153935=ADVANCED_FACE('',(#12768),#5555,.F.); #153936=ADVANCED_FACE('',(#12769),#5556,.F.); #153937=ADVANCED_FACE('',(#12770),#5557,.F.); #153938=ADVANCED_FACE('',(#12771),#5558,.T.); #153939=ADVANCED_FACE('',(#12772),#5559,.F.); #153940=ADVANCED_FACE('',(#12773),#5560,.F.); #153941=ADVANCED_FACE('',(#12774),#5561,.F.); #153942=ADVANCED_FACE('',(#12775),#5562,.T.); #153943=ADVANCED_FACE('',(#12776),#5563,.F.); #153944=ADVANCED_FACE('',(#12777),#5564,.F.); #153945=ADVANCED_FACE('',(#12778),#5565,.F.); #153946=ADVANCED_FACE('',(#12779),#5566,.F.); #153947=ADVANCED_FACE('',(#12780),#5567,.F.); #153948=ADVANCED_FACE('',(#12781),#5568,.F.); #153949=ADVANCED_FACE('',(#12782),#5569,.F.); #153950=ADVANCED_FACE('',(#12783),#5570,.T.); #153951=ADVANCED_FACE('',(#12784),#5571,.F.); #153952=ADVANCED_FACE('',(#12785),#5572,.F.); #153953=ADVANCED_FACE('',(#12786),#5573,.F.); #153954=ADVANCED_FACE('',(#12787),#5574,.F.); #153955=ADVANCED_FACE('',(#12788),#5575,.F.); #153956=ADVANCED_FACE('',(#12789),#5576,.F.); #153957=ADVANCED_FACE('',(#12790),#5577,.F.); #153958=ADVANCED_FACE('',(#12791),#5578,.T.); #153959=ADVANCED_FACE('',(#12792),#5579,.F.); #153960=ADVANCED_FACE('',(#12793),#5580,.F.); #153961=ADVANCED_FACE('',(#12794),#5581,.F.); #153962=ADVANCED_FACE('',(#12795),#5582,.F.); #153963=ADVANCED_FACE('',(#12796),#5583,.F.); #153964=ADVANCED_FACE('',(#12797),#5584,.F.); #153965=ADVANCED_FACE('',(#12798),#5585,.F.); #153966=ADVANCED_FACE('',(#12799),#5586,.T.); #153967=ADVANCED_FACE('',(#12800),#5587,.F.); #153968=ADVANCED_FACE('',(#12801),#5588,.F.); #153969=ADVANCED_FACE('',(#12802),#5589,.F.); #153970=ADVANCED_FACE('',(#12803),#5590,.F.); #153971=ADVANCED_FACE('',(#12804),#5591,.F.); #153972=ADVANCED_FACE('',(#12805),#5592,.F.); #153973=ADVANCED_FACE('',(#12806),#5593,.F.); #153974=ADVANCED_FACE('',(#12807),#5594,.T.); #153975=ADVANCED_FACE('',(#12808),#5595,.F.); #153976=ADVANCED_FACE('',(#12809),#5596,.F.); #153977=ADVANCED_FACE('',(#12810),#5597,.F.); #153978=ADVANCED_FACE('',(#12811),#5598,.F.); #153979=ADVANCED_FACE('',(#12812),#5599,.F.); #153980=ADVANCED_FACE('',(#12813),#5600,.F.); #153981=ADVANCED_FACE('',(#12814),#5601,.F.); #153982=ADVANCED_FACE('',(#12815),#5602,.T.); #153983=ADVANCED_FACE('',(#12816),#5603,.F.); #153984=ADVANCED_FACE('',(#12817),#5604,.F.); #153985=ADVANCED_FACE('',(#12818),#5605,.F.); #153986=ADVANCED_FACE('',(#12819),#5606,.F.); #153987=ADVANCED_FACE('',(#12820),#5607,.F.); #153988=ADVANCED_FACE('',(#12821),#5608,.F.); #153989=ADVANCED_FACE('',(#12822),#5609,.F.); #153990=ADVANCED_FACE('',(#12823),#5610,.T.); #153991=ADVANCED_FACE('',(#12824),#5611,.F.); #153992=ADVANCED_FACE('',(#12825),#5612,.F.); #153993=ADVANCED_FACE('',(#12826),#5613,.F.); #153994=ADVANCED_FACE('',(#12827),#5614,.F.); #153995=ADVANCED_FACE('',(#12828),#5615,.F.); #153996=ADVANCED_FACE('',(#12829),#5616,.F.); #153997=ADVANCED_FACE('',(#12830),#5617,.F.); #153998=ADVANCED_FACE('',(#12831),#5618,.T.); #153999=ADVANCED_FACE('',(#12832),#5619,.F.); #154000=ADVANCED_FACE('',(#12833),#5620,.F.); #154001=ADVANCED_FACE('',(#12834),#5621,.F.); #154002=ADVANCED_FACE('',(#12835),#5622,.F.); #154003=ADVANCED_FACE('',(#12836),#5623,.F.); #154004=ADVANCED_FACE('',(#12837),#5624,.F.); #154005=ADVANCED_FACE('',(#12838),#5625,.F.); #154006=ADVANCED_FACE('',(#12839),#5626,.T.); #154007=ADVANCED_FACE('',(#12840),#5627,.F.); #154008=ADVANCED_FACE('',(#12841),#5628,.F.); #154009=ADVANCED_FACE('',(#12842),#5629,.F.); #154010=ADVANCED_FACE('',(#12843),#5630,.F.); #154011=ADVANCED_FACE('',(#12844),#5631,.F.); #154012=ADVANCED_FACE('',(#12845),#5632,.F.); #154013=ADVANCED_FACE('',(#12846),#5633,.F.); #154014=ADVANCED_FACE('',(#12847),#5634,.T.); #154015=ADVANCED_FACE('',(#12848),#5635,.F.); #154016=ADVANCED_FACE('',(#12849),#5636,.F.); #154017=ADVANCED_FACE('',(#12850),#5637,.F.); #154018=ADVANCED_FACE('',(#12851),#5638,.F.); #154019=ADVANCED_FACE('',(#12852),#5639,.F.); #154020=ADVANCED_FACE('',(#12853),#5640,.F.); #154021=ADVANCED_FACE('',(#12854),#5641,.F.); #154022=ADVANCED_FACE('',(#12855),#5642,.T.); #154023=ADVANCED_FACE('',(#12856),#5643,.F.); #154024=ADVANCED_FACE('',(#12857),#5644,.F.); #154025=ADVANCED_FACE('',(#12858),#5645,.F.); #154026=ADVANCED_FACE('',(#12859),#5646,.F.); #154027=ADVANCED_FACE('',(#12860),#5647,.F.); #154028=ADVANCED_FACE('',(#12861),#5648,.F.); #154029=ADVANCED_FACE('',(#12862),#5649,.F.); #154030=ADVANCED_FACE('',(#12863),#5650,.T.); #154031=ADVANCED_FACE('',(#12864),#5651,.F.); #154032=ADVANCED_FACE('',(#12865),#5652,.F.); #154033=ADVANCED_FACE('',(#12866),#5653,.F.); #154034=ADVANCED_FACE('',(#12867),#5654,.F.); #154035=ADVANCED_FACE('',(#12868),#5655,.F.); #154036=ADVANCED_FACE('',(#12869),#5656,.F.); #154037=ADVANCED_FACE('',(#12870),#5657,.F.); #154038=ADVANCED_FACE('',(#12871),#5658,.T.); #154039=ADVANCED_FACE('',(#12872),#5659,.F.); #154040=ADVANCED_FACE('',(#12873),#5660,.F.); #154041=ADVANCED_FACE('',(#12874),#5661,.F.); #154042=ADVANCED_FACE('',(#12875),#5662,.F.); #154043=ADVANCED_FACE('',(#12876),#5663,.F.); #154044=ADVANCED_FACE('',(#12877),#5664,.F.); #154045=ADVANCED_FACE('',(#12878),#5665,.F.); #154046=ADVANCED_FACE('',(#12879),#5666,.T.); #154047=ADVANCED_FACE('',(#12880),#5667,.F.); #154048=ADVANCED_FACE('',(#12881),#5668,.F.); #154049=ADVANCED_FACE('',(#12882),#5669,.F.); #154050=ADVANCED_FACE('',(#12883),#5670,.F.); #154051=ADVANCED_FACE('',(#12884),#5671,.F.); #154052=ADVANCED_FACE('',(#12885),#5672,.F.); #154053=ADVANCED_FACE('',(#12886),#5673,.F.); #154054=ADVANCED_FACE('',(#12887),#5674,.T.); #154055=ADVANCED_FACE('',(#12888),#5675,.F.); #154056=ADVANCED_FACE('',(#12889),#5676,.F.); #154057=ADVANCED_FACE('',(#12890),#5677,.F.); #154058=ADVANCED_FACE('',(#12891),#5678,.F.); #154059=ADVANCED_FACE('',(#12892),#5679,.F.); #154060=ADVANCED_FACE('',(#12893),#5680,.F.); #154061=ADVANCED_FACE('',(#12894),#5681,.F.); #154062=ADVANCED_FACE('',(#12895),#5682,.T.); #154063=ADVANCED_FACE('',(#12896),#5683,.F.); #154064=ADVANCED_FACE('',(#12897),#5684,.F.); #154065=ADVANCED_FACE('',(#12898),#5685,.F.); #154066=ADVANCED_FACE('',(#12899),#5686,.F.); #154067=ADVANCED_FACE('',(#12900),#5687,.F.); #154068=ADVANCED_FACE('',(#12901),#5688,.F.); #154069=ADVANCED_FACE('',(#12902),#5689,.F.); #154070=ADVANCED_FACE('',(#12903),#5690,.F.); #154071=ADVANCED_FACE('',(#12904),#5691,.F.); #154072=ADVANCED_FACE('',(#12905),#5692,.F.); #154073=ADVANCED_FACE('',(#12906),#5693,.F.); #154074=ADVANCED_FACE('',(#12907),#5694,.T.); #154075=ADVANCED_FACE('',(#12908),#5695,.F.); #154076=ADVANCED_FACE('',(#12909),#5696,.F.); #154077=ADVANCED_FACE('',(#12910),#5697,.F.); #154078=ADVANCED_FACE('',(#12911),#5698,.F.); #154079=ADVANCED_FACE('',(#12912),#5699,.F.); #154080=ADVANCED_FACE('',(#12913),#5700,.F.); #154081=ADVANCED_FACE('',(#12914),#5701,.F.); #154082=ADVANCED_FACE('',(#12915),#5702,.T.); #154083=ADVANCED_FACE('',(#12916),#5703,.F.); #154084=ADVANCED_FACE('',(#12917),#5704,.F.); #154085=ADVANCED_FACE('',(#12918),#5705,.F.); #154086=ADVANCED_FACE('',(#12919),#5706,.F.); #154087=ADVANCED_FACE('',(#12920),#5707,.F.); #154088=ADVANCED_FACE('',(#12921),#5708,.F.); #154089=ADVANCED_FACE('',(#12922),#5709,.F.); #154090=ADVANCED_FACE('',(#12923),#5710,.T.); #154091=ADVANCED_FACE('',(#12924),#5711,.F.); #154092=ADVANCED_FACE('',(#12925),#5712,.F.); #154093=ADVANCED_FACE('',(#12926),#5713,.F.); #154094=ADVANCED_FACE('',(#12927),#5714,.F.); #154095=ADVANCED_FACE('',(#12928),#5715,.F.); #154096=ADVANCED_FACE('',(#12929),#5716,.F.); #154097=ADVANCED_FACE('',(#12930),#5717,.F.); #154098=ADVANCED_FACE('',(#12931),#5718,.T.); #154099=ADVANCED_FACE('',(#12932),#5719,.F.); #154100=ADVANCED_FACE('',(#12933),#5720,.F.); #154101=ADVANCED_FACE('',(#12934),#5721,.F.); #154102=ADVANCED_FACE('',(#12935),#5722,.F.); #154103=ADVANCED_FACE('',(#12936),#5723,.F.); #154104=ADVANCED_FACE('',(#12937),#5724,.F.); #154105=ADVANCED_FACE('',(#12938),#5725,.F.); #154106=ADVANCED_FACE('',(#12939),#5726,.T.); #154107=ADVANCED_FACE('',(#12940),#5727,.F.); #154108=ADVANCED_FACE('',(#12941),#5728,.F.); #154109=ADVANCED_FACE('',(#12942),#5729,.F.); #154110=ADVANCED_FACE('',(#12943),#5730,.F.); #154111=ADVANCED_FACE('',(#12944),#5731,.F.); #154112=ADVANCED_FACE('',(#12945),#5732,.F.); #154113=ADVANCED_FACE('',(#12946),#5733,.F.); #154114=ADVANCED_FACE('',(#12947),#5734,.T.); #154115=ADVANCED_FACE('',(#12948),#5735,.F.); #154116=ADVANCED_FACE('',(#12949),#5736,.F.); #154117=ADVANCED_FACE('',(#12950),#5737,.F.); #154118=ADVANCED_FACE('',(#12951),#5738,.F.); #154119=ADVANCED_FACE('',(#12952),#5739,.F.); #154120=ADVANCED_FACE('',(#12953),#5740,.F.); #154121=ADVANCED_FACE('',(#12954),#5741,.F.); #154122=ADVANCED_FACE('',(#12955),#5742,.T.); #154123=ADVANCED_FACE('',(#12956),#5743,.F.); #154124=ADVANCED_FACE('',(#12957),#5744,.F.); #154125=ADVANCED_FACE('',(#12958),#5745,.F.); #154126=ADVANCED_FACE('',(#12959),#5746,.F.); #154127=ADVANCED_FACE('',(#12960),#5747,.F.); #154128=ADVANCED_FACE('',(#12961),#5748,.F.); #154129=ADVANCED_FACE('',(#12962),#5749,.F.); #154130=ADVANCED_FACE('',(#12963),#5750,.T.); #154131=ADVANCED_FACE('',(#12964),#5751,.F.); #154132=ADVANCED_FACE('',(#12965),#5752,.F.); #154133=ADVANCED_FACE('',(#12966),#5753,.F.); #154134=ADVANCED_FACE('',(#12967),#5754,.F.); #154135=ADVANCED_FACE('',(#12968),#5755,.F.); #154136=ADVANCED_FACE('',(#12969),#5756,.F.); #154137=ADVANCED_FACE('',(#12970),#5757,.F.); #154138=ADVANCED_FACE('',(#12971),#5758,.T.); #154139=ADVANCED_FACE('',(#12972),#5759,.F.); #154140=ADVANCED_FACE('',(#12973),#5760,.F.); #154141=ADVANCED_FACE('',(#12974),#5761,.F.); #154142=ADVANCED_FACE('',(#12975),#5762,.F.); #154143=ADVANCED_FACE('',(#12976),#5763,.F.); #154144=ADVANCED_FACE('',(#12977),#5764,.F.); #154145=ADVANCED_FACE('',(#12978),#5765,.F.); #154146=ADVANCED_FACE('',(#12979),#5766,.T.); #154147=ADVANCED_FACE('',(#12980),#5767,.F.); #154148=ADVANCED_FACE('',(#12981),#5768,.F.); #154149=ADVANCED_FACE('',(#12982),#5769,.F.); #154150=ADVANCED_FACE('',(#12983),#5770,.F.); #154151=ADVANCED_FACE('',(#12984),#5771,.F.); #154152=ADVANCED_FACE('',(#12985),#5772,.F.); #154153=ADVANCED_FACE('',(#12986),#5773,.F.); #154154=ADVANCED_FACE('',(#12987),#5774,.T.); #154155=ADVANCED_FACE('',(#12988),#5775,.F.); #154156=ADVANCED_FACE('',(#12989),#5776,.F.); #154157=ADVANCED_FACE('',(#12990),#5777,.F.); #154158=ADVANCED_FACE('',(#12991),#5778,.F.); #154159=ADVANCED_FACE('',(#12992),#5779,.F.); #154160=ADVANCED_FACE('',(#12993),#5780,.F.); #154161=ADVANCED_FACE('',(#12994),#5781,.F.); #154162=ADVANCED_FACE('',(#12995),#5782,.T.); #154163=ADVANCED_FACE('',(#12996),#5783,.F.); #154164=ADVANCED_FACE('',(#12997),#5784,.F.); #154165=ADVANCED_FACE('',(#12998),#5785,.F.); #154166=ADVANCED_FACE('',(#12999),#5786,.F.); #154167=ADVANCED_FACE('',(#13000),#5787,.F.); #154168=ADVANCED_FACE('',(#13001),#5788,.F.); #154169=ADVANCED_FACE('',(#13002),#5789,.F.); #154170=ADVANCED_FACE('',(#13003),#5790,.T.); #154171=ADVANCED_FACE('',(#13004),#5791,.F.); #154172=ADVANCED_FACE('',(#13005),#5792,.F.); #154173=ADVANCED_FACE('',(#13006),#5793,.F.); #154174=ADVANCED_FACE('',(#13007),#5794,.F.); #154175=ADVANCED_FACE('',(#13008),#5795,.F.); #154176=ADVANCED_FACE('',(#13009),#5796,.F.); #154177=ADVANCED_FACE('',(#13010),#5797,.F.); #154178=ADVANCED_FACE('',(#13011),#5798,.T.); #154179=ADVANCED_FACE('',(#13012),#5799,.F.); #154180=ADVANCED_FACE('',(#13013),#5800,.F.); #154181=ADVANCED_FACE('',(#13014),#5801,.F.); #154182=ADVANCED_FACE('',(#13015),#5802,.F.); #154183=ADVANCED_FACE('',(#13016),#5803,.F.); #154184=ADVANCED_FACE('',(#13017),#5804,.F.); #154185=ADVANCED_FACE('',(#13018),#5805,.F.); #154186=ADVANCED_FACE('',(#13019),#5806,.T.); #154187=ADVANCED_FACE('',(#13020),#147133,.F.); #154188=ADVANCED_FACE('',(#13021),#147134,.F.); #154189=ADVANCED_FACE('',(#13022),#147135,.F.); #154190=ADVANCED_FACE('',(#13023),#147136,.F.); #154191=ADVANCED_FACE('',(#13024),#147137,.F.); #154192=ADVANCED_FACE('',(#13025),#147138,.F.); #154193=ADVANCED_FACE('',(#13026),#147139,.F.); #154194=ADVANCED_FACE('',(#13027),#147140,.F.); #154195=ADVANCED_FACE('',(#13028),#147141,.F.); #154196=ADVANCED_FACE('',(#13029),#147142,.F.); #154197=ADVANCED_FACE('',(#13030),#147143,.F.); #154198=ADVANCED_FACE('',(#13031),#147144,.F.); #154199=ADVANCED_FACE('',(#13032),#147145,.F.); #154200=ADVANCED_FACE('',(#13033),#147146,.F.); #154201=ADVANCED_FACE('',(#13034),#147147,.F.); #154202=ADVANCED_FACE('',(#13035),#147148,.F.); #154203=ADVANCED_FACE('',(#13036),#147149,.F.); #154204=ADVANCED_FACE('',(#13037),#147150,.F.); #154205=ADVANCED_FACE('',(#13038),#147151,.F.); #154206=ADVANCED_FACE('',(#13039),#147152,.F.); #154207=ADVANCED_FACE('',(#13040),#147153,.F.); #154208=ADVANCED_FACE('',(#13041),#147154,.F.); #154209=ADVANCED_FACE('',(#13042),#147155,.F.); #154210=ADVANCED_FACE('',(#13043),#147156,.F.); #154211=ADVANCED_FACE('',(#13044),#147157,.F.); #154212=ADVANCED_FACE('',(#13045),#147158,.F.); #154213=ADVANCED_FACE('',(#13046),#147159,.F.); #154214=ADVANCED_FACE('',(#13047),#147160,.F.); #154215=ADVANCED_FACE('',(#13048),#147161,.F.); #154216=ADVANCED_FACE('',(#13049),#147162,.F.); #154217=ADVANCED_FACE('',(#13050),#147163,.F.); #154218=ADVANCED_FACE('',(#13051),#147164,.F.); #154219=ADVANCED_FACE('',(#13052,#1120),#5807,.T.); #154220=ADVANCED_FACE('',(#13053),#5808,.F.); #154221=ADVANCED_FACE('',(#13054),#5809,.F.); #154222=ADVANCED_FACE('',(#13055),#5810,.F.); #154223=ADVANCED_FACE('',(#13056),#5811,.F.); #154224=ADVANCED_FACE('',(#13057),#5812,.T.); #154225=ADVANCED_FACE('',(#13058),#5813,.T.); #154226=ADVANCED_FACE('',(#13059),#5814,.T.); #154227=ADVANCED_FACE('',(#13060),#5815,.T.); #154228=ADVANCED_FACE('',(#13061),#5816,.F.); #154229=ADVANCED_FACE('',(#13062),#5817,.F.); #154230=ADVANCED_FACE('',(#13063),#147165,.T.); #154231=ADVANCED_FACE('',(#13064),#5818,.F.); #154232=ADVANCED_FACE('',(#13065),#147166,.T.); #154233=ADVANCED_FACE('',(#13066),#147167,.T.); #154234=ADVANCED_FACE('',(#13067),#5819,.F.); #154235=ADVANCED_FACE('',(#13068),#147168,.T.); #154236=ADVANCED_FACE('',(#13069),#147169,.T.); #154237=ADVANCED_FACE('',(#13070),#147170,.T.); #154238=ADVANCED_FACE('',(#13071),#147171,.T.); #154239=ADVANCED_FACE('',(#13072),#5820,.F.); #154240=ADVANCED_FACE('',(#13073),#147172,.F.); #154241=ADVANCED_FACE('',(#13074),#147173,.F.); #154242=ADVANCED_FACE('',(#13075),#147174,.F.); #154243=ADVANCED_FACE('',(#13076),#147175,.F.); #154244=ADVANCED_FACE('',(#13077),#5821,.F.); #154245=ADVANCED_FACE('',(#13078),#147176,.F.); #154246=ADVANCED_FACE('',(#13079),#147177,.F.); #154247=ADVANCED_FACE('',(#13080),#5822,.F.); #154248=ADVANCED_FACE('',(#13081),#147178,.F.); #154249=ADVANCED_FACE('',(#13082),#5823,.F.); #154250=ADVANCED_FACE('',(#13083),#5824,.F.); #154251=ADVANCED_FACE('',(#13084,#1121),#5825,.T.); #154252=ADVANCED_FACE('',(#13085,#1122,#1123,#1124,#1125,#1126,#1127,#1128, #1129,#1130,#1131,#1132,#1133,#1134,#1135,#1136,#1137,#1138,#1139,#1140, #1141,#1142,#1143,#1144,#1145,#1146,#1147,#1148,#1149,#1150,#1151,#1152, #1153,#1154),#5826,.T.); #154253=ADVANCED_FACE('',(#13086),#147179,.F.); #154254=ADVANCED_FACE('',(#13087),#5827,.F.); #154255=ADVANCED_FACE('',(#13088),#147180,.F.); #154256=ADVANCED_FACE('',(#13089),#5828,.F.); #154257=ADVANCED_FACE('',(#13090),#147181,.F.); #154258=ADVANCED_FACE('',(#13091),#5829,.F.); #154259=ADVANCED_FACE('',(#13092),#147182,.F.); #154260=ADVANCED_FACE('',(#13093),#5830,.F.); #154261=ADVANCED_FACE('',(#13094),#5831,.F.); #154262=ADVANCED_FACE('',(#13095),#147183,.F.); #154263=ADVANCED_FACE('',(#13096),#5832,.F.); #154264=ADVANCED_FACE('',(#13097),#147184,.F.); #154265=ADVANCED_FACE('',(#13098),#5833,.F.); #154266=ADVANCED_FACE('',(#13099),#5834,.F.); #154267=ADVANCED_FACE('',(#13100),#5835,.T.); #154268=ADVANCED_FACE('',(#13101),#5836,.F.); #154269=ADVANCED_FACE('',(#13102),#5837,.F.); #154270=ADVANCED_FACE('',(#13103),#5838,.F.); #154271=ADVANCED_FACE('',(#13104),#5839,.F.); #154272=ADVANCED_FACE('',(#13105),#5840,.F.); #154273=ADVANCED_FACE('',(#13106),#5841,.F.); #154274=ADVANCED_FACE('',(#13107),#5842,.F.); #154275=ADVANCED_FACE('',(#13108),#5843,.F.); #154276=ADVANCED_FACE('',(#13109),#5844,.F.); #154277=ADVANCED_FACE('',(#13110),#5845,.F.); #154278=ADVANCED_FACE('',(#13111),#5846,.F.); #154279=ADVANCED_FACE('',(#13112),#5847,.F.); #154280=ADVANCED_FACE('',(#13113),#5848,.F.); #154281=ADVANCED_FACE('',(#13114),#147185,.T.); #154282=ADVANCED_FACE('',(#13115),#5849,.F.); #154283=ADVANCED_FACE('',(#13116),#147186,.F.); #154284=ADVANCED_FACE('',(#13117),#5850,.F.); #154285=ADVANCED_FACE('',(#13118),#147187,.F.); #154286=ADVANCED_FACE('',(#13119),#5851,.F.); #154287=ADVANCED_FACE('',(#13120),#5852,.F.); #154288=ADVANCED_FACE('',(#13121),#5853,.F.); #154289=ADVANCED_FACE('',(#13122),#5854,.F.); #154290=ADVANCED_FACE('',(#13123),#5855,.F.); #154291=ADVANCED_FACE('',(#13124),#147188,.T.); #154292=ADVANCED_FACE('',(#13125),#5856,.F.); #154293=ADVANCED_FACE('',(#13126),#5857,.F.); #154294=ADVANCED_FACE('',(#13127),#5858,.F.); #154295=ADVANCED_FACE('',(#13128),#5859,.F.); #154296=ADVANCED_FACE('',(#13129),#5860,.F.); #154297=ADVANCED_FACE('',(#13130),#5861,.F.); #154298=ADVANCED_FACE('',(#13131),#5862,.F.); #154299=ADVANCED_FACE('',(#13132),#5863,.F.); #154300=ADVANCED_FACE('',(#13133),#5864,.F.); #154301=ADVANCED_FACE('',(#13134),#5865,.F.); #154302=ADVANCED_FACE('',(#13135),#147189,.F.); #154303=ADVANCED_FACE('',(#13136),#147190,.F.); #154304=ADVANCED_FACE('',(#13137),#5866,.F.); #154305=ADVANCED_FACE('',(#13138),#147191,.F.); #154306=ADVANCED_FACE('',(#13139),#5867,.F.); #154307=ADVANCED_FACE('',(#13140),#147192,.F.); #154308=ADVANCED_FACE('',(#13141),#5868,.F.); #154309=ADVANCED_FACE('',(#13142),#5869,.F.); #154310=ADVANCED_FACE('',(#13143),#5870,.F.); #154311=ADVANCED_FACE('',(#13144),#5871,.F.); #154312=ADVANCED_FACE('',(#13145),#5872,.F.); #154313=ADVANCED_FACE('',(#13146,#1155),#5873,.T.); #154314=ADVANCED_FACE('',(#13147),#5874,.F.); #154315=ADVANCED_FACE('',(#13148),#147193,.F.); #154316=ADVANCED_FACE('',(#13149),#5875,.F.); #154317=ADVANCED_FACE('',(#13150),#5876,.F.); #154318=ADVANCED_FACE('',(#13151),#147194,.F.); #154319=ADVANCED_FACE('',(#13152),#147195,.F.); #154320=ADVANCED_FACE('',(#13153),#5877,.F.); #154321=ADVANCED_FACE('',(#13154),#147196,.F.); #154322=ADVANCED_FACE('',(#13155),#5878,.F.); #154323=ADVANCED_FACE('',(#13156),#5879,.F.); #154324=ADVANCED_FACE('',(#13157),#5880,.F.); #154325=ADVANCED_FACE('',(#13158),#5881,.F.); #154326=ADVANCED_FACE('',(#13159),#5882,.F.); #154327=ADVANCED_FACE('',(#13160),#5883,.F.); #154328=ADVANCED_FACE('',(#13161),#5884,.F.); #154329=ADVANCED_FACE('',(#13162),#5885,.F.); #154330=ADVANCED_FACE('',(#13163),#5886,.F.); #154331=ADVANCED_FACE('',(#13164),#147197,.F.); #154332=ADVANCED_FACE('',(#13165),#5887,.F.); #154333=ADVANCED_FACE('',(#13166),#5888,.F.); #154334=ADVANCED_FACE('',(#13167),#5889,.F.); #154335=ADVANCED_FACE('',(#13168),#5890,.F.); #154336=ADVANCED_FACE('',(#13169),#5891,.F.); #154337=ADVANCED_FACE('',(#13170),#147198,.F.); #154338=ADVANCED_FACE('',(#13171),#5892,.F.); #154339=ADVANCED_FACE('',(#13172),#5893,.F.); #154340=ADVANCED_FACE('',(#13173),#5894,.F.); #154341=ADVANCED_FACE('',(#13174),#5895,.F.); #154342=ADVANCED_FACE('',(#13175),#5896,.F.); #154343=ADVANCED_FACE('',(#13176),#5897,.F.); #154344=ADVANCED_FACE('',(#13177),#5898,.F.); #154345=ADVANCED_FACE('',(#13178),#5899,.T.); #154346=ADVANCED_FACE('',(#13179),#5900,.F.); #154347=ADVANCED_FACE('',(#13180),#5901,.F.); #154348=ADVANCED_FACE('',(#13181),#5902,.F.); #154349=ADVANCED_FACE('',(#13182),#5903,.F.); #154350=ADVANCED_FACE('',(#13183),#5904,.F.); #154351=ADVANCED_FACE('',(#13184),#5905,.F.); #154352=ADVANCED_FACE('',(#13185),#5906,.F.); #154353=ADVANCED_FACE('',(#13186),#5907,.F.); #154354=ADVANCED_FACE('',(#13187),#147199,.F.); #154355=ADVANCED_FACE('',(#13188),#147200,.F.); #154356=ADVANCED_FACE('',(#13189),#5908,.F.); #154357=ADVANCED_FACE('',(#13190),#147201,.T.); #154358=ADVANCED_FACE('',(#13191),#147202,.T.); #154359=ADVANCED_FACE('',(#13192),#147203,.T.); #154360=ADVANCED_FACE('',(#13193),#5909,.F.); #154361=ADVANCED_FACE('',(#13194),#5910,.F.); #154362=ADVANCED_FACE('',(#13195),#5911,.F.); #154363=ADVANCED_FACE('',(#13196),#5912,.F.); #154364=ADVANCED_FACE('',(#13197),#5913,.F.); #154365=ADVANCED_FACE('',(#13198),#147204,.F.); #154366=ADVANCED_FACE('',(#13199),#5914,.F.); #154367=ADVANCED_FACE('',(#13200),#147205,.F.); #154368=ADVANCED_FACE('',(#13201),#147206,.F.); #154369=ADVANCED_FACE('',(#13202),#147207,.T.); #154370=ADVANCED_FACE('',(#13203),#5915,.F.); #154371=ADVANCED_FACE('',(#13204),#147208,.F.); #154372=ADVANCED_FACE('',(#13205),#5916,.F.); #154373=ADVANCED_FACE('',(#13206),#5917,.F.); #154374=ADVANCED_FACE('',(#13207),#147209,.F.); #154375=ADVANCED_FACE('',(#13208),#5918,.F.); #154376=ADVANCED_FACE('',(#13209),#5919,.F.); #154377=ADVANCED_FACE('',(#13210),#5920,.F.); #154378=ADVANCED_FACE('',(#13211),#5921,.F.); #154379=ADVANCED_FACE('',(#13212),#5922,.T.); #154380=ADVANCED_FACE('',(#13213),#5923,.F.); #154381=ADVANCED_FACE('',(#13214),#5924,.F.); #154382=ADVANCED_FACE('',(#13215),#5925,.F.); #154383=ADVANCED_FACE('',(#13216),#5926,.F.); #154384=ADVANCED_FACE('',(#13217),#147210,.F.); #154385=ADVANCED_FACE('',(#13218),#147211,.F.); #154386=ADVANCED_FACE('',(#13219),#5927,.F.); #154387=ADVANCED_FACE('',(#13220),#5928,.F.); #154388=ADVANCED_FACE('',(#13221),#147212,.F.); #154389=ADVANCED_FACE('',(#13222),#5929,.F.); #154390=ADVANCED_FACE('',(#13223),#147213,.T.); #154391=ADVANCED_FACE('',(#13224),#147214,.T.); #154392=ADVANCED_FACE('',(#13225),#5930,.F.); #154393=ADVANCED_FACE('',(#13226),#5931,.F.); #154394=ADVANCED_FACE('',(#13227),#147215,.F.); #154395=ADVANCED_FACE('',(#13228),#147216,.F.); #154396=ADVANCED_FACE('',(#13229),#147217,.F.); #154397=ADVANCED_FACE('',(#13230),#5932,.F.); #154398=ADVANCED_FACE('',(#13231),#147218,.T.); #154399=ADVANCED_FACE('',(#13232),#5933,.F.); #154400=ADVANCED_FACE('',(#13233),#5934,.F.); #154401=ADVANCED_FACE('',(#13234),#5935,.F.); #154402=ADVANCED_FACE('',(#13235),#147219,.T.); #154403=ADVANCED_FACE('',(#13236),#5936,.F.); #154404=ADVANCED_FACE('',(#13237),#5937,.F.); #154405=ADVANCED_FACE('',(#13238),#5938,.F.); #154406=ADVANCED_FACE('',(#13239),#147220,.F.); #154407=ADVANCED_FACE('',(#13240),#5939,.F.); #154408=ADVANCED_FACE('',(#13241),#5940,.F.); #154409=ADVANCED_FACE('',(#13242),#5941,.F.); #154410=ADVANCED_FACE('',(#13243),#147221,.F.); #154411=ADVANCED_FACE('',(#13244),#5942,.F.); #154412=ADVANCED_FACE('',(#13245),#5943,.F.); #154413=ADVANCED_FACE('',(#13246),#147222,.F.); #154414=ADVANCED_FACE('',(#13247),#5944,.F.); #154415=ADVANCED_FACE('',(#13248),#5945,.F.); #154416=ADVANCED_FACE('',(#13249),#147223,.F.); #154417=ADVANCED_FACE('',(#13250),#147224,.F.); #154418=ADVANCED_FACE('',(#13251),#5946,.F.); #154419=ADVANCED_FACE('',(#13252),#5947,.F.); #154420=ADVANCED_FACE('',(#13253),#147225,.F.); #154421=ADVANCED_FACE('',(#13254),#147226,.F.); #154422=ADVANCED_FACE('',(#13255),#5948,.T.); #154423=ADVANCED_FACE('',(#13256),#147227,.T.); #154424=ADVANCED_FACE('',(#13257),#147228,.T.); #154425=ADVANCED_FACE('',(#13258),#147229,.T.); #154426=ADVANCED_FACE('',(#13259),#5949,.F.); #154427=ADVANCED_FACE('',(#13260),#147230,.T.); #154428=ADVANCED_FACE('',(#13261),#147231,.T.); #154429=ADVANCED_FACE('',(#13262),#147232,.T.); #154430=ADVANCED_FACE('',(#13263),#147233,.T.); #154431=ADVANCED_FACE('',(#13264),#147234,.T.); #154432=ADVANCED_FACE('',(#13265),#5950,.F.); #154433=ADVANCED_FACE('',(#13266),#147235,.F.); #154434=ADVANCED_FACE('',(#13267),#147236,.F.); #154435=ADVANCED_FACE('',(#13268),#147237,.F.); #154436=ADVANCED_FACE('',(#13269),#147238,.F.); #154437=ADVANCED_FACE('',(#13270),#147239,.F.); #154438=ADVANCED_FACE('',(#13271),#147240,.F.); #154439=ADVANCED_FACE('',(#13272),#147241,.F.); #154440=ADVANCED_FACE('',(#13273),#147242,.F.); #154441=ADVANCED_FACE('',(#13274),#147243,.F.); #154442=ADVANCED_FACE('',(#13275),#5951,.F.); #154443=ADVANCED_FACE('',(#13276,#1156),#5952,.T.); #154444=ADVANCED_FACE('',(#13277),#5953,.F.); #154445=ADVANCED_FACE('',(#13278),#147244,.F.); #154446=ADVANCED_FACE('',(#13279),#147245,.F.); #154447=ADVANCED_FACE('',(#13280),#5954,.F.); #154448=ADVANCED_FACE('',(#13281),#5955,.F.); #154449=ADVANCED_FACE('',(#13282),#5956,.F.); #154450=ADVANCED_FACE('',(#13283),#5957,.F.); #154451=ADVANCED_FACE('',(#13284),#5958,.F.); #154452=ADVANCED_FACE('',(#13285),#147246,.F.); #154453=ADVANCED_FACE('',(#13286),#147247,.F.); #154454=ADVANCED_FACE('',(#13287),#147248,.F.); #154455=ADVANCED_FACE('',(#13288),#5959,.F.); #154456=ADVANCED_FACE('',(#13289),#147249,.T.); #154457=ADVANCED_FACE('',(#13290),#147250,.T.); #154458=ADVANCED_FACE('',(#13291),#147251,.T.); #154459=ADVANCED_FACE('',(#13292),#5960,.F.); #154460=ADVANCED_FACE('',(#13293),#147252,.F.); #154461=ADVANCED_FACE('',(#13294),#5961,.F.); #154462=ADVANCED_FACE('',(#13295),#5962,.F.); #154463=ADVANCED_FACE('',(#13296),#5963,.F.); #154464=ADVANCED_FACE('',(#13297),#5964,.F.); #154465=ADVANCED_FACE('',(#13298),#5965,.F.); #154466=ADVANCED_FACE('',(#13299),#5966,.F.); #154467=ADVANCED_FACE('',(#13300),#5967,.F.); #154468=ADVANCED_FACE('',(#13301),#147253,.F.); #154469=ADVANCED_FACE('',(#13302),#5968,.F.); #154470=ADVANCED_FACE('',(#13303),#5969,.F.); #154471=ADVANCED_FACE('',(#13304),#5970,.F.); #154472=ADVANCED_FACE('',(#13305),#147254,.F.); #154473=ADVANCED_FACE('',(#13306),#5971,.F.); #154474=ADVANCED_FACE('',(#13307),#147255,.F.); #154475=ADVANCED_FACE('',(#13308),#147256,.F.); #154476=ADVANCED_FACE('',(#13309),#5972,.F.); #154477=ADVANCED_FACE('',(#13310),#147257,.F.); #154478=ADVANCED_FACE('',(#13311),#147258,.F.); #154479=ADVANCED_FACE('',(#13312),#5973,.F.); #154480=ADVANCED_FACE('',(#13313),#147259,.T.); #154481=ADVANCED_FACE('',(#13314),#5974,.F.); #154482=ADVANCED_FACE('',(#13315),#5975,.F.); #154483=ADVANCED_FACE('',(#13316),#5976,.F.); #154484=ADVANCED_FACE('',(#13317),#147260,.F.); #154485=ADVANCED_FACE('',(#13318),#5977,.F.); #154486=ADVANCED_FACE('',(#13319),#5978,.F.); #154487=ADVANCED_FACE('',(#13320),#5979,.F.); #154488=ADVANCED_FACE('',(#13321),#5980,.F.); #154489=ADVANCED_FACE('',(#13322),#147261,.F.); #154490=ADVANCED_FACE('',(#13323),#5981,.T.); #154491=ADVANCED_FACE('',(#13324),#5982,.F.); #154492=ADVANCED_FACE('',(#13325),#5983,.F.); #154493=ADVANCED_FACE('',(#13326),#5984,.F.); #154494=ADVANCED_FACE('',(#13327),#5985,.F.); #154495=ADVANCED_FACE('',(#13328),#5986,.F.); #154496=ADVANCED_FACE('',(#13329),#5987,.F.); #154497=ADVANCED_FACE('',(#13330),#147262,.F.); #154498=ADVANCED_FACE('',(#13331),#5988,.F.); #154499=ADVANCED_FACE('',(#13332),#147263,.F.); #154500=ADVANCED_FACE('',(#13333),#5989,.F.); #154501=ADVANCED_FACE('',(#13334),#5990,.F.); #154502=ADVANCED_FACE('',(#13335),#147264,.F.); #154503=ADVANCED_FACE('',(#13336),#5991,.F.); #154504=ADVANCED_FACE('',(#13337),#147265,.F.); #154505=ADVANCED_FACE('',(#13338),#5992,.F.); #154506=ADVANCED_FACE('',(#13339),#147266,.F.); #154507=ADVANCED_FACE('',(#13340),#5993,.F.); #154508=ADVANCED_FACE('',(#13341),#147267,.F.); #154509=ADVANCED_FACE('',(#13342),#5994,.T.); #154510=ADVANCED_FACE('',(#13343),#147268,.F.); #154511=ADVANCED_FACE('',(#13344),#5995,.F.); #154512=ADVANCED_FACE('',(#13345),#147269,.F.); #154513=ADVANCED_FACE('',(#13346),#5996,.F.); #154514=ADVANCED_FACE('',(#13347),#147270,.F.); #154515=ADVANCED_FACE('',(#13348),#5997,.F.); #154516=ADVANCED_FACE('',(#13349),#5998,.F.); #154517=ADVANCED_FACE('',(#13350),#147271,.F.); #154518=ADVANCED_FACE('',(#13351),#5999,.F.); #154519=ADVANCED_FACE('',(#13352),#147272,.F.); #154520=ADVANCED_FACE('',(#13353),#6000,.F.); #154521=ADVANCED_FACE('',(#13354),#6001,.F.); #154522=ADVANCED_FACE('',(#13355),#147273,.F.); #154523=ADVANCED_FACE('',(#13356),#6002,.F.); #154524=ADVANCED_FACE('',(#13357),#6003,.T.); #154525=ADVANCED_FACE('',(#13358),#147274,.F.); #154526=ADVANCED_FACE('',(#13359),#6004,.F.); #154527=ADVANCED_FACE('',(#13360),#6005,.F.); #154528=ADVANCED_FACE('',(#13361),#147275,.F.); #154529=ADVANCED_FACE('',(#13362),#6006,.F.); #154530=ADVANCED_FACE('',(#13363),#147276,.F.); #154531=ADVANCED_FACE('',(#13364),#6007,.F.); #154532=ADVANCED_FACE('',(#13365),#6008,.F.); #154533=ADVANCED_FACE('',(#13366),#147277,.F.); #154534=ADVANCED_FACE('',(#13367),#6009,.F.); #154535=ADVANCED_FACE('',(#13368),#147278,.F.); #154536=ADVANCED_FACE('',(#13369),#6010,.F.); #154537=ADVANCED_FACE('',(#13370),#147279,.F.); #154538=ADVANCED_FACE('',(#13371),#6011,.F.); #154539=ADVANCED_FACE('',(#13372),#147280,.F.); #154540=ADVANCED_FACE('',(#13373),#6012,.F.); #154541=ADVANCED_FACE('',(#13374),#6013,.F.); #154542=ADVANCED_FACE('',(#13375),#147281,.F.); #154543=ADVANCED_FACE('',(#13376),#6014,.F.); #154544=ADVANCED_FACE('',(#13377),#147282,.F.); #154545=ADVANCED_FACE('',(#13378),#6015,.F.); #154546=ADVANCED_FACE('',(#13379),#147283,.F.); #154547=ADVANCED_FACE('',(#13380),#6016,.F.); #154548=ADVANCED_FACE('',(#13381),#6017,.T.); #154549=ADVANCED_FACE('',(#13382),#6018,.F.); #154550=ADVANCED_FACE('',(#13383),#6019,.F.); #154551=ADVANCED_FACE('',(#13384),#6020,.F.); #154552=ADVANCED_FACE('',(#13385),#6021,.F.); #154553=ADVANCED_FACE('',(#13386),#147284,.T.); #154554=ADVANCED_FACE('',(#13387),#147285,.F.); #154555=ADVANCED_FACE('',(#13388),#6022,.F.); #154556=ADVANCED_FACE('',(#13389),#6023,.F.); #154557=ADVANCED_FACE('',(#13390),#6024,.F.); #154558=ADVANCED_FACE('',(#13391),#6025,.F.); #154559=ADVANCED_FACE('',(#13392),#147286,.T.); #154560=ADVANCED_FACE('',(#13393),#6026,.F.); #154561=ADVANCED_FACE('',(#13394),#6027,.F.); #154562=ADVANCED_FACE('',(#13395),#147287,.T.); #154563=ADVANCED_FACE('',(#13396),#6028,.F.); #154564=ADVANCED_FACE('',(#13397),#6029,.F.); #154565=ADVANCED_FACE('',(#13398),#147288,.F.); #154566=ADVANCED_FACE('',(#13399),#147289,.F.); #154567=ADVANCED_FACE('',(#13400),#6030,.F.); #154568=ADVANCED_FACE('',(#13401),#6031,.F.); #154569=ADVANCED_FACE('',(#13402),#147290,.F.); #154570=ADVANCED_FACE('',(#13403),#6032,.F.); #154571=ADVANCED_FACE('',(#13404),#6033,.F.); #154572=ADVANCED_FACE('',(#13405),#6034,.F.); #154573=ADVANCED_FACE('',(#13406),#6035,.F.); #154574=ADVANCED_FACE('',(#13407),#6036,.F.); #154575=ADVANCED_FACE('',(#13408),#6037,.F.); #154576=ADVANCED_FACE('',(#13409),#6038,.F.); #154577=ADVANCED_FACE('',(#13410),#147291,.F.); #154578=ADVANCED_FACE('',(#13411),#6039,.F.); #154579=ADVANCED_FACE('',(#13412),#6040,.F.); #154580=ADVANCED_FACE('',(#13413),#6041,.F.); #154581=ADVANCED_FACE('',(#13414),#147292,.F.); #154582=ADVANCED_FACE('',(#13415),#6042,.F.); #154583=ADVANCED_FACE('',(#13416),#6043,.F.); #154584=ADVANCED_FACE('',(#13417),#6044,.F.); #154585=ADVANCED_FACE('',(#13418),#6045,.F.); #154586=ADVANCED_FACE('',(#13419),#147293,.F.); #154587=ADVANCED_FACE('',(#13420),#6046,.F.); #154588=ADVANCED_FACE('',(#13421),#6047,.F.); #154589=ADVANCED_FACE('',(#13422),#6048,.F.); #154590=ADVANCED_FACE('',(#13423),#6049,.F.); #154591=ADVANCED_FACE('',(#13424),#147294,.F.); #154592=ADVANCED_FACE('',(#13425),#6050,.F.); #154593=ADVANCED_FACE('',(#13426),#6051,.F.); #154594=ADVANCED_FACE('',(#13427),#6052,.F.); #154595=ADVANCED_FACE('',(#13428),#147295,.F.); #154596=ADVANCED_FACE('',(#13429),#6053,.F.); #154597=ADVANCED_FACE('',(#13430),#6054,.F.); #154598=ADVANCED_FACE('',(#13431),#147296,.F.); #154599=ADVANCED_FACE('',(#13432),#6055,.F.); #154600=ADVANCED_FACE('',(#13433),#6056,.F.); #154601=ADVANCED_FACE('',(#13434),#6057,.F.); #154602=ADVANCED_FACE('',(#13435),#147297,.F.); #154603=ADVANCED_FACE('',(#13436),#147298,.F.); #154604=ADVANCED_FACE('',(#13437),#6058,.F.); #154605=ADVANCED_FACE('',(#13438),#6059,.F.); #154606=ADVANCED_FACE('',(#13439),#147299,.T.); #154607=ADVANCED_FACE('',(#13440),#147300,.F.); #154608=ADVANCED_FACE('',(#13441),#6060,.F.); #154609=ADVANCED_FACE('',(#13442),#147301,.F.); #154610=ADVANCED_FACE('',(#13443),#147302,.F.); #154611=ADVANCED_FACE('',(#13444),#6061,.F.); #154612=ADVANCED_FACE('',(#13445),#6062,.F.); #154613=ADVANCED_FACE('',(#13446),#147303,.F.); #154614=ADVANCED_FACE('',(#13447),#147304,.F.); #154615=ADVANCED_FACE('',(#13448),#6063,.F.); #154616=ADVANCED_FACE('',(#13449),#6064,.F.); #154617=ADVANCED_FACE('',(#13450),#6065,.F.); #154618=ADVANCED_FACE('',(#13451),#147305,.T.); #154619=ADVANCED_FACE('',(#13452),#6066,.F.); #154620=ADVANCED_FACE('',(#13453),#6067,.F.); #154621=ADVANCED_FACE('',(#13454),#6068,.F.); #154622=ADVANCED_FACE('',(#13455),#6069,.F.); #154623=ADVANCED_FACE('',(#13456),#6070,.F.); #154624=ADVANCED_FACE('',(#13457),#147306,.F.); #154625=ADVANCED_FACE('',(#13458),#6071,.F.); #154626=ADVANCED_FACE('',(#13459),#6072,.F.); #154627=ADVANCED_FACE('',(#13460),#6073,.F.); #154628=ADVANCED_FACE('',(#13461),#6074,.F.); #154629=ADVANCED_FACE('',(#13462),#6075,.F.); #154630=ADVANCED_FACE('',(#13463),#6076,.F.); #154631=ADVANCED_FACE('',(#13464),#6077,.T.); #154632=ADVANCED_FACE('',(#13465),#6078,.F.); #154633=ADVANCED_FACE('',(#13466),#147307,.F.); #154634=ADVANCED_FACE('',(#13467),#6079,.F.); #154635=ADVANCED_FACE('',(#13468),#6080,.F.); #154636=ADVANCED_FACE('',(#13469),#6081,.F.); #154637=ADVANCED_FACE('',(#13470),#6082,.F.); #154638=ADVANCED_FACE('',(#13471),#147308,.F.); #154639=ADVANCED_FACE('',(#13472),#6083,.F.); #154640=ADVANCED_FACE('',(#13473),#6084,.F.); #154641=ADVANCED_FACE('',(#13474),#6085,.F.); #154642=ADVANCED_FACE('',(#13475),#147309,.F.); #154643=ADVANCED_FACE('',(#13476),#6086,.F.); #154644=ADVANCED_FACE('',(#13477),#6087,.F.); #154645=ADVANCED_FACE('',(#13478),#6088,.F.); #154646=ADVANCED_FACE('',(#13479),#6089,.F.); #154647=ADVANCED_FACE('',(#13480),#147310,.F.); #154648=ADVANCED_FACE('',(#13481),#6090,.F.); #154649=ADVANCED_FACE('',(#13482),#6091,.F.); #154650=ADVANCED_FACE('',(#13483),#6092,.F.); #154651=ADVANCED_FACE('',(#13484),#6093,.F.); #154652=ADVANCED_FACE('',(#13485),#6094,.F.); #154653=ADVANCED_FACE('',(#13486),#6095,.F.); #154654=ADVANCED_FACE('',(#13487),#6096,.F.); #154655=ADVANCED_FACE('',(#13488),#6097,.F.); #154656=ADVANCED_FACE('',(#13489),#6098,.T.); #154657=ADVANCED_FACE('',(#13490),#6099,.F.); #154658=ADVANCED_FACE('',(#13491),#6100,.F.); #154659=ADVANCED_FACE('',(#13492),#6101,.F.); #154660=ADVANCED_FACE('',(#13493),#6102,.F.); #154661=ADVANCED_FACE('',(#13494),#147311,.T.); #154662=ADVANCED_FACE('',(#13495),#147312,.T.); #154663=ADVANCED_FACE('',(#13496),#147313,.T.); #154664=ADVANCED_FACE('',(#13497),#147314,.T.); #154665=ADVANCED_FACE('',(#13498),#147315,.T.); #154666=ADVANCED_FACE('',(#13499),#147316,.F.); #154667=ADVANCED_FACE('',(#13500),#6103,.F.); #154668=ADVANCED_FACE('',(#13501),#147317,.F.); #154669=ADVANCED_FACE('',(#13502),#147318,.F.); #154670=ADVANCED_FACE('',(#13503),#6104,.F.); #154671=ADVANCED_FACE('',(#13504),#6105,.F.); #154672=ADVANCED_FACE('',(#13505),#6106,.F.); #154673=ADVANCED_FACE('',(#13506),#6107,.F.); #154674=ADVANCED_FACE('',(#13507),#6108,.F.); #154675=ADVANCED_FACE('',(#13508),#6109,.F.); #154676=ADVANCED_FACE('',(#13509),#6110,.F.); #154677=ADVANCED_FACE('',(#13510),#147319,.F.); #154678=ADVANCED_FACE('',(#13511),#6111,.F.); #154679=ADVANCED_FACE('',(#13512),#147320,.F.); #154680=ADVANCED_FACE('',(#13513),#147321,.F.); #154681=ADVANCED_FACE('',(#13514),#6112,.F.); #154682=ADVANCED_FACE('',(#13515),#147322,.T.); #154683=ADVANCED_FACE('',(#13516),#147323,.T.); #154684=ADVANCED_FACE('',(#13517),#147324,.T.); #154685=ADVANCED_FACE('',(#13518),#6113,.F.); #154686=ADVANCED_FACE('',(#13519),#6114,.F.); #154687=ADVANCED_FACE('',(#13520),#6115,.F.); #154688=ADVANCED_FACE('',(#13521),#6116,.F.); #154689=ADVANCED_FACE('',(#13522),#6117,.F.); #154690=ADVANCED_FACE('',(#13523),#6118,.F.); #154691=ADVANCED_FACE('',(#13524),#6119,.F.); #154692=ADVANCED_FACE('',(#13525),#6120,.F.); #154693=ADVANCED_FACE('',(#13526),#147325,.F.); #154694=ADVANCED_FACE('',(#13527),#147326,.F.); #154695=ADVANCED_FACE('',(#13528),#147327,.F.); #154696=ADVANCED_FACE('',(#13529),#147328,.F.); #154697=ADVANCED_FACE('',(#13530,#1157),#6121,.T.); #154698=ADVANCED_FACE('',(#13531),#6122,.F.); #154699=ADVANCED_FACE('',(#13532),#6123,.F.); #154700=ADVANCED_FACE('',(#13533),#6124,.F.); #154701=ADVANCED_FACE('',(#13534),#147329,.F.); #154702=ADVANCED_FACE('',(#13535),#6125,.F.); #154703=ADVANCED_FACE('',(#13536),#147330,.T.); #154704=ADVANCED_FACE('',(#13537),#6126,.F.); #154705=ADVANCED_FACE('',(#13538),#6127,.F.); #154706=ADVANCED_FACE('',(#13539),#6128,.F.); #154707=ADVANCED_FACE('',(#13540),#6129,.F.); #154708=ADVANCED_FACE('',(#13541),#6130,.F.); #154709=ADVANCED_FACE('',(#13542),#6131,.T.); #154710=ADVANCED_FACE('',(#13543),#147331,.T.); #154711=ADVANCED_FACE('',(#13544),#6132,.F.); #154712=ADVANCED_FACE('',(#13545),#147332,.T.); #154713=ADVANCED_FACE('',(#13546),#147333,.T.); #154714=ADVANCED_FACE('',(#13547),#147334,.T.); #154715=ADVANCED_FACE('',(#13548),#147335,.T.); #154716=ADVANCED_FACE('',(#13549),#147336,.T.); #154717=ADVANCED_FACE('',(#13550),#147337,.T.); #154718=ADVANCED_FACE('',(#13551),#147338,.T.); #154719=ADVANCED_FACE('',(#13552),#147339,.T.); #154720=ADVANCED_FACE('',(#13553),#147340,.F.); #154721=ADVANCED_FACE('',(#13554),#6133,.F.); #154722=ADVANCED_FACE('',(#13555),#6134,.F.); #154723=ADVANCED_FACE('',(#13556),#6135,.F.); #154724=ADVANCED_FACE('',(#13557),#6136,.F.); #154725=ADVANCED_FACE('',(#13558),#6137,.F.); #154726=ADVANCED_FACE('',(#13559),#6138,.F.); #154727=ADVANCED_FACE('',(#13560),#6139,.F.); #154728=ADVANCED_FACE('',(#13561),#6140,.F.); #154729=ADVANCED_FACE('',(#13562),#6141,.F.); #154730=ADVANCED_FACE('',(#13563),#6142,.F.); #154731=ADVANCED_FACE('',(#13564),#6143,.F.); #154732=ADVANCED_FACE('',(#13565),#6144,.F.); #154733=ADVANCED_FACE('',(#13566),#6145,.F.); #154734=ADVANCED_FACE('',(#13567),#6146,.F.); #154735=ADVANCED_FACE('',(#13568),#6147,.F.); #154736=ADVANCED_FACE('',(#13569),#6148,.F.); #154737=ADVANCED_FACE('',(#13570),#147341,.F.); #154738=ADVANCED_FACE('',(#13571),#6149,.F.); #154739=ADVANCED_FACE('',(#13572),#147342,.F.); #154740=ADVANCED_FACE('',(#13573),#147343,.T.); #154741=ADVANCED_FACE('',(#13574),#6150,.F.); #154742=ADVANCED_FACE('',(#13575),#147344,.F.); #154743=ADVANCED_FACE('',(#13576),#147345,.F.); #154744=ADVANCED_FACE('',(#13577),#147346,.F.); #154745=ADVANCED_FACE('',(#13578),#147347,.F.); #154746=ADVANCED_FACE('',(#13579),#147348,.F.); #154747=ADVANCED_FACE('',(#13580),#147349,.F.); #154748=ADVANCED_FACE('',(#13581),#147350,.F.); #154749=ADVANCED_FACE('',(#13582),#147351,.F.); #154750=ADVANCED_FACE('',(#13583),#147352,.T.); #154751=ADVANCED_FACE('',(#13584),#6151,.F.); #154752=ADVANCED_FACE('',(#13585),#147353,.F.); #154753=ADVANCED_FACE('',(#13586),#6152,.F.); #154754=ADVANCED_FACE('',(#13587),#6153,.F.); #154755=ADVANCED_FACE('',(#13588),#6154,.F.); #154756=ADVANCED_FACE('',(#13589),#6155,.F.); #154757=ADVANCED_FACE('',(#13590,#1158),#6156,.T.); #154758=ADVANCED_FACE('',(#13591),#147354,.T.); #154759=ADVANCED_FACE('',(#13592),#147355,.T.); #154760=ADVANCED_FACE('',(#13593),#147356,.T.); #154761=ADVANCED_FACE('',(#13594),#6157,.F.); #154762=ADVANCED_FACE('',(#13595),#147357,.F.); #154763=ADVANCED_FACE('',(#13596),#6158,.F.); #154764=ADVANCED_FACE('',(#13597),#6159,.F.); #154765=ADVANCED_FACE('',(#13598),#147358,.F.); #154766=ADVANCED_FACE('',(#13599),#6160,.F.); #154767=ADVANCED_FACE('',(#13600),#6161,.F.); #154768=ADVANCED_FACE('',(#13601),#147359,.F.); #154769=ADVANCED_FACE('',(#13602),#147360,.F.); #154770=ADVANCED_FACE('',(#13603),#147361,.F.); #154771=ADVANCED_FACE('',(#13604),#147362,.F.); #154772=ADVANCED_FACE('',(#13605),#147363,.F.); #154773=ADVANCED_FACE('',(#13606),#147364,.F.); #154774=ADVANCED_FACE('',(#13607),#6162,.F.); #154775=ADVANCED_FACE('',(#13608),#147365,.T.); #154776=ADVANCED_FACE('',(#13609),#147366,.T.); #154777=ADVANCED_FACE('',(#13610),#147367,.T.); #154778=ADVANCED_FACE('',(#13611),#147368,.T.); #154779=ADVANCED_FACE('',(#13612),#147369,.T.); #154780=ADVANCED_FACE('',(#13613),#6163,.F.); #154781=ADVANCED_FACE('',(#13614),#6164,.F.); #154782=ADVANCED_FACE('',(#13615),#6165,.F.); #154783=ADVANCED_FACE('',(#13616),#6166,.F.); #154784=ADVANCED_FACE('',(#13617),#6167,.F.); #154785=ADVANCED_FACE('',(#13618),#6168,.F.); #154786=ADVANCED_FACE('',(#13619),#6169,.F.); #154787=ADVANCED_FACE('',(#13620),#147370,.F.); #154788=ADVANCED_FACE('',(#13621),#6170,.F.); #154789=ADVANCED_FACE('',(#13622),#147371,.F.); #154790=ADVANCED_FACE('',(#13623),#6171,.F.); #154791=ADVANCED_FACE('',(#13624),#6172,.F.); #154792=ADVANCED_FACE('',(#13625),#147372,.F.); #154793=ADVANCED_FACE('',(#13626),#147373,.F.); #154794=ADVANCED_FACE('',(#13627),#147374,.F.); #154795=ADVANCED_FACE('',(#13628),#147375,.F.); #154796=ADVANCED_FACE('',(#13629),#147376,.F.); #154797=ADVANCED_FACE('',(#13630),#147377,.F.); #154798=ADVANCED_FACE('',(#13631),#6173,.F.); #154799=ADVANCED_FACE('',(#13632),#147378,.T.); #154800=ADVANCED_FACE('',(#13633),#147379,.T.); #154801=ADVANCED_FACE('',(#13634),#6174,.T.); #154802=ADVANCED_FACE('',(#13635),#6175,.F.); #154803=ADVANCED_FACE('',(#13636),#6176,.F.); #154804=ADVANCED_FACE('',(#13637),#6177,.F.); #154805=ADVANCED_FACE('',(#13638),#6178,.F.); #154806=ADVANCED_FACE('',(#13639),#6179,.F.); #154807=ADVANCED_FACE('',(#13640),#6180,.F.); #154808=ADVANCED_FACE('',(#13641),#147380,.F.); #154809=ADVANCED_FACE('',(#13642),#6181,.F.); #154810=ADVANCED_FACE('',(#13643),#147381,.F.); #154811=ADVANCED_FACE('',(#13644),#6182,.F.); #154812=ADVANCED_FACE('',(#13645),#6183,.F.); #154813=ADVANCED_FACE('',(#13646),#6184,.F.); #154814=ADVANCED_FACE('',(#13647),#6185,.F.); #154815=ADVANCED_FACE('',(#13648),#6186,.F.); #154816=ADVANCED_FACE('',(#13649),#6187,.F.); #154817=ADVANCED_FACE('',(#13650),#6188,.F.); #154818=ADVANCED_FACE('',(#13651),#6189,.F.); #154819=ADVANCED_FACE('',(#13652),#6190,.F.); #154820=ADVANCED_FACE('',(#13653),#6191,.F.); #154821=ADVANCED_FACE('',(#13654),#6192,.F.); #154822=ADVANCED_FACE('',(#13655),#6193,.F.); #154823=ADVANCED_FACE('',(#13656),#6194,.F.); #154824=ADVANCED_FACE('',(#13657),#6195,.F.); #154825=ADVANCED_FACE('',(#13658),#6196,.F.); #154826=ADVANCED_FACE('',(#13659),#6197,.F.); #154827=ADVANCED_FACE('',(#13660),#6198,.F.); #154828=ADVANCED_FACE('',(#13661),#6199,.F.); #154829=ADVANCED_FACE('',(#13662),#6200,.F.); #154830=ADVANCED_FACE('',(#13663),#6201,.F.); #154831=ADVANCED_FACE('',(#13664),#6202,.F.); #154832=ADVANCED_FACE('',(#13665),#147382,.F.); #154833=ADVANCED_FACE('',(#13666),#6203,.F.); #154834=ADVANCED_FACE('',(#13667),#147383,.F.); #154835=ADVANCED_FACE('',(#13668),#6204,.F.); #154836=ADVANCED_FACE('',(#13669),#6205,.F.); #154837=ADVANCED_FACE('',(#13670),#6206,.F.); #154838=ADVANCED_FACE('',(#13671),#6207,.F.); #154839=ADVANCED_FACE('',(#13672),#6208,.F.); #154840=ADVANCED_FACE('',(#13673),#6209,.F.); #154841=ADVANCED_FACE('',(#13674),#6210,.F.); #154842=ADVANCED_FACE('',(#13675),#6211,.F.); #154843=ADVANCED_FACE('',(#13676),#6212,.F.); #154844=ADVANCED_FACE('',(#13677),#6213,.F.); #154845=ADVANCED_FACE('',(#13678),#6214,.F.); #154846=ADVANCED_FACE('',(#13679),#6215,.F.); #154847=ADVANCED_FACE('',(#13680),#6216,.F.); #154848=ADVANCED_FACE('',(#13681),#6217,.F.); #154849=ADVANCED_FACE('',(#13682),#6218,.F.); #154850=ADVANCED_FACE('',(#13683),#6219,.F.); #154851=ADVANCED_FACE('',(#13684),#6220,.F.); #154852=ADVANCED_FACE('',(#13685),#6221,.F.); #154853=ADVANCED_FACE('',(#13686),#6222,.F.); #154854=ADVANCED_FACE('',(#13687),#6223,.F.); #154855=ADVANCED_FACE('',(#13688),#6224,.F.); #154856=ADVANCED_FACE('',(#13689),#6225,.F.); #154857=ADVANCED_FACE('',(#13690),#6226,.F.); #154858=ADVANCED_FACE('',(#13691),#6227,.F.); #154859=ADVANCED_FACE('',(#13692),#6228,.F.); #154860=ADVANCED_FACE('',(#13693),#6229,.F.); #154861=ADVANCED_FACE('',(#13694),#6230,.F.); #154862=ADVANCED_FACE('',(#13695),#6231,.F.); #154863=ADVANCED_FACE('',(#13696),#6232,.F.); #154864=ADVANCED_FACE('',(#13697),#6233,.F.); #154865=ADVANCED_FACE('',(#13698),#6234,.F.); #154866=ADVANCED_FACE('',(#13699),#6235,.T.); #154867=ADVANCED_FACE('',(#13700),#6236,.F.); #154868=ADVANCED_FACE('',(#13701),#147384,.F.); #154869=ADVANCED_FACE('',(#13702),#6237,.F.); #154870=ADVANCED_FACE('',(#13703),#147385,.F.); #154871=ADVANCED_FACE('',(#13704),#6238,.F.); #154872=ADVANCED_FACE('',(#13705),#147386,.F.); #154873=ADVANCED_FACE('',(#13706),#6239,.F.); #154874=ADVANCED_FACE('',(#13707),#147387,.F.); #154875=ADVANCED_FACE('',(#13708),#6240,.T.); #154876=ADVANCED_FACE('',(#13709),#6241,.T.); #154877=ADVANCED_FACE('',(#13710),#6242,.T.); #154878=ADVANCED_FACE('',(#13711),#6243,.T.); #154879=ADVANCED_FACE('',(#13712),#6244,.T.); #154880=ADVANCED_FACE('',(#13713),#147388,.F.); #154881=ADVANCED_FACE('',(#13714),#147389,.F.); #154882=ADVANCED_FACE('',(#13715),#147390,.F.); #154883=ADVANCED_FACE('',(#13716),#147391,.F.); #154884=ADVANCED_FACE('',(#13717),#147392,.F.); #154885=ADVANCED_FACE('',(#13718),#147393,.F.); #154886=ADVANCED_FACE('',(#13719),#147394,.F.); #154887=ADVANCED_FACE('',(#13720),#147395,.F.); #154888=ADVANCED_FACE('',(#13721),#147396,.F.); #154889=ADVANCED_FACE('',(#13722),#147397,.F.); #154890=ADVANCED_FACE('',(#13723),#147398,.F.); #154891=ADVANCED_FACE('',(#13724),#147399,.F.); #154892=ADVANCED_FACE('',(#13725),#147400,.F.); #154893=ADVANCED_FACE('',(#13726),#147401,.F.); #154894=ADVANCED_FACE('',(#13727),#6245,.F.); #154895=ADVANCED_FACE('',(#13728),#6246,.F.); #154896=ADVANCED_FACE('',(#13729),#6247,.F.); #154897=ADVANCED_FACE('',(#13730),#6248,.F.); #154898=ADVANCED_FACE('',(#13731),#6249,.F.); #154899=ADVANCED_FACE('',(#13732),#6250,.F.); #154900=ADVANCED_FACE('',(#13733),#6251,.T.); #154901=ADVANCED_FACE('',(#13734),#6252,.T.); #154902=ADVANCED_FACE('',(#13735),#6253,.F.); #154903=ADVANCED_FACE('',(#13736),#6254,.F.); #154904=ADVANCED_FACE('',(#13737),#6255,.F.); #154905=ADVANCED_FACE('',(#13738),#6256,.T.); #154906=ADVANCED_FACE('',(#13739),#6257,.F.); #154907=ADVANCED_FACE('',(#13740),#6258,.F.); #154908=ADVANCED_FACE('',(#13741),#6259,.F.); #154909=ADVANCED_FACE('',(#13742),#6260,.T.); #154910=ADVANCED_FACE('',(#13743),#6261,.T.); #154911=ADVANCED_FACE('',(#13744),#6262,.F.); #154912=ADVANCED_FACE('',(#13745),#6263,.F.); #154913=ADVANCED_FACE('',(#13746),#6264,.F.); #154914=ADVANCED_FACE('',(#13747),#6265,.T.); #154915=ADVANCED_FACE('',(#13748),#6266,.F.); #154916=ADVANCED_FACE('',(#13749),#6267,.F.); #154917=ADVANCED_FACE('',(#13750),#6268,.F.); #154918=ADVANCED_FACE('',(#13751),#6269,.T.); #154919=ADVANCED_FACE('',(#13752),#6270,.T.); #154920=ADVANCED_FACE('',(#13753),#6271,.F.); #154921=ADVANCED_FACE('',(#13754),#6272,.F.); #154922=ADVANCED_FACE('',(#13755),#6273,.F.); #154923=ADVANCED_FACE('',(#13756),#6274,.T.); #154924=ADVANCED_FACE('',(#13757),#6275,.F.); #154925=ADVANCED_FACE('',(#13758),#6276,.F.); #154926=ADVANCED_FACE('',(#13759),#6277,.F.); #154927=ADVANCED_FACE('',(#13760),#6278,.T.); #154928=ADVANCED_FACE('',(#13761),#6279,.T.); #154929=ADVANCED_FACE('',(#13762),#6280,.F.); #154930=ADVANCED_FACE('',(#13763),#6281,.F.); #154931=ADVANCED_FACE('',(#13764),#6282,.F.); #154932=ADVANCED_FACE('',(#13765),#6283,.T.); #154933=ADVANCED_FACE('',(#13766),#6284,.T.); #154934=ADVANCED_FACE('',(#13767),#6285,.T.); #154935=ADVANCED_FACE('',(#13768),#6286,.T.); #154936=ADVANCED_FACE('',(#13769),#6287,.T.); #154937=ADVANCED_FACE('',(#13770),#6288,.T.); #154938=ADVANCED_FACE('',(#13771),#6289,.T.); #154939=ADVANCED_FACE('',(#13772),#6290,.T.); #154940=ADVANCED_FACE('',(#13773),#6291,.T.); #154941=ADVANCED_FACE('',(#13774,#1159,#1160,#1161,#1162,#1163,#1164,#1165, #1166,#1167,#1168,#1169,#1170,#1171,#1172),#6292,.F.); #154942=ADVANCED_FACE('',(#13775),#6293,.T.); #154943=ADVANCED_FACE('',(#13776),#6294,.T.); #154944=ADVANCED_FACE('',(#13777),#6295,.T.); #154945=ADVANCED_FACE('',(#13778),#147402,.T.); #154946=ADVANCED_FACE('',(#13779),#147403,.F.); #154947=ADVANCED_FACE('',(#13780),#6296,.T.); #154948=ADVANCED_FACE('',(#13781),#6297,.T.); #154949=ADVANCED_FACE('',(#13782),#147404,.T.); #154950=ADVANCED_FACE('',(#13783),#147405,.F.); #154951=ADVANCED_FACE('',(#13784),#6298,.T.); #154952=ADVANCED_FACE('',(#13785),#6299,.T.); #154953=ADVANCED_FACE('',(#13786),#147406,.T.); #154954=ADVANCED_FACE('',(#13787),#147407,.F.); #154955=ADVANCED_FACE('',(#13788),#6300,.T.); #154956=ADVANCED_FACE('',(#13789),#6301,.T.); #154957=ADVANCED_FACE('',(#13790),#147408,.T.); #154958=ADVANCED_FACE('',(#13791),#147409,.F.); #154959=ADVANCED_FACE('',(#13792),#6302,.F.); #154960=ADVANCED_FACE('',(#13793),#147410,.F.); #154961=ADVANCED_FACE('',(#13794),#6303,.F.); #154962=ADVANCED_FACE('',(#13795),#147411,.F.); #154963=ADVANCED_FACE('',(#13796),#6304,.F.); #154964=ADVANCED_FACE('',(#13797),#6305,.F.); #154965=ADVANCED_FACE('',(#13798),#147412,.F.); #154966=ADVANCED_FACE('',(#13799),#6306,.F.); #154967=ADVANCED_FACE('',(#13800),#147413,.F.); #154968=ADVANCED_FACE('',(#13801),#6307,.F.); #154969=ADVANCED_FACE('',(#13802),#6308,.F.); #154970=ADVANCED_FACE('',(#13803),#147414,.F.); #154971=ADVANCED_FACE('',(#13804),#6309,.F.); #154972=ADVANCED_FACE('',(#13805),#147415,.F.); #154973=ADVANCED_FACE('',(#13806),#6310,.F.); #154974=ADVANCED_FACE('',(#13807),#344,.T.); #154975=ADVANCED_FACE('',(#13808),#147416,.F.); #154976=ADVANCED_FACE('',(#13809,#1173),#6311,.T.); #154977=ADVANCED_FACE('',(#13810),#147417,.T.); #154978=ADVANCED_FACE('',(#13811),#147418,.T.); #154979=ADVANCED_FACE('',(#13812),#345,.T.); #154980=ADVANCED_FACE('',(#13813),#147419,.T.); #154981=ADVANCED_FACE('',(#13814),#191,.F.); #154982=ADVANCED_FACE('',(#13815),#147420,.T.); #154983=ADVANCED_FACE('',(#13816),#192,.F.); #154984=ADVANCED_FACE('',(#13817),#147421,.T.); #154985=ADVANCED_FACE('',(#13818),#346,.T.); #154986=ADVANCED_FACE('',(#13819),#147422,.T.); #154987=ADVANCED_FACE('',(#13820),#193,.F.); #154988=ADVANCED_FACE('',(#13821),#147423,.T.); #154989=ADVANCED_FACE('',(#13822),#194,.F.); #154990=ADVANCED_FACE('',(#13823),#147424,.T.); #154991=ADVANCED_FACE('',(#13824),#347,.T.); #154992=ADVANCED_FACE('',(#13825),#147425,.T.); #154993=ADVANCED_FACE('',(#13826),#195,.F.); #154994=ADVANCED_FACE('',(#13827),#147426,.T.); #154995=ADVANCED_FACE('',(#13828),#196,.F.); #154996=ADVANCED_FACE('',(#13829),#147427,.T.); #154997=ADVANCED_FACE('',(#13830),#348,.T.); #154998=ADVANCED_FACE('',(#13831),#147428,.T.); #154999=ADVANCED_FACE('',(#13832),#197,.F.); #155000=ADVANCED_FACE('',(#13833),#198,.F.); #155001=ADVANCED_FACE('',(#13834),#6312,.T.); #155002=ADVANCED_FACE('',(#13835),#147429,.F.); #155003=ADVANCED_FACE('',(#13836),#6313,.T.); #155004=ADVANCED_FACE('',(#13837),#349,.F.); #155005=ADVANCED_FACE('',(#13838),#147430,.F.); #155006=ADVANCED_FACE('',(#13839),#6314,.T.); #155007=ADVANCED_FACE('',(#13840),#147431,.T.); #155008=ADVANCED_FACE('',(#13841),#6315,.T.); #155009=ADVANCED_FACE('',(#13842),#147432,.T.); #155010=ADVANCED_FACE('',(#13843),#147433,.F.); #155011=ADVANCED_FACE('',(#13844),#350,.F.); #155012=ADVANCED_FACE('',(#13845),#147434,.F.); #155013=ADVANCED_FACE('',(#13846),#351,.F.); #155014=ADVANCED_FACE('',(#13847),#147435,.F.); #155015=ADVANCED_FACE('',(#13848),#352,.F.); #155016=ADVANCED_FACE('',(#13849),#147436,.F.); #155017=ADVANCED_FACE('',(#13850),#353,.F.); #155018=ADVANCED_FACE('',(#13851),#147437,.T.); #155019=ADVANCED_FACE('',(#13852),#6316,.T.); #155020=ADVANCED_FACE('',(#13853),#147438,.F.); #155021=ADVANCED_FACE('',(#13854),#354,.F.); #155022=ADVANCED_FACE('',(#13855),#147439,.F.); #155023=ADVANCED_FACE('',(#13856),#355,.F.); #155024=ADVANCED_FACE('',(#13857),#356,.F.); #155025=ADVANCED_FACE('',(#13858),#147440,.T.); #155026=ADVANCED_FACE('',(#13859),#6317,.T.); #155027=ADVANCED_FACE('',(#13860),#147441,.T.); #155028=ADVANCED_FACE('',(#13861),#6318,.T.); #155029=ADVANCED_FACE('',(#13862),#6319,.T.); #155030=ADVANCED_FACE('',(#13863),#6320,.T.); #155031=ADVANCED_FACE('',(#13864),#147442,.T.); #155032=ADVANCED_FACE('',(#13865),#147443,.T.); #155033=ADVANCED_FACE('',(#13866),#131,.T.); #155034=ADVANCED_FACE('',(#13867),#147444,.T.); #155035=ADVANCED_FACE('',(#13868),#132,.T.); #155036=ADVANCED_FACE('',(#13869),#147445,.T.); #155037=ADVANCED_FACE('',(#13870),#133,.T.); #155038=ADVANCED_FACE('',(#13871),#134,.T.); #155039=ADVANCED_FACE('',(#13872),#6321,.T.); #155040=ADVANCED_FACE('',(#13873),#147446,.T.); #155041=ADVANCED_FACE('',(#13874),#147447,.T.); #155042=ADVANCED_FACE('',(#13875),#6322,.T.); #155043=ADVANCED_FACE('',(#13876),#147448,.T.); #155044=ADVANCED_FACE('',(#13877),#6323,.T.); #155045=ADVANCED_FACE('',(#13878),#6324,.T.); #155046=ADVANCED_FACE('',(#13879,#1174),#6325,.T.); #155047=ADVANCED_FACE('',(#13880),#6326,.F.); #155048=ADVANCED_FACE('',(#13881),#6327,.F.); #155049=ADVANCED_FACE('',(#13882),#6328,.F.); #155050=ADVANCED_FACE('',(#13883),#6329,.F.); #155051=ADVANCED_FACE('',(#13884),#6330,.F.); #155052=ADVANCED_FACE('',(#13885),#6331,.F.); #155053=ADVANCED_FACE('',(#13886),#6332,.F.); #155054=ADVANCED_FACE('',(#13887),#6333,.F.); #155055=ADVANCED_FACE('',(#13888),#6334,.F.); #155056=ADVANCED_FACE('',(#13889),#6335,.F.); #155057=ADVANCED_FACE('',(#13890),#6336,.F.); #155058=ADVANCED_FACE('',(#13891),#6337,.F.); #155059=ADVANCED_FACE('',(#13892),#6338,.F.); #155060=ADVANCED_FACE('',(#13893),#6339,.F.); #155061=ADVANCED_FACE('',(#13894),#6340,.F.); #155062=ADVANCED_FACE('',(#13895),#6341,.F.); #155063=ADVANCED_FACE('',(#13896),#6342,.F.); #155064=ADVANCED_FACE('',(#13897),#6343,.F.); #155065=ADVANCED_FACE('',(#13898),#6344,.F.); #155066=ADVANCED_FACE('',(#13899),#6345,.T.); #155067=ADVANCED_FACE('',(#13900),#6346,.F.); #155068=ADVANCED_FACE('',(#13901),#6347,.F.); #155069=ADVANCED_FACE('',(#13902),#6348,.F.); #155070=ADVANCED_FACE('',(#13903),#6349,.F.); #155071=ADVANCED_FACE('',(#13904),#6350,.F.); #155072=ADVANCED_FACE('',(#13905),#147449,.T.); #155073=ADVANCED_FACE('',(#13906),#147450,.T.); #155074=ADVANCED_FACE('',(#13907),#147451,.T.); #155075=ADVANCED_FACE('',(#13908),#147452,.T.); #155076=ADVANCED_FACE('',(#13909),#147453,.T.); #155077=ADVANCED_FACE('',(#13910),#147454,.T.); #155078=ADVANCED_FACE('',(#13911),#147455,.T.); #155079=ADVANCED_FACE('',(#13912),#147456,.T.); #155080=ADVANCED_FACE('',(#13913),#147457,.T.); #155081=ADVANCED_FACE('',(#13914),#147458,.T.); #155082=ADVANCED_FACE('',(#13915),#147459,.T.); #155083=ADVANCED_FACE('',(#13916),#147460,.T.); #155084=ADVANCED_FACE('',(#13917),#147461,.T.); #155085=ADVANCED_FACE('',(#13918),#147462,.T.); #155086=ADVANCED_FACE('',(#13919),#147463,.T.); #155087=ADVANCED_FACE('',(#13920),#147464,.T.); #155088=ADVANCED_FACE('',(#13921),#147465,.T.); #155089=ADVANCED_FACE('',(#13922),#147466,.T.); #155090=ADVANCED_FACE('',(#13923),#147467,.T.); #155091=ADVANCED_FACE('',(#13924),#6351,.F.); #155092=ADVANCED_FACE('',(#13925),#147468,.T.); #155093=ADVANCED_FACE('',(#13926),#6352,.F.); #155094=ADVANCED_FACE('',(#13927),#147469,.F.); #155095=ADVANCED_FACE('',(#13928),#147470,.T.); #155096=ADVANCED_FACE('',(#13929),#147471,.F.); #155097=ADVANCED_FACE('',(#13930),#147472,.T.); #155098=ADVANCED_FACE('',(#13931),#135,.T.); #155099=ADVANCED_FACE('',(#13932),#357,.T.); #155100=ADVANCED_FACE('',(#13933),#136,.T.); #155101=ADVANCED_FACE('',(#13934),#147473,.T.); #155102=ADVANCED_FACE('',(#13935),#358,.T.); #155103=ADVANCED_FACE('',(#13936),#147474,.F.); #155104=ADVANCED_FACE('',(#13937),#147475,.F.); #155105=ADVANCED_FACE('',(#13938),#199,.T.); #155106=ADVANCED_FACE('',(#13939),#137,.F.); #155107=ADVANCED_FACE('',(#13940),#147476,.F.); #155108=ADVANCED_FACE('',(#13941),#200,.T.); #155109=ADVANCED_FACE('',(#13942),#138,.F.); #155110=ADVANCED_FACE('',(#13943),#147477,.T.); #155111=ADVANCED_FACE('',(#13944),#147478,.T.); #155112=ADVANCED_FACE('',(#13945),#6353,.F.); #155113=ADVANCED_FACE('',(#13946),#147479,.T.); #155114=ADVANCED_FACE('',(#13947),#6354,.F.); #155115=ADVANCED_FACE('',(#13948),#147480,.F.); #155116=ADVANCED_FACE('',(#13949),#147481,.T.); #155117=ADVANCED_FACE('',(#13950),#147482,.F.); #155118=ADVANCED_FACE('',(#13951),#147483,.T.); #155119=ADVANCED_FACE('',(#13952),#139,.T.); #155120=ADVANCED_FACE('',(#13953),#359,.T.); #155121=ADVANCED_FACE('',(#13954),#140,.T.); #155122=ADVANCED_FACE('',(#13955),#147484,.T.); #155123=ADVANCED_FACE('',(#13956),#360,.T.); #155124=ADVANCED_FACE('',(#13957),#147485,.F.); #155125=ADVANCED_FACE('',(#13958),#147486,.F.); #155126=ADVANCED_FACE('',(#13959),#201,.T.); #155127=ADVANCED_FACE('',(#13960),#141,.F.); #155128=ADVANCED_FACE('',(#13961),#147487,.F.); #155129=ADVANCED_FACE('',(#13962),#202,.T.); #155130=ADVANCED_FACE('',(#13963),#142,.F.); #155131=ADVANCED_FACE('',(#13964),#147488,.T.); #155132=ADVANCED_FACE('',(#13965),#147489,.T.); #155133=ADVANCED_FACE('',(#13966),#6355,.F.); #155134=ADVANCED_FACE('',(#13967),#147490,.T.); #155135=ADVANCED_FACE('',(#13968),#6356,.F.); #155136=ADVANCED_FACE('',(#13969),#147491,.F.); #155137=ADVANCED_FACE('',(#13970),#147492,.T.); #155138=ADVANCED_FACE('',(#13971),#147493,.F.); #155139=ADVANCED_FACE('',(#13972),#147494,.T.); #155140=ADVANCED_FACE('',(#13973),#143,.T.); #155141=ADVANCED_FACE('',(#13974),#361,.T.); #155142=ADVANCED_FACE('',(#13975),#144,.T.); #155143=ADVANCED_FACE('',(#13976),#147495,.T.); #155144=ADVANCED_FACE('',(#13977),#362,.T.); #155145=ADVANCED_FACE('',(#13978),#147496,.F.); #155146=ADVANCED_FACE('',(#13979),#147497,.F.); #155147=ADVANCED_FACE('',(#13980),#203,.T.); #155148=ADVANCED_FACE('',(#13981),#145,.F.); #155149=ADVANCED_FACE('',(#13982),#204,.T.); #155150=ADVANCED_FACE('',(#13983),#147498,.F.); #155151=ADVANCED_FACE('',(#13984),#146,.F.); #155152=ADVANCED_FACE('',(#13985),#147499,.T.); #155153=ADVANCED_FACE('',(#13986),#147500,.T.); #155154=ADVANCED_FACE('',(#13987),#147501,.T.); #155155=ADVANCED_FACE('',(#13988),#147502,.T.); #155156=ADVANCED_FACE('',(#13989),#147503,.T.); #155157=ADVANCED_FACE('',(#13990),#147,.T.); #155158=ADVANCED_FACE('',(#13991),#148,.T.); #155159=ADVANCED_FACE('',(#13992),#147504,.T.); #155160=ADVANCED_FACE('',(#13993),#149,.T.); #155161=ADVANCED_FACE('',(#13994),#150,.T.); #155162=ADVANCED_FACE('',(#13995),#147505,.T.); #155163=ADVANCED_FACE('',(#13996),#147506,.T.); #155164=ADVANCED_FACE('',(#13997),#151,.T.); #155165=ADVANCED_FACE('',(#13998),#152,.T.); #155166=ADVANCED_FACE('',(#13999),#147507,.T.); #155167=ADVANCED_FACE('',(#14000),#153,.T.); #155168=ADVANCED_FACE('',(#14001),#154,.T.); #155169=ADVANCED_FACE('',(#14002),#6357,.T.); #155170=ADVANCED_FACE('',(#14003),#147508,.T.); #155171=ADVANCED_FACE('',(#14004),#6358,.F.); #155172=ADVANCED_FACE('',(#14005),#147509,.F.); #155173=ADVANCED_FACE('',(#14006),#147510,.F.); #155174=ADVANCED_FACE('',(#14007),#147511,.T.); #155175=ADVANCED_FACE('',(#14008),#147512,.T.); #155176=ADVANCED_FACE('',(#14009),#155,.T.); #155177=ADVANCED_FACE('',(#14010),#363,.T.); #155178=ADVANCED_FACE('',(#14011),#147513,.F.); #155179=ADVANCED_FACE('',(#14012),#147514,.T.); #155180=ADVANCED_FACE('',(#14013),#156,.T.); #155181=ADVANCED_FACE('',(#14014),#364,.T.); #155182=ADVANCED_FACE('',(#14015),#205,.T.); #155183=ADVANCED_FACE('',(#14016),#147515,.F.); #155184=ADVANCED_FACE('',(#14017),#157,.F.); #155185=ADVANCED_FACE('',(#14018),#147516,.F.); #155186=ADVANCED_FACE('',(#14019),#206,.T.); #155187=ADVANCED_FACE('',(#14020),#158,.F.); #155188=ADVANCED_FACE('',(#14021),#147517,.T.); #155189=ADVANCED_FACE('',(#14022),#147518,.T.); #155190=ADVANCED_FACE('',(#14023),#6359,.F.); #155191=ADVANCED_FACE('',(#14024),#147519,.T.); #155192=ADVANCED_FACE('',(#14025),#6360,.F.); #155193=ADVANCED_FACE('',(#14026),#147520,.F.); #155194=ADVANCED_FACE('',(#14027),#147521,.T.); #155195=ADVANCED_FACE('',(#14028),#147522,.F.); #155196=ADVANCED_FACE('',(#14029),#147523,.T.); #155197=ADVANCED_FACE('',(#14030),#159,.T.); #155198=ADVANCED_FACE('',(#14031),#365,.T.); #155199=ADVANCED_FACE('',(#14032),#160,.T.); #155200=ADVANCED_FACE('',(#14033),#147524,.T.); #155201=ADVANCED_FACE('',(#14034),#366,.T.); #155202=ADVANCED_FACE('',(#14035),#147525,.F.); #155203=ADVANCED_FACE('',(#14036),#147526,.F.); #155204=ADVANCED_FACE('',(#14037),#207,.T.); #155205=ADVANCED_FACE('',(#14038),#161,.F.); #155206=ADVANCED_FACE('',(#14039),#147527,.F.); #155207=ADVANCED_FACE('',(#14040),#208,.T.); #155208=ADVANCED_FACE('',(#14041),#162,.F.); #155209=ADVANCED_FACE('',(#14042),#147528,.T.); #155210=ADVANCED_FACE('',(#14043),#6361,.T.); #155211=ADVANCED_FACE('',(#14044),#147529,.T.); #155212=ADVANCED_FACE('',(#14045),#6362,.F.); #155213=ADVANCED_FACE('',(#14046),#147530,.F.); #155214=ADVANCED_FACE('',(#14047),#147531,.F.); #155215=ADVANCED_FACE('',(#14048),#147532,.T.); #155216=ADVANCED_FACE('',(#14049),#147533,.T.); #155217=ADVANCED_FACE('',(#14050),#163,.T.); #155218=ADVANCED_FACE('',(#14051),#367,.T.); #155219=ADVANCED_FACE('',(#14052),#147534,.F.); #155220=ADVANCED_FACE('',(#14053),#147535,.T.); #155221=ADVANCED_FACE('',(#14054),#164,.T.); #155222=ADVANCED_FACE('',(#14055),#368,.T.); #155223=ADVANCED_FACE('',(#14056),#147536,.F.); #155224=ADVANCED_FACE('',(#14057),#209,.T.); #155225=ADVANCED_FACE('',(#14058),#165,.F.); #155226=ADVANCED_FACE('',(#14059),#147537,.F.); #155227=ADVANCED_FACE('',(#14060),#210,.T.); #155228=ADVANCED_FACE('',(#14061),#166,.F.); #155229=ADVANCED_FACE('',(#14062),#147538,.T.); #155230=ADVANCED_FACE('',(#14063),#147539,.T.); #155231=ADVANCED_FACE('',(#14064),#6363,.F.); #155232=ADVANCED_FACE('',(#14065),#147540,.T.); #155233=ADVANCED_FACE('',(#14066),#6364,.F.); #155234=ADVANCED_FACE('',(#14067),#147541,.F.); #155235=ADVANCED_FACE('',(#14068),#147542,.T.); #155236=ADVANCED_FACE('',(#14069),#147543,.F.); #155237=ADVANCED_FACE('',(#14070),#147544,.T.); #155238=ADVANCED_FACE('',(#14071),#167,.T.); #155239=ADVANCED_FACE('',(#14072),#369,.T.); #155240=ADVANCED_FACE('',(#14073),#168,.T.); #155241=ADVANCED_FACE('',(#14074),#147545,.T.); #155242=ADVANCED_FACE('',(#14075),#370,.T.); #155243=ADVANCED_FACE('',(#14076),#147546,.F.); #155244=ADVANCED_FACE('',(#14077),#147547,.F.); #155245=ADVANCED_FACE('',(#14078),#211,.T.); #155246=ADVANCED_FACE('',(#14079),#169,.F.); #155247=ADVANCED_FACE('',(#14080),#147548,.F.); #155248=ADVANCED_FACE('',(#14081),#212,.T.); #155249=ADVANCED_FACE('',(#14082),#170,.F.); #155250=ADVANCED_FACE('',(#14083),#147549,.T.); #155251=ADVANCED_FACE('',(#14084),#6365,.T.); #155252=ADVANCED_FACE('',(#14085),#147550,.T.); #155253=ADVANCED_FACE('',(#14086),#6366,.F.); #155254=ADVANCED_FACE('',(#14087),#147551,.F.); #155255=ADVANCED_FACE('',(#14088),#147552,.F.); #155256=ADVANCED_FACE('',(#14089),#147553,.T.); #155257=ADVANCED_FACE('',(#14090),#147554,.T.); #155258=ADVANCED_FACE('',(#14091),#171,.T.); #155259=ADVANCED_FACE('',(#14092),#371,.T.); #155260=ADVANCED_FACE('',(#14093),#147555,.F.); #155261=ADVANCED_FACE('',(#14094),#147556,.T.); #155262=ADVANCED_FACE('',(#14095),#172,.T.); #155263=ADVANCED_FACE('',(#14096),#372,.T.); #155264=ADVANCED_FACE('',(#14097),#147557,.F.); #155265=ADVANCED_FACE('',(#14098),#213,.T.); #155266=ADVANCED_FACE('',(#14099),#173,.F.); #155267=ADVANCED_FACE('',(#14100),#147558,.F.); #155268=ADVANCED_FACE('',(#14101),#214,.T.); #155269=ADVANCED_FACE('',(#14102),#174,.F.); #155270=ADVANCED_FACE('',(#14103),#147559,.T.); #155271=ADVANCED_FACE('',(#14104),#147560,.T.); #155272=ADVANCED_FACE('',(#14105),#6367,.F.); #155273=ADVANCED_FACE('',(#14106),#147561,.T.); #155274=ADVANCED_FACE('',(#14107),#6368,.F.); #155275=ADVANCED_FACE('',(#14108),#147562,.F.); #155276=ADVANCED_FACE('',(#14109),#147563,.T.); #155277=ADVANCED_FACE('',(#14110),#147564,.F.); #155278=ADVANCED_FACE('',(#14111),#147565,.T.); #155279=ADVANCED_FACE('',(#14112),#175,.T.); #155280=ADVANCED_FACE('',(#14113),#373,.T.); #155281=ADVANCED_FACE('',(#14114),#176,.T.); #155282=ADVANCED_FACE('',(#14115),#147566,.T.); #155283=ADVANCED_FACE('',(#14116),#374,.T.); #155284=ADVANCED_FACE('',(#14117),#147567,.F.); #155285=ADVANCED_FACE('',(#14118),#147568,.F.); #155286=ADVANCED_FACE('',(#14119),#215,.T.); #155287=ADVANCED_FACE('',(#14120),#177,.F.); #155288=ADVANCED_FACE('',(#14121),#147569,.F.); #155289=ADVANCED_FACE('',(#14122),#216,.T.); #155290=ADVANCED_FACE('',(#14123),#178,.F.); #155291=ADVANCED_FACE('',(#14124),#147570,.T.); #155292=ADVANCED_FACE('',(#14125),#147571,.T.); #155293=ADVANCED_FACE('',(#14126),#6369,.F.); #155294=ADVANCED_FACE('',(#14127),#147572,.T.); #155295=ADVANCED_FACE('',(#14128),#6370,.F.); #155296=ADVANCED_FACE('',(#14129),#147573,.F.); #155297=ADVANCED_FACE('',(#14130),#147574,.T.); #155298=ADVANCED_FACE('',(#14131),#147575,.F.); #155299=ADVANCED_FACE('',(#14132),#147576,.T.); #155300=ADVANCED_FACE('',(#14133),#179,.T.); #155301=ADVANCED_FACE('',(#14134),#375,.T.); #155302=ADVANCED_FACE('',(#14135),#180,.T.); #155303=ADVANCED_FACE('',(#14136),#147577,.T.); #155304=ADVANCED_FACE('',(#14137),#376,.T.); #155305=ADVANCED_FACE('',(#14138),#147578,.F.); #155306=ADVANCED_FACE('',(#14139),#147579,.F.); #155307=ADVANCED_FACE('',(#14140),#217,.T.); #155308=ADVANCED_FACE('',(#14141),#181,.F.); #155309=ADVANCED_FACE('',(#14142),#147580,.F.); #155310=ADVANCED_FACE('',(#14143),#218,.T.); #155311=ADVANCED_FACE('',(#14144),#182,.F.); #155312=ADVANCED_FACE('',(#14145),#147581,.F.); #155313=ADVANCED_FACE('',(#14146),#147582,.F.); #155314=ADVANCED_FACE('',(#14147),#6371,.F.); #155315=ADVANCED_FACE('',(#14148),#219,.T.); #155316=ADVANCED_FACE('',(#14149),#147583,.F.); #155317=ADVANCED_FACE('',(#14150),#220,.T.); #155318=ADVANCED_FACE('',(#14151),#147584,.F.); #155319=ADVANCED_FACE('',(#14152),#147585,.F.); #155320=ADVANCED_FACE('',(#14153),#6372,.F.); #155321=ADVANCED_FACE('',(#14154),#221,.T.); #155322=ADVANCED_FACE('',(#14155),#147586,.F.); #155323=ADVANCED_FACE('',(#14156),#222,.T.); #155324=ADVANCED_FACE('',(#14157),#147587,.F.); #155325=ADVANCED_FACE('',(#14158),#147588,.F.); #155326=ADVANCED_FACE('',(#14159),#6373,.F.); #155327=ADVANCED_FACE('',(#14160),#223,.F.); #155328=ADVANCED_FACE('',(#14161),#147589,.T.); #155329=ADVANCED_FACE('',(#14162),#224,.F.); #155330=ADVANCED_FACE('',(#14163),#147590,.F.); #155331=ADVANCED_FACE('',(#14164),#147591,.F.); #155332=ADVANCED_FACE('',(#14165),#6374,.F.); #155333=ADVANCED_FACE('',(#14166),#225,.F.); #155334=ADVANCED_FACE('',(#14167),#147592,.T.); #155335=ADVANCED_FACE('',(#14168),#226,.F.); #155336=ADVANCED_FACE('',(#14169),#147593,.F.); #155337=ADVANCED_FACE('',(#14170),#147594,.F.); #155338=ADVANCED_FACE('',(#14171),#6375,.F.); #155339=ADVANCED_FACE('',(#14172),#227,.T.); #155340=ADVANCED_FACE('',(#14173),#147595,.F.); #155341=ADVANCED_FACE('',(#14174),#228,.T.); #155342=ADVANCED_FACE('',(#14175),#147596,.F.); #155343=ADVANCED_FACE('',(#14176),#147597,.F.); #155344=ADVANCED_FACE('',(#14177),#6376,.F.); #155345=ADVANCED_FACE('',(#14178),#229,.T.); #155346=ADVANCED_FACE('',(#14179),#147598,.F.); #155347=ADVANCED_FACE('',(#14180),#230,.T.); #155348=ADVANCED_FACE('',(#14181),#147599,.F.); #155349=ADVANCED_FACE('',(#14182),#147600,.F.); #155350=ADVANCED_FACE('',(#14183),#6377,.F.); #155351=ADVANCED_FACE('',(#14184),#231,.F.); #155352=ADVANCED_FACE('',(#14185),#147601,.T.); #155353=ADVANCED_FACE('',(#14186),#232,.F.); #155354=ADVANCED_FACE('',(#14187),#147602,.F.); #155355=ADVANCED_FACE('',(#14188),#147603,.F.); #155356=ADVANCED_FACE('',(#14189),#6378,.F.); #155357=ADVANCED_FACE('',(#14190),#233,.T.); #155358=ADVANCED_FACE('',(#14191),#147604,.F.); #155359=ADVANCED_FACE('',(#14192),#234,.T.); #155360=ADVANCED_FACE('',(#14193),#147605,.F.); #155361=ADVANCED_FACE('',(#14194),#147606,.F.); #155362=ADVANCED_FACE('',(#14195),#6379,.F.); #155363=ADVANCED_FACE('',(#14196),#235,.T.); #155364=ADVANCED_FACE('',(#14197),#147607,.F.); #155365=ADVANCED_FACE('',(#14198),#236,.T.); #155366=ADVANCED_FACE('',(#14199),#147608,.F.); #155367=ADVANCED_FACE('',(#14200),#147609,.F.); #155368=ADVANCED_FACE('',(#14201),#6380,.F.); #155369=ADVANCED_FACE('',(#14202),#237,.T.); #155370=ADVANCED_FACE('',(#14203),#147610,.F.); #155371=ADVANCED_FACE('',(#14204),#238,.T.); #155372=ADVANCED_FACE('',(#14205),#147611,.F.); #155373=ADVANCED_FACE('',(#14206),#147612,.F.); #155374=ADVANCED_FACE('',(#14207),#6381,.F.); #155375=ADVANCED_FACE('',(#14208),#239,.T.); #155376=ADVANCED_FACE('',(#14209),#147613,.F.); #155377=ADVANCED_FACE('',(#14210),#240,.T.); #155378=ADVANCED_FACE('',(#14211),#147614,.F.); #155379=ADVANCED_FACE('',(#14212),#147615,.F.); #155380=ADVANCED_FACE('',(#14213),#6382,.F.); #155381=ADVANCED_FACE('',(#14214),#241,.F.); #155382=ADVANCED_FACE('',(#14215),#147616,.T.); #155383=ADVANCED_FACE('',(#14216),#242,.F.); #155384=ADVANCED_FACE('',(#14217),#147617,.F.); #155385=ADVANCED_FACE('',(#14218),#147618,.F.); #155386=ADVANCED_FACE('',(#14219),#6383,.F.); #155387=ADVANCED_FACE('',(#14220),#243,.T.); #155388=ADVANCED_FACE('',(#14221),#147619,.F.); #155389=ADVANCED_FACE('',(#14222),#244,.T.); #155390=ADVANCED_FACE('',(#14223),#147620,.F.); #155391=ADVANCED_FACE('',(#14224),#147621,.F.); #155392=ADVANCED_FACE('',(#14225),#6384,.F.); #155393=ADVANCED_FACE('',(#14226),#245,.F.); #155394=ADVANCED_FACE('',(#14227),#147622,.T.); #155395=ADVANCED_FACE('',(#14228),#246,.F.); #155396=ADVANCED_FACE('',(#14229),#147623,.F.); #155397=ADVANCED_FACE('',(#14230),#147624,.F.); #155398=ADVANCED_FACE('',(#14231),#6385,.F.); #155399=ADVANCED_FACE('',(#14232),#247,.F.); #155400=ADVANCED_FACE('',(#14233),#147625,.T.); #155401=ADVANCED_FACE('',(#14234),#248,.F.); #155402=ADVANCED_FACE('',(#14235),#147626,.F.); #155403=ADVANCED_FACE('',(#14236),#147627,.F.); #155404=ADVANCED_FACE('',(#14237),#6386,.F.); #155405=ADVANCED_FACE('',(#14238),#249,.F.); #155406=ADVANCED_FACE('',(#14239),#147628,.T.); #155407=ADVANCED_FACE('',(#14240),#250,.F.); #155408=ADVANCED_FACE('',(#14241),#147629,.F.); #155409=ADVANCED_FACE('',(#14242),#147630,.F.); #155410=ADVANCED_FACE('',(#14243),#6387,.F.); #155411=ADVANCED_FACE('',(#14244),#251,.F.); #155412=ADVANCED_FACE('',(#14245),#147631,.T.); #155413=ADVANCED_FACE('',(#14246),#252,.F.); #155414=ADVANCED_FACE('',(#14247),#147632,.F.); #155415=ADVANCED_FACE('',(#14248),#147633,.F.); #155416=ADVANCED_FACE('',(#14249),#6388,.F.); #155417=ADVANCED_FACE('',(#14250),#253,.F.); #155418=ADVANCED_FACE('',(#14251),#147634,.T.); #155419=ADVANCED_FACE('',(#14252),#254,.F.); #155420=ADVANCED_FACE('',(#14253),#147635,.F.); #155421=ADVANCED_FACE('',(#14254),#147636,.F.); #155422=ADVANCED_FACE('',(#14255),#6389,.F.); #155423=ADVANCED_FACE('',(#14256),#255,.T.); #155424=ADVANCED_FACE('',(#14257),#147637,.F.); #155425=ADVANCED_FACE('',(#14258),#256,.T.); #155426=ADVANCED_FACE('',(#14259),#147638,.F.); #155427=ADVANCED_FACE('',(#14260),#147639,.F.); #155428=ADVANCED_FACE('',(#14261),#6390,.F.); #155429=ADVANCED_FACE('',(#14262),#257,.F.); #155430=ADVANCED_FACE('',(#14263),#147640,.T.); #155431=ADVANCED_FACE('',(#14264),#258,.F.); #155432=ADVANCED_FACE('',(#14265),#147641,.T.); #155433=ADVANCED_FACE('',(#14266),#147642,.T.); #155434=ADVANCED_FACE('',(#14267),#147643,.T.); #155435=ADVANCED_FACE('',(#14268),#147644,.T.); #155436=ADVANCED_FACE('',(#14269),#147645,.T.); #155437=ADVANCED_FACE('',(#14270),#147646,.T.); #155438=ADVANCED_FACE('',(#14271),#147647,.T.); #155439=ADVANCED_FACE('',(#14272),#147648,.T.); #155440=ADVANCED_FACE('',(#14273),#147649,.T.); #155441=ADVANCED_FACE('',(#14274),#147650,.T.); #155442=ADVANCED_FACE('',(#14275),#147651,.T.); #155443=ADVANCED_FACE('',(#14276),#147652,.T.); #155444=ADVANCED_FACE('',(#14277),#147653,.T.); #155445=ADVANCED_FACE('',(#14278),#147654,.T.); #155446=ADVANCED_FACE('',(#14279),#147655,.T.); #155447=ADVANCED_FACE('',(#14280),#147656,.T.); #155448=ADVANCED_FACE('',(#14281),#147657,.T.); #155449=ADVANCED_FACE('',(#14282),#147658,.T.); #155450=ADVANCED_FACE('',(#14283),#147659,.T.); #155451=ADVANCED_FACE('',(#14284),#147660,.T.); #155452=ADVANCED_FACE('',(#14285),#147661,.T.); #155453=ADVANCED_FACE('',(#14286),#147662,.T.); #155454=ADVANCED_FACE('',(#14287),#147663,.T.); #155455=ADVANCED_FACE('',(#14288),#147664,.T.); #155456=ADVANCED_FACE('',(#14289),#147665,.T.); #155457=ADVANCED_FACE('',(#14290),#147666,.T.); #155458=ADVANCED_FACE('',(#14291),#147667,.T.); #155459=ADVANCED_FACE('',(#14292),#147668,.T.); #155460=ADVANCED_FACE('',(#14293),#147669,.T.); #155461=ADVANCED_FACE('',(#14294),#147670,.T.); #155462=ADVANCED_FACE('',(#14295),#147671,.T.); #155463=ADVANCED_FACE('',(#14296),#147672,.T.); #155464=ADVANCED_FACE('',(#14297),#147673,.T.); #155465=ADVANCED_FACE('',(#14298),#147674,.T.); #155466=ADVANCED_FACE('',(#14299),#147675,.T.); #155467=ADVANCED_FACE('',(#14300,#1175),#6391,.T.); #155468=ADVANCED_FACE('',(#14301),#6392,.T.); #155469=ADVANCED_FACE('',(#14302),#6393,.T.); #155470=ADVANCED_FACE('',(#14303),#147676,.F.); #155471=ADVANCED_FACE('',(#14304),#259,.F.); #155472=ADVANCED_FACE('',(#14305),#6394,.F.); #155473=ADVANCED_FACE('',(#14306),#147677,.T.); #155474=ADVANCED_FACE('',(#14307),#260,.F.); #155475=ADVANCED_FACE('',(#14308),#6395,.T.); #155476=ADVANCED_FACE('',(#14309),#6396,.F.); #155477=ADVANCED_FACE('',(#14310),#6397,.F.); #155478=ADVANCED_FACE('',(#14311),#6398,.F.); #155479=ADVANCED_FACE('',(#14312),#6399,.F.); #155480=ADVANCED_FACE('',(#14313),#6400,.F.); #155481=ADVANCED_FACE('',(#14314),#6401,.F.); #155482=ADVANCED_FACE('',(#14315,#1176),#6402,.T.); #155483=ADVANCED_FACE('',(#14316,#1177),#6403,.F.); #155484=ADVANCED_FACE('',(#14317),#147678,.F.); #155485=ADVANCED_FACE('',(#14318),#6404,.T.); #155486=ADVANCED_FACE('',(#14319),#147679,.T.); #155487=ADVANCED_FACE('',(#14320),#576,.T.); #155488=ADVANCED_FACE('',(#14321),#147680,.T.); #155489=ADVANCED_FACE('',(#14322),#6405,.F.); #155490=ADVANCED_FACE('',(#14323),#6406,.T.); #155491=ADVANCED_FACE('',(#14324),#6407,.T.); #155492=ADVANCED_FACE('',(#14325),#6408,.T.); #155493=ADVANCED_FACE('',(#14326),#6409,.T.); #155494=ADVANCED_FACE('',(#14327),#6410,.F.); #155495=ADVANCED_FACE('',(#14328),#6411,.F.); #155496=ADVANCED_FACE('',(#14329),#6412,.F.); #155497=ADVANCED_FACE('',(#14330),#6413,.F.); #155498=ADVANCED_FACE('',(#14331),#6414,.T.); #155499=ADVANCED_FACE('',(#14332),#6415,.T.); #155500=ADVANCED_FACE('',(#14333),#6416,.T.); #155501=ADVANCED_FACE('',(#14334),#6417,.T.); #155502=ADVANCED_FACE('',(#14335),#6418,.T.); #155503=ADVANCED_FACE('',(#14336),#6419,.T.); #155504=ADVANCED_FACE('',(#14337),#6420,.F.); #155505=ADVANCED_FACE('',(#14338),#6421,.F.); #155506=ADVANCED_FACE('',(#14339),#6422,.F.); #155507=ADVANCED_FACE('',(#14340),#6423,.F.); #155508=ADVANCED_FACE('',(#14341),#6424,.T.); #155509=ADVANCED_FACE('',(#14342),#6425,.T.); #155510=ADVANCED_FACE('',(#14343),#6426,.T.); #155511=ADVANCED_FACE('',(#14344),#6427,.T.); #155512=ADVANCED_FACE('',(#14345),#6428,.T.); #155513=ADVANCED_FACE('',(#14346),#6429,.T.); #155514=ADVANCED_FACE('',(#14347),#6430,.F.); #155515=ADVANCED_FACE('',(#14348),#6431,.F.); #155516=ADVANCED_FACE('',(#14349),#6432,.T.); #155517=ADVANCED_FACE('',(#14350),#6433,.T.); #155518=ADVANCED_FACE('',(#14351),#6434,.F.); #155519=ADVANCED_FACE('',(#14352),#183,.T.); #155520=ADVANCED_FACE('',(#14353),#147681,.T.); #155521=ADVANCED_FACE('',(#14354),#184,.T.); #155522=ADVANCED_FACE('',(#14355),#185,.T.); #155523=ADVANCED_FACE('',(#14356),#147682,.T.); #155524=ADVANCED_FACE('',(#14357),#186,.T.); #155525=ADVANCED_FACE('',(#14358),#187,.T.); #155526=ADVANCED_FACE('',(#14359),#147683,.T.); #155527=ADVANCED_FACE('',(#14360),#188,.T.); #155528=ADVANCED_FACE('',(#14361),#189,.T.); #155529=ADVANCED_FACE('',(#14362),#147684,.T.); #155530=ADVANCED_FACE('',(#14363),#190,.T.); #155531=ADVANCED_FACE('',(#14364),#377,.T.); #155532=ADVANCED_FACE('',(#14365),#147685,.F.); #155533=ADVANCED_FACE('',(#14366),#6435,.F.); #155534=ADVANCED_FACE('',(#14367),#6436,.F.); #155535=ADVANCED_FACE('',(#14368),#6437,.F.); #155536=ADVANCED_FACE('',(#14369),#6438,.F.); #155537=ADVANCED_FACE('',(#14370),#6439,.F.); #155538=ADVANCED_FACE('',(#14371),#6440,.F.); #155539=ADVANCED_FACE('',(#14372,#1178),#6441,.T.); #155540=ADVANCED_FACE('',(#14373,#1179),#6442,.F.); #155541=ADVANCED_FACE('',(#14374),#6443,.T.); #155542=ADVANCED_FACE('',(#14375),#6444,.T.); #155543=ADVANCED_FACE('',(#14376),#6445,.T.); #155544=ADVANCED_FACE('',(#14377),#6446,.F.); #155545=ADVANCED_FACE('',(#14378),#6447,.T.); #155546=ADVANCED_FACE('',(#14379),#6448,.T.); #155547=ADVANCED_FACE('',(#14380),#6449,.F.); #155548=ADVANCED_FACE('',(#14381),#6450,.F.); #155549=ADVANCED_FACE('',(#14382),#6451,.T.); #155550=ADVANCED_FACE('',(#14383),#6452,.T.); #155551=ADVANCED_FACE('',(#14384),#6453,.T.); #155552=ADVANCED_FACE('',(#14385),#6454,.T.); #155553=ADVANCED_FACE('',(#14386),#6455,.F.); #155554=ADVANCED_FACE('',(#14387),#6456,.F.); #155555=ADVANCED_FACE('',(#14388),#6457,.T.); #155556=ADVANCED_FACE('',(#14389),#6458,.T.); #155557=ADVANCED_FACE('',(#14390),#6459,.T.); #155558=ADVANCED_FACE('',(#14391),#6460,.F.); #155559=ADVANCED_FACE('',(#14392),#6461,.F.); #155560=ADVANCED_FACE('',(#14393),#6462,.T.); #155561=ADVANCED_FACE('',(#14394),#6463,.T.); #155562=ADVANCED_FACE('',(#14395),#6464,.T.); #155563=ADVANCED_FACE('',(#14396),#6465,.T.); #155564=ADVANCED_FACE('',(#14397),#6466,.F.); #155565=ADVANCED_FACE('',(#14398),#6467,.F.); #155566=ADVANCED_FACE('',(#14399),#6468,.F.); #155567=ADVANCED_FACE('',(#14400),#6469,.F.); #155568=ADVANCED_FACE('',(#14401),#6470,.T.); #155569=ADVANCED_FACE('',(#14402),#6471,.T.); #155570=ADVANCED_FACE('',(#14403),#6472,.F.); #155571=ADVANCED_FACE('',(#14404),#147686,.F.); #155572=ADVANCED_FACE('',(#14405,#1180,#1181,#1182),#6473,.T.); #155573=ADVANCED_FACE('',(#14406),#6474,.F.); #155574=ADVANCED_FACE('',(#14407),#147687,.T.); #155575=ADVANCED_FACE('',(#14408),#6475,.F.); #155576=ADVANCED_FACE('',(#14409),#6476,.F.); #155577=ADVANCED_FACE('',(#14410),#147688,.T.); #155578=ADVANCED_FACE('',(#14411),#147689,.T.); #155579=ADVANCED_FACE('',(#14412),#147690,.T.); #155580=ADVANCED_FACE('',(#14413),#147691,.T.); #155581=ADVANCED_FACE('',(#14414),#6477,.F.); #155582=ADVANCED_FACE('',(#14415),#6478,.F.); #155583=ADVANCED_FACE('',(#14416),#6479,.F.); #155584=ADVANCED_FACE('',(#14417),#6480,.F.); #155585=ADVANCED_FACE('',(#14418),#147692,.T.); #155586=ADVANCED_FACE('',(#14419),#6481,.F.); #155587=ADVANCED_FACE('',(#14420),#147693,.T.); #155588=ADVANCED_FACE('',(#14421),#6482,.F.); #155589=ADVANCED_FACE('',(#14422),#147694,.T.); #155590=ADVANCED_FACE('',(#14423),#6483,.F.); #155591=ADVANCED_FACE('',(#14424),#147695,.F.); #155592=ADVANCED_FACE('',(#14425),#6484,.F.); #155593=ADVANCED_FACE('',(#14426),#6485,.F.); #155594=ADVANCED_FACE('',(#14427),#6486,.F.); #155595=ADVANCED_FACE('',(#14428),#147696,.F.); #155596=ADVANCED_FACE('',(#14429),#6487,.F.); #155597=ADVANCED_FACE('',(#14430),#147697,.F.); #155598=ADVANCED_FACE('',(#14431),#6488,.F.); #155599=ADVANCED_FACE('',(#14432),#6489,.F.); #155600=ADVANCED_FACE('',(#14433),#6490,.F.); #155601=ADVANCED_FACE('',(#14434),#147698,.F.); #155602=ADVANCED_FACE('',(#14435),#147699,.F.); #155603=ADVANCED_FACE('',(#14436),#6491,.T.); #155604=ADVANCED_FACE('',(#14437),#147700,.T.); #155605=ADVANCED_FACE('',(#14438),#6492,.T.); #155606=ADVANCED_FACE('',(#14439),#6493,.T.); #155607=ADVANCED_FACE('',(#14440),#6494,.T.); #155608=ADVANCED_FACE('',(#14441),#6495,.T.); #155609=ADVANCED_FACE('',(#14442),#6496,.T.); #155610=ADVANCED_FACE('',(#14443),#6497,.T.); #155611=ADVANCED_FACE('',(#14444),#6498,.T.); #155612=ADVANCED_FACE('',(#14445),#6499,.T.); #155613=ADVANCED_FACE('',(#14446),#6500,.T.); #155614=ADVANCED_FACE('',(#14447),#6501,.T.); #155615=ADVANCED_FACE('',(#14448),#6502,.T.); #155616=ADVANCED_FACE('',(#14449,#1183),#6503,.T.); #155617=ADVANCED_FACE('',(#14450),#6504,.F.); #155618=ADVANCED_FACE('',(#14451),#6505,.F.); #155619=ADVANCED_FACE('',(#14452),#147701,.T.); #155620=ADVANCED_FACE('',(#14453),#6506,.T.); #155621=ADVANCED_FACE('',(#14454),#147702,.T.); #155622=ADVANCED_FACE('',(#14455),#6507,.T.); #155623=ADVANCED_FACE('',(#14456),#147703,.T.); #155624=ADVANCED_FACE('',(#14457),#6508,.T.); #155625=ADVANCED_FACE('',(#14458),#147704,.F.); #155626=ADVANCED_FACE('',(#14459),#147705,.F.); #155627=ADVANCED_FACE('',(#14460),#378,.F.); #155628=ADVANCED_FACE('',(#14461),#147706,.F.); #155629=ADVANCED_FACE('',(#14462),#379,.F.); #155630=ADVANCED_FACE('',(#14463),#147707,.F.); #155631=ADVANCED_FACE('',(#14464),#577,.F.); #155632=ADVANCED_FACE('',(#14465),#147708,.T.); #155633=ADVANCED_FACE('',(#14466,#1184),#6509,.T.); #155634=ADVANCED_FACE('',(#14467,#1185),#6510,.T.); #155635=ADVANCED_FACE('',(#14468),#6511,.T.); #155636=ADVANCED_FACE('',(#14469),#6512,.T.); #155637=ADVANCED_FACE('',(#14470),#6513,.T.); #155638=ADVANCED_FACE('',(#14471),#6514,.F.); #155639=ADVANCED_FACE('',(#14472),#6515,.T.); #155640=ADVANCED_FACE('',(#14473),#6516,.F.); #155641=ADVANCED_FACE('',(#14474),#6517,.F.); #155642=ADVANCED_FACE('',(#14475),#6518,.F.); #155643=ADVANCED_FACE('',(#14476),#6519,.T.); #155644=ADVANCED_FACE('',(#14477),#6520,.T.); #155645=ADVANCED_FACE('',(#14478),#6521,.F.); #155646=ADVANCED_FACE('',(#14479),#6522,.F.); #155647=ADVANCED_FACE('',(#14480),#6523,.F.); #155648=ADVANCED_FACE('',(#14481),#6524,.F.); #155649=ADVANCED_FACE('',(#14482),#6525,.T.); #155650=ADVANCED_FACE('',(#14483),#6526,.T.); #155651=ADVANCED_FACE('',(#14484),#6527,.T.); #155652=ADVANCED_FACE('',(#14485),#6528,.T.); #155653=ADVANCED_FACE('',(#14486),#6529,.F.); #155654=ADVANCED_FACE('',(#14487),#6530,.F.); #155655=ADVANCED_FACE('',(#14488,#1186),#6531,.F.); #155656=ADVANCED_FACE('',(#14489,#1187),#6532,.F.); #155657=ADVANCED_FACE('',(#14490,#1188),#6533,.F.); #155658=ADVANCED_FACE('',(#14491),#147709,.F.); #155659=ADVANCED_FACE('',(#14492),#147710,.T.); #155660=ADVANCED_FACE('',(#14493,#1189),#6534,.T.); #155661=ADVANCED_FACE('',(#14494,#1190),#6535,.T.); #155662=ADVANCED_FACE('',(#14495),#578,.T.); #155663=ADVANCED_FACE('',(#14496),#147711,.F.); #155664=ADVANCED_FACE('',(#14497),#147712,.T.); #155665=ADVANCED_FACE('',(#14498),#6536,.T.); #155666=ADVANCED_FACE('',(#14499),#6537,.T.); #155667=ADVANCED_FACE('',(#14500),#6538,.T.); #155668=ADVANCED_FACE('',(#14501),#6539,.T.); #155669=ADVANCED_FACE('',(#14502),#6540,.T.); #155670=ADVANCED_FACE('',(#14503),#6541,.T.); #155671=ADVANCED_FACE('',(#14504),#6542,.T.); #155672=ADVANCED_FACE('',(#14505),#6543,.F.); #155673=ADVANCED_FACE('',(#14506),#6544,.F.); #155674=ADVANCED_FACE('',(#14507),#6545,.F.); #155675=CLOSED_SHELL('',(#147713,#147714,#147715,#147716,#147717,#147718, #147719,#147720,#147721,#147722,#147723,#147724,#147725,#147726,#147727, #147728,#147729,#147730,#147731,#147732,#147733,#147734,#147735,#147736, #147737,#147738,#147739,#147740,#147741,#147742,#147743,#147744,#147745, #147746,#147747,#147748,#147749,#147750,#147751,#147752,#147753,#147754, #147755,#147756,#147757,#147758,#147759,#147760,#147761,#147762,#147763, #147764,#147765,#147766,#147767,#147768,#147769,#147770,#147771,#147772, #147773,#147774,#147775,#147776,#147777,#147778,#147779,#147780,#147781, #147782,#147783,#147784,#147785,#147786,#147787,#147788,#147789,#147790, #147791,#147792,#147793,#147794,#147795,#147796,#147797,#147798,#147799, #147800,#147801,#147802,#147803,#147804,#147805,#147806,#147807,#147808, #147809,#147810,#147811,#147812,#147813,#147814,#147815,#147816,#147817, #147818,#147819,#147820,#147821,#147822,#147823,#147824,#147825,#147826, #147827,#147828,#147829,#147830,#147831,#147832,#147833,#147834,#147835, #147836,#147837,#147838,#147839,#147840,#147841,#147842,#147843,#147844)); #155676=CLOSED_SHELL('',(#147845,#147846,#147847,#147848,#147849,#147850, #147851,#147852,#147853,#147854,#147855,#147856,#147857,#147858,#147859, #147860,#147861,#147862,#147863,#147864,#147865,#147866,#147867,#147868, #147869,#147870,#147871,#147872,#147873,#147874,#147875,#147876,#147877, #147878,#147879,#147880,#147881,#147882,#147883,#147884,#147885,#147886, #147887,#147888,#147889,#147890,#147891,#147892,#147893,#147894,#147895, #147896,#147897,#147898,#147899,#147900,#147901,#147902,#147903,#147904, #147905,#147906,#147907,#147908,#147909,#147910,#147911,#147912,#147913, #147914,#147915,#147916,#147917,#147918,#147919,#147920,#147921,#147922, #147923,#147924,#147925,#147926,#147927,#147928,#147929,#147930,#147931, #147932,#147933,#147934,#147935,#147936,#147937,#147938,#147939,#147940, #147941,#147942,#147943,#147944,#147945,#147946,#147947,#147948,#147949, #147950,#147951,#147952,#147953,#147954,#147955,#147956,#147957,#147958, #147959,#147960,#147961,#147962,#147963,#147964,#147965,#147966,#147967, #147968,#147969,#147970,#147971,#147972,#147973,#147974,#147975,#147976, #147977,#147978,#147979,#147980,#147981,#147982,#147983,#147984,#147985, #147986,#147987,#147988,#147989,#147990,#147991,#147992,#147993,#147994, #147995,#147996,#147997,#147998,#147999,#148000,#148001,#148002,#148003, #148004,#148005,#148006,#148007,#148008,#148009,#148010,#148011,#148012, #148013,#148014,#148015,#148016,#148017,#148018,#148019,#148020,#148021, #148022,#148023,#148024,#148025,#148026,#148027,#148028,#148029,#148030, #148031,#148032,#148033,#148034,#148035,#148036,#148037,#148038,#148039, #148040,#148041,#148042,#148043,#148044,#148045,#148046,#148047,#148048, #148049,#148050,#148051,#148052,#148053,#148054,#148055,#148056,#148057, #148058,#148059,#148060,#148061,#148062,#148063,#148064,#148065,#148066, #148067,#148068,#148069,#148070,#148071,#148072,#148073,#148074,#148075, #148076,#148077,#148078,#148079,#148080,#148081,#148082,#148083,#148084, #148085,#148086,#148087,#148088,#148089,#148090,#148091,#148092,#148093, #148094,#148095,#148096,#148097,#148098,#148099,#148100,#148101,#148102, #148103,#148104,#148105,#148106,#148107,#148108,#148109,#148110,#148111, #148112,#148113,#148114,#148115,#148116,#148117,#148118,#148119,#148120, #148121,#148122,#148123,#148124,#148125,#148126,#148127,#148128,#148129, #148130,#148131,#148132,#148133,#148134,#148135,#148136,#148137,#148138, #148139,#148140,#148141,#148142,#148143,#148144,#148145,#148146,#148147, #148148,#148149,#148150,#148151,#148152,#148153,#148154,#148155,#148156, #148157,#148158,#148159,#148160,#148161,#148162,#148163,#148164,#148165, #148166,#148167,#148168,#148169,#148170,#148171,#148172,#148173,#148174, #148175,#148176,#148177,#148178,#148179,#148180,#148181,#148182,#148183, #148184,#148185,#148186,#148187,#148188,#148189,#148190,#148191,#148192, #148193,#148194,#148195,#148196,#148197,#148198,#148199,#148200,#148201, #148202,#148203,#148204,#148205,#148206,#148207,#148208,#148209,#148210, #148211,#148212,#148213,#148214,#148215,#148216,#148217,#148218,#148219, #148220,#148221,#148222,#148223,#148224,#148225,#148226,#148227,#148228, #148229,#148230,#148231,#148232,#148233,#148234,#148235,#148236,#148237, #148238,#148239,#148240,#148241,#148242,#148243,#148244,#148245,#148246, #148247,#148248,#148249,#148250,#148251,#148252,#148253,#148254,#148255, #148256,#148257,#148258,#148259,#148260,#148261,#148262,#148263,#148264, #148265,#148266,#148267,#148268,#148269,#148270,#148271,#148272,#148273, #148274,#148275,#148276,#148277,#148278,#148279,#148280,#148281,#148282, #148283,#148284,#148285,#148286,#148287,#148288,#148289,#148290,#148291, #148292,#148293,#148294,#148295,#148296,#148297,#148298,#148299,#148300, #148301,#148302,#148303,#148304,#148305,#148306,#148307,#148308,#148309, #148310,#148311,#148312,#148313,#148314,#148315,#148316,#148317,#148318, #148319,#148320,#148321,#148322,#148323,#148324,#148325,#148326,#148327, #148328,#148329,#148330,#148331,#148332,#148333,#148334,#148335,#148336, #148337,#148338,#148339,#148340,#148341,#148342,#148343,#148344,#148345, #148346,#148347,#148348,#148349,#148350,#148351,#148352,#148353,#148354, #148355,#148356,#148357,#148358,#148359,#148360,#148361,#148362,#148363, #148364,#148365,#148366,#148367,#148368,#148369,#148370,#148371,#148372, #148373,#148374,#148375,#148376,#148377,#148378,#148379,#148380,#148381, #148382,#148383,#148384,#148385,#148386,#148387,#148388,#148389,#148390, #148391,#148392,#148393,#148394,#148395,#148396,#148397,#148398,#148399, #148400,#148401,#148402,#148403,#148404,#148405,#148406,#148407,#148408, #148409,#148410,#148411,#148412,#148413,#148414,#148415,#148416,#148417, #148418,#148419,#148420,#148421,#148422,#148423,#148424,#148425,#148426, #148427,#148428,#148429,#148430,#148431,#148432,#148433,#148434,#148435, #148436,#148437,#148438,#148439,#148440,#148441,#148442,#148443,#148444, #148445,#148446,#148447,#148448,#148449,#148450,#148451,#148452,#148453, #148454,#148455,#148456,#148457,#148458,#148459,#148460,#148461,#148462, #148463,#148464,#148465,#148466,#148467,#148468,#148469,#148470,#148471, #148472,#148473,#148474,#148475,#148476,#148477,#148478,#148479,#148480, #148481,#148482,#148483,#148484,#148485,#148486,#148487,#148488,#148489, #148490,#148491,#148492,#148493,#148494,#148495,#148496,#148497,#148498, #148499,#148500,#148501,#148502,#148503,#148504,#148505,#148506,#148507, #148508,#148509,#148510,#148511,#148512,#148513,#148514,#148515,#148516, #148517,#148518,#148519,#148520,#148521,#148522,#148523,#148524,#148525, #148526,#148527,#148528,#148529,#148530,#148531,#148532,#148533,#148534, #148535,#148536,#148537,#148538,#148539,#148540,#148541,#148542,#148543, #148544,#148545,#148546,#148547,#148548,#148549,#148550,#148551,#148552, #148553,#148554,#148555,#148556,#148557,#148558,#148559,#148560,#148561, #148562,#148563,#148564,#148565,#148566,#148567,#148568,#148569,#148570, #148571,#148572,#148573,#148574,#148575,#148576,#148577,#148578,#148579, #148580,#148581,#148582,#148583,#148584,#148585,#148586,#148587,#148588, #148589,#148590,#148591,#148592,#148593,#148594,#148595,#148596,#148597, #148598,#148599,#148600,#148601,#148602,#148603,#148604,#148605,#148606, #148607,#148608,#148609,#148610,#148611,#148612,#148613,#148614,#148615, #148616,#148617,#148618,#148619,#148620,#148621,#148622,#148623,#148624, #148625,#148626,#148627,#148628,#148629,#148630,#148631,#148632,#148633, #148634,#148635,#148636,#148637,#148638,#148639,#148640,#148641,#148642, #148643,#148644,#148645,#148646,#148647,#148648,#148649,#148650,#148651, #148652,#148653,#148654,#148655,#148656,#148657,#148658,#148659,#148660, #148661,#148662,#148663,#148664,#148665,#148666,#148667,#148668,#148669, #148670,#148671,#148672,#148673,#148674,#148675,#148676,#148677,#148678, #148679,#148680,#148681,#148682,#148683,#148684,#148685,#148686,#148687, #148688,#148689,#148690,#148691,#148692,#148693,#148694,#148695,#148696, #148697,#148698,#148699,#148700,#148701,#148702,#148703,#148704,#148705, #148706,#148707,#148708,#148709,#148710,#148711,#148712,#148713,#148714, #148715,#148716,#148717,#148718,#148719,#148720,#148721,#148722,#148723, #148724,#148725,#148726,#148727,#148728,#148729,#148730,#148731,#148732, #148733,#148734,#148735,#148736,#148737,#148738,#148739,#148740,#148741, #148742,#148743,#148744,#148745,#148746,#148747,#148748,#148749,#148750, #148751,#148752,#148753,#148754,#148755,#148756,#148757,#148758,#148759, #148760,#148761,#148762,#148763,#148764,#148765,#148766,#148767,#148768, #148769,#148770,#148771,#148772,#148773,#148774,#148775,#148776,#148777, #148778,#148779,#148780,#148781,#148782,#148783,#148784,#148785,#148786, #148787,#148788,#148789,#148790,#148791,#148792,#148793,#148794,#148795, #148796,#148797,#148798,#148799,#148800,#148801,#148802,#148803,#148804, #148805,#148806,#148807,#148808,#148809,#148810,#148811,#148812,#148813, #148814,#148815,#148816,#148817,#148818,#148819,#148820,#148821,#148822, #148823,#148824,#148825,#148826,#148827,#148828,#148829,#148830,#148831, #148832,#148833,#148834,#148835,#148836,#148837,#148838,#148839,#148840, #148841,#148842,#148843,#148844,#148845,#148846,#148847,#148848,#148849, #148850,#148851,#148852,#148853,#148854,#148855,#148856,#148857,#148858, #148859,#148860,#148861,#148862,#148863,#148864,#148865,#148866,#148867, #148868,#148869,#148870,#148871,#148872,#148873,#148874,#148875,#148876, #148877,#148878,#148879,#148880,#148881,#148882,#148883,#148884,#148885, #148886,#148887,#148888,#148889,#148890,#148891,#148892,#148893,#148894, #148895,#148896,#148897,#148898,#148899,#148900,#148901,#148902,#148903, #148904,#148905,#148906,#148907,#148908,#148909,#148910,#148911,#148912, #148913,#148914,#148915,#148916,#148917,#148918,#148919,#148920,#148921, #148922,#148923,#148924,#148925,#148926,#148927,#148928,#148929,#148930, #148931,#148932,#148933,#148934,#148935,#148936,#148937,#148938,#148939, #148940,#148941,#148942,#148943,#148944,#148945,#148946,#148947,#148948, #148949,#148950,#148951,#148952,#148953,#148954,#148955,#148956,#148957, #148958,#148959,#148960,#148961,#148962,#148963,#148964,#148965,#148966, #148967,#148968,#148969,#148970,#148971,#148972,#148973,#148974,#148975, #148976,#148977,#148978,#148979,#148980,#148981,#148982,#148983,#148984, #148985,#148986,#148987,#148988,#148989,#148990,#148991,#148992,#148993, #148994,#148995,#148996,#148997,#148998,#148999,#149000,#149001,#149002, #149003,#149004,#149005,#149006,#149007,#149008,#149009,#149010,#149011, #149012,#149013,#149014,#149015,#149016,#149017,#149018,#149019,#149020, #149021,#149022,#149023,#149024,#149025,#149026,#149027,#149028,#149029, #149030,#149031,#149032,#149033,#149034,#149035,#149036,#149037,#149038, #149039,#149040,#149041,#149042,#149043,#149044,#149045,#149046,#149047, #149048,#149049,#149050,#149051,#149052,#149053,#149054,#149055,#149056, #149057,#149058,#149059,#149060,#149061,#149062,#149063,#149064,#149065, #149066,#149067,#149068,#149069,#149070,#149071,#149072,#149073,#149074, #149075,#149076,#149077,#149078,#149079,#149080,#149081,#149082,#149083, #149084,#149085,#149086,#149087,#149088,#149089,#149090,#149091,#149092, #149093,#149094,#149095,#149096,#149097,#149098,#149099,#149100,#149101, #149102,#149103,#149104,#149105,#149106,#149107,#149108,#149109,#149110, #149111,#149112,#149113,#149114,#149115,#149116,#149117,#149118,#149119, #149120,#149121,#149122,#149123,#149124,#149125,#149126,#149127,#149128, #149129,#149130,#149131,#149132,#149133,#149134,#149135,#149136,#149137, #149138,#149139,#149140,#149141,#149142,#149143,#149144,#149145,#149146, #149147,#149148,#149149,#149150,#149151,#149152,#149153,#149154,#149155, #149156,#149157,#149158,#149159,#149160,#149161,#149162,#149163,#149164, #149165,#149166,#149167,#149168,#149169,#149170,#149171,#149172,#149173, #149174,#149175,#149176,#149177,#149178,#149179,#149180,#149181,#149182, #149183,#149184,#149185,#149186,#149187,#149188,#149189,#149190,#149191, #149192,#149193,#149194,#149195,#149196,#149197,#149198,#149199,#149200, #149201,#149202,#149203,#149204,#149205,#149206,#149207,#149208,#149209, #149210,#149211,#149212,#149213,#149214,#149215,#149216,#149217,#149218, #149219,#149220,#149221,#149222,#149223,#149224,#149225,#149226,#149227, #149228,#149229,#149230,#149231,#149232,#149233,#149234,#149235,#149236, #149237,#149238,#149239,#149240,#149241,#149242,#149243,#149244,#149245, #149246,#149247,#149248,#149249,#149250,#149251,#149252,#149253,#149254, #149255,#149256,#149257,#149258,#149259,#149260,#149261,#149262,#149263, #149264,#149265,#149266,#149267,#149268,#149269,#149270,#149271,#149272, #149273,#149274,#149275,#149276,#149277,#149278,#149279,#149280,#149281, #149282,#149283,#149284,#149285,#149286,#149287,#149288,#149289,#149290, #149291,#149292,#149293,#149294,#149295,#149296,#149297,#149298,#149299, #149300,#149301,#149302,#149303,#149304,#149305,#149306,#149307,#149308, #149309,#149310,#149311,#149312,#149313,#149314,#149315,#149316,#149317, #149318,#149319,#149320,#149321,#149322,#149323,#149324,#149325,#149326, #149327,#149328,#149329,#149330,#149331,#149332,#149333,#149334,#149335, #149336,#149337,#149338,#149339,#149340,#149341,#149342,#149343,#149344, #149345,#149346,#149347,#149348,#149349,#149350,#149351,#149352,#149353, #149354,#149355,#149356,#149357,#149358,#149359,#149360,#149361,#149362, #149363,#149364,#149365,#149366,#149367,#149368,#149369,#149370,#149371, #149372,#149373,#149374,#149375,#149376,#149377,#149378,#149379,#149380, #149381,#149382,#149383,#149384,#149385,#149386,#149387,#149388,#149389, #149390,#149391,#149392,#149393,#149394,#149395,#149396,#149397,#149398, #149399,#149400,#149401,#149402,#149403,#149404,#149405,#149406,#149407, #149408,#149409,#149410,#149411,#149412,#149413,#149414,#149415,#149416, #149417,#149418,#149419,#149420,#149421,#149422,#149423,#149424,#149425, #149426,#149427,#149428,#149429,#149430,#149431,#149432,#149433,#149434, #149435,#149436,#149437,#149438,#149439,#149440,#149441,#149442,#149443, #149444,#149445,#149446,#149447,#149448,#149449,#149450,#149451,#149452, #149453,#149454,#149455,#149456,#149457,#149458,#149459,#149460,#149461, #149462,#149463,#149464,#149465,#149466,#149467,#149468,#149469,#149470, #149471,#149472,#149473,#149474,#149475,#149476,#149477,#149478,#149479, #149480,#149481,#149482,#149483,#149484,#149485,#149486,#149487,#149488, #149489,#149490,#149491,#149492,#149493,#149494,#149495,#149496,#149497, #149498,#149499,#149500,#149501,#149502,#149503,#149504,#149505,#149506, #149507,#149508,#149509,#149510,#149511,#149512,#149513,#149514,#149515, #149516,#149517,#149518,#149519,#149520,#149521,#149522,#149523,#149524, #149525,#149526,#149527,#149528,#149529,#149530,#149531,#149532,#149533, #149534,#149535,#149536,#149537,#149538,#149539,#149540,#149541,#149542, #149543,#149544,#149545,#149546,#149547,#149548,#149549,#149550,#149551, #149552,#149553,#149554,#149555,#149556,#149557,#149558,#149559,#149560, #149561,#149562,#149563,#149564,#149565,#149566,#149567,#149568,#149569, #149570,#149571,#149572,#149573,#149574,#149575,#149576,#149577,#149578, #149579,#149580,#149581,#149582,#149583,#149584,#149585,#149586,#149587, #149588,#149589,#149590,#149591,#149592,#149593,#149594,#149595,#149596, #149597,#149598,#149599,#149600,#149601,#149602,#149603,#149604,#149605, #149606,#149607,#149608,#149609,#149610,#149611,#149612,#149613,#149614, #149615,#149616,#149617,#149618,#149619,#149620,#149621,#149622,#149623, #149624,#149625,#149626,#149627,#149628,#149629,#149630,#149631,#149632, #149633,#149634,#149635,#149636,#149637,#149638,#149639,#149640,#149641, #149642,#149643,#149644,#149645,#149646,#149647,#149648,#149649,#149650, #149651,#149652,#149653,#149654,#149655,#149656,#149657,#149658,#149659, #149660,#149661,#149662,#149663,#149664,#149665,#149666,#149667,#149668, #149669,#149670,#149671,#149672,#149673,#149674,#149675,#149676,#149677, #149678,#149679,#149680,#149681,#149682,#149683,#149684,#149685,#149686, #149687,#149688,#149689,#149690,#149691,#149692,#149693,#149694,#149695, #149696,#149697,#149698,#149699,#149700,#149701,#149702,#149703,#149704, #149705,#149706,#149707,#149708,#149709,#149710,#149711,#149712,#149713, #149714,#149715,#149716,#149717,#149718,#149719,#149720,#149721,#149722, #149723,#149724,#149725,#149726,#149727,#149728,#149729,#149730,#149731, #149732,#149733,#149734,#149735,#149736,#149737,#149738,#149739,#149740, #149741,#149742,#149743,#149744,#149745,#149746,#149747,#149748,#149749, #149750,#149751,#149752,#149753,#149754,#149755,#149756,#149757,#149758, #149759,#149760,#149761,#149762,#149763,#149764,#149765,#149766,#149767, #149768,#149769,#149770,#149771,#149772,#149773,#149774,#149775,#149776, #149777,#149778,#149779,#149780,#149781,#149782,#149783,#149784,#149785, #149786,#149787,#149788,#149789,#149790,#149791,#149792,#149793,#149794, #149795,#149796,#149797,#149798,#149799,#149800,#149801,#149802,#149803, #149804,#149805,#149806,#149807,#149808,#149809,#149810,#149811,#149812, #149813,#149814,#149815,#149816,#149817,#149818,#149819,#149820,#149821, #149822,#149823,#149824,#149825,#149826,#149827,#149828,#149829,#149830, #149831,#149832,#149833,#149834,#149835,#149836,#149837,#149838,#149839, #149840,#149841,#149842,#149843,#149844,#149845,#149846,#149847,#149848, #149849,#149850,#149851,#149852,#149853,#149854,#149855,#149856,#149857, #149858,#149859,#149860,#149861,#149862,#149863,#149864,#149865,#149866, #149867,#149868,#149869,#149870,#149871,#149872,#149873,#149874,#149875, #149876,#149877,#149878,#149879,#149880,#149881,#149882,#149883,#149884, #149885,#149886,#149887,#149888,#149889,#149890,#149891,#149892,#149893, #149894,#149895,#149896,#149897,#149898,#149899,#149900,#149901,#149902, #149903,#149904,#149905,#149906,#149907,#149908,#149909,#149910,#149911, #149912,#149913,#149914,#149915,#149916,#149917,#149918,#149919,#149920, #149921,#149922,#149923,#149924,#149925,#149926,#149927,#149928,#149929, #149930,#149931,#149932,#149933,#149934,#149935,#149936,#149937,#149938, #149939,#149940,#149941,#149942,#149943,#149944,#149945,#149946,#149947, #149948,#149949,#149950,#149951,#149952,#149953,#149954,#149955,#149956, #149957,#149958,#149959,#149960,#149961,#149962,#149963,#149964,#149965, #149966,#149967,#149968,#149969,#149970,#149971,#149972,#149973,#149974, #149975,#149976,#149977,#149978,#149979,#149980,#149981,#149982,#149983, #149984,#149985,#149986,#149987,#149988,#149989,#149990,#149991,#149992, #149993,#149994,#149995,#149996,#149997,#149998,#149999,#150000,#150001, #150002,#150003,#150004,#150005,#150006,#150007,#150008,#150009,#150010, #150011,#150012,#150013,#150014,#150015,#150016,#150017,#150018,#150019, #150020,#150021,#150022,#150023,#150024,#150025,#150026,#150027,#150028, #150029,#150030,#150031,#150032,#150033,#150034,#150035,#150036,#150037, #150038,#150039,#150040,#150041,#150042,#150043,#150044,#150045,#150046, #150047,#150048,#150049,#150050,#150051,#150052,#150053,#150054,#150055, #150056,#150057,#150058,#150059,#150060,#150061,#150062,#150063,#150064, #150065,#150066,#150067,#150068,#150069,#150070,#150071,#150072,#150073, #150074,#150075,#150076,#150077,#150078,#150079,#150080,#150081,#150082, #150083,#150084,#150085,#150086,#150087,#150088,#150089,#150090,#150091, #150092,#150093,#150094,#150095,#150096,#150097,#150098,#150099,#150100, #150101,#150102,#150103,#150104,#150105,#150106,#150107,#150108,#150109, #150110,#150111,#150112,#150113,#150114,#150115,#150116,#150117,#150118, #150119,#150120,#150121,#150122,#150123,#150124,#150125,#150126,#150127, #150128,#150129,#150130,#150131,#150132,#150133,#150134,#150135,#150136, #150137,#150138,#150139,#150140,#150141,#150142,#150143,#150144,#150145, #150146,#150147,#150148,#150149,#150150,#150151,#150152,#150153,#150154, #150155,#150156,#150157,#150158,#150159,#150160,#150161,#150162,#150163, #150164,#150165,#150166,#150167,#150168,#150169,#150170,#150171,#150172, #150173,#150174,#150175,#150176,#150177,#150178,#150179,#150180,#150181, #150182,#150183,#150184,#150185,#150186,#150187,#150188,#150189,#150190, #150191,#150192,#150193,#150194,#150195,#150196,#150197,#150198,#150199, #150200,#150201,#150202,#150203,#150204,#150205,#150206,#150207,#150208, #150209,#150210,#150211,#150212,#150213,#150214,#150215,#150216,#150217, #150218,#150219,#150220,#150221,#150222,#150223,#150224,#150225,#150226, #150227,#150228,#150229,#150230,#150231,#150232,#150233,#150234,#150235, #150236,#150237,#150238,#150239,#150240,#150241,#150242,#150243,#150244, #150245,#150246,#150247,#150248,#150249,#150250,#150251,#150252,#150253, #150254,#150255,#150256,#150257,#150258,#150259,#150260,#150261,#150262, #150263,#150264,#150265,#150266,#150267,#150268,#150269,#150270,#150271, #150272,#150273,#150274,#150275,#150276,#150277,#150278,#150279,#150280, #150281,#150282,#150283,#150284,#150285,#150286,#150287,#150288,#150289, #150290,#150291,#150292,#150293,#150294,#150295,#150296,#150297,#150298, #150299,#150300,#150301,#150302,#150303,#150304,#150305,#150306,#150307, #150308,#150309,#150310,#150311,#150312,#150313,#150314,#150315,#150316, #150317,#150318,#150319,#150320,#150321,#150322,#150323,#150324,#150325, #150326,#150327,#150328,#150329,#150330,#150331,#150332,#150333,#150334, #150335,#150336,#150337,#150338,#150339,#150340,#150341,#150342,#150343, #150344,#150345,#150346,#150347,#150348,#150349,#150350,#150351,#150352, #150353,#150354,#150355,#150356,#150357,#150358,#150359,#150360,#150361, #150362,#150363,#150364,#150365,#150366,#150367,#150368,#150369,#150370, #150371,#150372,#150373,#150374,#150375,#150376,#150377,#150378,#150379, #150380,#150381,#150382,#150383,#150384,#150385,#150386,#150387,#150388, #150389,#150390,#150391,#150392,#150393,#150394,#150395,#150396,#150397, #150398,#150399,#150400,#150401,#150402,#150403,#150404,#150405,#150406, #150407,#150408,#150409,#150410,#150411,#150412,#150413,#150414,#150415, #150416,#150417,#150418,#150419,#150420,#150421,#150422,#150423,#150424, #150425,#150426,#150427,#150428,#150429,#150430,#150431,#150432,#150433, #150434,#150435,#150436,#150437,#150438,#150439,#150440,#150441,#150442, #150443,#150444,#150445,#150446,#150447,#150448,#150449,#150450,#150451, #150452,#150453,#150454,#150455,#150456,#150457,#150458,#150459,#150460, #150461,#150462,#150463,#150464,#150465,#150466,#150467,#150468,#150469, #150470,#150471,#150472,#150473,#150474,#150475,#150476,#150477,#150478, #150479,#150480,#150481,#150482,#150483,#150484,#150485,#150486,#150487, #150488,#150489,#150490,#150491,#150492,#150493,#150494,#150495,#150496, #150497,#150498,#150499,#150500,#150501,#150502,#150503,#150504,#150505, #150506,#150507,#150508,#150509,#150510,#150511,#150512,#150513,#150514, #150515,#150516,#150517,#150518,#150519,#150520,#150521,#150522,#150523, #150524,#150525,#150526,#150527,#150528,#150529,#150530,#150531,#150532, #150533,#150534,#150535,#150536,#150537,#150538,#150539,#150540,#150541, #150542,#150543,#150544,#150545,#150546,#150547,#150548,#150549,#150550, #150551,#150552,#150553,#150554,#150555,#150556,#150557,#150558,#150559, #150560,#150561,#150562,#150563,#150564,#150565,#150566,#150567,#150568, #150569,#150570,#150571,#150572,#150573,#150574,#150575,#150576,#150577, #150578,#150579,#150580,#150581,#150582,#150583,#150584,#150585,#150586, #150587,#150588,#150589,#150590,#150591,#150592,#150593,#150594,#150595, #150596,#150597,#150598,#150599,#150600,#150601,#150602,#150603,#150604, #150605,#150606,#150607,#150608,#150609,#150610,#150611,#150612,#150613, #150614,#150615,#150616,#150617,#150618,#150619,#150620,#150621,#150622, #150623,#150624,#150625,#150626,#150627,#150628,#150629,#150630,#150631, #150632,#150633,#150634,#150635,#150636,#150637,#150638,#150639,#150640, #150641,#150642,#150643,#150644,#150645,#150646,#150647,#150648,#150649, #150650,#150651,#150652,#150653,#150654,#150655,#150656,#150657,#150658, #150659,#150660,#150661,#150662,#150663,#150664,#150665,#150666,#150667, #150668,#150669,#150670,#150671,#150672,#150673,#150674,#150675,#150676, #150677,#150678,#150679,#150680,#150681,#150682,#150683,#150684,#150685, #150686,#150687,#150688,#150689,#150690,#150691,#150692,#150693,#150694, #150695,#150696,#150697,#150698,#150699,#150700,#150701,#150702,#150703, #150704,#150705,#150706,#150707,#150708,#150709,#150710,#150711,#150712, #150713,#150714,#150715,#150716,#150717,#150718,#150719,#150720,#150721, #150722,#150723,#150724,#150725,#150726,#150727,#150728,#150729,#150730, #150731,#150732,#150733,#150734,#150735,#150736,#150737,#150738,#150739, #150740,#150741,#150742,#150743,#150744,#150745,#150746,#150747,#150748, #150749,#150750,#150751,#150752,#150753,#150754,#150755,#150756,#150757, #150758,#150759,#150760,#150761,#150762,#150763,#150764,#150765,#150766, #150767,#150768,#150769,#150770,#150771,#150772,#150773,#150774,#150775, #150776,#150777,#150778,#150779,#150780,#150781,#150782,#150783,#150784, #150785,#150786,#150787,#150788,#150789,#150790,#150791,#150792,#150793, #150794,#150795,#150796,#150797,#150798,#150799,#150800,#150801,#150802, #150803,#150804,#150805,#150806,#150807,#150808,#150809,#150810,#150811, #150812,#150813,#150814,#150815,#150816,#150817,#150818,#150819,#150820, #150821,#150822,#150823,#150824,#150825,#150826,#150827,#150828,#150829, #150830,#150831,#150832,#150833,#150834,#150835,#150836,#150837,#150838, #150839,#150840,#150841,#150842,#150843,#150844,#150845,#150846,#150847, #150848,#150849,#150850,#150851,#150852,#150853,#150854,#150855,#150856, #150857,#150858,#150859,#150860,#150861,#150862,#150863,#150864,#150865, #150866,#150867,#150868,#150869,#150870,#150871,#150872,#150873,#150874, #150875,#150876,#150877,#150878,#150879,#150880,#150881,#150882,#150883, #150884,#150885,#150886,#150887,#150888,#150889,#150890,#150891,#150892, #150893,#150894,#150895,#150896,#150897,#150898,#150899,#150900,#150901, #150902,#150903,#150904,#150905,#150906,#150907,#150908,#150909,#150910, #150911,#150912,#150913,#150914,#150915,#150916,#150917,#150918,#150919, #150920,#150921,#150922,#150923,#150924,#150925,#150926,#150927,#150928, #150929,#150930,#150931,#150932,#150933,#150934,#150935,#150936,#150937, #150938,#150939,#150940,#150941,#150942,#150943,#150944,#150945,#150946, #150947,#150948,#150949,#150950,#150951,#150952,#150953,#150954,#150955, #150956,#150957,#150958,#150959,#150960,#150961,#150962,#150963,#150964, #150965,#150966,#150967,#150968,#150969,#150970,#150971,#150972,#150973, #150974,#150975,#150976,#150977,#150978,#150979,#150980,#150981,#150982, #150983,#150984,#150985,#150986,#150987,#150988,#150989,#150990,#150991, #150992,#150993,#150994,#150995,#150996,#150997,#150998,#150999,#151000, #151001,#151002,#151003,#151004,#151005,#151006,#151007,#151008,#151009, #151010,#151011,#151012,#151013,#151014,#151015,#151016,#151017,#151018, #151019,#151020,#151021,#151022,#151023,#151024,#151025,#151026,#151027, #151028,#151029,#151030,#151031,#151032,#151033,#151034,#151035,#151036, #151037,#151038,#151039,#151040,#151041,#151042,#151043,#151044,#151045, #151046,#151047,#151048,#151049,#151050,#151051,#151052,#151053,#151054, #151055,#151056,#151057,#151058,#151059,#151060,#151061,#151062,#151063, #151064,#151065,#151066,#151067,#151068,#151069,#151070,#151071,#151072, #151073,#151074,#151075,#151076,#151077,#151078,#151079,#151080,#151081, #151082,#151083,#151084,#151085,#151086,#151087,#151088,#151089,#151090, #151091,#151092,#151093,#151094,#151095,#151096,#151097,#151098,#151099, #151100,#151101,#151102,#151103,#151104,#151105,#151106,#151107,#151108, #151109,#151110,#151111,#151112,#151113,#151114,#151115,#151116,#151117, #151118,#151119,#151120,#151121,#151122,#151123,#151124,#151125,#151126, #151127,#151128,#151129,#151130,#151131,#151132,#151133,#151134,#151135, #151136,#151137,#151138,#151139,#151140,#151141,#151142,#151143,#151144, #151145,#151146,#151147,#151148,#151149,#151150,#151151,#151152,#151153, #151154,#151155,#151156,#151157,#151158,#151159,#151160,#151161,#151162, #151163,#151164,#151165,#151166,#151167,#151168,#151169,#151170,#151171, #151172,#151173,#151174,#151175,#151176,#151177,#151178,#151179,#151180, #151181,#151182,#151183,#151184,#151185,#151186,#151187,#151188,#151189, #151190,#151191,#151192,#151193,#151194,#151195,#151196,#151197,#151198, #151199,#151200,#151201,#151202,#151203,#151204,#151205,#151206,#151207, #151208,#151209,#151210,#151211,#151212,#151213,#151214,#151215,#151216, #151217,#151218,#151219,#151220,#151221,#151222,#151223,#151224,#151225, #151226,#151227,#151228,#151229,#151230,#151231,#151232,#151233,#151234, #151235,#151236,#151237,#151238,#151239,#151240,#151241,#151242,#151243, #151244,#151245,#151246,#151247,#151248,#151249,#151250,#151251,#151252, #151253,#151254,#151255,#151256,#151257,#151258,#151259,#151260,#151261, #151262,#151263,#151264,#151265,#151266,#151267,#151268,#151269,#151270, #151271,#151272,#151273,#151274,#151275,#151276,#151277,#151278,#151279, #151280,#151281,#151282,#151283,#151284,#151285,#151286,#151287,#151288, #151289,#151290,#151291,#151292,#151293,#151294,#151295,#151296,#151297, #151298,#151299,#151300,#151301,#151302,#151303,#151304,#151305,#151306, #151307,#151308,#151309,#151310,#151311,#151312,#151313,#151314,#151315, #151316,#151317,#151318,#151319,#151320,#151321,#151322,#151323,#151324, #151325,#151326,#151327,#151328,#151329,#151330,#151331,#151332,#151333, #151334,#151335,#151336,#151337,#151338,#151339,#151340,#151341,#151342, #151343,#151344,#151345,#151346,#151347,#151348,#151349,#151350,#151351, #151352,#151353,#151354,#151355,#151356,#151357,#151358,#151359,#151360, #151361,#151362,#151363,#151364,#151365,#151366,#151367,#151368,#151369, #151370,#151371,#151372,#151373,#151374,#151375,#151376,#151377,#151378, #151379,#151380,#151381,#151382,#151383,#151384,#151385,#151386,#151387, #151388,#151389,#151390,#151391,#151392,#151393,#151394,#151395,#151396, #151397,#151398,#151399,#151400,#151401,#151402,#151403,#151404,#151405, #151406,#151407,#151408,#151409,#151410,#151411,#151412,#151413,#151414, #151415,#151416,#151417,#151418,#151419,#151420,#151421,#151422,#151423, #151424,#151425,#151426,#151427,#151428,#151429,#151430,#151431,#151432, #151433,#151434,#151435,#151436,#151437,#151438,#151439,#151440,#151441, #151442,#151443,#151444,#151445,#151446,#151447,#151448,#151449,#151450, #151451,#151452,#151453,#151454,#151455,#151456,#151457,#151458,#151459, #151460,#151461,#151462,#151463,#151464,#151465,#151466,#151467,#151468, #151469,#151470,#151471,#151472,#151473,#151474,#151475,#151476,#151477, #151478,#151479,#151480,#151481,#151482,#151483,#151484,#151485,#151486, #151487,#151488,#151489,#151490,#151491,#151492,#151493,#151494,#151495, #151496,#151497,#151498,#151499,#151500,#151501,#151502,#151503,#151504, #151505,#151506,#151507,#151508,#151509,#151510,#151511,#151512,#151513, #151514,#151515,#151516,#151517,#151518,#151519,#151520,#151521,#151522, #151523,#151524,#151525,#151526,#151527,#151528,#151529,#151530,#151531, #151532,#151533,#151534,#151535,#151536,#151537,#151538,#151539,#151540, #151541,#151542,#151543,#151544,#151545,#151546,#151547,#151548,#151549, #151550,#151551,#151552,#151553,#151554,#151555,#151556,#151557,#151558, #151559,#151560,#151561,#151562,#151563,#151564,#151565,#151566,#151567, #151568,#151569,#151570,#151571,#151572,#151573,#151574,#151575,#151576, #151577,#151578,#151579,#151580,#151581,#151582,#151583,#151584,#151585, #151586,#151587,#151588,#151589,#151590,#151591,#151592,#151593,#151594, #151595,#151596,#151597,#151598,#151599,#151600,#151601,#151602,#151603, #151604,#151605,#151606,#151607,#151608,#151609,#151610,#151611,#151612, #151613,#151614,#151615,#151616,#151617,#151618,#151619,#151620,#151621, #151622,#151623,#151624,#151625,#151626,#151627,#151628,#151629,#151630, #151631,#151632,#151633,#151634,#151635,#151636,#151637,#151638,#151639, #151640,#151641,#151642,#151643,#151644,#151645,#151646,#151647,#151648, #151649,#151650,#151651,#151652,#151653,#151654,#151655,#151656,#151657, #151658,#151659,#151660,#151661,#151662,#151663,#151664,#151665,#151666, #151667,#151668,#151669,#151670,#151671,#151672,#151673,#151674,#151675, #151676,#151677,#151678,#151679,#151680,#151681,#151682,#151683,#151684, #151685,#151686,#151687,#151688,#151689,#151690,#151691,#151692,#151693, #151694,#151695,#151696,#151697,#151698,#151699,#151700,#151701,#151702, #151703,#151704,#151705,#151706,#151707,#151708,#151709,#151710,#151711, #151712,#151713,#151714,#151715,#151716,#151717,#151718,#151719,#151720, #151721,#151722,#151723,#151724,#151725,#151726,#151727,#151728,#151729, #151730,#151731,#151732,#151733,#151734,#151735,#151736,#151737,#151738, #151739,#151740,#151741,#151742,#151743,#151744,#151745,#151746,#151747, #151748,#151749,#151750,#151751,#151752,#151753,#151754,#151755,#151756, #151757,#151758,#151759,#151760,#151761,#151762,#151763,#151764,#151765, #151766,#151767,#151768,#151769,#151770,#151771,#151772,#151773,#151774, #151775,#151776,#151777,#151778,#151779,#151780,#151781,#151782,#151783, #151784,#151785,#151786,#151787,#151788,#151789,#151790,#151791,#151792, #151793,#151794,#151795,#151796,#151797,#151798,#151799,#151800,#151801, #151802,#151803,#151804,#151805,#151806,#151807,#151808,#151809,#151810, #151811,#151812,#151813,#151814,#151815,#151816,#151817,#151818,#151819, #151820,#151821,#151822,#151823,#151824,#151825,#151826,#151827,#151828, #151829,#151830,#151831,#151832,#151833,#151834,#151835,#151836,#151837, #151838,#151839,#151840,#151841,#151842,#151843,#151844,#151845,#151846, #151847,#151848,#151849,#151850,#151851,#151852,#151853,#151854,#151855, #151856,#151857,#151858,#151859,#151860,#151861,#151862,#151863,#151864, #151865,#151866,#151867,#151868,#151869,#151870,#151871,#151872,#151873, #151874,#151875,#151876,#151877,#151878,#151879,#151880,#151881,#151882, #151883,#151884,#151885,#151886,#151887,#151888,#151889,#151890,#151891, #151892,#151893,#151894,#151895,#151896,#151897,#151898,#151899,#151900, #151901,#151902,#151903,#151904,#151905,#151906,#151907,#151908,#151909, #151910,#151911,#151912,#151913,#151914,#151915,#151916,#151917,#151918, #151919,#151920,#151921,#151922,#151923,#151924,#151925,#151926,#151927, #151928,#151929,#151930,#151931,#151932,#151933,#151934,#151935,#151936, #151937,#151938,#151939,#151940,#151941,#151942,#151943,#151944,#151945, #151946,#151947,#151948,#151949,#151950,#151951,#151952,#151953,#151954, #151955,#151956,#151957,#151958,#151959,#151960,#151961,#151962,#151963, #151964,#151965,#151966,#151967,#151968,#151969,#151970,#151971,#151972, #151973,#151974,#151975,#151976,#151977,#151978,#151979,#151980,#151981, #151982,#151983,#151984,#151985,#151986,#151987,#151988,#151989,#151990, #151991,#151992,#151993,#151994,#151995,#151996,#151997,#151998,#151999, #152000,#152001,#152002,#152003,#152004,#152005,#152006,#152007,#152008, #152009,#152010,#152011,#152012,#152013,#152014,#152015,#152016,#152017, #152018,#152019,#152020,#152021,#152022,#152023,#152024,#152025,#152026, #152027,#152028,#152029,#152030,#152031,#152032,#152033,#152034,#152035, #152036,#152037,#152038,#152039,#152040,#152041,#152042,#152043,#152044, #152045,#152046,#152047,#152048,#152049,#152050,#152051,#152052,#152053, #152054,#152055,#152056,#152057,#152058,#152059,#152060,#152061,#152062, #152063,#152064,#152065,#152066,#152067,#152068,#152069,#152070,#152071, #152072,#152073,#152074,#152075,#152076,#152077,#152078,#152079,#152080, #152081,#152082,#152083,#152084,#152085,#152086,#152087,#152088,#152089, #152090,#152091,#152092,#152093,#152094,#152095,#152096,#152097,#152098, #152099,#152100,#152101,#152102,#152103,#152104,#152105,#152106,#152107, #152108,#152109,#152110,#152111,#152112,#152113,#152114,#152115,#152116, #152117,#152118,#152119,#152120,#152121,#152122,#152123,#152124,#152125, #152126,#152127,#152128,#152129,#152130,#152131,#152132,#152133,#152134, #152135,#152136,#152137,#152138,#152139,#152140,#152141,#152142,#152143, #152144,#152145,#152146,#152147,#152148,#152149,#152150,#152151,#152152, #152153,#152154,#152155,#152156,#152157,#152158,#152159,#152160,#152161, #152162,#152163,#152164,#152165,#152166,#152167,#152168,#152169,#152170, #152171,#152172,#152173,#152174,#152175,#152176,#152177,#152178,#152179, #152180,#152181,#152182,#152183,#152184,#152185,#152186,#152187,#152188, #152189,#152190,#152191,#152192,#152193,#152194,#152195,#152196,#152197, #152198,#152199,#152200,#152201,#152202,#152203,#152204,#152205,#152206, #152207,#152208,#152209,#152210,#152211,#152212,#152213,#152214,#152215, #152216,#152217,#152218,#152219,#152220,#152221,#152222,#152223,#152224, #152225,#152226,#152227,#152228,#152229,#152230,#152231,#152232,#152233, #152234,#152235,#152236,#152237,#152238,#152239,#152240,#152241,#152242, #152243,#152244,#152245,#152246,#152247,#152248,#152249,#152250,#152251, #152252,#152253,#152254,#152255,#152256,#152257,#152258,#152259,#152260, #152261,#152262,#152263,#152264,#152265,#152266,#152267,#152268,#152269, #152270,#152271,#152272,#152273,#152274,#152275,#152276,#152277,#152278, #152279,#152280,#152281,#152282,#152283,#152284,#152285,#152286,#152287, #152288,#152289,#152290,#152291,#152292,#152293,#152294,#152295,#152296, #152297,#152298,#152299,#152300,#152301,#152302,#152303,#152304,#152305, #152306,#152307,#152308,#152309,#152310,#152311,#152312,#152313,#152314, #152315,#152316,#152317,#152318)); #155677=CLOSED_SHELL('',(#152319,#152320,#152321,#152322)); #155678=CLOSED_SHELL('',(#152323,#152324,#152325,#152326,#152327,#152328, #152329,#152330,#152331,#152332,#152333,#152334,#152335,#152336,#152337, #152338,#152339,#152340,#152341,#152342,#152343,#152344,#152345,#152346, #152347,#152348,#152349,#152350,#152351,#152352,#152353,#152354,#152355, #152356,#152357,#152358,#152359,#152360,#152361,#152362,#152363,#152364, #152365,#152366,#152367,#152368,#152369,#152370,#152371,#152372,#152373, #152374,#152375,#152376,#152377,#152378,#152379,#152380,#152381,#152382, #152383,#152384,#152385,#152386,#152387,#152388,#152389,#152390,#152391, #152392,#152393)); #155679=CLOSED_SHELL('',(#152394,#152395,#152396,#152397,#152398,#152399, #152400,#152401,#152402,#152403,#152404,#152405,#152406,#152407,#152408, #152409,#152410,#152411,#152412,#152413,#152414,#152415,#152416,#152417, #152418,#152419,#152420,#152421,#152422,#152423,#152424,#152425,#152426, #152427,#152428,#152429,#152430,#152431,#152432,#152433,#152434,#152435, #152436,#152437,#152438,#152439,#152440,#152441,#152442,#152443,#152444, #152445,#152446,#152447,#152448,#152449,#152450,#152451,#152452,#152453, #152454,#152455,#152456,#152457,#152458,#152459,#152460,#152461,#152462, #152463,#152464,#152465,#152466,#152467,#152468,#152469,#152470,#152471, #152472,#152473,#152474,#152475,#152476,#152477,#152478,#152479,#152480, #152481,#152482,#152483,#152484,#152485,#152486,#152487,#152488,#152489, #152490,#152491,#152492,#152493,#152494,#152495,#152496,#152497,#152498, #152499,#152500,#152501,#152502,#152503,#152504,#152505,#152506,#152507, #152508,#152509,#152510,#152511,#152512,#152513,#152514,#152515,#152516, #152517,#152518,#152519,#152520,#152521,#152522,#152523,#152524,#152525, #152526,#152527,#152528,#152529,#152530,#152531,#152532,#152533,#152534, #152535,#152536,#152537,#152538,#152539,#152540,#152541,#152542,#152543, #152544,#152545,#152546,#152547,#152548,#152549,#152550,#152551,#152552, #152553,#152554,#152555,#152556,#152557,#152558,#152559,#152560,#152561, #152562,#152563,#152564,#152565,#152566,#152567,#152568,#152569,#152570, #152571,#152572,#152573,#152574,#152575,#152576,#152577,#152578,#152579, #152580,#152581,#152582,#152583,#152584,#152585,#152586,#152587,#152588, #152589,#152590,#152591,#152592,#152593,#152594,#152595,#152596,#152597, #152598,#152599,#152600,#152601,#152602,#152603,#152604,#152605,#152606, #152607,#152608,#152609,#152610,#152611,#152612,#152613,#152614,#152615, #152616,#152617,#152618,#152619,#152620,#152621,#152622,#152623,#152624, #152625,#152626,#152627,#152628,#152629,#152630,#152631,#152632,#152633, #152634,#152635,#152636,#152637,#152638,#152639,#152640,#152641,#152642, #152643,#152644,#152645,#152646,#152647,#152648,#152649,#152650,#152651, #152652,#152653,#152654,#152655,#152656,#152657,#152658,#152659,#152660, #152661,#152662,#152663,#152664,#152665,#152666,#152667,#152668,#152669, #152670,#152671,#152672,#152673,#152674,#152675,#152676,#152677,#152678, #152679,#152680,#152681,#152682,#152683,#152684,#152685,#152686,#152687, #152688,#152689,#152690,#152691,#152692,#152693,#152694,#152695,#152696, #152697,#152698,#152699,#152700,#152701,#152702,#152703,#152704,#152705, #152706,#152707,#152708,#152709,#152710,#152711,#152712,#152713,#152714, #152715,#152716,#152717,#152718,#152719,#152720,#152721,#152722,#152723, #152724,#152725,#152726,#152727,#152728,#152729,#152730,#152731,#152732, #152733,#152734,#152735,#152736,#152737,#152738,#152739,#152740,#152741, #152742,#152743,#152744,#152745,#152746,#152747,#152748,#152749,#152750, #152751,#152752,#152753,#152754,#152755,#152756,#152757,#152758,#152759, #152760,#152761,#152762,#152763,#152764,#152765,#152766,#152767,#152768, #152769,#152770,#152771,#152772,#152773,#152774,#152775,#152776,#152777, #152778,#152779,#152780,#152781,#152782,#152783,#152784,#152785,#152786, #152787,#152788,#152789,#152790,#152791,#152792,#152793,#152794,#152795, #152796,#152797,#152798,#152799,#152800,#152801,#152802,#152803,#152804, #152805,#152806,#152807,#152808,#152809,#152810,#152811,#152812,#152813, #152814,#152815,#152816,#152817,#152818,#152819,#152820,#152821,#152822, #152823,#152824,#152825,#152826,#152827,#152828,#152829,#152830,#152831, #152832,#152833,#152834,#152835,#152836,#152837,#152838,#152839,#152840, #152841,#152842,#152843,#152844,#152845,#152846,#152847,#152848,#152849, #152850,#152851,#152852,#152853,#152854,#152855,#152856,#152857,#152858, #152859,#152860,#152861,#152862,#152863,#152864,#152865,#152866,#152867, #152868,#152869,#152870,#152871,#152872,#152873,#152874,#152875,#152876, #152877,#152878,#152879,#152880,#152881,#152882,#152883,#152884,#152885, #152886,#152887,#152888,#152889,#152890,#152891,#152892,#152893,#152894, #152895,#152896,#152897,#152898,#152899,#152900,#152901,#152902,#152903, #152904,#152905,#152906,#152907,#152908,#152909,#152910,#152911,#152912, #152913,#152914,#152915,#152916,#152917,#152918,#152919,#152920,#152921, #152922,#152923,#152924,#152925,#152926,#152927,#152928,#152929,#152930, #152931,#152932,#152933,#152934,#152935,#152936,#152937,#152938,#152939, #152940,#152941,#152942,#152943,#152944,#152945,#152946,#152947,#152948, #152949,#152950,#152951,#152952,#152953,#152954,#152955,#152956,#152957, #152958,#152959,#152960,#152961,#152962,#152963,#152964,#152965,#152966, #152967,#152968,#152969,#152970,#152971,#152972,#152973,#152974,#152975, #152976,#152977,#152978,#152979,#152980,#152981,#152982,#152983,#152984, #152985,#152986,#152987,#152988,#152989,#152990,#152991,#152992,#152993, #152994,#152995,#152996,#152997,#152998,#152999,#153000,#153001,#153002, #153003,#153004,#153005,#153006,#153007,#153008,#153009,#153010,#153011, #153012,#153013,#153014,#153015,#153016,#153017,#153018,#153019,#153020, #153021,#153022,#153023,#153024,#153025,#153026,#153027,#153028,#153029, #153030,#153031,#153032,#153033,#153034,#153035,#153036,#153037,#153038, #153039,#153040,#153041,#153042,#153043,#153044,#153045,#153046,#153047, #153048,#153049,#153050,#153051,#153052,#153053,#153054,#153055,#153056, #153057,#153058,#153059,#153060,#153061,#153062,#153063,#153064,#153065, #153066,#153067,#153068,#153069,#153070,#153071,#153072,#153073,#153074, #153075,#153076,#153077,#153078,#153079,#153080,#153081,#153082,#153083, #153084,#153085,#153086,#153087,#153088,#153089,#153090,#153091,#153092, #153093,#153094,#153095,#153096,#153097,#153098,#153099,#153100,#153101, #153102,#153103,#153104,#153105,#153106,#153107,#153108,#153109,#153110, #153111,#153112,#153113,#153114,#153115,#153116,#153117,#153118,#153119, #153120,#153121,#153122,#153123,#153124,#153125,#153126,#153127,#153128, #153129,#153130,#153131,#153132,#153133,#153134,#153135,#153136,#153137, #153138,#153139,#153140,#153141,#153142,#153143,#153144,#153145,#153146, #153147,#153148,#153149,#153150,#153151,#153152,#153153,#153154,#153155, #153156,#153157,#153158,#153159,#153160,#153161,#153162,#153163,#153164, #153165,#153166,#153167,#153168,#153169,#153170,#153171,#153172,#153173, #153174,#153175,#153176,#153177,#153178,#153179,#153180,#153181,#153182, #153183,#153184,#153185,#153186,#153187,#153188,#153189,#153190,#153191, #153192,#153193,#153194,#153195,#153196,#153197,#153198,#153199,#153200, #153201,#153202,#153203,#153204,#153205,#153206,#153207,#153208,#153209, #153210,#153211,#153212,#153213,#153214,#153215,#153216,#153217,#153218, #153219,#153220,#153221,#153222,#153223,#153224,#153225,#153226,#153227, #153228,#153229,#153230,#153231,#153232,#153233,#153234,#153235,#153236, #153237,#153238,#153239,#153240,#153241,#153242,#153243,#153244,#153245, #153246,#153247,#153248,#153249,#153250,#153251,#153252,#153253,#153254, #153255,#153256,#153257,#153258,#153259,#153260,#153261,#153262,#153263, #153264,#153265,#153266,#153267,#153268,#153269,#153270,#153271,#153272, #153273,#153274,#153275,#153276,#153277,#153278,#153279,#153280,#153281, #153282,#153283,#153284,#153285,#153286,#153287,#153288,#153289,#153290, #153291,#153292,#153293,#153294,#153295,#153296,#153297,#153298,#153299, #153300,#153301,#153302,#153303,#153304,#153305,#153306,#153307,#153308, #153309,#153310,#153311,#153312,#153313,#153314,#153315,#153316,#153317, #153318,#153319,#153320,#153321,#153322,#153323,#153324,#153325,#153326, #153327,#153328,#153329,#153330,#153331,#153332,#153333,#153334,#153335, #153336,#153337,#153338,#153339,#153340,#153341,#153342,#153343,#153344, #153345,#153346,#153347,#153348,#153349,#153350,#153351,#153352,#153353, #153354,#153355,#153356,#153357,#153358,#153359,#153360,#153361,#153362, #153363,#153364,#153365,#153366,#153367,#153368,#153369,#153370,#153371, #153372,#153373,#153374,#153375,#153376,#153377,#153378,#153379,#153380, #153381,#153382,#153383,#153384,#153385,#153386,#153387,#153388,#153389, #153390,#153391,#153392,#153393,#153394,#153395,#153396,#153397,#153398, #153399,#153400,#153401,#153402,#153403,#153404,#153405,#153406,#153407, #153408,#153409,#153410,#153411,#153412,#153413,#153414,#153415,#153416, #153417,#153418,#153419,#153420,#153421,#153422,#153423,#153424,#153425, #153426,#153427,#153428,#153429,#153430,#153431,#153432,#153433,#153434, #153435,#153436,#153437,#153438,#153439,#153440,#153441,#153442,#153443, #153444,#153445,#153446,#153447,#153448,#153449,#153450,#153451,#153452, #153453,#153454,#153455,#153456,#153457,#153458,#153459,#153460,#153461, #153462,#153463,#153464,#153465,#153466,#153467,#153468,#153469,#153470, #153471,#153472,#153473,#153474,#153475,#153476,#153477,#153478,#153479, #153480,#153481,#153482,#153483,#153484,#153485,#153486,#153487,#153488, #153489,#153490,#153491,#153492,#153493,#153494,#153495,#153496,#153497, #153498,#153499,#153500,#153501,#153502,#153503,#153504,#153505,#153506, #153507,#153508,#153509,#153510,#153511,#153512,#153513,#153514,#153515, #153516,#153517,#153518,#153519,#153520,#153521,#153522,#153523,#153524, #153525,#153526,#153527,#153528,#153529,#153530,#153531,#153532,#153533, #153534,#153535,#153536,#153537,#153538,#153539,#153540,#153541,#153542, #153543,#153544,#153545,#153546,#153547,#153548,#153549,#153550,#153551, #153552,#153553,#153554,#153555,#153556,#153557,#153558,#153559,#153560, #153561,#153562,#153563,#153564,#153565,#153566,#153567,#153568,#153569, #153570,#153571,#153572,#153573,#153574,#153575,#153576,#153577,#153578, #153579,#153580,#153581,#153582,#153583,#153584,#153585,#153586,#153587, #153588,#153589,#153590,#153591,#153592,#153593,#153594,#153595,#153596, #153597,#153598,#153599,#153600,#153601,#153602,#153603,#153604,#153605, #153606,#153607,#153608,#153609,#153610,#153611,#153612,#153613,#153614, #153615,#153616,#153617,#153618,#153619,#153620,#153621,#153622,#153623, #153624,#153625,#153626,#153627,#153628,#153629,#153630,#153631,#153632, #153633,#153634,#153635,#153636,#153637,#153638,#153639,#153640,#153641, #153642,#153643,#153644,#153645,#153646,#153647,#153648,#153649,#153650, #153651,#153652,#153653,#153654,#153655,#153656,#153657,#153658,#153659, #153660,#153661,#153662,#153663,#153664,#153665,#153666,#153667,#153668, #153669,#153670,#153671,#153672,#153673,#153674,#153675,#153676,#153677, #153678,#153679,#153680,#153681,#153682,#153683,#153684,#153685,#153686, #153687,#153688,#153689,#153690,#153691,#153692,#153693,#153694,#153695, #153696,#153697,#153698,#153699,#153700,#153701,#153702,#153703,#153704, #153705,#153706,#153707,#153708,#153709,#153710,#153711,#153712,#153713, #153714,#153715,#153716,#153717,#153718,#153719,#153720,#153721,#153722, #153723,#153724,#153725,#153726,#153727,#153728,#153729,#153730,#153731, #153732,#153733,#153734,#153735,#153736,#153737,#153738,#153739,#153740, #153741,#153742,#153743,#153744,#153745,#153746,#153747,#153748,#153749, #153750,#153751,#153752,#153753,#153754,#153755,#153756,#153757,#153758, #153759,#153760,#153761,#153762,#153763,#153764,#153765,#153766,#153767, #153768,#153769,#153770,#153771,#153772,#153773,#153774,#153775,#153776, #153777,#153778,#153779,#153780,#153781,#153782,#153783,#153784,#153785, #153786,#153787,#153788,#153789,#153790,#153791,#153792,#153793,#153794, #153795,#153796,#153797,#153798,#153799,#153800,#153801,#153802,#153803, #153804,#153805,#153806,#153807,#153808,#153809,#153810,#153811,#153812, #153813,#153814,#153815,#153816,#153817,#153818,#153819,#153820,#153821, #153822,#153823,#153824,#153825,#153826,#153827,#153828,#153829,#153830, #153831,#153832,#153833,#153834,#153835,#153836,#153837,#153838,#153839, #153840,#153841,#153842,#153843,#153844,#153845,#153846,#153847,#153848, #153849,#153850,#153851,#153852,#153853,#153854,#153855,#153856,#153857, #153858,#153859,#153860,#153861,#153862,#153863,#153864,#153865,#153866, #153867,#153868,#153869,#153870,#153871,#153872,#153873,#153874,#153875, #153876,#153877,#153878,#153879,#153880,#153881,#153882,#153883,#153884, #153885,#153886,#153887,#153888,#153889,#153890,#153891,#153892)); #155680=CLOSED_SHELL('',(#153893,#153894,#153895,#153896,#153897,#153898, #153899,#153900,#153901,#153902,#153903,#153904,#153905,#153906,#153907, #153908,#153909,#153910,#153911,#153912,#153913,#153914,#153915,#153916, #153917,#153918,#153919,#153920,#153921,#153922,#153923,#153924,#153925, #153926,#153927,#153928,#153929,#153930,#153931,#153932,#153933,#153934, #153935,#153936,#153937,#153938,#153939,#153940,#153941,#153942,#153943, #153944,#153945,#153946,#153947,#153948,#153949,#153950,#153951,#153952, #153953,#153954,#153955,#153956,#153957,#153958,#153959,#153960,#153961, #153962,#153963,#153964,#153965,#153966,#153967,#153968,#153969,#153970, #153971,#153972,#153973,#153974,#153975,#153976,#153977,#153978,#153979, #153980,#153981,#153982,#153983,#153984,#153985,#153986,#153987,#153988, #153989,#153990,#153991,#153992,#153993,#153994,#153995,#153996,#153997, #153998,#153999,#154000,#154001,#154002,#154003,#154004,#154005,#154006, #154007,#154008,#154009,#154010,#154011,#154012,#154013,#154014,#154015, #154016,#154017,#154018,#154019,#154020,#154021,#154022,#154023,#154024, #154025,#154026,#154027,#154028,#154029,#154030,#154031,#154032,#154033, #154034,#154035,#154036,#154037,#154038,#154039,#154040,#154041,#154042, #154043,#154044,#154045,#154046,#154047,#154048,#154049,#154050,#154051, #154052,#154053,#154054,#154055,#154056,#154057,#154058,#154059,#154060, #154061,#154062,#154063,#154064,#154065,#154066,#154067,#154068,#154069, #154070,#154071,#154072,#154073,#154074,#154075,#154076,#154077,#154078, #154079,#154080,#154081,#154082,#154083,#154084,#154085,#154086,#154087, #154088,#154089,#154090,#154091,#154092,#154093,#154094,#154095,#154096, #154097,#154098,#154099,#154100,#154101,#154102,#154103,#154104,#154105, #154106,#154107,#154108,#154109,#154110,#154111,#154112,#154113,#154114, #154115,#154116,#154117,#154118,#154119,#154120,#154121,#154122,#154123, #154124,#154125,#154126,#154127,#154128,#154129,#154130,#154131,#154132, #154133,#154134,#154135,#154136,#154137,#154138,#154139,#154140,#154141, #154142,#154143,#154144,#154145,#154146,#154147,#154148,#154149,#154150, #154151,#154152,#154153,#154154,#154155,#154156,#154157,#154158,#154159, #154160,#154161,#154162,#154163,#154164,#154165,#154166,#154167,#154168, #154169,#154170,#154171,#154172,#154173,#154174,#154175,#154176,#154177, #154178,#154179,#154180,#154181,#154182,#154183,#154184,#154185,#154186, #154187,#154188,#154189,#154190,#154191,#154192,#154193,#154194,#154195, #154196,#154197,#154198,#154199,#154200,#154201,#154202,#154203,#154204, #154205,#154206,#154207,#154208,#154209,#154210,#154211,#154212,#154213, #154214,#154215,#154216,#154217,#154218,#154219,#154220,#154221,#154222, #154223,#154224,#154225,#154226,#154227,#154228)); #155681=CLOSED_SHELL('',(#154229,#154230,#154231,#154232,#154233,#154234, #154235,#154236,#154237,#154238,#154239,#154240,#154241,#154242,#154243, #154244,#154245,#154246,#154247,#154248,#154249,#154250,#154251,#154252, #154253,#154254,#154255,#154256,#154257,#154258,#154259,#154260,#154261, #154262,#154263,#154264,#154265,#154266,#154267,#154268,#154269,#154270, #154271,#154272,#154273,#154274,#154275,#154276,#154277,#154278,#154279, #154280,#154281,#154282,#154283,#154284,#154285,#154286,#154287,#154288, #154289,#154290,#154291,#154292,#154293,#154294,#154295,#154296,#154297, #154298,#154299,#154300,#154301,#154302,#154303,#154304,#154305,#154306, #154307,#154308,#154309,#154310,#154311,#154312,#154313,#154314,#154315, #154316,#154317,#154318,#154319,#154320,#154321,#154322,#154323,#154324, #154325,#154326,#154327,#154328,#154329,#154330,#154331,#154332,#154333, #154334,#154335,#154336,#154337,#154338,#154339,#154340,#154341,#154342, #154343,#154344,#154345,#154346,#154347,#154348,#154349,#154350,#154351, #154352,#154353,#154354,#154355,#154356,#154357,#154358,#154359,#154360, #154361,#154362,#154363,#154364,#154365,#154366,#154367,#154368,#154369, #154370,#154371,#154372,#154373,#154374,#154375,#154376,#154377,#154378, #154379,#154380,#154381,#154382,#154383,#154384,#154385,#154386,#154387, #154388,#154389,#154390,#154391,#154392,#154393,#154394,#154395,#154396, #154397,#154398,#154399,#154400,#154401,#154402,#154403,#154404,#154405, #154406,#154407,#154408,#154409,#154410,#154411,#154412,#154413,#154414, #154415,#154416,#154417,#154418,#154419,#154420,#154421,#154422,#154423, #154424,#154425,#154426,#154427,#154428,#154429,#154430,#154431,#154432, #154433,#154434,#154435,#154436,#154437,#154438,#154439,#154440,#154441, #154442,#154443,#154444,#154445,#154446,#154447,#154448,#154449,#154450, #154451,#154452,#154453,#154454,#154455,#154456,#154457,#154458,#154459, #154460,#154461,#154462,#154463,#154464,#154465,#154466,#154467,#154468, #154469,#154470,#154471,#154472,#154473,#154474,#154475,#154476,#154477, #154478,#154479,#154480,#154481,#154482,#154483,#154484,#154485,#154486, #154487,#154488,#154489,#154490,#154491,#154492,#154493,#154494,#154495, #154496,#154497,#154498,#154499,#154500,#154501,#154502,#154503,#154504, #154505,#154506,#154507,#154508,#154509,#154510,#154511,#154512,#154513, #154514,#154515,#154516,#154517,#154518,#154519,#154520,#154521,#154522, #154523,#154524,#154525,#154526,#154527,#154528,#154529,#154530,#154531, #154532,#154533,#154534,#154535,#154536,#154537,#154538,#154539,#154540, #154541,#154542,#154543,#154544,#154545,#154546,#154547,#154548,#154549, #154550,#154551,#154552,#154553,#154554,#154555,#154556,#154557,#154558, #154559,#154560,#154561,#154562,#154563,#154564,#154565,#154566,#154567, #154568,#154569,#154570,#154571,#154572,#154573,#154574,#154575,#154576, #154577,#154578,#154579,#154580,#154581,#154582,#154583,#154584,#154585, #154586,#154587,#154588,#154589,#154590,#154591,#154592,#154593,#154594, #154595,#154596,#154597,#154598,#154599,#154600,#154601,#154602,#154603, #154604,#154605,#154606,#154607,#154608,#154609,#154610,#154611,#154612, #154613,#154614,#154615,#154616,#154617,#154618,#154619,#154620,#154621, #154622,#154623,#154624,#154625,#154626,#154627,#154628,#154629,#154630, #154631,#154632,#154633,#154634,#154635,#154636,#154637,#154638,#154639, #154640,#154641,#154642,#154643,#154644,#154645,#154646,#154647,#154648, #154649,#154650,#154651,#154652,#154653,#154654,#154655,#154656,#154657, #154658,#154659,#154660,#154661,#154662,#154663,#154664,#154665,#154666, #154667,#154668,#154669,#154670,#154671,#154672,#154673,#154674,#154675, #154676,#154677,#154678,#154679,#154680,#154681,#154682,#154683,#154684, #154685,#154686,#154687,#154688,#154689,#154690,#154691,#154692,#154693, #154694,#154695,#154696,#154697,#154698,#154699,#154700,#154701,#154702, #154703,#154704,#154705,#154706,#154707,#154708,#154709,#154710,#154711, #154712,#154713,#154714,#154715,#154716,#154717,#154718,#154719,#154720, #154721,#154722,#154723,#154724,#154725,#154726,#154727,#154728,#154729, #154730,#154731,#154732,#154733,#154734,#154735,#154736,#154737,#154738, #154739,#154740,#154741,#154742,#154743,#154744,#154745,#154746,#154747, #154748,#154749,#154750,#154751,#154752,#154753,#154754,#154755,#154756, #154757,#154758,#154759,#154760,#154761,#154762,#154763,#154764,#154765, #154766,#154767,#154768,#154769,#154770,#154771,#154772,#154773,#154774, #154775,#154776,#154777,#154778,#154779,#154780,#154781,#154782,#154783, #154784,#154785,#154786,#154787,#154788,#154789,#154790,#154791,#154792, #154793,#154794,#154795,#154796,#154797,#154798,#154799,#154800,#154801, #154802,#154803,#154804,#154805,#154806,#154807,#154808,#154809,#154810, #154811,#154812,#154813,#154814,#154815,#154816,#154817,#154818,#154819, #154820,#154821,#154822,#154823,#154824,#154825,#154826,#154827,#154828, #154829,#154830,#154831,#154832,#154833,#154834,#154835,#154836,#154837, #154838,#154839,#154840,#154841,#154842,#154843,#154844,#154845,#154846, #154847,#154848,#154849,#154850,#154851,#154852,#154853,#154854,#154855, #154856,#154857,#154858,#154859,#154860,#154861,#154862,#154863,#154864, #154865,#154866,#154867,#154868,#154869,#154870,#154871,#154872,#154873, #154874,#154875,#154876,#154877,#154878,#154879,#154880,#154881,#154882, #154883,#154884,#154885,#154886,#154887,#154888,#154889,#154890,#154891, #154892,#154893,#154894,#154895,#154896,#154897,#154898,#154899,#154900, #154901,#154902,#154903,#154904,#154905,#154906,#154907,#154908,#154909, #154910,#154911,#154912,#154913,#154914,#154915,#154916,#154917,#154918, #154919,#154920,#154921,#154922,#154923,#154924,#154925,#154926,#154927, #154928,#154929,#154930,#154931,#154932,#154933,#154934,#154935,#154936, #154937,#154938,#154939,#154940,#154941,#154942,#154943,#154944,#154945, #154946,#154947,#154948,#154949,#154950,#154951,#154952,#154953,#154954, #154955,#154956,#154957,#154958,#154959,#154960,#154961,#154962,#154963, #154964,#154965,#154966,#154967,#154968,#154969,#154970,#154971,#154972, #154973)); #155682=CLOSED_SHELL('',(#154974,#154975,#154976,#154977,#154978,#154979, #154980,#154981,#154982,#154983,#154984,#154985,#154986,#154987,#154988, #154989,#154990,#154991,#154992,#154993,#154994,#154995,#154996,#154997, #154998,#154999,#155000,#155001,#155002,#155003,#155004,#155005,#155006, #155007,#155008,#155009,#155010,#155011,#155012,#155013,#155014,#155015, #155016,#155017,#155018,#155019,#155020,#155021,#155022,#155023,#155024, #155025,#155026,#155027,#155028,#155029,#155030,#155031,#155032,#155033, #155034,#155035,#155036,#155037,#155038,#155039,#155040,#155041,#155042, #155043,#155044,#155045,#155046,#155047,#155048,#155049,#155050,#155051, #155052,#155053,#155054,#155055,#155056,#155057,#155058,#155059,#155060, #155061,#155062,#155063,#155064,#155065,#155066,#155067,#155068,#155069, #155070,#155071,#155072,#155073,#155074,#155075,#155076,#155077,#155078, #155079,#155080,#155081,#155082,#155083,#155084,#155085,#155086,#155087, #155088,#155089,#155090,#155091,#155092,#155093,#155094,#155095,#155096, #155097,#155098,#155099,#155100,#155101,#155102,#155103,#155104,#155105, #155106,#155107,#155108,#155109,#155110,#155111,#155112,#155113,#155114, #155115,#155116,#155117,#155118,#155119,#155120,#155121,#155122,#155123, #155124,#155125,#155126,#155127,#155128,#155129,#155130,#155131,#155132, #155133,#155134,#155135,#155136,#155137,#155138,#155139,#155140,#155141, #155142,#155143,#155144,#155145,#155146,#155147,#155148,#155149,#155150, #155151,#155152,#155153,#155154,#155155,#155156,#155157,#155158,#155159, #155160,#155161,#155162,#155163,#155164,#155165,#155166,#155167,#155168, #155169,#155170,#155171,#155172,#155173,#155174,#155175,#155176,#155177, #155178,#155179,#155180,#155181,#155182,#155183,#155184,#155185,#155186, #155187,#155188,#155189,#155190,#155191,#155192,#155193,#155194,#155195, #155196,#155197,#155198,#155199,#155200,#155201,#155202,#155203,#155204, #155205,#155206,#155207,#155208,#155209,#155210,#155211,#155212,#155213, #155214,#155215,#155216,#155217,#155218,#155219,#155220,#155221,#155222, #155223,#155224,#155225,#155226,#155227,#155228,#155229,#155230,#155231, #155232,#155233,#155234,#155235,#155236,#155237,#155238,#155239,#155240, #155241,#155242,#155243,#155244,#155245,#155246,#155247,#155248,#155249, #155250,#155251,#155252,#155253,#155254,#155255,#155256,#155257,#155258, #155259,#155260,#155261,#155262,#155263,#155264,#155265,#155266,#155267, #155268,#155269,#155270,#155271,#155272,#155273,#155274,#155275,#155276, #155277,#155278,#155279,#155280,#155281,#155282,#155283,#155284,#155285, #155286,#155287,#155288,#155289,#155290,#155291,#155292,#155293,#155294, #155295,#155296,#155297,#155298,#155299,#155300,#155301,#155302,#155303, #155304,#155305,#155306,#155307,#155308,#155309,#155310,#155311,#155312, #155313,#155314,#155315,#155316,#155317,#155318,#155319,#155320,#155321, #155322,#155323,#155324,#155325,#155326,#155327,#155328,#155329,#155330, #155331,#155332,#155333,#155334,#155335,#155336,#155337,#155338,#155339, #155340,#155341,#155342,#155343,#155344,#155345,#155346,#155347,#155348, #155349,#155350,#155351,#155352,#155353,#155354,#155355,#155356,#155357, #155358,#155359,#155360,#155361,#155362,#155363,#155364,#155365,#155366, #155367,#155368,#155369,#155370,#155371,#155372,#155373,#155374,#155375, #155376,#155377,#155378,#155379,#155380,#155381,#155382,#155383,#155384, #155385,#155386,#155387,#155388,#155389,#155390,#155391,#155392,#155393, #155394,#155395,#155396,#155397,#155398,#155399,#155400,#155401,#155402, #155403,#155404,#155405,#155406,#155407,#155408,#155409,#155410,#155411, #155412,#155413,#155414,#155415,#155416,#155417,#155418,#155419,#155420, #155421,#155422,#155423,#155424,#155425,#155426,#155427,#155428,#155429, #155430,#155431)); #155683=CLOSED_SHELL('',(#155432,#155433,#155434,#155435,#155436,#155437, #155438,#155439,#155440,#155441,#155442,#155443,#155444,#155445,#155446, #155447,#155448,#155449,#155450,#155451,#155452,#155453,#155454,#155455, #155456,#155457,#155458,#155459,#155460,#155461,#155462,#155463,#155464, #155465,#155466,#155467,#155468,#155469,#155470,#155471,#155472,#155473, #155474,#155475,#155476,#155477,#155478,#155479,#155480,#155481,#155482, #155483,#155484,#155485,#155486,#155487,#155488,#155489,#155490,#155491, #155492,#155493,#155494,#155495,#155496,#155497,#155498,#155499,#155500, #155501,#155502,#155503,#155504,#155505,#155506,#155507,#155508,#155509, #155510,#155511,#155512,#155513,#155514,#155515,#155516,#155517,#155518)); #155684=CLOSED_SHELL('',(#155519,#155520,#155521)); #155685=CLOSED_SHELL('',(#155522,#155523,#155524)); #155686=CLOSED_SHELL('',(#155525,#155526,#155527)); #155687=CLOSED_SHELL('',(#155528,#155529,#155530)); #155688=CLOSED_SHELL('',(#155531,#155532)); #155689=CLOSED_SHELL('',(#155533,#155534,#155535,#155536,#155537,#155538, #155539,#155540,#155541,#155542,#155543,#155544,#155545,#155546,#155547, #155548,#155549,#155550,#155551,#155552,#155553,#155554,#155555,#155556, #155557,#155558,#155559,#155560,#155561,#155562,#155563,#155564,#155565, #155566,#155567,#155568,#155569,#155570,#155571)); #155690=CLOSED_SHELL('',(#155572,#155573,#155574,#155575,#155576,#155577, #155578,#155579,#155580,#155581,#155582,#155583,#155584,#155585,#155586, #155587,#155588,#155589,#155590,#155591,#155592,#155593,#155594,#155595, #155596,#155597,#155598,#155599,#155600,#155601,#155602,#155603,#155604, #155605,#155606,#155607,#155608,#155609,#155610,#155611,#155612,#155613, #155614,#155615,#155616,#155617,#155618,#155619,#155620,#155621,#155622, #155623,#155624)); #155691=CLOSED_SHELL('',(#155625,#155626,#155627,#155628,#155629,#155630, #155631,#155632,#155633,#155634,#155635,#155636,#155637,#155638,#155639, #155640,#155641,#155642,#155643,#155644,#155645,#155646,#155647,#155648, #155649,#155650,#155651,#155652,#155653,#155654,#155655,#155656,#155657)); #155692=CLOSED_SHELL('',(#155658,#155659,#155660,#155661)); #155693=CLOSED_SHELL('',(#155662,#155663,#155664,#155665,#155666,#155667, #155668,#155669,#155670,#155671,#155672,#155673,#155674)); #155694=DERIVED_UNIT_ELEMENT(#155718,1.); #155695=DERIVED_UNIT_ELEMENT(#263572,-3.); #155696=DERIVED_UNIT_ELEMENT(#155718,1.); #155697=DERIVED_UNIT_ELEMENT(#263572,-3.); #155698=DERIVED_UNIT_ELEMENT(#155718,1.); #155699=DERIVED_UNIT_ELEMENT(#263572,-3.); #155700=DERIVED_UNIT_ELEMENT(#155718,1.); #155701=DERIVED_UNIT_ELEMENT(#263572,-3.); #155702=DERIVED_UNIT_ELEMENT(#155718,1.); #155703=DERIVED_UNIT_ELEMENT(#263572,-3.); #155704=DERIVED_UNIT_ELEMENT(#155718,1.); #155705=DERIVED_UNIT_ELEMENT(#263572,-3.); #155706=DERIVED_UNIT_ELEMENT(#155718,1.); #155707=DERIVED_UNIT_ELEMENT(#263572,-3.); #155708=DERIVED_UNIT_ELEMENT(#155718,1.); #155709=DERIVED_UNIT_ELEMENT(#263572,-3.); #155710=DERIVED_UNIT_ELEMENT(#155718,1.); #155711=DERIVED_UNIT_ELEMENT(#263572,-3.); #155712=DERIVED_UNIT_ELEMENT(#155718,1.); #155713=DERIVED_UNIT_ELEMENT(#263572,-3.); #155714=DERIVED_UNIT_ELEMENT(#155718,1.); #155715=DERIVED_UNIT_ELEMENT(#263572,-3.); #155716=DERIVED_UNIT_ELEMENT(#155718,1.); #155717=DERIVED_UNIT_ELEMENT(#263572,-3.); #155718=( MASS_UNIT() NAMED_UNIT(*) SI_UNIT(.KILO.,.GRAM.) ); #155719=DERIVED_UNIT((#155694,#155695)); #155720=DERIVED_UNIT((#155696,#155697)); #155721=DERIVED_UNIT((#155698,#155699)); #155722=DERIVED_UNIT((#155700,#155701)); #155723=DERIVED_UNIT((#155702,#155703)); #155724=DERIVED_UNIT((#155704,#155705)); #155725=DERIVED_UNIT((#155706,#155707)); #155726=DERIVED_UNIT((#155708,#155709)); #155727=DERIVED_UNIT((#155710,#155711)); #155728=DERIVED_UNIT((#155712,#155713)); #155729=DERIVED_UNIT((#155714,#155715)); #155730=DERIVED_UNIT((#155716,#155717)); #155731=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155719); #155732=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155720); #155733=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155721); #155734=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155722); #155735=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155723); #155736=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155724); #155737=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155725); #155738=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155726); #155739=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155727); #155740=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155728); #155741=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155729); #155742=MEASURE_REPRESENTATION_ITEM('density measure', POSITIVE_RATIO_MEASURE(7850.),#155730); #155743=PROPERTY_DEFINITION_REPRESENTATION(#155803,#155767); #155744=PROPERTY_DEFINITION_REPRESENTATION(#155804,#155768); #155745=PROPERTY_DEFINITION_REPRESENTATION(#155805,#155769); #155746=PROPERTY_DEFINITION_REPRESENTATION(#155806,#155770); #155747=PROPERTY_DEFINITION_REPRESENTATION(#155807,#155771); #155748=PROPERTY_DEFINITION_REPRESENTATION(#155808,#155772); #155749=PROPERTY_DEFINITION_REPRESENTATION(#155809,#155773); #155750=PROPERTY_DEFINITION_REPRESENTATION(#155810,#155774); #155751=PROPERTY_DEFINITION_REPRESENTATION(#155811,#155775); #155752=PROPERTY_DEFINITION_REPRESENTATION(#155812,#155776); #155753=PROPERTY_DEFINITION_REPRESENTATION(#155813,#155777); #155754=PROPERTY_DEFINITION_REPRESENTATION(#155814,#155778); #155755=PROPERTY_DEFINITION_REPRESENTATION(#155815,#155779); #155756=PROPERTY_DEFINITION_REPRESENTATION(#155816,#155780); #155757=PROPERTY_DEFINITION_REPRESENTATION(#155817,#155781); #155758=PROPERTY_DEFINITION_REPRESENTATION(#155818,#155782); #155759=PROPERTY_DEFINITION_REPRESENTATION(#155819,#155783); #155760=PROPERTY_DEFINITION_REPRESENTATION(#155820,#155784); #155761=PROPERTY_DEFINITION_REPRESENTATION(#155821,#155785); #155762=PROPERTY_DEFINITION_REPRESENTATION(#155822,#155786); #155763=PROPERTY_DEFINITION_REPRESENTATION(#155823,#155787); #155764=PROPERTY_DEFINITION_REPRESENTATION(#155824,#155788); #155765=PROPERTY_DEFINITION_REPRESENTATION(#155825,#155789); #155766=PROPERTY_DEFINITION_REPRESENTATION(#155826,#155790); #155767=REPRESENTATION('material name',(#155791),#263556); #155768=REPRESENTATION('density',(#155731),#263556); #155769=REPRESENTATION('material name',(#155792),#263557); #155770=REPRESENTATION('density',(#155732),#263557); #155771=REPRESENTATION('material name',(#155793),#263558); #155772=REPRESENTATION('density',(#155733),#263558); #155773=REPRESENTATION('material name',(#155794),#263559); #155774=REPRESENTATION('density',(#155734),#263559); #155775=REPRESENTATION('material name',(#155795),#263560); #155776=REPRESENTATION('density',(#155735),#263560); #155777=REPRESENTATION('material name',(#155796),#263563); #155778=REPRESENTATION('density',(#155736),#263563); #155779=REPRESENTATION('material name',(#155797),#263564); #155780=REPRESENTATION('density',(#155737),#263564); #155781=REPRESENTATION('material name',(#155798),#263565); #155782=REPRESENTATION('density',(#155738),#263565); #155783=REPRESENTATION('material name',(#155799),#263566); #155784=REPRESENTATION('density',(#155739),#263566); #155785=REPRESENTATION('material name',(#155800),#263567); #155786=REPRESENTATION('density',(#155740),#263567); #155787=REPRESENTATION('material name',(#155801),#263568); #155788=REPRESENTATION('density',(#155741),#263568); #155789=REPRESENTATION('material name',(#155802),#263569); #155790=REPRESENTATION('density',(#155742),#263569); #155791=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155792=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155793=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155794=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155795=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155796=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155797=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155798=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155799=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155800=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155801=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155802=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel'); #155803=PROPERTY_DEFINITION('material property','material name',#263641); #155804=PROPERTY_DEFINITION('material property','density of part',#263641); #155805=PROPERTY_DEFINITION('material property','material name',#263642); #155806=PROPERTY_DEFINITION('material property','density of part',#263642); #155807=PROPERTY_DEFINITION('material property','material name',#263643); #155808=PROPERTY_DEFINITION('material property','density of part',#263643); #155809=PROPERTY_DEFINITION('material property','material name',#263644); #155810=PROPERTY_DEFINITION('material property','density of part',#263644); #155811=PROPERTY_DEFINITION('material property','material name',#263645); #155812=PROPERTY_DEFINITION('material property','density of part',#263645); #155813=PROPERTY_DEFINITION('material property','material name',#263648); #155814=PROPERTY_DEFINITION('material property','density of part',#263648); #155815=PROPERTY_DEFINITION('material property','material name',#263649); #155816=PROPERTY_DEFINITION('material property','density of part',#263649); #155817=PROPERTY_DEFINITION('material property','material name',#263650); #155818=PROPERTY_DEFINITION('material property','density of part',#263650); #155819=PROPERTY_DEFINITION('material property','material name',#263651); #155820=PROPERTY_DEFINITION('material property','density of part',#263651); #155821=PROPERTY_DEFINITION('material property','material name',#263652); #155822=PROPERTY_DEFINITION('material property','density of part',#263652); #155823=PROPERTY_DEFINITION('material property','material name',#263653); #155824=PROPERTY_DEFINITION('material property','density of part',#263653); #155825=PROPERTY_DEFINITION('material property','material name',#263654); #155826=PROPERTY_DEFINITION('material property','density of part',#263654); #155827=AXIS2_PLACEMENT_3D('',#211624,#168550,#168551); #155828=AXIS2_PLACEMENT_3D('',#211625,#168552,#168553); #155829=AXIS2_PLACEMENT_3D('',#211626,#168554,#168555); #155830=AXIS2_PLACEMENT_3D('',#211629,#168556,#168557); #155831=AXIS2_PLACEMENT_3D('',#211633,#168559,#168560); #155832=AXIS2_PLACEMENT_3D('',#211635,#168562,#168563); #155833=AXIS2_PLACEMENT_3D('',#211649,#168571,#168572); #155834=AXIS2_PLACEMENT_3D('',#211675,#168586,#168587); #155835=AXIS2_PLACEMENT_3D('',#211677,#168588,#168589); #155836=AXIS2_PLACEMENT_3D('',#211679,#168590,#168591); #155837=AXIS2_PLACEMENT_3D('',#211683,#168593,#168594); #155838=AXIS2_PLACEMENT_3D('',#211685,#168596,#168597); #155839=AXIS2_PLACEMENT_3D('',#211688,#168598,#168599); #155840=AXIS2_PLACEMENT_3D('',#211692,#168601,#168602); #155841=AXIS2_PLACEMENT_3D('',#211694,#168604,#168605); #155842=AXIS2_PLACEMENT_3D('',#211699,#168608,#168609); #155843=AXIS2_PLACEMENT_3D('',#211702,#168611,#168612); #155844=AXIS2_PLACEMENT_3D('',#211703,#168613,#168614); #155845=AXIS2_PLACEMENT_3D('',#211711,#168619,#168620); #155846=AXIS2_PLACEMENT_3D('',#211713,#168621,#168622); #155847=AXIS2_PLACEMENT_3D('',#211717,#168624,#168625); #155848=AXIS2_PLACEMENT_3D('',#211719,#168627,#168628); #155849=AXIS2_PLACEMENT_3D('',#211722,#168630,#168631); #155850=AXIS2_PLACEMENT_3D('',#211723,#168632,#168633); #155851=AXIS2_PLACEMENT_3D('',#211725,#168635,#168636); #155852=AXIS2_PLACEMENT_3D('',#211727,#168638,#168639); #155853=AXIS2_PLACEMENT_3D('',#211733,#168643,#168644); #155854=AXIS2_PLACEMENT_3D('',#211739,#168648,#168649); #155855=AXIS2_PLACEMENT_3D('',#211741,#168650,#168651); #155856=AXIS2_PLACEMENT_3D('',#211743,#168652,#168653); #155857=AXIS2_PLACEMENT_3D('',#211745,#168654,#168655); #155858=AXIS2_PLACEMENT_3D('',#211749,#168657,#168658); #155859=AXIS2_PLACEMENT_3D('',#211753,#168660,#168661); #155860=AXIS2_PLACEMENT_3D('',#211757,#168663,#168664); #155861=AXIS2_PLACEMENT_3D('',#211759,#168665,#168666); #155862=AXIS2_PLACEMENT_3D('',#211761,#168667,#168668); #155863=AXIS2_PLACEMENT_3D('',#211764,#168670,#168671); #155864=AXIS2_PLACEMENT_3D('',#211769,#168673,#168674); #155865=AXIS2_PLACEMENT_3D('',#211773,#168676,#168677); #155866=AXIS2_PLACEMENT_3D('',#211777,#168679,#168680); #155867=AXIS2_PLACEMENT_3D('',#211780,#168682,#168683); #155868=AXIS2_PLACEMENT_3D('',#211781,#168684,#168685); #155869=AXIS2_PLACEMENT_3D('',#211783,#168686,#168687); #155870=AXIS2_PLACEMENT_3D('',#211787,#168689,#168690); #155871=AXIS2_PLACEMENT_3D('',#211789,#168691,#168692); #155872=AXIS2_PLACEMENT_3D('',#211791,#168693,#168694); #155873=AXIS2_PLACEMENT_3D('',#211795,#168696,#168697); #155874=AXIS2_PLACEMENT_3D('',#211799,#168699,#168700); #155875=AXIS2_PLACEMENT_3D('',#211803,#168702,#168703); #155876=AXIS2_PLACEMENT_3D('',#211805,#168704,#168705); #155877=AXIS2_PLACEMENT_3D('',#211806,#168706,#168707); #155878=AXIS2_PLACEMENT_3D('',#211808,#168708,#168709); #155879=AXIS2_PLACEMENT_3D('',#211810,#168710,#168711); #155880=AXIS2_PLACEMENT_3D('',#211812,#168712,#168713); #155881=AXIS2_PLACEMENT_3D('',#211816,#168715,#168716); #155882=AXIS2_PLACEMENT_3D('',#211820,#168718,#168719); #155883=AXIS2_PLACEMENT_3D('',#211824,#168721,#168722); #155884=AXIS2_PLACEMENT_3D('',#211826,#168723,#168724); #155885=AXIS2_PLACEMENT_3D('',#211828,#168725,#168726); #155886=AXIS2_PLACEMENT_3D('',#211831,#168728,#168729); #155887=AXIS2_PLACEMENT_3D('',#211832,#168730,#168731); #155888=AXIS2_PLACEMENT_3D('',#211835,#168732,#168733); #155889=AXIS2_PLACEMENT_3D('',#211838,#168736,#168737); #155890=AXIS2_PLACEMENT_3D('',#211842,#168740,#168741); #155891=AXIS2_PLACEMENT_3D('',#211845,#168742,#168743); #155892=AXIS2_PLACEMENT_3D('',#211848,#168746,#168747); #155893=AXIS2_PLACEMENT_3D('',#211853,#168750,#168751); #155894=AXIS2_PLACEMENT_3D('',#211856,#168753,#168754); #155895=AXIS2_PLACEMENT_3D('',#211857,#168755,#168756); #155896=AXIS2_PLACEMENT_3D('',#211859,#168758,#168759); #155897=AXIS2_PLACEMENT_3D('',#211861,#168761,#168762); #155898=AXIS2_PLACEMENT_3D('',#211863,#168764,#168765); #155899=AXIS2_PLACEMENT_3D('',#211864,#168766,#168767); #155900=AXIS2_PLACEMENT_3D('',#211865,#168768,#168769); #155901=AXIS2_PLACEMENT_3D('',#211868,#168772,#168773); #155902=AXIS2_PLACEMENT_3D('',#211869,#168774,#168775); #155903=AXIS2_PLACEMENT_3D('',#211871,#168777,#168778); #155904=AXIS2_PLACEMENT_3D('',#211873,#168780,#168781); #155905=AXIS2_PLACEMENT_3D('',#211875,#168783,#168784); #155906=AXIS2_PLACEMENT_3D('',#211877,#168786,#168787); #155907=AXIS2_PLACEMENT_3D('',#211879,#168789,#168790); #155908=AXIS2_PLACEMENT_3D('',#211881,#168792,#168793); #155909=AXIS2_PLACEMENT_3D('',#211883,#168795,#168796); #155910=AXIS2_PLACEMENT_3D('',#211885,#168798,#168799); #155911=AXIS2_PLACEMENT_3D('',#211887,#168801,#168802); #155912=AXIS2_PLACEMENT_3D('',#211889,#168804,#168805); #155913=AXIS2_PLACEMENT_3D('',#211890,#168806,#168807); #155914=AXIS2_PLACEMENT_3D('',#211894,#168809,#168810); #155915=AXIS2_PLACEMENT_3D('',#211896,#168812,#168813); #155916=AXIS2_PLACEMENT_3D('',#211900,#168816,#168817); #155917=AXIS2_PLACEMENT_3D('',#211903,#168819,#168820); #155918=AXIS2_PLACEMENT_3D('',#211904,#168821,#168822); #155919=AXIS2_PLACEMENT_3D('',#211908,#168824,#168825); #155920=AXIS2_PLACEMENT_3D('',#211910,#168827,#168828); #155921=AXIS2_PLACEMENT_3D('',#211912,#168830,#168831); #155922=AXIS2_PLACEMENT_3D('',#211916,#168834,#168835); #155923=AXIS2_PLACEMENT_3D('',#211918,#168836,#168837); #155924=AXIS2_PLACEMENT_3D('',#211920,#168839,#168840); #155925=AXIS2_PLACEMENT_3D('',#211922,#168841,#168842); #155926=AXIS2_PLACEMENT_3D('',#211924,#168844,#168845); #155927=AXIS2_PLACEMENT_3D('',#211926,#168846,#168847); #155928=AXIS2_PLACEMENT_3D('',#211928,#168849,#168850); #155929=AXIS2_PLACEMENT_3D('',#211930,#168852,#168853); #155930=AXIS2_PLACEMENT_3D('',#211932,#168854,#168855); #155931=AXIS2_PLACEMENT_3D('',#211934,#168857,#168858); #155932=AXIS2_PLACEMENT_3D('',#211936,#168859,#168860); #155933=AXIS2_PLACEMENT_3D('',#211938,#168862,#168863); #155934=AXIS2_PLACEMENT_3D('',#211939,#168864,#168865); #155935=AXIS2_PLACEMENT_3D('',#211940,#168866,#168867); #155936=AXIS2_PLACEMENT_3D('',#211949,#168872,#168873); #155937=AXIS2_PLACEMENT_3D('',#211951,#168874,#168875); #155938=AXIS2_PLACEMENT_3D('',#211954,#168877,#168878); #155939=AXIS2_PLACEMENT_3D('',#211955,#168879,#168880); #155940=AXIS2_PLACEMENT_3D('',#211958,#168881,#168882); #155941=AXIS2_PLACEMENT_3D('',#211962,#168884,#168885); #155942=AXIS2_PLACEMENT_3D('',#211964,#168887,#168888); #155943=AXIS2_PLACEMENT_3D('',#211970,#168892,#168893); #155944=AXIS2_PLACEMENT_3D('',#211973,#168894,#168895); #155945=AXIS2_PLACEMENT_3D('',#211977,#168897,#168898); #155946=AXIS2_PLACEMENT_3D('',#211979,#168900,#168901); #155947=AXIS2_PLACEMENT_3D('',#211985,#168905,#168906); #155948=AXIS2_PLACEMENT_3D('',#211988,#168907,#168908); #155949=AXIS2_PLACEMENT_3D('',#211992,#168910,#168911); #155950=AXIS2_PLACEMENT_3D('',#211994,#168913,#168914); #155951=AXIS2_PLACEMENT_3D('',#211999,#168917,#168918); #155952=AXIS2_PLACEMENT_3D('',#212002,#168920,#168921); #155953=AXIS2_PLACEMENT_3D('',#212003,#168922,#168923); #155954=AXIS2_PLACEMENT_3D('',#212007,#168926,#168927); #155955=AXIS2_PLACEMENT_3D('',#212009,#168928,#168929); #155956=AXIS2_PLACEMENT_3D('',#212011,#168931,#168932); #155957=AXIS2_PLACEMENT_3D('',#212015,#168935,#168936); #155958=AXIS2_PLACEMENT_3D('',#212016,#168937,#168938); #155959=AXIS2_PLACEMENT_3D('',#212017,#168939,#168940); #155960=AXIS2_PLACEMENT_3D('',#212023,#168944,#168945); #155961=AXIS2_PLACEMENT_3D('',#212025,#168947,#168948); #155962=AXIS2_PLACEMENT_3D('',#212031,#168952,#168953); #155963=AXIS2_PLACEMENT_3D('',#212037,#168957,#168958); #155964=AXIS2_PLACEMENT_3D('',#212039,#168960,#168961); #155965=AXIS2_PLACEMENT_3D('',#212045,#168965,#168966); #155966=AXIS2_PLACEMENT_3D('',#212047,#168968,#168969); #155967=AXIS2_PLACEMENT_3D('',#212053,#168973,#168974); #155968=AXIS2_PLACEMENT_3D('',#212059,#168978,#168979); #155969=AXIS2_PLACEMENT_3D('',#212061,#168981,#168982); #155970=AXIS2_PLACEMENT_3D('',#212069,#168986,#168987); #155971=AXIS2_PLACEMENT_3D('',#212077,#168991,#168992); #155972=AXIS2_PLACEMENT_3D('',#212081,#168994,#168995); #155973=AXIS2_PLACEMENT_3D('',#212089,#168999,#169000); #155974=AXIS2_PLACEMENT_3D('',#212093,#169002,#169003); #155975=AXIS2_PLACEMENT_3D('',#212101,#169007,#169008); #155976=AXIS2_PLACEMENT_3D('',#212105,#169010,#169011); #155977=AXIS2_PLACEMENT_3D('',#212111,#169015,#169016); #155978=AXIS2_PLACEMENT_3D('',#212113,#169018,#169019); #155979=AXIS2_PLACEMENT_3D('',#212123,#169025,#169026); #155980=AXIS2_PLACEMENT_3D('',#212127,#169028,#169029); #155981=AXIS2_PLACEMENT_3D('',#212135,#169033,#169034); #155982=AXIS2_PLACEMENT_3D('',#212139,#169036,#169037); #155983=AXIS2_PLACEMENT_3D('',#212147,#169041,#169042); #155984=AXIS2_PLACEMENT_3D('',#212151,#169044,#169045); #155985=AXIS2_PLACEMENT_3D('',#212159,#169049,#169050); #155986=AXIS2_PLACEMENT_3D('',#212166,#169054,#169055); #155987=AXIS2_PLACEMENT_3D('',#212168,#169056,#169057); #155988=AXIS2_PLACEMENT_3D('',#212170,#169059,#169060); #155989=AXIS2_PLACEMENT_3D('',#212171,#169061,#169062); #155990=AXIS2_PLACEMENT_3D('',#212174,#169064,#169065); #155991=AXIS2_PLACEMENT_3D('',#212175,#169066,#169067); #155992=AXIS2_PLACEMENT_3D('',#212177,#169068,#169069); #155993=AXIS2_PLACEMENT_3D('',#212178,#169070,#169071); #155994=AXIS2_PLACEMENT_3D('',#212181,#169073,#169074); #155995=AXIS2_PLACEMENT_3D('',#212182,#169075,#169076); #155996=AXIS2_PLACEMENT_3D('',#212184,#169077,#169078); #155997=AXIS2_PLACEMENT_3D('',#212185,#169079,#169080); #155998=AXIS2_PLACEMENT_3D('',#212187,#169081,#169082); #155999=AXIS2_PLACEMENT_3D('',#212189,#169084,#169085); #156000=AXIS2_PLACEMENT_3D('',#212191,#169086,#169087); #156001=AXIS2_PLACEMENT_3D('',#212193,#169089,#169090); #156002=AXIS2_PLACEMENT_3D('',#212199,#169094,#169095); #156003=AXIS2_PLACEMENT_3D('',#212203,#169098,#169099); #156004=AXIS2_PLACEMENT_3D('',#212207,#169102,#169103); #156005=AXIS2_PLACEMENT_3D('',#212211,#169106,#169107); #156006=AXIS2_PLACEMENT_3D('',#212215,#169110,#169111); #156007=AXIS2_PLACEMENT_3D('',#212221,#169115,#169116); #156008=AXIS2_PLACEMENT_3D('',#212223,#169117,#169118); #156009=AXIS2_PLACEMENT_3D('',#212225,#169120,#169121); #156010=AXIS2_PLACEMENT_3D('',#212229,#169124,#169125); #156011=AXIS2_PLACEMENT_3D('',#212231,#169126,#169127); #156012=AXIS2_PLACEMENT_3D('',#212233,#169129,#169130); #156013=AXIS2_PLACEMENT_3D('',#212237,#169133,#169134); #156014=AXIS2_PLACEMENT_3D('',#212241,#169137,#169138); #156015=AXIS2_PLACEMENT_3D('',#212243,#169139,#169140); #156016=AXIS2_PLACEMENT_3D('',#212245,#169142,#169143); #156017=AXIS2_PLACEMENT_3D('',#212249,#169146,#169147); #156018=AXIS2_PLACEMENT_3D('',#212253,#169149,#169150); #156019=AXIS2_PLACEMENT_3D('',#212255,#169152,#169153); #156020=AXIS2_PLACEMENT_3D('',#212259,#169156,#169157); #156021=AXIS2_PLACEMENT_3D('',#212265,#169161,#169162); #156022=AXIS2_PLACEMENT_3D('',#212267,#169163,#169164); #156023=AXIS2_PLACEMENT_3D('',#212269,#169166,#169167); #156024=AXIS2_PLACEMENT_3D('',#212271,#169169,#169170); #156025=AXIS2_PLACEMENT_3D('',#212277,#169174,#169175); #156026=AXIS2_PLACEMENT_3D('',#212283,#169179,#169180); #156027=AXIS2_PLACEMENT_3D('',#212285,#169181,#169182); #156028=AXIS2_PLACEMENT_3D('',#212287,#169184,#169185); #156029=AXIS2_PLACEMENT_3D('',#212291,#169188,#169189); #156030=AXIS2_PLACEMENT_3D('',#212292,#169190,#169191); #156031=AXIS2_PLACEMENT_3D('',#212293,#169192,#169193); #156032=AXIS2_PLACEMENT_3D('',#212297,#169195,#169196); #156033=AXIS2_PLACEMENT_3D('',#212299,#169198,#169199); #156034=AXIS2_PLACEMENT_3D('',#212303,#169202,#169203); #156035=AXIS2_PLACEMENT_3D('',#212309,#169207,#169208); #156036=AXIS2_PLACEMENT_3D('',#212311,#169209,#169210); #156037=AXIS2_PLACEMENT_3D('',#212313,#169212,#169213); #156038=AXIS2_PLACEMENT_3D('',#212315,#169215,#169216); #156039=AXIS2_PLACEMENT_3D('',#212321,#169220,#169221); #156040=AXIS2_PLACEMENT_3D('',#212327,#169225,#169226); #156041=AXIS2_PLACEMENT_3D('',#212329,#169227,#169228); #156042=AXIS2_PLACEMENT_3D('',#212331,#169230,#169231); #156043=AXIS2_PLACEMENT_3D('',#212335,#169234,#169235); #156044=AXIS2_PLACEMENT_3D('',#212336,#169236,#169237); #156045=AXIS2_PLACEMENT_3D('',#212337,#169238,#169239); #156046=AXIS2_PLACEMENT_3D('',#212343,#169243,#169244); #156047=AXIS2_PLACEMENT_3D('',#212345,#169245,#169246); #156048=AXIS2_PLACEMENT_3D('',#212347,#169248,#169249); #156049=AXIS2_PLACEMENT_3D('',#212349,#169251,#169252); #156050=AXIS2_PLACEMENT_3D('',#212355,#169256,#169257); #156051=AXIS2_PLACEMENT_3D('',#212361,#169261,#169262); #156052=AXIS2_PLACEMENT_3D('',#212363,#169263,#169264); #156053=AXIS2_PLACEMENT_3D('',#212365,#169266,#169267); #156054=AXIS2_PLACEMENT_3D('',#212369,#169270,#169271); #156055=AXIS2_PLACEMENT_3D('',#212370,#169272,#169273); #156056=AXIS2_PLACEMENT_3D('',#212371,#169274,#169275); #156057=AXIS2_PLACEMENT_3D('',#212373,#169277,#169278); #156058=AXIS2_PLACEMENT_3D('',#212375,#169280,#169281); #156059=AXIS2_PLACEMENT_3D('',#212377,#169283,#169284); #156060=AXIS2_PLACEMENT_3D('',#212379,#169286,#169287); #156061=AXIS2_PLACEMENT_3D('',#212381,#169289,#169290); #156062=AXIS2_PLACEMENT_3D('',#212383,#169292,#169293); #156063=AXIS2_PLACEMENT_3D('',#212385,#169295,#169296); #156064=AXIS2_PLACEMENT_3D('',#212387,#169298,#169299); #156065=AXIS2_PLACEMENT_3D('',#212388,#169300,#169301); #156066=AXIS2_PLACEMENT_3D('',#212389,#169302,#169303); #156067=AXIS2_PLACEMENT_3D('',#212392,#169304,#169305); #156068=AXIS2_PLACEMENT_3D('',#212396,#169307,#169308); #156069=AXIS2_PLACEMENT_3D('',#212398,#169310,#169311); #156070=AXIS2_PLACEMENT_3D('',#212400,#169312,#169313); #156071=AXIS2_PLACEMENT_3D('',#212403,#169315,#169316); #156072=AXIS2_PLACEMENT_3D('',#212404,#169317,#169318); #156073=AXIS2_PLACEMENT_3D('',#212410,#169322,#169323); #156074=AXIS2_PLACEMENT_3D('',#212416,#169327,#169328); #156075=AXIS2_PLACEMENT_3D('',#212422,#169332,#169333); #156076=AXIS2_PLACEMENT_3D('',#212428,#169337,#169338); #156077=AXIS2_PLACEMENT_3D('',#212429,#169339,#169340); #156078=AXIS2_PLACEMENT_3D('',#212430,#169341,#169342); #156079=AXIS2_PLACEMENT_3D('',#212431,#169343,#169344); #156080=AXIS2_PLACEMENT_3D('',#212440,#169349,#169350); #156081=AXIS2_PLACEMENT_3D('',#212442,#169351,#169352); #156082=AXIS2_PLACEMENT_3D('',#212445,#169354,#169355); #156083=AXIS2_PLACEMENT_3D('',#212446,#169356,#169357); #156084=AXIS2_PLACEMENT_3D('',#212448,#169358,#169359); #156085=AXIS2_PLACEMENT_3D('',#212451,#169361,#169362); #156086=AXIS2_PLACEMENT_3D('',#212452,#169363,#169364); #156087=AXIS2_PLACEMENT_3D('',#212454,#169365,#169366); #156088=AXIS2_PLACEMENT_3D('',#212457,#169368,#169369); #156089=AXIS2_PLACEMENT_3D('',#212458,#169370,#169371); #156090=AXIS2_PLACEMENT_3D('',#212460,#169372,#169373); #156091=AXIS2_PLACEMENT_3D('',#212463,#169375,#169376); #156092=AXIS2_PLACEMENT_3D('',#212464,#169377,#169378); #156093=AXIS2_PLACEMENT_3D('',#212470,#169382,#169383); #156094=AXIS2_PLACEMENT_3D('',#212476,#169387,#169388); #156095=AXIS2_PLACEMENT_3D('',#212482,#169392,#169393); #156096=AXIS2_PLACEMENT_3D('',#212488,#169397,#169398); #156097=AXIS2_PLACEMENT_3D('',#212494,#169402,#169403); #156098=AXIS2_PLACEMENT_3D('',#212500,#169407,#169408); #156099=AXIS2_PLACEMENT_3D('',#212506,#169412,#169413); #156100=AXIS2_PLACEMENT_3D('',#212512,#169417,#169418); #156101=AXIS2_PLACEMENT_3D('',#212518,#169422,#169423); #156102=AXIS2_PLACEMENT_3D('',#212524,#169427,#169428); #156103=AXIS2_PLACEMENT_3D('',#212530,#169432,#169433); #156104=AXIS2_PLACEMENT_3D('',#212536,#169437,#169438); #156105=AXIS2_PLACEMENT_3D('',#212542,#169442,#169443); #156106=AXIS2_PLACEMENT_3D('',#212544,#169444,#169445); #156107=AXIS2_PLACEMENT_3D('',#212547,#169447,#169448); #156108=AXIS2_PLACEMENT_3D('',#212548,#169449,#169450); #156109=AXIS2_PLACEMENT_3D('',#212554,#169454,#169455); #156110=AXIS2_PLACEMENT_3D('',#212560,#169459,#169460); #156111=AXIS2_PLACEMENT_3D('',#212566,#169464,#169465); #156112=AXIS2_PLACEMENT_3D('',#212572,#169469,#169470); #156113=AXIS2_PLACEMENT_3D('',#212578,#169474,#169475); #156114=AXIS2_PLACEMENT_3D('',#212584,#169479,#169480); #156115=AXIS2_PLACEMENT_3D('',#212590,#169484,#169485); #156116=AXIS2_PLACEMENT_3D('',#212592,#169486,#169487); #156117=AXIS2_PLACEMENT_3D('',#212595,#169489,#169490); #156118=AXIS2_PLACEMENT_3D('',#212596,#169491,#169492); #156119=AXIS2_PLACEMENT_3D('',#212602,#169496,#169497); #156120=AXIS2_PLACEMENT_3D('',#212604,#169498,#169499); #156121=AXIS2_PLACEMENT_3D('',#212607,#169501,#169502); #156122=AXIS2_PLACEMENT_3D('',#212608,#169503,#169504); #156123=AXIS2_PLACEMENT_3D('',#212614,#169508,#169509); #156124=AXIS2_PLACEMENT_3D('',#212620,#169513,#169514); #156125=AXIS2_PLACEMENT_3D('',#212626,#169518,#169519); #156126=AXIS2_PLACEMENT_3D('',#212632,#169523,#169524); #156127=AXIS2_PLACEMENT_3D('',#212634,#169525,#169526); #156128=AXIS2_PLACEMENT_3D('',#212637,#169528,#169529); #156129=AXIS2_PLACEMENT_3D('',#212638,#169530,#169531); #156130=AXIS2_PLACEMENT_3D('',#212644,#169535,#169536); #156131=AXIS2_PLACEMENT_3D('',#212650,#169540,#169541); #156132=AXIS2_PLACEMENT_3D('',#212656,#169545,#169546); #156133=AXIS2_PLACEMENT_3D('',#212662,#169550,#169551); #156134=AXIS2_PLACEMENT_3D('',#212668,#169555,#169556); #156135=AXIS2_PLACEMENT_3D('',#212674,#169560,#169561); #156136=AXIS2_PLACEMENT_3D('',#212680,#169565,#169566); #156137=AXIS2_PLACEMENT_3D('',#212686,#169570,#169571); #156138=AXIS2_PLACEMENT_3D('',#212692,#169575,#169576); #156139=AXIS2_PLACEMENT_3D('',#212698,#169580,#169581); #156140=AXIS2_PLACEMENT_3D('',#212704,#169585,#169586); #156141=AXIS2_PLACEMENT_3D('',#212710,#169590,#169591); #156142=AXIS2_PLACEMENT_3D('',#212716,#169595,#169596); #156143=AXIS2_PLACEMENT_3D('',#212722,#169600,#169601); #156144=AXIS2_PLACEMENT_3D('',#212728,#169605,#169606); #156145=AXIS2_PLACEMENT_3D('',#212734,#169610,#169611); #156146=AXIS2_PLACEMENT_3D('',#212740,#169615,#169616); #156147=AXIS2_PLACEMENT_3D('',#212746,#169620,#169621); #156148=AXIS2_PLACEMENT_3D('',#212752,#169625,#169626); #156149=AXIS2_PLACEMENT_3D('',#212758,#169630,#169631); #156150=AXIS2_PLACEMENT_3D('',#212764,#169635,#169636); #156151=AXIS2_PLACEMENT_3D('',#212770,#169640,#169641); #156152=AXIS2_PLACEMENT_3D('',#212772,#169642,#169643); #156153=AXIS2_PLACEMENT_3D('',#212775,#169645,#169646); #156154=AXIS2_PLACEMENT_3D('',#212776,#169647,#169648); #156155=AXIS2_PLACEMENT_3D('',#212782,#169652,#169653); #156156=AXIS2_PLACEMENT_3D('',#212788,#169657,#169658); #156157=AXIS2_PLACEMENT_3D('',#212790,#169659,#169660); #156158=AXIS2_PLACEMENT_3D('',#212793,#169662,#169663); #156159=AXIS2_PLACEMENT_3D('',#212794,#169664,#169665); #156160=AXIS2_PLACEMENT_3D('',#212796,#169666,#169667); #156161=AXIS2_PLACEMENT_3D('',#212799,#169669,#169670); #156162=AXIS2_PLACEMENT_3D('',#212800,#169671,#169672); #156163=AXIS2_PLACEMENT_3D('',#212806,#169676,#169677); #156164=AXIS2_PLACEMENT_3D('',#212812,#169681,#169682); #156165=AXIS2_PLACEMENT_3D('',#212818,#169686,#169687); #156166=AXIS2_PLACEMENT_3D('',#212824,#169691,#169692); #156167=AXIS2_PLACEMENT_3D('',#212830,#169696,#169697); #156168=AXIS2_PLACEMENT_3D('',#212836,#169701,#169702); #156169=AXIS2_PLACEMENT_3D('',#212842,#169706,#169707); #156170=AXIS2_PLACEMENT_3D('',#212848,#169711,#169712); #156171=AXIS2_PLACEMENT_3D('',#212854,#169716,#169717); #156172=AXIS2_PLACEMENT_3D('',#212860,#169721,#169722); #156173=AXIS2_PLACEMENT_3D('',#212866,#169726,#169727); #156174=AXIS2_PLACEMENT_3D('',#212872,#169731,#169732); #156175=AXIS2_PLACEMENT_3D('',#212878,#169736,#169737); #156176=AXIS2_PLACEMENT_3D('',#212884,#169741,#169742); #156177=AXIS2_PLACEMENT_3D('',#212890,#169746,#169747); #156178=AXIS2_PLACEMENT_3D('',#212896,#169751,#169752); #156179=AXIS2_PLACEMENT_3D('',#212902,#169756,#169757); #156180=AXIS2_PLACEMENT_3D('',#212908,#169761,#169762); #156181=AXIS2_PLACEMENT_3D('',#212914,#169766,#169767); #156182=AXIS2_PLACEMENT_3D('',#212920,#169771,#169772); #156183=AXIS2_PLACEMENT_3D('',#212926,#169776,#169777); #156184=AXIS2_PLACEMENT_3D('',#212932,#169781,#169782); #156185=AXIS2_PLACEMENT_3D('',#212938,#169786,#169787); #156186=AXIS2_PLACEMENT_3D('',#212944,#169791,#169792); #156187=AXIS2_PLACEMENT_3D('',#212946,#169793,#169794); #156188=AXIS2_PLACEMENT_3D('',#212949,#169796,#169797); #156189=AXIS2_PLACEMENT_3D('',#212950,#169798,#169799); #156190=AXIS2_PLACEMENT_3D('',#212956,#169803,#169804); #156191=AXIS2_PLACEMENT_3D('',#212962,#169808,#169809); #156192=AXIS2_PLACEMENT_3D('',#212968,#169813,#169814); #156193=AXIS2_PLACEMENT_3D('',#212970,#169815,#169816); #156194=AXIS2_PLACEMENT_3D('',#212973,#169818,#169819); #156195=AXIS2_PLACEMENT_3D('',#212974,#169820,#169821); #156196=AXIS2_PLACEMENT_3D('',#212980,#169825,#169826); #156197=AXIS2_PLACEMENT_3D('',#212982,#169827,#169828); #156198=AXIS2_PLACEMENT_3D('',#212985,#169830,#169831); #156199=AXIS2_PLACEMENT_3D('',#212986,#169832,#169833); #156200=AXIS2_PLACEMENT_3D('',#212992,#169837,#169838); #156201=AXIS2_PLACEMENT_3D('',#212998,#169842,#169843); #156202=AXIS2_PLACEMENT_3D('',#213004,#169847,#169848); #156203=AXIS2_PLACEMENT_3D('',#213010,#169852,#169853); #156204=AXIS2_PLACEMENT_3D('',#213016,#169857,#169858); #156205=AXIS2_PLACEMENT_3D('',#213022,#169862,#169863); #156206=AXIS2_PLACEMENT_3D('',#213028,#169867,#169868); #156207=AXIS2_PLACEMENT_3D('',#213034,#169872,#169873); #156208=AXIS2_PLACEMENT_3D('',#213040,#169877,#169878); #156209=AXIS2_PLACEMENT_3D('',#213046,#169882,#169883); #156210=AXIS2_PLACEMENT_3D('',#213052,#169887,#169888); #156211=AXIS2_PLACEMENT_3D('',#213058,#169892,#169893); #156212=AXIS2_PLACEMENT_3D('',#213064,#169897,#169898); #156213=AXIS2_PLACEMENT_3D('',#213066,#169899,#169900); #156214=AXIS2_PLACEMENT_3D('',#213069,#169902,#169903); #156215=AXIS2_PLACEMENT_3D('',#213070,#169904,#169905); #156216=AXIS2_PLACEMENT_3D('',#213076,#169909,#169910); #156217=AXIS2_PLACEMENT_3D('',#213082,#169914,#169915); #156218=AXIS2_PLACEMENT_3D('',#213088,#169919,#169920); #156219=AXIS2_PLACEMENT_3D('',#213090,#169921,#169922); #156220=AXIS2_PLACEMENT_3D('',#213093,#169924,#169925); #156221=AXIS2_PLACEMENT_3D('',#213094,#169926,#169927); #156222=AXIS2_PLACEMENT_3D('',#213096,#169928,#169929); #156223=AXIS2_PLACEMENT_3D('',#213099,#169931,#169932); #156224=AXIS2_PLACEMENT_3D('',#213100,#169933,#169934); #156225=AXIS2_PLACEMENT_3D('',#213101,#169935,#169936); #156226=AXIS2_PLACEMENT_3D('',#213102,#169937,#169938); #156227=AXIS2_PLACEMENT_3D('',#213103,#169939,#169940); #156228=AXIS2_PLACEMENT_3D('',#213104,#169941,#169942); #156229=AXIS2_PLACEMENT_3D('',#213107,#169943,#169944); #156230=AXIS2_PLACEMENT_3D('',#213111,#169946,#169947); #156231=AXIS2_PLACEMENT_3D('',#213113,#169949,#169950); #156232=AXIS2_PLACEMENT_3D('',#213119,#169954,#169955); #156233=AXIS2_PLACEMENT_3D('',#213125,#169959,#169960); #156234=AXIS2_PLACEMENT_3D('',#213131,#169964,#169965); #156235=AXIS2_PLACEMENT_3D('',#213137,#169969,#169970); #156236=AXIS2_PLACEMENT_3D('',#213143,#169974,#169975); #156237=AXIS2_PLACEMENT_3D('',#213149,#169979,#169980); #156238=AXIS2_PLACEMENT_3D('',#213155,#169984,#169985); #156239=AXIS2_PLACEMENT_3D('',#213157,#169986,#169987); #156240=AXIS2_PLACEMENT_3D('',#213160,#169989,#169990); #156241=AXIS2_PLACEMENT_3D('',#213161,#169991,#169992); #156242=AXIS2_PLACEMENT_3D('',#213163,#169993,#169994); #156243=AXIS2_PLACEMENT_3D('',#213166,#169996,#169997); #156244=AXIS2_PLACEMENT_3D('',#213167,#169998,#169999); #156245=AXIS2_PLACEMENT_3D('',#213168,#170000,#170001); #156246=AXIS2_PLACEMENT_3D('',#213169,#170002,#170003); #156247=AXIS2_PLACEMENT_3D('',#213170,#170004,#170005); #156248=AXIS2_PLACEMENT_3D('',#213179,#170010,#170011); #156249=AXIS2_PLACEMENT_3D('',#213185,#170015,#170016); #156250=AXIS2_PLACEMENT_3D('',#213191,#170020,#170021); #156251=AXIS2_PLACEMENT_3D('',#213197,#170025,#170026); #156252=AXIS2_PLACEMENT_3D('',#213203,#170030,#170031); #156253=AXIS2_PLACEMENT_3D('',#213209,#170035,#170036); #156254=AXIS2_PLACEMENT_3D('',#213215,#170040,#170041); #156255=AXIS2_PLACEMENT_3D('',#213221,#170045,#170046); #156256=AXIS2_PLACEMENT_3D('',#213227,#170050,#170051); #156257=AXIS2_PLACEMENT_3D('',#213233,#170055,#170056); #156258=AXIS2_PLACEMENT_3D('',#213239,#170060,#170061); #156259=AXIS2_PLACEMENT_3D('',#213245,#170065,#170066); #156260=AXIS2_PLACEMENT_3D('',#213251,#170070,#170071); #156261=AXIS2_PLACEMENT_3D('',#213257,#170075,#170076); #156262=AXIS2_PLACEMENT_3D('',#213263,#170080,#170081); #156263=AXIS2_PLACEMENT_3D('',#213269,#170085,#170086); #156264=AXIS2_PLACEMENT_3D('',#213275,#170090,#170091); #156265=AXIS2_PLACEMENT_3D('',#213281,#170095,#170096); #156266=AXIS2_PLACEMENT_3D('',#213287,#170100,#170101); #156267=AXIS2_PLACEMENT_3D('',#213289,#170102,#170103); #156268=AXIS2_PLACEMENT_3D('',#213292,#170105,#170106); #156269=AXIS2_PLACEMENT_3D('',#213293,#170107,#170108); #156270=AXIS2_PLACEMENT_3D('',#213299,#170112,#170113); #156271=AXIS2_PLACEMENT_3D('',#213305,#170117,#170118); #156272=AXIS2_PLACEMENT_3D('',#213311,#170122,#170123); #156273=AXIS2_PLACEMENT_3D('',#213313,#170124,#170125); #156274=AXIS2_PLACEMENT_3D('',#213316,#170127,#170128); #156275=AXIS2_PLACEMENT_3D('',#213317,#170129,#170130); #156276=AXIS2_PLACEMENT_3D('',#213319,#170131,#170132); #156277=AXIS2_PLACEMENT_3D('',#213322,#170134,#170135); #156278=AXIS2_PLACEMENT_3D('',#213323,#170136,#170137); #156279=AXIS2_PLACEMENT_3D('',#213329,#170141,#170142); #156280=AXIS2_PLACEMENT_3D('',#213335,#170146,#170147); #156281=AXIS2_PLACEMENT_3D('',#213341,#170151,#170152); #156282=AXIS2_PLACEMENT_3D('',#213347,#170156,#170157); #156283=AXIS2_PLACEMENT_3D('',#213353,#170161,#170162); #156284=AXIS2_PLACEMENT_3D('',#213359,#170166,#170167); #156285=AXIS2_PLACEMENT_3D('',#213365,#170171,#170172); #156286=AXIS2_PLACEMENT_3D('',#213371,#170176,#170177); #156287=AXIS2_PLACEMENT_3D('',#213377,#170181,#170182); #156288=AXIS2_PLACEMENT_3D('',#213383,#170186,#170187); #156289=AXIS2_PLACEMENT_3D('',#213389,#170191,#170192); #156290=AXIS2_PLACEMENT_3D('',#213395,#170196,#170197); #156291=AXIS2_PLACEMENT_3D('',#213401,#170201,#170202); #156292=AXIS2_PLACEMENT_3D('',#213407,#170206,#170207); #156293=AXIS2_PLACEMENT_3D('',#213413,#170211,#170212); #156294=AXIS2_PLACEMENT_3D('',#213419,#170216,#170217); #156295=AXIS2_PLACEMENT_3D('',#213425,#170221,#170222); #156296=AXIS2_PLACEMENT_3D('',#213431,#170226,#170227); #156297=AXIS2_PLACEMENT_3D('',#213437,#170231,#170232); #156298=AXIS2_PLACEMENT_3D('',#213443,#170236,#170237); #156299=AXIS2_PLACEMENT_3D('',#213449,#170241,#170242); #156300=AXIS2_PLACEMENT_3D('',#213455,#170246,#170247); #156301=AXIS2_PLACEMENT_3D('',#213461,#170251,#170252); #156302=AXIS2_PLACEMENT_3D('',#213467,#170256,#170257); #156303=AXIS2_PLACEMENT_3D('',#213473,#170261,#170262); #156304=AXIS2_PLACEMENT_3D('',#213475,#170263,#170264); #156305=AXIS2_PLACEMENT_3D('',#213478,#170266,#170267); #156306=AXIS2_PLACEMENT_3D('',#213479,#170268,#170269); #156307=AXIS2_PLACEMENT_3D('',#213485,#170273,#170274); #156308=AXIS2_PLACEMENT_3D('',#213491,#170278,#170279); #156309=AXIS2_PLACEMENT_3D('',#213497,#170283,#170284); #156310=AXIS2_PLACEMENT_3D('',#213503,#170288,#170289); #156311=AXIS2_PLACEMENT_3D('',#213509,#170293,#170294); #156312=AXIS2_PLACEMENT_3D('',#213515,#170298,#170299); #156313=AXIS2_PLACEMENT_3D('',#213521,#170303,#170304); #156314=AXIS2_PLACEMENT_3D('',#213527,#170308,#170309); #156315=AXIS2_PLACEMENT_3D('',#213533,#170313,#170314); #156316=AXIS2_PLACEMENT_3D('',#213539,#170318,#170319); #156317=AXIS2_PLACEMENT_3D('',#213545,#170323,#170324); #156318=AXIS2_PLACEMENT_3D('',#213551,#170328,#170329); #156319=AXIS2_PLACEMENT_3D('',#213557,#170333,#170334); #156320=AXIS2_PLACEMENT_3D('',#213563,#170338,#170339); #156321=AXIS2_PLACEMENT_3D('',#213569,#170343,#170344); #156322=AXIS2_PLACEMENT_3D('',#213575,#170348,#170349); #156323=AXIS2_PLACEMENT_3D('',#213581,#170353,#170354); #156324=AXIS2_PLACEMENT_3D('',#213587,#170358,#170359); #156325=AXIS2_PLACEMENT_3D('',#213593,#170363,#170364); #156326=AXIS2_PLACEMENT_3D('',#213599,#170368,#170369); #156327=AXIS2_PLACEMENT_3D('',#213605,#170373,#170374); #156328=AXIS2_PLACEMENT_3D('',#213611,#170378,#170379); #156329=AXIS2_PLACEMENT_3D('',#213617,#170383,#170384); #156330=AXIS2_PLACEMENT_3D('',#213623,#170388,#170389); #156331=AXIS2_PLACEMENT_3D('',#213629,#170393,#170394); #156332=AXIS2_PLACEMENT_3D('',#213631,#170395,#170396); #156333=AXIS2_PLACEMENT_3D('',#213634,#170398,#170399); #156334=AXIS2_PLACEMENT_3D('',#213635,#170400,#170401); #156335=AXIS2_PLACEMENT_3D('',#213637,#170402,#170403); #156336=AXIS2_PLACEMENT_3D('',#213640,#170405,#170406); #156337=AXIS2_PLACEMENT_3D('',#213641,#170407,#170408); #156338=AXIS2_PLACEMENT_3D('',#213647,#170412,#170413); #156339=AXIS2_PLACEMENT_3D('',#213653,#170417,#170418); #156340=AXIS2_PLACEMENT_3D('',#213655,#170419,#170420); #156341=AXIS2_PLACEMENT_3D('',#213658,#170422,#170423); #156342=AXIS2_PLACEMENT_3D('',#213659,#170424,#170425); #156343=AXIS2_PLACEMENT_3D('',#213661,#170426,#170427); #156344=AXIS2_PLACEMENT_3D('',#213664,#170429,#170430); #156345=AXIS2_PLACEMENT_3D('',#213665,#170431,#170432); #156346=AXIS2_PLACEMENT_3D('',#213667,#170433,#170434); #156347=AXIS2_PLACEMENT_3D('',#213670,#170436,#170437); #156348=AXIS2_PLACEMENT_3D('',#213671,#170438,#170439); #156349=AXIS2_PLACEMENT_3D('',#213673,#170440,#170441); #156350=AXIS2_PLACEMENT_3D('',#213676,#170443,#170444); #156351=AXIS2_PLACEMENT_3D('',#213677,#170445,#170446); #156352=AXIS2_PLACEMENT_3D('',#213683,#170450,#170451); #156353=AXIS2_PLACEMENT_3D('',#213689,#170455,#170456); #156354=AXIS2_PLACEMENT_3D('',#213695,#170460,#170461); #156355=AXIS2_PLACEMENT_3D('',#213701,#170465,#170466); #156356=AXIS2_PLACEMENT_3D('',#213707,#170470,#170471); #156357=AXIS2_PLACEMENT_3D('',#213713,#170475,#170476); #156358=AXIS2_PLACEMENT_3D('',#213716,#170479,#170480); #156359=AXIS2_PLACEMENT_3D('',#213717,#170481,#170482); #156360=AXIS2_PLACEMENT_3D('',#213726,#170487,#170488); #156361=AXIS2_PLACEMENT_3D('',#213728,#170489,#170490); #156362=AXIS2_PLACEMENT_3D('',#213731,#170492,#170493); #156363=AXIS2_PLACEMENT_3D('',#213732,#170494,#170495); #156364=AXIS2_PLACEMENT_3D('',#213738,#170499,#170500); #156365=AXIS2_PLACEMENT_3D('',#213744,#170504,#170505); #156366=AXIS2_PLACEMENT_3D('',#213747,#170508,#170509); #156367=AXIS2_PLACEMENT_3D('',#213748,#170510,#170511); #156368=AXIS2_PLACEMENT_3D('',#213757,#170516,#170517); #156369=AXIS2_PLACEMENT_3D('',#213763,#170521,#170522); #156370=AXIS2_PLACEMENT_3D('',#213769,#170526,#170527); #156371=AXIS2_PLACEMENT_3D('',#213775,#170531,#170532); #156372=AXIS2_PLACEMENT_3D('',#213777,#170533,#170534); #156373=AXIS2_PLACEMENT_3D('',#213780,#170536,#170537); #156374=AXIS2_PLACEMENT_3D('',#213781,#170538,#170539); #156375=AXIS2_PLACEMENT_3D('',#213787,#170543,#170544); #156376=AXIS2_PLACEMENT_3D('',#213789,#170545,#170546); #156377=AXIS2_PLACEMENT_3D('',#213792,#170548,#170549); #156378=AXIS2_PLACEMENT_3D('',#213793,#170550,#170551); #156379=AXIS2_PLACEMENT_3D('',#213799,#170555,#170556); #156380=AXIS2_PLACEMENT_3D('',#213805,#170560,#170561); #156381=AXIS2_PLACEMENT_3D('',#213811,#170565,#170566); #156382=AXIS2_PLACEMENT_3D('',#213817,#170570,#170571); #156383=AXIS2_PLACEMENT_3D('',#213823,#170575,#170576); #156384=AXIS2_PLACEMENT_3D('',#213825,#170577,#170578); #156385=AXIS2_PLACEMENT_3D('',#213828,#170580,#170581); #156386=AXIS2_PLACEMENT_3D('',#213829,#170582,#170583); #156387=AXIS2_PLACEMENT_3D('',#213835,#170587,#170588); #156388=AXIS2_PLACEMENT_3D('',#213841,#170592,#170593); #156389=AXIS2_PLACEMENT_3D('',#213843,#170594,#170595); #156390=AXIS2_PLACEMENT_3D('',#213846,#170597,#170598); #156391=AXIS2_PLACEMENT_3D('',#213847,#170599,#170600); #156392=AXIS2_PLACEMENT_3D('',#213853,#170604,#170605); #156393=AXIS2_PLACEMENT_3D('',#213855,#170606,#170607); #156394=AXIS2_PLACEMENT_3D('',#213858,#170609,#170610); #156395=AXIS2_PLACEMENT_3D('',#213859,#170611,#170612); #156396=AXIS2_PLACEMENT_3D('',#213865,#170616,#170617); #156397=AXIS2_PLACEMENT_3D('',#213871,#170621,#170622); #156398=AXIS2_PLACEMENT_3D('',#213877,#170626,#170627); #156399=AXIS2_PLACEMENT_3D('',#213883,#170631,#170632); #156400=AXIS2_PLACEMENT_3D('',#213889,#170636,#170637); #156401=AXIS2_PLACEMENT_3D('',#213895,#170641,#170642); #156402=AXIS2_PLACEMENT_3D('',#213901,#170646,#170647); #156403=AXIS2_PLACEMENT_3D('',#213907,#170651,#170652); #156404=AXIS2_PLACEMENT_3D('',#213913,#170656,#170657); #156405=AXIS2_PLACEMENT_3D('',#213915,#170658,#170659); #156406=AXIS2_PLACEMENT_3D('',#213918,#170661,#170662); #156407=AXIS2_PLACEMENT_3D('',#213919,#170663,#170664); #156408=AXIS2_PLACEMENT_3D('',#213925,#170668,#170669); #156409=AXIS2_PLACEMENT_3D('',#213931,#170673,#170674); #156410=AXIS2_PLACEMENT_3D('',#213937,#170678,#170679); #156411=AXIS2_PLACEMENT_3D('',#213943,#170683,#170684); #156412=AXIS2_PLACEMENT_3D('',#213949,#170688,#170689); #156413=AXIS2_PLACEMENT_3D('',#213955,#170693,#170694); #156414=AXIS2_PLACEMENT_3D('',#213961,#170698,#170699); #156415=AXIS2_PLACEMENT_3D('',#213967,#170703,#170704); #156416=AXIS2_PLACEMENT_3D('',#213969,#170705,#170706); #156417=AXIS2_PLACEMENT_3D('',#213972,#170708,#170709); #156418=AXIS2_PLACEMENT_3D('',#213973,#170710,#170711); #156419=AXIS2_PLACEMENT_3D('',#213975,#170712,#170713); #156420=AXIS2_PLACEMENT_3D('',#213978,#170715,#170716); #156421=AXIS2_PLACEMENT_3D('',#213979,#170717,#170718); #156422=AXIS2_PLACEMENT_3D('',#213985,#170722,#170723); #156423=AXIS2_PLACEMENT_3D('',#213991,#170727,#170728); #156424=AXIS2_PLACEMENT_3D('',#213997,#170732,#170733); #156425=AXIS2_PLACEMENT_3D('',#214003,#170737,#170738); #156426=AXIS2_PLACEMENT_3D('',#214005,#170739,#170740); #156427=AXIS2_PLACEMENT_3D('',#214008,#170742,#170743); #156428=AXIS2_PLACEMENT_3D('',#214009,#170744,#170745); #156429=AXIS2_PLACEMENT_3D('',#214011,#170746,#170747); #156430=AXIS2_PLACEMENT_3D('',#214014,#170749,#170750); #156431=AXIS2_PLACEMENT_3D('',#214015,#170751,#170752); #156432=AXIS2_PLACEMENT_3D('',#214021,#170756,#170757); #156433=AXIS2_PLACEMENT_3D('',#214027,#170761,#170762); #156434=AXIS2_PLACEMENT_3D('',#214033,#170766,#170767); #156435=AXIS2_PLACEMENT_3D('',#214039,#170771,#170772); #156436=AXIS2_PLACEMENT_3D('',#214041,#170773,#170774); #156437=AXIS2_PLACEMENT_3D('',#214044,#170776,#170777); #156438=AXIS2_PLACEMENT_3D('',#214045,#170778,#170779); #156439=AXIS2_PLACEMENT_3D('',#214051,#170783,#170784); #156440=AXIS2_PLACEMENT_3D('',#214057,#170788,#170789); #156441=AXIS2_PLACEMENT_3D('',#214063,#170793,#170794); #156442=AXIS2_PLACEMENT_3D('',#214069,#170798,#170799); #156443=AXIS2_PLACEMENT_3D('',#214075,#170803,#170804); #156444=AXIS2_PLACEMENT_3D('',#214081,#170808,#170809); #156445=AXIS2_PLACEMENT_3D('',#214087,#170813,#170814); #156446=AXIS2_PLACEMENT_3D('',#214093,#170818,#170819); #156447=AXIS2_PLACEMENT_3D('',#214099,#170823,#170824); #156448=AXIS2_PLACEMENT_3D('',#214105,#170828,#170829); #156449=AXIS2_PLACEMENT_3D('',#214107,#170830,#170831); #156450=AXIS2_PLACEMENT_3D('',#214110,#170833,#170834); #156451=AXIS2_PLACEMENT_3D('',#214111,#170835,#170836); #156452=AXIS2_PLACEMENT_3D('',#214117,#170840,#170841); #156453=AXIS2_PLACEMENT_3D('',#214123,#170845,#170846); #156454=AXIS2_PLACEMENT_3D('',#214129,#170850,#170851); #156455=AXIS2_PLACEMENT_3D('',#214131,#170852,#170853); #156456=AXIS2_PLACEMENT_3D('',#214134,#170855,#170856); #156457=AXIS2_PLACEMENT_3D('',#214135,#170857,#170858); #156458=AXIS2_PLACEMENT_3D('',#214141,#170862,#170863); #156459=AXIS2_PLACEMENT_3D('',#214143,#170864,#170865); #156460=AXIS2_PLACEMENT_3D('',#214146,#170867,#170868); #156461=AXIS2_PLACEMENT_3D('',#214147,#170869,#170870); #156462=AXIS2_PLACEMENT_3D('',#214153,#170874,#170875); #156463=AXIS2_PLACEMENT_3D('',#214159,#170879,#170880); #156464=AXIS2_PLACEMENT_3D('',#214165,#170884,#170885); #156465=AXIS2_PLACEMENT_3D('',#214171,#170889,#170890); #156466=AXIS2_PLACEMENT_3D('',#214177,#170894,#170895); #156467=AXIS2_PLACEMENT_3D('',#214183,#170899,#170900); #156468=AXIS2_PLACEMENT_3D('',#214189,#170904,#170905); #156469=AXIS2_PLACEMENT_3D('',#214195,#170909,#170910); #156470=AXIS2_PLACEMENT_3D('',#214201,#170914,#170915); #156471=AXIS2_PLACEMENT_3D('',#214203,#170916,#170917); #156472=AXIS2_PLACEMENT_3D('',#214206,#170919,#170920); #156473=AXIS2_PLACEMENT_3D('',#214207,#170921,#170922); #156474=AXIS2_PLACEMENT_3D('',#214213,#170926,#170927); #156475=AXIS2_PLACEMENT_3D('',#214219,#170931,#170932); #156476=AXIS2_PLACEMENT_3D('',#214225,#170936,#170937); #156477=AXIS2_PLACEMENT_3D('',#214231,#170941,#170942); #156478=AXIS2_PLACEMENT_3D('',#214237,#170946,#170947); #156479=AXIS2_PLACEMENT_3D('',#214243,#170951,#170952); #156480=AXIS2_PLACEMENT_3D('',#214249,#170956,#170957); #156481=AXIS2_PLACEMENT_3D('',#214255,#170961,#170962); #156482=AXIS2_PLACEMENT_3D('',#214261,#170966,#170967); #156483=AXIS2_PLACEMENT_3D('',#214267,#170971,#170972); #156484=AXIS2_PLACEMENT_3D('',#214269,#170973,#170974); #156485=AXIS2_PLACEMENT_3D('',#214272,#170976,#170977); #156486=AXIS2_PLACEMENT_3D('',#214273,#170978,#170979); #156487=AXIS2_PLACEMENT_3D('',#214275,#170980,#170981); #156488=AXIS2_PLACEMENT_3D('',#214278,#170983,#170984); #156489=AXIS2_PLACEMENT_3D('',#214279,#170985,#170986); #156490=AXIS2_PLACEMENT_3D('',#214281,#170987,#170988); #156491=AXIS2_PLACEMENT_3D('',#214284,#170990,#170991); #156492=AXIS2_PLACEMENT_3D('',#214285,#170992,#170993); #156493=AXIS2_PLACEMENT_3D('',#214291,#170997,#170998); #156494=AXIS2_PLACEMENT_3D('',#214297,#171002,#171003); #156495=AXIS2_PLACEMENT_3D('',#214303,#171007,#171008); #156496=AXIS2_PLACEMENT_3D('',#214309,#171012,#171013); #156497=AXIS2_PLACEMENT_3D('',#214312,#171016,#171017); #156498=AXIS2_PLACEMENT_3D('',#214313,#171018,#171019); #156499=AXIS2_PLACEMENT_3D('',#214316,#171020,#171021); #156500=AXIS2_PLACEMENT_3D('',#214320,#171023,#171024); #156501=AXIS2_PLACEMENT_3D('',#214322,#171026,#171027); #156502=AXIS2_PLACEMENT_3D('',#214328,#171031,#171032); #156503=AXIS2_PLACEMENT_3D('',#214334,#171036,#171037); #156504=AXIS2_PLACEMENT_3D('',#214340,#171041,#171042); #156505=AXIS2_PLACEMENT_3D('',#214346,#171046,#171047); #156506=AXIS2_PLACEMENT_3D('',#214352,#171051,#171052); #156507=AXIS2_PLACEMENT_3D('',#214358,#171056,#171057); #156508=AXIS2_PLACEMENT_3D('',#214360,#171058,#171059); #156509=AXIS2_PLACEMENT_3D('',#214363,#171061,#171062); #156510=AXIS2_PLACEMENT_3D('',#214364,#171063,#171064); #156511=AXIS2_PLACEMENT_3D('',#214370,#171068,#171069); #156512=AXIS2_PLACEMENT_3D('',#214376,#171073,#171074); #156513=AXIS2_PLACEMENT_3D('',#214382,#171078,#171079); #156514=AXIS2_PLACEMENT_3D('',#214384,#171080,#171081); #156515=AXIS2_PLACEMENT_3D('',#214387,#171083,#171084); #156516=AXIS2_PLACEMENT_3D('',#214388,#171085,#171086); #156517=AXIS2_PLACEMENT_3D('',#214390,#171087,#171088); #156518=AXIS2_PLACEMENT_3D('',#214393,#171090,#171091); #156519=AXIS2_PLACEMENT_3D('',#214394,#171092,#171093); #156520=AXIS2_PLACEMENT_3D('',#214400,#171097,#171098); #156521=AXIS2_PLACEMENT_3D('',#214406,#171102,#171103); #156522=AXIS2_PLACEMENT_3D('',#214412,#171107,#171108); #156523=AXIS2_PLACEMENT_3D('',#214418,#171112,#171113); #156524=AXIS2_PLACEMENT_3D('',#214424,#171117,#171118); #156525=AXIS2_PLACEMENT_3D('',#214430,#171122,#171123); #156526=AXIS2_PLACEMENT_3D('',#214436,#171127,#171128); #156527=AXIS2_PLACEMENT_3D('',#214438,#171129,#171130); #156528=AXIS2_PLACEMENT_3D('',#214441,#171132,#171133); #156529=AXIS2_PLACEMENT_3D('',#214442,#171134,#171135); #156530=AXIS2_PLACEMENT_3D('',#214448,#171139,#171140); #156531=AXIS2_PLACEMENT_3D('',#214454,#171144,#171145); #156532=AXIS2_PLACEMENT_3D('',#214460,#171149,#171150); #156533=AXIS2_PLACEMENT_3D('',#214466,#171154,#171155); #156534=AXIS2_PLACEMENT_3D('',#214472,#171159,#171160); #156535=AXIS2_PLACEMENT_3D('',#214478,#171164,#171165); #156536=AXIS2_PLACEMENT_3D('',#214484,#171169,#171170); #156537=AXIS2_PLACEMENT_3D('',#214490,#171174,#171175); #156538=AXIS2_PLACEMENT_3D('',#214496,#171179,#171180); #156539=AXIS2_PLACEMENT_3D('',#214502,#171184,#171185); #156540=AXIS2_PLACEMENT_3D('',#214508,#171189,#171190); #156541=AXIS2_PLACEMENT_3D('',#214514,#171194,#171195); #156542=AXIS2_PLACEMENT_3D('',#214520,#171199,#171200); #156543=AXIS2_PLACEMENT_3D('',#214522,#171201,#171202); #156544=AXIS2_PLACEMENT_3D('',#214525,#171204,#171205); #156545=AXIS2_PLACEMENT_3D('',#214526,#171206,#171207); #156546=AXIS2_PLACEMENT_3D('',#214532,#171211,#171212); #156547=AXIS2_PLACEMENT_3D('',#214534,#171213,#171214); #156548=AXIS2_PLACEMENT_3D('',#214537,#171216,#171217); #156549=AXIS2_PLACEMENT_3D('',#214538,#171218,#171219); #156550=AXIS2_PLACEMENT_3D('',#214540,#171220,#171221); #156551=AXIS2_PLACEMENT_3D('',#214543,#171223,#171224); #156552=AXIS2_PLACEMENT_3D('',#214544,#171225,#171226); #156553=AXIS2_PLACEMENT_3D('',#214546,#171227,#171228); #156554=AXIS2_PLACEMENT_3D('',#214549,#171230,#171231); #156555=AXIS2_PLACEMENT_3D('',#214550,#171232,#171233); #156556=AXIS2_PLACEMENT_3D('',#214556,#171237,#171238); #156557=AXIS2_PLACEMENT_3D('',#214558,#171239,#171240); #156558=AXIS2_PLACEMENT_3D('',#214561,#171242,#171243); #156559=AXIS2_PLACEMENT_3D('',#214562,#171244,#171245); #156560=AXIS2_PLACEMENT_3D('',#214564,#171246,#171247); #156561=AXIS2_PLACEMENT_3D('',#214567,#171249,#171250); #156562=AXIS2_PLACEMENT_3D('',#214568,#171251,#171252); #156563=AXIS2_PLACEMENT_3D('',#214570,#171253,#171254); #156564=AXIS2_PLACEMENT_3D('',#214573,#171256,#171257); #156565=AXIS2_PLACEMENT_3D('',#214574,#171258,#171259); #156566=AXIS2_PLACEMENT_3D('',#214576,#171260,#171261); #156567=AXIS2_PLACEMENT_3D('',#214579,#171263,#171264); #156568=AXIS2_PLACEMENT_3D('',#214580,#171265,#171266); #156569=AXIS2_PLACEMENT_3D('',#214586,#171270,#171271); #156570=AXIS2_PLACEMENT_3D('',#214588,#171272,#171273); #156571=AXIS2_PLACEMENT_3D('',#214591,#171275,#171276); #156572=AXIS2_PLACEMENT_3D('',#214592,#171277,#171278); #156573=AXIS2_PLACEMENT_3D('',#214594,#171279,#171280); #156574=AXIS2_PLACEMENT_3D('',#214597,#171282,#171283); #156575=AXIS2_PLACEMENT_3D('',#214598,#171284,#171285); #156576=AXIS2_PLACEMENT_3D('',#214604,#171289,#171290); #156577=AXIS2_PLACEMENT_3D('',#214610,#171294,#171295); #156578=AXIS2_PLACEMENT_3D('',#214616,#171299,#171300); #156579=AXIS2_PLACEMENT_3D('',#214618,#171301,#171302); #156580=AXIS2_PLACEMENT_3D('',#214621,#171304,#171305); #156581=AXIS2_PLACEMENT_3D('',#214622,#171306,#171307); #156582=AXIS2_PLACEMENT_3D('',#214628,#171311,#171312); #156583=AXIS2_PLACEMENT_3D('',#214634,#171316,#171317); #156584=AXIS2_PLACEMENT_3D('',#214640,#171321,#171322); #156585=AXIS2_PLACEMENT_3D('',#214646,#171326,#171327); #156586=AXIS2_PLACEMENT_3D('',#214652,#171331,#171332); #156587=AXIS2_PLACEMENT_3D('',#214658,#171336,#171337); #156588=AXIS2_PLACEMENT_3D('',#214660,#171338,#171339); #156589=AXIS2_PLACEMENT_3D('',#214663,#171341,#171342); #156590=AXIS2_PLACEMENT_3D('',#214664,#171343,#171344); #156591=AXIS2_PLACEMENT_3D('',#214670,#171348,#171349); #156592=AXIS2_PLACEMENT_3D('',#214676,#171353,#171354); #156593=AXIS2_PLACEMENT_3D('',#214682,#171358,#171359); #156594=AXIS2_PLACEMENT_3D('',#214688,#171363,#171364); #156595=AXIS2_PLACEMENT_3D('',#214694,#171368,#171369); #156596=AXIS2_PLACEMENT_3D('',#214700,#171373,#171374); #156597=AXIS2_PLACEMENT_3D('',#214706,#171378,#171379); #156598=AXIS2_PLACEMENT_3D('',#214712,#171383,#171384); #156599=AXIS2_PLACEMENT_3D('',#214718,#171388,#171389); #156600=AXIS2_PLACEMENT_3D('',#214720,#171390,#171391); #156601=AXIS2_PLACEMENT_3D('',#214723,#171393,#171394); #156602=AXIS2_PLACEMENT_3D('',#214724,#171395,#171396); #156603=AXIS2_PLACEMENT_3D('',#214726,#171397,#171398); #156604=AXIS2_PLACEMENT_3D('',#214729,#171400,#171401); #156605=AXIS2_PLACEMENT_3D('',#214730,#171402,#171403); #156606=AXIS2_PLACEMENT_3D('',#214736,#171407,#171408); #156607=AXIS2_PLACEMENT_3D('',#214742,#171412,#171413); #156608=AXIS2_PLACEMENT_3D('',#214744,#171414,#171415); #156609=AXIS2_PLACEMENT_3D('',#214747,#171417,#171418); #156610=AXIS2_PLACEMENT_3D('',#214748,#171419,#171420); #156611=AXIS2_PLACEMENT_3D('',#214754,#171424,#171425); #156612=AXIS2_PLACEMENT_3D('',#214760,#171429,#171430); #156613=AXIS2_PLACEMENT_3D('',#214766,#171434,#171435); #156614=AXIS2_PLACEMENT_3D('',#214772,#171439,#171440); #156615=AXIS2_PLACEMENT_3D('',#214774,#171441,#171442); #156616=AXIS2_PLACEMENT_3D('',#214777,#171444,#171445); #156617=AXIS2_PLACEMENT_3D('',#214778,#171446,#171447); #156618=AXIS2_PLACEMENT_3D('',#214780,#171448,#171449); #156619=AXIS2_PLACEMENT_3D('',#214783,#171451,#171452); #156620=AXIS2_PLACEMENT_3D('',#214784,#171453,#171454); #156621=AXIS2_PLACEMENT_3D('',#214786,#171455,#171456); #156622=AXIS2_PLACEMENT_3D('',#214789,#171458,#171459); #156623=AXIS2_PLACEMENT_3D('',#214790,#171460,#171461); #156624=AXIS2_PLACEMENT_3D('',#214796,#171465,#171466); #156625=AXIS2_PLACEMENT_3D('',#214802,#171470,#171471); #156626=AXIS2_PLACEMENT_3D('',#214808,#171475,#171476); #156627=AXIS2_PLACEMENT_3D('',#214814,#171480,#171481); #156628=AXIS2_PLACEMENT_3D('',#214820,#171485,#171486); #156629=AXIS2_PLACEMENT_3D('',#214826,#171490,#171491); #156630=AXIS2_PLACEMENT_3D('',#214832,#171495,#171496); #156631=AXIS2_PLACEMENT_3D('',#214838,#171500,#171501); #156632=AXIS2_PLACEMENT_3D('',#214844,#171505,#171506); #156633=AXIS2_PLACEMENT_3D('',#214850,#171510,#171511); #156634=AXIS2_PLACEMENT_3D('',#214852,#171512,#171513); #156635=AXIS2_PLACEMENT_3D('',#214855,#171515,#171516); #156636=AXIS2_PLACEMENT_3D('',#214856,#171517,#171518); #156637=AXIS2_PLACEMENT_3D('',#214862,#171522,#171523); #156638=AXIS2_PLACEMENT_3D('',#214864,#171524,#171525); #156639=AXIS2_PLACEMENT_3D('',#214867,#171527,#171528); #156640=AXIS2_PLACEMENT_3D('',#214868,#171529,#171530); #156641=AXIS2_PLACEMENT_3D('',#214870,#171531,#171532); #156642=AXIS2_PLACEMENT_3D('',#214873,#171534,#171535); #156643=AXIS2_PLACEMENT_3D('',#214874,#171536,#171537); #156644=AXIS2_PLACEMENT_3D('',#214876,#171538,#171539); #156645=AXIS2_PLACEMENT_3D('',#214879,#171541,#171542); #156646=AXIS2_PLACEMENT_3D('',#214880,#171543,#171544); #156647=AXIS2_PLACEMENT_3D('',#214886,#171548,#171549); #156648=AXIS2_PLACEMENT_3D('',#214888,#171550,#171551); #156649=AXIS2_PLACEMENT_3D('',#214891,#171553,#171554); #156650=AXIS2_PLACEMENT_3D('',#214892,#171555,#171556); #156651=AXIS2_PLACEMENT_3D('',#214894,#171557,#171558); #156652=AXIS2_PLACEMENT_3D('',#214897,#171560,#171561); #156653=AXIS2_PLACEMENT_3D('',#214898,#171562,#171563); #156654=AXIS2_PLACEMENT_3D('',#214900,#171564,#171565); #156655=AXIS2_PLACEMENT_3D('',#214903,#171567,#171568); #156656=AXIS2_PLACEMENT_3D('',#214904,#171569,#171570); #156657=AXIS2_PLACEMENT_3D('',#214906,#171571,#171572); #156658=AXIS2_PLACEMENT_3D('',#214909,#171574,#171575); #156659=AXIS2_PLACEMENT_3D('',#214910,#171576,#171577); #156660=AXIS2_PLACEMENT_3D('',#214916,#171581,#171582); #156661=AXIS2_PLACEMENT_3D('',#214918,#171583,#171584); #156662=AXIS2_PLACEMENT_3D('',#214921,#171586,#171587); #156663=AXIS2_PLACEMENT_3D('',#214922,#171588,#171589); #156664=AXIS2_PLACEMENT_3D('',#214928,#171593,#171594); #156665=AXIS2_PLACEMENT_3D('',#214934,#171598,#171599); #156666=AXIS2_PLACEMENT_3D('',#214940,#171603,#171604); #156667=AXIS2_PLACEMENT_3D('',#214946,#171608,#171609); #156668=AXIS2_PLACEMENT_3D('',#214952,#171613,#171614); #156669=AXIS2_PLACEMENT_3D('',#214958,#171618,#171619); #156670=AXIS2_PLACEMENT_3D('',#214964,#171623,#171624); #156671=AXIS2_PLACEMENT_3D('',#214965,#171625,#171626); #156672=AXIS2_PLACEMENT_3D('',#214966,#171627,#171628); #156673=AXIS2_PLACEMENT_3D('',#214967,#171629,#171630); #156674=AXIS2_PLACEMENT_3D('',#214968,#171631,#171632); #156675=AXIS2_PLACEMENT_3D('',#214977,#171637,#171638); #156676=AXIS2_PLACEMENT_3D('',#214983,#171642,#171643); #156677=AXIS2_PLACEMENT_3D('',#214985,#171644,#171645); #156678=AXIS2_PLACEMENT_3D('',#214988,#171647,#171648); #156679=AXIS2_PLACEMENT_3D('',#214989,#171649,#171650); #156680=AXIS2_PLACEMENT_3D('',#214991,#171651,#171652); #156681=AXIS2_PLACEMENT_3D('',#214994,#171654,#171655); #156682=AXIS2_PLACEMENT_3D('',#214995,#171656,#171657); #156683=AXIS2_PLACEMENT_3D('',#214997,#171658,#171659); #156684=AXIS2_PLACEMENT_3D('',#215000,#171661,#171662); #156685=AXIS2_PLACEMENT_3D('',#215001,#171663,#171664); #156686=AXIS2_PLACEMENT_3D('',#215007,#171668,#171669); #156687=AXIS2_PLACEMENT_3D('',#215009,#171670,#171671); #156688=AXIS2_PLACEMENT_3D('',#215012,#171673,#171674); #156689=AXIS2_PLACEMENT_3D('',#215013,#171675,#171676); #156690=AXIS2_PLACEMENT_3D('',#215015,#171677,#171678); #156691=AXIS2_PLACEMENT_3D('',#215018,#171680,#171681); #156692=AXIS2_PLACEMENT_3D('',#215019,#171682,#171683); #156693=AXIS2_PLACEMENT_3D('',#215021,#171684,#171685); #156694=AXIS2_PLACEMENT_3D('',#215024,#171687,#171688); #156695=AXIS2_PLACEMENT_3D('',#215025,#171689,#171690); #156696=AXIS2_PLACEMENT_3D('',#215027,#171691,#171692); #156697=AXIS2_PLACEMENT_3D('',#215030,#171694,#171695); #156698=AXIS2_PLACEMENT_3D('',#215031,#171696,#171697); #156699=AXIS2_PLACEMENT_3D('',#215037,#171701,#171702); #156700=AXIS2_PLACEMENT_3D('',#215039,#171703,#171704); #156701=AXIS2_PLACEMENT_3D('',#215042,#171706,#171707); #156702=AXIS2_PLACEMENT_3D('',#215043,#171708,#171709); #156703=AXIS2_PLACEMENT_3D('',#215049,#171713,#171714); #156704=AXIS2_PLACEMENT_3D('',#215055,#171718,#171719); #156705=AXIS2_PLACEMENT_3D('',#215061,#171723,#171724); #156706=AXIS2_PLACEMENT_3D('',#215067,#171728,#171729); #156707=AXIS2_PLACEMENT_3D('',#215073,#171733,#171734); #156708=AXIS2_PLACEMENT_3D('',#215079,#171738,#171739); #156709=AXIS2_PLACEMENT_3D('',#215085,#171743,#171744); #156710=AXIS2_PLACEMENT_3D('',#215091,#171748,#171749); #156711=AXIS2_PLACEMENT_3D('',#215097,#171753,#171754); #156712=AXIS2_PLACEMENT_3D('',#215103,#171758,#171759); #156713=AXIS2_PLACEMENT_3D('',#215109,#171763,#171764); #156714=AXIS2_PLACEMENT_3D('',#215115,#171768,#171769); #156715=AXIS2_PLACEMENT_3D('',#215121,#171773,#171774); #156716=AXIS2_PLACEMENT_3D('',#215127,#171778,#171779); #156717=AXIS2_PLACEMENT_3D('',#215133,#171783,#171784); #156718=AXIS2_PLACEMENT_3D('',#215139,#171788,#171789); #156719=AXIS2_PLACEMENT_3D('',#215145,#171793,#171794); #156720=AXIS2_PLACEMENT_3D('',#215151,#171798,#171799); #156721=AXIS2_PLACEMENT_3D('',#215157,#171803,#171804); #156722=AXIS2_PLACEMENT_3D('',#215163,#171808,#171809); #156723=AXIS2_PLACEMENT_3D('',#215169,#171813,#171814); #156724=AXIS2_PLACEMENT_3D('',#215175,#171818,#171819); #156725=AXIS2_PLACEMENT_3D('',#215177,#171820,#171821); #156726=AXIS2_PLACEMENT_3D('',#215180,#171823,#171824); #156727=AXIS2_PLACEMENT_3D('',#215181,#171825,#171826); #156728=AXIS2_PLACEMENT_3D('',#215187,#171830,#171831); #156729=AXIS2_PLACEMENT_3D('',#215193,#171835,#171836); #156730=AXIS2_PLACEMENT_3D('',#215199,#171840,#171841); #156731=AXIS2_PLACEMENT_3D('',#215205,#171845,#171846); #156732=AXIS2_PLACEMENT_3D('',#215211,#171850,#171851); #156733=AXIS2_PLACEMENT_3D('',#215217,#171855,#171856); #156734=AXIS2_PLACEMENT_3D('',#215223,#171860,#171861); #156735=AXIS2_PLACEMENT_3D('',#215229,#171865,#171866); #156736=AXIS2_PLACEMENT_3D('',#215235,#171870,#171871); #156737=AXIS2_PLACEMENT_3D('',#215237,#171872,#171873); #156738=AXIS2_PLACEMENT_3D('',#215240,#171875,#171876); #156739=AXIS2_PLACEMENT_3D('',#215241,#171877,#171878); #156740=AXIS2_PLACEMENT_3D('',#215247,#171882,#171883); #156741=AXIS2_PLACEMENT_3D('',#215253,#171887,#171888); #156742=AXIS2_PLACEMENT_3D('',#215259,#171892,#171893); #156743=AXIS2_PLACEMENT_3D('',#215265,#171897,#171898); #156744=AXIS2_PLACEMENT_3D('',#215271,#171902,#171903); #156745=AXIS2_PLACEMENT_3D('',#215277,#171907,#171908); #156746=AXIS2_PLACEMENT_3D('',#215283,#171912,#171913); #156747=AXIS2_PLACEMENT_3D('',#215289,#171917,#171918); #156748=AXIS2_PLACEMENT_3D('',#215295,#171922,#171923); #156749=AXIS2_PLACEMENT_3D('',#215301,#171927,#171928); #156750=AXIS2_PLACEMENT_3D('',#215307,#171932,#171933); #156751=AXIS2_PLACEMENT_3D('',#215313,#171937,#171938); #156752=AXIS2_PLACEMENT_3D('',#215319,#171942,#171943); #156753=AXIS2_PLACEMENT_3D('',#215325,#171947,#171948); #156754=AXIS2_PLACEMENT_3D('',#215331,#171952,#171953); #156755=AXIS2_PLACEMENT_3D('',#215337,#171957,#171958); #156756=AXIS2_PLACEMENT_3D('',#215343,#171962,#171963); #156757=AXIS2_PLACEMENT_3D('',#215345,#171964,#171965); #156758=AXIS2_PLACEMENT_3D('',#215348,#171967,#171968); #156759=AXIS2_PLACEMENT_3D('',#215349,#171969,#171970); #156760=AXIS2_PLACEMENT_3D('',#215351,#171971,#171972); #156761=AXIS2_PLACEMENT_3D('',#215354,#171974,#171975); #156762=AXIS2_PLACEMENT_3D('',#215355,#171976,#171977); #156763=AXIS2_PLACEMENT_3D('',#215357,#171978,#171979); #156764=AXIS2_PLACEMENT_3D('',#215360,#171981,#171982); #156765=AXIS2_PLACEMENT_3D('',#215361,#171983,#171984); #156766=AXIS2_PLACEMENT_3D('',#215363,#171985,#171986); #156767=AXIS2_PLACEMENT_3D('',#215366,#171988,#171989); #156768=AXIS2_PLACEMENT_3D('',#215367,#171990,#171991); #156769=AXIS2_PLACEMENT_3D('',#215373,#171995,#171996); #156770=AXIS2_PLACEMENT_3D('',#215375,#171997,#171998); #156771=AXIS2_PLACEMENT_3D('',#215378,#172000,#172001); #156772=AXIS2_PLACEMENT_3D('',#215379,#172002,#172003); #156773=AXIS2_PLACEMENT_3D('',#215381,#172004,#172005); #156774=AXIS2_PLACEMENT_3D('',#215384,#172007,#172008); #156775=AXIS2_PLACEMENT_3D('',#215385,#172009,#172010); #156776=AXIS2_PLACEMENT_3D('',#215387,#172011,#172012); #156777=AXIS2_PLACEMENT_3D('',#215390,#172014,#172015); #156778=AXIS2_PLACEMENT_3D('',#215391,#172016,#172017); #156779=AXIS2_PLACEMENT_3D('',#215393,#172018,#172019); #156780=AXIS2_PLACEMENT_3D('',#215396,#172021,#172022); #156781=AXIS2_PLACEMENT_3D('',#215397,#172023,#172024); #156782=AXIS2_PLACEMENT_3D('',#215399,#172025,#172026); #156783=AXIS2_PLACEMENT_3D('',#215402,#172028,#172029); #156784=AXIS2_PLACEMENT_3D('',#215403,#172030,#172031); #156785=AXIS2_PLACEMENT_3D('',#215409,#172035,#172036); #156786=AXIS2_PLACEMENT_3D('',#215411,#172037,#172038); #156787=AXIS2_PLACEMENT_3D('',#215414,#172040,#172041); #156788=AXIS2_PLACEMENT_3D('',#215415,#172042,#172043); #156789=AXIS2_PLACEMENT_3D('',#215421,#172047,#172048); #156790=AXIS2_PLACEMENT_3D('',#215427,#172052,#172053); #156791=AXIS2_PLACEMENT_3D('',#215433,#172057,#172058); #156792=AXIS2_PLACEMENT_3D('',#215439,#172062,#172063); #156793=AXIS2_PLACEMENT_3D('',#215445,#172067,#172068); #156794=AXIS2_PLACEMENT_3D('',#215451,#172072,#172073); #156795=AXIS2_PLACEMENT_3D('',#215457,#172077,#172078); #156796=AXIS2_PLACEMENT_3D('',#215463,#172082,#172083); #156797=AXIS2_PLACEMENT_3D('',#215469,#172087,#172088); #156798=AXIS2_PLACEMENT_3D('',#215475,#172092,#172093); #156799=AXIS2_PLACEMENT_3D('',#215481,#172097,#172098); #156800=AXIS2_PLACEMENT_3D('',#215487,#172102,#172103); #156801=AXIS2_PLACEMENT_3D('',#215493,#172107,#172108); #156802=AXIS2_PLACEMENT_3D('',#215499,#172112,#172113); #156803=AXIS2_PLACEMENT_3D('',#215505,#172117,#172118); #156804=AXIS2_PLACEMENT_3D('',#215511,#172122,#172123); #156805=AXIS2_PLACEMENT_3D('',#215517,#172127,#172128); #156806=AXIS2_PLACEMENT_3D('',#215523,#172132,#172133); #156807=AXIS2_PLACEMENT_3D('',#215529,#172137,#172138); #156808=AXIS2_PLACEMENT_3D('',#215535,#172142,#172143); #156809=AXIS2_PLACEMENT_3D('',#215541,#172147,#172148); #156810=AXIS2_PLACEMENT_3D('',#215547,#172152,#172153); #156811=AXIS2_PLACEMENT_3D('',#215553,#172157,#172158); #156812=AXIS2_PLACEMENT_3D('',#215559,#172162,#172163); #156813=AXIS2_PLACEMENT_3D('',#215561,#172164,#172165); #156814=AXIS2_PLACEMENT_3D('',#215564,#172167,#172168); #156815=AXIS2_PLACEMENT_3D('',#215565,#172169,#172170); #156816=AXIS2_PLACEMENT_3D('',#215571,#172174,#172175); #156817=AXIS2_PLACEMENT_3D('',#215577,#172179,#172180); #156818=AXIS2_PLACEMENT_3D('',#215583,#172184,#172185); #156819=AXIS2_PLACEMENT_3D('',#215589,#172189,#172190); #156820=AXIS2_PLACEMENT_3D('',#215595,#172194,#172195); #156821=AXIS2_PLACEMENT_3D('',#215601,#172199,#172200); #156822=AXIS2_PLACEMENT_3D('',#215607,#172204,#172205); #156823=AXIS2_PLACEMENT_3D('',#215613,#172209,#172210); #156824=AXIS2_PLACEMENT_3D('',#215619,#172214,#172215); #156825=AXIS2_PLACEMENT_3D('',#215625,#172219,#172220); #156826=AXIS2_PLACEMENT_3D('',#215631,#172224,#172225); #156827=AXIS2_PLACEMENT_3D('',#215637,#172229,#172230); #156828=AXIS2_PLACEMENT_3D('',#215639,#172231,#172232); #156829=AXIS2_PLACEMENT_3D('',#215642,#172234,#172235); #156830=AXIS2_PLACEMENT_3D('',#215643,#172236,#172237); #156831=AXIS2_PLACEMENT_3D('',#215645,#172238,#172239); #156832=AXIS2_PLACEMENT_3D('',#215648,#172241,#172242); #156833=AXIS2_PLACEMENT_3D('',#215649,#172243,#172244); #156834=AXIS2_PLACEMENT_3D('',#215655,#172248,#172249); #156835=AXIS2_PLACEMENT_3D('',#215661,#172253,#172254); #156836=AXIS2_PLACEMENT_3D('',#215667,#172258,#172259); #156837=AXIS2_PLACEMENT_3D('',#215673,#172263,#172264); #156838=AXIS2_PLACEMENT_3D('',#215675,#172265,#172266); #156839=AXIS2_PLACEMENT_3D('',#215678,#172268,#172269); #156840=AXIS2_PLACEMENT_3D('',#215679,#172270,#172271); #156841=AXIS2_PLACEMENT_3D('',#215685,#172275,#172276); #156842=AXIS2_PLACEMENT_3D('',#215687,#172277,#172278); #156843=AXIS2_PLACEMENT_3D('',#215690,#172280,#172281); #156844=AXIS2_PLACEMENT_3D('',#215691,#172282,#172283); #156845=AXIS2_PLACEMENT_3D('',#215697,#172287,#172288); #156846=AXIS2_PLACEMENT_3D('',#215703,#172292,#172293); #156847=AXIS2_PLACEMENT_3D('',#215709,#172297,#172298); #156848=AXIS2_PLACEMENT_3D('',#215712,#172301,#172302); #156849=AXIS2_PLACEMENT_3D('',#215713,#172303,#172304); #156850=AXIS2_PLACEMENT_3D('',#215716,#172305,#172306); #156851=AXIS2_PLACEMENT_3D('',#215720,#172308,#172309); #156852=AXIS2_PLACEMENT_3D('',#215722,#172311,#172312); #156853=AXIS2_PLACEMENT_3D('',#215724,#172313,#172314); #156854=AXIS2_PLACEMENT_3D('',#215727,#172316,#172317); #156855=AXIS2_PLACEMENT_3D('',#215728,#172318,#172319); #156856=AXIS2_PLACEMENT_3D('',#215734,#172323,#172324); #156857=AXIS2_PLACEMENT_3D('',#215740,#172328,#172329); #156858=AXIS2_PLACEMENT_3D('',#215746,#172333,#172334); #156859=AXIS2_PLACEMENT_3D('',#215752,#172338,#172339); #156860=AXIS2_PLACEMENT_3D('',#215754,#172340,#172341); #156861=AXIS2_PLACEMENT_3D('',#215757,#172343,#172344); #156862=AXIS2_PLACEMENT_3D('',#215758,#172345,#172346); #156863=AXIS2_PLACEMENT_3D('',#215764,#172350,#172351); #156864=AXIS2_PLACEMENT_3D('',#215770,#172355,#172356); #156865=AXIS2_PLACEMENT_3D('',#215772,#172357,#172358); #156866=AXIS2_PLACEMENT_3D('',#215775,#172360,#172361); #156867=AXIS2_PLACEMENT_3D('',#215776,#172362,#172363); #156868=AXIS2_PLACEMENT_3D('',#215782,#172367,#172368); #156869=AXIS2_PLACEMENT_3D('',#215788,#172372,#172373); #156870=AXIS2_PLACEMENT_3D('',#215794,#172377,#172378); #156871=AXIS2_PLACEMENT_3D('',#215796,#172379,#172380); #156872=AXIS2_PLACEMENT_3D('',#215799,#172382,#172383); #156873=AXIS2_PLACEMENT_3D('',#215800,#172384,#172385); #156874=AXIS2_PLACEMENT_3D('',#215806,#172389,#172390); #156875=AXIS2_PLACEMENT_3D('',#215812,#172394,#172395); #156876=AXIS2_PLACEMENT_3D('',#215818,#172399,#172400); #156877=AXIS2_PLACEMENT_3D('',#215820,#172401,#172402); #156878=AXIS2_PLACEMENT_3D('',#215823,#172404,#172405); #156879=AXIS2_PLACEMENT_3D('',#215824,#172406,#172407); #156880=AXIS2_PLACEMENT_3D('',#215830,#172411,#172412); #156881=AXIS2_PLACEMENT_3D('',#215836,#172416,#172417); #156882=AXIS2_PLACEMENT_3D('',#215842,#172421,#172422); #156883=AXIS2_PLACEMENT_3D('',#215848,#172426,#172427); #156884=AXIS2_PLACEMENT_3D('',#215854,#172431,#172432); #156885=AXIS2_PLACEMENT_3D('',#215856,#172433,#172434); #156886=AXIS2_PLACEMENT_3D('',#215859,#172436,#172437); #156887=AXIS2_PLACEMENT_3D('',#215860,#172438,#172439); #156888=AXIS2_PLACEMENT_3D('',#215866,#172443,#172444); #156889=AXIS2_PLACEMENT_3D('',#215872,#172448,#172449); #156890=AXIS2_PLACEMENT_3D('',#215874,#172450,#172451); #156891=AXIS2_PLACEMENT_3D('',#215877,#172453,#172454); #156892=AXIS2_PLACEMENT_3D('',#215878,#172455,#172456); #156893=AXIS2_PLACEMENT_3D('',#215880,#172457,#172458); #156894=AXIS2_PLACEMENT_3D('',#215883,#172460,#172461); #156895=AXIS2_PLACEMENT_3D('',#215884,#172462,#172463); #156896=AXIS2_PLACEMENT_3D('',#215890,#172467,#172468); #156897=AXIS2_PLACEMENT_3D('',#215892,#172469,#172470); #156898=AXIS2_PLACEMENT_3D('',#215895,#172472,#172473); #156899=AXIS2_PLACEMENT_3D('',#215896,#172474,#172475); #156900=AXIS2_PLACEMENT_3D('',#215898,#172476,#172477); #156901=AXIS2_PLACEMENT_3D('',#215901,#172479,#172480); #156902=AXIS2_PLACEMENT_3D('',#215902,#172481,#172482); #156903=AXIS2_PLACEMENT_3D('',#215904,#172483,#172484); #156904=AXIS2_PLACEMENT_3D('',#215907,#172486,#172487); #156905=AXIS2_PLACEMENT_3D('',#215908,#172488,#172489); #156906=AXIS2_PLACEMENT_3D('',#215914,#172493,#172494); #156907=AXIS2_PLACEMENT_3D('',#215916,#172495,#172496); #156908=AXIS2_PLACEMENT_3D('',#215919,#172498,#172499); #156909=AXIS2_PLACEMENT_3D('',#215920,#172500,#172501); #156910=AXIS2_PLACEMENT_3D('',#215926,#172505,#172506); #156911=AXIS2_PLACEMENT_3D('',#215932,#172510,#172511); #156912=AXIS2_PLACEMENT_3D('',#215938,#172515,#172516); #156913=AXIS2_PLACEMENT_3D('',#215944,#172520,#172521); #156914=AXIS2_PLACEMENT_3D('',#215950,#172525,#172526); #156915=AXIS2_PLACEMENT_3D('',#215956,#172530,#172531); #156916=AXIS2_PLACEMENT_3D('',#215958,#172532,#172533); #156917=AXIS2_PLACEMENT_3D('',#215961,#172535,#172536); #156918=AXIS2_PLACEMENT_3D('',#215962,#172537,#172538); #156919=AXIS2_PLACEMENT_3D('',#215968,#172542,#172543); #156920=AXIS2_PLACEMENT_3D('',#215970,#172544,#172545); #156921=AXIS2_PLACEMENT_3D('',#215973,#172547,#172548); #156922=AXIS2_PLACEMENT_3D('',#215974,#172549,#172550); #156923=AXIS2_PLACEMENT_3D('',#215980,#172554,#172555); #156924=AXIS2_PLACEMENT_3D('',#215986,#172559,#172560); #156925=AXIS2_PLACEMENT_3D('',#215988,#172561,#172562); #156926=AXIS2_PLACEMENT_3D('',#215991,#172564,#172565); #156927=AXIS2_PLACEMENT_3D('',#215992,#172566,#172567); #156928=AXIS2_PLACEMENT_3D('',#215998,#172571,#172572); #156929=AXIS2_PLACEMENT_3D('',#216000,#172573,#172574); #156930=AXIS2_PLACEMENT_3D('',#216003,#172576,#172577); #156931=AXIS2_PLACEMENT_3D('',#216004,#172578,#172579); #156932=AXIS2_PLACEMENT_3D('',#216010,#172583,#172584); #156933=AXIS2_PLACEMENT_3D('',#216016,#172588,#172589); #156934=AXIS2_PLACEMENT_3D('',#216022,#172593,#172594); #156935=AXIS2_PLACEMENT_3D('',#216028,#172598,#172599); #156936=AXIS2_PLACEMENT_3D('',#216034,#172603,#172604); #156937=AXIS2_PLACEMENT_3D('',#216036,#172605,#172606); #156938=AXIS2_PLACEMENT_3D('',#216039,#172608,#172609); #156939=AXIS2_PLACEMENT_3D('',#216040,#172610,#172611); #156940=AXIS2_PLACEMENT_3D('',#216042,#172612,#172613); #156941=AXIS2_PLACEMENT_3D('',#216045,#172615,#172616); #156942=AXIS2_PLACEMENT_3D('',#216046,#172617,#172618); #156943=AXIS2_PLACEMENT_3D('',#216048,#172619,#172620); #156944=AXIS2_PLACEMENT_3D('',#216051,#172622,#172623); #156945=AXIS2_PLACEMENT_3D('',#216052,#172624,#172625); #156946=AXIS2_PLACEMENT_3D('',#216058,#172629,#172630); #156947=AXIS2_PLACEMENT_3D('',#216064,#172634,#172635); #156948=AXIS2_PLACEMENT_3D('',#216066,#172636,#172637); #156949=AXIS2_PLACEMENT_3D('',#216069,#172639,#172640); #156950=AXIS2_PLACEMENT_3D('',#216070,#172641,#172642); #156951=AXIS2_PLACEMENT_3D('',#216072,#172643,#172644); #156952=AXIS2_PLACEMENT_3D('',#216075,#172646,#172647); #156953=AXIS2_PLACEMENT_3D('',#216076,#172648,#172649); #156954=AXIS2_PLACEMENT_3D('',#216082,#172653,#172654); #156955=AXIS2_PLACEMENT_3D('',#216088,#172658,#172659); #156956=AXIS2_PLACEMENT_3D('',#216091,#172662,#172663); #156957=AXIS2_PLACEMENT_3D('',#216092,#172664,#172665); #156958=AXIS2_PLACEMENT_3D('',#216101,#172670,#172671); #156959=AXIS2_PLACEMENT_3D('',#216107,#172675,#172676); #156960=AXIS2_PLACEMENT_3D('',#216113,#172680,#172681); #156961=AXIS2_PLACEMENT_3D('',#216115,#172682,#172683); #156962=AXIS2_PLACEMENT_3D('',#216118,#172685,#172686); #156963=AXIS2_PLACEMENT_3D('',#216119,#172687,#172688); #156964=AXIS2_PLACEMENT_3D('',#216125,#172692,#172693); #156965=AXIS2_PLACEMENT_3D('',#216131,#172697,#172698); #156966=AXIS2_PLACEMENT_3D('',#216137,#172702,#172703); #156967=AXIS2_PLACEMENT_3D('',#216143,#172707,#172708); #156968=AXIS2_PLACEMENT_3D('',#216149,#172712,#172713); #156969=AXIS2_PLACEMENT_3D('',#216155,#172717,#172718); #156970=AXIS2_PLACEMENT_3D('',#216161,#172722,#172723); #156971=AXIS2_PLACEMENT_3D('',#216167,#172727,#172728); #156972=AXIS2_PLACEMENT_3D('',#216173,#172732,#172733); #156973=AXIS2_PLACEMENT_3D('',#216175,#172734,#172735); #156974=AXIS2_PLACEMENT_3D('',#216178,#172737,#172738); #156975=AXIS2_PLACEMENT_3D('',#216179,#172739,#172740); #156976=AXIS2_PLACEMENT_3D('',#216185,#172744,#172745); #156977=AXIS2_PLACEMENT_3D('',#216191,#172749,#172750); #156978=AXIS2_PLACEMENT_3D('',#216197,#172754,#172755); #156979=AXIS2_PLACEMENT_3D('',#216199,#172756,#172757); #156980=AXIS2_PLACEMENT_3D('',#216202,#172759,#172760); #156981=AXIS2_PLACEMENT_3D('',#216203,#172761,#172762); #156982=AXIS2_PLACEMENT_3D('',#216205,#172763,#172764); #156983=AXIS2_PLACEMENT_3D('',#216208,#172766,#172767); #156984=AXIS2_PLACEMENT_3D('',#216209,#172768,#172769); #156985=AXIS2_PLACEMENT_3D('',#216215,#172773,#172774); #156986=AXIS2_PLACEMENT_3D('',#216221,#172778,#172779); #156987=AXIS2_PLACEMENT_3D('',#216227,#172783,#172784); #156988=AXIS2_PLACEMENT_3D('',#216229,#172785,#172786); #156989=AXIS2_PLACEMENT_3D('',#216232,#172788,#172789); #156990=AXIS2_PLACEMENT_3D('',#216233,#172790,#172791); #156991=AXIS2_PLACEMENT_3D('',#216239,#172795,#172796); #156992=AXIS2_PLACEMENT_3D('',#216245,#172800,#172801); #156993=AXIS2_PLACEMENT_3D('',#216247,#172802,#172803); #156994=AXIS2_PLACEMENT_3D('',#216250,#172805,#172806); #156995=AXIS2_PLACEMENT_3D('',#216251,#172807,#172808); #156996=AXIS2_PLACEMENT_3D('',#216257,#172812,#172813); #156997=AXIS2_PLACEMENT_3D('',#216263,#172817,#172818); #156998=AXIS2_PLACEMENT_3D('',#216265,#172819,#172820); #156999=AXIS2_PLACEMENT_3D('',#216268,#172822,#172823); #157000=AXIS2_PLACEMENT_3D('',#216269,#172824,#172825); #157001=AXIS2_PLACEMENT_3D('',#216275,#172829,#172830); #157002=AXIS2_PLACEMENT_3D('',#216281,#172834,#172835); #157003=AXIS2_PLACEMENT_3D('',#216287,#172839,#172840); #157004=AXIS2_PLACEMENT_3D('',#216293,#172844,#172845); #157005=AXIS2_PLACEMENT_3D('',#216299,#172849,#172850); #157006=AXIS2_PLACEMENT_3D('',#216305,#172854,#172855); #157007=AXIS2_PLACEMENT_3D('',#216311,#172859,#172860); #157008=AXIS2_PLACEMENT_3D('',#216317,#172864,#172865); #157009=AXIS2_PLACEMENT_3D('',#216319,#172866,#172867); #157010=AXIS2_PLACEMENT_3D('',#216322,#172869,#172870); #157011=AXIS2_PLACEMENT_3D('',#216323,#172871,#172872); #157012=AXIS2_PLACEMENT_3D('',#216325,#172873,#172874); #157013=AXIS2_PLACEMENT_3D('',#216328,#172876,#172877); #157014=AXIS2_PLACEMENT_3D('',#216329,#172878,#172879); #157015=AXIS2_PLACEMENT_3D('',#216335,#172883,#172884); #157016=AXIS2_PLACEMENT_3D('',#216337,#172885,#172886); #157017=AXIS2_PLACEMENT_3D('',#216340,#172888,#172889); #157018=AXIS2_PLACEMENT_3D('',#216341,#172890,#172891); #157019=AXIS2_PLACEMENT_3D('',#216343,#172892,#172893); #157020=AXIS2_PLACEMENT_3D('',#216346,#172895,#172896); #157021=AXIS2_PLACEMENT_3D('',#216347,#172897,#172898); #157022=AXIS2_PLACEMENT_3D('',#216349,#172899,#172900); #157023=AXIS2_PLACEMENT_3D('',#216352,#172902,#172903); #157024=AXIS2_PLACEMENT_3D('',#216353,#172904,#172905); #157025=AXIS2_PLACEMENT_3D('',#216355,#172906,#172907); #157026=AXIS2_PLACEMENT_3D('',#216358,#172909,#172910); #157027=AXIS2_PLACEMENT_3D('',#216359,#172911,#172912); #157028=AXIS2_PLACEMENT_3D('',#216365,#172916,#172917); #157029=AXIS2_PLACEMENT_3D('',#216371,#172921,#172922); #157030=AXIS2_PLACEMENT_3D('',#216377,#172926,#172927); #157031=AXIS2_PLACEMENT_3D('',#216383,#172931,#172932); #157032=AXIS2_PLACEMENT_3D('',#216389,#172936,#172937); #157033=AXIS2_PLACEMENT_3D('',#216395,#172941,#172942); #157034=AXIS2_PLACEMENT_3D('',#216401,#172946,#172947); #157035=AXIS2_PLACEMENT_3D('',#216407,#172951,#172952); #157036=AXIS2_PLACEMENT_3D('',#216409,#172953,#172954); #157037=AXIS2_PLACEMENT_3D('',#216412,#172956,#172957); #157038=AXIS2_PLACEMENT_3D('',#216413,#172958,#172959); #157039=AXIS2_PLACEMENT_3D('',#216419,#172963,#172964); #157040=AXIS2_PLACEMENT_3D('',#216425,#172968,#172969); #157041=AXIS2_PLACEMENT_3D('',#216427,#172970,#172971); #157042=AXIS2_PLACEMENT_3D('',#216430,#172973,#172974); #157043=AXIS2_PLACEMENT_3D('',#216431,#172975,#172976); #157044=AXIS2_PLACEMENT_3D('',#216433,#172977,#172978); #157045=AXIS2_PLACEMENT_3D('',#216436,#172980,#172981); #157046=AXIS2_PLACEMENT_3D('',#216437,#172982,#172983); #157047=AXIS2_PLACEMENT_3D('',#216443,#172987,#172988); #157048=AXIS2_PLACEMENT_3D('',#216449,#172992,#172993); #157049=AXIS2_PLACEMENT_3D('',#216455,#172997,#172998); #157050=AXIS2_PLACEMENT_3D('',#216461,#173002,#173003); #157051=AXIS2_PLACEMENT_3D('',#216467,#173007,#173008); #157052=AXIS2_PLACEMENT_3D('',#216473,#173012,#173013); #157053=AXIS2_PLACEMENT_3D('',#216479,#173017,#173018); #157054=AXIS2_PLACEMENT_3D('',#216485,#173022,#173023); #157055=AXIS2_PLACEMENT_3D('',#216491,#173027,#173028); #157056=AXIS2_PLACEMENT_3D('',#216497,#173032,#173033); #157057=AXIS2_PLACEMENT_3D('',#216503,#173037,#173038); #157058=AXIS2_PLACEMENT_3D('',#216505,#173039,#173040); #157059=AXIS2_PLACEMENT_3D('',#216508,#173042,#173043); #157060=AXIS2_PLACEMENT_3D('',#216509,#173044,#173045); #157061=AXIS2_PLACEMENT_3D('',#216511,#173046,#173047); #157062=AXIS2_PLACEMENT_3D('',#216514,#173049,#173050); #157063=AXIS2_PLACEMENT_3D('',#216515,#173051,#173052); #157064=AXIS2_PLACEMENT_3D('',#216521,#173056,#173057); #157065=AXIS2_PLACEMENT_3D('',#216527,#173061,#173062); #157066=AXIS2_PLACEMENT_3D('',#216533,#173066,#173067); #157067=AXIS2_PLACEMENT_3D('',#216539,#173071,#173072); #157068=AXIS2_PLACEMENT_3D('',#216545,#173076,#173077); #157069=AXIS2_PLACEMENT_3D('',#216551,#173081,#173082); #157070=AXIS2_PLACEMENT_3D('',#216557,#173086,#173087); #157071=AXIS2_PLACEMENT_3D('',#216559,#173088,#173089); #157072=AXIS2_PLACEMENT_3D('',#216562,#173091,#173092); #157073=AXIS2_PLACEMENT_3D('',#216563,#173093,#173094); #157074=AXIS2_PLACEMENT_3D('',#216565,#173095,#173096); #157075=AXIS2_PLACEMENT_3D('',#216568,#173098,#173099); #157076=AXIS2_PLACEMENT_3D('',#216569,#173100,#173101); #157077=AXIS2_PLACEMENT_3D('',#216575,#173105,#173106); #157078=AXIS2_PLACEMENT_3D('',#216581,#173110,#173111); #157079=AXIS2_PLACEMENT_3D('',#216587,#173115,#173116); #157080=AXIS2_PLACEMENT_3D('',#216593,#173120,#173121); #157081=AXIS2_PLACEMENT_3D('',#216599,#173125,#173126); #157082=AXIS2_PLACEMENT_3D('',#216605,#173130,#173131); #157083=AXIS2_PLACEMENT_3D('',#216611,#173135,#173136); #157084=AXIS2_PLACEMENT_3D('',#216613,#173137,#173138); #157085=AXIS2_PLACEMENT_3D('',#216616,#173140,#173141); #157086=AXIS2_PLACEMENT_3D('',#216617,#173142,#173143); #157087=AXIS2_PLACEMENT_3D('',#216623,#173147,#173148); #157088=AXIS2_PLACEMENT_3D('',#216629,#173152,#173153); #157089=AXIS2_PLACEMENT_3D('',#216635,#173157,#173158); #157090=AXIS2_PLACEMENT_3D('',#216641,#173162,#173163); #157091=AXIS2_PLACEMENT_3D('',#216643,#173164,#173165); #157092=AXIS2_PLACEMENT_3D('',#216646,#173167,#173168); #157093=AXIS2_PLACEMENT_3D('',#216647,#173169,#173170); #157094=AXIS2_PLACEMENT_3D('',#216649,#173171,#173172); #157095=AXIS2_PLACEMENT_3D('',#216652,#173174,#173175); #157096=AXIS2_PLACEMENT_3D('',#216653,#173176,#173177); #157097=AXIS2_PLACEMENT_3D('',#216659,#173181,#173182); #157098=AXIS2_PLACEMENT_3D('',#216661,#173183,#173184); #157099=AXIS2_PLACEMENT_3D('',#216664,#173186,#173187); #157100=AXIS2_PLACEMENT_3D('',#216665,#173188,#173189); #157101=AXIS2_PLACEMENT_3D('',#216667,#173190,#173191); #157102=AXIS2_PLACEMENT_3D('',#216670,#173193,#173194); #157103=AXIS2_PLACEMENT_3D('',#216671,#173195,#173196); #157104=AXIS2_PLACEMENT_3D('',#216677,#173200,#173201); #157105=AXIS2_PLACEMENT_3D('',#216683,#173205,#173206); #157106=AXIS2_PLACEMENT_3D('',#216689,#173210,#173211); #157107=AXIS2_PLACEMENT_3D('',#216695,#173215,#173216); #157108=AXIS2_PLACEMENT_3D('',#216697,#173217,#173218); #157109=AXIS2_PLACEMENT_3D('',#216700,#173220,#173221); #157110=AXIS2_PLACEMENT_3D('',#216701,#173222,#173223); #157111=AXIS2_PLACEMENT_3D('',#216703,#173224,#173225); #157112=AXIS2_PLACEMENT_3D('',#216706,#173227,#173228); #157113=AXIS2_PLACEMENT_3D('',#216707,#173229,#173230); #157114=AXIS2_PLACEMENT_3D('',#216713,#173234,#173235); #157115=AXIS2_PLACEMENT_3D('',#216719,#173239,#173240); #157116=AXIS2_PLACEMENT_3D('',#216725,#173244,#173245); #157117=AXIS2_PLACEMENT_3D('',#216731,#173249,#173250); #157118=AXIS2_PLACEMENT_3D('',#216737,#173254,#173255); #157119=AXIS2_PLACEMENT_3D('',#216739,#173256,#173257); #157120=AXIS2_PLACEMENT_3D('',#216742,#173259,#173260); #157121=AXIS2_PLACEMENT_3D('',#216743,#173261,#173262); #157122=AXIS2_PLACEMENT_3D('',#216749,#173266,#173267); #157123=AXIS2_PLACEMENT_3D('',#216751,#173268,#173269); #157124=AXIS2_PLACEMENT_3D('',#216754,#173271,#173272); #157125=AXIS2_PLACEMENT_3D('',#216755,#173273,#173274); #157126=AXIS2_PLACEMENT_3D('',#216757,#173275,#173276); #157127=AXIS2_PLACEMENT_3D('',#216760,#173278,#173279); #157128=AXIS2_PLACEMENT_3D('',#216761,#173280,#173281); #157129=AXIS2_PLACEMENT_3D('',#216767,#173285,#173286); #157130=AXIS2_PLACEMENT_3D('',#216773,#173290,#173291); #157131=AXIS2_PLACEMENT_3D('',#216779,#173295,#173296); #157132=AXIS2_PLACEMENT_3D('',#216785,#173300,#173301); #157133=AXIS2_PLACEMENT_3D('',#216791,#173305,#173306); #157134=AXIS2_PLACEMENT_3D('',#216797,#173310,#173311); #157135=AXIS2_PLACEMENT_3D('',#216799,#173312,#173313); #157136=AXIS2_PLACEMENT_3D('',#216802,#173315,#173316); #157137=AXIS2_PLACEMENT_3D('',#216803,#173317,#173318); #157138=AXIS2_PLACEMENT_3D('',#216809,#173322,#173323); #157139=AXIS2_PLACEMENT_3D('',#216815,#173327,#173328); #157140=AXIS2_PLACEMENT_3D('',#216821,#173332,#173333); #157141=AXIS2_PLACEMENT_3D('',#216827,#173337,#173338); #157142=AXIS2_PLACEMENT_3D('',#216829,#173339,#173340); #157143=AXIS2_PLACEMENT_3D('',#216832,#173342,#173343); #157144=AXIS2_PLACEMENT_3D('',#216833,#173344,#173345); #157145=AXIS2_PLACEMENT_3D('',#216839,#173349,#173350); #157146=AXIS2_PLACEMENT_3D('',#216845,#173354,#173355); #157147=AXIS2_PLACEMENT_3D('',#216851,#173359,#173360); #157148=AXIS2_PLACEMENT_3D('',#216857,#173364,#173365); #157149=AXIS2_PLACEMENT_3D('',#216859,#173366,#173367); #157150=AXIS2_PLACEMENT_3D('',#216862,#173369,#173370); #157151=AXIS2_PLACEMENT_3D('',#216863,#173371,#173372); #157152=AXIS2_PLACEMENT_3D('',#216869,#173376,#173377); #157153=AXIS2_PLACEMENT_3D('',#216875,#173381,#173382); #157154=AXIS2_PLACEMENT_3D('',#216881,#173386,#173387); #157155=AXIS2_PLACEMENT_3D('',#216887,#173391,#173392); #157156=AXIS2_PLACEMENT_3D('',#216893,#173396,#173397); #157157=AXIS2_PLACEMENT_3D('',#216899,#173401,#173402); #157158=AXIS2_PLACEMENT_3D('',#216901,#173403,#173404); #157159=AXIS2_PLACEMENT_3D('',#216904,#173406,#173407); #157160=AXIS2_PLACEMENT_3D('',#216905,#173408,#173409); #157161=AXIS2_PLACEMENT_3D('',#216911,#173413,#173414); #157162=AXIS2_PLACEMENT_3D('',#216917,#173418,#173419); #157163=AXIS2_PLACEMENT_3D('',#216919,#173420,#173421); #157164=AXIS2_PLACEMENT_3D('',#216922,#173423,#173424); #157165=AXIS2_PLACEMENT_3D('',#216923,#173425,#173426); #157166=AXIS2_PLACEMENT_3D('',#216925,#173427,#173428); #157167=AXIS2_PLACEMENT_3D('',#216928,#173430,#173431); #157168=AXIS2_PLACEMENT_3D('',#216929,#173432,#173433); #157169=AXIS2_PLACEMENT_3D('',#216935,#173437,#173438); #157170=AXIS2_PLACEMENT_3D('',#216941,#173442,#173443); #157171=AXIS2_PLACEMENT_3D('',#216947,#173447,#173448); #157172=AXIS2_PLACEMENT_3D('',#216953,#173452,#173453); #157173=AXIS2_PLACEMENT_3D('',#216959,#173457,#173458); #157174=AXIS2_PLACEMENT_3D('',#216965,#173462,#173463); #157175=AXIS2_PLACEMENT_3D('',#216971,#173467,#173468); #157176=AXIS2_PLACEMENT_3D('',#216977,#173472,#173473); #157177=AXIS2_PLACEMENT_3D('',#216983,#173477,#173478); #157178=AXIS2_PLACEMENT_3D('',#216989,#173482,#173483); #157179=AXIS2_PLACEMENT_3D('',#216995,#173487,#173488); #157180=AXIS2_PLACEMENT_3D('',#217001,#173492,#173493); #157181=AXIS2_PLACEMENT_3D('',#217002,#173494,#173495); #157182=AXIS2_PLACEMENT_3D('',#217003,#173496,#173497); #157183=AXIS2_PLACEMENT_3D('',#217004,#173498,#173499); #157184=AXIS2_PLACEMENT_3D('',#217005,#173500,#173501); #157185=AXIS2_PLACEMENT_3D('',#217014,#173506,#173507); #157186=AXIS2_PLACEMENT_3D('',#217016,#173508,#173509); #157187=AXIS2_PLACEMENT_3D('',#217019,#173511,#173512); #157188=AXIS2_PLACEMENT_3D('',#217020,#173513,#173514); #157189=AXIS2_PLACEMENT_3D('',#217022,#173515,#173516); #157190=AXIS2_PLACEMENT_3D('',#217025,#173518,#173519); #157191=AXIS2_PLACEMENT_3D('',#217026,#173520,#173521); #157192=AXIS2_PLACEMENT_3D('',#217032,#173525,#173526); #157193=AXIS2_PLACEMENT_3D('',#217034,#173527,#173528); #157194=AXIS2_PLACEMENT_3D('',#217037,#173530,#173531); #157195=AXIS2_PLACEMENT_3D('',#217038,#173532,#173533); #157196=AXIS2_PLACEMENT_3D('',#217040,#173534,#173535); #157197=AXIS2_PLACEMENT_3D('',#217043,#173537,#173538); #157198=AXIS2_PLACEMENT_3D('',#217044,#173539,#173540); #157199=AXIS2_PLACEMENT_3D('',#217047,#173543,#173544); #157200=AXIS2_PLACEMENT_3D('',#217050,#173545,#173546); #157201=AXIS2_PLACEMENT_3D('',#217054,#173548,#173549); #157202=AXIS2_PLACEMENT_3D('',#217056,#173551,#173552); #157203=AXIS2_PLACEMENT_3D('',#217062,#173556,#173557); #157204=AXIS2_PLACEMENT_3D('',#217068,#173561,#173562); #157205=AXIS2_PLACEMENT_3D('',#217074,#173566,#173567); #157206=AXIS2_PLACEMENT_3D('',#217076,#173568,#173569); #157207=AXIS2_PLACEMENT_3D('',#217079,#173571,#173572); #157208=AXIS2_PLACEMENT_3D('',#217080,#173573,#173574); #157209=AXIS2_PLACEMENT_3D('',#217082,#173575,#173576); #157210=AXIS2_PLACEMENT_3D('',#217085,#173578,#173579); #157211=AXIS2_PLACEMENT_3D('',#217086,#173580,#173581); #157212=AXIS2_PLACEMENT_3D('',#217088,#173582,#173583); #157213=AXIS2_PLACEMENT_3D('',#217091,#173585,#173586); #157214=AXIS2_PLACEMENT_3D('',#217092,#173587,#173588); #157215=AXIS2_PLACEMENT_3D('',#217098,#173592,#173593); #157216=AXIS2_PLACEMENT_3D('',#217101,#173596,#173597); #157217=AXIS2_PLACEMENT_3D('',#217110,#173602,#173603); #157218=AXIS2_PLACEMENT_3D('',#217116,#173607,#173608); #157219=AXIS2_PLACEMENT_3D('',#217118,#173609,#173610); #157220=AXIS2_PLACEMENT_3D('',#217121,#173612,#173613); #157221=AXIS2_PLACEMENT_3D('',#217122,#173614,#173615); #157222=AXIS2_PLACEMENT_3D('',#217124,#173616,#173617); #157223=AXIS2_PLACEMENT_3D('',#217127,#173619,#173620); #157224=AXIS2_PLACEMENT_3D('',#217128,#173621,#173622); #157225=AXIS2_PLACEMENT_3D('',#217130,#173623,#173624); #157226=AXIS2_PLACEMENT_3D('',#217133,#173626,#173627); #157227=AXIS2_PLACEMENT_3D('',#217134,#173628,#173629); #157228=AXIS2_PLACEMENT_3D('',#217140,#173633,#173634); #157229=AXIS2_PLACEMENT_3D('',#217146,#173638,#173639); #157230=AXIS2_PLACEMENT_3D('',#217152,#173643,#173644); #157231=AXIS2_PLACEMENT_3D('',#217154,#173645,#173646); #157232=AXIS2_PLACEMENT_3D('',#217157,#173648,#173649); #157233=AXIS2_PLACEMENT_3D('',#217158,#173650,#173651); #157234=AXIS2_PLACEMENT_3D('',#217160,#173652,#173653); #157235=AXIS2_PLACEMENT_3D('',#217163,#173655,#173656); #157236=AXIS2_PLACEMENT_3D('',#217164,#173657,#173658); #157237=AXIS2_PLACEMENT_3D('',#217166,#173659,#173660); #157238=AXIS2_PLACEMENT_3D('',#217169,#173662,#173663); #157239=AXIS2_PLACEMENT_3D('',#217170,#173664,#173665); #157240=AXIS2_PLACEMENT_3D('',#217172,#173666,#173667); #157241=AXIS2_PLACEMENT_3D('',#217175,#173669,#173670); #157242=AXIS2_PLACEMENT_3D('',#217176,#173671,#173672); #157243=AXIS2_PLACEMENT_3D('',#217182,#173676,#173677); #157244=AXIS2_PLACEMENT_3D('',#217184,#173678,#173679); #157245=AXIS2_PLACEMENT_3D('',#217187,#173681,#173682); #157246=AXIS2_PLACEMENT_3D('',#217188,#173683,#173684); #157247=AXIS2_PLACEMENT_3D('',#217190,#173685,#173686); #157248=AXIS2_PLACEMENT_3D('',#217193,#173688,#173689); #157249=AXIS2_PLACEMENT_3D('',#217194,#173690,#173691); #157250=AXIS2_PLACEMENT_3D('',#217196,#173692,#173693); #157251=AXIS2_PLACEMENT_3D('',#217199,#173695,#173696); #157252=AXIS2_PLACEMENT_3D('',#217200,#173697,#173698); #157253=AXIS2_PLACEMENT_3D('',#217206,#173702,#173703); #157254=AXIS2_PLACEMENT_3D('',#217212,#173707,#173708); #157255=AXIS2_PLACEMENT_3D('',#217218,#173712,#173713); #157256=AXIS2_PLACEMENT_3D('',#217224,#173717,#173718); #157257=AXIS2_PLACEMENT_3D('',#217230,#173722,#173723); #157258=AXIS2_PLACEMENT_3D('',#217232,#173724,#173725); #157259=AXIS2_PLACEMENT_3D('',#217235,#173727,#173728); #157260=AXIS2_PLACEMENT_3D('',#217236,#173729,#173730); #157261=AXIS2_PLACEMENT_3D('',#217242,#173734,#173735); #157262=AXIS2_PLACEMENT_3D('',#217248,#173739,#173740); #157263=AXIS2_PLACEMENT_3D('',#217254,#173744,#173745); #157264=AXIS2_PLACEMENT_3D('',#217260,#173749,#173750); #157265=AXIS2_PLACEMENT_3D('',#217266,#173754,#173755); #157266=AXIS2_PLACEMENT_3D('',#217272,#173759,#173760); #157267=AXIS2_PLACEMENT_3D('',#217278,#173764,#173765); #157268=AXIS2_PLACEMENT_3D('',#217284,#173769,#173770); #157269=AXIS2_PLACEMENT_3D('',#217290,#173774,#173775); #157270=AXIS2_PLACEMENT_3D('',#217296,#173779,#173780); #157271=AXIS2_PLACEMENT_3D('',#217302,#173784,#173785); #157272=AXIS2_PLACEMENT_3D('',#217308,#173789,#173790); #157273=AXIS2_PLACEMENT_3D('',#217314,#173794,#173795); #157274=AXIS2_PLACEMENT_3D('',#217320,#173799,#173800); #157275=AXIS2_PLACEMENT_3D('',#217326,#173804,#173805); #157276=AXIS2_PLACEMENT_3D('',#217332,#173809,#173810); #157277=AXIS2_PLACEMENT_3D('',#217338,#173814,#173815); #157278=AXIS2_PLACEMENT_3D('',#217344,#173819,#173820); #157279=AXIS2_PLACEMENT_3D('',#217346,#173821,#173822); #157280=AXIS2_PLACEMENT_3D('',#217349,#173824,#173825); #157281=AXIS2_PLACEMENT_3D('',#217350,#173826,#173827); #157282=AXIS2_PLACEMENT_3D('',#217356,#173831,#173832); #157283=AXIS2_PLACEMENT_3D('',#217362,#173836,#173837); #157284=AXIS2_PLACEMENT_3D('',#217368,#173841,#173842); #157285=AXIS2_PLACEMENT_3D('',#217370,#173843,#173844); #157286=AXIS2_PLACEMENT_3D('',#217373,#173846,#173847); #157287=AXIS2_PLACEMENT_3D('',#217374,#173848,#173849); #157288=AXIS2_PLACEMENT_3D('',#217380,#173853,#173854); #157289=AXIS2_PLACEMENT_3D('',#217386,#173858,#173859); #157290=AXIS2_PLACEMENT_3D('',#217392,#173863,#173864); #157291=AXIS2_PLACEMENT_3D('',#217398,#173868,#173869); #157292=AXIS2_PLACEMENT_3D('',#217404,#173873,#173874); #157293=AXIS2_PLACEMENT_3D('',#217410,#173878,#173879); #157294=AXIS2_PLACEMENT_3D('',#217416,#173883,#173884); #157295=AXIS2_PLACEMENT_3D('',#217422,#173888,#173889); #157296=AXIS2_PLACEMENT_3D('',#217428,#173893,#173894); #157297=AXIS2_PLACEMENT_3D('',#217434,#173898,#173899); #157298=AXIS2_PLACEMENT_3D('',#217440,#173903,#173904); #157299=AXIS2_PLACEMENT_3D('',#217446,#173908,#173909); #157300=AXIS2_PLACEMENT_3D('',#217452,#173913,#173914); #157301=AXIS2_PLACEMENT_3D('',#217458,#173918,#173919); #157302=AXIS2_PLACEMENT_3D('',#217464,#173923,#173924); #157303=AXIS2_PLACEMENT_3D('',#217470,#173928,#173929); #157304=AXIS2_PLACEMENT_3D('',#217473,#173932,#173933); #157305=AXIS2_PLACEMENT_3D('',#217474,#173934,#173935); #157306=AXIS2_PLACEMENT_3D('',#217483,#173940,#173941); #157307=AXIS2_PLACEMENT_3D('',#217489,#173945,#173946); #157308=AXIS2_PLACEMENT_3D('',#217495,#173950,#173951); #157309=AXIS2_PLACEMENT_3D('',#217501,#173955,#173956); #157310=AXIS2_PLACEMENT_3D('',#217507,#173960,#173961); #157311=AXIS2_PLACEMENT_3D('',#217513,#173965,#173966); #157312=AXIS2_PLACEMENT_3D('',#217519,#173970,#173971); #157313=AXIS2_PLACEMENT_3D('',#217525,#173975,#173976); #157314=AXIS2_PLACEMENT_3D('',#217531,#173980,#173981); #157315=AXIS2_PLACEMENT_3D('',#217537,#173985,#173986); #157316=AXIS2_PLACEMENT_3D('',#217543,#173990,#173991); #157317=AXIS2_PLACEMENT_3D('',#217544,#173992,#173993); #157318=AXIS2_PLACEMENT_3D('',#217545,#173994,#173995); #157319=AXIS2_PLACEMENT_3D('',#217546,#173996,#173997); #157320=AXIS2_PLACEMENT_3D('',#217547,#173998,#173999); #157321=AXIS2_PLACEMENT_3D('',#217556,#174004,#174005); #157322=AXIS2_PLACEMENT_3D('',#217562,#174009,#174010); #157323=AXIS2_PLACEMENT_3D('',#217568,#174014,#174015); #157324=AXIS2_PLACEMENT_3D('',#217571,#174018,#174019); #157325=AXIS2_PLACEMENT_3D('',#217572,#174020,#174021); #157326=AXIS2_PLACEMENT_3D('',#217575,#174022,#174023); #157327=AXIS2_PLACEMENT_3D('',#217579,#174025,#174026); #157328=AXIS2_PLACEMENT_3D('',#217581,#174028,#174029); #157329=AXIS2_PLACEMENT_3D('',#217587,#174033,#174034); #157330=AXIS2_PLACEMENT_3D('',#217589,#174035,#174036); #157331=AXIS2_PLACEMENT_3D('',#217592,#174038,#174039); #157332=AXIS2_PLACEMENT_3D('',#217593,#174040,#174041); #157333=AXIS2_PLACEMENT_3D('',#217595,#174042,#174043); #157334=AXIS2_PLACEMENT_3D('',#217598,#174045,#174046); #157335=AXIS2_PLACEMENT_3D('',#217599,#174047,#174048); #157336=AXIS2_PLACEMENT_3D('',#217601,#174049,#174050); #157337=AXIS2_PLACEMENT_3D('',#217604,#174052,#174053); #157338=AXIS2_PLACEMENT_3D('',#217605,#174054,#174055); #157339=AXIS2_PLACEMENT_3D('',#217607,#174056,#174057); #157340=AXIS2_PLACEMENT_3D('',#217610,#174059,#174060); #157341=AXIS2_PLACEMENT_3D('',#217611,#174061,#174062); #157342=AXIS2_PLACEMENT_3D('',#217613,#174063,#174064); #157343=AXIS2_PLACEMENT_3D('',#217616,#174066,#174067); #157344=AXIS2_PLACEMENT_3D('',#217617,#174068,#174069); #157345=AXIS2_PLACEMENT_3D('',#217619,#174070,#174071); #157346=AXIS2_PLACEMENT_3D('',#217622,#174073,#174074); #157347=AXIS2_PLACEMENT_3D('',#217623,#174075,#174076); #157348=AXIS2_PLACEMENT_3D('',#217625,#174077,#174078); #157349=AXIS2_PLACEMENT_3D('',#217628,#174080,#174081); #157350=AXIS2_PLACEMENT_3D('',#217629,#174082,#174083); #157351=AXIS2_PLACEMENT_3D('',#217631,#174084,#174085); #157352=AXIS2_PLACEMENT_3D('',#217634,#174087,#174088); #157353=AXIS2_PLACEMENT_3D('',#217635,#174089,#174090); #157354=AXIS2_PLACEMENT_3D('',#217636,#174091,#174092); #157355=AXIS2_PLACEMENT_3D('',#217637,#174093,#174094); #157356=AXIS2_PLACEMENT_3D('',#217638,#174095,#174096); #157357=AXIS2_PLACEMENT_3D('',#217647,#174101,#174102); #157358=AXIS2_PLACEMENT_3D('',#217653,#174106,#174107); #157359=AXIS2_PLACEMENT_3D('',#217659,#174111,#174112); #157360=AXIS2_PLACEMENT_3D('',#217665,#174116,#174117); #157361=AXIS2_PLACEMENT_3D('',#217667,#174118,#174119); #157362=AXIS2_PLACEMENT_3D('',#217670,#174121,#174122); #157363=AXIS2_PLACEMENT_3D('',#217671,#174123,#174124); #157364=AXIS2_PLACEMENT_3D('',#217677,#174128,#174129); #157365=AXIS2_PLACEMENT_3D('',#217683,#174133,#174134); #157366=AXIS2_PLACEMENT_3D('',#217689,#174138,#174139); #157367=AXIS2_PLACEMENT_3D('',#217695,#174143,#174144); #157368=AXIS2_PLACEMENT_3D('',#217701,#174148,#174149); #157369=AXIS2_PLACEMENT_3D('',#217707,#174153,#174154); #157370=AXIS2_PLACEMENT_3D('',#217709,#174155,#174156); #157371=AXIS2_PLACEMENT_3D('',#217712,#174158,#174159); #157372=AXIS2_PLACEMENT_3D('',#217713,#174160,#174161); #157373=AXIS2_PLACEMENT_3D('',#217715,#174162,#174163); #157374=AXIS2_PLACEMENT_3D('',#217718,#174165,#174166); #157375=AXIS2_PLACEMENT_3D('',#217719,#174167,#174168); #157376=AXIS2_PLACEMENT_3D('',#217725,#174172,#174173); #157377=AXIS2_PLACEMENT_3D('',#217731,#174177,#174178); #157378=AXIS2_PLACEMENT_3D('',#217737,#174182,#174183); #157379=AXIS2_PLACEMENT_3D('',#217743,#174187,#174188); #157380=AXIS2_PLACEMENT_3D('',#217749,#174192,#174193); #157381=AXIS2_PLACEMENT_3D('',#217755,#174197,#174198); #157382=AXIS2_PLACEMENT_3D('',#217757,#174199,#174200); #157383=AXIS2_PLACEMENT_3D('',#217760,#174202,#174203); #157384=AXIS2_PLACEMENT_3D('',#217761,#174204,#174205); #157385=AXIS2_PLACEMENT_3D('',#217763,#174206,#174207); #157386=AXIS2_PLACEMENT_3D('',#217766,#174209,#174210); #157387=AXIS2_PLACEMENT_3D('',#217767,#174211,#174212); #157388=AXIS2_PLACEMENT_3D('',#217769,#174213,#174214); #157389=AXIS2_PLACEMENT_3D('',#217772,#174216,#174217); #157390=AXIS2_PLACEMENT_3D('',#217773,#174218,#174219); #157391=AXIS2_PLACEMENT_3D('',#217779,#174223,#174224); #157392=AXIS2_PLACEMENT_3D('',#217781,#174225,#174226); #157393=AXIS2_PLACEMENT_3D('',#217784,#174228,#174229); #157394=AXIS2_PLACEMENT_3D('',#217785,#174230,#174231); #157395=AXIS2_PLACEMENT_3D('',#217787,#174232,#174233); #157396=AXIS2_PLACEMENT_3D('',#217790,#174235,#174236); #157397=AXIS2_PLACEMENT_3D('',#217791,#174237,#174238); #157398=AXIS2_PLACEMENT_3D('',#217793,#174239,#174240); #157399=AXIS2_PLACEMENT_3D('',#217796,#174242,#174243); #157400=AXIS2_PLACEMENT_3D('',#217797,#174244,#174245); #157401=AXIS2_PLACEMENT_3D('',#217803,#174249,#174250); #157402=AXIS2_PLACEMENT_3D('',#217809,#174254,#174255); #157403=AXIS2_PLACEMENT_3D('',#217811,#174256,#174257); #157404=AXIS2_PLACEMENT_3D('',#217814,#174259,#174260); #157405=AXIS2_PLACEMENT_3D('',#217815,#174261,#174262); #157406=AXIS2_PLACEMENT_3D('',#217817,#174263,#174264); #157407=AXIS2_PLACEMENT_3D('',#217820,#174266,#174267); #157408=AXIS2_PLACEMENT_3D('',#217821,#174268,#174269); #157409=AXIS2_PLACEMENT_3D('',#217823,#174270,#174271); #157410=AXIS2_PLACEMENT_3D('',#217826,#174273,#174274); #157411=AXIS2_PLACEMENT_3D('',#217827,#174275,#174276); #157412=AXIS2_PLACEMENT_3D('',#217829,#174277,#174278); #157413=AXIS2_PLACEMENT_3D('',#217832,#174280,#174281); #157414=AXIS2_PLACEMENT_3D('',#217833,#174282,#174283); #157415=AXIS2_PLACEMENT_3D('',#217835,#174284,#174285); #157416=AXIS2_PLACEMENT_3D('',#217838,#174287,#174288); #157417=AXIS2_PLACEMENT_3D('',#217839,#174289,#174290); #157418=AXIS2_PLACEMENT_3D('',#217841,#174291,#174292); #157419=AXIS2_PLACEMENT_3D('',#217844,#174294,#174295); #157420=AXIS2_PLACEMENT_3D('',#217845,#174296,#174297); #157421=AXIS2_PLACEMENT_3D('',#217848,#174300,#174301); #157422=AXIS2_PLACEMENT_3D('',#217849,#174302,#174303); #157423=AXIS2_PLACEMENT_3D('',#217858,#174308,#174309); #157424=AXIS2_PLACEMENT_3D('',#217864,#174313,#174314); #157425=AXIS2_PLACEMENT_3D('',#217870,#174318,#174319); #157426=AXIS2_PLACEMENT_3D('',#217876,#174323,#174324); #157427=AXIS2_PLACEMENT_3D('',#217882,#174328,#174329); #157428=AXIS2_PLACEMENT_3D('',#217888,#174333,#174334); #157429=AXIS2_PLACEMENT_3D('',#217894,#174338,#174339); #157430=AXIS2_PLACEMENT_3D('',#217897,#174342,#174343); #157431=AXIS2_PLACEMENT_3D('',#217898,#174344,#174345); #157432=AXIS2_PLACEMENT_3D('',#217907,#174350,#174351); #157433=AXIS2_PLACEMENT_3D('',#217913,#174355,#174356); #157434=AXIS2_PLACEMENT_3D('',#217915,#174357,#174358); #157435=AXIS2_PLACEMENT_3D('',#217918,#174360,#174361); #157436=AXIS2_PLACEMENT_3D('',#217919,#174362,#174363); #157437=AXIS2_PLACEMENT_3D('',#217921,#174364,#174365); #157438=AXIS2_PLACEMENT_3D('',#217924,#174367,#174368); #157439=AXIS2_PLACEMENT_3D('',#217925,#174369,#174370); #157440=AXIS2_PLACEMENT_3D('',#217931,#174374,#174375); #157441=AXIS2_PLACEMENT_3D('',#217933,#174376,#174377); #157442=AXIS2_PLACEMENT_3D('',#217936,#174379,#174380); #157443=AXIS2_PLACEMENT_3D('',#217937,#174381,#174382); #157444=AXIS2_PLACEMENT_3D('',#217939,#174383,#174384); #157445=AXIS2_PLACEMENT_3D('',#217942,#174386,#174387); #157446=AXIS2_PLACEMENT_3D('',#217943,#174388,#174389); #157447=AXIS2_PLACEMENT_3D('',#217949,#174393,#174394); #157448=AXIS2_PLACEMENT_3D('',#217951,#174395,#174396); #157449=AXIS2_PLACEMENT_3D('',#217954,#174398,#174399); #157450=AXIS2_PLACEMENT_3D('',#217955,#174400,#174401); #157451=AXIS2_PLACEMENT_3D('',#217957,#174402,#174403); #157452=AXIS2_PLACEMENT_3D('',#217960,#174405,#174406); #157453=AXIS2_PLACEMENT_3D('',#217961,#174407,#174408); #157454=AXIS2_PLACEMENT_3D('',#217967,#174412,#174413); #157455=AXIS2_PLACEMENT_3D('',#217973,#174417,#174418); #157456=AXIS2_PLACEMENT_3D('',#217979,#174422,#174423); #157457=AXIS2_PLACEMENT_3D('',#217981,#174424,#174425); #157458=AXIS2_PLACEMENT_3D('',#217984,#174427,#174428); #157459=AXIS2_PLACEMENT_3D('',#217985,#174429,#174430); #157460=AXIS2_PLACEMENT_3D('',#217988,#174433,#174434); #157461=AXIS2_PLACEMENT_3D('',#217989,#174435,#174436); #157462=AXIS2_PLACEMENT_3D('',#217998,#174441,#174442); #157463=AXIS2_PLACEMENT_3D('',#218004,#174446,#174447); #157464=AXIS2_PLACEMENT_3D('',#218010,#174451,#174452); #157465=AXIS2_PLACEMENT_3D('',#218016,#174456,#174457); #157466=AXIS2_PLACEMENT_3D('',#218022,#174461,#174462); #157467=AXIS2_PLACEMENT_3D('',#218028,#174466,#174467); #157468=AXIS2_PLACEMENT_3D('',#218034,#174471,#174472); #157469=AXIS2_PLACEMENT_3D('',#218040,#174476,#174477); #157470=AXIS2_PLACEMENT_3D('',#218042,#174478,#174479); #157471=AXIS2_PLACEMENT_3D('',#218045,#174481,#174482); #157472=AXIS2_PLACEMENT_3D('',#218046,#174483,#174484); #157473=AXIS2_PLACEMENT_3D('',#218052,#174488,#174489); #157474=AXIS2_PLACEMENT_3D('',#218054,#174490,#174491); #157475=AXIS2_PLACEMENT_3D('',#218057,#174493,#174494); #157476=AXIS2_PLACEMENT_3D('',#218058,#174495,#174496); #157477=AXIS2_PLACEMENT_3D('',#218064,#174500,#174501); #157478=AXIS2_PLACEMENT_3D('',#218070,#174505,#174506); #157479=AXIS2_PLACEMENT_3D('',#218076,#174510,#174511); #157480=AXIS2_PLACEMENT_3D('',#218082,#174515,#174516); #157481=AXIS2_PLACEMENT_3D('',#218088,#174520,#174521); #157482=AXIS2_PLACEMENT_3D('',#218094,#174525,#174526); #157483=AXIS2_PLACEMENT_3D('',#218100,#174530,#174531); #157484=AXIS2_PLACEMENT_3D('',#218106,#174535,#174536); #157485=AXIS2_PLACEMENT_3D('',#218108,#174537,#174538); #157486=AXIS2_PLACEMENT_3D('',#218111,#174540,#174541); #157487=AXIS2_PLACEMENT_3D('',#218112,#174542,#174543); #157488=AXIS2_PLACEMENT_3D('',#218114,#174544,#174545); #157489=AXIS2_PLACEMENT_3D('',#218117,#174547,#174548); #157490=AXIS2_PLACEMENT_3D('',#218118,#174549,#174550); #157491=AXIS2_PLACEMENT_3D('',#218120,#174551,#174552); #157492=AXIS2_PLACEMENT_3D('',#218123,#174554,#174555); #157493=AXIS2_PLACEMENT_3D('',#218124,#174556,#174557); #157494=AXIS2_PLACEMENT_3D('',#218130,#174561,#174562); #157495=AXIS2_PLACEMENT_3D('',#218136,#174566,#174567); #157496=AXIS2_PLACEMENT_3D('',#218142,#174571,#174572); #157497=AXIS2_PLACEMENT_3D('',#218148,#174576,#174577); #157498=AXIS2_PLACEMENT_3D('',#218154,#174581,#174582); #157499=AXIS2_PLACEMENT_3D('',#218160,#174586,#174587); #157500=AXIS2_PLACEMENT_3D('',#218166,#174591,#174592); #157501=AXIS2_PLACEMENT_3D('',#218172,#174596,#174597); #157502=AXIS2_PLACEMENT_3D('',#218178,#174601,#174602); #157503=AXIS2_PLACEMENT_3D('',#218184,#174606,#174607); #157504=AXIS2_PLACEMENT_3D('',#218190,#174611,#174612); #157505=AXIS2_PLACEMENT_3D('',#218196,#174616,#174617); #157506=AXIS2_PLACEMENT_3D('',#218202,#174621,#174622); #157507=AXIS2_PLACEMENT_3D('',#218208,#174626,#174627); #157508=AXIS2_PLACEMENT_3D('',#218214,#174631,#174632); #157509=AXIS2_PLACEMENT_3D('',#218220,#174636,#174637); #157510=AXIS2_PLACEMENT_3D('',#218226,#174641,#174642); #157511=AXIS2_PLACEMENT_3D('',#218232,#174646,#174647); #157512=AXIS2_PLACEMENT_3D('',#218234,#174648,#174649); #157513=AXIS2_PLACEMENT_3D('',#218237,#174651,#174652); #157514=AXIS2_PLACEMENT_3D('',#218238,#174653,#174654); #157515=AXIS2_PLACEMENT_3D('',#218244,#174658,#174659); #157516=AXIS2_PLACEMENT_3D('',#218250,#174663,#174664); #157517=AXIS2_PLACEMENT_3D('',#218256,#174668,#174669); #157518=AXIS2_PLACEMENT_3D('',#218258,#174670,#174671); #157519=AXIS2_PLACEMENT_3D('',#218261,#174673,#174674); #157520=AXIS2_PLACEMENT_3D('',#218262,#174675,#174676); #157521=AXIS2_PLACEMENT_3D('',#218268,#174680,#174681); #157522=AXIS2_PLACEMENT_3D('',#218274,#174685,#174686); #157523=AXIS2_PLACEMENT_3D('',#218280,#174690,#174691); #157524=AXIS2_PLACEMENT_3D('',#218286,#174695,#174696); #157525=AXIS2_PLACEMENT_3D('',#218292,#174700,#174701); #157526=AXIS2_PLACEMENT_3D('',#218298,#174705,#174706); #157527=AXIS2_PLACEMENT_3D('',#218304,#174710,#174711); #157528=AXIS2_PLACEMENT_3D('',#218310,#174715,#174716); #157529=AXIS2_PLACEMENT_3D('',#218316,#174720,#174721); #157530=AXIS2_PLACEMENT_3D('',#218322,#174725,#174726); #157531=AXIS2_PLACEMENT_3D('',#218328,#174730,#174731); #157532=AXIS2_PLACEMENT_3D('',#218334,#174735,#174736); #157533=AXIS2_PLACEMENT_3D('',#218340,#174740,#174741); #157534=AXIS2_PLACEMENT_3D('',#218346,#174745,#174746); #157535=AXIS2_PLACEMENT_3D('',#218352,#174750,#174751); #157536=AXIS2_PLACEMENT_3D('',#218358,#174755,#174756); #157537=AXIS2_PLACEMENT_3D('',#218364,#174760,#174761); #157538=AXIS2_PLACEMENT_3D('',#218370,#174765,#174766); #157539=AXIS2_PLACEMENT_3D('',#218376,#174770,#174771); #157540=AXIS2_PLACEMENT_3D('',#218382,#174775,#174776); #157541=AXIS2_PLACEMENT_3D('',#218388,#174780,#174781); #157542=AXIS2_PLACEMENT_3D('',#218394,#174785,#174786); #157543=AXIS2_PLACEMENT_3D('',#218400,#174790,#174791); #157544=AXIS2_PLACEMENT_3D('',#218406,#174795,#174796); #157545=AXIS2_PLACEMENT_3D('',#218412,#174800,#174801); #157546=AXIS2_PLACEMENT_3D('',#218414,#174802,#174803); #157547=AXIS2_PLACEMENT_3D('',#218417,#174805,#174806); #157548=AXIS2_PLACEMENT_3D('',#218418,#174807,#174808); #157549=AXIS2_PLACEMENT_3D('',#218424,#174812,#174813); #157550=AXIS2_PLACEMENT_3D('',#218426,#174814,#174815); #157551=AXIS2_PLACEMENT_3D('',#218429,#174817,#174818); #157552=AXIS2_PLACEMENT_3D('',#218430,#174819,#174820); #157553=AXIS2_PLACEMENT_3D('',#218432,#174821,#174822); #157554=AXIS2_PLACEMENT_3D('',#218435,#174824,#174825); #157555=AXIS2_PLACEMENT_3D('',#218436,#174826,#174827); #157556=AXIS2_PLACEMENT_3D('',#218442,#174831,#174832); #157557=AXIS2_PLACEMENT_3D('',#218448,#174836,#174837); #157558=AXIS2_PLACEMENT_3D('',#218454,#174841,#174842); #157559=AXIS2_PLACEMENT_3D('',#218460,#174846,#174847); #157560=AXIS2_PLACEMENT_3D('',#218466,#174851,#174852); #157561=AXIS2_PLACEMENT_3D('',#218472,#174856,#174857); #157562=AXIS2_PLACEMENT_3D('',#218478,#174861,#174862); #157563=AXIS2_PLACEMENT_3D('',#218484,#174866,#174867); #157564=AXIS2_PLACEMENT_3D('',#218490,#174871,#174872); #157565=AXIS2_PLACEMENT_3D('',#218496,#174876,#174877); #157566=AXIS2_PLACEMENT_3D('',#218502,#174881,#174882); #157567=AXIS2_PLACEMENT_3D('',#218504,#174883,#174884); #157568=AXIS2_PLACEMENT_3D('',#218507,#174886,#174887); #157569=AXIS2_PLACEMENT_3D('',#218508,#174888,#174889); #157570=AXIS2_PLACEMENT_3D('',#218514,#174893,#174894); #157571=AXIS2_PLACEMENT_3D('',#218520,#174898,#174899); #157572=AXIS2_PLACEMENT_3D('',#218526,#174903,#174904); #157573=AXIS2_PLACEMENT_3D('',#218532,#174908,#174909); #157574=AXIS2_PLACEMENT_3D('',#218538,#174913,#174914); #157575=AXIS2_PLACEMENT_3D('',#218544,#174918,#174919); #157576=AXIS2_PLACEMENT_3D('',#218550,#174923,#174924); #157577=AXIS2_PLACEMENT_3D('',#218556,#174928,#174929); #157578=AXIS2_PLACEMENT_3D('',#218562,#174933,#174934); #157579=AXIS2_PLACEMENT_3D('',#218568,#174938,#174939); #157580=AXIS2_PLACEMENT_3D('',#218574,#174943,#174944); #157581=AXIS2_PLACEMENT_3D('',#218576,#174945,#174946); #157582=AXIS2_PLACEMENT_3D('',#218579,#174948,#174949); #157583=AXIS2_PLACEMENT_3D('',#218580,#174950,#174951); #157584=AXIS2_PLACEMENT_3D('',#218586,#174955,#174956); #157585=AXIS2_PLACEMENT_3D('',#218592,#174960,#174961); #157586=AXIS2_PLACEMENT_3D('',#218594,#174962,#174963); #157587=AXIS2_PLACEMENT_3D('',#218597,#174965,#174966); #157588=AXIS2_PLACEMENT_3D('',#218598,#174967,#174968); #157589=AXIS2_PLACEMENT_3D('',#218604,#174972,#174973); #157590=AXIS2_PLACEMENT_3D('',#218610,#174977,#174978); #157591=AXIS2_PLACEMENT_3D('',#218616,#174982,#174983); #157592=AXIS2_PLACEMENT_3D('',#218622,#174987,#174988); #157593=AXIS2_PLACEMENT_3D('',#218628,#174992,#174993); #157594=AXIS2_PLACEMENT_3D('',#218634,#174997,#174998); #157595=AXIS2_PLACEMENT_3D('',#218640,#175002,#175003); #157596=AXIS2_PLACEMENT_3D('',#218646,#175007,#175008); #157597=AXIS2_PLACEMENT_3D('',#218652,#175012,#175013); #157598=AXIS2_PLACEMENT_3D('',#218658,#175017,#175018); #157599=AXIS2_PLACEMENT_3D('',#218664,#175022,#175023); #157600=AXIS2_PLACEMENT_3D('',#218670,#175027,#175028); #157601=AXIS2_PLACEMENT_3D('',#218676,#175032,#175033); #157602=AXIS2_PLACEMENT_3D('',#218682,#175037,#175038); #157603=AXIS2_PLACEMENT_3D('',#218688,#175042,#175043); #157604=AXIS2_PLACEMENT_3D('',#218694,#175047,#175048); #157605=AXIS2_PLACEMENT_3D('',#218700,#175052,#175053); #157606=AXIS2_PLACEMENT_3D('',#218706,#175057,#175058); #157607=AXIS2_PLACEMENT_3D('',#218712,#175062,#175063); #157608=AXIS2_PLACEMENT_3D('',#218718,#175067,#175068); #157609=AXIS2_PLACEMENT_3D('',#218724,#175072,#175073); #157610=AXIS2_PLACEMENT_3D('',#218730,#175077,#175078); #157611=AXIS2_PLACEMENT_3D('',#218736,#175082,#175083); #157612=AXIS2_PLACEMENT_3D('',#218742,#175087,#175088); #157613=AXIS2_PLACEMENT_3D('',#218748,#175092,#175093); #157614=AXIS2_PLACEMENT_3D('',#218750,#175094,#175095); #157615=AXIS2_PLACEMENT_3D('',#218753,#175097,#175098); #157616=AXIS2_PLACEMENT_3D('',#218754,#175099,#175100); #157617=AXIS2_PLACEMENT_3D('',#218760,#175104,#175105); #157618=AXIS2_PLACEMENT_3D('',#218762,#175106,#175107); #157619=AXIS2_PLACEMENT_3D('',#218765,#175109,#175110); #157620=AXIS2_PLACEMENT_3D('',#218766,#175111,#175112); #157621=AXIS2_PLACEMENT_3D('',#218768,#175113,#175114); #157622=AXIS2_PLACEMENT_3D('',#218771,#175116,#175117); #157623=AXIS2_PLACEMENT_3D('',#218772,#175118,#175119); #157624=AXIS2_PLACEMENT_3D('',#218778,#175123,#175124); #157625=AXIS2_PLACEMENT_3D('',#218780,#175125,#175126); #157626=AXIS2_PLACEMENT_3D('',#218783,#175128,#175129); #157627=AXIS2_PLACEMENT_3D('',#218784,#175130,#175131); #157628=AXIS2_PLACEMENT_3D('',#218790,#175135,#175136); #157629=AXIS2_PLACEMENT_3D('',#218796,#175140,#175141); #157630=AXIS2_PLACEMENT_3D('',#218802,#175145,#175146); #157631=AXIS2_PLACEMENT_3D('',#218808,#175150,#175151); #157632=AXIS2_PLACEMENT_3D('',#218814,#175155,#175156); #157633=AXIS2_PLACEMENT_3D('',#218820,#175160,#175161); #157634=AXIS2_PLACEMENT_3D('',#218826,#175165,#175166); #157635=AXIS2_PLACEMENT_3D('',#218832,#175170,#175171); #157636=AXIS2_PLACEMENT_3D('',#218838,#175175,#175176); #157637=AXIS2_PLACEMENT_3D('',#218844,#175180,#175181); #157638=AXIS2_PLACEMENT_3D('',#218850,#175185,#175186); #157639=AXIS2_PLACEMENT_3D('',#218856,#175190,#175191); #157640=AXIS2_PLACEMENT_3D('',#218862,#175195,#175196); #157641=AXIS2_PLACEMENT_3D('',#218868,#175200,#175201); #157642=AXIS2_PLACEMENT_3D('',#218874,#175205,#175206); #157643=AXIS2_PLACEMENT_3D('',#218880,#175210,#175211); #157644=AXIS2_PLACEMENT_3D('',#218886,#175215,#175216); #157645=AXIS2_PLACEMENT_3D('',#218892,#175220,#175221); #157646=AXIS2_PLACEMENT_3D('',#218898,#175225,#175226); #157647=AXIS2_PLACEMENT_3D('',#218900,#175227,#175228); #157648=AXIS2_PLACEMENT_3D('',#218903,#175230,#175231); #157649=AXIS2_PLACEMENT_3D('',#218904,#175232,#175233); #157650=AXIS2_PLACEMENT_3D('',#218910,#175237,#175238); #157651=AXIS2_PLACEMENT_3D('',#218912,#175239,#175240); #157652=AXIS2_PLACEMENT_3D('',#218915,#175242,#175243); #157653=AXIS2_PLACEMENT_3D('',#218916,#175244,#175245); #157654=AXIS2_PLACEMENT_3D('',#218922,#175249,#175250); #157655=AXIS2_PLACEMENT_3D('',#218928,#175254,#175255); #157656=AXIS2_PLACEMENT_3D('',#218934,#175259,#175260); #157657=AXIS2_PLACEMENT_3D('',#218940,#175264,#175265); #157658=AXIS2_PLACEMENT_3D('',#218946,#175269,#175270); #157659=AXIS2_PLACEMENT_3D('',#218952,#175274,#175275); #157660=AXIS2_PLACEMENT_3D('',#218958,#175279,#175280); #157661=AXIS2_PLACEMENT_3D('',#218964,#175284,#175285); #157662=AXIS2_PLACEMENT_3D('',#218970,#175289,#175290); #157663=AXIS2_PLACEMENT_3D('',#218976,#175294,#175295); #157664=AXIS2_PLACEMENT_3D('',#218982,#175299,#175300); #157665=AXIS2_PLACEMENT_3D('',#218988,#175304,#175305); #157666=AXIS2_PLACEMENT_3D('',#218994,#175309,#175310); #157667=AXIS2_PLACEMENT_3D('',#218996,#175311,#175312); #157668=AXIS2_PLACEMENT_3D('',#218999,#175314,#175315); #157669=AXIS2_PLACEMENT_3D('',#219000,#175316,#175317); #157670=AXIS2_PLACEMENT_3D('',#219006,#175321,#175322); #157671=AXIS2_PLACEMENT_3D('',#219009,#175325,#175326); #157672=AXIS2_PLACEMENT_3D('',#219010,#175327,#175328); #157673=AXIS2_PLACEMENT_3D('',#219019,#175333,#175334); #157674=AXIS2_PLACEMENT_3D('',#219025,#175338,#175339); #157675=AXIS2_PLACEMENT_3D('',#219031,#175343,#175344); #157676=AXIS2_PLACEMENT_3D('',#219037,#175348,#175349); #157677=AXIS2_PLACEMENT_3D('',#219043,#175353,#175354); #157678=AXIS2_PLACEMENT_3D('',#219049,#175358,#175359); #157679=AXIS2_PLACEMENT_3D('',#219055,#175363,#175364); #157680=AXIS2_PLACEMENT_3D('',#219061,#175368,#175369); #157681=AXIS2_PLACEMENT_3D('',#219067,#175373,#175374); #157682=AXIS2_PLACEMENT_3D('',#219073,#175378,#175379); #157683=AXIS2_PLACEMENT_3D('',#219079,#175383,#175384); #157684=AXIS2_PLACEMENT_3D('',#219085,#175388,#175389); #157685=AXIS2_PLACEMENT_3D('',#219091,#175393,#175394); #157686=AXIS2_PLACEMENT_3D('',#219097,#175398,#175399); #157687=AXIS2_PLACEMENT_3D('',#219099,#175400,#175401); #157688=AXIS2_PLACEMENT_3D('',#219102,#175403,#175404); #157689=AXIS2_PLACEMENT_3D('',#219103,#175405,#175406); #157690=AXIS2_PLACEMENT_3D('',#219109,#175410,#175411); #157691=AXIS2_PLACEMENT_3D('',#219115,#175415,#175416); #157692=AXIS2_PLACEMENT_3D('',#219121,#175420,#175421); #157693=AXIS2_PLACEMENT_3D('',#219127,#175425,#175426); #157694=AXIS2_PLACEMENT_3D('',#219133,#175430,#175431); #157695=AXIS2_PLACEMENT_3D('',#219139,#175435,#175436); #157696=AXIS2_PLACEMENT_3D('',#219145,#175440,#175441); #157697=AXIS2_PLACEMENT_3D('',#219151,#175445,#175446); #157698=AXIS2_PLACEMENT_3D('',#219157,#175450,#175451); #157699=AXIS2_PLACEMENT_3D('',#219163,#175455,#175456); #157700=AXIS2_PLACEMENT_3D('',#219169,#175460,#175461); #157701=AXIS2_PLACEMENT_3D('',#219175,#175465,#175466); #157702=AXIS2_PLACEMENT_3D('',#219177,#175467,#175468); #157703=AXIS2_PLACEMENT_3D('',#219180,#175470,#175471); #157704=AXIS2_PLACEMENT_3D('',#219181,#175472,#175473); #157705=AXIS2_PLACEMENT_3D('',#219187,#175477,#175478); #157706=AXIS2_PLACEMENT_3D('',#219193,#175482,#175483); #157707=AXIS2_PLACEMENT_3D('',#219199,#175487,#175488); #157708=AXIS2_PLACEMENT_3D('',#219205,#175492,#175493); #157709=AXIS2_PLACEMENT_3D('',#219211,#175497,#175498); #157710=AXIS2_PLACEMENT_3D('',#219217,#175502,#175503); #157711=AXIS2_PLACEMENT_3D('',#219223,#175507,#175508); #157712=AXIS2_PLACEMENT_3D('',#219229,#175512,#175513); #157713=AXIS2_PLACEMENT_3D('',#219235,#175517,#175518); #157714=AXIS2_PLACEMENT_3D('',#219241,#175522,#175523); #157715=AXIS2_PLACEMENT_3D('',#219247,#175527,#175528); #157716=AXIS2_PLACEMENT_3D('',#219249,#175529,#175530); #157717=AXIS2_PLACEMENT_3D('',#219252,#175532,#175533); #157718=AXIS2_PLACEMENT_3D('',#219253,#175534,#175535); #157719=AXIS2_PLACEMENT_3D('',#219259,#175539,#175540); #157720=AXIS2_PLACEMENT_3D('',#219261,#175541,#175542); #157721=AXIS2_PLACEMENT_3D('',#219264,#175544,#175545); #157722=AXIS2_PLACEMENT_3D('',#219265,#175546,#175547); #157723=AXIS2_PLACEMENT_3D('',#219271,#175551,#175552); #157724=AXIS2_PLACEMENT_3D('',#219277,#175556,#175557); #157725=AXIS2_PLACEMENT_3D('',#219283,#175561,#175562); #157726=AXIS2_PLACEMENT_3D('',#219289,#175566,#175567); #157727=AXIS2_PLACEMENT_3D('',#219295,#175571,#175572); #157728=AXIS2_PLACEMENT_3D('',#219297,#175573,#175574); #157729=AXIS2_PLACEMENT_3D('',#219300,#175576,#175577); #157730=AXIS2_PLACEMENT_3D('',#219301,#175578,#175579); #157731=AXIS2_PLACEMENT_3D('',#219307,#175583,#175584); #157732=AXIS2_PLACEMENT_3D('',#219313,#175588,#175589); #157733=AXIS2_PLACEMENT_3D('',#219319,#175593,#175594); #157734=AXIS2_PLACEMENT_3D('',#219325,#175598,#175599); #157735=AXIS2_PLACEMENT_3D('',#219331,#175603,#175604); #157736=AXIS2_PLACEMENT_3D('',#219337,#175608,#175609); #157737=AXIS2_PLACEMENT_3D('',#219343,#175613,#175614); #157738=AXIS2_PLACEMENT_3D('',#219349,#175618,#175619); #157739=AXIS2_PLACEMENT_3D('',#219355,#175623,#175624); #157740=AXIS2_PLACEMENT_3D('',#219361,#175628,#175629); #157741=AXIS2_PLACEMENT_3D('',#219367,#175633,#175634); #157742=AXIS2_PLACEMENT_3D('',#219373,#175638,#175639); #157743=AXIS2_PLACEMENT_3D('',#219379,#175643,#175644); #157744=AXIS2_PLACEMENT_3D('',#219385,#175648,#175649); #157745=AXIS2_PLACEMENT_3D('',#219391,#175653,#175654); #157746=AXIS2_PLACEMENT_3D('',#219397,#175658,#175659); #157747=AXIS2_PLACEMENT_3D('',#219403,#175663,#175664); #157748=AXIS2_PLACEMENT_3D('',#219409,#175668,#175669); #157749=AXIS2_PLACEMENT_3D('',#219415,#175673,#175674); #157750=AXIS2_PLACEMENT_3D('',#219421,#175678,#175679); #157751=AXIS2_PLACEMENT_3D('',#219427,#175683,#175684); #157752=AXIS2_PLACEMENT_3D('',#219433,#175688,#175689); #157753=AXIS2_PLACEMENT_3D('',#219439,#175693,#175694); #157754=AXIS2_PLACEMENT_3D('',#219445,#175698,#175699); #157755=AXIS2_PLACEMENT_3D('',#219451,#175703,#175704); #157756=AXIS2_PLACEMENT_3D('',#219457,#175708,#175709); #157757=AXIS2_PLACEMENT_3D('',#219459,#175710,#175711); #157758=AXIS2_PLACEMENT_3D('',#219462,#175713,#175714); #157759=AXIS2_PLACEMENT_3D('',#219463,#175715,#175716); #157760=AXIS2_PLACEMENT_3D('',#219469,#175720,#175721); #157761=AXIS2_PLACEMENT_3D('',#219471,#175722,#175723); #157762=AXIS2_PLACEMENT_3D('',#219474,#175725,#175726); #157763=AXIS2_PLACEMENT_3D('',#219475,#175727,#175728); #157764=AXIS2_PLACEMENT_3D('',#219481,#175732,#175733); #157765=AXIS2_PLACEMENT_3D('',#219487,#175737,#175738); #157766=AXIS2_PLACEMENT_3D('',#219493,#175742,#175743); #157767=AXIS2_PLACEMENT_3D('',#219499,#175747,#175748); #157768=AXIS2_PLACEMENT_3D('',#219505,#175752,#175753); #157769=AXIS2_PLACEMENT_3D('',#219511,#175757,#175758); #157770=AXIS2_PLACEMENT_3D('',#219517,#175762,#175763); #157771=AXIS2_PLACEMENT_3D('',#219523,#175767,#175768); #157772=AXIS2_PLACEMENT_3D('',#219529,#175772,#175773); #157773=AXIS2_PLACEMENT_3D('',#219535,#175777,#175778); #157774=AXIS2_PLACEMENT_3D('',#219541,#175782,#175783); #157775=AXIS2_PLACEMENT_3D('',#219547,#175787,#175788); #157776=AXIS2_PLACEMENT_3D('',#219553,#175792,#175793); #157777=AXIS2_PLACEMENT_3D('',#219559,#175797,#175798); #157778=AXIS2_PLACEMENT_3D('',#219565,#175802,#175803); #157779=AXIS2_PLACEMENT_3D('',#219571,#175807,#175808); #157780=AXIS2_PLACEMENT_3D('',#219577,#175812,#175813); #157781=AXIS2_PLACEMENT_3D('',#219583,#175817,#175818); #157782=AXIS2_PLACEMENT_3D('',#219589,#175822,#175823); #157783=AXIS2_PLACEMENT_3D('',#219595,#175827,#175828); #157784=AXIS2_PLACEMENT_3D('',#219601,#175832,#175833); #157785=AXIS2_PLACEMENT_3D('',#219607,#175837,#175838); #157786=AXIS2_PLACEMENT_3D('',#219613,#175842,#175843); #157787=AXIS2_PLACEMENT_3D('',#219619,#175847,#175848); #157788=AXIS2_PLACEMENT_3D('',#219621,#175849,#175850); #157789=AXIS2_PLACEMENT_3D('',#219624,#175852,#175853); #157790=AXIS2_PLACEMENT_3D('',#219625,#175854,#175855); #157791=AXIS2_PLACEMENT_3D('',#219631,#175859,#175860); #157792=AXIS2_PLACEMENT_3D('',#219637,#175864,#175865); #157793=AXIS2_PLACEMENT_3D('',#219643,#175869,#175870); #157794=AXIS2_PLACEMENT_3D('',#219649,#175874,#175875); #157795=AXIS2_PLACEMENT_3D('',#219655,#175879,#175880); #157796=AXIS2_PLACEMENT_3D('',#219657,#175881,#175882); #157797=AXIS2_PLACEMENT_3D('',#219660,#175884,#175885); #157798=AXIS2_PLACEMENT_3D('',#219661,#175886,#175887); #157799=AXIS2_PLACEMENT_3D('',#219663,#175888,#175889); #157800=AXIS2_PLACEMENT_3D('',#219666,#175891,#175892); #157801=AXIS2_PLACEMENT_3D('',#219667,#175893,#175894); #157802=AXIS2_PLACEMENT_3D('',#219673,#175898,#175899); #157803=AXIS2_PLACEMENT_3D('',#219679,#175903,#175904); #157804=AXIS2_PLACEMENT_3D('',#219685,#175908,#175909); #157805=AXIS2_PLACEMENT_3D('',#219691,#175913,#175914); #157806=AXIS2_PLACEMENT_3D('',#219697,#175918,#175919); #157807=AXIS2_PLACEMENT_3D('',#219703,#175923,#175924); #157808=AXIS2_PLACEMENT_3D('',#219709,#175928,#175929); #157809=AXIS2_PLACEMENT_3D('',#219715,#175933,#175934); #157810=AXIS2_PLACEMENT_3D('',#219721,#175938,#175939); #157811=AXIS2_PLACEMENT_3D('',#219727,#175943,#175944); #157812=AXIS2_PLACEMENT_3D('',#219733,#175948,#175949); #157813=AXIS2_PLACEMENT_3D('',#219739,#175953,#175954); #157814=AXIS2_PLACEMENT_3D('',#219745,#175958,#175959); #157815=AXIS2_PLACEMENT_3D('',#219751,#175963,#175964); #157816=AXIS2_PLACEMENT_3D('',#219757,#175968,#175969); #157817=AXIS2_PLACEMENT_3D('',#219763,#175973,#175974); #157818=AXIS2_PLACEMENT_3D('',#219769,#175978,#175979); #157819=AXIS2_PLACEMENT_3D('',#219775,#175983,#175984); #157820=AXIS2_PLACEMENT_3D('',#219781,#175988,#175989); #157821=AXIS2_PLACEMENT_3D('',#219787,#175993,#175994); #157822=AXIS2_PLACEMENT_3D('',#219793,#175998,#175999); #157823=AXIS2_PLACEMENT_3D('',#219799,#176003,#176004); #157824=AXIS2_PLACEMENT_3D('',#219805,#176008,#176009); #157825=AXIS2_PLACEMENT_3D('',#219811,#176013,#176014); #157826=AXIS2_PLACEMENT_3D('',#219817,#176018,#176019); #157827=AXIS2_PLACEMENT_3D('',#219823,#176023,#176024); #157828=AXIS2_PLACEMENT_3D('',#219829,#176028,#176029); #157829=AXIS2_PLACEMENT_3D('',#219831,#176030,#176031); #157830=AXIS2_PLACEMENT_3D('',#219834,#176033,#176034); #157831=AXIS2_PLACEMENT_3D('',#219835,#176035,#176036); #157832=AXIS2_PLACEMENT_3D('',#219841,#176040,#176041); #157833=AXIS2_PLACEMENT_3D('',#219843,#176042,#176043); #157834=AXIS2_PLACEMENT_3D('',#219846,#176045,#176046); #157835=AXIS2_PLACEMENT_3D('',#219847,#176047,#176048); #157836=AXIS2_PLACEMENT_3D('',#219849,#176049,#176050); #157837=AXIS2_PLACEMENT_3D('',#219852,#176052,#176053); #157838=AXIS2_PLACEMENT_3D('',#219853,#176054,#176055); #157839=AXIS2_PLACEMENT_3D('',#219855,#176056,#176057); #157840=AXIS2_PLACEMENT_3D('',#219858,#176059,#176060); #157841=AXIS2_PLACEMENT_3D('',#219859,#176061,#176062); #157842=AXIS2_PLACEMENT_3D('',#219865,#176066,#176067); #157843=AXIS2_PLACEMENT_3D('',#219871,#176071,#176072); #157844=AXIS2_PLACEMENT_3D('',#219877,#176076,#176077); #157845=AXIS2_PLACEMENT_3D('',#219883,#176081,#176082); #157846=AXIS2_PLACEMENT_3D('',#219889,#176086,#176087); #157847=AXIS2_PLACEMENT_3D('',#219895,#176091,#176092); #157848=AXIS2_PLACEMENT_3D('',#219901,#176096,#176097); #157849=AXIS2_PLACEMENT_3D('',#219903,#176098,#176099); #157850=AXIS2_PLACEMENT_3D('',#219906,#176101,#176102); #157851=AXIS2_PLACEMENT_3D('',#219907,#176103,#176104); #157852=AXIS2_PLACEMENT_3D('',#219913,#176108,#176109); #157853=AXIS2_PLACEMENT_3D('',#219919,#176113,#176114); #157854=AXIS2_PLACEMENT_3D('',#219925,#176118,#176119); #157855=AXIS2_PLACEMENT_3D('',#219931,#176123,#176124); #157856=AXIS2_PLACEMENT_3D('',#219937,#176128,#176129); #157857=AXIS2_PLACEMENT_3D('',#219943,#176133,#176134); #157858=AXIS2_PLACEMENT_3D('',#219949,#176138,#176139); #157859=AXIS2_PLACEMENT_3D('',#219955,#176143,#176144); #157860=AXIS2_PLACEMENT_3D('',#219961,#176148,#176149); #157861=AXIS2_PLACEMENT_3D('',#219967,#176153,#176154); #157862=AXIS2_PLACEMENT_3D('',#219973,#176158,#176159); #157863=AXIS2_PLACEMENT_3D('',#219979,#176163,#176164); #157864=AXIS2_PLACEMENT_3D('',#219985,#176168,#176169); #157865=AXIS2_PLACEMENT_3D('',#219991,#176173,#176174); #157866=AXIS2_PLACEMENT_3D('',#219993,#176175,#176176); #157867=AXIS2_PLACEMENT_3D('',#219996,#176178,#176179); #157868=AXIS2_PLACEMENT_3D('',#219997,#176180,#176181); #157869=AXIS2_PLACEMENT_3D('',#220003,#176185,#176186); #157870=AXIS2_PLACEMENT_3D('',#220009,#176190,#176191); #157871=AXIS2_PLACEMENT_3D('',#220015,#176195,#176196); #157872=AXIS2_PLACEMENT_3D('',#220017,#176197,#176198); #157873=AXIS2_PLACEMENT_3D('',#220020,#176200,#176201); #157874=AXIS2_PLACEMENT_3D('',#220021,#176202,#176203); #157875=AXIS2_PLACEMENT_3D('',#220023,#176204,#176205); #157876=AXIS2_PLACEMENT_3D('',#220026,#176207,#176208); #157877=AXIS2_PLACEMENT_3D('',#220027,#176209,#176210); #157878=AXIS2_PLACEMENT_3D('',#220033,#176214,#176215); #157879=AXIS2_PLACEMENT_3D('',#220039,#176219,#176220); #157880=AXIS2_PLACEMENT_3D('',#220045,#176224,#176225); #157881=AXIS2_PLACEMENT_3D('',#220051,#176229,#176230); #157882=AXIS2_PLACEMENT_3D('',#220057,#176234,#176235); #157883=AXIS2_PLACEMENT_3D('',#220059,#176236,#176237); #157884=AXIS2_PLACEMENT_3D('',#220062,#176239,#176240); #157885=AXIS2_PLACEMENT_3D('',#220063,#176241,#176242); #157886=AXIS2_PLACEMENT_3D('',#220069,#176246,#176247); #157887=AXIS2_PLACEMENT_3D('',#220075,#176251,#176252); #157888=AXIS2_PLACEMENT_3D('',#220081,#176256,#176257); #157889=AXIS2_PLACEMENT_3D('',#220084,#176260,#176261); #157890=AXIS2_PLACEMENT_3D('',#220085,#176262,#176263); #157891=AXIS2_PLACEMENT_3D('',#220088,#176264,#176265); #157892=AXIS2_PLACEMENT_3D('',#220092,#176267,#176268); #157893=AXIS2_PLACEMENT_3D('',#220094,#176270,#176271); #157894=AXIS2_PLACEMENT_3D('',#220096,#176272,#176273); #157895=AXIS2_PLACEMENT_3D('',#220099,#176275,#176276); #157896=AXIS2_PLACEMENT_3D('',#220100,#176277,#176278); #157897=AXIS2_PLACEMENT_3D('',#220102,#176279,#176280); #157898=AXIS2_PLACEMENT_3D('',#220105,#176282,#176283); #157899=AXIS2_PLACEMENT_3D('',#220106,#176284,#176285); #157900=AXIS2_PLACEMENT_3D('',#220108,#176286,#176287); #157901=AXIS2_PLACEMENT_3D('',#220111,#176289,#176290); #157902=AXIS2_PLACEMENT_3D('',#220112,#176291,#176292); #157903=AXIS2_PLACEMENT_3D('',#220118,#176296,#176297); #157904=AXIS2_PLACEMENT_3D('',#220120,#176298,#176299); #157905=AXIS2_PLACEMENT_3D('',#220123,#176301,#176302); #157906=AXIS2_PLACEMENT_3D('',#220124,#176303,#176304); #157907=AXIS2_PLACEMENT_3D('',#220126,#176305,#176306); #157908=AXIS2_PLACEMENT_3D('',#220129,#176308,#176309); #157909=AXIS2_PLACEMENT_3D('',#220130,#176310,#176311); #157910=AXIS2_PLACEMENT_3D('',#220132,#176312,#176313); #157911=AXIS2_PLACEMENT_3D('',#220135,#176315,#176316); #157912=AXIS2_PLACEMENT_3D('',#220136,#176317,#176318); #157913=AXIS2_PLACEMENT_3D('',#220138,#176319,#176320); #157914=AXIS2_PLACEMENT_3D('',#220141,#176322,#176323); #157915=AXIS2_PLACEMENT_3D('',#220142,#176324,#176325); #157916=AXIS2_PLACEMENT_3D('',#220144,#176326,#176327); #157917=AXIS2_PLACEMENT_3D('',#220147,#176329,#176330); #157918=AXIS2_PLACEMENT_3D('',#220148,#176331,#176332); #157919=AXIS2_PLACEMENT_3D('',#220154,#176336,#176337); #157920=AXIS2_PLACEMENT_3D('',#220156,#176338,#176339); #157921=AXIS2_PLACEMENT_3D('',#220159,#176341,#176342); #157922=AXIS2_PLACEMENT_3D('',#220160,#176343,#176344); #157923=AXIS2_PLACEMENT_3D('',#220166,#176348,#176349); #157924=AXIS2_PLACEMENT_3D('',#220172,#176353,#176354); #157925=AXIS2_PLACEMENT_3D('',#220178,#176358,#176359); #157926=AXIS2_PLACEMENT_3D('',#220184,#176363,#176364); #157927=AXIS2_PLACEMENT_3D('',#220190,#176368,#176369); #157928=AXIS2_PLACEMENT_3D('',#220196,#176373,#176374); #157929=AXIS2_PLACEMENT_3D('',#220202,#176378,#176379); #157930=AXIS2_PLACEMENT_3D('',#220208,#176383,#176384); #157931=AXIS2_PLACEMENT_3D('',#220214,#176388,#176389); #157932=AXIS2_PLACEMENT_3D('',#220220,#176393,#176394); #157933=AXIS2_PLACEMENT_3D('',#220226,#176398,#176399); #157934=AXIS2_PLACEMENT_3D('',#220232,#176403,#176404); #157935=AXIS2_PLACEMENT_3D('',#220238,#176408,#176409); #157936=AXIS2_PLACEMENT_3D('',#220244,#176413,#176414); #157937=AXIS2_PLACEMENT_3D('',#220250,#176418,#176419); #157938=AXIS2_PLACEMENT_3D('',#220256,#176423,#176424); #157939=AXIS2_PLACEMENT_3D('',#220262,#176428,#176429); #157940=AXIS2_PLACEMENT_3D('',#220268,#176433,#176434); #157941=AXIS2_PLACEMENT_3D('',#220274,#176438,#176439); #157942=AXIS2_PLACEMENT_3D('',#220280,#176443,#176444); #157943=AXIS2_PLACEMENT_3D('',#220286,#176448,#176449); #157944=AXIS2_PLACEMENT_3D('',#220292,#176453,#176454); #157945=AXIS2_PLACEMENT_3D('',#220298,#176458,#176459); #157946=AXIS2_PLACEMENT_3D('',#220304,#176463,#176464); #157947=AXIS2_PLACEMENT_3D('',#220306,#176465,#176466); #157948=AXIS2_PLACEMENT_3D('',#220309,#176468,#176469); #157949=AXIS2_PLACEMENT_3D('',#220310,#176470,#176471); #157950=AXIS2_PLACEMENT_3D('',#220316,#176475,#176476); #157951=AXIS2_PLACEMENT_3D('',#220322,#176480,#176481); #157952=AXIS2_PLACEMENT_3D('',#220328,#176485,#176486); #157953=AXIS2_PLACEMENT_3D('',#220334,#176490,#176491); #157954=AXIS2_PLACEMENT_3D('',#220340,#176495,#176496); #157955=AXIS2_PLACEMENT_3D('',#220346,#176500,#176501); #157956=AXIS2_PLACEMENT_3D('',#220352,#176505,#176506); #157957=AXIS2_PLACEMENT_3D('',#220358,#176510,#176511); #157958=AXIS2_PLACEMENT_3D('',#220364,#176515,#176516); #157959=AXIS2_PLACEMENT_3D('',#220370,#176520,#176521); #157960=AXIS2_PLACEMENT_3D('',#220376,#176525,#176526); #157961=AXIS2_PLACEMENT_3D('',#220382,#176530,#176531); #157962=AXIS2_PLACEMENT_3D('',#220388,#176535,#176536); #157963=AXIS2_PLACEMENT_3D('',#220394,#176540,#176541); #157964=AXIS2_PLACEMENT_3D('',#220400,#176545,#176546); #157965=AXIS2_PLACEMENT_3D('',#220406,#176550,#176551); #157966=AXIS2_PLACEMENT_3D('',#220412,#176555,#176556); #157967=AXIS2_PLACEMENT_3D('',#220418,#176560,#176561); #157968=AXIS2_PLACEMENT_3D('',#220424,#176565,#176566); #157969=AXIS2_PLACEMENT_3D('',#220430,#176570,#176571); #157970=AXIS2_PLACEMENT_3D('',#220436,#176575,#176576); #157971=AXIS2_PLACEMENT_3D('',#220442,#176580,#176581); #157972=AXIS2_PLACEMENT_3D('',#220448,#176585,#176586); #157973=AXIS2_PLACEMENT_3D('',#220454,#176590,#176591); #157974=AXIS2_PLACEMENT_3D('',#220460,#176595,#176596); #157975=AXIS2_PLACEMENT_3D('',#220462,#176597,#176598); #157976=AXIS2_PLACEMENT_3D('',#220465,#176600,#176601); #157977=AXIS2_PLACEMENT_3D('',#220466,#176602,#176603); #157978=AXIS2_PLACEMENT_3D('',#220472,#176607,#176608); #157979=AXIS2_PLACEMENT_3D('',#220474,#176609,#176610); #157980=AXIS2_PLACEMENT_3D('',#220477,#176612,#176613); #157981=AXIS2_PLACEMENT_3D('',#220478,#176614,#176615); #157982=AXIS2_PLACEMENT_3D('',#220480,#176616,#176617); #157983=AXIS2_PLACEMENT_3D('',#220483,#176619,#176620); #157984=AXIS2_PLACEMENT_3D('',#220484,#176621,#176622); #157985=AXIS2_PLACEMENT_3D('',#220486,#176623,#176624); #157986=AXIS2_PLACEMENT_3D('',#220489,#176626,#176627); #157987=AXIS2_PLACEMENT_3D('',#220490,#176628,#176629); #157988=AXIS2_PLACEMENT_3D('',#220496,#176633,#176634); #157989=AXIS2_PLACEMENT_3D('',#220498,#176635,#176636); #157990=AXIS2_PLACEMENT_3D('',#220501,#176638,#176639); #157991=AXIS2_PLACEMENT_3D('',#220502,#176640,#176641); #157992=AXIS2_PLACEMENT_3D('',#220504,#176642,#176643); #157993=AXIS2_PLACEMENT_3D('',#220507,#176645,#176646); #157994=AXIS2_PLACEMENT_3D('',#220508,#176647,#176648); #157995=AXIS2_PLACEMENT_3D('',#220510,#176649,#176650); #157996=AXIS2_PLACEMENT_3D('',#220513,#176652,#176653); #157997=AXIS2_PLACEMENT_3D('',#220514,#176654,#176655); #157998=AXIS2_PLACEMENT_3D('',#220516,#176656,#176657); #157999=AXIS2_PLACEMENT_3D('',#220519,#176659,#176660); #158000=AXIS2_PLACEMENT_3D('',#220520,#176661,#176662); #158001=AXIS2_PLACEMENT_3D('',#220526,#176666,#176667); #158002=AXIS2_PLACEMENT_3D('',#220528,#176668,#176669); #158003=AXIS2_PLACEMENT_3D('',#220531,#176671,#176672); #158004=AXIS2_PLACEMENT_3D('',#220532,#176673,#176674); #158005=AXIS2_PLACEMENT_3D('',#220538,#176678,#176679); #158006=AXIS2_PLACEMENT_3D('',#220544,#176683,#176684); #158007=AXIS2_PLACEMENT_3D('',#220550,#176688,#176689); #158008=AXIS2_PLACEMENT_3D('',#220556,#176693,#176694); #158009=AXIS2_PLACEMENT_3D('',#220562,#176698,#176699); #158010=AXIS2_PLACEMENT_3D('',#220568,#176703,#176704); #158011=AXIS2_PLACEMENT_3D('',#220574,#176708,#176709); #158012=AXIS2_PLACEMENT_3D('',#220580,#176713,#176714); #158013=AXIS2_PLACEMENT_3D('',#220586,#176718,#176719); #158014=AXIS2_PLACEMENT_3D('',#220592,#176723,#176724); #158015=AXIS2_PLACEMENT_3D('',#220598,#176728,#176729); #158016=AXIS2_PLACEMENT_3D('',#220604,#176733,#176734); #158017=AXIS2_PLACEMENT_3D('',#220610,#176738,#176739); #158018=AXIS2_PLACEMENT_3D('',#220616,#176743,#176744); #158019=AXIS2_PLACEMENT_3D('',#220622,#176748,#176749); #158020=AXIS2_PLACEMENT_3D('',#220628,#176753,#176754); #158021=AXIS2_PLACEMENT_3D('',#220634,#176758,#176759); #158022=AXIS2_PLACEMENT_3D('',#220640,#176763,#176764); #158023=AXIS2_PLACEMENT_3D('',#220646,#176768,#176769); #158024=AXIS2_PLACEMENT_3D('',#220652,#176773,#176774); #158025=AXIS2_PLACEMENT_3D('',#220658,#176778,#176779); #158026=AXIS2_PLACEMENT_3D('',#220664,#176783,#176784); #158027=AXIS2_PLACEMENT_3D('',#220670,#176788,#176789); #158028=AXIS2_PLACEMENT_3D('',#220672,#176790,#176791); #158029=AXIS2_PLACEMENT_3D('',#220675,#176793,#176794); #158030=AXIS2_PLACEMENT_3D('',#220676,#176795,#176796); #158031=AXIS2_PLACEMENT_3D('',#220682,#176800,#176801); #158032=AXIS2_PLACEMENT_3D('',#220688,#176805,#176806); #158033=AXIS2_PLACEMENT_3D('',#220694,#176810,#176811); #158034=AXIS2_PLACEMENT_3D('',#220700,#176815,#176816); #158035=AXIS2_PLACEMENT_3D('',#220706,#176820,#176821); #158036=AXIS2_PLACEMENT_3D('',#220712,#176825,#176826); #158037=AXIS2_PLACEMENT_3D('',#220718,#176830,#176831); #158038=AXIS2_PLACEMENT_3D('',#220724,#176835,#176836); #158039=AXIS2_PLACEMENT_3D('',#220730,#176840,#176841); #158040=AXIS2_PLACEMENT_3D('',#220736,#176845,#176846); #158041=AXIS2_PLACEMENT_3D('',#220742,#176850,#176851); #158042=AXIS2_PLACEMENT_3D('',#220748,#176855,#176856); #158043=AXIS2_PLACEMENT_3D('',#220754,#176860,#176861); #158044=AXIS2_PLACEMENT_3D('',#220760,#176865,#176866); #158045=AXIS2_PLACEMENT_3D('',#220766,#176870,#176871); #158046=AXIS2_PLACEMENT_3D('',#220772,#176875,#176876); #158047=AXIS2_PLACEMENT_3D('',#220778,#176880,#176881); #158048=AXIS2_PLACEMENT_3D('',#220784,#176885,#176886); #158049=AXIS2_PLACEMENT_3D('',#220790,#176890,#176891); #158050=AXIS2_PLACEMENT_3D('',#220796,#176895,#176896); #158051=AXIS2_PLACEMENT_3D('',#220802,#176900,#176901); #158052=AXIS2_PLACEMENT_3D('',#220808,#176905,#176906); #158053=AXIS2_PLACEMENT_3D('',#220814,#176910,#176911); #158054=AXIS2_PLACEMENT_3D('',#220820,#176915,#176916); #158055=AXIS2_PLACEMENT_3D('',#220826,#176920,#176921); #158056=AXIS2_PLACEMENT_3D('',#220828,#176922,#176923); #158057=AXIS2_PLACEMENT_3D('',#220831,#176925,#176926); #158058=AXIS2_PLACEMENT_3D('',#220832,#176927,#176928); #158059=AXIS2_PLACEMENT_3D('',#220835,#176931,#176932); #158060=AXIS2_PLACEMENT_3D('',#220836,#176933,#176934); #158061=AXIS2_PLACEMENT_3D('',#220839,#176935,#176936); #158062=AXIS2_PLACEMENT_3D('',#220843,#176938,#176939); #158063=AXIS2_PLACEMENT_3D('',#220845,#176941,#176942); #158064=AXIS2_PLACEMENT_3D('',#220851,#176946,#176947); #158065=AXIS2_PLACEMENT_3D('',#220857,#176951,#176952); #158066=AXIS2_PLACEMENT_3D('',#220863,#176956,#176957); #158067=AXIS2_PLACEMENT_3D('',#220869,#176961,#176962); #158068=AXIS2_PLACEMENT_3D('',#220875,#176966,#176967); #158069=AXIS2_PLACEMENT_3D('',#220881,#176971,#176972); #158070=AXIS2_PLACEMENT_3D('',#220887,#176976,#176977); #158071=AXIS2_PLACEMENT_3D('',#220889,#176978,#176979); #158072=AXIS2_PLACEMENT_3D('',#220892,#176981,#176982); #158073=AXIS2_PLACEMENT_3D('',#220893,#176983,#176984); #158074=AXIS2_PLACEMENT_3D('',#220895,#176985,#176986); #158075=AXIS2_PLACEMENT_3D('',#220898,#176988,#176989); #158076=AXIS2_PLACEMENT_3D('',#220899,#176990,#176991); #158077=AXIS2_PLACEMENT_3D('',#220901,#176992,#176993); #158078=AXIS2_PLACEMENT_3D('',#220904,#176995,#176996); #158079=AXIS2_PLACEMENT_3D('',#220905,#176997,#176998); #158080=AXIS2_PLACEMENT_3D('',#220907,#176999,#177000); #158081=AXIS2_PLACEMENT_3D('',#220910,#177002,#177003); #158082=AXIS2_PLACEMENT_3D('',#220911,#177004,#177005); #158083=AXIS2_PLACEMENT_3D('',#220917,#177009,#177010); #158084=AXIS2_PLACEMENT_3D('',#220923,#177014,#177015); #158085=AXIS2_PLACEMENT_3D('',#220929,#177019,#177020); #158086=AXIS2_PLACEMENT_3D('',#220931,#177021,#177022); #158087=AXIS2_PLACEMENT_3D('',#220934,#177024,#177025); #158088=AXIS2_PLACEMENT_3D('',#220935,#177026,#177027); #158089=AXIS2_PLACEMENT_3D('',#220941,#177031,#177032); #158090=AXIS2_PLACEMENT_3D('',#220943,#177033,#177034); #158091=AXIS2_PLACEMENT_3D('',#220946,#177036,#177037); #158092=AXIS2_PLACEMENT_3D('',#220947,#177038,#177039); #158093=AXIS2_PLACEMENT_3D('',#220949,#177040,#177041); #158094=AXIS2_PLACEMENT_3D('',#220952,#177043,#177044); #158095=AXIS2_PLACEMENT_3D('',#220953,#177045,#177046); #158096=AXIS2_PLACEMENT_3D('',#220959,#177050,#177051); #158097=AXIS2_PLACEMENT_3D('',#220961,#177052,#177053); #158098=AXIS2_PLACEMENT_3D('',#220964,#177055,#177056); #158099=AXIS2_PLACEMENT_3D('',#220965,#177057,#177058); #158100=AXIS2_PLACEMENT_3D('',#220971,#177062,#177063); #158101=AXIS2_PLACEMENT_3D('',#220973,#177064,#177065); #158102=AXIS2_PLACEMENT_3D('',#220976,#177067,#177068); #158103=AXIS2_PLACEMENT_3D('',#220977,#177069,#177070); #158104=AXIS2_PLACEMENT_3D('',#220979,#177071,#177072); #158105=AXIS2_PLACEMENT_3D('',#220982,#177074,#177075); #158106=AXIS2_PLACEMENT_3D('',#220983,#177076,#177077); #158107=AXIS2_PLACEMENT_3D('',#220989,#177081,#177082); #158108=AXIS2_PLACEMENT_3D('',#220995,#177086,#177087); #158109=AXIS2_PLACEMENT_3D('',#220997,#177088,#177089); #158110=AXIS2_PLACEMENT_3D('',#221000,#177091,#177092); #158111=AXIS2_PLACEMENT_3D('',#221001,#177093,#177094); #158112=AXIS2_PLACEMENT_3D('',#221007,#177098,#177099); #158113=AXIS2_PLACEMENT_3D('',#221013,#177103,#177104); #158114=AXIS2_PLACEMENT_3D('',#221019,#177108,#177109); #158115=AXIS2_PLACEMENT_3D('',#221025,#177113,#177114); #158116=AXIS2_PLACEMENT_3D('',#221031,#177118,#177119); #158117=AXIS2_PLACEMENT_3D('',#221037,#177123,#177124); #158118=AXIS2_PLACEMENT_3D('',#221039,#177125,#177126); #158119=AXIS2_PLACEMENT_3D('',#221042,#177128,#177129); #158120=AXIS2_PLACEMENT_3D('',#221043,#177130,#177131); #158121=AXIS2_PLACEMENT_3D('',#221049,#177135,#177136); #158122=AXIS2_PLACEMENT_3D('',#221055,#177140,#177141); #158123=AXIS2_PLACEMENT_3D('',#221061,#177145,#177146); #158124=AXIS2_PLACEMENT_3D('',#221067,#177150,#177151); #158125=AXIS2_PLACEMENT_3D('',#221073,#177155,#177156); #158126=AXIS2_PLACEMENT_3D('',#221075,#177157,#177158); #158127=AXIS2_PLACEMENT_3D('',#221078,#177160,#177161); #158128=AXIS2_PLACEMENT_3D('',#221079,#177162,#177163); #158129=AXIS2_PLACEMENT_3D('',#221081,#177164,#177165); #158130=AXIS2_PLACEMENT_3D('',#221084,#177167,#177168); #158131=AXIS2_PLACEMENT_3D('',#221085,#177169,#177170); #158132=AXIS2_PLACEMENT_3D('',#221087,#177171,#177172); #158133=AXIS2_PLACEMENT_3D('',#221090,#177174,#177175); #158134=AXIS2_PLACEMENT_3D('',#221091,#177176,#177177); #158135=AXIS2_PLACEMENT_3D('',#221097,#177181,#177182); #158136=AXIS2_PLACEMENT_3D('',#221103,#177186,#177187); #158137=AXIS2_PLACEMENT_3D('',#221109,#177191,#177192); #158138=AXIS2_PLACEMENT_3D('',#221111,#177193,#177194); #158139=AXIS2_PLACEMENT_3D('',#221114,#177196,#177197); #158140=AXIS2_PLACEMENT_3D('',#221115,#177198,#177199); #158141=AXIS2_PLACEMENT_3D('',#221121,#177203,#177204); #158142=AXIS2_PLACEMENT_3D('',#221127,#177208,#177209); #158143=AXIS2_PLACEMENT_3D('',#221129,#177210,#177211); #158144=AXIS2_PLACEMENT_3D('',#221132,#177213,#177214); #158145=AXIS2_PLACEMENT_3D('',#221133,#177215,#177216); #158146=AXIS2_PLACEMENT_3D('',#221139,#177220,#177221); #158147=AXIS2_PLACEMENT_3D('',#221145,#177225,#177226); #158148=AXIS2_PLACEMENT_3D('',#221151,#177230,#177231); #158149=AXIS2_PLACEMENT_3D('',#221153,#177232,#177233); #158150=AXIS2_PLACEMENT_3D('',#221156,#177235,#177236); #158151=AXIS2_PLACEMENT_3D('',#221157,#177237,#177238); #158152=AXIS2_PLACEMENT_3D('',#221159,#177239,#177240); #158153=AXIS2_PLACEMENT_3D('',#221162,#177242,#177243); #158154=AXIS2_PLACEMENT_3D('',#221163,#177244,#177245); #158155=AXIS2_PLACEMENT_3D('',#221169,#177249,#177250); #158156=AXIS2_PLACEMENT_3D('',#221171,#177251,#177252); #158157=AXIS2_PLACEMENT_3D('',#221174,#177254,#177255); #158158=AXIS2_PLACEMENT_3D('',#221175,#177256,#177257); #158159=AXIS2_PLACEMENT_3D('',#221181,#177261,#177262); #158160=AXIS2_PLACEMENT_3D('',#221187,#177266,#177267); #158161=AXIS2_PLACEMENT_3D('',#221193,#177271,#177272); #158162=AXIS2_PLACEMENT_3D('',#221195,#177273,#177274); #158163=AXIS2_PLACEMENT_3D('',#221198,#177276,#177277); #158164=AXIS2_PLACEMENT_3D('',#221199,#177278,#177279); #158165=AXIS2_PLACEMENT_3D('',#221205,#177283,#177284); #158166=AXIS2_PLACEMENT_3D('',#221211,#177288,#177289); #158167=AXIS2_PLACEMENT_3D('',#221217,#177293,#177294); #158168=AXIS2_PLACEMENT_3D('',#221223,#177298,#177299); #158169=AXIS2_PLACEMENT_3D('',#221229,#177303,#177304); #158170=AXIS2_PLACEMENT_3D('',#221235,#177308,#177309); #158171=AXIS2_PLACEMENT_3D('',#221241,#177313,#177314); #158172=AXIS2_PLACEMENT_3D('',#221247,#177318,#177319); #158173=AXIS2_PLACEMENT_3D('',#221253,#177323,#177324); #158174=AXIS2_PLACEMENT_3D('',#221259,#177328,#177329); #158175=AXIS2_PLACEMENT_3D('',#221265,#177333,#177334); #158176=AXIS2_PLACEMENT_3D('',#221271,#177338,#177339); #158177=AXIS2_PLACEMENT_3D('',#221277,#177343,#177344); #158178=AXIS2_PLACEMENT_3D('',#221283,#177348,#177349); #158179=AXIS2_PLACEMENT_3D('',#221285,#177350,#177351); #158180=AXIS2_PLACEMENT_3D('',#221288,#177353,#177354); #158181=AXIS2_PLACEMENT_3D('',#221289,#177355,#177356); #158182=AXIS2_PLACEMENT_3D('',#221295,#177360,#177361); #158183=AXIS2_PLACEMENT_3D('',#221297,#177362,#177363); #158184=AXIS2_PLACEMENT_3D('',#221300,#177365,#177366); #158185=AXIS2_PLACEMENT_3D('',#221301,#177367,#177368); #158186=AXIS2_PLACEMENT_3D('',#221303,#177369,#177370); #158187=AXIS2_PLACEMENT_3D('',#221306,#177372,#177373); #158188=AXIS2_PLACEMENT_3D('',#221307,#177374,#177375); #158189=AXIS2_PLACEMENT_3D('',#221309,#177376,#177377); #158190=AXIS2_PLACEMENT_3D('',#221312,#177379,#177380); #158191=AXIS2_PLACEMENT_3D('',#221313,#177381,#177382); #158192=AXIS2_PLACEMENT_3D('',#221315,#177383,#177384); #158193=AXIS2_PLACEMENT_3D('',#221318,#177386,#177387); #158194=AXIS2_PLACEMENT_3D('',#221319,#177388,#177389); #158195=AXIS2_PLACEMENT_3D('',#221325,#177393,#177394); #158196=AXIS2_PLACEMENT_3D('',#221331,#177398,#177399); #158197=AXIS2_PLACEMENT_3D('',#221337,#177403,#177404); #158198=AXIS2_PLACEMENT_3D('',#221343,#177408,#177409); #158199=AXIS2_PLACEMENT_3D('',#221349,#177413,#177414); #158200=AXIS2_PLACEMENT_3D('',#221351,#177415,#177416); #158201=AXIS2_PLACEMENT_3D('',#221354,#177418,#177419); #158202=AXIS2_PLACEMENT_3D('',#221355,#177420,#177421); #158203=AXIS2_PLACEMENT_3D('',#221361,#177425,#177426); #158204=AXIS2_PLACEMENT_3D('',#221367,#177430,#177431); #158205=AXIS2_PLACEMENT_3D('',#221373,#177435,#177436); #158206=AXIS2_PLACEMENT_3D('',#221375,#177437,#177438); #158207=AXIS2_PLACEMENT_3D('',#221378,#177440,#177441); #158208=AXIS2_PLACEMENT_3D('',#221379,#177442,#177443); #158209=AXIS2_PLACEMENT_3D('',#221385,#177447,#177448); #158210=AXIS2_PLACEMENT_3D('',#221391,#177452,#177453); #158211=AXIS2_PLACEMENT_3D('',#221397,#177457,#177458); #158212=AXIS2_PLACEMENT_3D('',#221399,#177459,#177460); #158213=AXIS2_PLACEMENT_3D('',#221402,#177462,#177463); #158214=AXIS2_PLACEMENT_3D('',#221403,#177464,#177465); #158215=AXIS2_PLACEMENT_3D('',#221405,#177466,#177467); #158216=AXIS2_PLACEMENT_3D('',#221408,#177469,#177470); #158217=AXIS2_PLACEMENT_3D('',#221409,#177471,#177472); #158218=AXIS2_PLACEMENT_3D('',#221411,#177473,#177474); #158219=AXIS2_PLACEMENT_3D('',#221414,#177476,#177477); #158220=AXIS2_PLACEMENT_3D('',#221415,#177478,#177479); #158221=AXIS2_PLACEMENT_3D('',#221417,#177480,#177481); #158222=AXIS2_PLACEMENT_3D('',#221420,#177483,#177484); #158223=AXIS2_PLACEMENT_3D('',#221421,#177485,#177486); #158224=AXIS2_PLACEMENT_3D('',#221427,#177490,#177491); #158225=AXIS2_PLACEMENT_3D('',#221433,#177495,#177496); #158226=AXIS2_PLACEMENT_3D('',#221439,#177500,#177501); #158227=AXIS2_PLACEMENT_3D('',#221445,#177505,#177506); #158228=AXIS2_PLACEMENT_3D('',#221447,#177507,#177508); #158229=AXIS2_PLACEMENT_3D('',#221450,#177510,#177511); #158230=AXIS2_PLACEMENT_3D('',#221451,#177512,#177513); #158231=AXIS2_PLACEMENT_3D('',#221453,#177514,#177515); #158232=AXIS2_PLACEMENT_3D('',#221456,#177517,#177518); #158233=AXIS2_PLACEMENT_3D('',#221457,#177519,#177520); #158234=AXIS2_PLACEMENT_3D('',#221463,#177524,#177525); #158235=AXIS2_PLACEMENT_3D('',#221465,#177526,#177527); #158236=AXIS2_PLACEMENT_3D('',#221468,#177529,#177530); #158237=AXIS2_PLACEMENT_3D('',#221469,#177531,#177532); #158238=AXIS2_PLACEMENT_3D('',#221475,#177536,#177537); #158239=AXIS2_PLACEMENT_3D('',#221481,#177541,#177542); #158240=AXIS2_PLACEMENT_3D('',#221487,#177546,#177547); #158241=AXIS2_PLACEMENT_3D('',#221493,#177551,#177552); #158242=AXIS2_PLACEMENT_3D('',#221499,#177556,#177557); #158243=AXIS2_PLACEMENT_3D('',#221505,#177561,#177562); #158244=AXIS2_PLACEMENT_3D('',#221511,#177566,#177567); #158245=AXIS2_PLACEMENT_3D('',#221517,#177571,#177572); #158246=AXIS2_PLACEMENT_3D('',#221519,#177573,#177574); #158247=AXIS2_PLACEMENT_3D('',#221522,#177576,#177577); #158248=AXIS2_PLACEMENT_3D('',#221523,#177578,#177579); #158249=AXIS2_PLACEMENT_3D('',#221529,#177583,#177584); #158250=AXIS2_PLACEMENT_3D('',#221535,#177588,#177589); #158251=AXIS2_PLACEMENT_3D('',#221541,#177593,#177594); #158252=AXIS2_PLACEMENT_3D('',#221547,#177598,#177599); #158253=AXIS2_PLACEMENT_3D('',#221549,#177600,#177601); #158254=AXIS2_PLACEMENT_3D('',#221552,#177603,#177604); #158255=AXIS2_PLACEMENT_3D('',#221553,#177605,#177606); #158256=AXIS2_PLACEMENT_3D('',#221559,#177610,#177611); #158257=AXIS2_PLACEMENT_3D('',#221565,#177615,#177616); #158258=AXIS2_PLACEMENT_3D('',#221571,#177620,#177621); #158259=AXIS2_PLACEMENT_3D('',#221577,#177625,#177626); #158260=AXIS2_PLACEMENT_3D('',#221583,#177630,#177631); #158261=AXIS2_PLACEMENT_3D('',#221589,#177635,#177636); #158262=AXIS2_PLACEMENT_3D('',#221595,#177640,#177641); #158263=AXIS2_PLACEMENT_3D('',#221601,#177645,#177646); #158264=AXIS2_PLACEMENT_3D('',#221607,#177650,#177651); #158265=AXIS2_PLACEMENT_3D('',#221613,#177655,#177656); #158266=AXIS2_PLACEMENT_3D('',#221616,#177659,#177660); #158267=AXIS2_PLACEMENT_3D('',#221617,#177661,#177662); #158268=AXIS2_PLACEMENT_3D('',#221620,#177663,#177664); #158269=AXIS2_PLACEMENT_3D('',#221624,#177666,#177667); #158270=AXIS2_PLACEMENT_3D('',#221626,#177669,#177670); #158271=AXIS2_PLACEMENT_3D('',#221632,#177674,#177675); #158272=AXIS2_PLACEMENT_3D('',#221638,#177679,#177680); #158273=AXIS2_PLACEMENT_3D('',#221644,#177684,#177685); #158274=AXIS2_PLACEMENT_3D('',#221650,#177689,#177690); #158275=AXIS2_PLACEMENT_3D('',#221656,#177694,#177695); #158276=AXIS2_PLACEMENT_3D('',#221662,#177699,#177700); #158277=AXIS2_PLACEMENT_3D('',#221668,#177704,#177705); #158278=AXIS2_PLACEMENT_3D('',#221674,#177709,#177710); #158279=AXIS2_PLACEMENT_3D('',#221680,#177714,#177715); #158280=AXIS2_PLACEMENT_3D('',#221686,#177719,#177720); #158281=AXIS2_PLACEMENT_3D('',#221692,#177724,#177725); #158282=AXIS2_PLACEMENT_3D('',#221694,#177726,#177727); #158283=AXIS2_PLACEMENT_3D('',#221697,#177729,#177730); #158284=AXIS2_PLACEMENT_3D('',#221698,#177731,#177732); #158285=AXIS2_PLACEMENT_3D('',#221700,#177733,#177734); #158286=AXIS2_PLACEMENT_3D('',#221703,#177736,#177737); #158287=AXIS2_PLACEMENT_3D('',#221704,#177738,#177739); #158288=AXIS2_PLACEMENT_3D('',#221710,#177743,#177744); #158289=AXIS2_PLACEMENT_3D('',#221716,#177748,#177749); #158290=AXIS2_PLACEMENT_3D('',#221722,#177753,#177754); #158291=AXIS2_PLACEMENT_3D('',#221728,#177758,#177759); #158292=AXIS2_PLACEMENT_3D('',#221734,#177763,#177764); #158293=AXIS2_PLACEMENT_3D('',#221740,#177768,#177769); #158294=AXIS2_PLACEMENT_3D('',#221746,#177773,#177774); #158295=AXIS2_PLACEMENT_3D('',#221752,#177778,#177779); #158296=AXIS2_PLACEMENT_3D('',#221754,#177780,#177781); #158297=AXIS2_PLACEMENT_3D('',#221757,#177783,#177784); #158298=AXIS2_PLACEMENT_3D('',#221758,#177785,#177786); #158299=AXIS2_PLACEMENT_3D('',#221764,#177790,#177791); #158300=AXIS2_PLACEMENT_3D('',#221770,#177795,#177796); #158301=AXIS2_PLACEMENT_3D('',#221776,#177800,#177801); #158302=AXIS2_PLACEMENT_3D('',#221782,#177805,#177806); #158303=AXIS2_PLACEMENT_3D('',#221788,#177810,#177811); #158304=AXIS2_PLACEMENT_3D('',#221794,#177815,#177816); #158305=AXIS2_PLACEMENT_3D('',#221800,#177820,#177821); #158306=AXIS2_PLACEMENT_3D('',#221806,#177825,#177826); #158307=AXIS2_PLACEMENT_3D('',#221812,#177830,#177831); #158308=AXIS2_PLACEMENT_3D('',#221818,#177835,#177836); #158309=AXIS2_PLACEMENT_3D('',#221824,#177840,#177841); #158310=AXIS2_PLACEMENT_3D('',#221830,#177845,#177846); #158311=AXIS2_PLACEMENT_3D('',#221836,#177850,#177851); #158312=AXIS2_PLACEMENT_3D('',#221838,#177852,#177853); #158313=AXIS2_PLACEMENT_3D('',#221841,#177855,#177856); #158314=AXIS2_PLACEMENT_3D('',#221842,#177857,#177858); #158315=AXIS2_PLACEMENT_3D('',#221848,#177862,#177863); #158316=AXIS2_PLACEMENT_3D('',#221854,#177867,#177868); #158317=AXIS2_PLACEMENT_3D('',#221856,#177869,#177870); #158318=AXIS2_PLACEMENT_3D('',#221859,#177872,#177873); #158319=AXIS2_PLACEMENT_3D('',#221860,#177874,#177875); #158320=AXIS2_PLACEMENT_3D('',#221866,#177879,#177880); #158321=AXIS2_PLACEMENT_3D('',#221868,#177881,#177882); #158322=AXIS2_PLACEMENT_3D('',#221871,#177884,#177885); #158323=AXIS2_PLACEMENT_3D('',#221872,#177886,#177887); #158324=AXIS2_PLACEMENT_3D('',#221874,#177888,#177889); #158325=AXIS2_PLACEMENT_3D('',#221877,#177891,#177892); #158326=AXIS2_PLACEMENT_3D('',#221878,#177893,#177894); #158327=AXIS2_PLACEMENT_3D('',#221884,#177898,#177899); #158328=AXIS2_PLACEMENT_3D('',#221890,#177903,#177904); #158329=AXIS2_PLACEMENT_3D('',#221896,#177908,#177909); #158330=AXIS2_PLACEMENT_3D('',#221902,#177913,#177914); #158331=AXIS2_PLACEMENT_3D('',#221908,#177918,#177919); #158332=AXIS2_PLACEMENT_3D('',#221914,#177923,#177924); #158333=AXIS2_PLACEMENT_3D('',#221920,#177928,#177929); #158334=AXIS2_PLACEMENT_3D('',#221926,#177933,#177934); #158335=AXIS2_PLACEMENT_3D('',#221932,#177938,#177939); #158336=AXIS2_PLACEMENT_3D('',#221938,#177943,#177944); #158337=AXIS2_PLACEMENT_3D('',#221944,#177948,#177949); #158338=AXIS2_PLACEMENT_3D('',#221950,#177953,#177954); #158339=AXIS2_PLACEMENT_3D('',#221952,#177955,#177956); #158340=AXIS2_PLACEMENT_3D('',#221955,#177958,#177959); #158341=AXIS2_PLACEMENT_3D('',#221956,#177960,#177961); #158342=AXIS2_PLACEMENT_3D('',#221962,#177965,#177966); #158343=AXIS2_PLACEMENT_3D('',#221964,#177967,#177968); #158344=AXIS2_PLACEMENT_3D('',#221967,#177970,#177971); #158345=AXIS2_PLACEMENT_3D('',#221968,#177972,#177973); #158346=AXIS2_PLACEMENT_3D('',#221974,#177977,#177978); #158347=AXIS2_PLACEMENT_3D('',#221980,#177982,#177983); #158348=AXIS2_PLACEMENT_3D('',#221986,#177987,#177988); #158349=AXIS2_PLACEMENT_3D('',#221992,#177992,#177993); #158350=AXIS2_PLACEMENT_3D('',#221998,#177997,#177998); #158351=AXIS2_PLACEMENT_3D('',#222004,#178002,#178003); #158352=AXIS2_PLACEMENT_3D('',#222010,#178007,#178008); #158353=AXIS2_PLACEMENT_3D('',#222012,#178009,#178010); #158354=AXIS2_PLACEMENT_3D('',#222015,#178012,#178013); #158355=AXIS2_PLACEMENT_3D('',#222016,#178014,#178015); #158356=AXIS2_PLACEMENT_3D('',#222022,#178019,#178020); #158357=AXIS2_PLACEMENT_3D('',#222028,#178024,#178025); #158358=AXIS2_PLACEMENT_3D('',#222030,#178026,#178027); #158359=AXIS2_PLACEMENT_3D('',#222033,#178029,#178030); #158360=AXIS2_PLACEMENT_3D('',#222034,#178031,#178032); #158361=AXIS2_PLACEMENT_3D('',#222036,#178033,#178034); #158362=AXIS2_PLACEMENT_3D('',#222039,#178036,#178037); #158363=AXIS2_PLACEMENT_3D('',#222040,#178038,#178039); #158364=AXIS2_PLACEMENT_3D('',#222046,#178043,#178044); #158365=AXIS2_PLACEMENT_3D('',#222052,#178048,#178049); #158366=AXIS2_PLACEMENT_3D('',#222058,#178053,#178054); #158367=AXIS2_PLACEMENT_3D('',#222064,#178058,#178059); #158368=AXIS2_PLACEMENT_3D('',#222070,#178063,#178064); #158369=AXIS2_PLACEMENT_3D('',#222076,#178068,#178069); #158370=AXIS2_PLACEMENT_3D('',#222082,#178073,#178074); #158371=AXIS2_PLACEMENT_3D('',#222088,#178078,#178079); #158372=AXIS2_PLACEMENT_3D('',#222094,#178083,#178084); #158373=AXIS2_PLACEMENT_3D('',#222100,#178088,#178089); #158374=AXIS2_PLACEMENT_3D('',#222102,#178090,#178091); #158375=AXIS2_PLACEMENT_3D('',#222105,#178093,#178094); #158376=AXIS2_PLACEMENT_3D('',#222106,#178095,#178096); #158377=AXIS2_PLACEMENT_3D('',#222112,#178100,#178101); #158378=AXIS2_PLACEMENT_3D('',#222118,#178105,#178106); #158379=AXIS2_PLACEMENT_3D('',#222124,#178110,#178111); #158380=AXIS2_PLACEMENT_3D('',#222126,#178112,#178113); #158381=AXIS2_PLACEMENT_3D('',#222129,#178115,#178116); #158382=AXIS2_PLACEMENT_3D('',#222130,#178117,#178118); #158383=AXIS2_PLACEMENT_3D('',#222136,#178122,#178123); #158384=AXIS2_PLACEMENT_3D('',#222138,#178124,#178125); #158385=AXIS2_PLACEMENT_3D('',#222141,#178127,#178128); #158386=AXIS2_PLACEMENT_3D('',#222142,#178129,#178130); #158387=AXIS2_PLACEMENT_3D('',#222144,#178131,#178132); #158388=AXIS2_PLACEMENT_3D('',#222147,#178134,#178135); #158389=AXIS2_PLACEMENT_3D('',#222148,#178136,#178137); #158390=AXIS2_PLACEMENT_3D('',#222154,#178141,#178142); #158391=AXIS2_PLACEMENT_3D('',#222160,#178146,#178147); #158392=AXIS2_PLACEMENT_3D('',#222162,#178148,#178149); #158393=AXIS2_PLACEMENT_3D('',#222165,#178151,#178152); #158394=AXIS2_PLACEMENT_3D('',#222166,#178153,#178154); #158395=AXIS2_PLACEMENT_3D('',#222172,#178158,#178159); #158396=AXIS2_PLACEMENT_3D('',#222174,#178160,#178161); #158397=AXIS2_PLACEMENT_3D('',#222177,#178163,#178164); #158398=AXIS2_PLACEMENT_3D('',#222178,#178165,#178166); #158399=AXIS2_PLACEMENT_3D('',#222180,#178167,#178168); #158400=AXIS2_PLACEMENT_3D('',#222183,#178170,#178171); #158401=AXIS2_PLACEMENT_3D('',#222184,#178172,#178173); #158402=AXIS2_PLACEMENT_3D('',#222186,#178174,#178175); #158403=AXIS2_PLACEMENT_3D('',#222189,#178177,#178178); #158404=AXIS2_PLACEMENT_3D('',#222190,#178179,#178180); #158405=AXIS2_PLACEMENT_3D('',#222196,#178184,#178185); #158406=AXIS2_PLACEMENT_3D('',#222202,#178189,#178190); #158407=AXIS2_PLACEMENT_3D('',#222208,#178194,#178195); #158408=AXIS2_PLACEMENT_3D('',#222214,#178199,#178200); #158409=AXIS2_PLACEMENT_3D('',#222220,#178204,#178205); #158410=AXIS2_PLACEMENT_3D('',#222226,#178209,#178210); #158411=AXIS2_PLACEMENT_3D('',#222232,#178214,#178215); #158412=AXIS2_PLACEMENT_3D('',#222238,#178219,#178220); #158413=AXIS2_PLACEMENT_3D('',#222244,#178224,#178225); #158414=AXIS2_PLACEMENT_3D('',#222250,#178229,#178230); #158415=AXIS2_PLACEMENT_3D('',#222256,#178234,#178235); #158416=AXIS2_PLACEMENT_3D('',#222262,#178239,#178240); #158417=AXIS2_PLACEMENT_3D('',#222268,#178244,#178245); #158418=AXIS2_PLACEMENT_3D('',#222274,#178249,#178250); #158419=AXIS2_PLACEMENT_3D('',#222280,#178254,#178255); #158420=AXIS2_PLACEMENT_3D('',#222286,#178259,#178260); #158421=AXIS2_PLACEMENT_3D('',#222292,#178264,#178265); #158422=AXIS2_PLACEMENT_3D('',#222298,#178269,#178270); #158423=AXIS2_PLACEMENT_3D('',#222304,#178274,#178275); #158424=AXIS2_PLACEMENT_3D('',#222310,#178279,#178280); #158425=AXIS2_PLACEMENT_3D('',#222312,#178281,#178282); #158426=AXIS2_PLACEMENT_3D('',#222315,#178284,#178285); #158427=AXIS2_PLACEMENT_3D('',#222316,#178286,#178287); #158428=AXIS2_PLACEMENT_3D('',#222322,#178291,#178292); #158429=AXIS2_PLACEMENT_3D('',#222328,#178296,#178297); #158430=AXIS2_PLACEMENT_3D('',#222330,#178298,#178299); #158431=AXIS2_PLACEMENT_3D('',#222333,#178301,#178302); #158432=AXIS2_PLACEMENT_3D('',#222334,#178303,#178304); #158433=AXIS2_PLACEMENT_3D('',#222340,#178308,#178309); #158434=AXIS2_PLACEMENT_3D('',#222346,#178313,#178314); #158435=AXIS2_PLACEMENT_3D('',#222348,#178315,#178316); #158436=AXIS2_PLACEMENT_3D('',#222351,#178318,#178319); #158437=AXIS2_PLACEMENT_3D('',#222352,#178320,#178321); #158438=AXIS2_PLACEMENT_3D('',#222358,#178325,#178326); #158439=AXIS2_PLACEMENT_3D('',#222364,#178330,#178331); #158440=AXIS2_PLACEMENT_3D('',#222370,#178335,#178336); #158441=AXIS2_PLACEMENT_3D('',#222376,#178340,#178341); #158442=AXIS2_PLACEMENT_3D('',#222382,#178345,#178346); #158443=AXIS2_PLACEMENT_3D('',#222388,#178350,#178351); #158444=AXIS2_PLACEMENT_3D('',#222394,#178355,#178356); #158445=AXIS2_PLACEMENT_3D('',#222400,#178360,#178361); #158446=AXIS2_PLACEMENT_3D('',#222402,#178362,#178363); #158447=AXIS2_PLACEMENT_3D('',#222405,#178365,#178366); #158448=AXIS2_PLACEMENT_3D('',#222406,#178367,#178368); #158449=AXIS2_PLACEMENT_3D('',#222412,#178372,#178373); #158450=AXIS2_PLACEMENT_3D('',#222414,#178374,#178375); #158451=AXIS2_PLACEMENT_3D('',#222417,#178377,#178378); #158452=AXIS2_PLACEMENT_3D('',#222418,#178379,#178380); #158453=AXIS2_PLACEMENT_3D('',#222420,#178381,#178382); #158454=AXIS2_PLACEMENT_3D('',#222423,#178384,#178385); #158455=AXIS2_PLACEMENT_3D('',#222424,#178386,#178387); #158456=AXIS2_PLACEMENT_3D('',#222430,#178391,#178392); #158457=AXIS2_PLACEMENT_3D('',#222436,#178396,#178397); #158458=AXIS2_PLACEMENT_3D('',#222442,#178401,#178402); #158459=AXIS2_PLACEMENT_3D('',#222448,#178406,#178407); #158460=AXIS2_PLACEMENT_3D('',#222454,#178411,#178412); #158461=AXIS2_PLACEMENT_3D('',#222460,#178416,#178417); #158462=AXIS2_PLACEMENT_3D('',#222466,#178421,#178422); #158463=AXIS2_PLACEMENT_3D('',#222468,#178423,#178424); #158464=AXIS2_PLACEMENT_3D('',#222471,#178426,#178427); #158465=AXIS2_PLACEMENT_3D('',#222472,#178428,#178429); #158466=AXIS2_PLACEMENT_3D('',#222478,#178433,#178434); #158467=AXIS2_PLACEMENT_3D('',#222484,#178438,#178439); #158468=AXIS2_PLACEMENT_3D('',#222486,#178440,#178441); #158469=AXIS2_PLACEMENT_3D('',#222489,#178443,#178444); #158470=AXIS2_PLACEMENT_3D('',#222490,#178445,#178446); #158471=AXIS2_PLACEMENT_3D('',#222496,#178450,#178451); #158472=AXIS2_PLACEMENT_3D('',#222502,#178455,#178456); #158473=AXIS2_PLACEMENT_3D('',#222508,#178460,#178461); #158474=AXIS2_PLACEMENT_3D('',#222514,#178465,#178466); #158475=AXIS2_PLACEMENT_3D('',#222520,#178470,#178471); #158476=AXIS2_PLACEMENT_3D('',#222526,#178475,#178476); #158477=AXIS2_PLACEMENT_3D('',#222532,#178480,#178481); #158478=AXIS2_PLACEMENT_3D('',#222538,#178485,#178486); #158479=AXIS2_PLACEMENT_3D('',#222540,#178487,#178488); #158480=AXIS2_PLACEMENT_3D('',#222543,#178490,#178491); #158481=AXIS2_PLACEMENT_3D('',#222544,#178492,#178493); #158482=AXIS2_PLACEMENT_3D('',#222546,#178494,#178495); #158483=AXIS2_PLACEMENT_3D('',#222549,#178497,#178498); #158484=AXIS2_PLACEMENT_3D('',#222550,#178499,#178500); #158485=AXIS2_PLACEMENT_3D('',#222556,#178504,#178505); #158486=AXIS2_PLACEMENT_3D('',#222562,#178509,#178510); #158487=AXIS2_PLACEMENT_3D('',#222564,#178511,#178512); #158488=AXIS2_PLACEMENT_3D('',#222567,#178514,#178515); #158489=AXIS2_PLACEMENT_3D('',#222568,#178516,#178517); #158490=AXIS2_PLACEMENT_3D('',#222574,#178521,#178522); #158491=AXIS2_PLACEMENT_3D('',#222580,#178526,#178527); #158492=AXIS2_PLACEMENT_3D('',#222582,#178528,#178529); #158493=AXIS2_PLACEMENT_3D('',#222585,#178531,#178532); #158494=AXIS2_PLACEMENT_3D('',#222586,#178533,#178534); #158495=AXIS2_PLACEMENT_3D('',#222592,#178538,#178539); #158496=AXIS2_PLACEMENT_3D('',#222595,#178542,#178543); #158497=AXIS2_PLACEMENT_3D('',#222596,#178544,#178545); #158498=AXIS2_PLACEMENT_3D('',#222605,#178550,#178551); #158499=AXIS2_PLACEMENT_3D('',#222607,#178552,#178553); #158500=AXIS2_PLACEMENT_3D('',#222610,#178555,#178556); #158501=AXIS2_PLACEMENT_3D('',#222611,#178557,#178558); #158502=AXIS2_PLACEMENT_3D('',#222617,#178562,#178563); #158503=AXIS2_PLACEMENT_3D('',#222623,#178567,#178568); #158504=AXIS2_PLACEMENT_3D('',#222629,#178572,#178573); #158505=AXIS2_PLACEMENT_3D('',#222635,#178577,#178578); #158506=AXIS2_PLACEMENT_3D('',#222641,#178582,#178583); #158507=AXIS2_PLACEMENT_3D('',#222647,#178587,#178588); #158508=AXIS2_PLACEMENT_3D('',#222649,#178589,#178590); #158509=AXIS2_PLACEMENT_3D('',#222652,#178592,#178593); #158510=AXIS2_PLACEMENT_3D('',#222653,#178594,#178595); #158511=AXIS2_PLACEMENT_3D('',#222659,#178599,#178600); #158512=AXIS2_PLACEMENT_3D('',#222665,#178604,#178605); #158513=AXIS2_PLACEMENT_3D('',#222671,#178609,#178610); #158514=AXIS2_PLACEMENT_3D('',#222677,#178614,#178615); #158515=AXIS2_PLACEMENT_3D('',#222683,#178619,#178620); #158516=AXIS2_PLACEMENT_3D('',#222689,#178624,#178625); #158517=AXIS2_PLACEMENT_3D('',#222695,#178629,#178630); #158518=AXIS2_PLACEMENT_3D('',#222701,#178634,#178635); #158519=AXIS2_PLACEMENT_3D('',#222707,#178639,#178640); #158520=AXIS2_PLACEMENT_3D('',#222713,#178644,#178645); #158521=AXIS2_PLACEMENT_3D('',#222715,#178646,#178647); #158522=AXIS2_PLACEMENT_3D('',#222718,#178649,#178650); #158523=AXIS2_PLACEMENT_3D('',#222719,#178651,#178652); #158524=AXIS2_PLACEMENT_3D('',#222725,#178656,#178657); #158525=AXIS2_PLACEMENT_3D('',#222731,#178661,#178662); #158526=AXIS2_PLACEMENT_3D('',#222737,#178666,#178667); #158527=AXIS2_PLACEMENT_3D('',#222743,#178671,#178672); #158528=AXIS2_PLACEMENT_3D('',#222749,#178676,#178677); #158529=AXIS2_PLACEMENT_3D('',#222755,#178681,#178682); #158530=AXIS2_PLACEMENT_3D('',#222761,#178686,#178687); #158531=AXIS2_PLACEMENT_3D('',#222767,#178691,#178692); #158532=AXIS2_PLACEMENT_3D('',#222773,#178696,#178697); #158533=AXIS2_PLACEMENT_3D('',#222775,#178698,#178699); #158534=AXIS2_PLACEMENT_3D('',#222778,#178701,#178702); #158535=AXIS2_PLACEMENT_3D('',#222779,#178703,#178704); #158536=AXIS2_PLACEMENT_3D('',#222785,#178708,#178709); #158537=AXIS2_PLACEMENT_3D('',#222787,#178710,#178711); #158538=AXIS2_PLACEMENT_3D('',#222790,#178713,#178714); #158539=AXIS2_PLACEMENT_3D('',#222791,#178715,#178716); #158540=AXIS2_PLACEMENT_3D('',#222793,#178717,#178718); #158541=AXIS2_PLACEMENT_3D('',#222796,#178720,#178721); #158542=AXIS2_PLACEMENT_3D('',#222797,#178722,#178723); #158543=AXIS2_PLACEMENT_3D('',#222803,#178727,#178728); #158544=AXIS2_PLACEMENT_3D('',#222809,#178732,#178733); #158545=AXIS2_PLACEMENT_3D('',#222815,#178737,#178738); #158546=AXIS2_PLACEMENT_3D('',#222821,#178742,#178743); #158547=AXIS2_PLACEMENT_3D('',#222827,#178747,#178748); #158548=AXIS2_PLACEMENT_3D('',#222833,#178752,#178753); #158549=AXIS2_PLACEMENT_3D('',#222835,#178754,#178755); #158550=AXIS2_PLACEMENT_3D('',#222838,#178757,#178758); #158551=AXIS2_PLACEMENT_3D('',#222839,#178759,#178760); #158552=AXIS2_PLACEMENT_3D('',#222845,#178764,#178765); #158553=AXIS2_PLACEMENT_3D('',#222851,#178769,#178770); #158554=AXIS2_PLACEMENT_3D('',#222857,#178774,#178775); #158555=AXIS2_PLACEMENT_3D('',#222863,#178779,#178780); #158556=AXIS2_PLACEMENT_3D('',#222869,#178784,#178785); #158557=AXIS2_PLACEMENT_3D('',#222875,#178789,#178790); #158558=AXIS2_PLACEMENT_3D('',#222881,#178794,#178795); #158559=AXIS2_PLACEMENT_3D('',#222887,#178799,#178800); #158560=AXIS2_PLACEMENT_3D('',#222889,#178801,#178802); #158561=AXIS2_PLACEMENT_3D('',#222892,#178804,#178805); #158562=AXIS2_PLACEMENT_3D('',#222893,#178806,#178807); #158563=AXIS2_PLACEMENT_3D('',#222899,#178811,#178812); #158564=AXIS2_PLACEMENT_3D('',#222905,#178816,#178817); #158565=AXIS2_PLACEMENT_3D('',#222911,#178821,#178822); #158566=AXIS2_PLACEMENT_3D('',#222917,#178826,#178827); #158567=AXIS2_PLACEMENT_3D('',#222919,#178828,#178829); #158568=AXIS2_PLACEMENT_3D('',#222922,#178831,#178832); #158569=AXIS2_PLACEMENT_3D('',#222923,#178833,#178834); #158570=AXIS2_PLACEMENT_3D('',#222929,#178838,#178839); #158571=AXIS2_PLACEMENT_3D('',#222935,#178843,#178844); #158572=AXIS2_PLACEMENT_3D('',#222941,#178848,#178849); #158573=AXIS2_PLACEMENT_3D('',#222943,#178850,#178851); #158574=AXIS2_PLACEMENT_3D('',#222946,#178853,#178854); #158575=AXIS2_PLACEMENT_3D('',#222947,#178855,#178856); #158576=AXIS2_PLACEMENT_3D('',#222953,#178860,#178861); #158577=AXIS2_PLACEMENT_3D('',#222959,#178865,#178866); #158578=AXIS2_PLACEMENT_3D('',#222961,#178867,#178868); #158579=AXIS2_PLACEMENT_3D('',#222964,#178870,#178871); #158580=AXIS2_PLACEMENT_3D('',#222965,#178872,#178873); #158581=AXIS2_PLACEMENT_3D('',#222967,#178874,#178875); #158582=AXIS2_PLACEMENT_3D('',#222970,#178877,#178878); #158583=AXIS2_PLACEMENT_3D('',#222971,#178879,#178880); #158584=AXIS2_PLACEMENT_3D('',#222977,#178884,#178885); #158585=AXIS2_PLACEMENT_3D('',#222983,#178889,#178890); #158586=AXIS2_PLACEMENT_3D('',#222989,#178894,#178895); #158587=AXIS2_PLACEMENT_3D('',#222995,#178899,#178900); #158588=AXIS2_PLACEMENT_3D('',#223001,#178904,#178905); #158589=AXIS2_PLACEMENT_3D('',#223007,#178909,#178910); #158590=AXIS2_PLACEMENT_3D('',#223013,#178914,#178915); #158591=AXIS2_PLACEMENT_3D('',#223019,#178919,#178920); #158592=AXIS2_PLACEMENT_3D('',#223025,#178924,#178925); #158593=AXIS2_PLACEMENT_3D('',#223027,#178926,#178927); #158594=AXIS2_PLACEMENT_3D('',#223030,#178929,#178930); #158595=AXIS2_PLACEMENT_3D('',#223031,#178931,#178932); #158596=AXIS2_PLACEMENT_3D('',#223037,#178936,#178937); #158597=AXIS2_PLACEMENT_3D('',#223039,#178938,#178939); #158598=AXIS2_PLACEMENT_3D('',#223042,#178941,#178942); #158599=AXIS2_PLACEMENT_3D('',#223043,#178943,#178944); #158600=AXIS2_PLACEMENT_3D('',#223049,#178948,#178949); #158601=AXIS2_PLACEMENT_3D('',#223055,#178953,#178954); #158602=AXIS2_PLACEMENT_3D('',#223061,#178958,#178959); #158603=AXIS2_PLACEMENT_3D('',#223067,#178963,#178964); #158604=AXIS2_PLACEMENT_3D('',#223073,#178968,#178969); #158605=AXIS2_PLACEMENT_3D('',#223079,#178973,#178974); #158606=AXIS2_PLACEMENT_3D('',#223085,#178978,#178979); #158607=AXIS2_PLACEMENT_3D('',#223087,#178980,#178981); #158608=AXIS2_PLACEMENT_3D('',#223090,#178983,#178984); #158609=AXIS2_PLACEMENT_3D('',#223091,#178985,#178986); #158610=AXIS2_PLACEMENT_3D('',#223097,#178990,#178991); #158611=AXIS2_PLACEMENT_3D('',#223103,#178995,#178996); #158612=AXIS2_PLACEMENT_3D('',#223109,#179000,#179001); #158613=AXIS2_PLACEMENT_3D('',#223115,#179005,#179006); #158614=AXIS2_PLACEMENT_3D('',#223117,#179007,#179008); #158615=AXIS2_PLACEMENT_3D('',#223120,#179010,#179011); #158616=AXIS2_PLACEMENT_3D('',#223121,#179012,#179013); #158617=AXIS2_PLACEMENT_3D('',#223127,#179017,#179018); #158618=AXIS2_PLACEMENT_3D('',#223133,#179022,#179023); #158619=AXIS2_PLACEMENT_3D('',#223135,#179024,#179025); #158620=AXIS2_PLACEMENT_3D('',#223138,#179027,#179028); #158621=AXIS2_PLACEMENT_3D('',#223139,#179029,#179030); #158622=AXIS2_PLACEMENT_3D('',#223145,#179034,#179035); #158623=AXIS2_PLACEMENT_3D('',#223147,#179036,#179037); #158624=AXIS2_PLACEMENT_3D('',#223150,#179039,#179040); #158625=AXIS2_PLACEMENT_3D('',#223151,#179041,#179042); #158626=AXIS2_PLACEMENT_3D('',#223157,#179046,#179047); #158627=AXIS2_PLACEMENT_3D('',#223163,#179051,#179052); #158628=AXIS2_PLACEMENT_3D('',#223169,#179056,#179057); #158629=AXIS2_PLACEMENT_3D('',#223175,#179061,#179062); #158630=AXIS2_PLACEMENT_3D('',#223181,#179066,#179067); #158631=AXIS2_PLACEMENT_3D('',#223187,#179071,#179072); #158632=AXIS2_PLACEMENT_3D('',#223193,#179076,#179077); #158633=AXIS2_PLACEMENT_3D('',#223199,#179081,#179082); #158634=AXIS2_PLACEMENT_3D('',#223205,#179086,#179087); #158635=AXIS2_PLACEMENT_3D('',#223211,#179091,#179092); #158636=AXIS2_PLACEMENT_3D('',#223213,#179093,#179094); #158637=AXIS2_PLACEMENT_3D('',#223216,#179096,#179097); #158638=AXIS2_PLACEMENT_3D('',#223217,#179098,#179099); #158639=AXIS2_PLACEMENT_3D('',#223223,#179103,#179104); #158640=AXIS2_PLACEMENT_3D('',#223229,#179108,#179109); #158641=AXIS2_PLACEMENT_3D('',#223235,#179113,#179114); #158642=AXIS2_PLACEMENT_3D('',#223241,#179118,#179119); #158643=AXIS2_PLACEMENT_3D('',#223247,#179123,#179124); #158644=AXIS2_PLACEMENT_3D('',#223253,#179128,#179129); #158645=AXIS2_PLACEMENT_3D('',#223259,#179133,#179134); #158646=AXIS2_PLACEMENT_3D('',#223265,#179138,#179139); #158647=AXIS2_PLACEMENT_3D('',#223271,#179143,#179144); #158648=AXIS2_PLACEMENT_3D('',#223277,#179148,#179149); #158649=AXIS2_PLACEMENT_3D('',#223283,#179153,#179154); #158650=AXIS2_PLACEMENT_3D('',#223286,#179157,#179158); #158651=AXIS2_PLACEMENT_3D('',#223287,#179159,#179160); #158652=AXIS2_PLACEMENT_3D('',#223296,#179165,#179166); #158653=AXIS2_PLACEMENT_3D('',#223298,#179167,#179168); #158654=AXIS2_PLACEMENT_3D('',#223301,#179170,#179171); #158655=AXIS2_PLACEMENT_3D('',#223302,#179172,#179173); #158656=AXIS2_PLACEMENT_3D('',#223304,#179174,#179175); #158657=AXIS2_PLACEMENT_3D('',#223307,#179177,#179178); #158658=AXIS2_PLACEMENT_3D('',#223308,#179179,#179180); #158659=AXIS2_PLACEMENT_3D('',#223310,#179181,#179182); #158660=AXIS2_PLACEMENT_3D('',#223313,#179184,#179185); #158661=AXIS2_PLACEMENT_3D('',#223314,#179186,#179187); #158662=AXIS2_PLACEMENT_3D('',#223320,#179191,#179192); #158663=AXIS2_PLACEMENT_3D('',#223323,#179195,#179196); #158664=AXIS2_PLACEMENT_3D('',#223332,#179201,#179202); #158665=AXIS2_PLACEMENT_3D('',#223338,#179206,#179207); #158666=AXIS2_PLACEMENT_3D('',#223340,#179208,#179209); #158667=AXIS2_PLACEMENT_3D('',#223343,#179211,#179212); #158668=AXIS2_PLACEMENT_3D('',#223344,#179213,#179214); #158669=AXIS2_PLACEMENT_3D('',#223350,#179218,#179219); #158670=AXIS2_PLACEMENT_3D('',#223352,#179220,#179221); #158671=AXIS2_PLACEMENT_3D('',#223355,#179223,#179224); #158672=AXIS2_PLACEMENT_3D('',#223356,#179225,#179226); #158673=AXIS2_PLACEMENT_3D('',#223358,#179227,#179228); #158674=AXIS2_PLACEMENT_3D('',#223361,#179230,#179231); #158675=AXIS2_PLACEMENT_3D('',#223362,#179232,#179233); #158676=AXIS2_PLACEMENT_3D('',#223368,#179237,#179238); #158677=AXIS2_PLACEMENT_3D('',#223374,#179242,#179243); #158678=AXIS2_PLACEMENT_3D('',#223380,#179247,#179248); #158679=AXIS2_PLACEMENT_3D('',#223382,#179249,#179250); #158680=AXIS2_PLACEMENT_3D('',#223385,#179252,#179253); #158681=AXIS2_PLACEMENT_3D('',#223386,#179254,#179255); #158682=AXIS2_PLACEMENT_3D('',#223392,#179259,#179260); #158683=AXIS2_PLACEMENT_3D('',#223398,#179264,#179265); #158684=AXIS2_PLACEMENT_3D('',#223400,#179266,#179267); #158685=AXIS2_PLACEMENT_3D('',#223403,#179269,#179270); #158686=AXIS2_PLACEMENT_3D('',#223404,#179271,#179272); #158687=AXIS2_PLACEMENT_3D('',#223406,#179273,#179274); #158688=AXIS2_PLACEMENT_3D('',#223409,#179276,#179277); #158689=AXIS2_PLACEMENT_3D('',#223410,#179278,#179279); #158690=AXIS2_PLACEMENT_3D('',#223416,#179283,#179284); #158691=AXIS2_PLACEMENT_3D('',#223418,#179285,#179286); #158692=AXIS2_PLACEMENT_3D('',#223421,#179288,#179289); #158693=AXIS2_PLACEMENT_3D('',#223422,#179290,#179291); #158694=AXIS2_PLACEMENT_3D('',#223424,#179292,#179293); #158695=AXIS2_PLACEMENT_3D('',#223427,#179295,#179296); #158696=AXIS2_PLACEMENT_3D('',#223428,#179297,#179298); #158697=AXIS2_PLACEMENT_3D('',#223430,#179299,#179300); #158698=AXIS2_PLACEMENT_3D('',#223433,#179302,#179303); #158699=AXIS2_PLACEMENT_3D('',#223434,#179304,#179305); #158700=AXIS2_PLACEMENT_3D('',#223436,#179306,#179307); #158701=AXIS2_PLACEMENT_3D('',#223439,#179309,#179310); #158702=AXIS2_PLACEMENT_3D('',#223440,#179311,#179312); #158703=AXIS2_PLACEMENT_3D('',#223442,#179313,#179314); #158704=AXIS2_PLACEMENT_3D('',#223445,#179316,#179317); #158705=AXIS2_PLACEMENT_3D('',#223446,#179318,#179319); #158706=AXIS2_PLACEMENT_3D('',#223452,#179323,#179324); #158707=AXIS2_PLACEMENT_3D('',#223458,#179328,#179329); #158708=AXIS2_PLACEMENT_3D('',#223464,#179333,#179334); #158709=AXIS2_PLACEMENT_3D('',#223470,#179338,#179339); #158710=AXIS2_PLACEMENT_3D('',#223472,#179340,#179341); #158711=AXIS2_PLACEMENT_3D('',#223475,#179343,#179344); #158712=AXIS2_PLACEMENT_3D('',#223476,#179345,#179346); #158713=AXIS2_PLACEMENT_3D('',#223482,#179350,#179351); #158714=AXIS2_PLACEMENT_3D('',#223488,#179355,#179356); #158715=AXIS2_PLACEMENT_3D('',#223494,#179360,#179361); #158716=AXIS2_PLACEMENT_3D('',#223496,#179362,#179363); #158717=AXIS2_PLACEMENT_3D('',#223499,#179365,#179366); #158718=AXIS2_PLACEMENT_3D('',#223500,#179367,#179368); #158719=AXIS2_PLACEMENT_3D('',#223502,#179369,#179370); #158720=AXIS2_PLACEMENT_3D('',#223505,#179372,#179373); #158721=AXIS2_PLACEMENT_3D('',#223506,#179374,#179375); #158722=AXIS2_PLACEMENT_3D('',#223512,#179379,#179380); #158723=AXIS2_PLACEMENT_3D('',#223518,#179384,#179385); #158724=AXIS2_PLACEMENT_3D('',#223520,#179386,#179387); #158725=AXIS2_PLACEMENT_3D('',#223523,#179389,#179390); #158726=AXIS2_PLACEMENT_3D('',#223524,#179391,#179392); #158727=AXIS2_PLACEMENT_3D('',#223530,#179396,#179397); #158728=AXIS2_PLACEMENT_3D('',#223532,#179398,#179399); #158729=AXIS2_PLACEMENT_3D('',#223535,#179401,#179402); #158730=AXIS2_PLACEMENT_3D('',#223536,#179403,#179404); #158731=AXIS2_PLACEMENT_3D('',#223542,#179408,#179409); #158732=AXIS2_PLACEMENT_3D('',#223548,#179413,#179414); #158733=AXIS2_PLACEMENT_3D('',#223554,#179418,#179419); #158734=AXIS2_PLACEMENT_3D('',#223556,#179420,#179421); #158735=AXIS2_PLACEMENT_3D('',#223559,#179423,#179424); #158736=AXIS2_PLACEMENT_3D('',#223560,#179425,#179426); #158737=AXIS2_PLACEMENT_3D('',#223566,#179430,#179431); #158738=AXIS2_PLACEMENT_3D('',#223572,#179435,#179436); #158739=AXIS2_PLACEMENT_3D('',#223578,#179440,#179441); #158740=AXIS2_PLACEMENT_3D('',#223584,#179445,#179446); #158741=AXIS2_PLACEMENT_3D('',#223590,#179450,#179451); #158742=AXIS2_PLACEMENT_3D('',#223596,#179455,#179456); #158743=AXIS2_PLACEMENT_3D('',#223602,#179460,#179461); #158744=AXIS2_PLACEMENT_3D('',#223608,#179465,#179466); #158745=AXIS2_PLACEMENT_3D('',#223610,#179467,#179468); #158746=AXIS2_PLACEMENT_3D('',#223613,#179470,#179471); #158747=AXIS2_PLACEMENT_3D('',#223614,#179472,#179473); #158748=AXIS2_PLACEMENT_3D('',#223620,#179477,#179478); #158749=AXIS2_PLACEMENT_3D('',#223626,#179482,#179483); #158750=AXIS2_PLACEMENT_3D('',#223632,#179487,#179488); #158751=AXIS2_PLACEMENT_3D('',#223638,#179492,#179493); #158752=AXIS2_PLACEMENT_3D('',#223644,#179497,#179498); #158753=AXIS2_PLACEMENT_3D('',#223650,#179502,#179503); #158754=AXIS2_PLACEMENT_3D('',#223656,#179507,#179508); #158755=AXIS2_PLACEMENT_3D('',#223662,#179512,#179513); #158756=AXIS2_PLACEMENT_3D('',#223664,#179514,#179515); #158757=AXIS2_PLACEMENT_3D('',#223667,#179517,#179518); #158758=AXIS2_PLACEMENT_3D('',#223668,#179519,#179520); #158759=AXIS2_PLACEMENT_3D('',#223670,#179521,#179522); #158760=AXIS2_PLACEMENT_3D('',#223673,#179524,#179525); #158761=AXIS2_PLACEMENT_3D('',#223674,#179526,#179527); #158762=AXIS2_PLACEMENT_3D('',#223676,#179528,#179529); #158763=AXIS2_PLACEMENT_3D('',#223679,#179531,#179532); #158764=AXIS2_PLACEMENT_3D('',#223680,#179533,#179534); #158765=AXIS2_PLACEMENT_3D('',#223686,#179538,#179539); #158766=AXIS2_PLACEMENT_3D('',#223692,#179543,#179544); #158767=AXIS2_PLACEMENT_3D('',#223694,#179545,#179546); #158768=AXIS2_PLACEMENT_3D('',#223697,#179548,#179549); #158769=AXIS2_PLACEMENT_3D('',#223698,#179550,#179551); #158770=AXIS2_PLACEMENT_3D('',#223700,#179552,#179553); #158771=AXIS2_PLACEMENT_3D('',#223703,#179555,#179556); #158772=AXIS2_PLACEMENT_3D('',#223704,#179557,#179558); #158773=AXIS2_PLACEMENT_3D('',#223710,#179562,#179563); #158774=AXIS2_PLACEMENT_3D('',#223716,#179567,#179568); #158775=AXIS2_PLACEMENT_3D('',#223718,#179569,#179570); #158776=AXIS2_PLACEMENT_3D('',#223721,#179572,#179573); #158777=AXIS2_PLACEMENT_3D('',#223722,#179574,#179575); #158778=AXIS2_PLACEMENT_3D('',#223724,#179576,#179577); #158779=AXIS2_PLACEMENT_3D('',#223727,#179579,#179580); #158780=AXIS2_PLACEMENT_3D('',#223728,#179581,#179582); #158781=AXIS2_PLACEMENT_3D('',#223734,#179586,#179587); #158782=AXIS2_PLACEMENT_3D('',#223736,#179588,#179589); #158783=AXIS2_PLACEMENT_3D('',#223739,#179591,#179592); #158784=AXIS2_PLACEMENT_3D('',#223740,#179593,#179594); #158785=AXIS2_PLACEMENT_3D('',#223746,#179598,#179599); #158786=AXIS2_PLACEMENT_3D('',#223748,#179600,#179601); #158787=AXIS2_PLACEMENT_3D('',#223751,#179603,#179604); #158788=AXIS2_PLACEMENT_3D('',#223752,#179605,#179606); #158789=AXIS2_PLACEMENT_3D('',#223758,#179610,#179611); #158790=AXIS2_PLACEMENT_3D('',#223764,#179615,#179616); #158791=AXIS2_PLACEMENT_3D('',#223770,#179620,#179621); #158792=AXIS2_PLACEMENT_3D('',#223776,#179625,#179626); #158793=AXIS2_PLACEMENT_3D('',#223778,#179627,#179628); #158794=AXIS2_PLACEMENT_3D('',#223781,#179630,#179631); #158795=AXIS2_PLACEMENT_3D('',#223782,#179632,#179633); #158796=AXIS2_PLACEMENT_3D('',#223788,#179637,#179638); #158797=AXIS2_PLACEMENT_3D('',#223790,#179639,#179640); #158798=AXIS2_PLACEMENT_3D('',#223793,#179642,#179643); #158799=AXIS2_PLACEMENT_3D('',#223794,#179644,#179645); #158800=AXIS2_PLACEMENT_3D('',#223796,#179646,#179647); #158801=AXIS2_PLACEMENT_3D('',#223799,#179649,#179650); #158802=AXIS2_PLACEMENT_3D('',#223800,#179651,#179652); #158803=AXIS2_PLACEMENT_3D('',#223806,#179656,#179657); #158804=AXIS2_PLACEMENT_3D('',#223809,#179660,#179661); #158805=AXIS2_PLACEMENT_3D('',#223810,#179662,#179663); #158806=AXIS2_PLACEMENT_3D('',#223819,#179668,#179669); #158807=AXIS2_PLACEMENT_3D('',#223821,#179670,#179671); #158808=AXIS2_PLACEMENT_3D('',#223824,#179673,#179674); #158809=AXIS2_PLACEMENT_3D('',#223825,#179675,#179676); #158810=AXIS2_PLACEMENT_3D('',#223831,#179680,#179681); #158811=AXIS2_PLACEMENT_3D('',#223837,#179685,#179686); #158812=AXIS2_PLACEMENT_3D('',#223843,#179690,#179691); #158813=AXIS2_PLACEMENT_3D('',#223849,#179695,#179696); #158814=AXIS2_PLACEMENT_3D('',#223851,#179697,#179698); #158815=AXIS2_PLACEMENT_3D('',#223854,#179700,#179701); #158816=AXIS2_PLACEMENT_3D('',#223855,#179702,#179703); #158817=AXIS2_PLACEMENT_3D('',#223861,#179707,#179708); #158818=AXIS2_PLACEMENT_3D('',#223867,#179712,#179713); #158819=AXIS2_PLACEMENT_3D('',#223869,#179714,#179715); #158820=AXIS2_PLACEMENT_3D('',#223872,#179717,#179718); #158821=AXIS2_PLACEMENT_3D('',#223873,#179719,#179720); #158822=AXIS2_PLACEMENT_3D('',#223879,#179724,#179725); #158823=AXIS2_PLACEMENT_3D('',#223882,#179728,#179729); #158824=AXIS2_PLACEMENT_3D('',#223883,#179730,#179731); #158825=AXIS2_PLACEMENT_3D('',#223886,#179732,#179733); #158826=AXIS2_PLACEMENT_3D('',#223890,#179735,#179736); #158827=AXIS2_PLACEMENT_3D('',#223892,#179738,#179739); #158828=AXIS2_PLACEMENT_3D('',#223898,#179743,#179744); #158829=AXIS2_PLACEMENT_3D('',#223904,#179748,#179749); #158830=AXIS2_PLACEMENT_3D('',#223910,#179753,#179754); #158831=AXIS2_PLACEMENT_3D('',#223916,#179758,#179759); #158832=AXIS2_PLACEMENT_3D('',#223922,#179763,#179764); #158833=AXIS2_PLACEMENT_3D('',#223928,#179768,#179769); #158834=AXIS2_PLACEMENT_3D('',#223931,#179772,#179773); #158835=AXIS2_PLACEMENT_3D('',#223940,#179778,#179779); #158836=AXIS2_PLACEMENT_3D('',#223946,#179783,#179784); #158837=AXIS2_PLACEMENT_3D('',#223952,#179788,#179789); #158838=AXIS2_PLACEMENT_3D('',#223958,#179793,#179794); #158839=AXIS2_PLACEMENT_3D('',#223964,#179798,#179799); #158840=AXIS2_PLACEMENT_3D('',#223970,#179803,#179804); #158841=AXIS2_PLACEMENT_3D('',#223976,#179808,#179809); #158842=AXIS2_PLACEMENT_3D('',#223982,#179813,#179814); #158843=AXIS2_PLACEMENT_3D('',#223988,#179818,#179819); #158844=AXIS2_PLACEMENT_3D('',#223994,#179823,#179824); #158845=AXIS2_PLACEMENT_3D('',#224000,#179828,#179829); #158846=AXIS2_PLACEMENT_3D('',#224006,#179833,#179834); #158847=AXIS2_PLACEMENT_3D('',#224012,#179838,#179839); #158848=AXIS2_PLACEMENT_3D('',#224018,#179843,#179844); #158849=AXIS2_PLACEMENT_3D('',#224024,#179848,#179849); #158850=AXIS2_PLACEMENT_3D('',#224030,#179853,#179854); #158851=AXIS2_PLACEMENT_3D('',#224036,#179858,#179859); #158852=AXIS2_PLACEMENT_3D('',#224042,#179863,#179864); #158853=AXIS2_PLACEMENT_3D('',#224048,#179868,#179869); #158854=AXIS2_PLACEMENT_3D('',#224054,#179873,#179874); #158855=AXIS2_PLACEMENT_3D('',#224060,#179878,#179879); #158856=AXIS2_PLACEMENT_3D('',#224062,#179880,#179881); #158857=AXIS2_PLACEMENT_3D('',#224065,#179883,#179884); #158858=AXIS2_PLACEMENT_3D('',#224066,#179885,#179886); #158859=AXIS2_PLACEMENT_3D('',#224072,#179890,#179891); #158860=AXIS2_PLACEMENT_3D('',#224078,#179895,#179896); #158861=AXIS2_PLACEMENT_3D('',#224084,#179900,#179901); #158862=AXIS2_PLACEMENT_3D('',#224090,#179905,#179906); #158863=AXIS2_PLACEMENT_3D('',#224092,#179907,#179908); #158864=AXIS2_PLACEMENT_3D('',#224095,#179910,#179911); #158865=AXIS2_PLACEMENT_3D('',#224096,#179912,#179913); #158866=AXIS2_PLACEMENT_3D('',#224102,#179917,#179918); #158867=AXIS2_PLACEMENT_3D('',#224108,#179922,#179923); #158868=AXIS2_PLACEMENT_3D('',#224114,#179927,#179928); #158869=AXIS2_PLACEMENT_3D('',#224120,#179932,#179933); #158870=AXIS2_PLACEMENT_3D('',#224126,#179937,#179938); #158871=AXIS2_PLACEMENT_3D('',#224132,#179942,#179943); #158872=AXIS2_PLACEMENT_3D('',#224138,#179947,#179948); #158873=AXIS2_PLACEMENT_3D('',#224144,#179952,#179953); #158874=AXIS2_PLACEMENT_3D('',#224150,#179957,#179958); #158875=AXIS2_PLACEMENT_3D('',#224156,#179962,#179963); #158876=AXIS2_PLACEMENT_3D('',#224162,#179967,#179968); #158877=AXIS2_PLACEMENT_3D('',#224168,#179972,#179973); #158878=AXIS2_PLACEMENT_3D('',#224174,#179977,#179978); #158879=AXIS2_PLACEMENT_3D('',#224180,#179982,#179983); #158880=AXIS2_PLACEMENT_3D('',#224186,#179987,#179988); #158881=AXIS2_PLACEMENT_3D('',#224192,#179992,#179993); #158882=AXIS2_PLACEMENT_3D('',#224198,#179997,#179998); #158883=AXIS2_PLACEMENT_3D('',#224204,#180002,#180003); #158884=AXIS2_PLACEMENT_3D('',#224210,#180007,#180008); #158885=AXIS2_PLACEMENT_3D('',#224216,#180012,#180013); #158886=AXIS2_PLACEMENT_3D('',#224222,#180017,#180018); #158887=AXIS2_PLACEMENT_3D('',#224228,#180022,#180023); #158888=AXIS2_PLACEMENT_3D('',#224234,#180027,#180028); #158889=AXIS2_PLACEMENT_3D('',#224240,#180032,#180033); #158890=AXIS2_PLACEMENT_3D('',#224242,#180034,#180035); #158891=AXIS2_PLACEMENT_3D('',#224245,#180037,#180038); #158892=AXIS2_PLACEMENT_3D('',#224246,#180039,#180040); #158893=AXIS2_PLACEMENT_3D('',#224252,#180044,#180045); #158894=AXIS2_PLACEMENT_3D('',#224258,#180049,#180050); #158895=AXIS2_PLACEMENT_3D('',#224264,#180054,#180055); #158896=AXIS2_PLACEMENT_3D('',#224270,#180059,#180060); #158897=AXIS2_PLACEMENT_3D('',#224276,#180064,#180065); #158898=AXIS2_PLACEMENT_3D('',#224282,#180069,#180070); #158899=AXIS2_PLACEMENT_3D('',#224288,#180074,#180075); #158900=AXIS2_PLACEMENT_3D('',#224294,#180079,#180080); #158901=AXIS2_PLACEMENT_3D('',#224300,#180084,#180085); #158902=AXIS2_PLACEMENT_3D('',#224306,#180089,#180090); #158903=AXIS2_PLACEMENT_3D('',#224312,#180094,#180095); #158904=AXIS2_PLACEMENT_3D('',#224318,#180099,#180100); #158905=AXIS2_PLACEMENT_3D('',#224324,#180104,#180105); #158906=AXIS2_PLACEMENT_3D('',#224330,#180109,#180110); #158907=AXIS2_PLACEMENT_3D('',#224336,#180114,#180115); #158908=AXIS2_PLACEMENT_3D('',#224342,#180119,#180120); #158909=AXIS2_PLACEMENT_3D('',#224348,#180124,#180125); #158910=AXIS2_PLACEMENT_3D('',#224354,#180129,#180130); #158911=AXIS2_PLACEMENT_3D('',#224360,#180134,#180135); #158912=AXIS2_PLACEMENT_3D('',#224366,#180139,#180140); #158913=AXIS2_PLACEMENT_3D('',#224372,#180144,#180145); #158914=AXIS2_PLACEMENT_3D('',#224378,#180149,#180150); #158915=AXIS2_PLACEMENT_3D('',#224384,#180154,#180155); #158916=AXIS2_PLACEMENT_3D('',#224390,#180159,#180160); #158917=AXIS2_PLACEMENT_3D('',#224396,#180164,#180165); #158918=AXIS2_PLACEMENT_3D('',#224398,#180166,#180167); #158919=AXIS2_PLACEMENT_3D('',#224401,#180169,#180170); #158920=AXIS2_PLACEMENT_3D('',#224402,#180171,#180172); #158921=AXIS2_PLACEMENT_3D('',#224408,#180176,#180177); #158922=AXIS2_PLACEMENT_3D('',#224414,#180181,#180182); #158923=AXIS2_PLACEMENT_3D('',#224420,#180186,#180187); #158924=AXIS2_PLACEMENT_3D('',#224422,#180188,#180189); #158925=AXIS2_PLACEMENT_3D('',#224425,#180191,#180192); #158926=AXIS2_PLACEMENT_3D('',#224426,#180193,#180194); #158927=AXIS2_PLACEMENT_3D('',#224428,#180195,#180196); #158928=AXIS2_PLACEMENT_3D('',#224431,#180198,#180199); #158929=AXIS2_PLACEMENT_3D('',#224432,#180200,#180201); #158930=AXIS2_PLACEMENT_3D('',#224434,#180202,#180203); #158931=AXIS2_PLACEMENT_3D('',#224437,#180205,#180206); #158932=AXIS2_PLACEMENT_3D('',#224438,#180207,#180208); #158933=AXIS2_PLACEMENT_3D('',#224444,#180212,#180213); #158934=AXIS2_PLACEMENT_3D('',#224450,#180217,#180218); #158935=AXIS2_PLACEMENT_3D('',#224456,#180222,#180223); #158936=AXIS2_PLACEMENT_3D('',#224462,#180227,#180228); #158937=AXIS2_PLACEMENT_3D('',#224468,#180232,#180233); #158938=AXIS2_PLACEMENT_3D('',#224474,#180237,#180238); #158939=AXIS2_PLACEMENT_3D('',#224480,#180242,#180243); #158940=AXIS2_PLACEMENT_3D('',#224486,#180247,#180248); #158941=AXIS2_PLACEMENT_3D('',#224492,#180252,#180253); #158942=AXIS2_PLACEMENT_3D('',#224498,#180257,#180258); #158943=AXIS2_PLACEMENT_3D('',#224504,#180262,#180263); #158944=AXIS2_PLACEMENT_3D('',#224510,#180267,#180268); #158945=AXIS2_PLACEMENT_3D('',#224516,#180272,#180273); #158946=AXIS2_PLACEMENT_3D('',#224522,#180277,#180278); #158947=AXIS2_PLACEMENT_3D('',#224528,#180282,#180283); #158948=AXIS2_PLACEMENT_3D('',#224534,#180287,#180288); #158949=AXIS2_PLACEMENT_3D('',#224540,#180292,#180293); #158950=AXIS2_PLACEMENT_3D('',#224546,#180297,#180298); #158951=AXIS2_PLACEMENT_3D('',#224552,#180302,#180303); #158952=AXIS2_PLACEMENT_3D('',#224558,#180307,#180308); #158953=AXIS2_PLACEMENT_3D('',#224564,#180312,#180313); #158954=AXIS2_PLACEMENT_3D('',#224570,#180317,#180318); #158955=AXIS2_PLACEMENT_3D('',#224576,#180322,#180323); #158956=AXIS2_PLACEMENT_3D('',#224578,#180324,#180325); #158957=AXIS2_PLACEMENT_3D('',#224581,#180327,#180328); #158958=AXIS2_PLACEMENT_3D('',#224582,#180329,#180330); #158959=AXIS2_PLACEMENT_3D('',#224588,#180334,#180335); #158960=AXIS2_PLACEMENT_3D('',#224594,#180339,#180340); #158961=AXIS2_PLACEMENT_3D('',#224600,#180344,#180345); #158962=AXIS2_PLACEMENT_3D('',#224606,#180349,#180350); #158963=AXIS2_PLACEMENT_3D('',#224612,#180354,#180355); #158964=AXIS2_PLACEMENT_3D('',#224618,#180359,#180360); #158965=AXIS2_PLACEMENT_3D('',#224624,#180364,#180365); #158966=AXIS2_PLACEMENT_3D('',#224630,#180369,#180370); #158967=AXIS2_PLACEMENT_3D('',#224636,#180374,#180375); #158968=AXIS2_PLACEMENT_3D('',#224642,#180379,#180380); #158969=AXIS2_PLACEMENT_3D('',#224648,#180384,#180385); #158970=AXIS2_PLACEMENT_3D('',#224654,#180389,#180390); #158971=AXIS2_PLACEMENT_3D('',#224660,#180394,#180395); #158972=AXIS2_PLACEMENT_3D('',#224666,#180399,#180400); #158973=AXIS2_PLACEMENT_3D('',#224672,#180404,#180405); #158974=AXIS2_PLACEMENT_3D('',#224678,#180409,#180410); #158975=AXIS2_PLACEMENT_3D('',#224684,#180414,#180415); #158976=AXIS2_PLACEMENT_3D('',#224690,#180419,#180420); #158977=AXIS2_PLACEMENT_3D('',#224696,#180424,#180425); #158978=AXIS2_PLACEMENT_3D('',#224702,#180429,#180430); #158979=AXIS2_PLACEMENT_3D('',#224708,#180434,#180435); #158980=AXIS2_PLACEMENT_3D('',#224714,#180439,#180440); #158981=AXIS2_PLACEMENT_3D('',#224720,#180444,#180445); #158982=AXIS2_PLACEMENT_3D('',#224726,#180449,#180450); #158983=AXIS2_PLACEMENT_3D('',#224728,#180451,#180452); #158984=AXIS2_PLACEMENT_3D('',#224731,#180454,#180455); #158985=AXIS2_PLACEMENT_3D('',#224732,#180456,#180457); #158986=AXIS2_PLACEMENT_3D('',#224738,#180461,#180462); #158987=AXIS2_PLACEMENT_3D('',#224744,#180466,#180467); #158988=AXIS2_PLACEMENT_3D('',#224750,#180471,#180472); #158989=AXIS2_PLACEMENT_3D('',#224752,#180473,#180474); #158990=AXIS2_PLACEMENT_3D('',#224755,#180476,#180477); #158991=AXIS2_PLACEMENT_3D('',#224756,#180478,#180479); #158992=AXIS2_PLACEMENT_3D('',#224762,#180483,#180484); #158993=AXIS2_PLACEMENT_3D('',#224765,#180487,#180488); #158994=AXIS2_PLACEMENT_3D('',#224766,#180489,#180490); #158995=AXIS2_PLACEMENT_3D('',#224775,#180495,#180496); #158996=AXIS2_PLACEMENT_3D('',#224781,#180500,#180501); #158997=AXIS2_PLACEMENT_3D('',#224787,#180505,#180506); #158998=AXIS2_PLACEMENT_3D('',#224789,#180507,#180508); #158999=AXIS2_PLACEMENT_3D('',#224792,#180510,#180511); #159000=AXIS2_PLACEMENT_3D('',#224793,#180512,#180513); #159001=AXIS2_PLACEMENT_3D('',#224795,#180514,#180515); #159002=AXIS2_PLACEMENT_3D('',#224798,#180517,#180518); #159003=AXIS2_PLACEMENT_3D('',#224799,#180519,#180520); #159004=AXIS2_PLACEMENT_3D('',#224801,#180521,#180522); #159005=AXIS2_PLACEMENT_3D('',#224804,#180524,#180525); #159006=AXIS2_PLACEMENT_3D('',#224805,#180526,#180527); #159007=AXIS2_PLACEMENT_3D('',#224807,#180528,#180529); #159008=AXIS2_PLACEMENT_3D('',#224810,#180531,#180532); #159009=AXIS2_PLACEMENT_3D('',#224811,#180533,#180534); #159010=AXIS2_PLACEMENT_3D('',#224813,#180535,#180536); #159011=AXIS2_PLACEMENT_3D('',#224816,#180538,#180539); #159012=AXIS2_PLACEMENT_3D('',#224817,#180540,#180541); #159013=AXIS2_PLACEMENT_3D('',#224820,#180544,#180545); #159014=AXIS2_PLACEMENT_3D('',#224823,#180546,#180547); #159015=AXIS2_PLACEMENT_3D('',#224827,#180549,#180550); #159016=AXIS2_PLACEMENT_3D('',#224829,#180552,#180553); #159017=AXIS2_PLACEMENT_3D('',#224831,#180554,#180555); #159018=AXIS2_PLACEMENT_3D('',#224834,#180557,#180558); #159019=AXIS2_PLACEMENT_3D('',#224835,#180559,#180560); #159020=AXIS2_PLACEMENT_3D('',#224837,#180561,#180562); #159021=AXIS2_PLACEMENT_3D('',#224840,#180564,#180565); #159022=AXIS2_PLACEMENT_3D('',#224841,#180566,#180567); #159023=AXIS2_PLACEMENT_3D('',#224847,#180571,#180572); #159024=AXIS2_PLACEMENT_3D('',#224853,#180576,#180577); #159025=AXIS2_PLACEMENT_3D('',#224859,#180581,#180582); #159026=AXIS2_PLACEMENT_3D('',#224865,#180586,#180587); #159027=AXIS2_PLACEMENT_3D('',#224871,#180591,#180592); #159028=AXIS2_PLACEMENT_3D('',#224877,#180596,#180597); #159029=AXIS2_PLACEMENT_3D('',#224883,#180601,#180602); #159030=AXIS2_PLACEMENT_3D('',#224889,#180606,#180607); #159031=AXIS2_PLACEMENT_3D('',#224891,#180608,#180609); #159032=AXIS2_PLACEMENT_3D('',#224894,#180611,#180612); #159033=AXIS2_PLACEMENT_3D('',#224895,#180613,#180614); #159034=AXIS2_PLACEMENT_3D('',#224897,#180615,#180616); #159035=AXIS2_PLACEMENT_3D('',#224900,#180618,#180619); #159036=AXIS2_PLACEMENT_3D('',#224901,#180620,#180621); #159037=AXIS2_PLACEMENT_3D('',#224903,#180622,#180623); #159038=AXIS2_PLACEMENT_3D('',#224906,#180625,#180626); #159039=AXIS2_PLACEMENT_3D('',#224907,#180627,#180628); #159040=AXIS2_PLACEMENT_3D('',#224909,#180629,#180630); #159041=AXIS2_PLACEMENT_3D('',#224912,#180632,#180633); #159042=AXIS2_PLACEMENT_3D('',#224913,#180634,#180635); #159043=AXIS2_PLACEMENT_3D('',#224915,#180636,#180637); #159044=AXIS2_PLACEMENT_3D('',#224918,#180639,#180640); #159045=AXIS2_PLACEMENT_3D('',#224919,#180641,#180642); #159046=AXIS2_PLACEMENT_3D('',#224925,#180646,#180647); #159047=AXIS2_PLACEMENT_3D('',#224927,#180648,#180649); #159048=AXIS2_PLACEMENT_3D('',#224930,#180651,#180652); #159049=AXIS2_PLACEMENT_3D('',#224931,#180653,#180654); #159050=AXIS2_PLACEMENT_3D('',#224933,#180655,#180656); #159051=AXIS2_PLACEMENT_3D('',#224936,#180658,#180659); #159052=AXIS2_PLACEMENT_3D('',#224937,#180660,#180661); #159053=AXIS2_PLACEMENT_3D('',#224939,#180662,#180663); #159054=AXIS2_PLACEMENT_3D('',#224942,#180665,#180666); #159055=AXIS2_PLACEMENT_3D('',#224943,#180667,#180668); #159056=AXIS2_PLACEMENT_3D('',#224949,#180672,#180673); #159057=AXIS2_PLACEMENT_3D('',#224955,#180677,#180678); #159058=AXIS2_PLACEMENT_3D('',#224961,#180682,#180683); #159059=AXIS2_PLACEMENT_3D('',#224967,#180687,#180688); #159060=AXIS2_PLACEMENT_3D('',#224973,#180692,#180693); #159061=AXIS2_PLACEMENT_3D('',#224975,#180694,#180695); #159062=AXIS2_PLACEMENT_3D('',#224978,#180697,#180698); #159063=AXIS2_PLACEMENT_3D('',#224979,#180699,#180700); #159064=AXIS2_PLACEMENT_3D('',#224981,#180701,#180702); #159065=AXIS2_PLACEMENT_3D('',#224984,#180704,#180705); #159066=AXIS2_PLACEMENT_3D('',#224985,#180706,#180707); #159067=AXIS2_PLACEMENT_3D('',#224987,#180708,#180709); #159068=AXIS2_PLACEMENT_3D('',#224990,#180711,#180712); #159069=AXIS2_PLACEMENT_3D('',#224991,#180713,#180714); #159070=AXIS2_PLACEMENT_3D('',#224994,#180717,#180718); #159071=AXIS2_PLACEMENT_3D('',#224995,#180719,#180720); #159072=AXIS2_PLACEMENT_3D('',#224998,#180721,#180722); #159073=AXIS2_PLACEMENT_3D('',#225002,#180724,#180725); #159074=AXIS2_PLACEMENT_3D('',#225004,#180727,#180728); #159075=AXIS2_PLACEMENT_3D('',#225006,#180729,#180730); #159076=AXIS2_PLACEMENT_3D('',#225009,#180732,#180733); #159077=AXIS2_PLACEMENT_3D('',#225010,#180734,#180735); #159078=AXIS2_PLACEMENT_3D('',#225012,#180736,#180737); #159079=AXIS2_PLACEMENT_3D('',#225015,#180739,#180740); #159080=AXIS2_PLACEMENT_3D('',#225016,#180741,#180742); #159081=AXIS2_PLACEMENT_3D('',#225022,#180746,#180747); #159082=AXIS2_PLACEMENT_3D('',#225025,#180750,#180751); #159083=AXIS2_PLACEMENT_3D('',#225034,#180756,#180757); #159084=AXIS2_PLACEMENT_3D('',#225040,#180761,#180762); #159085=AXIS2_PLACEMENT_3D('',#225046,#180766,#180767); #159086=AXIS2_PLACEMENT_3D('',#225052,#180771,#180772); #159087=AXIS2_PLACEMENT_3D('',#225054,#180773,#180774); #159088=AXIS2_PLACEMENT_3D('',#225057,#180776,#180777); #159089=AXIS2_PLACEMENT_3D('',#225058,#180778,#180779); #159090=AXIS2_PLACEMENT_3D('',#225064,#180783,#180784); #159091=AXIS2_PLACEMENT_3D('',#225070,#180788,#180789); #159092=AXIS2_PLACEMENT_3D('',#225076,#180793,#180794); #159093=AXIS2_PLACEMENT_3D('',#225082,#180798,#180799); #159094=AXIS2_PLACEMENT_3D('',#225088,#180803,#180804); #159095=AXIS2_PLACEMENT_3D('',#225094,#180808,#180809); #159096=AXIS2_PLACEMENT_3D('',#225100,#180813,#180814); #159097=AXIS2_PLACEMENT_3D('',#225106,#180818,#180819); #159098=AXIS2_PLACEMENT_3D('',#225112,#180823,#180824); #159099=AXIS2_PLACEMENT_3D('',#225118,#180828,#180829); #159100=AXIS2_PLACEMENT_3D('',#225124,#180833,#180834); #159101=AXIS2_PLACEMENT_3D('',#225130,#180838,#180839); #159102=AXIS2_PLACEMENT_3D('',#225136,#180843,#180844); #159103=AXIS2_PLACEMENT_3D('',#225142,#180848,#180849); #159104=AXIS2_PLACEMENT_3D('',#225144,#180850,#180851); #159105=AXIS2_PLACEMENT_3D('',#225147,#180853,#180854); #159106=AXIS2_PLACEMENT_3D('',#225148,#180855,#180856); #159107=AXIS2_PLACEMENT_3D('',#225150,#180857,#180858); #159108=AXIS2_PLACEMENT_3D('',#225153,#180860,#180861); #159109=AXIS2_PLACEMENT_3D('',#225154,#180862,#180863); #159110=AXIS2_PLACEMENT_3D('',#225156,#180864,#180865); #159111=AXIS2_PLACEMENT_3D('',#225159,#180867,#180868); #159112=AXIS2_PLACEMENT_3D('',#225160,#180869,#180870); #159113=AXIS2_PLACEMENT_3D('',#225162,#180871,#180872); #159114=AXIS2_PLACEMENT_3D('',#225165,#180874,#180875); #159115=AXIS2_PLACEMENT_3D('',#225166,#180876,#180877); #159116=AXIS2_PLACEMENT_3D('',#225168,#180878,#180879); #159117=AXIS2_PLACEMENT_3D('',#225171,#180881,#180882); #159118=AXIS2_PLACEMENT_3D('',#225172,#180883,#180884); #159119=AXIS2_PLACEMENT_3D('',#225174,#180885,#180886); #159120=AXIS2_PLACEMENT_3D('',#225177,#180888,#180889); #159121=AXIS2_PLACEMENT_3D('',#225178,#180890,#180891); #159122=AXIS2_PLACEMENT_3D('',#225180,#180892,#180893); #159123=AXIS2_PLACEMENT_3D('',#225183,#180895,#180896); #159124=AXIS2_PLACEMENT_3D('',#225184,#180897,#180898); #159125=AXIS2_PLACEMENT_3D('',#225190,#180902,#180903); #159126=AXIS2_PLACEMENT_3D('',#225196,#180907,#180908); #159127=AXIS2_PLACEMENT_3D('',#225202,#180912,#180913); #159128=AXIS2_PLACEMENT_3D('',#225208,#180917,#180918); #159129=AXIS2_PLACEMENT_3D('',#225214,#180922,#180923); #159130=AXIS2_PLACEMENT_3D('',#225220,#180927,#180928); #159131=AXIS2_PLACEMENT_3D('',#225226,#180932,#180933); #159132=AXIS2_PLACEMENT_3D('',#225232,#180937,#180938); #159133=AXIS2_PLACEMENT_3D('',#225234,#180939,#180940); #159134=AXIS2_PLACEMENT_3D('',#225237,#180942,#180943); #159135=AXIS2_PLACEMENT_3D('',#225238,#180944,#180945); #159136=AXIS2_PLACEMENT_3D('',#225244,#180949,#180950); #159137=AXIS2_PLACEMENT_3D('',#225250,#180954,#180955); #159138=AXIS2_PLACEMENT_3D('',#225256,#180959,#180960); #159139=AXIS2_PLACEMENT_3D('',#225262,#180964,#180965); #159140=AXIS2_PLACEMENT_3D('',#225268,#180969,#180970); #159141=AXIS2_PLACEMENT_3D('',#225274,#180974,#180975); #159142=AXIS2_PLACEMENT_3D('',#225280,#180979,#180980); #159143=AXIS2_PLACEMENT_3D('',#225286,#180984,#180985); #159144=AXIS2_PLACEMENT_3D('',#225292,#180989,#180990); #159145=AXIS2_PLACEMENT_3D('',#225298,#180994,#180995); #159146=AXIS2_PLACEMENT_3D('',#225304,#180999,#181000); #159147=AXIS2_PLACEMENT_3D('',#225310,#181004,#181005); #159148=AXIS2_PLACEMENT_3D('',#225316,#181009,#181010); #159149=AXIS2_PLACEMENT_3D('',#225322,#181014,#181015); #159150=AXIS2_PLACEMENT_3D('',#225324,#181016,#181017); #159151=AXIS2_PLACEMENT_3D('',#225327,#181019,#181020); #159152=AXIS2_PLACEMENT_3D('',#225328,#181021,#181022); #159153=AXIS2_PLACEMENT_3D('',#225334,#181026,#181027); #159154=AXIS2_PLACEMENT_3D('',#225340,#181031,#181032); #159155=AXIS2_PLACEMENT_3D('',#225342,#181033,#181034); #159156=AXIS2_PLACEMENT_3D('',#225345,#181036,#181037); #159157=AXIS2_PLACEMENT_3D('',#225346,#181038,#181039); #159158=AXIS2_PLACEMENT_3D('',#225352,#181043,#181044); #159159=AXIS2_PLACEMENT_3D('',#225358,#181048,#181049); #159160=AXIS2_PLACEMENT_3D('',#225361,#181052,#181053); #159161=AXIS2_PLACEMENT_3D('',#225362,#181054,#181055); #159162=AXIS2_PLACEMENT_3D('',#225365,#181056,#181057); #159163=AXIS2_PLACEMENT_3D('',#225369,#181059,#181060); #159164=AXIS2_PLACEMENT_3D('',#225371,#181062,#181063); #159165=AXIS2_PLACEMENT_3D('',#225377,#181067,#181068); #159166=AXIS2_PLACEMENT_3D('',#225379,#181069,#181070); #159167=AXIS2_PLACEMENT_3D('',#225382,#181072,#181073); #159168=AXIS2_PLACEMENT_3D('',#225383,#181074,#181075); #159169=AXIS2_PLACEMENT_3D('',#225385,#181076,#181077); #159170=AXIS2_PLACEMENT_3D('',#225388,#181079,#181080); #159171=AXIS2_PLACEMENT_3D('',#225389,#181081,#181082); #159172=AXIS2_PLACEMENT_3D('',#225395,#181086,#181087); #159173=AXIS2_PLACEMENT_3D('',#225401,#181091,#181092); #159174=AXIS2_PLACEMENT_3D('',#225407,#181096,#181097); #159175=AXIS2_PLACEMENT_3D('',#225413,#181101,#181102); #159176=AXIS2_PLACEMENT_3D('',#225419,#181106,#181107); #159177=AXIS2_PLACEMENT_3D('',#225425,#181111,#181112); #159178=AXIS2_PLACEMENT_3D('',#225431,#181116,#181117); #159179=AXIS2_PLACEMENT_3D('',#225437,#181121,#181122); #159180=AXIS2_PLACEMENT_3D('',#225443,#181126,#181127); #159181=AXIS2_PLACEMENT_3D('',#225445,#181128,#181129); #159182=AXIS2_PLACEMENT_3D('',#225448,#181131,#181132); #159183=AXIS2_PLACEMENT_3D('',#225449,#181133,#181134); #159184=AXIS2_PLACEMENT_3D('',#225455,#181138,#181139); #159185=AXIS2_PLACEMENT_3D('',#225461,#181143,#181144); #159186=AXIS2_PLACEMENT_3D('',#225467,#181148,#181149); #159187=AXIS2_PLACEMENT_3D('',#225473,#181153,#181154); #159188=AXIS2_PLACEMENT_3D('',#225479,#181158,#181159); #159189=AXIS2_PLACEMENT_3D('',#225485,#181163,#181164); #159190=AXIS2_PLACEMENT_3D('',#225487,#181165,#181166); #159191=AXIS2_PLACEMENT_3D('',#225490,#181168,#181169); #159192=AXIS2_PLACEMENT_3D('',#225491,#181170,#181171); #159193=AXIS2_PLACEMENT_3D('',#225497,#181175,#181176); #159194=AXIS2_PLACEMENT_3D('',#225503,#181180,#181181); #159195=AXIS2_PLACEMENT_3D('',#225509,#181185,#181186); #159196=AXIS2_PLACEMENT_3D('',#225511,#181187,#181188); #159197=AXIS2_PLACEMENT_3D('',#225514,#181190,#181191); #159198=AXIS2_PLACEMENT_3D('',#225515,#181192,#181193); #159199=AXIS2_PLACEMENT_3D('',#225517,#181194,#181195); #159200=AXIS2_PLACEMENT_3D('',#225520,#181197,#181198); #159201=AXIS2_PLACEMENT_3D('',#225521,#181199,#181200); #159202=AXIS2_PLACEMENT_3D('',#225527,#181204,#181205); #159203=AXIS2_PLACEMENT_3D('',#225533,#181209,#181210); #159204=AXIS2_PLACEMENT_3D('',#225539,#181214,#181215); #159205=AXIS2_PLACEMENT_3D('',#225545,#181219,#181220); #159206=AXIS2_PLACEMENT_3D('',#225551,#181224,#181225); #159207=AXIS2_PLACEMENT_3D('',#225557,#181229,#181230); #159208=AXIS2_PLACEMENT_3D('',#225563,#181234,#181235); #159209=AXIS2_PLACEMENT_3D('',#225569,#181239,#181240); #159210=AXIS2_PLACEMENT_3D('',#225575,#181244,#181245); #159211=AXIS2_PLACEMENT_3D('',#225581,#181249,#181250); #159212=AXIS2_PLACEMENT_3D('',#225587,#181254,#181255); #159213=AXIS2_PLACEMENT_3D('',#225593,#181259,#181260); #159214=AXIS2_PLACEMENT_3D('',#225599,#181264,#181265); #159215=AXIS2_PLACEMENT_3D('',#225605,#181269,#181270); #159216=AXIS2_PLACEMENT_3D('',#225611,#181274,#181275); #159217=AXIS2_PLACEMENT_3D('',#225617,#181279,#181280); #159218=AXIS2_PLACEMENT_3D('',#225623,#181284,#181285); #159219=AXIS2_PLACEMENT_3D('',#225629,#181289,#181290); #159220=AXIS2_PLACEMENT_3D('',#225635,#181294,#181295); #159221=AXIS2_PLACEMENT_3D('',#225641,#181299,#181300); #159222=AXIS2_PLACEMENT_3D('',#225647,#181304,#181305); #159223=AXIS2_PLACEMENT_3D('',#225653,#181309,#181310); #159224=AXIS2_PLACEMENT_3D('',#225659,#181314,#181315); #159225=AXIS2_PLACEMENT_3D('',#225665,#181319,#181320); #159226=AXIS2_PLACEMENT_3D('',#225671,#181324,#181325); #159227=AXIS2_PLACEMENT_3D('',#225673,#181326,#181327); #159228=AXIS2_PLACEMENT_3D('',#225676,#181329,#181330); #159229=AXIS2_PLACEMENT_3D('',#225677,#181331,#181332); #159230=AXIS2_PLACEMENT_3D('',#225683,#181336,#181337); #159231=AXIS2_PLACEMENT_3D('',#225685,#181338,#181339); #159232=AXIS2_PLACEMENT_3D('',#225688,#181341,#181342); #159233=AXIS2_PLACEMENT_3D('',#225689,#181343,#181344); #159234=AXIS2_PLACEMENT_3D('',#225691,#181345,#181346); #159235=AXIS2_PLACEMENT_3D('',#225694,#181348,#181349); #159236=AXIS2_PLACEMENT_3D('',#225695,#181350,#181351); #159237=AXIS2_PLACEMENT_3D('',#225697,#181352,#181353); #159238=AXIS2_PLACEMENT_3D('',#225700,#181355,#181356); #159239=AXIS2_PLACEMENT_3D('',#225701,#181357,#181358); #159240=AXIS2_PLACEMENT_3D('',#225707,#181362,#181363); #159241=AXIS2_PLACEMENT_3D('',#225709,#181364,#181365); #159242=AXIS2_PLACEMENT_3D('',#225712,#181367,#181368); #159243=AXIS2_PLACEMENT_3D('',#225713,#181369,#181370); #159244=AXIS2_PLACEMENT_3D('',#225715,#181371,#181372); #159245=AXIS2_PLACEMENT_3D('',#225718,#181374,#181375); #159246=AXIS2_PLACEMENT_3D('',#225719,#181376,#181377); #159247=AXIS2_PLACEMENT_3D('',#225721,#181378,#181379); #159248=AXIS2_PLACEMENT_3D('',#225724,#181381,#181382); #159249=AXIS2_PLACEMENT_3D('',#225725,#181383,#181384); #159250=AXIS2_PLACEMENT_3D('',#225727,#181385,#181386); #159251=AXIS2_PLACEMENT_3D('',#225730,#181388,#181389); #159252=AXIS2_PLACEMENT_3D('',#225731,#181390,#181391); #159253=AXIS2_PLACEMENT_3D('',#225737,#181395,#181396); #159254=AXIS2_PLACEMENT_3D('',#225739,#181397,#181398); #159255=AXIS2_PLACEMENT_3D('',#225742,#181400,#181401); #159256=AXIS2_PLACEMENT_3D('',#225743,#181402,#181403); #159257=AXIS2_PLACEMENT_3D('',#225749,#181407,#181408); #159258=AXIS2_PLACEMENT_3D('',#225755,#181412,#181413); #159259=AXIS2_PLACEMENT_3D('',#225761,#181417,#181418); #159260=AXIS2_PLACEMENT_3D('',#225767,#181422,#181423); #159261=AXIS2_PLACEMENT_3D('',#225773,#181427,#181428); #159262=AXIS2_PLACEMENT_3D('',#225779,#181432,#181433); #159263=AXIS2_PLACEMENT_3D('',#225785,#181437,#181438); #159264=AXIS2_PLACEMENT_3D('',#225791,#181442,#181443); #159265=AXIS2_PLACEMENT_3D('',#225797,#181447,#181448); #159266=AXIS2_PLACEMENT_3D('',#225803,#181452,#181453); #159267=AXIS2_PLACEMENT_3D('',#225809,#181457,#181458); #159268=AXIS2_PLACEMENT_3D('',#225815,#181462,#181463); #159269=AXIS2_PLACEMENT_3D('',#225821,#181467,#181468); #159270=AXIS2_PLACEMENT_3D('',#225827,#181472,#181473); #159271=AXIS2_PLACEMENT_3D('',#225833,#181477,#181478); #159272=AXIS2_PLACEMENT_3D('',#225839,#181482,#181483); #159273=AXIS2_PLACEMENT_3D('',#225845,#181487,#181488); #159274=AXIS2_PLACEMENT_3D('',#225851,#181492,#181493); #159275=AXIS2_PLACEMENT_3D('',#225857,#181497,#181498); #159276=AXIS2_PLACEMENT_3D('',#225863,#181502,#181503); #159277=AXIS2_PLACEMENT_3D('',#225869,#181507,#181508); #159278=AXIS2_PLACEMENT_3D('',#225875,#181512,#181513); #159279=AXIS2_PLACEMENT_3D('',#225877,#181514,#181515); #159280=AXIS2_PLACEMENT_3D('',#225880,#181517,#181518); #159281=AXIS2_PLACEMENT_3D('',#225881,#181519,#181520); #159282=AXIS2_PLACEMENT_3D('',#225887,#181524,#181525); #159283=AXIS2_PLACEMENT_3D('',#225893,#181529,#181530); #159284=AXIS2_PLACEMENT_3D('',#225899,#181534,#181535); #159285=AXIS2_PLACEMENT_3D('',#225905,#181539,#181540); #159286=AXIS2_PLACEMENT_3D('',#225911,#181544,#181545); #159287=AXIS2_PLACEMENT_3D('',#225913,#181546,#181547); #159288=AXIS2_PLACEMENT_3D('',#225916,#181549,#181550); #159289=AXIS2_PLACEMENT_3D('',#225917,#181551,#181552); #159290=AXIS2_PLACEMENT_3D('',#225923,#181556,#181557); #159291=AXIS2_PLACEMENT_3D('',#225929,#181561,#181562); #159292=AXIS2_PLACEMENT_3D('',#225935,#181566,#181567); #159293=AXIS2_PLACEMENT_3D('',#225941,#181571,#181572); #159294=AXIS2_PLACEMENT_3D('',#225947,#181576,#181577); #159295=AXIS2_PLACEMENT_3D('',#225953,#181581,#181582); #159296=AXIS2_PLACEMENT_3D('',#225959,#181586,#181587); #159297=AXIS2_PLACEMENT_3D('',#225965,#181591,#181592); #159298=AXIS2_PLACEMENT_3D('',#225971,#181596,#181597); #159299=AXIS2_PLACEMENT_3D('',#225977,#181601,#181602); #159300=AXIS2_PLACEMENT_3D('',#225983,#181606,#181607); #159301=AXIS2_PLACEMENT_3D('',#225989,#181611,#181612); #159302=AXIS2_PLACEMENT_3D('',#225995,#181616,#181617); #159303=AXIS2_PLACEMENT_3D('',#226001,#181621,#181622); #159304=AXIS2_PLACEMENT_3D('',#226007,#181626,#181627); #159305=AXIS2_PLACEMENT_3D('',#226013,#181631,#181632); #159306=AXIS2_PLACEMENT_3D('',#226019,#181636,#181637); #159307=AXIS2_PLACEMENT_3D('',#226025,#181641,#181642); #159308=AXIS2_PLACEMENT_3D('',#226027,#181643,#181644); #159309=AXIS2_PLACEMENT_3D('',#226030,#181646,#181647); #159310=AXIS2_PLACEMENT_3D('',#226031,#181648,#181649); #159311=AXIS2_PLACEMENT_3D('',#226033,#181650,#181651); #159312=AXIS2_PLACEMENT_3D('',#226036,#181653,#181654); #159313=AXIS2_PLACEMENT_3D('',#226037,#181655,#181656); #159314=AXIS2_PLACEMENT_3D('',#226043,#181660,#181661); #159315=AXIS2_PLACEMENT_3D('',#226045,#181662,#181663); #159316=AXIS2_PLACEMENT_3D('',#226048,#181665,#181666); #159317=AXIS2_PLACEMENT_3D('',#226049,#181667,#181668); #159318=AXIS2_PLACEMENT_3D('',#226051,#181669,#181670); #159319=AXIS2_PLACEMENT_3D('',#226054,#181672,#181673); #159320=AXIS2_PLACEMENT_3D('',#226055,#181674,#181675); #159321=AXIS2_PLACEMENT_3D('',#226057,#181676,#181677); #159322=AXIS2_PLACEMENT_3D('',#226060,#181679,#181680); #159323=AXIS2_PLACEMENT_3D('',#226061,#181681,#181682); #159324=AXIS2_PLACEMENT_3D('',#226063,#181683,#181684); #159325=AXIS2_PLACEMENT_3D('',#226066,#181686,#181687); #159326=AXIS2_PLACEMENT_3D('',#226067,#181688,#181689); #159327=AXIS2_PLACEMENT_3D('',#226073,#181693,#181694); #159328=AXIS2_PLACEMENT_3D('',#226075,#181695,#181696); #159329=AXIS2_PLACEMENT_3D('',#226078,#181698,#181699); #159330=AXIS2_PLACEMENT_3D('',#226079,#181700,#181701); #159331=AXIS2_PLACEMENT_3D('',#226081,#181702,#181703); #159332=AXIS2_PLACEMENT_3D('',#226084,#181705,#181706); #159333=AXIS2_PLACEMENT_3D('',#226085,#181707,#181708); #159334=AXIS2_PLACEMENT_3D('',#226087,#181709,#181710); #159335=AXIS2_PLACEMENT_3D('',#226090,#181712,#181713); #159336=AXIS2_PLACEMENT_3D('',#226091,#181714,#181715); #159337=AXIS2_PLACEMENT_3D('',#226092,#181716,#181717); #159338=AXIS2_PLACEMENT_3D('',#226093,#181718,#181719); #159339=AXIS2_PLACEMENT_3D('',#226094,#181720,#181721); #159340=AXIS2_PLACEMENT_3D('',#226095,#181722,#181723); #159341=AXIS2_PLACEMENT_3D('',#226098,#181724,#181725); #159342=AXIS2_PLACEMENT_3D('',#226102,#181727,#181728); #159343=AXIS2_PLACEMENT_3D('',#226104,#181730,#181731); #159344=AXIS2_PLACEMENT_3D('',#226106,#181732,#181733); #159345=AXIS2_PLACEMENT_3D('',#226109,#181735,#181736); #159346=AXIS2_PLACEMENT_3D('',#226110,#181737,#181738); #159347=AXIS2_PLACEMENT_3D('',#226112,#181739,#181740); #159348=AXIS2_PLACEMENT_3D('',#226115,#181742,#181743); #159349=AXIS2_PLACEMENT_3D('',#226116,#181744,#181745); #159350=AXIS2_PLACEMENT_3D('',#226118,#181746,#181747); #159351=AXIS2_PLACEMENT_3D('',#226121,#181749,#181750); #159352=AXIS2_PLACEMENT_3D('',#226122,#181751,#181752); #159353=AXIS2_PLACEMENT_3D('',#226124,#181753,#181754); #159354=AXIS2_PLACEMENT_3D('',#226127,#181756,#181757); #159355=AXIS2_PLACEMENT_3D('',#226128,#181758,#181759); #159356=AXIS2_PLACEMENT_3D('',#226130,#181760,#181761); #159357=AXIS2_PLACEMENT_3D('',#226133,#181763,#181764); #159358=AXIS2_PLACEMENT_3D('',#226134,#181765,#181766); #159359=AXIS2_PLACEMENT_3D('',#226135,#181767,#181768); #159360=AXIS2_PLACEMENT_3D('',#226136,#181769,#181770); #159361=AXIS2_PLACEMENT_3D('',#226137,#181771,#181772); #159362=AXIS2_PLACEMENT_3D('',#226140,#181773,#181774); #159363=AXIS2_PLACEMENT_3D('',#226144,#181776,#181777); #159364=AXIS2_PLACEMENT_3D('',#226146,#181779,#181780); #159365=AXIS2_PLACEMENT_3D('',#226148,#181781,#181782); #159366=AXIS2_PLACEMENT_3D('',#226151,#181784,#181785); #159367=AXIS2_PLACEMENT_3D('',#226152,#181786,#181787); #159368=AXIS2_PLACEMENT_3D('',#226154,#181788,#181789); #159369=AXIS2_PLACEMENT_3D('',#226157,#181791,#181792); #159370=AXIS2_PLACEMENT_3D('',#226158,#181793,#181794); #159371=AXIS2_PLACEMENT_3D('',#226160,#181795,#181796); #159372=AXIS2_PLACEMENT_3D('',#226163,#181798,#181799); #159373=AXIS2_PLACEMENT_3D('',#226164,#181800,#181801); #159374=AXIS2_PLACEMENT_3D('',#226166,#181802,#181803); #159375=AXIS2_PLACEMENT_3D('',#226169,#181805,#181806); #159376=AXIS2_PLACEMENT_3D('',#226170,#181807,#181808); #159377=AXIS2_PLACEMENT_3D('',#226171,#181809,#181810); #159378=AXIS2_PLACEMENT_3D('',#226172,#181811,#181812); #159379=AXIS2_PLACEMENT_3D('',#226173,#181813,#181814); #159380=AXIS2_PLACEMENT_3D('',#226174,#181815,#181816); #159381=AXIS2_PLACEMENT_3D('',#226177,#181817,#181818); #159382=AXIS2_PLACEMENT_3D('',#226181,#181820,#181821); #159383=AXIS2_PLACEMENT_3D('',#226183,#181823,#181824); #159384=AXIS2_PLACEMENT_3D('',#226185,#181825,#181826); #159385=AXIS2_PLACEMENT_3D('',#226188,#181828,#181829); #159386=AXIS2_PLACEMENT_3D('',#226189,#181830,#181831); #159387=AXIS2_PLACEMENT_3D('',#226195,#181835,#181836); #159388=AXIS2_PLACEMENT_3D('',#226197,#181837,#181838); #159389=AXIS2_PLACEMENT_3D('',#226200,#181840,#181841); #159390=AXIS2_PLACEMENT_3D('',#226201,#181842,#181843); #159391=AXIS2_PLACEMENT_3D('',#226203,#181844,#181845); #159392=AXIS2_PLACEMENT_3D('',#226206,#181847,#181848); #159393=AXIS2_PLACEMENT_3D('',#226207,#181849,#181850); #159394=AXIS2_PLACEMENT_3D('',#226213,#181854,#181855); #159395=AXIS2_PLACEMENT_3D('',#226219,#181859,#181860); #159396=AXIS2_PLACEMENT_3D('',#226225,#181864,#181865); #159397=AXIS2_PLACEMENT_3D('',#226227,#181866,#181867); #159398=AXIS2_PLACEMENT_3D('',#226230,#181869,#181870); #159399=AXIS2_PLACEMENT_3D('',#226231,#181871,#181872); #159400=AXIS2_PLACEMENT_3D('',#226237,#181876,#181877); #159401=AXIS2_PLACEMENT_3D('',#226243,#181881,#181882); #159402=AXIS2_PLACEMENT_3D('',#226245,#181883,#181884); #159403=AXIS2_PLACEMENT_3D('',#226248,#181886,#181887); #159404=AXIS2_PLACEMENT_3D('',#226249,#181888,#181889); #159405=AXIS2_PLACEMENT_3D('',#226251,#181890,#181891); #159406=AXIS2_PLACEMENT_3D('',#226254,#181893,#181894); #159407=AXIS2_PLACEMENT_3D('',#226255,#181895,#181896); #159408=AXIS2_PLACEMENT_3D('',#226257,#181897,#181898); #159409=AXIS2_PLACEMENT_3D('',#226260,#181900,#181901); #159410=AXIS2_PLACEMENT_3D('',#226261,#181902,#181903); #159411=AXIS2_PLACEMENT_3D('',#226267,#181907,#181908); #159412=AXIS2_PLACEMENT_3D('',#226269,#181909,#181910); #159413=AXIS2_PLACEMENT_3D('',#226272,#181912,#181913); #159414=AXIS2_PLACEMENT_3D('',#226273,#181914,#181915); #159415=AXIS2_PLACEMENT_3D('',#226275,#181916,#181917); #159416=AXIS2_PLACEMENT_3D('',#226278,#181919,#181920); #159417=AXIS2_PLACEMENT_3D('',#226279,#181921,#181922); #159418=AXIS2_PLACEMENT_3D('',#226281,#181923,#181924); #159419=AXIS2_PLACEMENT_3D('',#226284,#181926,#181927); #159420=AXIS2_PLACEMENT_3D('',#226285,#181928,#181929); #159421=AXIS2_PLACEMENT_3D('',#226291,#181933,#181934); #159422=AXIS2_PLACEMENT_3D('',#226297,#181938,#181939); #159423=AXIS2_PLACEMENT_3D('',#226303,#181943,#181944); #159424=AXIS2_PLACEMENT_3D('',#226305,#181945,#181946); #159425=AXIS2_PLACEMENT_3D('',#226308,#181948,#181949); #159426=AXIS2_PLACEMENT_3D('',#226309,#181950,#181951); #159427=AXIS2_PLACEMENT_3D('',#226315,#181955,#181956); #159428=AXIS2_PLACEMENT_3D('',#226317,#181957,#181958); #159429=AXIS2_PLACEMENT_3D('',#226320,#181960,#181961); #159430=AXIS2_PLACEMENT_3D('',#226321,#181962,#181963); #159431=AXIS2_PLACEMENT_3D('',#226327,#181967,#181968); #159432=AXIS2_PLACEMENT_3D('',#226333,#181972,#181973); #159433=AXIS2_PLACEMENT_3D('',#226339,#181977,#181978); #159434=AXIS2_PLACEMENT_3D('',#226345,#181982,#181983); #159435=AXIS2_PLACEMENT_3D('',#226348,#181986,#181987); #159436=AXIS2_PLACEMENT_3D('',#226349,#181988,#181989); #159437=AXIS2_PLACEMENT_3D('',#226352,#181990,#181991); #159438=AXIS2_PLACEMENT_3D('',#226356,#181993,#181994); #159439=AXIS2_PLACEMENT_3D('',#226358,#181996,#181997); #159440=AXIS2_PLACEMENT_3D('',#226360,#181998,#181999); #159441=AXIS2_PLACEMENT_3D('',#226363,#182001,#182002); #159442=AXIS2_PLACEMENT_3D('',#226364,#182003,#182004); #159443=AXIS2_PLACEMENT_3D('',#226370,#182008,#182009); #159444=AXIS2_PLACEMENT_3D('',#226376,#182013,#182014); #159445=AXIS2_PLACEMENT_3D('',#226382,#182018,#182019); #159446=AXIS2_PLACEMENT_3D('',#226388,#182023,#182024); #159447=AXIS2_PLACEMENT_3D('',#226394,#182028,#182029); #159448=AXIS2_PLACEMENT_3D('',#226400,#182033,#182034); #159449=AXIS2_PLACEMENT_3D('',#226406,#182038,#182039); #159450=AXIS2_PLACEMENT_3D('',#226412,#182043,#182044); #159451=AXIS2_PLACEMENT_3D('',#226418,#182048,#182049); #159452=AXIS2_PLACEMENT_3D('',#226424,#182053,#182054); #159453=AXIS2_PLACEMENT_3D('',#226427,#182057,#182058); #159454=AXIS2_PLACEMENT_3D('',#226436,#182063,#182064); #159455=AXIS2_PLACEMENT_3D('',#226442,#182068,#182069); #159456=AXIS2_PLACEMENT_3D('',#226448,#182073,#182074); #159457=AXIS2_PLACEMENT_3D('',#226454,#182078,#182079); #159458=AXIS2_PLACEMENT_3D('',#226460,#182083,#182084); #159459=AXIS2_PLACEMENT_3D('',#226466,#182088,#182089); #159460=AXIS2_PLACEMENT_3D('',#226472,#182093,#182094); #159461=AXIS2_PLACEMENT_3D('',#226478,#182098,#182099); #159462=AXIS2_PLACEMENT_3D('',#226484,#182103,#182104); #159463=AXIS2_PLACEMENT_3D('',#226490,#182108,#182109); #159464=AXIS2_PLACEMENT_3D('',#226496,#182113,#182114); #159465=AXIS2_PLACEMENT_3D('',#226502,#182118,#182119); #159466=AXIS2_PLACEMENT_3D('',#226508,#182123,#182124); #159467=AXIS2_PLACEMENT_3D('',#226514,#182128,#182129); #159468=AXIS2_PLACEMENT_3D('',#226520,#182133,#182134); #159469=AXIS2_PLACEMENT_3D('',#226526,#182138,#182139); #159470=AXIS2_PLACEMENT_3D('',#226532,#182143,#182144); #159471=AXIS2_PLACEMENT_3D('',#226538,#182148,#182149); #159472=AXIS2_PLACEMENT_3D('',#226544,#182153,#182154); #159473=AXIS2_PLACEMENT_3D('',#226550,#182158,#182159); #159474=AXIS2_PLACEMENT_3D('',#226556,#182163,#182164); #159475=AXIS2_PLACEMENT_3D('',#226562,#182168,#182169); #159476=AXIS2_PLACEMENT_3D('',#226568,#182173,#182174); #159477=AXIS2_PLACEMENT_3D('',#226570,#182175,#182176); #159478=AXIS2_PLACEMENT_3D('',#226573,#182178,#182179); #159479=AXIS2_PLACEMENT_3D('',#226574,#182180,#182181); #159480=AXIS2_PLACEMENT_3D('',#226580,#182185,#182186); #159481=AXIS2_PLACEMENT_3D('',#226586,#182190,#182191); #159482=AXIS2_PLACEMENT_3D('',#226592,#182195,#182196); #159483=AXIS2_PLACEMENT_3D('',#226598,#182200,#182201); #159484=AXIS2_PLACEMENT_3D('',#226604,#182205,#182206); #159485=AXIS2_PLACEMENT_3D('',#226610,#182210,#182211); #159486=AXIS2_PLACEMENT_3D('',#226616,#182215,#182216); #159487=AXIS2_PLACEMENT_3D('',#226622,#182220,#182221); #159488=AXIS2_PLACEMENT_3D('',#226628,#182225,#182226); #159489=AXIS2_PLACEMENT_3D('',#226634,#182230,#182231); #159490=AXIS2_PLACEMENT_3D('',#226640,#182235,#182236); #159491=AXIS2_PLACEMENT_3D('',#226646,#182240,#182241); #159492=AXIS2_PLACEMENT_3D('',#226652,#182245,#182246); #159493=AXIS2_PLACEMENT_3D('',#226658,#182250,#182251); #159494=AXIS2_PLACEMENT_3D('',#226664,#182255,#182256); #159495=AXIS2_PLACEMENT_3D('',#226670,#182260,#182261); #159496=AXIS2_PLACEMENT_3D('',#226676,#182265,#182266); #159497=AXIS2_PLACEMENT_3D('',#226682,#182270,#182271); #159498=AXIS2_PLACEMENT_3D('',#226688,#182275,#182276); #159499=AXIS2_PLACEMENT_3D('',#226694,#182280,#182281); #159500=AXIS2_PLACEMENT_3D('',#226700,#182285,#182286); #159501=AXIS2_PLACEMENT_3D('',#226706,#182290,#182291); #159502=AXIS2_PLACEMENT_3D('',#226712,#182295,#182296); #159503=AXIS2_PLACEMENT_3D('',#226714,#182297,#182298); #159504=AXIS2_PLACEMENT_3D('',#226717,#182300,#182301); #159505=AXIS2_PLACEMENT_3D('',#226718,#182302,#182303); #159506=AXIS2_PLACEMENT_3D('',#226724,#182307,#182308); #159507=AXIS2_PLACEMENT_3D('',#226730,#182312,#182313); #159508=AXIS2_PLACEMENT_3D('',#226736,#182317,#182318); #159509=AXIS2_PLACEMENT_3D('',#226742,#182322,#182323); #159510=AXIS2_PLACEMENT_3D('',#226744,#182324,#182325); #159511=AXIS2_PLACEMENT_3D('',#226747,#182327,#182328); #159512=AXIS2_PLACEMENT_3D('',#226748,#182329,#182330); #159513=AXIS2_PLACEMENT_3D('',#226750,#182331,#182332); #159514=AXIS2_PLACEMENT_3D('',#226753,#182334,#182335); #159515=AXIS2_PLACEMENT_3D('',#226754,#182336,#182337); #159516=AXIS2_PLACEMENT_3D('',#226760,#182341,#182342); #159517=AXIS2_PLACEMENT_3D('',#226766,#182346,#182347); #159518=AXIS2_PLACEMENT_3D('',#226772,#182351,#182352); #159519=AXIS2_PLACEMENT_3D('',#226778,#182356,#182357); #159520=AXIS2_PLACEMENT_3D('',#226784,#182361,#182362); #159521=AXIS2_PLACEMENT_3D('',#226790,#182366,#182367); #159522=AXIS2_PLACEMENT_3D('',#226796,#182371,#182372); #159523=AXIS2_PLACEMENT_3D('',#226802,#182376,#182377); #159524=AXIS2_PLACEMENT_3D('',#226808,#182381,#182382); #159525=AXIS2_PLACEMENT_3D('',#226814,#182386,#182387); #159526=AXIS2_PLACEMENT_3D('',#226820,#182391,#182392); #159527=AXIS2_PLACEMENT_3D('',#226826,#182396,#182397); #159528=AXIS2_PLACEMENT_3D('',#226832,#182401,#182402); #159529=AXIS2_PLACEMENT_3D('',#226838,#182406,#182407); #159530=AXIS2_PLACEMENT_3D('',#226844,#182411,#182412); #159531=AXIS2_PLACEMENT_3D('',#226850,#182416,#182417); #159532=AXIS2_PLACEMENT_3D('',#226856,#182421,#182422); #159533=AXIS2_PLACEMENT_3D('',#226862,#182426,#182427); #159534=AXIS2_PLACEMENT_3D('',#226868,#182431,#182432); #159535=AXIS2_PLACEMENT_3D('',#226874,#182436,#182437); #159536=AXIS2_PLACEMENT_3D('',#226880,#182441,#182442); #159537=AXIS2_PLACEMENT_3D('',#226886,#182446,#182447); #159538=AXIS2_PLACEMENT_3D('',#226892,#182451,#182452); #159539=AXIS2_PLACEMENT_3D('',#226898,#182456,#182457); #159540=AXIS2_PLACEMENT_3D('',#226900,#182458,#182459); #159541=AXIS2_PLACEMENT_3D('',#226903,#182461,#182462); #159542=AXIS2_PLACEMENT_3D('',#226904,#182463,#182464); #159543=AXIS2_PLACEMENT_3D('',#226910,#182468,#182469); #159544=AXIS2_PLACEMENT_3D('',#226916,#182473,#182474); #159545=AXIS2_PLACEMENT_3D('',#226922,#182478,#182479); #159546=AXIS2_PLACEMENT_3D('',#226928,#182483,#182484); #159547=AXIS2_PLACEMENT_3D('',#226934,#182488,#182489); #159548=AXIS2_PLACEMENT_3D('',#226940,#182493,#182494); #159549=AXIS2_PLACEMENT_3D('',#226946,#182498,#182499); #159550=AXIS2_PLACEMENT_3D('',#226952,#182503,#182504); #159551=AXIS2_PLACEMENT_3D('',#226958,#182508,#182509); #159552=AXIS2_PLACEMENT_3D('',#226964,#182513,#182514); #159553=AXIS2_PLACEMENT_3D('',#226970,#182518,#182519); #159554=AXIS2_PLACEMENT_3D('',#226976,#182523,#182524); #159555=AXIS2_PLACEMENT_3D('',#226982,#182528,#182529); #159556=AXIS2_PLACEMENT_3D('',#226988,#182533,#182534); #159557=AXIS2_PLACEMENT_3D('',#226994,#182538,#182539); #159558=AXIS2_PLACEMENT_3D('',#227000,#182543,#182544); #159559=AXIS2_PLACEMENT_3D('',#227006,#182548,#182549); #159560=AXIS2_PLACEMENT_3D('',#227012,#182553,#182554); #159561=AXIS2_PLACEMENT_3D('',#227018,#182558,#182559); #159562=AXIS2_PLACEMENT_3D('',#227024,#182563,#182564); #159563=AXIS2_PLACEMENT_3D('',#227030,#182568,#182569); #159564=AXIS2_PLACEMENT_3D('',#227036,#182573,#182574); #159565=AXIS2_PLACEMENT_3D('',#227042,#182578,#182579); #159566=AXIS2_PLACEMENT_3D('',#227044,#182580,#182581); #159567=AXIS2_PLACEMENT_3D('',#227047,#182583,#182584); #159568=AXIS2_PLACEMENT_3D('',#227048,#182585,#182586); #159569=AXIS2_PLACEMENT_3D('',#227050,#182587,#182588); #159570=AXIS2_PLACEMENT_3D('',#227053,#182590,#182591); #159571=AXIS2_PLACEMENT_3D('',#227054,#182592,#182593); #159572=AXIS2_PLACEMENT_3D('',#227060,#182597,#182598); #159573=AXIS2_PLACEMENT_3D('',#227066,#182602,#182603); #159574=AXIS2_PLACEMENT_3D('',#227072,#182607,#182608); #159575=AXIS2_PLACEMENT_3D('',#227078,#182612,#182613); #159576=AXIS2_PLACEMENT_3D('',#227084,#182617,#182618); #159577=AXIS2_PLACEMENT_3D('',#227086,#182619,#182620); #159578=AXIS2_PLACEMENT_3D('',#227089,#182622,#182623); #159579=AXIS2_PLACEMENT_3D('',#227090,#182624,#182625); #159580=AXIS2_PLACEMENT_3D('',#227096,#182629,#182630); #159581=AXIS2_PLACEMENT_3D('',#227102,#182634,#182635); #159582=AXIS2_PLACEMENT_3D('',#227108,#182639,#182640); #159583=AXIS2_PLACEMENT_3D('',#227114,#182644,#182645); #159584=AXIS2_PLACEMENT_3D('',#227120,#182649,#182650); #159585=AXIS2_PLACEMENT_3D('',#227126,#182654,#182655); #159586=AXIS2_PLACEMENT_3D('',#227132,#182659,#182660); #159587=AXIS2_PLACEMENT_3D('',#227138,#182664,#182665); #159588=AXIS2_PLACEMENT_3D('',#227140,#182666,#182667); #159589=AXIS2_PLACEMENT_3D('',#227143,#182669,#182670); #159590=AXIS2_PLACEMENT_3D('',#227144,#182671,#182672); #159591=AXIS2_PLACEMENT_3D('',#227146,#182673,#182674); #159592=AXIS2_PLACEMENT_3D('',#227149,#182676,#182677); #159593=AXIS2_PLACEMENT_3D('',#227150,#182678,#182679); #159594=AXIS2_PLACEMENT_3D('',#227156,#182683,#182684); #159595=AXIS2_PLACEMENT_3D('',#227162,#182688,#182689); #159596=AXIS2_PLACEMENT_3D('',#227168,#182693,#182694); #159597=AXIS2_PLACEMENT_3D('',#227174,#182698,#182699); #159598=AXIS2_PLACEMENT_3D('',#227180,#182703,#182704); #159599=AXIS2_PLACEMENT_3D('',#227186,#182708,#182709); #159600=AXIS2_PLACEMENT_3D('',#227192,#182713,#182714); #159601=AXIS2_PLACEMENT_3D('',#227198,#182718,#182719); #159602=AXIS2_PLACEMENT_3D('',#227204,#182723,#182724); #159603=AXIS2_PLACEMENT_3D('',#227210,#182728,#182729); #159604=AXIS2_PLACEMENT_3D('',#227216,#182733,#182734); #159605=AXIS2_PLACEMENT_3D('',#227222,#182738,#182739); #159606=AXIS2_PLACEMENT_3D('',#227228,#182743,#182744); #159607=AXIS2_PLACEMENT_3D('',#227230,#182745,#182746); #159608=AXIS2_PLACEMENT_3D('',#227233,#182748,#182749); #159609=AXIS2_PLACEMENT_3D('',#227234,#182750,#182751); #159610=AXIS2_PLACEMENT_3D('',#227240,#182755,#182756); #159611=AXIS2_PLACEMENT_3D('',#227246,#182760,#182761); #159612=AXIS2_PLACEMENT_3D('',#227252,#182765,#182766); #159613=AXIS2_PLACEMENT_3D('',#227258,#182770,#182771); #159614=AXIS2_PLACEMENT_3D('',#227264,#182775,#182776); #159615=AXIS2_PLACEMENT_3D('',#227270,#182780,#182781); #159616=AXIS2_PLACEMENT_3D('',#227276,#182785,#182786); #159617=AXIS2_PLACEMENT_3D('',#227282,#182790,#182791); #159618=AXIS2_PLACEMENT_3D('',#227285,#182794,#182795); #159619=AXIS2_PLACEMENT_3D('',#227286,#182796,#182797); #159620=AXIS2_PLACEMENT_3D('',#227289,#182798,#182799); #159621=AXIS2_PLACEMENT_3D('',#227293,#182801,#182802); #159622=AXIS2_PLACEMENT_3D('',#227295,#182804,#182805); #159623=AXIS2_PLACEMENT_3D('',#227301,#182809,#182810); #159624=AXIS2_PLACEMENT_3D('',#227303,#182811,#182812); #159625=AXIS2_PLACEMENT_3D('',#227306,#182814,#182815); #159626=AXIS2_PLACEMENT_3D('',#227307,#182816,#182817); #159627=AXIS2_PLACEMENT_3D('',#227309,#182818,#182819); #159628=AXIS2_PLACEMENT_3D('',#227312,#182821,#182822); #159629=AXIS2_PLACEMENT_3D('',#227313,#182823,#182824); #159630=AXIS2_PLACEMENT_3D('',#227315,#182825,#182826); #159631=AXIS2_PLACEMENT_3D('',#227318,#182828,#182829); #159632=AXIS2_PLACEMENT_3D('',#227319,#182830,#182831); #159633=AXIS2_PLACEMENT_3D('',#227325,#182835,#182836); #159634=AXIS2_PLACEMENT_3D('',#227331,#182840,#182841); #159635=AXIS2_PLACEMENT_3D('',#227337,#182845,#182846); #159636=AXIS2_PLACEMENT_3D('',#227343,#182850,#182851); #159637=AXIS2_PLACEMENT_3D('',#227349,#182855,#182856); #159638=AXIS2_PLACEMENT_3D('',#227351,#182857,#182858); #159639=AXIS2_PLACEMENT_3D('',#227354,#182860,#182861); #159640=AXIS2_PLACEMENT_3D('',#227355,#182862,#182863); #159641=AXIS2_PLACEMENT_3D('',#227357,#182864,#182865); #159642=AXIS2_PLACEMENT_3D('',#227360,#182867,#182868); #159643=AXIS2_PLACEMENT_3D('',#227361,#182869,#182870); #159644=AXIS2_PLACEMENT_3D('',#227367,#182874,#182875); #159645=AXIS2_PLACEMENT_3D('',#227373,#182879,#182880); #159646=AXIS2_PLACEMENT_3D('',#227379,#182884,#182885); #159647=AXIS2_PLACEMENT_3D('',#227385,#182889,#182890); #159648=AXIS2_PLACEMENT_3D('',#227391,#182894,#182895); #159649=AXIS2_PLACEMENT_3D('',#227397,#182899,#182900); #159650=AXIS2_PLACEMENT_3D('',#227403,#182904,#182905); #159651=AXIS2_PLACEMENT_3D('',#227409,#182909,#182910); #159652=AXIS2_PLACEMENT_3D('',#227411,#182911,#182912); #159653=AXIS2_PLACEMENT_3D('',#227414,#182914,#182915); #159654=AXIS2_PLACEMENT_3D('',#227415,#182916,#182917); #159655=AXIS2_PLACEMENT_3D('',#227421,#182921,#182922); #159656=AXIS2_PLACEMENT_3D('',#227423,#182923,#182924); #159657=AXIS2_PLACEMENT_3D('',#227426,#182926,#182927); #159658=AXIS2_PLACEMENT_3D('',#227427,#182928,#182929); #159659=AXIS2_PLACEMENT_3D('',#227433,#182933,#182934); #159660=AXIS2_PLACEMENT_3D('',#227439,#182938,#182939); #159661=AXIS2_PLACEMENT_3D('',#227445,#182943,#182944); #159662=AXIS2_PLACEMENT_3D('',#227447,#182945,#182946); #159663=AXIS2_PLACEMENT_3D('',#227450,#182948,#182949); #159664=AXIS2_PLACEMENT_3D('',#227451,#182950,#182951); #159665=AXIS2_PLACEMENT_3D('',#227457,#182955,#182956); #159666=AXIS2_PLACEMENT_3D('',#227463,#182960,#182961); #159667=AXIS2_PLACEMENT_3D('',#227469,#182965,#182966); #159668=AXIS2_PLACEMENT_3D('',#227475,#182970,#182971); #159669=AXIS2_PLACEMENT_3D('',#227481,#182975,#182976); #159670=AXIS2_PLACEMENT_3D('',#227483,#182977,#182978); #159671=AXIS2_PLACEMENT_3D('',#227486,#182980,#182981); #159672=AXIS2_PLACEMENT_3D('',#227487,#182982,#182983); #159673=AXIS2_PLACEMENT_3D('',#227489,#182984,#182985); #159674=AXIS2_PLACEMENT_3D('',#227492,#182987,#182988); #159675=AXIS2_PLACEMENT_3D('',#227493,#182989,#182990); #159676=AXIS2_PLACEMENT_3D('',#227499,#182994,#182995); #159677=AXIS2_PLACEMENT_3D('',#227505,#182999,#183000); #159678=AXIS2_PLACEMENT_3D('',#227511,#183004,#183005); #159679=AXIS2_PLACEMENT_3D('',#227517,#183009,#183010); #159680=AXIS2_PLACEMENT_3D('',#227523,#183014,#183015); #159681=AXIS2_PLACEMENT_3D('',#227529,#183019,#183020); #159682=AXIS2_PLACEMENT_3D('',#227531,#183021,#183022); #159683=AXIS2_PLACEMENT_3D('',#227534,#183024,#183025); #159684=AXIS2_PLACEMENT_3D('',#227535,#183026,#183027); #159685=AXIS2_PLACEMENT_3D('',#227541,#183031,#183032); #159686=AXIS2_PLACEMENT_3D('',#227547,#183036,#183037); #159687=AXIS2_PLACEMENT_3D('',#227549,#183038,#183039); #159688=AXIS2_PLACEMENT_3D('',#227552,#183041,#183042); #159689=AXIS2_PLACEMENT_3D('',#227553,#183043,#183044); #159690=AXIS2_PLACEMENT_3D('',#227559,#183048,#183049); #159691=AXIS2_PLACEMENT_3D('',#227565,#183053,#183054); #159692=AXIS2_PLACEMENT_3D('',#227571,#183058,#183059); #159693=AXIS2_PLACEMENT_3D('',#227577,#183063,#183064); #159694=AXIS2_PLACEMENT_3D('',#227583,#183068,#183069); #159695=AXIS2_PLACEMENT_3D('',#227589,#183073,#183074); #159696=AXIS2_PLACEMENT_3D('',#227595,#183078,#183079); #159697=AXIS2_PLACEMENT_3D('',#227601,#183083,#183084); #159698=AXIS2_PLACEMENT_3D('',#227607,#183088,#183089); #159699=AXIS2_PLACEMENT_3D('',#227613,#183093,#183094); #159700=AXIS2_PLACEMENT_3D('',#227619,#183098,#183099); #159701=AXIS2_PLACEMENT_3D('',#227625,#183103,#183104); #159702=AXIS2_PLACEMENT_3D('',#227631,#183108,#183109); #159703=AXIS2_PLACEMENT_3D('',#227637,#183113,#183114); #159704=AXIS2_PLACEMENT_3D('',#227643,#183118,#183119); #159705=AXIS2_PLACEMENT_3D('',#227649,#183123,#183124); #159706=AXIS2_PLACEMENT_3D('',#227655,#183128,#183129); #159707=AXIS2_PLACEMENT_3D('',#227661,#183133,#183134); #159708=AXIS2_PLACEMENT_3D('',#227667,#183138,#183139); #159709=AXIS2_PLACEMENT_3D('',#227673,#183143,#183144); #159710=AXIS2_PLACEMENT_3D('',#227679,#183148,#183149); #159711=AXIS2_PLACEMENT_3D('',#227685,#183153,#183154); #159712=AXIS2_PLACEMENT_3D('',#227691,#183158,#183159); #159713=AXIS2_PLACEMENT_3D('',#227697,#183163,#183164); #159714=AXIS2_PLACEMENT_3D('',#227699,#183165,#183166); #159715=AXIS2_PLACEMENT_3D('',#227702,#183168,#183169); #159716=AXIS2_PLACEMENT_3D('',#227703,#183170,#183171); #159717=AXIS2_PLACEMENT_3D('',#227705,#183172,#183173); #159718=AXIS2_PLACEMENT_3D('',#227708,#183175,#183176); #159719=AXIS2_PLACEMENT_3D('',#227709,#183177,#183178); #159720=AXIS2_PLACEMENT_3D('',#227715,#183182,#183183); #159721=AXIS2_PLACEMENT_3D('',#227721,#183187,#183188); #159722=AXIS2_PLACEMENT_3D('',#227727,#183192,#183193); #159723=AXIS2_PLACEMENT_3D('',#227733,#183197,#183198); #159724=AXIS2_PLACEMENT_3D('',#227739,#183202,#183203); #159725=AXIS2_PLACEMENT_3D('',#227745,#183207,#183208); #159726=AXIS2_PLACEMENT_3D('',#227751,#183212,#183213); #159727=AXIS2_PLACEMENT_3D('',#227757,#183217,#183218); #159728=AXIS2_PLACEMENT_3D('',#227763,#183222,#183223); #159729=AXIS2_PLACEMENT_3D('',#227769,#183227,#183228); #159730=AXIS2_PLACEMENT_3D('',#227771,#183229,#183230); #159731=AXIS2_PLACEMENT_3D('',#227774,#183232,#183233); #159732=AXIS2_PLACEMENT_3D('',#227775,#183234,#183235); #159733=AXIS2_PLACEMENT_3D('',#227781,#183239,#183240); #159734=AXIS2_PLACEMENT_3D('',#227787,#183244,#183245); #159735=AXIS2_PLACEMENT_3D('',#227793,#183249,#183250); #159736=AXIS2_PLACEMENT_3D('',#227799,#183254,#183255); #159737=AXIS2_PLACEMENT_3D('',#227805,#183259,#183260); #159738=AXIS2_PLACEMENT_3D('',#227811,#183264,#183265); #159739=AXIS2_PLACEMENT_3D('',#227817,#183269,#183270); #159740=AXIS2_PLACEMENT_3D('',#227823,#183274,#183275); #159741=AXIS2_PLACEMENT_3D('',#227829,#183279,#183280); #159742=AXIS2_PLACEMENT_3D('',#227835,#183284,#183285); #159743=AXIS2_PLACEMENT_3D('',#227841,#183289,#183290); #159744=AXIS2_PLACEMENT_3D('',#227843,#183291,#183292); #159745=AXIS2_PLACEMENT_3D('',#227846,#183294,#183295); #159746=AXIS2_PLACEMENT_3D('',#227847,#183296,#183297); #159747=AXIS2_PLACEMENT_3D('',#227849,#183298,#183299); #159748=AXIS2_PLACEMENT_3D('',#227852,#183301,#183302); #159749=AXIS2_PLACEMENT_3D('',#227853,#183303,#183304); #159750=AXIS2_PLACEMENT_3D('',#227859,#183308,#183309); #159751=AXIS2_PLACEMENT_3D('',#227865,#183313,#183314); #159752=AXIS2_PLACEMENT_3D('',#227871,#183318,#183319); #159753=AXIS2_PLACEMENT_3D('',#227877,#183323,#183324); #159754=AXIS2_PLACEMENT_3D('',#227879,#183325,#183326); #159755=AXIS2_PLACEMENT_3D('',#227882,#183328,#183329); #159756=AXIS2_PLACEMENT_3D('',#227883,#183330,#183331); #159757=AXIS2_PLACEMENT_3D('',#227889,#183335,#183336); #159758=AXIS2_PLACEMENT_3D('',#227895,#183340,#183341); #159759=AXIS2_PLACEMENT_3D('',#227901,#183345,#183346); #159760=AXIS2_PLACEMENT_3D('',#227907,#183350,#183351); #159761=AXIS2_PLACEMENT_3D('',#227913,#183355,#183356); #159762=AXIS2_PLACEMENT_3D('',#227919,#183360,#183361); #159763=AXIS2_PLACEMENT_3D('',#227925,#183365,#183366); #159764=AXIS2_PLACEMENT_3D('',#227931,#183370,#183371); #159765=AXIS2_PLACEMENT_3D('',#227933,#183372,#183373); #159766=AXIS2_PLACEMENT_3D('',#227936,#183375,#183376); #159767=AXIS2_PLACEMENT_3D('',#227937,#183377,#183378); #159768=AXIS2_PLACEMENT_3D('',#227943,#183382,#183383); #159769=AXIS2_PLACEMENT_3D('',#227945,#183384,#183385); #159770=AXIS2_PLACEMENT_3D('',#227948,#183387,#183388); #159771=AXIS2_PLACEMENT_3D('',#227949,#183389,#183390); #159772=AXIS2_PLACEMENT_3D('',#227955,#183394,#183395); #159773=AXIS2_PLACEMENT_3D('',#227961,#183399,#183400); #159774=AXIS2_PLACEMENT_3D('',#227967,#183404,#183405); #159775=AXIS2_PLACEMENT_3D('',#227973,#183409,#183410); #159776=AXIS2_PLACEMENT_3D('',#227979,#183414,#183415); #159777=AXIS2_PLACEMENT_3D('',#227985,#183419,#183420); #159778=AXIS2_PLACEMENT_3D('',#227991,#183424,#183425); #159779=AXIS2_PLACEMENT_3D('',#227997,#183429,#183430); #159780=AXIS2_PLACEMENT_3D('',#228003,#183434,#183435); #159781=AXIS2_PLACEMENT_3D('',#228009,#183439,#183440); #159782=AXIS2_PLACEMENT_3D('',#228015,#183444,#183445); #159783=AXIS2_PLACEMENT_3D('',#228017,#183446,#183447); #159784=AXIS2_PLACEMENT_3D('',#228020,#183449,#183450); #159785=AXIS2_PLACEMENT_3D('',#228021,#183451,#183452); #159786=AXIS2_PLACEMENT_3D('',#228027,#183456,#183457); #159787=AXIS2_PLACEMENT_3D('',#228033,#183461,#183462); #159788=AXIS2_PLACEMENT_3D('',#228035,#183463,#183464); #159789=AXIS2_PLACEMENT_3D('',#228038,#183466,#183467); #159790=AXIS2_PLACEMENT_3D('',#228039,#183468,#183469); #159791=AXIS2_PLACEMENT_3D('',#228041,#183470,#183471); #159792=AXIS2_PLACEMENT_3D('',#228044,#183473,#183474); #159793=AXIS2_PLACEMENT_3D('',#228045,#183475,#183476); #159794=AXIS2_PLACEMENT_3D('',#228047,#183477,#183478); #159795=AXIS2_PLACEMENT_3D('',#228050,#183480,#183481); #159796=AXIS2_PLACEMENT_3D('',#228051,#183482,#183483); #159797=AXIS2_PLACEMENT_3D('',#228057,#183487,#183488); #159798=AXIS2_PLACEMENT_3D('',#228063,#183492,#183493); #159799=AXIS2_PLACEMENT_3D('',#228069,#183497,#183498); #159800=AXIS2_PLACEMENT_3D('',#228071,#183499,#183500); #159801=AXIS2_PLACEMENT_3D('',#228074,#183502,#183503); #159802=AXIS2_PLACEMENT_3D('',#228075,#183504,#183505); #159803=AXIS2_PLACEMENT_3D('',#228076,#183506,#183507); #159804=AXIS2_PLACEMENT_3D('',#228077,#183508,#183509); #159805=AXIS2_PLACEMENT_3D('',#228078,#183510,#183511); #159806=AXIS2_PLACEMENT_3D('',#228079,#183512,#183513); #159807=AXIS2_PLACEMENT_3D('',#228082,#183514,#183515); #159808=AXIS2_PLACEMENT_3D('',#228086,#183517,#183518); #159809=AXIS2_PLACEMENT_3D('',#228088,#183520,#183521); #159810=AXIS2_PLACEMENT_3D('',#228090,#183522,#183523); #159811=AXIS2_PLACEMENT_3D('',#228093,#183525,#183526); #159812=AXIS2_PLACEMENT_3D('',#228094,#183527,#183528); #159813=AXIS2_PLACEMENT_3D('',#228096,#183529,#183530); #159814=AXIS2_PLACEMENT_3D('',#228099,#183532,#183533); #159815=AXIS2_PLACEMENT_3D('',#228100,#183534,#183535); #159816=AXIS2_PLACEMENT_3D('',#228102,#183536,#183537); #159817=AXIS2_PLACEMENT_3D('',#228105,#183539,#183540); #159818=AXIS2_PLACEMENT_3D('',#228106,#183541,#183542); #159819=AXIS2_PLACEMENT_3D('',#228108,#183543,#183544); #159820=AXIS2_PLACEMENT_3D('',#228111,#183546,#183547); #159821=AXIS2_PLACEMENT_3D('',#228112,#183548,#183549); #159822=AXIS2_PLACEMENT_3D('',#228118,#183553,#183554); #159823=AXIS2_PLACEMENT_3D('',#228120,#183555,#183556); #159824=AXIS2_PLACEMENT_3D('',#228123,#183558,#183559); #159825=AXIS2_PLACEMENT_3D('',#228124,#183560,#183561); #159826=AXIS2_PLACEMENT_3D('',#228126,#183562,#183563); #159827=AXIS2_PLACEMENT_3D('',#228129,#183565,#183566); #159828=AXIS2_PLACEMENT_3D('',#228130,#183567,#183568); #159829=AXIS2_PLACEMENT_3D('',#228132,#183569,#183570); #159830=AXIS2_PLACEMENT_3D('',#228135,#183572,#183573); #159831=AXIS2_PLACEMENT_3D('',#228136,#183574,#183575); #159832=AXIS2_PLACEMENT_3D('',#228138,#183576,#183577); #159833=AXIS2_PLACEMENT_3D('',#228141,#183579,#183580); #159834=AXIS2_PLACEMENT_3D('',#228142,#183581,#183582); #159835=AXIS2_PLACEMENT_3D('',#228144,#183583,#183584); #159836=AXIS2_PLACEMENT_3D('',#228147,#183586,#183587); #159837=AXIS2_PLACEMENT_3D('',#228148,#183588,#183589); #159838=AXIS2_PLACEMENT_3D('',#228154,#183593,#183594); #159839=AXIS2_PLACEMENT_3D('',#228156,#183595,#183596); #159840=AXIS2_PLACEMENT_3D('',#228159,#183598,#183599); #159841=AXIS2_PLACEMENT_3D('',#228160,#183600,#183601); #159842=AXIS2_PLACEMENT_3D('',#228166,#183605,#183606); #159843=AXIS2_PLACEMENT_3D('',#228172,#183610,#183611); #159844=AXIS2_PLACEMENT_3D('',#228178,#183615,#183616); #159845=AXIS2_PLACEMENT_3D('',#228180,#183617,#183618); #159846=AXIS2_PLACEMENT_3D('',#228183,#183620,#183621); #159847=AXIS2_PLACEMENT_3D('',#228184,#183622,#183623); #159848=AXIS2_PLACEMENT_3D('',#228186,#183624,#183625); #159849=AXIS2_PLACEMENT_3D('',#228189,#183627,#183628); #159850=AXIS2_PLACEMENT_3D('',#228190,#183629,#183630); #159851=AXIS2_PLACEMENT_3D('',#228192,#183631,#183632); #159852=AXIS2_PLACEMENT_3D('',#228195,#183634,#183635); #159853=AXIS2_PLACEMENT_3D('',#228196,#183636,#183637); #159854=AXIS2_PLACEMENT_3D('',#228198,#183638,#183639); #159855=AXIS2_PLACEMENT_3D('',#228201,#183641,#183642); #159856=AXIS2_PLACEMENT_3D('',#228202,#183643,#183644); #159857=AXIS2_PLACEMENT_3D('',#228204,#183645,#183646); #159858=AXIS2_PLACEMENT_3D('',#228207,#183648,#183649); #159859=AXIS2_PLACEMENT_3D('',#228208,#183650,#183651); #159860=AXIS2_PLACEMENT_3D('',#228210,#183652,#183653); #159861=AXIS2_PLACEMENT_3D('',#228213,#183655,#183656); #159862=AXIS2_PLACEMENT_3D('',#228214,#183657,#183658); #159863=AXIS2_PLACEMENT_3D('',#228220,#183662,#183663); #159864=AXIS2_PLACEMENT_3D('',#228226,#183667,#183668); #159865=AXIS2_PLACEMENT_3D('',#228228,#183669,#183670); #159866=AXIS2_PLACEMENT_3D('',#228231,#183672,#183673); #159867=AXIS2_PLACEMENT_3D('',#228232,#183674,#183675); #159868=AXIS2_PLACEMENT_3D('',#228238,#183679,#183680); #159869=AXIS2_PLACEMENT_3D('',#228240,#183681,#183682); #159870=AXIS2_PLACEMENT_3D('',#228243,#183684,#183685); #159871=AXIS2_PLACEMENT_3D('',#228244,#183686,#183687); #159872=AXIS2_PLACEMENT_3D('',#228246,#183688,#183689); #159873=AXIS2_PLACEMENT_3D('',#228249,#183691,#183692); #159874=AXIS2_PLACEMENT_3D('',#228250,#183693,#183694); #159875=AXIS2_PLACEMENT_3D('',#228252,#183695,#183696); #159876=AXIS2_PLACEMENT_3D('',#228255,#183698,#183699); #159877=AXIS2_PLACEMENT_3D('',#228256,#183700,#183701); #159878=AXIS2_PLACEMENT_3D('',#228258,#183702,#183703); #159879=AXIS2_PLACEMENT_3D('',#228261,#183705,#183706); #159880=AXIS2_PLACEMENT_3D('',#228262,#183707,#183708); #159881=AXIS2_PLACEMENT_3D('',#228268,#183712,#183713); #159882=AXIS2_PLACEMENT_3D('',#228270,#183714,#183715); #159883=AXIS2_PLACEMENT_3D('',#228273,#183717,#183718); #159884=AXIS2_PLACEMENT_3D('',#228274,#183719,#183720); #159885=AXIS2_PLACEMENT_3D('',#228280,#183724,#183725); #159886=AXIS2_PLACEMENT_3D('',#228282,#183726,#183727); #159887=AXIS2_PLACEMENT_3D('',#228285,#183729,#183730); #159888=AXIS2_PLACEMENT_3D('',#228286,#183731,#183732); #159889=AXIS2_PLACEMENT_3D('',#228288,#183733,#183734); #159890=AXIS2_PLACEMENT_3D('',#228291,#183736,#183737); #159891=AXIS2_PLACEMENT_3D('',#228292,#183738,#183739); #159892=AXIS2_PLACEMENT_3D('',#228298,#183743,#183744); #159893=AXIS2_PLACEMENT_3D('',#228300,#183745,#183746); #159894=AXIS2_PLACEMENT_3D('',#228303,#183748,#183749); #159895=AXIS2_PLACEMENT_3D('',#228304,#183750,#183751); #159896=AXIS2_PLACEMENT_3D('',#228305,#183752,#183753); #159897=AXIS2_PLACEMENT_3D('',#228306,#183754,#183755); #159898=AXIS2_PLACEMENT_3D('',#228307,#183756,#183757); #159899=AXIS2_PLACEMENT_3D('',#228308,#183758,#183759); #159900=AXIS2_PLACEMENT_3D('',#228317,#183764,#183765); #159901=AXIS2_PLACEMENT_3D('',#228319,#183766,#183767); #159902=AXIS2_PLACEMENT_3D('',#228322,#183769,#183770); #159903=AXIS2_PLACEMENT_3D('',#228323,#183771,#183772); #159904=AXIS2_PLACEMENT_3D('',#228325,#183773,#183774); #159905=AXIS2_PLACEMENT_3D('',#228328,#183776,#183777); #159906=AXIS2_PLACEMENT_3D('',#228329,#183778,#183779); #159907=AXIS2_PLACEMENT_3D('',#228335,#183783,#183784); #159908=AXIS2_PLACEMENT_3D('',#228341,#183788,#183789); #159909=AXIS2_PLACEMENT_3D('',#228347,#183793,#183794); #159910=AXIS2_PLACEMENT_3D('',#228353,#183798,#183799); #159911=AXIS2_PLACEMENT_3D('',#228359,#183803,#183804); #159912=AXIS2_PLACEMENT_3D('',#228365,#183808,#183809); #159913=AXIS2_PLACEMENT_3D('',#228371,#183813,#183814); #159914=AXIS2_PLACEMENT_3D('',#228377,#183818,#183819); #159915=AXIS2_PLACEMENT_3D('',#228383,#183823,#183824); #159916=AXIS2_PLACEMENT_3D('',#228385,#183825,#183826); #159917=AXIS2_PLACEMENT_3D('',#228388,#183828,#183829); #159918=AXIS2_PLACEMENT_3D('',#228389,#183830,#183831); #159919=AXIS2_PLACEMENT_3D('',#228395,#183835,#183836); #159920=AXIS2_PLACEMENT_3D('',#228401,#183840,#183841); #159921=AXIS2_PLACEMENT_3D('',#228407,#183845,#183846); #159922=AXIS2_PLACEMENT_3D('',#228413,#183850,#183851); #159923=AXIS2_PLACEMENT_3D('',#228419,#183855,#183856); #159924=AXIS2_PLACEMENT_3D('',#228425,#183860,#183861); #159925=AXIS2_PLACEMENT_3D('',#228431,#183865,#183866); #159926=AXIS2_PLACEMENT_3D('',#228437,#183870,#183871); #159927=AXIS2_PLACEMENT_3D('',#228443,#183875,#183876); #159928=AXIS2_PLACEMENT_3D('',#228446,#183879,#183880); #159929=AXIS2_PLACEMENT_3D('',#228455,#183885,#183886); #159930=AXIS2_PLACEMENT_3D('',#228461,#183890,#183891); #159931=AXIS2_PLACEMENT_3D('',#228463,#183892,#183893); #159932=AXIS2_PLACEMENT_3D('',#228466,#183895,#183896); #159933=AXIS2_PLACEMENT_3D('',#228467,#183897,#183898); #159934=AXIS2_PLACEMENT_3D('',#228473,#183902,#183903); #159935=AXIS2_PLACEMENT_3D('',#228479,#183907,#183908); #159936=AXIS2_PLACEMENT_3D('',#228485,#183912,#183913); #159937=AXIS2_PLACEMENT_3D('',#228491,#183917,#183918); #159938=AXIS2_PLACEMENT_3D('',#228497,#183922,#183923); #159939=AXIS2_PLACEMENT_3D('',#228503,#183927,#183928); #159940=AXIS2_PLACEMENT_3D('',#228509,#183932,#183933); #159941=AXIS2_PLACEMENT_3D('',#228515,#183937,#183938); #159942=AXIS2_PLACEMENT_3D('',#228517,#183939,#183940); #159943=AXIS2_PLACEMENT_3D('',#228520,#183942,#183943); #159944=AXIS2_PLACEMENT_3D('',#228521,#183944,#183945); #159945=AXIS2_PLACEMENT_3D('',#228527,#183949,#183950); #159946=AXIS2_PLACEMENT_3D('',#228533,#183954,#183955); #159947=AXIS2_PLACEMENT_3D('',#228539,#183959,#183960); #159948=AXIS2_PLACEMENT_3D('',#228541,#183961,#183962); #159949=AXIS2_PLACEMENT_3D('',#228544,#183964,#183965); #159950=AXIS2_PLACEMENT_3D('',#228545,#183966,#183967); #159951=AXIS2_PLACEMENT_3D('',#228547,#183968,#183969); #159952=AXIS2_PLACEMENT_3D('',#228550,#183971,#183972); #159953=AXIS2_PLACEMENT_3D('',#228551,#183973,#183974); #159954=AXIS2_PLACEMENT_3D('',#228557,#183978,#183979); #159955=AXIS2_PLACEMENT_3D('',#228559,#183980,#183981); #159956=AXIS2_PLACEMENT_3D('',#228562,#183983,#183984); #159957=AXIS2_PLACEMENT_3D('',#228563,#183985,#183986); #159958=AXIS2_PLACEMENT_3D('',#228569,#183990,#183991); #159959=AXIS2_PLACEMENT_3D('',#228575,#183995,#183996); #159960=AXIS2_PLACEMENT_3D('',#228581,#184000,#184001); #159961=AXIS2_PLACEMENT_3D('',#228583,#184002,#184003); #159962=AXIS2_PLACEMENT_3D('',#228586,#184005,#184006); #159963=AXIS2_PLACEMENT_3D('',#228587,#184007,#184008); #159964=AXIS2_PLACEMENT_3D('',#228593,#184012,#184013); #159965=AXIS2_PLACEMENT_3D('',#228599,#184017,#184018); #159966=AXIS2_PLACEMENT_3D('',#228605,#184022,#184023); #159967=AXIS2_PLACEMENT_3D('',#228611,#184027,#184028); #159968=AXIS2_PLACEMENT_3D('',#228613,#184029,#184030); #159969=AXIS2_PLACEMENT_3D('',#228616,#184032,#184033); #159970=AXIS2_PLACEMENT_3D('',#228617,#184034,#184035); #159971=AXIS2_PLACEMENT_3D('',#228619,#184036,#184037); #159972=AXIS2_PLACEMENT_3D('',#228622,#184039,#184040); #159973=AXIS2_PLACEMENT_3D('',#228623,#184041,#184042); #159974=AXIS2_PLACEMENT_3D('',#228629,#184046,#184047); #159975=AXIS2_PLACEMENT_3D('',#228635,#184051,#184052); #159976=AXIS2_PLACEMENT_3D('',#228641,#184056,#184057); #159977=AXIS2_PLACEMENT_3D('',#228647,#184061,#184062); #159978=AXIS2_PLACEMENT_3D('',#228653,#184066,#184067); #159979=AXIS2_PLACEMENT_3D('',#228659,#184071,#184072); #159980=AXIS2_PLACEMENT_3D('',#228661,#184073,#184074); #159981=AXIS2_PLACEMENT_3D('',#228664,#184076,#184077); #159982=AXIS2_PLACEMENT_3D('',#228665,#184078,#184079); #159983=AXIS2_PLACEMENT_3D('',#228671,#184083,#184084); #159984=AXIS2_PLACEMENT_3D('',#228677,#184088,#184089); #159985=AXIS2_PLACEMENT_3D('',#228683,#184093,#184094); #159986=AXIS2_PLACEMENT_3D('',#228689,#184098,#184099); #159987=AXIS2_PLACEMENT_3D('',#228691,#184100,#184101); #159988=AXIS2_PLACEMENT_3D('',#228694,#184103,#184104); #159989=AXIS2_PLACEMENT_3D('',#228695,#184105,#184106); #159990=AXIS2_PLACEMENT_3D('',#228701,#184110,#184111); #159991=AXIS2_PLACEMENT_3D('',#228703,#184112,#184113); #159992=AXIS2_PLACEMENT_3D('',#228706,#184115,#184116); #159993=AXIS2_PLACEMENT_3D('',#228707,#184117,#184118); #159994=AXIS2_PLACEMENT_3D('',#228713,#184122,#184123); #159995=AXIS2_PLACEMENT_3D('',#228719,#184127,#184128); #159996=AXIS2_PLACEMENT_3D('',#228721,#184129,#184130); #159997=AXIS2_PLACEMENT_3D('',#228724,#184132,#184133); #159998=AXIS2_PLACEMENT_3D('',#228725,#184134,#184135); #159999=AXIS2_PLACEMENT_3D('',#228727,#184136,#184137); #160000=AXIS2_PLACEMENT_3D('',#228730,#184139,#184140); #160001=AXIS2_PLACEMENT_3D('',#228731,#184141,#184142); #160002=AXIS2_PLACEMENT_3D('',#228737,#184146,#184147); #160003=AXIS2_PLACEMENT_3D('',#228743,#184151,#184152); #160004=AXIS2_PLACEMENT_3D('',#228749,#184156,#184157); #160005=AXIS2_PLACEMENT_3D('',#228755,#184161,#184162); #160006=AXIS2_PLACEMENT_3D('',#228757,#184163,#184164); #160007=AXIS2_PLACEMENT_3D('',#228760,#184166,#184167); #160008=AXIS2_PLACEMENT_3D('',#228761,#184168,#184169); #160009=AXIS2_PLACEMENT_3D('',#228767,#184173,#184174); #160010=AXIS2_PLACEMENT_3D('',#228773,#184178,#184179); #160011=AXIS2_PLACEMENT_3D('',#228779,#184183,#184184); #160012=AXIS2_PLACEMENT_3D('',#228785,#184188,#184189); #160013=AXIS2_PLACEMENT_3D('',#228791,#184193,#184194); #160014=AXIS2_PLACEMENT_3D('',#228797,#184198,#184199); #160015=AXIS2_PLACEMENT_3D('',#228803,#184203,#184204); #160016=AXIS2_PLACEMENT_3D('',#228809,#184208,#184209); #160017=AXIS2_PLACEMENT_3D('',#228815,#184213,#184214); #160018=AXIS2_PLACEMENT_3D('',#228821,#184218,#184219); #160019=AXIS2_PLACEMENT_3D('',#228827,#184223,#184224); #160020=AXIS2_PLACEMENT_3D('',#228833,#184228,#184229); #160021=AXIS2_PLACEMENT_3D('',#228839,#184233,#184234); #160022=AXIS2_PLACEMENT_3D('',#228845,#184238,#184239); #160023=AXIS2_PLACEMENT_3D('',#228851,#184243,#184244); #160024=AXIS2_PLACEMENT_3D('',#228857,#184248,#184249); #160025=AXIS2_PLACEMENT_3D('',#228859,#184250,#184251); #160026=AXIS2_PLACEMENT_3D('',#228862,#184253,#184254); #160027=AXIS2_PLACEMENT_3D('',#228863,#184255,#184256); #160028=AXIS2_PLACEMENT_3D('',#228869,#184260,#184261); #160029=AXIS2_PLACEMENT_3D('',#228871,#184262,#184263); #160030=AXIS2_PLACEMENT_3D('',#228874,#184265,#184266); #160031=AXIS2_PLACEMENT_3D('',#228875,#184267,#184268); #160032=AXIS2_PLACEMENT_3D('',#228881,#184272,#184273); #160033=AXIS2_PLACEMENT_3D('',#228887,#184277,#184278); #160034=AXIS2_PLACEMENT_3D('',#228893,#184282,#184283); #160035=AXIS2_PLACEMENT_3D('',#228899,#184287,#184288); #160036=AXIS2_PLACEMENT_3D('',#228901,#184289,#184290); #160037=AXIS2_PLACEMENT_3D('',#228904,#184292,#184293); #160038=AXIS2_PLACEMENT_3D('',#228905,#184294,#184295); #160039=AXIS2_PLACEMENT_3D('',#228907,#184296,#184297); #160040=AXIS2_PLACEMENT_3D('',#228910,#184299,#184300); #160041=AXIS2_PLACEMENT_3D('',#228911,#184301,#184302); #160042=AXIS2_PLACEMENT_3D('',#228917,#184306,#184307); #160043=AXIS2_PLACEMENT_3D('',#228923,#184311,#184312); #160044=AXIS2_PLACEMENT_3D('',#228929,#184316,#184317); #160045=AXIS2_PLACEMENT_3D('',#228935,#184321,#184322); #160046=AXIS2_PLACEMENT_3D('',#228941,#184326,#184327); #160047=AXIS2_PLACEMENT_3D('',#228943,#184328,#184329); #160048=AXIS2_PLACEMENT_3D('',#228946,#184331,#184332); #160049=AXIS2_PLACEMENT_3D('',#228947,#184333,#184334); #160050=AXIS2_PLACEMENT_3D('',#228953,#184338,#184339); #160051=AXIS2_PLACEMENT_3D('',#228959,#184343,#184344); #160052=AXIS2_PLACEMENT_3D('',#228965,#184348,#184349); #160053=AXIS2_PLACEMENT_3D('',#228971,#184353,#184354); #160054=AXIS2_PLACEMENT_3D('',#228973,#184355,#184356); #160055=AXIS2_PLACEMENT_3D('',#228976,#184358,#184359); #160056=AXIS2_PLACEMENT_3D('',#228977,#184360,#184361); #160057=AXIS2_PLACEMENT_3D('',#228979,#184362,#184363); #160058=AXIS2_PLACEMENT_3D('',#228982,#184365,#184366); #160059=AXIS2_PLACEMENT_3D('',#228983,#184367,#184368); #160060=AXIS2_PLACEMENT_3D('',#228989,#184372,#184373); #160061=AXIS2_PLACEMENT_3D('',#228995,#184377,#184378); #160062=AXIS2_PLACEMENT_3D('',#229001,#184382,#184383); #160063=AXIS2_PLACEMENT_3D('',#229007,#184387,#184388); #160064=AXIS2_PLACEMENT_3D('',#229009,#184389,#184390); #160065=AXIS2_PLACEMENT_3D('',#229012,#184392,#184393); #160066=AXIS2_PLACEMENT_3D('',#229013,#184394,#184395); #160067=AXIS2_PLACEMENT_3D('',#229019,#184399,#184400); #160068=AXIS2_PLACEMENT_3D('',#229021,#184401,#184402); #160069=AXIS2_PLACEMENT_3D('',#229024,#184404,#184405); #160070=AXIS2_PLACEMENT_3D('',#229025,#184406,#184407); #160071=AXIS2_PLACEMENT_3D('',#229031,#184411,#184412); #160072=AXIS2_PLACEMENT_3D('',#229037,#184416,#184417); #160073=AXIS2_PLACEMENT_3D('',#229043,#184421,#184422); #160074=AXIS2_PLACEMENT_3D('',#229049,#184426,#184427); #160075=AXIS2_PLACEMENT_3D('',#229055,#184431,#184432); #160076=AXIS2_PLACEMENT_3D('',#229057,#184433,#184434); #160077=AXIS2_PLACEMENT_3D('',#229060,#184436,#184437); #160078=AXIS2_PLACEMENT_3D('',#229061,#184438,#184439); #160079=AXIS2_PLACEMENT_3D('',#229063,#184440,#184441); #160080=AXIS2_PLACEMENT_3D('',#229066,#184443,#184444); #160081=AXIS2_PLACEMENT_3D('',#229067,#184445,#184446); #160082=AXIS2_PLACEMENT_3D('',#229073,#184450,#184451); #160083=AXIS2_PLACEMENT_3D('',#229079,#184455,#184456); #160084=AXIS2_PLACEMENT_3D('',#229085,#184460,#184461); #160085=AXIS2_PLACEMENT_3D('',#229091,#184465,#184466); #160086=AXIS2_PLACEMENT_3D('',#229097,#184470,#184471); #160087=AXIS2_PLACEMENT_3D('',#229103,#184475,#184476); #160088=AXIS2_PLACEMENT_3D('',#229109,#184480,#184481); #160089=AXIS2_PLACEMENT_3D('',#229115,#184485,#184486); #160090=AXIS2_PLACEMENT_3D('',#229121,#184490,#184491); #160091=AXIS2_PLACEMENT_3D('',#229127,#184495,#184496); #160092=AXIS2_PLACEMENT_3D('',#229129,#184497,#184498); #160093=AXIS2_PLACEMENT_3D('',#229132,#184500,#184501); #160094=AXIS2_PLACEMENT_3D('',#229133,#184502,#184503); #160095=AXIS2_PLACEMENT_3D('',#229135,#184504,#184505); #160096=AXIS2_PLACEMENT_3D('',#229138,#184507,#184508); #160097=AXIS2_PLACEMENT_3D('',#229139,#184509,#184510); #160098=AXIS2_PLACEMENT_3D('',#229145,#184514,#184515); #160099=AXIS2_PLACEMENT_3D('',#229151,#184519,#184520); #160100=AXIS2_PLACEMENT_3D('',#229157,#184524,#184525); #160101=AXIS2_PLACEMENT_3D('',#229163,#184529,#184530); #160102=AXIS2_PLACEMENT_3D('',#229169,#184534,#184535); #160103=AXIS2_PLACEMENT_3D('',#229175,#184539,#184540); #160104=AXIS2_PLACEMENT_3D('',#229178,#184543,#184544); #160105=AXIS2_PLACEMENT_3D('',#229179,#184545,#184546); #160106=AXIS2_PLACEMENT_3D('',#229182,#184547,#184548); #160107=AXIS2_PLACEMENT_3D('',#229186,#184550,#184551); #160108=AXIS2_PLACEMENT_3D('',#229188,#184553,#184554); #160109=AXIS2_PLACEMENT_3D('',#229190,#184555,#184556); #160110=AXIS2_PLACEMENT_3D('',#229193,#184558,#184559); #160111=AXIS2_PLACEMENT_3D('',#229194,#184560,#184561); #160112=AXIS2_PLACEMENT_3D('',#229200,#184565,#184566); #160113=AXIS2_PLACEMENT_3D('',#229202,#184567,#184568); #160114=AXIS2_PLACEMENT_3D('',#229205,#184570,#184571); #160115=AXIS2_PLACEMENT_3D('',#229206,#184572,#184573); #160116=AXIS2_PLACEMENT_3D('',#229207,#184574,#184575); #160117=AXIS2_PLACEMENT_3D('',#229208,#184576,#184577); #160118=AXIS2_PLACEMENT_3D('',#229209,#184578,#184579); #160119=AXIS2_PLACEMENT_3D('',#229218,#184584,#184585); #160120=AXIS2_PLACEMENT_3D('',#229220,#184586,#184587); #160121=AXIS2_PLACEMENT_3D('',#229223,#184589,#184590); #160122=AXIS2_PLACEMENT_3D('',#229224,#184591,#184592); #160123=AXIS2_PLACEMENT_3D('',#229230,#184596,#184597); #160124=AXIS2_PLACEMENT_3D('',#229236,#184601,#184602); #160125=AXIS2_PLACEMENT_3D('',#229242,#184606,#184607); #160126=AXIS2_PLACEMENT_3D('',#229248,#184611,#184612); #160127=AXIS2_PLACEMENT_3D('',#229250,#184613,#184614); #160128=AXIS2_PLACEMENT_3D('',#229253,#184616,#184617); #160129=AXIS2_PLACEMENT_3D('',#229254,#184618,#184619); #160130=AXIS2_PLACEMENT_3D('',#229260,#184623,#184624); #160131=AXIS2_PLACEMENT_3D('',#229266,#184628,#184629); #160132=AXIS2_PLACEMENT_3D('',#229272,#184633,#184634); #160133=AXIS2_PLACEMENT_3D('',#229278,#184638,#184639); #160134=AXIS2_PLACEMENT_3D('',#229284,#184643,#184644); #160135=AXIS2_PLACEMENT_3D('',#229286,#184645,#184646); #160136=AXIS2_PLACEMENT_3D('',#229289,#184648,#184649); #160137=AXIS2_PLACEMENT_3D('',#229290,#184650,#184651); #160138=AXIS2_PLACEMENT_3D('',#229296,#184655,#184656); #160139=AXIS2_PLACEMENT_3D('',#229302,#184660,#184661); #160140=AXIS2_PLACEMENT_3D('',#229308,#184665,#184666); #160141=AXIS2_PLACEMENT_3D('',#229314,#184670,#184671); #160142=AXIS2_PLACEMENT_3D('',#229320,#184675,#184676); #160143=AXIS2_PLACEMENT_3D('',#229326,#184680,#184681); #160144=AXIS2_PLACEMENT_3D('',#229332,#184685,#184686); #160145=AXIS2_PLACEMENT_3D('',#229338,#184690,#184691); #160146=AXIS2_PLACEMENT_3D('',#229344,#184695,#184696); #160147=AXIS2_PLACEMENT_3D('',#229350,#184700,#184701); #160148=AXIS2_PLACEMENT_3D('',#229356,#184705,#184706); #160149=AXIS2_PLACEMENT_3D('',#229362,#184710,#184711); #160150=AXIS2_PLACEMENT_3D('',#229364,#184712,#184713); #160151=AXIS2_PLACEMENT_3D('',#229367,#184715,#184716); #160152=AXIS2_PLACEMENT_3D('',#229368,#184717,#184718); #160153=AXIS2_PLACEMENT_3D('',#229370,#184719,#184720); #160154=AXIS2_PLACEMENT_3D('',#229373,#184722,#184723); #160155=AXIS2_PLACEMENT_3D('',#229374,#184724,#184725); #160156=AXIS2_PLACEMENT_3D('',#229380,#184729,#184730); #160157=AXIS2_PLACEMENT_3D('',#229386,#184734,#184735); #160158=AXIS2_PLACEMENT_3D('',#229392,#184739,#184740); #160159=AXIS2_PLACEMENT_3D('',#229398,#184744,#184745); #160160=AXIS2_PLACEMENT_3D('',#229400,#184746,#184747); #160161=AXIS2_PLACEMENT_3D('',#229403,#184749,#184750); #160162=AXIS2_PLACEMENT_3D('',#229404,#184751,#184752); #160163=AXIS2_PLACEMENT_3D('',#229406,#184753,#184754); #160164=AXIS2_PLACEMENT_3D('',#229409,#184756,#184757); #160165=AXIS2_PLACEMENT_3D('',#229410,#184758,#184759); #160166=AXIS2_PLACEMENT_3D('',#229412,#184760,#184761); #160167=AXIS2_PLACEMENT_3D('',#229415,#184763,#184764); #160168=AXIS2_PLACEMENT_3D('',#229416,#184765,#184766); #160169=AXIS2_PLACEMENT_3D('',#229418,#184767,#184768); #160170=AXIS2_PLACEMENT_3D('',#229421,#184770,#184771); #160171=AXIS2_PLACEMENT_3D('',#229422,#184772,#184773); #160172=AXIS2_PLACEMENT_3D('',#229424,#184774,#184775); #160173=AXIS2_PLACEMENT_3D('',#229427,#184777,#184778); #160174=AXIS2_PLACEMENT_3D('',#229428,#184779,#184780); #160175=AXIS2_PLACEMENT_3D('',#229430,#184781,#184782); #160176=AXIS2_PLACEMENT_3D('',#229433,#184784,#184785); #160177=AXIS2_PLACEMENT_3D('',#229434,#184786,#184787); #160178=AXIS2_PLACEMENT_3D('',#229440,#184791,#184792); #160179=AXIS2_PLACEMENT_3D('',#229446,#184796,#184797); #160180=AXIS2_PLACEMENT_3D('',#229452,#184801,#184802); #160181=AXIS2_PLACEMENT_3D('',#229458,#184806,#184807); #160182=AXIS2_PLACEMENT_3D('',#229460,#184808,#184809); #160183=AXIS2_PLACEMENT_3D('',#229463,#184811,#184812); #160184=AXIS2_PLACEMENT_3D('',#229464,#184813,#184814); #160185=AXIS2_PLACEMENT_3D('',#229466,#184815,#184816); #160186=AXIS2_PLACEMENT_3D('',#229469,#184818,#184819); #160187=AXIS2_PLACEMENT_3D('',#229470,#184820,#184821); #160188=AXIS2_PLACEMENT_3D('',#229476,#184825,#184826); #160189=AXIS2_PLACEMENT_3D('',#229482,#184830,#184831); #160190=AXIS2_PLACEMENT_3D('',#229488,#184835,#184836); #160191=AXIS2_PLACEMENT_3D('',#229494,#184840,#184841); #160192=AXIS2_PLACEMENT_3D('',#229500,#184845,#184846); #160193=AXIS2_PLACEMENT_3D('',#229506,#184850,#184851); #160194=AXIS2_PLACEMENT_3D('',#229512,#184855,#184856); #160195=AXIS2_PLACEMENT_3D('',#229518,#184860,#184861); #160196=AXIS2_PLACEMENT_3D('',#229524,#184865,#184866); #160197=AXIS2_PLACEMENT_3D('',#229530,#184870,#184871); #160198=AXIS2_PLACEMENT_3D('',#229536,#184875,#184876); #160199=AXIS2_PLACEMENT_3D('',#229542,#184880,#184881); #160200=AXIS2_PLACEMENT_3D('',#229548,#184885,#184886); #160201=AXIS2_PLACEMENT_3D('',#229551,#184889,#184890); #160202=AXIS2_PLACEMENT_3D('',#229552,#184891,#184892); #160203=AXIS2_PLACEMENT_3D('',#229561,#184897,#184898); #160204=AXIS2_PLACEMENT_3D('',#229567,#184902,#184903); #160205=AXIS2_PLACEMENT_3D('',#229573,#184907,#184908); #160206=AXIS2_PLACEMENT_3D('',#229579,#184912,#184913); #160207=AXIS2_PLACEMENT_3D('',#229585,#184917,#184918); #160208=AXIS2_PLACEMENT_3D('',#229591,#184922,#184923); #160209=AXIS2_PLACEMENT_3D('',#229592,#184924,#184925); #160210=AXIS2_PLACEMENT_3D('',#229593,#184926,#184927); #160211=AXIS2_PLACEMENT_3D('',#229594,#184928,#184929); #160212=AXIS2_PLACEMENT_3D('',#229595,#184930,#184931); #160213=AXIS2_PLACEMENT_3D('',#229598,#184932,#184933); #160214=AXIS2_PLACEMENT_3D('',#229602,#184935,#184936); #160215=AXIS2_PLACEMENT_3D('',#229604,#184938,#184939); #160216=AXIS2_PLACEMENT_3D('',#229606,#184940,#184941); #160217=AXIS2_PLACEMENT_3D('',#229609,#184943,#184944); #160218=AXIS2_PLACEMENT_3D('',#229610,#184945,#184946); #160219=AXIS2_PLACEMENT_3D('',#229616,#184950,#184951); #160220=AXIS2_PLACEMENT_3D('',#229622,#184955,#184956); #160221=AXIS2_PLACEMENT_3D('',#229628,#184960,#184961); #160222=AXIS2_PLACEMENT_3D('',#229634,#184965,#184966); #160223=AXIS2_PLACEMENT_3D('',#229640,#184970,#184971); #160224=AXIS2_PLACEMENT_3D('',#229646,#184975,#184976); #160225=AXIS2_PLACEMENT_3D('',#229652,#184980,#184981); #160226=AXIS2_PLACEMENT_3D('',#229658,#184985,#184986); #160227=AXIS2_PLACEMENT_3D('',#229664,#184990,#184991); #160228=AXIS2_PLACEMENT_3D('',#229670,#184995,#184996); #160229=AXIS2_PLACEMENT_3D('',#229676,#185000,#185001); #160230=AXIS2_PLACEMENT_3D('',#229682,#185005,#185006); #160231=AXIS2_PLACEMENT_3D('',#229688,#185010,#185011); #160232=AXIS2_PLACEMENT_3D('',#229694,#185015,#185016); #160233=AXIS2_PLACEMENT_3D('',#229700,#185020,#185021); #160234=AXIS2_PLACEMENT_3D('',#229706,#185025,#185026); #160235=AXIS2_PLACEMENT_3D('',#229712,#185030,#185031); #160236=AXIS2_PLACEMENT_3D('',#229718,#185035,#185036); #160237=AXIS2_PLACEMENT_3D('',#229724,#185040,#185041); #160238=AXIS2_PLACEMENT_3D('',#229730,#185045,#185046); #160239=AXIS2_PLACEMENT_3D('',#229736,#185050,#185051); #160240=AXIS2_PLACEMENT_3D('',#229742,#185055,#185056); #160241=AXIS2_PLACEMENT_3D('',#229748,#185060,#185061); #160242=AXIS2_PLACEMENT_3D('',#229750,#185062,#185063); #160243=AXIS2_PLACEMENT_3D('',#229753,#185065,#185066); #160244=AXIS2_PLACEMENT_3D('',#229754,#185067,#185068); #160245=AXIS2_PLACEMENT_3D('',#229760,#185072,#185073); #160246=AXIS2_PLACEMENT_3D('',#229766,#185077,#185078); #160247=AXIS2_PLACEMENT_3D('',#229772,#185082,#185083); #160248=AXIS2_PLACEMENT_3D('',#229778,#185087,#185088); #160249=AXIS2_PLACEMENT_3D('',#229784,#185092,#185093); #160250=AXIS2_PLACEMENT_3D('',#229790,#185097,#185098); #160251=AXIS2_PLACEMENT_3D('',#229796,#185102,#185103); #160252=AXIS2_PLACEMENT_3D('',#229802,#185107,#185108); #160253=AXIS2_PLACEMENT_3D('',#229808,#185112,#185113); #160254=AXIS2_PLACEMENT_3D('',#229814,#185117,#185118); #160255=AXIS2_PLACEMENT_3D('',#229820,#185122,#185123); #160256=AXIS2_PLACEMENT_3D('',#229826,#185127,#185128); #160257=AXIS2_PLACEMENT_3D('',#229832,#185132,#185133); #160258=AXIS2_PLACEMENT_3D('',#229838,#185137,#185138); #160259=AXIS2_PLACEMENT_3D('',#229844,#185142,#185143); #160260=AXIS2_PLACEMENT_3D('',#229850,#185147,#185148); #160261=AXIS2_PLACEMENT_3D('',#229856,#185152,#185153); #160262=AXIS2_PLACEMENT_3D('',#229862,#185157,#185158); #160263=AXIS2_PLACEMENT_3D('',#229868,#185162,#185163); #160264=AXIS2_PLACEMENT_3D('',#229874,#185167,#185168); #160265=AXIS2_PLACEMENT_3D('',#229876,#185169,#185170); #160266=AXIS2_PLACEMENT_3D('',#229879,#185172,#185173); #160267=AXIS2_PLACEMENT_3D('',#229880,#185174,#185175); #160268=AXIS2_PLACEMENT_3D('',#229886,#185179,#185180); #160269=AXIS2_PLACEMENT_3D('',#229892,#185184,#185185); #160270=AXIS2_PLACEMENT_3D('',#229898,#185189,#185190); #160271=AXIS2_PLACEMENT_3D('',#229900,#185191,#185192); #160272=AXIS2_PLACEMENT_3D('',#229903,#185194,#185195); #160273=AXIS2_PLACEMENT_3D('',#229904,#185196,#185197); #160274=AXIS2_PLACEMENT_3D('',#229910,#185201,#185202); #160275=AXIS2_PLACEMENT_3D('',#229912,#185203,#185204); #160276=AXIS2_PLACEMENT_3D('',#229915,#185206,#185207); #160277=AXIS2_PLACEMENT_3D('',#229916,#185208,#185209); #160278=AXIS2_PLACEMENT_3D('',#229918,#185210,#185211); #160279=AXIS2_PLACEMENT_3D('',#229921,#185213,#185214); #160280=AXIS2_PLACEMENT_3D('',#229922,#185215,#185216); #160281=AXIS2_PLACEMENT_3D('',#229928,#185220,#185221); #160282=AXIS2_PLACEMENT_3D('',#229934,#185225,#185226); #160283=AXIS2_PLACEMENT_3D('',#229940,#185230,#185231); #160284=AXIS2_PLACEMENT_3D('',#229946,#185235,#185236); #160285=AXIS2_PLACEMENT_3D('',#229952,#185240,#185241); #160286=AXIS2_PLACEMENT_3D('',#229954,#185242,#185243); #160287=AXIS2_PLACEMENT_3D('',#229957,#185245,#185246); #160288=AXIS2_PLACEMENT_3D('',#229958,#185247,#185248); #160289=AXIS2_PLACEMENT_3D('',#229960,#185249,#185250); #160290=AXIS2_PLACEMENT_3D('',#229963,#185252,#185253); #160291=AXIS2_PLACEMENT_3D('',#229964,#185254,#185255); #160292=AXIS2_PLACEMENT_3D('',#229970,#185259,#185260); #160293=AXIS2_PLACEMENT_3D('',#229976,#185264,#185265); #160294=AXIS2_PLACEMENT_3D('',#229982,#185269,#185270); #160295=AXIS2_PLACEMENT_3D('',#229988,#185274,#185275); #160296=AXIS2_PLACEMENT_3D('',#229990,#185276,#185277); #160297=AXIS2_PLACEMENT_3D('',#229993,#185279,#185280); #160298=AXIS2_PLACEMENT_3D('',#229994,#185281,#185282); #160299=AXIS2_PLACEMENT_3D('',#229996,#185283,#185284); #160300=AXIS2_PLACEMENT_3D('',#229999,#185286,#185287); #160301=AXIS2_PLACEMENT_3D('',#230000,#185288,#185289); #160302=AXIS2_PLACEMENT_3D('',#230006,#185293,#185294); #160303=AXIS2_PLACEMENT_3D('',#230012,#185298,#185299); #160304=AXIS2_PLACEMENT_3D('',#230018,#185303,#185304); #160305=AXIS2_PLACEMENT_3D('',#230024,#185308,#185309); #160306=AXIS2_PLACEMENT_3D('',#230030,#185313,#185314); #160307=AXIS2_PLACEMENT_3D('',#230036,#185318,#185319); #160308=AXIS2_PLACEMENT_3D('',#230042,#185323,#185324); #160309=AXIS2_PLACEMENT_3D('',#230048,#185328,#185329); #160310=AXIS2_PLACEMENT_3D('',#230054,#185333,#185334); #160311=AXIS2_PLACEMENT_3D('',#230060,#185338,#185339); #160312=AXIS2_PLACEMENT_3D('',#230066,#185343,#185344); #160313=AXIS2_PLACEMENT_3D('',#230072,#185348,#185349); #160314=AXIS2_PLACEMENT_3D('',#230078,#185353,#185354); #160315=AXIS2_PLACEMENT_3D('',#230080,#185355,#185356); #160316=AXIS2_PLACEMENT_3D('',#230083,#185358,#185359); #160317=AXIS2_PLACEMENT_3D('',#230084,#185360,#185361); #160318=AXIS2_PLACEMENT_3D('',#230090,#185365,#185366); #160319=AXIS2_PLACEMENT_3D('',#230096,#185370,#185371); #160320=AXIS2_PLACEMENT_3D('',#230102,#185375,#185376); #160321=AXIS2_PLACEMENT_3D('',#230108,#185380,#185381); #160322=AXIS2_PLACEMENT_3D('',#230114,#185385,#185386); #160323=AXIS2_PLACEMENT_3D('',#230120,#185390,#185391); #160324=AXIS2_PLACEMENT_3D('',#230126,#185395,#185396); #160325=AXIS2_PLACEMENT_3D('',#230132,#185400,#185401); #160326=AXIS2_PLACEMENT_3D('',#230138,#185405,#185406); #160327=AXIS2_PLACEMENT_3D('',#230144,#185410,#185411); #160328=AXIS2_PLACEMENT_3D('',#230150,#185415,#185416); #160329=AXIS2_PLACEMENT_3D('',#230156,#185420,#185421); #160330=AXIS2_PLACEMENT_3D('',#230162,#185425,#185426); #160331=AXIS2_PLACEMENT_3D('',#230168,#185430,#185431); #160332=AXIS2_PLACEMENT_3D('',#230174,#185435,#185436); #160333=AXIS2_PLACEMENT_3D('',#230180,#185440,#185441); #160334=AXIS2_PLACEMENT_3D('',#230182,#185442,#185443); #160335=AXIS2_PLACEMENT_3D('',#230185,#185445,#185446); #160336=AXIS2_PLACEMENT_3D('',#230186,#185447,#185448); #160337=AXIS2_PLACEMENT_3D('',#230192,#185452,#185453); #160338=AXIS2_PLACEMENT_3D('',#230198,#185457,#185458); #160339=AXIS2_PLACEMENT_3D('',#230204,#185462,#185463); #160340=AXIS2_PLACEMENT_3D('',#230210,#185467,#185468); #160341=AXIS2_PLACEMENT_3D('',#230216,#185472,#185473); #160342=AXIS2_PLACEMENT_3D('',#230222,#185477,#185478); #160343=AXIS2_PLACEMENT_3D('',#230228,#185482,#185483); #160344=AXIS2_PLACEMENT_3D('',#230234,#185487,#185488); #160345=AXIS2_PLACEMENT_3D('',#230236,#185489,#185490); #160346=AXIS2_PLACEMENT_3D('',#230239,#185492,#185493); #160347=AXIS2_PLACEMENT_3D('',#230240,#185494,#185495); #160348=AXIS2_PLACEMENT_3D('',#230246,#185499,#185500); #160349=AXIS2_PLACEMENT_3D('',#230252,#185504,#185505); #160350=AXIS2_PLACEMENT_3D('',#230258,#185509,#185510); #160351=AXIS2_PLACEMENT_3D('',#230264,#185514,#185515); #160352=AXIS2_PLACEMENT_3D('',#230270,#185519,#185520); #160353=AXIS2_PLACEMENT_3D('',#230272,#185521,#185522); #160354=AXIS2_PLACEMENT_3D('',#230275,#185524,#185525); #160355=AXIS2_PLACEMENT_3D('',#230276,#185526,#185527); #160356=AXIS2_PLACEMENT_3D('',#230282,#185531,#185532); #160357=AXIS2_PLACEMENT_3D('',#230288,#185536,#185537); #160358=AXIS2_PLACEMENT_3D('',#230294,#185541,#185542); #160359=AXIS2_PLACEMENT_3D('',#230300,#185546,#185547); #160360=AXIS2_PLACEMENT_3D('',#230306,#185551,#185552); #160361=AXIS2_PLACEMENT_3D('',#230309,#185555,#185556); #160362=AXIS2_PLACEMENT_3D('',#230310,#185557,#185558); #160363=AXIS2_PLACEMENT_3D('',#230313,#185559,#185560); #160364=AXIS2_PLACEMENT_3D('',#230317,#185562,#185563); #160365=AXIS2_PLACEMENT_3D('',#230319,#185565,#185566); #160366=AXIS2_PLACEMENT_3D('',#230325,#185570,#185571); #160367=AXIS2_PLACEMENT_3D('',#230331,#185575,#185576); #160368=AXIS2_PLACEMENT_3D('',#230337,#185580,#185581); #160369=AXIS2_PLACEMENT_3D('',#230343,#185585,#185586); #160370=AXIS2_PLACEMENT_3D('',#230349,#185590,#185591); #160371=AXIS2_PLACEMENT_3D('',#230351,#185592,#185593); #160372=AXIS2_PLACEMENT_3D('',#230354,#185595,#185596); #160373=AXIS2_PLACEMENT_3D('',#230355,#185597,#185598); #160374=AXIS2_PLACEMENT_3D('',#230357,#185599,#185600); #160375=AXIS2_PLACEMENT_3D('',#230360,#185602,#185603); #160376=AXIS2_PLACEMENT_3D('',#230361,#185604,#185605); #160377=AXIS2_PLACEMENT_3D('',#230367,#185609,#185610); #160378=AXIS2_PLACEMENT_3D('',#230373,#185614,#185615); #160379=AXIS2_PLACEMENT_3D('',#230379,#185619,#185620); #160380=AXIS2_PLACEMENT_3D('',#230382,#185623,#185624); #160381=AXIS2_PLACEMENT_3D('',#230385,#185625,#185626); #160382=AXIS2_PLACEMENT_3D('',#230389,#185628,#185629); #160383=AXIS2_PLACEMENT_3D('',#230391,#185631,#185632); #160384=AXIS2_PLACEMENT_3D('',#230397,#185636,#185637); #160385=AXIS2_PLACEMENT_3D('',#230403,#185641,#185642); #160386=AXIS2_PLACEMENT_3D('',#230409,#185646,#185647); #160387=AXIS2_PLACEMENT_3D('',#230415,#185651,#185652); #160388=AXIS2_PLACEMENT_3D('',#230421,#185656,#185657); #160389=AXIS2_PLACEMENT_3D('',#230427,#185661,#185662); #160390=AXIS2_PLACEMENT_3D('',#230433,#185666,#185667); #160391=AXIS2_PLACEMENT_3D('',#230439,#185671,#185672); #160392=AXIS2_PLACEMENT_3D('',#230445,#185676,#185677); #160393=AXIS2_PLACEMENT_3D('',#230451,#185681,#185682); #160394=AXIS2_PLACEMENT_3D('',#230457,#185686,#185687); #160395=AXIS2_PLACEMENT_3D('',#230459,#185688,#185689); #160396=AXIS2_PLACEMENT_3D('',#230462,#185691,#185692); #160397=AXIS2_PLACEMENT_3D('',#230463,#185693,#185694); #160398=AXIS2_PLACEMENT_3D('',#230469,#185698,#185699); #160399=AXIS2_PLACEMENT_3D('',#230475,#185703,#185704); #160400=AXIS2_PLACEMENT_3D('',#230481,#185708,#185709); #160401=AXIS2_PLACEMENT_3D('',#230487,#185713,#185714); #160402=AXIS2_PLACEMENT_3D('',#230493,#185718,#185719); #160403=AXIS2_PLACEMENT_3D('',#230499,#185723,#185724); #160404=AXIS2_PLACEMENT_3D('',#230501,#185725,#185726); #160405=AXIS2_PLACEMENT_3D('',#230504,#185728,#185729); #160406=AXIS2_PLACEMENT_3D('',#230505,#185730,#185731); #160407=AXIS2_PLACEMENT_3D('',#230511,#185735,#185736); #160408=AXIS2_PLACEMENT_3D('',#230517,#185740,#185741); #160409=AXIS2_PLACEMENT_3D('',#230523,#185745,#185746); #160410=AXIS2_PLACEMENT_3D('',#230529,#185750,#185751); #160411=AXIS2_PLACEMENT_3D('',#230535,#185755,#185756); #160412=AXIS2_PLACEMENT_3D('',#230541,#185760,#185761); #160413=AXIS2_PLACEMENT_3D('',#230547,#185765,#185766); #160414=AXIS2_PLACEMENT_3D('',#230553,#185770,#185771); #160415=AXIS2_PLACEMENT_3D('',#230559,#185775,#185776); #160416=AXIS2_PLACEMENT_3D('',#230565,#185780,#185781); #160417=AXIS2_PLACEMENT_3D('',#230571,#185785,#185786); #160418=AXIS2_PLACEMENT_3D('',#230577,#185790,#185791); #160419=AXIS2_PLACEMENT_3D('',#230583,#185795,#185796); #160420=AXIS2_PLACEMENT_3D('',#230589,#185800,#185801); #160421=AXIS2_PLACEMENT_3D('',#230595,#185805,#185806); #160422=AXIS2_PLACEMENT_3D('',#230601,#185810,#185811); #160423=AXIS2_PLACEMENT_3D('',#230607,#185815,#185816); #160424=AXIS2_PLACEMENT_3D('',#230613,#185820,#185821); #160425=AXIS2_PLACEMENT_3D('',#230619,#185825,#185826); #160426=AXIS2_PLACEMENT_3D('',#230625,#185830,#185831); #160427=AXIS2_PLACEMENT_3D('',#230631,#185835,#185836); #160428=AXIS2_PLACEMENT_3D('',#230637,#185840,#185841); #160429=AXIS2_PLACEMENT_3D('',#230643,#185845,#185846); #160430=AXIS2_PLACEMENT_3D('',#230649,#185850,#185851); #160431=AXIS2_PLACEMENT_3D('',#230651,#185852,#185853); #160432=AXIS2_PLACEMENT_3D('',#230654,#185855,#185856); #160433=AXIS2_PLACEMENT_3D('',#230655,#185857,#185858); #160434=AXIS2_PLACEMENT_3D('',#230661,#185862,#185863); #160435=AXIS2_PLACEMENT_3D('',#230667,#185867,#185868); #160436=AXIS2_PLACEMENT_3D('',#230673,#185872,#185873); #160437=AXIS2_PLACEMENT_3D('',#230679,#185877,#185878); #160438=AXIS2_PLACEMENT_3D('',#230685,#185882,#185883); #160439=AXIS2_PLACEMENT_3D('',#230691,#185887,#185888); #160440=AXIS2_PLACEMENT_3D('',#230697,#185892,#185893); #160441=AXIS2_PLACEMENT_3D('',#230703,#185897,#185898); #160442=AXIS2_PLACEMENT_3D('',#230709,#185902,#185903); #160443=AXIS2_PLACEMENT_3D('',#230715,#185907,#185908); #160444=AXIS2_PLACEMENT_3D('',#230721,#185912,#185913); #160445=AXIS2_PLACEMENT_3D('',#230727,#185917,#185918); #160446=AXIS2_PLACEMENT_3D('',#230733,#185922,#185923); #160447=AXIS2_PLACEMENT_3D('',#230739,#185927,#185928); #160448=AXIS2_PLACEMENT_3D('',#230745,#185932,#185933); #160449=AXIS2_PLACEMENT_3D('',#230751,#185937,#185938); #160450=AXIS2_PLACEMENT_3D('',#230757,#185942,#185943); #160451=AXIS2_PLACEMENT_3D('',#230763,#185947,#185948); #160452=AXIS2_PLACEMENT_3D('',#230769,#185952,#185953); #160453=AXIS2_PLACEMENT_3D('',#230775,#185957,#185958); #160454=AXIS2_PLACEMENT_3D('',#230781,#185962,#185963); #160455=AXIS2_PLACEMENT_3D('',#230787,#185967,#185968); #160456=AXIS2_PLACEMENT_3D('',#230789,#185969,#185970); #160457=AXIS2_PLACEMENT_3D('',#230792,#185972,#185973); #160458=AXIS2_PLACEMENT_3D('',#230793,#185974,#185975); #160459=AXIS2_PLACEMENT_3D('',#230799,#185979,#185980); #160460=AXIS2_PLACEMENT_3D('',#230805,#185984,#185985); #160461=AXIS2_PLACEMENT_3D('',#230811,#185989,#185990); #160462=AXIS2_PLACEMENT_3D('',#230817,#185994,#185995); #160463=AXIS2_PLACEMENT_3D('',#230823,#185999,#186000); #160464=AXIS2_PLACEMENT_3D('',#230829,#186004,#186005); #160465=AXIS2_PLACEMENT_3D('',#230835,#186009,#186010); #160466=AXIS2_PLACEMENT_3D('',#230837,#186011,#186012); #160467=AXIS2_PLACEMENT_3D('',#230840,#186014,#186015); #160468=AXIS2_PLACEMENT_3D('',#230841,#186016,#186017); #160469=AXIS2_PLACEMENT_3D('',#230843,#186018,#186019); #160470=AXIS2_PLACEMENT_3D('',#230846,#186021,#186022); #160471=AXIS2_PLACEMENT_3D('',#230847,#186023,#186024); #160472=AXIS2_PLACEMENT_3D('',#230853,#186028,#186029); #160473=AXIS2_PLACEMENT_3D('',#230859,#186033,#186034); #160474=AXIS2_PLACEMENT_3D('',#230865,#186038,#186039); #160475=AXIS2_PLACEMENT_3D('',#230871,#186043,#186044); #160476=AXIS2_PLACEMENT_3D('',#230877,#186048,#186049); #160477=AXIS2_PLACEMENT_3D('',#230883,#186053,#186054); #160478=AXIS2_PLACEMENT_3D('',#230889,#186058,#186059); #160479=AXIS2_PLACEMENT_3D('',#230891,#186060,#186061); #160480=AXIS2_PLACEMENT_3D('',#230894,#186063,#186064); #160481=AXIS2_PLACEMENT_3D('',#230895,#186065,#186066); #160482=AXIS2_PLACEMENT_3D('',#230901,#186070,#186071); #160483=AXIS2_PLACEMENT_3D('',#230903,#186072,#186073); #160484=AXIS2_PLACEMENT_3D('',#230906,#186075,#186076); #160485=AXIS2_PLACEMENT_3D('',#230907,#186077,#186078); #160486=AXIS2_PLACEMENT_3D('',#230913,#186082,#186083); #160487=AXIS2_PLACEMENT_3D('',#230919,#186087,#186088); #160488=AXIS2_PLACEMENT_3D('',#230925,#186092,#186093); #160489=AXIS2_PLACEMENT_3D('',#230931,#186097,#186098); #160490=AXIS2_PLACEMENT_3D('',#230937,#186102,#186103); #160491=AXIS2_PLACEMENT_3D('',#230943,#186107,#186108); #160492=AXIS2_PLACEMENT_3D('',#230949,#186112,#186113); #160493=AXIS2_PLACEMENT_3D('',#230955,#186117,#186118); #160494=AXIS2_PLACEMENT_3D('',#230961,#186122,#186123); #160495=AXIS2_PLACEMENT_3D('',#230967,#186127,#186128); #160496=AXIS2_PLACEMENT_3D('',#230969,#186129,#186130); #160497=AXIS2_PLACEMENT_3D('',#230972,#186132,#186133); #160498=AXIS2_PLACEMENT_3D('',#230973,#186134,#186135); #160499=AXIS2_PLACEMENT_3D('',#230979,#186139,#186140); #160500=AXIS2_PLACEMENT_3D('',#230985,#186144,#186145); #160501=AXIS2_PLACEMENT_3D('',#230991,#186149,#186150); #160502=AXIS2_PLACEMENT_3D('',#230997,#186154,#186155); #160503=AXIS2_PLACEMENT_3D('',#230999,#186156,#186157); #160504=AXIS2_PLACEMENT_3D('',#231002,#186159,#186160); #160505=AXIS2_PLACEMENT_3D('',#231003,#186161,#186162); #160506=AXIS2_PLACEMENT_3D('',#231009,#186166,#186167); #160507=AXIS2_PLACEMENT_3D('',#231015,#186171,#186172); #160508=AXIS2_PLACEMENT_3D('',#231021,#186176,#186177); #160509=AXIS2_PLACEMENT_3D('',#231027,#186181,#186182); #160510=AXIS2_PLACEMENT_3D('',#231033,#186186,#186187); #160511=AXIS2_PLACEMENT_3D('',#231039,#186191,#186192); #160512=AXIS2_PLACEMENT_3D('',#231041,#186193,#186194); #160513=AXIS2_PLACEMENT_3D('',#231044,#186196,#186197); #160514=AXIS2_PLACEMENT_3D('',#231045,#186198,#186199); #160515=AXIS2_PLACEMENT_3D('',#231051,#186203,#186204); #160516=AXIS2_PLACEMENT_3D('',#231057,#186208,#186209); #160517=AXIS2_PLACEMENT_3D('',#231063,#186213,#186214); #160518=AXIS2_PLACEMENT_3D('',#231069,#186218,#186219); #160519=AXIS2_PLACEMENT_3D('',#231071,#186220,#186221); #160520=AXIS2_PLACEMENT_3D('',#231074,#186223,#186224); #160521=AXIS2_PLACEMENT_3D('',#231075,#186225,#186226); #160522=AXIS2_PLACEMENT_3D('',#231077,#186227,#186228); #160523=AXIS2_PLACEMENT_3D('',#231080,#186230,#186231); #160524=AXIS2_PLACEMENT_3D('',#231081,#186232,#186233); #160525=AXIS2_PLACEMENT_3D('',#231087,#186237,#186238); #160526=AXIS2_PLACEMENT_3D('',#231093,#186242,#186243); #160527=AXIS2_PLACEMENT_3D('',#231099,#186247,#186248); #160528=AXIS2_PLACEMENT_3D('',#231101,#186249,#186250); #160529=AXIS2_PLACEMENT_3D('',#231104,#186252,#186253); #160530=AXIS2_PLACEMENT_3D('',#231105,#186254,#186255); #160531=AXIS2_PLACEMENT_3D('',#231107,#186256,#186257); #160532=AXIS2_PLACEMENT_3D('',#231110,#186259,#186260); #160533=AXIS2_PLACEMENT_3D('',#231111,#186261,#186262); #160534=AXIS2_PLACEMENT_3D('',#231117,#186266,#186267); #160535=AXIS2_PLACEMENT_3D('',#231123,#186271,#186272); #160536=AXIS2_PLACEMENT_3D('',#231125,#186273,#186274); #160537=AXIS2_PLACEMENT_3D('',#231128,#186276,#186277); #160538=AXIS2_PLACEMENT_3D('',#231129,#186278,#186279); #160539=AXIS2_PLACEMENT_3D('',#231135,#186283,#186284); #160540=AXIS2_PLACEMENT_3D('',#231141,#186288,#186289); #160541=AXIS2_PLACEMENT_3D('',#231147,#186293,#186294); #160542=AXIS2_PLACEMENT_3D('',#231153,#186298,#186299); #160543=AXIS2_PLACEMENT_3D('',#231159,#186303,#186304); #160544=AXIS2_PLACEMENT_3D('',#231165,#186308,#186309); #160545=AXIS2_PLACEMENT_3D('',#231171,#186313,#186314); #160546=AXIS2_PLACEMENT_3D('',#231177,#186318,#186319); #160547=AXIS2_PLACEMENT_3D('',#231183,#186323,#186324); #160548=AXIS2_PLACEMENT_3D('',#231189,#186328,#186329); #160549=AXIS2_PLACEMENT_3D('',#231195,#186333,#186334); #160550=AXIS2_PLACEMENT_3D('',#231201,#186338,#186339); #160551=AXIS2_PLACEMENT_3D('',#231204,#186342,#186343); #160552=AXIS2_PLACEMENT_3D('',#231205,#186344,#186345); #160553=AXIS2_PLACEMENT_3D('',#231208,#186346,#186347); #160554=AXIS2_PLACEMENT_3D('',#231212,#186349,#186350); #160555=AXIS2_PLACEMENT_3D('',#231214,#186352,#186353); #160556=AXIS2_PLACEMENT_3D('',#231216,#186354,#186355); #160557=AXIS2_PLACEMENT_3D('',#231219,#186357,#186358); #160558=AXIS2_PLACEMENT_3D('',#231220,#186359,#186360); #160559=AXIS2_PLACEMENT_3D('',#231222,#186361,#186362); #160560=AXIS2_PLACEMENT_3D('',#231225,#186364,#186365); #160561=AXIS2_PLACEMENT_3D('',#231226,#186366,#186367); #160562=AXIS2_PLACEMENT_3D('',#231228,#186368,#186369); #160563=AXIS2_PLACEMENT_3D('',#231231,#186371,#186372); #160564=AXIS2_PLACEMENT_3D('',#231232,#186373,#186374); #160565=AXIS2_PLACEMENT_3D('',#231238,#186378,#186379); #160566=AXIS2_PLACEMENT_3D('',#231244,#186383,#186384); #160567=AXIS2_PLACEMENT_3D('',#231247,#186387,#186388); #160568=AXIS2_PLACEMENT_3D('',#231250,#186389,#186390); #160569=AXIS2_PLACEMENT_3D('',#231254,#186392,#186393); #160570=AXIS2_PLACEMENT_3D('',#231256,#186395,#186396); #160571=AXIS2_PLACEMENT_3D('',#231262,#186400,#186401); #160572=AXIS2_PLACEMENT_3D('',#231268,#186405,#186406); #160573=AXIS2_PLACEMENT_3D('',#231274,#186410,#186411); #160574=AXIS2_PLACEMENT_3D('',#231280,#186415,#186416); #160575=AXIS2_PLACEMENT_3D('',#231282,#186417,#186418); #160576=AXIS2_PLACEMENT_3D('',#231285,#186420,#186421); #160577=AXIS2_PLACEMENT_3D('',#231286,#186422,#186423); #160578=AXIS2_PLACEMENT_3D('',#231288,#186424,#186425); #160579=AXIS2_PLACEMENT_3D('',#231291,#186427,#186428); #160580=AXIS2_PLACEMENT_3D('',#231292,#186429,#186430); #160581=AXIS2_PLACEMENT_3D('',#231293,#186431,#186432); #160582=AXIS2_PLACEMENT_3D('',#231294,#186433,#186434); #160583=AXIS2_PLACEMENT_3D('',#231295,#186435,#186436); #160584=AXIS2_PLACEMENT_3D('',#231304,#186441,#186442); #160585=AXIS2_PLACEMENT_3D('',#231310,#186446,#186447); #160586=AXIS2_PLACEMENT_3D('',#231316,#186451,#186452); #160587=AXIS2_PLACEMENT_3D('',#231322,#186456,#186457); #160588=AXIS2_PLACEMENT_3D('',#231328,#186461,#186462); #160589=AXIS2_PLACEMENT_3D('',#231334,#186466,#186467); #160590=AXIS2_PLACEMENT_3D('',#231336,#186468,#186469); #160591=AXIS2_PLACEMENT_3D('',#231339,#186471,#186472); #160592=AXIS2_PLACEMENT_3D('',#231340,#186473,#186474); #160593=AXIS2_PLACEMENT_3D('',#231346,#186478,#186479); #160594=AXIS2_PLACEMENT_3D('',#231348,#186480,#186481); #160595=AXIS2_PLACEMENT_3D('',#231351,#186483,#186484); #160596=AXIS2_PLACEMENT_3D('',#231352,#186485,#186486); #160597=AXIS2_PLACEMENT_3D('',#231354,#186487,#186488); #160598=AXIS2_PLACEMENT_3D('',#231357,#186490,#186491); #160599=AXIS2_PLACEMENT_3D('',#231358,#186492,#186493); #160600=AXIS2_PLACEMENT_3D('',#231360,#186494,#186495); #160601=AXIS2_PLACEMENT_3D('',#231363,#186497,#186498); #160602=AXIS2_PLACEMENT_3D('',#231364,#186499,#186500); #160603=AXIS2_PLACEMENT_3D('',#231366,#186501,#186502); #160604=AXIS2_PLACEMENT_3D('',#231369,#186504,#186505); #160605=AXIS2_PLACEMENT_3D('',#231370,#186506,#186507); #160606=AXIS2_PLACEMENT_3D('',#231376,#186511,#186512); #160607=AXIS2_PLACEMENT_3D('',#231378,#186513,#186514); #160608=AXIS2_PLACEMENT_3D('',#231381,#186516,#186517); #160609=AXIS2_PLACEMENT_3D('',#231382,#186518,#186519); #160610=AXIS2_PLACEMENT_3D('',#231384,#186520,#186521); #160611=AXIS2_PLACEMENT_3D('',#231387,#186523,#186524); #160612=AXIS2_PLACEMENT_3D('',#231388,#186525,#186526); #160613=AXIS2_PLACEMENT_3D('',#231390,#186527,#186528); #160614=AXIS2_PLACEMENT_3D('',#231393,#186530,#186531); #160615=AXIS2_PLACEMENT_3D('',#231394,#186532,#186533); #160616=AXIS2_PLACEMENT_3D('',#231396,#186534,#186535); #160617=AXIS2_PLACEMENT_3D('',#231399,#186537,#186538); #160618=AXIS2_PLACEMENT_3D('',#231400,#186539,#186540); #160619=AXIS2_PLACEMENT_3D('',#231406,#186544,#186545); #160620=AXIS2_PLACEMENT_3D('',#231412,#186549,#186550); #160621=AXIS2_PLACEMENT_3D('',#231414,#186551,#186552); #160622=AXIS2_PLACEMENT_3D('',#231417,#186554,#186555); #160623=AXIS2_PLACEMENT_3D('',#231418,#186556,#186557); #160624=AXIS2_PLACEMENT_3D('',#231424,#186561,#186562); #160625=AXIS2_PLACEMENT_3D('',#231430,#186566,#186567); #160626=AXIS2_PLACEMENT_3D('',#231436,#186571,#186572); #160627=AXIS2_PLACEMENT_3D('',#231442,#186576,#186577); #160628=AXIS2_PLACEMENT_3D('',#231448,#186581,#186582); #160629=AXIS2_PLACEMENT_3D('',#231454,#186586,#186587); #160630=AXIS2_PLACEMENT_3D('',#231460,#186591,#186592); #160631=AXIS2_PLACEMENT_3D('',#231462,#186593,#186594); #160632=AXIS2_PLACEMENT_3D('',#231465,#186596,#186597); #160633=AXIS2_PLACEMENT_3D('',#231466,#186598,#186599); #160634=AXIS2_PLACEMENT_3D('',#231472,#186603,#186604); #160635=AXIS2_PLACEMENT_3D('',#231478,#186608,#186609); #160636=AXIS2_PLACEMENT_3D('',#231484,#186613,#186614); #160637=AXIS2_PLACEMENT_3D('',#231490,#186618,#186619); #160638=AXIS2_PLACEMENT_3D('',#231496,#186623,#186624); #160639=AXIS2_PLACEMENT_3D('',#231502,#186628,#186629); #160640=AXIS2_PLACEMENT_3D('',#231508,#186633,#186634); #160641=AXIS2_PLACEMENT_3D('',#231514,#186638,#186639); #160642=AXIS2_PLACEMENT_3D('',#231516,#186640,#186641); #160643=AXIS2_PLACEMENT_3D('',#231519,#186643,#186644); #160644=AXIS2_PLACEMENT_3D('',#231520,#186645,#186646); #160645=AXIS2_PLACEMENT_3D('',#231526,#186650,#186651); #160646=AXIS2_PLACEMENT_3D('',#231528,#186652,#186653); #160647=AXIS2_PLACEMENT_3D('',#231531,#186655,#186656); #160648=AXIS2_PLACEMENT_3D('',#231532,#186657,#186658); #160649=AXIS2_PLACEMENT_3D('',#231538,#186662,#186663); #160650=AXIS2_PLACEMENT_3D('',#231544,#186667,#186668); #160651=AXIS2_PLACEMENT_3D('',#231546,#186669,#186670); #160652=AXIS2_PLACEMENT_3D('',#231549,#186672,#186673); #160653=AXIS2_PLACEMENT_3D('',#231550,#186674,#186675); #160654=AXIS2_PLACEMENT_3D('',#231556,#186679,#186680); #160655=AXIS2_PLACEMENT_3D('',#231562,#186684,#186685); #160656=AXIS2_PLACEMENT_3D('',#231568,#186689,#186690); #160657=AXIS2_PLACEMENT_3D('',#231574,#186694,#186695); #160658=AXIS2_PLACEMENT_3D('',#231580,#186699,#186700); #160659=AXIS2_PLACEMENT_3D('',#231586,#186704,#186705); #160660=AXIS2_PLACEMENT_3D('',#231592,#186709,#186710); #160661=AXIS2_PLACEMENT_3D('',#231598,#186714,#186715); #160662=AXIS2_PLACEMENT_3D('',#231604,#186719,#186720); #160663=AXIS2_PLACEMENT_3D('',#231610,#186724,#186725); #160664=AXIS2_PLACEMENT_3D('',#231616,#186729,#186730); #160665=AXIS2_PLACEMENT_3D('',#231622,#186734,#186735); #160666=AXIS2_PLACEMENT_3D('',#231628,#186739,#186740); #160667=AXIS2_PLACEMENT_3D('',#231634,#186744,#186745); #160668=AXIS2_PLACEMENT_3D('',#231640,#186749,#186750); #160669=AXIS2_PLACEMENT_3D('',#231646,#186754,#186755); #160670=AXIS2_PLACEMENT_3D('',#231652,#186759,#186760); #160671=AXIS2_PLACEMENT_3D('',#231655,#186763,#186764); #160672=AXIS2_PLACEMENT_3D('',#231656,#186765,#186766); #160673=AXIS2_PLACEMENT_3D('',#231659,#186767,#186768); #160674=AXIS2_PLACEMENT_3D('',#231663,#186770,#186771); #160675=AXIS2_PLACEMENT_3D('',#231665,#186773,#186774); #160676=AXIS2_PLACEMENT_3D('',#231667,#186775,#186776); #160677=AXIS2_PLACEMENT_3D('',#231670,#186778,#186779); #160678=AXIS2_PLACEMENT_3D('',#231671,#186780,#186781); #160679=AXIS2_PLACEMENT_3D('',#231673,#186782,#186783); #160680=AXIS2_PLACEMENT_3D('',#231676,#186785,#186786); #160681=AXIS2_PLACEMENT_3D('',#231677,#186787,#186788); #160682=AXIS2_PLACEMENT_3D('',#231683,#186792,#186793); #160683=AXIS2_PLACEMENT_3D('',#231685,#186794,#186795); #160684=AXIS2_PLACEMENT_3D('',#231688,#186797,#186798); #160685=AXIS2_PLACEMENT_3D('',#231689,#186799,#186800); #160686=AXIS2_PLACEMENT_3D('',#231695,#186804,#186805); #160687=AXIS2_PLACEMENT_3D('',#231701,#186809,#186810); #160688=AXIS2_PLACEMENT_3D('',#231707,#186814,#186815); #160689=AXIS2_PLACEMENT_3D('',#231713,#186819,#186820); #160690=AXIS2_PLACEMENT_3D('',#231719,#186824,#186825); #160691=AXIS2_PLACEMENT_3D('',#231725,#186829,#186830); #160692=AXIS2_PLACEMENT_3D('',#231727,#186831,#186832); #160693=AXIS2_PLACEMENT_3D('',#231730,#186834,#186835); #160694=AXIS2_PLACEMENT_3D('',#231731,#186836,#186837); #160695=AXIS2_PLACEMENT_3D('',#231733,#186838,#186839); #160696=AXIS2_PLACEMENT_3D('',#231736,#186841,#186842); #160697=AXIS2_PLACEMENT_3D('',#231737,#186843,#186844); #160698=AXIS2_PLACEMENT_3D('',#231743,#186848,#186849); #160699=AXIS2_PLACEMENT_3D('',#231749,#186853,#186854); #160700=AXIS2_PLACEMENT_3D('',#231755,#186858,#186859); #160701=AXIS2_PLACEMENT_3D('',#231761,#186863,#186864); #160702=AXIS2_PLACEMENT_3D('',#231767,#186868,#186869); #160703=AXIS2_PLACEMENT_3D('',#231773,#186873,#186874); #160704=AXIS2_PLACEMENT_3D('',#231779,#186878,#186879); #160705=AXIS2_PLACEMENT_3D('',#231785,#186883,#186884); #160706=AXIS2_PLACEMENT_3D('',#231791,#186888,#186889); #160707=AXIS2_PLACEMENT_3D('',#231797,#186893,#186894); #160708=AXIS2_PLACEMENT_3D('',#231799,#186895,#186896); #160709=AXIS2_PLACEMENT_3D('',#231802,#186898,#186899); #160710=AXIS2_PLACEMENT_3D('',#231803,#186900,#186901); #160711=AXIS2_PLACEMENT_3D('',#231805,#186902,#186903); #160712=AXIS2_PLACEMENT_3D('',#231808,#186905,#186906); #160713=AXIS2_PLACEMENT_3D('',#231809,#186907,#186908); #160714=AXIS2_PLACEMENT_3D('',#231811,#186909,#186910); #160715=AXIS2_PLACEMENT_3D('',#231814,#186912,#186913); #160716=AXIS2_PLACEMENT_3D('',#231815,#186914,#186915); #160717=AXIS2_PLACEMENT_3D('',#231821,#186919,#186920); #160718=AXIS2_PLACEMENT_3D('',#231823,#186921,#186922); #160719=AXIS2_PLACEMENT_3D('',#231826,#186924,#186925); #160720=AXIS2_PLACEMENT_3D('',#231827,#186926,#186927); #160721=AXIS2_PLACEMENT_3D('',#231829,#186928,#186929); #160722=AXIS2_PLACEMENT_3D('',#231832,#186931,#186932); #160723=AXIS2_PLACEMENT_3D('',#231833,#186933,#186934); #160724=AXIS2_PLACEMENT_3D('',#231839,#186938,#186939); #160725=AXIS2_PLACEMENT_3D('',#231845,#186943,#186944); #160726=AXIS2_PLACEMENT_3D('',#231851,#186948,#186949); #160727=AXIS2_PLACEMENT_3D('',#231853,#186950,#186951); #160728=AXIS2_PLACEMENT_3D('',#231856,#186953,#186954); #160729=AXIS2_PLACEMENT_3D('',#231857,#186955,#186956); #160730=AXIS2_PLACEMENT_3D('',#231859,#186957,#186958); #160731=AXIS2_PLACEMENT_3D('',#231862,#186960,#186961); #160732=AXIS2_PLACEMENT_3D('',#231863,#186962,#186963); #160733=AXIS2_PLACEMENT_3D('',#231865,#186964,#186965); #160734=AXIS2_PLACEMENT_3D('',#231868,#186967,#186968); #160735=AXIS2_PLACEMENT_3D('',#231869,#186969,#186970); #160736=AXIS2_PLACEMENT_3D('',#231871,#186971,#186972); #160737=AXIS2_PLACEMENT_3D('',#231874,#186974,#186975); #160738=AXIS2_PLACEMENT_3D('',#231875,#186976,#186977); #160739=AXIS2_PLACEMENT_3D('',#231877,#186978,#186979); #160740=AXIS2_PLACEMENT_3D('',#231880,#186981,#186982); #160741=AXIS2_PLACEMENT_3D('',#231881,#186983,#186984); #160742=AXIS2_PLACEMENT_3D('',#231883,#186985,#186986); #160743=AXIS2_PLACEMENT_3D('',#231886,#186988,#186989); #160744=AXIS2_PLACEMENT_3D('',#231887,#186990,#186991); #160745=AXIS2_PLACEMENT_3D('',#231889,#186992,#186993); #160746=AXIS2_PLACEMENT_3D('',#231892,#186995,#186996); #160747=AXIS2_PLACEMENT_3D('',#231893,#186997,#186998); #160748=AXIS2_PLACEMENT_3D('',#231899,#187002,#187003); #160749=AXIS2_PLACEMENT_3D('',#231905,#187007,#187008); #160750=AXIS2_PLACEMENT_3D('',#231907,#187009,#187010); #160751=AXIS2_PLACEMENT_3D('',#231910,#187012,#187013); #160752=AXIS2_PLACEMENT_3D('',#231911,#187014,#187015); #160753=AXIS2_PLACEMENT_3D('',#231917,#187019,#187020); #160754=AXIS2_PLACEMENT_3D('',#231919,#187021,#187022); #160755=AXIS2_PLACEMENT_3D('',#231922,#187024,#187025); #160756=AXIS2_PLACEMENT_3D('',#231923,#187026,#187027); #160757=AXIS2_PLACEMENT_3D('',#231925,#187028,#187029); #160758=AXIS2_PLACEMENT_3D('',#231928,#187031,#187032); #160759=AXIS2_PLACEMENT_3D('',#231929,#187033,#187034); #160760=AXIS2_PLACEMENT_3D('',#231931,#187035,#187036); #160761=AXIS2_PLACEMENT_3D('',#231934,#187038,#187039); #160762=AXIS2_PLACEMENT_3D('',#231935,#187040,#187041); #160763=AXIS2_PLACEMENT_3D('',#231937,#187042,#187043); #160764=AXIS2_PLACEMENT_3D('',#231940,#187045,#187046); #160765=AXIS2_PLACEMENT_3D('',#231941,#187047,#187048); #160766=AXIS2_PLACEMENT_3D('',#231943,#187049,#187050); #160767=AXIS2_PLACEMENT_3D('',#231946,#187052,#187053); #160768=AXIS2_PLACEMENT_3D('',#231947,#187054,#187055); #160769=AXIS2_PLACEMENT_3D('',#231953,#187059,#187060); #160770=AXIS2_PLACEMENT_3D('',#231959,#187064,#187065); #160771=AXIS2_PLACEMENT_3D('',#231961,#187066,#187067); #160772=AXIS2_PLACEMENT_3D('',#231964,#187069,#187070); #160773=AXIS2_PLACEMENT_3D('',#231965,#187071,#187072); #160774=AXIS2_PLACEMENT_3D('',#231971,#187076,#187077); #160775=AXIS2_PLACEMENT_3D('',#231977,#187081,#187082); #160776=AXIS2_PLACEMENT_3D('',#231983,#187086,#187087); #160777=AXIS2_PLACEMENT_3D('',#231989,#187091,#187092); #160778=AXIS2_PLACEMENT_3D('',#231995,#187096,#187097); #160779=AXIS2_PLACEMENT_3D('',#232001,#187101,#187102); #160780=AXIS2_PLACEMENT_3D('',#232007,#187106,#187107); #160781=AXIS2_PLACEMENT_3D('',#232009,#187108,#187109); #160782=AXIS2_PLACEMENT_3D('',#232012,#187111,#187112); #160783=AXIS2_PLACEMENT_3D('',#232013,#187113,#187114); #160784=AXIS2_PLACEMENT_3D('',#232019,#187118,#187119); #160785=AXIS2_PLACEMENT_3D('',#232025,#187123,#187124); #160786=AXIS2_PLACEMENT_3D('',#232031,#187128,#187129); #160787=AXIS2_PLACEMENT_3D('',#232037,#187133,#187134); #160788=AXIS2_PLACEMENT_3D('',#232043,#187138,#187139); #160789=AXIS2_PLACEMENT_3D('',#232049,#187143,#187144); #160790=AXIS2_PLACEMENT_3D('',#232051,#187145,#187146); #160791=AXIS2_PLACEMENT_3D('',#232054,#187148,#187149); #160792=AXIS2_PLACEMENT_3D('',#232055,#187150,#187151); #160793=AXIS2_PLACEMENT_3D('',#232061,#187155,#187156); #160794=AXIS2_PLACEMENT_3D('',#232067,#187160,#187161); #160795=AXIS2_PLACEMENT_3D('',#232073,#187165,#187166); #160796=AXIS2_PLACEMENT_3D('',#232079,#187170,#187171); #160797=AXIS2_PLACEMENT_3D('',#232085,#187175,#187176); #160798=AXIS2_PLACEMENT_3D('',#232091,#187180,#187181); #160799=AXIS2_PLACEMENT_3D('',#232097,#187185,#187186); #160800=AXIS2_PLACEMENT_3D('',#232103,#187190,#187191); #160801=AXIS2_PLACEMENT_3D('',#232105,#187192,#187193); #160802=AXIS2_PLACEMENT_3D('',#232108,#187195,#187196); #160803=AXIS2_PLACEMENT_3D('',#232109,#187197,#187198); #160804=AXIS2_PLACEMENT_3D('',#232115,#187202,#187203); #160805=AXIS2_PLACEMENT_3D('',#232117,#187204,#187205); #160806=AXIS2_PLACEMENT_3D('',#232120,#187207,#187208); #160807=AXIS2_PLACEMENT_3D('',#232121,#187209,#187210); #160808=AXIS2_PLACEMENT_3D('',#232127,#187214,#187215); #160809=AXIS2_PLACEMENT_3D('',#232133,#187219,#187220); #160810=AXIS2_PLACEMENT_3D('',#232139,#187224,#187225); #160811=AXIS2_PLACEMENT_3D('',#232145,#187229,#187230); #160812=AXIS2_PLACEMENT_3D('',#232151,#187234,#187235); #160813=AXIS2_PLACEMENT_3D('',#232157,#187239,#187240); #160814=AXIS2_PLACEMENT_3D('',#232163,#187244,#187245); #160815=AXIS2_PLACEMENT_3D('',#232169,#187249,#187250); #160816=AXIS2_PLACEMENT_3D('',#232175,#187254,#187255); #160817=AXIS2_PLACEMENT_3D('',#232181,#187259,#187260); #160818=AXIS2_PLACEMENT_3D('',#232187,#187264,#187265); #160819=AXIS2_PLACEMENT_3D('',#232193,#187269,#187270); #160820=AXIS2_PLACEMENT_3D('',#232199,#187274,#187275); #160821=AXIS2_PLACEMENT_3D('',#232201,#187276,#187277); #160822=AXIS2_PLACEMENT_3D('',#232204,#187279,#187280); #160823=AXIS2_PLACEMENT_3D('',#232205,#187281,#187282); #160824=AXIS2_PLACEMENT_3D('',#232207,#187283,#187284); #160825=AXIS2_PLACEMENT_3D('',#232210,#187286,#187287); #160826=AXIS2_PLACEMENT_3D('',#232211,#187288,#187289); #160827=AXIS2_PLACEMENT_3D('',#232217,#187293,#187294); #160828=AXIS2_PLACEMENT_3D('',#232223,#187298,#187299); #160829=AXIS2_PLACEMENT_3D('',#232229,#187303,#187304); #160830=AXIS2_PLACEMENT_3D('',#232235,#187308,#187309); #160831=AXIS2_PLACEMENT_3D('',#232241,#187313,#187314); #160832=AXIS2_PLACEMENT_3D('',#232243,#187315,#187316); #160833=AXIS2_PLACEMENT_3D('',#232246,#187318,#187319); #160834=AXIS2_PLACEMENT_3D('',#232247,#187320,#187321); #160835=AXIS2_PLACEMENT_3D('',#232253,#187325,#187326); #160836=AXIS2_PLACEMENT_3D('',#232255,#187327,#187328); #160837=AXIS2_PLACEMENT_3D('',#232258,#187330,#187331); #160838=AXIS2_PLACEMENT_3D('',#232259,#187332,#187333); #160839=AXIS2_PLACEMENT_3D('',#232265,#187337,#187338); #160840=AXIS2_PLACEMENT_3D('',#232271,#187342,#187343); #160841=AXIS2_PLACEMENT_3D('',#232273,#187344,#187345); #160842=AXIS2_PLACEMENT_3D('',#232276,#187347,#187348); #160843=AXIS2_PLACEMENT_3D('',#232277,#187349,#187350); #160844=AXIS2_PLACEMENT_3D('',#232278,#187351,#187352); #160845=AXIS2_PLACEMENT_3D('',#232279,#187353,#187354); #160846=AXIS2_PLACEMENT_3D('',#232280,#187355,#187356); #160847=AXIS2_PLACEMENT_3D('',#232281,#187357,#187358); #160848=AXIS2_PLACEMENT_3D('',#232290,#187363,#187364); #160849=AXIS2_PLACEMENT_3D('',#232296,#187368,#187369); #160850=AXIS2_PLACEMENT_3D('',#232302,#187373,#187374); #160851=AXIS2_PLACEMENT_3D('',#232305,#187377,#187378); #160852=AXIS2_PLACEMENT_3D('',#232306,#187379,#187380); #160853=AXIS2_PLACEMENT_3D('',#232315,#187385,#187386); #160854=AXIS2_PLACEMENT_3D('',#232321,#187390,#187391); #160855=AXIS2_PLACEMENT_3D('',#232327,#187395,#187396); #160856=AXIS2_PLACEMENT_3D('',#232333,#187400,#187401); #160857=AXIS2_PLACEMENT_3D('',#232339,#187405,#187406); #160858=AXIS2_PLACEMENT_3D('',#232341,#187407,#187408); #160859=AXIS2_PLACEMENT_3D('',#232344,#187410,#187411); #160860=AXIS2_PLACEMENT_3D('',#232345,#187412,#187413); #160861=AXIS2_PLACEMENT_3D('',#232347,#187414,#187415); #160862=AXIS2_PLACEMENT_3D('',#232350,#187417,#187418); #160863=AXIS2_PLACEMENT_3D('',#232351,#187419,#187420); #160864=AXIS2_PLACEMENT_3D('',#232353,#187421,#187422); #160865=AXIS2_PLACEMENT_3D('',#232356,#187424,#187425); #160866=AXIS2_PLACEMENT_3D('',#232357,#187426,#187427); #160867=AXIS2_PLACEMENT_3D('',#232363,#187431,#187432); #160868=AXIS2_PLACEMENT_3D('',#232366,#187435,#187436); #160869=AXIS2_PLACEMENT_3D('',#232375,#187441,#187442); #160870=AXIS2_PLACEMENT_3D('',#232381,#187446,#187447); #160871=AXIS2_PLACEMENT_3D('',#232387,#187451,#187452); #160872=AXIS2_PLACEMENT_3D('',#232393,#187456,#187457); #160873=AXIS2_PLACEMENT_3D('',#232395,#187458,#187459); #160874=AXIS2_PLACEMENT_3D('',#232398,#187461,#187462); #160875=AXIS2_PLACEMENT_3D('',#232399,#187463,#187464); #160876=AXIS2_PLACEMENT_3D('',#232405,#187468,#187469); #160877=AXIS2_PLACEMENT_3D('',#232411,#187473,#187474); #160878=AXIS2_PLACEMENT_3D('',#232417,#187478,#187479); #160879=AXIS2_PLACEMENT_3D('',#232423,#187483,#187484); #160880=AXIS2_PLACEMENT_3D('',#232429,#187488,#187489); #160881=AXIS2_PLACEMENT_3D('',#232435,#187493,#187494); #160882=AXIS2_PLACEMENT_3D('',#232441,#187498,#187499); #160883=AXIS2_PLACEMENT_3D('',#232447,#187503,#187504); #160884=AXIS2_PLACEMENT_3D('',#232449,#187505,#187506); #160885=AXIS2_PLACEMENT_3D('',#232452,#187508,#187509); #160886=AXIS2_PLACEMENT_3D('',#232453,#187510,#187511); #160887=AXIS2_PLACEMENT_3D('',#232459,#187515,#187516); #160888=AXIS2_PLACEMENT_3D('',#232465,#187520,#187521); #160889=AXIS2_PLACEMENT_3D('',#232471,#187525,#187526); #160890=AXIS2_PLACEMENT_3D('',#232477,#187530,#187531); #160891=AXIS2_PLACEMENT_3D('',#232483,#187535,#187536); #160892=AXIS2_PLACEMENT_3D('',#232489,#187540,#187541); #160893=AXIS2_PLACEMENT_3D('',#232495,#187545,#187546); #160894=AXIS2_PLACEMENT_3D('',#232501,#187550,#187551); #160895=AXIS2_PLACEMENT_3D('',#232503,#187552,#187553); #160896=AXIS2_PLACEMENT_3D('',#232506,#187555,#187556); #160897=AXIS2_PLACEMENT_3D('',#232507,#187557,#187558); #160898=AXIS2_PLACEMENT_3D('',#232513,#187562,#187563); #160899=AXIS2_PLACEMENT_3D('',#232519,#187567,#187568); #160900=AXIS2_PLACEMENT_3D('',#232521,#187569,#187570); #160901=AXIS2_PLACEMENT_3D('',#232524,#187572,#187573); #160902=AXIS2_PLACEMENT_3D('',#232525,#187574,#187575); #160903=AXIS2_PLACEMENT_3D('',#232531,#187579,#187580); #160904=AXIS2_PLACEMENT_3D('',#232537,#187584,#187585); #160905=AXIS2_PLACEMENT_3D('',#232539,#187586,#187587); #160906=AXIS2_PLACEMENT_3D('',#232542,#187589,#187590); #160907=AXIS2_PLACEMENT_3D('',#232543,#187591,#187592); #160908=AXIS2_PLACEMENT_3D('',#232549,#187596,#187597); #160909=AXIS2_PLACEMENT_3D('',#232555,#187601,#187602); #160910=AXIS2_PLACEMENT_3D('',#232561,#187606,#187607); #160911=AXIS2_PLACEMENT_3D('',#232563,#187608,#187609); #160912=AXIS2_PLACEMENT_3D('',#232566,#187611,#187612); #160913=AXIS2_PLACEMENT_3D('',#232567,#187613,#187614); #160914=AXIS2_PLACEMENT_3D('',#232569,#187615,#187616); #160915=AXIS2_PLACEMENT_3D('',#232572,#187618,#187619); #160916=AXIS2_PLACEMENT_3D('',#232573,#187620,#187621); #160917=AXIS2_PLACEMENT_3D('',#232579,#187625,#187626); #160918=AXIS2_PLACEMENT_3D('',#232585,#187630,#187631); #160919=AXIS2_PLACEMENT_3D('',#232591,#187635,#187636); #160920=AXIS2_PLACEMENT_3D('',#232597,#187640,#187641); #160921=AXIS2_PLACEMENT_3D('',#232603,#187645,#187646); #160922=AXIS2_PLACEMENT_3D('',#232609,#187650,#187651); #160923=AXIS2_PLACEMENT_3D('',#232615,#187655,#187656); #160924=AXIS2_PLACEMENT_3D('',#232621,#187660,#187661); #160925=AXIS2_PLACEMENT_3D('',#232623,#187662,#187663); #160926=AXIS2_PLACEMENT_3D('',#232626,#187665,#187666); #160927=AXIS2_PLACEMENT_3D('',#232627,#187667,#187668); #160928=AXIS2_PLACEMENT_3D('',#232633,#187672,#187673); #160929=AXIS2_PLACEMENT_3D('',#232635,#187674,#187675); #160930=AXIS2_PLACEMENT_3D('',#232638,#187677,#187678); #160931=AXIS2_PLACEMENT_3D('',#232639,#187679,#187680); #160932=AXIS2_PLACEMENT_3D('',#232641,#187681,#187682); #160933=AXIS2_PLACEMENT_3D('',#232644,#187684,#187685); #160934=AXIS2_PLACEMENT_3D('',#232645,#187686,#187687); #160935=AXIS2_PLACEMENT_3D('',#232647,#187688,#187689); #160936=AXIS2_PLACEMENT_3D('',#232650,#187691,#187692); #160937=AXIS2_PLACEMENT_3D('',#232651,#187693,#187694); #160938=AXIS2_PLACEMENT_3D('',#232657,#187698,#187699); #160939=AXIS2_PLACEMENT_3D('',#232663,#187703,#187704); #160940=AXIS2_PLACEMENT_3D('',#232669,#187708,#187709); #160941=AXIS2_PLACEMENT_3D('',#232675,#187713,#187714); #160942=AXIS2_PLACEMENT_3D('',#232681,#187718,#187719); #160943=AXIS2_PLACEMENT_3D('',#232683,#187720,#187721); #160944=AXIS2_PLACEMENT_3D('',#232686,#187723,#187724); #160945=AXIS2_PLACEMENT_3D('',#232687,#187725,#187726); #160946=AXIS2_PLACEMENT_3D('',#232693,#187730,#187731); #160947=AXIS2_PLACEMENT_3D('',#232699,#187735,#187736); #160948=AXIS2_PLACEMENT_3D('',#232705,#187740,#187741); #160949=AXIS2_PLACEMENT_3D('',#232707,#187742,#187743); #160950=AXIS2_PLACEMENT_3D('',#232710,#187745,#187746); #160951=AXIS2_PLACEMENT_3D('',#232711,#187747,#187748); #160952=AXIS2_PLACEMENT_3D('',#232717,#187752,#187753); #160953=AXIS2_PLACEMENT_3D('',#232719,#187754,#187755); #160954=AXIS2_PLACEMENT_3D('',#232722,#187757,#187758); #160955=AXIS2_PLACEMENT_3D('',#232723,#187759,#187760); #160956=AXIS2_PLACEMENT_3D('',#232729,#187764,#187765); #160957=AXIS2_PLACEMENT_3D('',#232735,#187769,#187770); #160958=AXIS2_PLACEMENT_3D('',#232741,#187774,#187775); #160959=AXIS2_PLACEMENT_3D('',#232747,#187779,#187780); #160960=AXIS2_PLACEMENT_3D('',#232753,#187784,#187785); #160961=AXIS2_PLACEMENT_3D('',#232759,#187789,#187790); #160962=AXIS2_PLACEMENT_3D('',#232765,#187794,#187795); #160963=AXIS2_PLACEMENT_3D('',#232771,#187799,#187800); #160964=AXIS2_PLACEMENT_3D('',#232773,#187801,#187802); #160965=AXIS2_PLACEMENT_3D('',#232776,#187804,#187805); #160966=AXIS2_PLACEMENT_3D('',#232777,#187806,#187807); #160967=AXIS2_PLACEMENT_3D('',#232783,#187811,#187812); #160968=AXIS2_PLACEMENT_3D('',#232785,#187813,#187814); #160969=AXIS2_PLACEMENT_3D('',#232788,#187816,#187817); #160970=AXIS2_PLACEMENT_3D('',#232789,#187818,#187819); #160971=AXIS2_PLACEMENT_3D('',#232795,#187823,#187824); #160972=AXIS2_PLACEMENT_3D('',#232801,#187828,#187829); #160973=AXIS2_PLACEMENT_3D('',#232807,#187833,#187834); #160974=AXIS2_PLACEMENT_3D('',#232813,#187838,#187839); #160975=AXIS2_PLACEMENT_3D('',#232815,#187840,#187841); #160976=AXIS2_PLACEMENT_3D('',#232818,#187843,#187844); #160977=AXIS2_PLACEMENT_3D('',#232819,#187845,#187846); #160978=AXIS2_PLACEMENT_3D('',#232825,#187850,#187851); #160979=AXIS2_PLACEMENT_3D('',#232827,#187852,#187853); #160980=AXIS2_PLACEMENT_3D('',#232830,#187855,#187856); #160981=AXIS2_PLACEMENT_3D('',#232831,#187857,#187858); #160982=AXIS2_PLACEMENT_3D('',#232837,#187862,#187863); #160983=AXIS2_PLACEMENT_3D('',#232839,#187864,#187865); #160984=AXIS2_PLACEMENT_3D('',#232842,#187867,#187868); #160985=AXIS2_PLACEMENT_3D('',#232843,#187869,#187870); #160986=AXIS2_PLACEMENT_3D('',#232845,#187871,#187872); #160987=AXIS2_PLACEMENT_3D('',#232848,#187874,#187875); #160988=AXIS2_PLACEMENT_3D('',#232849,#187876,#187877); #160989=AXIS2_PLACEMENT_3D('',#232855,#187881,#187882); #160990=AXIS2_PLACEMENT_3D('',#232861,#187886,#187887); #160991=AXIS2_PLACEMENT_3D('',#232867,#187891,#187892); #160992=AXIS2_PLACEMENT_3D('',#232873,#187896,#187897); #160993=AXIS2_PLACEMENT_3D('',#232879,#187901,#187902); #160994=AXIS2_PLACEMENT_3D('',#232885,#187906,#187907); #160995=AXIS2_PLACEMENT_3D('',#232891,#187911,#187912); #160996=AXIS2_PLACEMENT_3D('',#232897,#187916,#187917); #160997=AXIS2_PLACEMENT_3D('',#232903,#187921,#187922); #160998=AXIS2_PLACEMENT_3D('',#232905,#187923,#187924); #160999=AXIS2_PLACEMENT_3D('',#232908,#187926,#187927); #161000=AXIS2_PLACEMENT_3D('',#232909,#187928,#187929); #161001=AXIS2_PLACEMENT_3D('',#232915,#187933,#187934); #161002=AXIS2_PLACEMENT_3D('',#232921,#187938,#187939); #161003=AXIS2_PLACEMENT_3D('',#232927,#187943,#187944); #161004=AXIS2_PLACEMENT_3D('',#232933,#187948,#187949); #161005=AXIS2_PLACEMENT_3D('',#232935,#187950,#187951); #161006=AXIS2_PLACEMENT_3D('',#232938,#187953,#187954); #161007=AXIS2_PLACEMENT_3D('',#232939,#187955,#187956); #161008=AXIS2_PLACEMENT_3D('',#232941,#187957,#187958); #161009=AXIS2_PLACEMENT_3D('',#232944,#187960,#187961); #161010=AXIS2_PLACEMENT_3D('',#232945,#187962,#187963); #161011=AXIS2_PLACEMENT_3D('',#232951,#187967,#187968); #161012=AXIS2_PLACEMENT_3D('',#232953,#187969,#187970); #161013=AXIS2_PLACEMENT_3D('',#232956,#187972,#187973); #161014=AXIS2_PLACEMENT_3D('',#232957,#187974,#187975); #161015=AXIS2_PLACEMENT_3D('',#232959,#187976,#187977); #161016=AXIS2_PLACEMENT_3D('',#232962,#187979,#187980); #161017=AXIS2_PLACEMENT_3D('',#232963,#187981,#187982); #161018=AXIS2_PLACEMENT_3D('',#232965,#187983,#187984); #161019=AXIS2_PLACEMENT_3D('',#232968,#187986,#187987); #161020=AXIS2_PLACEMENT_3D('',#232969,#187988,#187989); #161021=AXIS2_PLACEMENT_3D('',#232975,#187993,#187994); #161022=AXIS2_PLACEMENT_3D('',#232981,#187998,#187999); #161023=AXIS2_PLACEMENT_3D('',#232987,#188003,#188004); #161024=AXIS2_PLACEMENT_3D('',#232993,#188008,#188009); #161025=AXIS2_PLACEMENT_3D('',#232999,#188013,#188014); #161026=AXIS2_PLACEMENT_3D('',#233005,#188018,#188019); #161027=AXIS2_PLACEMENT_3D('',#233011,#188023,#188024); #161028=AXIS2_PLACEMENT_3D('',#233017,#188028,#188029); #161029=AXIS2_PLACEMENT_3D('',#233020,#188032,#188033); #161030=AXIS2_PLACEMENT_3D('',#233021,#188034,#188035); #161031=AXIS2_PLACEMENT_3D('',#233030,#188040,#188041); #161032=AXIS2_PLACEMENT_3D('',#233036,#188045,#188046); #161033=AXIS2_PLACEMENT_3D('',#233042,#188050,#188051); #161034=AXIS2_PLACEMENT_3D('',#233045,#188054,#188055); #161035=AXIS2_PLACEMENT_3D('',#233046,#188056,#188057); #161036=AXIS2_PLACEMENT_3D('',#233055,#188062,#188063); #161037=AXIS2_PLACEMENT_3D('',#233061,#188067,#188068); #161038=AXIS2_PLACEMENT_3D('',#233067,#188072,#188073); #161039=AXIS2_PLACEMENT_3D('',#233073,#188077,#188078); #161040=AXIS2_PLACEMENT_3D('',#233079,#188082,#188083); #161041=AXIS2_PLACEMENT_3D('',#233085,#188087,#188088); #161042=AXIS2_PLACEMENT_3D('',#233091,#188092,#188093); #161043=AXIS2_PLACEMENT_3D('',#233097,#188097,#188098); #161044=AXIS2_PLACEMENT_3D('',#233103,#188102,#188103); #161045=AXIS2_PLACEMENT_3D('',#233105,#188104,#188105); #161046=AXIS2_PLACEMENT_3D('',#233108,#188107,#188108); #161047=AXIS2_PLACEMENT_3D('',#233109,#188109,#188110); #161048=AXIS2_PLACEMENT_3D('',#233115,#188114,#188115); #161049=AXIS2_PLACEMENT_3D('',#233121,#188119,#188120); #161050=AXIS2_PLACEMENT_3D('',#233127,#188124,#188125); #161051=AXIS2_PLACEMENT_3D('',#233129,#188126,#188127); #161052=AXIS2_PLACEMENT_3D('',#233132,#188129,#188130); #161053=AXIS2_PLACEMENT_3D('',#233133,#188131,#188132); #161054=AXIS2_PLACEMENT_3D('',#233135,#188133,#188134); #161055=AXIS2_PLACEMENT_3D('',#233138,#188136,#188137); #161056=AXIS2_PLACEMENT_3D('',#233139,#188138,#188139); #161057=AXIS2_PLACEMENT_3D('',#233140,#188140,#188141); #161058=AXIS2_PLACEMENT_3D('',#233141,#188142,#188143); #161059=AXIS2_PLACEMENT_3D('',#233142,#188144,#188145); #161060=AXIS2_PLACEMENT_3D('',#233151,#188150,#188151); #161061=AXIS2_PLACEMENT_3D('',#233157,#188155,#188156); #161062=AXIS2_PLACEMENT_3D('',#233163,#188160,#188161); #161063=AXIS2_PLACEMENT_3D('',#233169,#188165,#188166); #161064=AXIS2_PLACEMENT_3D('',#233175,#188170,#188171); #161065=AXIS2_PLACEMENT_3D('',#233181,#188175,#188176); #161066=AXIS2_PLACEMENT_3D('',#233187,#188180,#188181); #161067=AXIS2_PLACEMENT_3D('',#233193,#188185,#188186); #161068=AXIS2_PLACEMENT_3D('',#233199,#188190,#188191); #161069=AXIS2_PLACEMENT_3D('',#233205,#188195,#188196); #161070=AXIS2_PLACEMENT_3D('',#233211,#188200,#188201); #161071=AXIS2_PLACEMENT_3D('',#233217,#188205,#188206); #161072=AXIS2_PLACEMENT_3D('',#233223,#188210,#188211); #161073=AXIS2_PLACEMENT_3D('',#233229,#188215,#188216); #161074=AXIS2_PLACEMENT_3D('',#233235,#188220,#188221); #161075=AXIS2_PLACEMENT_3D('',#233241,#188225,#188226); #161076=AXIS2_PLACEMENT_3D('',#233243,#188227,#188228); #161077=AXIS2_PLACEMENT_3D('',#233246,#188230,#188231); #161078=AXIS2_PLACEMENT_3D('',#233247,#188232,#188233); #161079=AXIS2_PLACEMENT_3D('',#233253,#188237,#188238); #161080=AXIS2_PLACEMENT_3D('',#233259,#188242,#188243); #161081=AXIS2_PLACEMENT_3D('',#233261,#188244,#188245); #161082=AXIS2_PLACEMENT_3D('',#233264,#188247,#188248); #161083=AXIS2_PLACEMENT_3D('',#233265,#188249,#188250); #161084=AXIS2_PLACEMENT_3D('',#233271,#188254,#188255); #161085=AXIS2_PLACEMENT_3D('',#233277,#188259,#188260); #161086=AXIS2_PLACEMENT_3D('',#233283,#188264,#188265); #161087=AXIS2_PLACEMENT_3D('',#233289,#188269,#188270); #161088=AXIS2_PLACEMENT_3D('',#233295,#188274,#188275); #161089=AXIS2_PLACEMENT_3D('',#233301,#188279,#188280); #161090=AXIS2_PLACEMENT_3D('',#233307,#188284,#188285); #161091=AXIS2_PLACEMENT_3D('',#233313,#188289,#188290); #161092=AXIS2_PLACEMENT_3D('',#233319,#188294,#188295); #161093=AXIS2_PLACEMENT_3D('',#233325,#188299,#188300); #161094=AXIS2_PLACEMENT_3D('',#233331,#188304,#188305); #161095=AXIS2_PLACEMENT_3D('',#233337,#188309,#188310); #161096=AXIS2_PLACEMENT_3D('',#233343,#188314,#188315); #161097=AXIS2_PLACEMENT_3D('',#233349,#188319,#188320); #161098=AXIS2_PLACEMENT_3D('',#233355,#188324,#188325); #161099=AXIS2_PLACEMENT_3D('',#233361,#188329,#188330); #161100=AXIS2_PLACEMENT_3D('',#233367,#188334,#188335); #161101=AXIS2_PLACEMENT_3D('',#233373,#188339,#188340); #161102=AXIS2_PLACEMENT_3D('',#233379,#188344,#188345); #161103=AXIS2_PLACEMENT_3D('',#233385,#188349,#188350); #161104=AXIS2_PLACEMENT_3D('',#233391,#188354,#188355); #161105=AXIS2_PLACEMENT_3D('',#233397,#188359,#188360); #161106=AXIS2_PLACEMENT_3D('',#233403,#188364,#188365); #161107=AXIS2_PLACEMENT_3D('',#233409,#188369,#188370); #161108=AXIS2_PLACEMENT_3D('',#233415,#188374,#188375); #161109=AXIS2_PLACEMENT_3D('',#233421,#188379,#188380); #161110=AXIS2_PLACEMENT_3D('',#233423,#188381,#188382); #161111=AXIS2_PLACEMENT_3D('',#233426,#188384,#188385); #161112=AXIS2_PLACEMENT_3D('',#233427,#188386,#188387); #161113=AXIS2_PLACEMENT_3D('',#233433,#188391,#188392); #161114=AXIS2_PLACEMENT_3D('',#233439,#188396,#188397); #161115=AXIS2_PLACEMENT_3D('',#233445,#188401,#188402); #161116=AXIS2_PLACEMENT_3D('',#233451,#188406,#188407); #161117=AXIS2_PLACEMENT_3D('',#233457,#188411,#188412); #161118=AXIS2_PLACEMENT_3D('',#233463,#188416,#188417); #161119=AXIS2_PLACEMENT_3D('',#233469,#188421,#188422); #161120=AXIS2_PLACEMENT_3D('',#233475,#188426,#188427); #161121=AXIS2_PLACEMENT_3D('',#233481,#188431,#188432); #161122=AXIS2_PLACEMENT_3D('',#233487,#188436,#188437); #161123=AXIS2_PLACEMENT_3D('',#233493,#188441,#188442); #161124=AXIS2_PLACEMENT_3D('',#233499,#188446,#188447); #161125=AXIS2_PLACEMENT_3D('',#233505,#188451,#188452); #161126=AXIS2_PLACEMENT_3D('',#233511,#188456,#188457); #161127=AXIS2_PLACEMENT_3D('',#233517,#188461,#188462); #161128=AXIS2_PLACEMENT_3D('',#233523,#188466,#188467); #161129=AXIS2_PLACEMENT_3D('',#233529,#188471,#188472); #161130=AXIS2_PLACEMENT_3D('',#233535,#188476,#188477); #161131=AXIS2_PLACEMENT_3D('',#233541,#188481,#188482); #161132=AXIS2_PLACEMENT_3D('',#233547,#188486,#188487); #161133=AXIS2_PLACEMENT_3D('',#233553,#188491,#188492); #161134=AXIS2_PLACEMENT_3D('',#233559,#188496,#188497); #161135=AXIS2_PLACEMENT_3D('',#233565,#188501,#188502); #161136=AXIS2_PLACEMENT_3D('',#233571,#188506,#188507); #161137=AXIS2_PLACEMENT_3D('',#233577,#188511,#188512); #161138=AXIS2_PLACEMENT_3D('',#233579,#188513,#188514); #161139=AXIS2_PLACEMENT_3D('',#233582,#188516,#188517); #161140=AXIS2_PLACEMENT_3D('',#233583,#188518,#188519); #161141=AXIS2_PLACEMENT_3D('',#233589,#188523,#188524); #161142=AXIS2_PLACEMENT_3D('',#233595,#188528,#188529); #161143=AXIS2_PLACEMENT_3D('',#233597,#188530,#188531); #161144=AXIS2_PLACEMENT_3D('',#233600,#188533,#188534); #161145=AXIS2_PLACEMENT_3D('',#233601,#188535,#188536); #161146=AXIS2_PLACEMENT_3D('',#233603,#188537,#188538); #161147=AXIS2_PLACEMENT_3D('',#233606,#188540,#188541); #161148=AXIS2_PLACEMENT_3D('',#233607,#188542,#188543); #161149=AXIS2_PLACEMENT_3D('',#233609,#188544,#188545); #161150=AXIS2_PLACEMENT_3D('',#233612,#188547,#188548); #161151=AXIS2_PLACEMENT_3D('',#233613,#188549,#188550); #161152=AXIS2_PLACEMENT_3D('',#233615,#188551,#188552); #161153=AXIS2_PLACEMENT_3D('',#233618,#188554,#188555); #161154=AXIS2_PLACEMENT_3D('',#233619,#188556,#188557); #161155=AXIS2_PLACEMENT_3D('',#233625,#188561,#188562); #161156=AXIS2_PLACEMENT_3D('',#233631,#188566,#188567); #161157=AXIS2_PLACEMENT_3D('',#233637,#188571,#188572); #161158=AXIS2_PLACEMENT_3D('',#233643,#188576,#188577); #161159=AXIS2_PLACEMENT_3D('',#233649,#188581,#188582); #161160=AXIS2_PLACEMENT_3D('',#233655,#188586,#188587); #161161=AXIS2_PLACEMENT_3D('',#233661,#188591,#188592); #161162=AXIS2_PLACEMENT_3D('',#233667,#188596,#188597); #161163=AXIS2_PLACEMENT_3D('',#233670,#188600,#188601); #161164=AXIS2_PLACEMENT_3D('',#233671,#188602,#188603); #161165=AXIS2_PLACEMENT_3D('',#233680,#188608,#188609); #161166=AXIS2_PLACEMENT_3D('',#233682,#188610,#188611); #161167=AXIS2_PLACEMENT_3D('',#233685,#188613,#188614); #161168=AXIS2_PLACEMENT_3D('',#233686,#188615,#188616); #161169=AXIS2_PLACEMENT_3D('',#233688,#188617,#188618); #161170=AXIS2_PLACEMENT_3D('',#233691,#188620,#188621); #161171=AXIS2_PLACEMENT_3D('',#233692,#188622,#188623); #161172=AXIS2_PLACEMENT_3D('',#233694,#188624,#188625); #161173=AXIS2_PLACEMENT_3D('',#233697,#188627,#188628); #161174=AXIS2_PLACEMENT_3D('',#233698,#188629,#188630); #161175=AXIS2_PLACEMENT_3D('',#233701,#188633,#188634); #161176=AXIS2_PLACEMENT_3D('',#233710,#188639,#188640); #161177=AXIS2_PLACEMENT_3D('',#233716,#188644,#188645); #161178=AXIS2_PLACEMENT_3D('',#233722,#188649,#188650); #161179=AXIS2_PLACEMENT_3D('',#233728,#188654,#188655); #161180=AXIS2_PLACEMENT_3D('',#233734,#188659,#188660); #161181=AXIS2_PLACEMENT_3D('',#233740,#188664,#188665); #161182=AXIS2_PLACEMENT_3D('',#233746,#188669,#188670); #161183=AXIS2_PLACEMENT_3D('',#233752,#188674,#188675); #161184=AXIS2_PLACEMENT_3D('',#233758,#188679,#188680); #161185=AXIS2_PLACEMENT_3D('',#233764,#188684,#188685); #161186=AXIS2_PLACEMENT_3D('',#233770,#188689,#188690); #161187=AXIS2_PLACEMENT_3D('',#233776,#188694,#188695); #161188=AXIS2_PLACEMENT_3D('',#233782,#188699,#188700); #161189=AXIS2_PLACEMENT_3D('',#233788,#188704,#188705); #161190=AXIS2_PLACEMENT_3D('',#233794,#188709,#188710); #161191=AXIS2_PLACEMENT_3D('',#233800,#188714,#188715); #161192=AXIS2_PLACEMENT_3D('',#233806,#188719,#188720); #161193=AXIS2_PLACEMENT_3D('',#233812,#188724,#188725); #161194=AXIS2_PLACEMENT_3D('',#233814,#188726,#188727); #161195=AXIS2_PLACEMENT_3D('',#233817,#188729,#188730); #161196=AXIS2_PLACEMENT_3D('',#233818,#188731,#188732); #161197=AXIS2_PLACEMENT_3D('',#233820,#188733,#188734); #161198=AXIS2_PLACEMENT_3D('',#233823,#188736,#188737); #161199=AXIS2_PLACEMENT_3D('',#233824,#188738,#188739); #161200=AXIS2_PLACEMENT_3D('',#233830,#188743,#188744); #161201=AXIS2_PLACEMENT_3D('',#233832,#188745,#188746); #161202=AXIS2_PLACEMENT_3D('',#233835,#188748,#188749); #161203=AXIS2_PLACEMENT_3D('',#233836,#188750,#188751); #161204=AXIS2_PLACEMENT_3D('',#233838,#188752,#188753); #161205=AXIS2_PLACEMENT_3D('',#233841,#188755,#188756); #161206=AXIS2_PLACEMENT_3D('',#233842,#188757,#188758); #161207=AXIS2_PLACEMENT_3D('',#233844,#188759,#188760); #161208=AXIS2_PLACEMENT_3D('',#233847,#188762,#188763); #161209=AXIS2_PLACEMENT_3D('',#233848,#188764,#188765); #161210=AXIS2_PLACEMENT_3D('',#233850,#188766,#188767); #161211=AXIS2_PLACEMENT_3D('',#233853,#188769,#188770); #161212=AXIS2_PLACEMENT_3D('',#233854,#188771,#188772); #161213=AXIS2_PLACEMENT_3D('',#233856,#188773,#188774); #161214=AXIS2_PLACEMENT_3D('',#233859,#188776,#188777); #161215=AXIS2_PLACEMENT_3D('',#233860,#188778,#188779); #161216=AXIS2_PLACEMENT_3D('',#233866,#188783,#188784); #161217=AXIS2_PLACEMENT_3D('',#233872,#188788,#188789); #161218=AXIS2_PLACEMENT_3D('',#233878,#188793,#188794); #161219=AXIS2_PLACEMENT_3D('',#233884,#188798,#188799); #161220=AXIS2_PLACEMENT_3D('',#233890,#188803,#188804); #161221=AXIS2_PLACEMENT_3D('',#233896,#188808,#188809); #161222=AXIS2_PLACEMENT_3D('',#233902,#188813,#188814); #161223=AXIS2_PLACEMENT_3D('',#233908,#188818,#188819); #161224=AXIS2_PLACEMENT_3D('',#233914,#188823,#188824); #161225=AXIS2_PLACEMENT_3D('',#233920,#188828,#188829); #161226=AXIS2_PLACEMENT_3D('',#233926,#188833,#188834); #161227=AXIS2_PLACEMENT_3D('',#233928,#188835,#188836); #161228=AXIS2_PLACEMENT_3D('',#233931,#188838,#188839); #161229=AXIS2_PLACEMENT_3D('',#233932,#188840,#188841); #161230=AXIS2_PLACEMENT_3D('',#233938,#188845,#188846); #161231=AXIS2_PLACEMENT_3D('',#233944,#188850,#188851); #161232=AXIS2_PLACEMENT_3D('',#233950,#188855,#188856); #161233=AXIS2_PLACEMENT_3D('',#233956,#188860,#188861); #161234=AXIS2_PLACEMENT_3D('',#233962,#188865,#188866); #161235=AXIS2_PLACEMENT_3D('',#233968,#188870,#188871); #161236=AXIS2_PLACEMENT_3D('',#233974,#188875,#188876); #161237=AXIS2_PLACEMENT_3D('',#233980,#188880,#188881); #161238=AXIS2_PLACEMENT_3D('',#233986,#188885,#188886); #161239=AXIS2_PLACEMENT_3D('',#233988,#188887,#188888); #161240=AXIS2_PLACEMENT_3D('',#233991,#188890,#188891); #161241=AXIS2_PLACEMENT_3D('',#233992,#188892,#188893); #161242=AXIS2_PLACEMENT_3D('',#233998,#188897,#188898); #161243=AXIS2_PLACEMENT_3D('',#234004,#188902,#188903); #161244=AXIS2_PLACEMENT_3D('',#234010,#188907,#188908); #161245=AXIS2_PLACEMENT_3D('',#234016,#188912,#188913); #161246=AXIS2_PLACEMENT_3D('',#234022,#188917,#188918); #161247=AXIS2_PLACEMENT_3D('',#234024,#188919,#188920); #161248=AXIS2_PLACEMENT_3D('',#234027,#188922,#188923); #161249=AXIS2_PLACEMENT_3D('',#234028,#188924,#188925); #161250=AXIS2_PLACEMENT_3D('',#234030,#188926,#188927); #161251=AXIS2_PLACEMENT_3D('',#234033,#188929,#188930); #161252=AXIS2_PLACEMENT_3D('',#234034,#188931,#188932); #161253=AXIS2_PLACEMENT_3D('',#234040,#188936,#188937); #161254=AXIS2_PLACEMENT_3D('',#234046,#188941,#188942); #161255=AXIS2_PLACEMENT_3D('',#234052,#188946,#188947); #161256=AXIS2_PLACEMENT_3D('',#234058,#188951,#188952); #161257=AXIS2_PLACEMENT_3D('',#234064,#188956,#188957); #161258=AXIS2_PLACEMENT_3D('',#234070,#188961,#188962); #161259=AXIS2_PLACEMENT_3D('',#234076,#188966,#188967); #161260=AXIS2_PLACEMENT_3D('',#234082,#188971,#188972); #161261=AXIS2_PLACEMENT_3D('',#234088,#188976,#188977); #161262=AXIS2_PLACEMENT_3D('',#234094,#188981,#188982); #161263=AXIS2_PLACEMENT_3D('',#234100,#188986,#188987); #161264=AXIS2_PLACEMENT_3D('',#234106,#188991,#188992); #161265=AXIS2_PLACEMENT_3D('',#234112,#188996,#188997); #161266=AXIS2_PLACEMENT_3D('',#234118,#189001,#189002); #161267=AXIS2_PLACEMENT_3D('',#234124,#189006,#189007); #161268=AXIS2_PLACEMENT_3D('',#234130,#189011,#189012); #161269=AXIS2_PLACEMENT_3D('',#234136,#189016,#189017); #161270=AXIS2_PLACEMENT_3D('',#234142,#189021,#189022); #161271=AXIS2_PLACEMENT_3D('',#234148,#189026,#189027); #161272=AXIS2_PLACEMENT_3D('',#234154,#189031,#189032); #161273=AXIS2_PLACEMENT_3D('',#234160,#189036,#189037); #161274=AXIS2_PLACEMENT_3D('',#234166,#189041,#189042); #161275=AXIS2_PLACEMENT_3D('',#234168,#189043,#189044); #161276=AXIS2_PLACEMENT_3D('',#234171,#189046,#189047); #161277=AXIS2_PLACEMENT_3D('',#234172,#189048,#189049); #161278=AXIS2_PLACEMENT_3D('',#234174,#189050,#189051); #161279=AXIS2_PLACEMENT_3D('',#234177,#189053,#189054); #161280=AXIS2_PLACEMENT_3D('',#234178,#189055,#189056); #161281=AXIS2_PLACEMENT_3D('',#234180,#189057,#189058); #161282=AXIS2_PLACEMENT_3D('',#234183,#189060,#189061); #161283=AXIS2_PLACEMENT_3D('',#234184,#189062,#189063); #161284=AXIS2_PLACEMENT_3D('',#234186,#189064,#189065); #161285=AXIS2_PLACEMENT_3D('',#234189,#189067,#189068); #161286=AXIS2_PLACEMENT_3D('',#234190,#189069,#189070); #161287=AXIS2_PLACEMENT_3D('',#234196,#189074,#189075); #161288=AXIS2_PLACEMENT_3D('',#234202,#189079,#189080); #161289=AXIS2_PLACEMENT_3D('',#234208,#189084,#189085); #161290=AXIS2_PLACEMENT_3D('',#234214,#189089,#189090); #161291=AXIS2_PLACEMENT_3D('',#234220,#189094,#189095); #161292=AXIS2_PLACEMENT_3D('',#234226,#189099,#189100); #161293=AXIS2_PLACEMENT_3D('',#234232,#189104,#189105); #161294=AXIS2_PLACEMENT_3D('',#234238,#189109,#189110); #161295=AXIS2_PLACEMENT_3D('',#234244,#189114,#189115); #161296=AXIS2_PLACEMENT_3D('',#234250,#189119,#189120); #161297=AXIS2_PLACEMENT_3D('',#234252,#189121,#189122); #161298=AXIS2_PLACEMENT_3D('',#234255,#189124,#189125); #161299=AXIS2_PLACEMENT_3D('',#234256,#189126,#189127); #161300=AXIS2_PLACEMENT_3D('',#234262,#189131,#189132); #161301=AXIS2_PLACEMENT_3D('',#234268,#189136,#189137); #161302=AXIS2_PLACEMENT_3D('',#234274,#189141,#189142); #161303=AXIS2_PLACEMENT_3D('',#234280,#189146,#189147); #161304=AXIS2_PLACEMENT_3D('',#234286,#189151,#189152); #161305=AXIS2_PLACEMENT_3D('',#234292,#189156,#189157); #161306=AXIS2_PLACEMENT_3D('',#234298,#189161,#189162); #161307=AXIS2_PLACEMENT_3D('',#234304,#189166,#189167); #161308=AXIS2_PLACEMENT_3D('',#234310,#189171,#189172); #161309=AXIS2_PLACEMENT_3D('',#234316,#189176,#189177); #161310=AXIS2_PLACEMENT_3D('',#234318,#189178,#189179); #161311=AXIS2_PLACEMENT_3D('',#234321,#189181,#189182); #161312=AXIS2_PLACEMENT_3D('',#234322,#189183,#189184); #161313=AXIS2_PLACEMENT_3D('',#234328,#189188,#189189); #161314=AXIS2_PLACEMENT_3D('',#234334,#189193,#189194); #161315=AXIS2_PLACEMENT_3D('',#234340,#189198,#189199); #161316=AXIS2_PLACEMENT_3D('',#234342,#189200,#189201); #161317=AXIS2_PLACEMENT_3D('',#234345,#189203,#189204); #161318=AXIS2_PLACEMENT_3D('',#234346,#189205,#189206); #161319=AXIS2_PLACEMENT_3D('',#234352,#189210,#189211); #161320=AXIS2_PLACEMENT_3D('',#234354,#189212,#189213); #161321=AXIS2_PLACEMENT_3D('',#234357,#189215,#189216); #161322=AXIS2_PLACEMENT_3D('',#234358,#189217,#189218); #161323=AXIS2_PLACEMENT_3D('',#234360,#189219,#189220); #161324=AXIS2_PLACEMENT_3D('',#234363,#189222,#189223); #161325=AXIS2_PLACEMENT_3D('',#234364,#189224,#189225); #161326=AXIS2_PLACEMENT_3D('',#234367,#189228,#189229); #161327=AXIS2_PLACEMENT_3D('',#234368,#189230,#189231); #161328=AXIS2_PLACEMENT_3D('',#234377,#189236,#189237); #161329=AXIS2_PLACEMENT_3D('',#234383,#189241,#189242); #161330=AXIS2_PLACEMENT_3D('',#234389,#189246,#189247); #161331=AXIS2_PLACEMENT_3D('',#234395,#189251,#189252); #161332=AXIS2_PLACEMENT_3D('',#234401,#189256,#189257); #161333=AXIS2_PLACEMENT_3D('',#234407,#189261,#189262); #161334=AXIS2_PLACEMENT_3D('',#234413,#189266,#189267); #161335=AXIS2_PLACEMENT_3D('',#234415,#189268,#189269); #161336=AXIS2_PLACEMENT_3D('',#234418,#189271,#189272); #161337=AXIS2_PLACEMENT_3D('',#234419,#189273,#189274); #161338=AXIS2_PLACEMENT_3D('',#234421,#189275,#189276); #161339=AXIS2_PLACEMENT_3D('',#234424,#189278,#189279); #161340=AXIS2_PLACEMENT_3D('',#234425,#189280,#189281); #161341=AXIS2_PLACEMENT_3D('',#234431,#189285,#189286); #161342=AXIS2_PLACEMENT_3D('',#234433,#189287,#189288); #161343=AXIS2_PLACEMENT_3D('',#234436,#189290,#189291); #161344=AXIS2_PLACEMENT_3D('',#234437,#189292,#189293); #161345=AXIS2_PLACEMENT_3D('',#234439,#189294,#189295); #161346=AXIS2_PLACEMENT_3D('',#234442,#189297,#189298); #161347=AXIS2_PLACEMENT_3D('',#234443,#189299,#189300); #161348=AXIS2_PLACEMENT_3D('',#234445,#189301,#189302); #161349=AXIS2_PLACEMENT_3D('',#234448,#189304,#189305); #161350=AXIS2_PLACEMENT_3D('',#234449,#189306,#189307); #161351=AXIS2_PLACEMENT_3D('',#234455,#189311,#189312); #161352=AXIS2_PLACEMENT_3D('',#234457,#189313,#189314); #161353=AXIS2_PLACEMENT_3D('',#234460,#189316,#189317); #161354=AXIS2_PLACEMENT_3D('',#234461,#189318,#189319); #161355=AXIS2_PLACEMENT_3D('',#234467,#189323,#189324); #161356=AXIS2_PLACEMENT_3D('',#234473,#189328,#189329); #161357=AXIS2_PLACEMENT_3D('',#234475,#189330,#189331); #161358=AXIS2_PLACEMENT_3D('',#234478,#189333,#189334); #161359=AXIS2_PLACEMENT_3D('',#234479,#189335,#189336); #161360=AXIS2_PLACEMENT_3D('',#234485,#189340,#189341); #161361=AXIS2_PLACEMENT_3D('',#234487,#189342,#189343); #161362=AXIS2_PLACEMENT_3D('',#234490,#189345,#189346); #161363=AXIS2_PLACEMENT_3D('',#234491,#189347,#189348); #161364=AXIS2_PLACEMENT_3D('',#234493,#189349,#189350); #161365=AXIS2_PLACEMENT_3D('',#234496,#189352,#189353); #161366=AXIS2_PLACEMENT_3D('',#234497,#189354,#189355); #161367=AXIS2_PLACEMENT_3D('',#234499,#189356,#189357); #161368=AXIS2_PLACEMENT_3D('',#234502,#189359,#189360); #161369=AXIS2_PLACEMENT_3D('',#234503,#189361,#189362); #161370=AXIS2_PLACEMENT_3D('',#234509,#189366,#189367); #161371=AXIS2_PLACEMENT_3D('',#234511,#189368,#189369); #161372=AXIS2_PLACEMENT_3D('',#234514,#189371,#189372); #161373=AXIS2_PLACEMENT_3D('',#234515,#189373,#189374); #161374=AXIS2_PLACEMENT_3D('',#234517,#189375,#189376); #161375=AXIS2_PLACEMENT_3D('',#234520,#189378,#189379); #161376=AXIS2_PLACEMENT_3D('',#234521,#189380,#189381); #161377=AXIS2_PLACEMENT_3D('',#234523,#189382,#189383); #161378=AXIS2_PLACEMENT_3D('',#234526,#189385,#189386); #161379=AXIS2_PLACEMENT_3D('',#234527,#189387,#189388); #161380=AXIS2_PLACEMENT_3D('',#234533,#189392,#189393); #161381=AXIS2_PLACEMENT_3D('',#234539,#189397,#189398); #161382=AXIS2_PLACEMENT_3D('',#234545,#189402,#189403); #161383=AXIS2_PLACEMENT_3D('',#234551,#189407,#189408); #161384=AXIS2_PLACEMENT_3D('',#234553,#189409,#189410); #161385=AXIS2_PLACEMENT_3D('',#234556,#189412,#189413); #161386=AXIS2_PLACEMENT_3D('',#234557,#189414,#189415); #161387=AXIS2_PLACEMENT_3D('',#234559,#189416,#189417); #161388=AXIS2_PLACEMENT_3D('',#234562,#189419,#189420); #161389=AXIS2_PLACEMENT_3D('',#234563,#189421,#189422); #161390=AXIS2_PLACEMENT_3D('',#234569,#189426,#189427); #161391=AXIS2_PLACEMENT_3D('',#234571,#189428,#189429); #161392=AXIS2_PLACEMENT_3D('',#234574,#189431,#189432); #161393=AXIS2_PLACEMENT_3D('',#234575,#189433,#189434); #161394=AXIS2_PLACEMENT_3D('',#234577,#189435,#189436); #161395=AXIS2_PLACEMENT_3D('',#234580,#189438,#189439); #161396=AXIS2_PLACEMENT_3D('',#234581,#189440,#189441); #161397=AXIS2_PLACEMENT_3D('',#234583,#189442,#189443); #161398=AXIS2_PLACEMENT_3D('',#234586,#189445,#189446); #161399=AXIS2_PLACEMENT_3D('',#234587,#189447,#189448); #161400=AXIS2_PLACEMENT_3D('',#234593,#189452,#189453); #161401=AXIS2_PLACEMENT_3D('',#234599,#189457,#189458); #161402=AXIS2_PLACEMENT_3D('',#234605,#189462,#189463); #161403=AXIS2_PLACEMENT_3D('',#234607,#189464,#189465); #161404=AXIS2_PLACEMENT_3D('',#234610,#189467,#189468); #161405=AXIS2_PLACEMENT_3D('',#234611,#189469,#189470); #161406=AXIS2_PLACEMENT_3D('',#234617,#189474,#189475); #161407=AXIS2_PLACEMENT_3D('',#234623,#189479,#189480); #161408=AXIS2_PLACEMENT_3D('',#234625,#189481,#189482); #161409=AXIS2_PLACEMENT_3D('',#234628,#189484,#189485); #161410=AXIS2_PLACEMENT_3D('',#234629,#189486,#189487); #161411=AXIS2_PLACEMENT_3D('',#234635,#189491,#189492); #161412=AXIS2_PLACEMENT_3D('',#234636,#189493,#189494); #161413=AXIS2_PLACEMENT_3D('',#234637,#189495,#189496); #161414=AXIS2_PLACEMENT_3D('',#234638,#189497,#189498); #161415=AXIS2_PLACEMENT_3D('',#234639,#189499,#189500); #161416=AXIS2_PLACEMENT_3D('',#234648,#189505,#189506); #161417=AXIS2_PLACEMENT_3D('',#234650,#189507,#189508); #161418=AXIS2_PLACEMENT_3D('',#234653,#189510,#189511); #161419=AXIS2_PLACEMENT_3D('',#234654,#189512,#189513); #161420=AXIS2_PLACEMENT_3D('',#234660,#189517,#189518); #161421=AXIS2_PLACEMENT_3D('',#234666,#189522,#189523); #161422=AXIS2_PLACEMENT_3D('',#234672,#189527,#189528); #161423=AXIS2_PLACEMENT_3D('',#234674,#189529,#189530); #161424=AXIS2_PLACEMENT_3D('',#234677,#189532,#189533); #161425=AXIS2_PLACEMENT_3D('',#234678,#189534,#189535); #161426=AXIS2_PLACEMENT_3D('',#234680,#189536,#189537); #161427=AXIS2_PLACEMENT_3D('',#234683,#189539,#189540); #161428=AXIS2_PLACEMENT_3D('',#234684,#189541,#189542); #161429=AXIS2_PLACEMENT_3D('',#234690,#189546,#189547); #161430=AXIS2_PLACEMENT_3D('',#234696,#189551,#189552); #161431=AXIS2_PLACEMENT_3D('',#234698,#189553,#189554); #161432=AXIS2_PLACEMENT_3D('',#234701,#189556,#189557); #161433=AXIS2_PLACEMENT_3D('',#234702,#189558,#189559); #161434=AXIS2_PLACEMENT_3D('',#234704,#189560,#189561); #161435=AXIS2_PLACEMENT_3D('',#234707,#189563,#189564); #161436=AXIS2_PLACEMENT_3D('',#234708,#189565,#189566); #161437=AXIS2_PLACEMENT_3D('',#234714,#189570,#189571); #161438=AXIS2_PLACEMENT_3D('',#234716,#189572,#189573); #161439=AXIS2_PLACEMENT_3D('',#234719,#189575,#189576); #161440=AXIS2_PLACEMENT_3D('',#234720,#189577,#189578); #161441=AXIS2_PLACEMENT_3D('',#234726,#189582,#189583); #161442=AXIS2_PLACEMENT_3D('',#234732,#189587,#189588); #161443=AXIS2_PLACEMENT_3D('',#234738,#189592,#189593); #161444=AXIS2_PLACEMENT_3D('',#234744,#189597,#189598); #161445=AXIS2_PLACEMENT_3D('',#234746,#189599,#189600); #161446=AXIS2_PLACEMENT_3D('',#234749,#189602,#189603); #161447=AXIS2_PLACEMENT_3D('',#234750,#189604,#189605); #161448=AXIS2_PLACEMENT_3D('',#234752,#189606,#189607); #161449=AXIS2_PLACEMENT_3D('',#234755,#189609,#189610); #161450=AXIS2_PLACEMENT_3D('',#234756,#189611,#189612); #161451=AXIS2_PLACEMENT_3D('',#234762,#189616,#189617); #161452=AXIS2_PLACEMENT_3D('',#234768,#189621,#189622); #161453=AXIS2_PLACEMENT_3D('',#234769,#189623,#189624); #161454=AXIS2_PLACEMENT_3D('',#234770,#189625,#189626); #161455=AXIS2_PLACEMENT_3D('',#234771,#189627,#189628); #161456=AXIS2_PLACEMENT_3D('',#234772,#189629,#189630); #161457=AXIS2_PLACEMENT_3D('',#234775,#189631,#189632); #161458=AXIS2_PLACEMENT_3D('',#234779,#189634,#189635); #161459=AXIS2_PLACEMENT_3D('',#234781,#189637,#189638); #161460=AXIS2_PLACEMENT_3D('',#234783,#189639,#189640); #161461=AXIS2_PLACEMENT_3D('',#234786,#189642,#189643); #161462=AXIS2_PLACEMENT_3D('',#234787,#189644,#189645); #161463=AXIS2_PLACEMENT_3D('',#234793,#189649,#189650); #161464=AXIS2_PLACEMENT_3D('',#234799,#189654,#189655); #161465=AXIS2_PLACEMENT_3D('',#234805,#189659,#189660); #161466=AXIS2_PLACEMENT_3D('',#234811,#189664,#189665); #161467=AXIS2_PLACEMENT_3D('',#234817,#189669,#189670); #161468=AXIS2_PLACEMENT_3D('',#234823,#189674,#189675); #161469=AXIS2_PLACEMENT_3D('',#234829,#189679,#189680); #161470=AXIS2_PLACEMENT_3D('',#234835,#189684,#189685); #161471=AXIS2_PLACEMENT_3D('',#234837,#189686,#189687); #161472=AXIS2_PLACEMENT_3D('',#234840,#189689,#189690); #161473=AXIS2_PLACEMENT_3D('',#234841,#189691,#189692); #161474=AXIS2_PLACEMENT_3D('',#234847,#189696,#189697); #161475=AXIS2_PLACEMENT_3D('',#234853,#189701,#189702); #161476=AXIS2_PLACEMENT_3D('',#234859,#189706,#189707); #161477=AXIS2_PLACEMENT_3D('',#234865,#189711,#189712); #161478=AXIS2_PLACEMENT_3D('',#234871,#189716,#189717); #161479=AXIS2_PLACEMENT_3D('',#234877,#189721,#189722); #161480=AXIS2_PLACEMENT_3D('',#234883,#189726,#189727); #161481=AXIS2_PLACEMENT_3D('',#234885,#189728,#189729); #161482=AXIS2_PLACEMENT_3D('',#234888,#189731,#189732); #161483=AXIS2_PLACEMENT_3D('',#234889,#189733,#189734); #161484=AXIS2_PLACEMENT_3D('',#234891,#189735,#189736); #161485=AXIS2_PLACEMENT_3D('',#234894,#189738,#189739); #161486=AXIS2_PLACEMENT_3D('',#234895,#189740,#189741); #161487=AXIS2_PLACEMENT_3D('',#234901,#189745,#189746); #161488=AXIS2_PLACEMENT_3D('',#234903,#189747,#189748); #161489=AXIS2_PLACEMENT_3D('',#234906,#189750,#189751); #161490=AXIS2_PLACEMENT_3D('',#234907,#189752,#189753); #161491=AXIS2_PLACEMENT_3D('',#234909,#189754,#189755); #161492=AXIS2_PLACEMENT_3D('',#234912,#189757,#189758); #161493=AXIS2_PLACEMENT_3D('',#234913,#189759,#189760); #161494=AXIS2_PLACEMENT_3D('',#234915,#189761,#189762); #161495=AXIS2_PLACEMENT_3D('',#234918,#189764,#189765); #161496=AXIS2_PLACEMENT_3D('',#234919,#189766,#189767); #161497=AXIS2_PLACEMENT_3D('',#234925,#189771,#189772); #161498=AXIS2_PLACEMENT_3D('',#234927,#189773,#189774); #161499=AXIS2_PLACEMENT_3D('',#234930,#189776,#189777); #161500=AXIS2_PLACEMENT_3D('',#234931,#189778,#189779); #161501=AXIS2_PLACEMENT_3D('',#234933,#189780,#189781); #161502=AXIS2_PLACEMENT_3D('',#234936,#189783,#189784); #161503=AXIS2_PLACEMENT_3D('',#234937,#189785,#189786); #161504=AXIS2_PLACEMENT_3D('',#234939,#189787,#189788); #161505=AXIS2_PLACEMENT_3D('',#234942,#189790,#189791); #161506=AXIS2_PLACEMENT_3D('',#234943,#189792,#189793); #161507=AXIS2_PLACEMENT_3D('',#234945,#189794,#189795); #161508=AXIS2_PLACEMENT_3D('',#234948,#189797,#189798); #161509=AXIS2_PLACEMENT_3D('',#234949,#189799,#189800); #161510=AXIS2_PLACEMENT_3D('',#234955,#189804,#189805); #161511=AXIS2_PLACEMENT_3D('',#234957,#189806,#189807); #161512=AXIS2_PLACEMENT_3D('',#234960,#189809,#189810); #161513=AXIS2_PLACEMENT_3D('',#234961,#189811,#189812); #161514=AXIS2_PLACEMENT_3D('',#234967,#189816,#189817); #161515=AXIS2_PLACEMENT_3D('',#234973,#189821,#189822); #161516=AXIS2_PLACEMENT_3D('',#234979,#189826,#189827); #161517=AXIS2_PLACEMENT_3D('',#234985,#189831,#189832); #161518=AXIS2_PLACEMENT_3D('',#234991,#189836,#189837); #161519=AXIS2_PLACEMENT_3D('',#234997,#189841,#189842); #161520=AXIS2_PLACEMENT_3D('',#235003,#189846,#189847); #161521=AXIS2_PLACEMENT_3D('',#235009,#189851,#189852); #161522=AXIS2_PLACEMENT_3D('',#235015,#189856,#189857); #161523=AXIS2_PLACEMENT_3D('',#235021,#189861,#189862); #161524=AXIS2_PLACEMENT_3D('',#235027,#189866,#189867); #161525=AXIS2_PLACEMENT_3D('',#235029,#189868,#189869); #161526=AXIS2_PLACEMENT_3D('',#235032,#189871,#189872); #161527=AXIS2_PLACEMENT_3D('',#235033,#189873,#189874); #161528=AXIS2_PLACEMENT_3D('',#235039,#189878,#189879); #161529=AXIS2_PLACEMENT_3D('',#235045,#189883,#189884); #161530=AXIS2_PLACEMENT_3D('',#235047,#189885,#189886); #161531=AXIS2_PLACEMENT_3D('',#235050,#189888,#189889); #161532=AXIS2_PLACEMENT_3D('',#235051,#189890,#189891); #161533=AXIS2_PLACEMENT_3D('',#235057,#189895,#189896); #161534=AXIS2_PLACEMENT_3D('',#235063,#189900,#189901); #161535=AXIS2_PLACEMENT_3D('',#235069,#189905,#189906); #161536=AXIS2_PLACEMENT_3D('',#235075,#189910,#189911); #161537=AXIS2_PLACEMENT_3D('',#235081,#189915,#189916); #161538=AXIS2_PLACEMENT_3D('',#235087,#189920,#189921); #161539=AXIS2_PLACEMENT_3D('',#235089,#189922,#189923); #161540=AXIS2_PLACEMENT_3D('',#235092,#189925,#189926); #161541=AXIS2_PLACEMENT_3D('',#235093,#189927,#189928); #161542=AXIS2_PLACEMENT_3D('',#235095,#189929,#189930); #161543=AXIS2_PLACEMENT_3D('',#235098,#189932,#189933); #161544=AXIS2_PLACEMENT_3D('',#235099,#189934,#189935); #161545=AXIS2_PLACEMENT_3D('',#235101,#189936,#189937); #161546=AXIS2_PLACEMENT_3D('',#235104,#189939,#189940); #161547=AXIS2_PLACEMENT_3D('',#235105,#189941,#189942); #161548=AXIS2_PLACEMENT_3D('',#235111,#189946,#189947); #161549=AXIS2_PLACEMENT_3D('',#235117,#189951,#189952); #161550=AXIS2_PLACEMENT_3D('',#235123,#189956,#189957); #161551=AXIS2_PLACEMENT_3D('',#235129,#189961,#189962); #161552=AXIS2_PLACEMENT_3D('',#235135,#189966,#189967); #161553=AXIS2_PLACEMENT_3D('',#235141,#189971,#189972); #161554=AXIS2_PLACEMENT_3D('',#235147,#189976,#189977); #161555=AXIS2_PLACEMENT_3D('',#235153,#189981,#189982); #161556=AXIS2_PLACEMENT_3D('',#235155,#189983,#189984); #161557=AXIS2_PLACEMENT_3D('',#235158,#189986,#189987); #161558=AXIS2_PLACEMENT_3D('',#235159,#189988,#189989); #161559=AXIS2_PLACEMENT_3D('',#235165,#189993,#189994); #161560=AXIS2_PLACEMENT_3D('',#235171,#189998,#189999); #161561=AXIS2_PLACEMENT_3D('',#235177,#190003,#190004); #161562=AXIS2_PLACEMENT_3D('',#235183,#190008,#190009); #161563=AXIS2_PLACEMENT_3D('',#235189,#190013,#190014); #161564=AXIS2_PLACEMENT_3D('',#235191,#190015,#190016); #161565=AXIS2_PLACEMENT_3D('',#235194,#190018,#190019); #161566=AXIS2_PLACEMENT_3D('',#235195,#190020,#190021); #161567=AXIS2_PLACEMENT_3D('',#235201,#190025,#190026); #161568=AXIS2_PLACEMENT_3D('',#235207,#190030,#190031); #161569=AXIS2_PLACEMENT_3D('',#235213,#190035,#190036); #161570=AXIS2_PLACEMENT_3D('',#235219,#190040,#190041); #161571=AXIS2_PLACEMENT_3D('',#235225,#190045,#190046); #161572=AXIS2_PLACEMENT_3D('',#235227,#190047,#190048); #161573=AXIS2_PLACEMENT_3D('',#235230,#190050,#190051); #161574=AXIS2_PLACEMENT_3D('',#235231,#190052,#190053); #161575=AXIS2_PLACEMENT_3D('',#235237,#190057,#190058); #161576=AXIS2_PLACEMENT_3D('',#235239,#190059,#190060); #161577=AXIS2_PLACEMENT_3D('',#235242,#190062,#190063); #161578=AXIS2_PLACEMENT_3D('',#235243,#190064,#190065); #161579=AXIS2_PLACEMENT_3D('',#235245,#190066,#190067); #161580=AXIS2_PLACEMENT_3D('',#235248,#190069,#190070); #161581=AXIS2_PLACEMENT_3D('',#235249,#190071,#190072); #161582=AXIS2_PLACEMENT_3D('',#235251,#190073,#190074); #161583=AXIS2_PLACEMENT_3D('',#235254,#190076,#190077); #161584=AXIS2_PLACEMENT_3D('',#235255,#190078,#190079); #161585=AXIS2_PLACEMENT_3D('',#235257,#190080,#190081); #161586=AXIS2_PLACEMENT_3D('',#235260,#190083,#190084); #161587=AXIS2_PLACEMENT_3D('',#235261,#190085,#190086); #161588=AXIS2_PLACEMENT_3D('',#235267,#190090,#190091); #161589=AXIS2_PLACEMENT_3D('',#235269,#190092,#190093); #161590=AXIS2_PLACEMENT_3D('',#235272,#190095,#190096); #161591=AXIS2_PLACEMENT_3D('',#235273,#190097,#190098); #161592=AXIS2_PLACEMENT_3D('',#235275,#190099,#190100); #161593=AXIS2_PLACEMENT_3D('',#235278,#190102,#190103); #161594=AXIS2_PLACEMENT_3D('',#235279,#190104,#190105); #161595=AXIS2_PLACEMENT_3D('',#235281,#190106,#190107); #161596=AXIS2_PLACEMENT_3D('',#235284,#190109,#190110); #161597=AXIS2_PLACEMENT_3D('',#235285,#190111,#190112); #161598=AXIS2_PLACEMENT_3D('',#235287,#190113,#190114); #161599=AXIS2_PLACEMENT_3D('',#235290,#190116,#190117); #161600=AXIS2_PLACEMENT_3D('',#235291,#190118,#190119); #161601=AXIS2_PLACEMENT_3D('',#235293,#190120,#190121); #161602=AXIS2_PLACEMENT_3D('',#235296,#190123,#190124); #161603=AXIS2_PLACEMENT_3D('',#235297,#190125,#190126); #161604=AXIS2_PLACEMENT_3D('',#235303,#190130,#190131); #161605=AXIS2_PLACEMENT_3D('',#235305,#190132,#190133); #161606=AXIS2_PLACEMENT_3D('',#235308,#190135,#190136); #161607=AXIS2_PLACEMENT_3D('',#235309,#190137,#190138); #161608=AXIS2_PLACEMENT_3D('',#235315,#190142,#190143); #161609=AXIS2_PLACEMENT_3D('',#235321,#190147,#190148); #161610=AXIS2_PLACEMENT_3D('',#235327,#190152,#190153); #161611=AXIS2_PLACEMENT_3D('',#235333,#190157,#190158); #161612=AXIS2_PLACEMENT_3D('',#235339,#190162,#190163); #161613=AXIS2_PLACEMENT_3D('',#235345,#190167,#190168); #161614=AXIS2_PLACEMENT_3D('',#235351,#190172,#190173); #161615=AXIS2_PLACEMENT_3D('',#235357,#190177,#190178); #161616=AXIS2_PLACEMENT_3D('',#235363,#190182,#190183); #161617=AXIS2_PLACEMENT_3D('',#235369,#190187,#190188); #161618=AXIS2_PLACEMENT_3D('',#235375,#190192,#190193); #161619=AXIS2_PLACEMENT_3D('',#235381,#190197,#190198); #161620=AXIS2_PLACEMENT_3D('',#235387,#190202,#190203); #161621=AXIS2_PLACEMENT_3D('',#235393,#190207,#190208); #161622=AXIS2_PLACEMENT_3D('',#235399,#190212,#190213); #161623=AXIS2_PLACEMENT_3D('',#235405,#190217,#190218); #161624=AXIS2_PLACEMENT_3D('',#235407,#190219,#190220); #161625=AXIS2_PLACEMENT_3D('',#235410,#190222,#190223); #161626=AXIS2_PLACEMENT_3D('',#235411,#190224,#190225); #161627=AXIS2_PLACEMENT_3D('',#235417,#190229,#190230); #161628=AXIS2_PLACEMENT_3D('',#235423,#190234,#190235); #161629=AXIS2_PLACEMENT_3D('',#235429,#190239,#190240); #161630=AXIS2_PLACEMENT_3D('',#235431,#190241,#190242); #161631=AXIS2_PLACEMENT_3D('',#235434,#190244,#190245); #161632=AXIS2_PLACEMENT_3D('',#235435,#190246,#190247); #161633=AXIS2_PLACEMENT_3D('',#235437,#190248,#190249); #161634=AXIS2_PLACEMENT_3D('',#235440,#190251,#190252); #161635=AXIS2_PLACEMENT_3D('',#235441,#190253,#190254); #161636=AXIS2_PLACEMENT_3D('',#235447,#190258,#190259); #161637=AXIS2_PLACEMENT_3D('',#235450,#190262,#190263); #161638=AXIS2_PLACEMENT_3D('',#235451,#190264,#190265); #161639=AXIS2_PLACEMENT_3D('',#235454,#190266,#190267); #161640=AXIS2_PLACEMENT_3D('',#235458,#190269,#190270); #161641=AXIS2_PLACEMENT_3D('',#235460,#190272,#190273); #161642=AXIS2_PLACEMENT_3D('',#235462,#190274,#190275); #161643=AXIS2_PLACEMENT_3D('',#235465,#190277,#190278); #161644=AXIS2_PLACEMENT_3D('',#235466,#190279,#190280); #161645=AXIS2_PLACEMENT_3D('',#235468,#190281,#190282); #161646=AXIS2_PLACEMENT_3D('',#235471,#190284,#190285); #161647=AXIS2_PLACEMENT_3D('',#235472,#190286,#190287); #161648=AXIS2_PLACEMENT_3D('',#235478,#190291,#190292); #161649=AXIS2_PLACEMENT_3D('',#235484,#190296,#190297); #161650=AXIS2_PLACEMENT_3D('',#235490,#190301,#190302); #161651=AXIS2_PLACEMENT_3D('',#235496,#190306,#190307); #161652=AXIS2_PLACEMENT_3D('',#235499,#190310,#190311); #161653=AXIS2_PLACEMENT_3D('',#235508,#190316,#190317); #161654=AXIS2_PLACEMENT_3D('',#235514,#190321,#190322); #161655=AXIS2_PLACEMENT_3D('',#235516,#190323,#190324); #161656=AXIS2_PLACEMENT_3D('',#235519,#190326,#190327); #161657=AXIS2_PLACEMENT_3D('',#235520,#190328,#190329); #161658=AXIS2_PLACEMENT_3D('',#235522,#190330,#190331); #161659=AXIS2_PLACEMENT_3D('',#235525,#190333,#190334); #161660=AXIS2_PLACEMENT_3D('',#235526,#190335,#190336); #161661=AXIS2_PLACEMENT_3D('',#235528,#190337,#190338); #161662=AXIS2_PLACEMENT_3D('',#235531,#190340,#190341); #161663=AXIS2_PLACEMENT_3D('',#235532,#190342,#190343); #161664=AXIS2_PLACEMENT_3D('',#235538,#190347,#190348); #161665=AXIS2_PLACEMENT_3D('',#235541,#190351,#190352); #161666=AXIS2_PLACEMENT_3D('',#235550,#190357,#190358); #161667=AXIS2_PLACEMENT_3D('',#235556,#190362,#190363); #161668=AXIS2_PLACEMENT_3D('',#235562,#190367,#190368); #161669=AXIS2_PLACEMENT_3D('',#235568,#190372,#190373); #161670=AXIS2_PLACEMENT_3D('',#235574,#190377,#190378); #161671=AXIS2_PLACEMENT_3D('',#235576,#190379,#190380); #161672=AXIS2_PLACEMENT_3D('',#235579,#190382,#190383); #161673=AXIS2_PLACEMENT_3D('',#235580,#190384,#190385); #161674=AXIS2_PLACEMENT_3D('',#235582,#190386,#190387); #161675=AXIS2_PLACEMENT_3D('',#235585,#190389,#190390); #161676=AXIS2_PLACEMENT_3D('',#235586,#190391,#190392); #161677=AXIS2_PLACEMENT_3D('',#235588,#190393,#190394); #161678=AXIS2_PLACEMENT_3D('',#235591,#190396,#190397); #161679=AXIS2_PLACEMENT_3D('',#235592,#190398,#190399); #161680=AXIS2_PLACEMENT_3D('',#235594,#190400,#190401); #161681=AXIS2_PLACEMENT_3D('',#235597,#190403,#190404); #161682=AXIS2_PLACEMENT_3D('',#235598,#190405,#190406); #161683=AXIS2_PLACEMENT_3D('',#235604,#190410,#190411); #161684=AXIS2_PLACEMENT_3D('',#235606,#190412,#190413); #161685=AXIS2_PLACEMENT_3D('',#235609,#190415,#190416); #161686=AXIS2_PLACEMENT_3D('',#235610,#190417,#190418); #161687=AXIS2_PLACEMENT_3D('',#235612,#190419,#190420); #161688=AXIS2_PLACEMENT_3D('',#235615,#190422,#190423); #161689=AXIS2_PLACEMENT_3D('',#235616,#190424,#190425); #161690=AXIS2_PLACEMENT_3D('',#235618,#190426,#190427); #161691=AXIS2_PLACEMENT_3D('',#235621,#190429,#190430); #161692=AXIS2_PLACEMENT_3D('',#235622,#190431,#190432); #161693=AXIS2_PLACEMENT_3D('',#235628,#190436,#190437); #161694=AXIS2_PLACEMENT_3D('',#235634,#190441,#190442); #161695=AXIS2_PLACEMENT_3D('',#235640,#190446,#190447); #161696=AXIS2_PLACEMENT_3D('',#235646,#190451,#190452); #161697=AXIS2_PLACEMENT_3D('',#235652,#190456,#190457); #161698=AXIS2_PLACEMENT_3D('',#235658,#190461,#190462); #161699=AXIS2_PLACEMENT_3D('',#235664,#190466,#190467); #161700=AXIS2_PLACEMENT_3D('',#235670,#190471,#190472); #161701=AXIS2_PLACEMENT_3D('',#235676,#190476,#190477); #161702=AXIS2_PLACEMENT_3D('',#235682,#190481,#190482); #161703=AXIS2_PLACEMENT_3D('',#235688,#190486,#190487); #161704=AXIS2_PLACEMENT_3D('',#235694,#190491,#190492); #161705=AXIS2_PLACEMENT_3D('',#235700,#190496,#190497); #161706=AXIS2_PLACEMENT_3D('',#235706,#190501,#190502); #161707=AXIS2_PLACEMENT_3D('',#235712,#190506,#190507); #161708=AXIS2_PLACEMENT_3D('',#235718,#190511,#190512); #161709=AXIS2_PLACEMENT_3D('',#235724,#190516,#190517); #161710=AXIS2_PLACEMENT_3D('',#235730,#190521,#190522); #161711=AXIS2_PLACEMENT_3D('',#235736,#190526,#190527); #161712=AXIS2_PLACEMENT_3D('',#235742,#190531,#190532); #161713=AXIS2_PLACEMENT_3D('',#235748,#190536,#190537); #161714=AXIS2_PLACEMENT_3D('',#235754,#190541,#190542); #161715=AXIS2_PLACEMENT_3D('',#235760,#190546,#190547); #161716=AXIS2_PLACEMENT_3D('',#235766,#190551,#190552); #161717=AXIS2_PLACEMENT_3D('',#235772,#190556,#190557); #161718=AXIS2_PLACEMENT_3D('',#235778,#190561,#190562); #161719=AXIS2_PLACEMENT_3D('',#235780,#190563,#190564); #161720=AXIS2_PLACEMENT_3D('',#235783,#190566,#190567); #161721=AXIS2_PLACEMENT_3D('',#235784,#190568,#190569); #161722=AXIS2_PLACEMENT_3D('',#235790,#190573,#190574); #161723=AXIS2_PLACEMENT_3D('',#235796,#190578,#190579); #161724=AXIS2_PLACEMENT_3D('',#235798,#190580,#190581); #161725=AXIS2_PLACEMENT_3D('',#235801,#190583,#190584); #161726=AXIS2_PLACEMENT_3D('',#235802,#190585,#190586); #161727=AXIS2_PLACEMENT_3D('',#235808,#190590,#190591); #161728=AXIS2_PLACEMENT_3D('',#235814,#190595,#190596); #161729=AXIS2_PLACEMENT_3D('',#235820,#190600,#190601); #161730=AXIS2_PLACEMENT_3D('',#235826,#190605,#190606); #161731=AXIS2_PLACEMENT_3D('',#235832,#190610,#190611); #161732=AXIS2_PLACEMENT_3D('',#235838,#190615,#190616); #161733=AXIS2_PLACEMENT_3D('',#235844,#190620,#190621); #161734=AXIS2_PLACEMENT_3D('',#235850,#190625,#190626); #161735=AXIS2_PLACEMENT_3D('',#235856,#190630,#190631); #161736=AXIS2_PLACEMENT_3D('',#235862,#190635,#190636); #161737=AXIS2_PLACEMENT_3D('',#235868,#190640,#190641); #161738=AXIS2_PLACEMENT_3D('',#235874,#190645,#190646); #161739=AXIS2_PLACEMENT_3D('',#235880,#190650,#190651); #161740=AXIS2_PLACEMENT_3D('',#235886,#190655,#190656); #161741=AXIS2_PLACEMENT_3D('',#235892,#190660,#190661); #161742=AXIS2_PLACEMENT_3D('',#235898,#190665,#190666); #161743=AXIS2_PLACEMENT_3D('',#235904,#190670,#190671); #161744=AXIS2_PLACEMENT_3D('',#235910,#190675,#190676); #161745=AXIS2_PLACEMENT_3D('',#235916,#190680,#190681); #161746=AXIS2_PLACEMENT_3D('',#235922,#190685,#190686); #161747=AXIS2_PLACEMENT_3D('',#235928,#190690,#190691); #161748=AXIS2_PLACEMENT_3D('',#235934,#190695,#190696); #161749=AXIS2_PLACEMENT_3D('',#235937,#190699,#190700); #161750=AXIS2_PLACEMENT_3D('',#235938,#190701,#190702); #161751=AXIS2_PLACEMENT_3D('',#235947,#190707,#190708); #161752=AXIS2_PLACEMENT_3D('',#235953,#190712,#190713); #161753=AXIS2_PLACEMENT_3D('',#235959,#190717,#190718); #161754=AXIS2_PLACEMENT_3D('',#235965,#190722,#190723); #161755=AXIS2_PLACEMENT_3D('',#235971,#190727,#190728); #161756=AXIS2_PLACEMENT_3D('',#235977,#190732,#190733); #161757=AXIS2_PLACEMENT_3D('',#235983,#190737,#190738); #161758=AXIS2_PLACEMENT_3D('',#235989,#190742,#190743); #161759=AXIS2_PLACEMENT_3D('',#235995,#190747,#190748); #161760=AXIS2_PLACEMENT_3D('',#236001,#190752,#190753); #161761=AXIS2_PLACEMENT_3D('',#236007,#190757,#190758); #161762=AXIS2_PLACEMENT_3D('',#236013,#190762,#190763); #161763=AXIS2_PLACEMENT_3D('',#236019,#190767,#190768); #161764=AXIS2_PLACEMENT_3D('',#236025,#190772,#190773); #161765=AXIS2_PLACEMENT_3D('',#236031,#190777,#190778); #161766=AXIS2_PLACEMENT_3D('',#236037,#190782,#190783); #161767=AXIS2_PLACEMENT_3D('',#236043,#190787,#190788); #161768=AXIS2_PLACEMENT_3D('',#236049,#190792,#190793); #161769=AXIS2_PLACEMENT_3D('',#236055,#190797,#190798); #161770=AXIS2_PLACEMENT_3D('',#236057,#190799,#190800); #161771=AXIS2_PLACEMENT_3D('',#236060,#190802,#190803); #161772=AXIS2_PLACEMENT_3D('',#236061,#190804,#190805); #161773=AXIS2_PLACEMENT_3D('',#236063,#190806,#190807); #161774=AXIS2_PLACEMENT_3D('',#236066,#190809,#190810); #161775=AXIS2_PLACEMENT_3D('',#236067,#190811,#190812); #161776=AXIS2_PLACEMENT_3D('',#236073,#190816,#190817); #161777=AXIS2_PLACEMENT_3D('',#236079,#190821,#190822); #161778=AXIS2_PLACEMENT_3D('',#236085,#190826,#190827); #161779=AXIS2_PLACEMENT_3D('',#236091,#190831,#190832); #161780=AXIS2_PLACEMENT_3D('',#236097,#190836,#190837); #161781=AXIS2_PLACEMENT_3D('',#236103,#190841,#190842); #161782=AXIS2_PLACEMENT_3D('',#236109,#190846,#190847); #161783=AXIS2_PLACEMENT_3D('',#236115,#190851,#190852); #161784=AXIS2_PLACEMENT_3D('',#236121,#190856,#190857); #161785=AXIS2_PLACEMENT_3D('',#236127,#190861,#190862); #161786=AXIS2_PLACEMENT_3D('',#236133,#190866,#190867); #161787=AXIS2_PLACEMENT_3D('',#236139,#190871,#190872); #161788=AXIS2_PLACEMENT_3D('',#236145,#190876,#190877); #161789=AXIS2_PLACEMENT_3D('',#236151,#190881,#190882); #161790=AXIS2_PLACEMENT_3D('',#236157,#190886,#190887); #161791=AXIS2_PLACEMENT_3D('',#236163,#190891,#190892); #161792=AXIS2_PLACEMENT_3D('',#236169,#190896,#190897); #161793=AXIS2_PLACEMENT_3D('',#236175,#190901,#190902); #161794=AXIS2_PLACEMENT_3D('',#236181,#190906,#190907); #161795=AXIS2_PLACEMENT_3D('',#236187,#190911,#190912); #161796=AXIS2_PLACEMENT_3D('',#236193,#190916,#190917); #161797=AXIS2_PLACEMENT_3D('',#236199,#190921,#190922); #161798=AXIS2_PLACEMENT_3D('',#236205,#190926,#190927); #161799=AXIS2_PLACEMENT_3D('',#236207,#190928,#190929); #161800=AXIS2_PLACEMENT_3D('',#236210,#190931,#190932); #161801=AXIS2_PLACEMENT_3D('',#236211,#190933,#190934); #161802=AXIS2_PLACEMENT_3D('',#236217,#190938,#190939); #161803=AXIS2_PLACEMENT_3D('',#236223,#190943,#190944); #161804=AXIS2_PLACEMENT_3D('',#236229,#190948,#190949); #161805=AXIS2_PLACEMENT_3D('',#236231,#190950,#190951); #161806=AXIS2_PLACEMENT_3D('',#236234,#190953,#190954); #161807=AXIS2_PLACEMENT_3D('',#236235,#190955,#190956); #161808=AXIS2_PLACEMENT_3D('',#236241,#190960,#190961); #161809=AXIS2_PLACEMENT_3D('',#236247,#190965,#190966); #161810=AXIS2_PLACEMENT_3D('',#236253,#190970,#190971); #161811=AXIS2_PLACEMENT_3D('',#236255,#190972,#190973); #161812=AXIS2_PLACEMENT_3D('',#236258,#190975,#190976); #161813=AXIS2_PLACEMENT_3D('',#236259,#190977,#190978); #161814=AXIS2_PLACEMENT_3D('',#236265,#190982,#190983); #161815=AXIS2_PLACEMENT_3D('',#236271,#190987,#190988); #161816=AXIS2_PLACEMENT_3D('',#236277,#190992,#190993); #161817=AXIS2_PLACEMENT_3D('',#236283,#190997,#190998); #161818=AXIS2_PLACEMENT_3D('',#236289,#191002,#191003); #161819=AXIS2_PLACEMENT_3D('',#236295,#191007,#191008); #161820=AXIS2_PLACEMENT_3D('',#236301,#191012,#191013); #161821=AXIS2_PLACEMENT_3D('',#236307,#191017,#191018); #161822=AXIS2_PLACEMENT_3D('',#236309,#191019,#191020); #161823=AXIS2_PLACEMENT_3D('',#236312,#191022,#191023); #161824=AXIS2_PLACEMENT_3D('',#236313,#191024,#191025); #161825=AXIS2_PLACEMENT_3D('',#236319,#191029,#191030); #161826=AXIS2_PLACEMENT_3D('',#236325,#191034,#191035); #161827=AXIS2_PLACEMENT_3D('',#236331,#191039,#191040); #161828=AXIS2_PLACEMENT_3D('',#236337,#191044,#191045); #161829=AXIS2_PLACEMENT_3D('',#236343,#191049,#191050); #161830=AXIS2_PLACEMENT_3D('',#236349,#191054,#191055); #161831=AXIS2_PLACEMENT_3D('',#236351,#191056,#191057); #161832=AXIS2_PLACEMENT_3D('',#236354,#191059,#191060); #161833=AXIS2_PLACEMENT_3D('',#236355,#191061,#191062); #161834=AXIS2_PLACEMENT_3D('',#236361,#191066,#191067); #161835=AXIS2_PLACEMENT_3D('',#236367,#191071,#191072); #161836=AXIS2_PLACEMENT_3D('',#236373,#191076,#191077); #161837=AXIS2_PLACEMENT_3D('',#236379,#191081,#191082); #161838=AXIS2_PLACEMENT_3D('',#236385,#191086,#191087); #161839=AXIS2_PLACEMENT_3D('',#236391,#191091,#191092); #161840=AXIS2_PLACEMENT_3D('',#236397,#191096,#191097); #161841=AXIS2_PLACEMENT_3D('',#236403,#191101,#191102); #161842=AXIS2_PLACEMENT_3D('',#236409,#191106,#191107); #161843=AXIS2_PLACEMENT_3D('',#236411,#191108,#191109); #161844=AXIS2_PLACEMENT_3D('',#236414,#191111,#191112); #161845=AXIS2_PLACEMENT_3D('',#236415,#191113,#191114); #161846=AXIS2_PLACEMENT_3D('',#236417,#191115,#191116); #161847=AXIS2_PLACEMENT_3D('',#236420,#191118,#191119); #161848=AXIS2_PLACEMENT_3D('',#236421,#191120,#191121); #161849=AXIS2_PLACEMENT_3D('',#236423,#191122,#191123); #161850=AXIS2_PLACEMENT_3D('',#236426,#191125,#191126); #161851=AXIS2_PLACEMENT_3D('',#236427,#191127,#191128); #161852=AXIS2_PLACEMENT_3D('',#236429,#191129,#191130); #161853=AXIS2_PLACEMENT_3D('',#236432,#191132,#191133); #161854=AXIS2_PLACEMENT_3D('',#236433,#191134,#191135); #161855=AXIS2_PLACEMENT_3D('',#236439,#191139,#191140); #161856=AXIS2_PLACEMENT_3D('',#236445,#191144,#191145); #161857=AXIS2_PLACEMENT_3D('',#236451,#191149,#191150); #161858=AXIS2_PLACEMENT_3D('',#236457,#191154,#191155); #161859=AXIS2_PLACEMENT_3D('',#236463,#191159,#191160); #161860=AXIS2_PLACEMENT_3D('',#236469,#191164,#191165); #161861=AXIS2_PLACEMENT_3D('',#236475,#191169,#191170); #161862=AXIS2_PLACEMENT_3D('',#236481,#191174,#191175); #161863=AXIS2_PLACEMENT_3D('',#236487,#191179,#191180); #161864=AXIS2_PLACEMENT_3D('',#236493,#191184,#191185); #161865=AXIS2_PLACEMENT_3D('',#236499,#191189,#191190); #161866=AXIS2_PLACEMENT_3D('',#236505,#191194,#191195); #161867=AXIS2_PLACEMENT_3D('',#236511,#191199,#191200); #161868=AXIS2_PLACEMENT_3D('',#236517,#191204,#191205); #161869=AXIS2_PLACEMENT_3D('',#236523,#191209,#191210); #161870=AXIS2_PLACEMENT_3D('',#236529,#191214,#191215); #161871=AXIS2_PLACEMENT_3D('',#236535,#191219,#191220); #161872=AXIS2_PLACEMENT_3D('',#236541,#191224,#191225); #161873=AXIS2_PLACEMENT_3D('',#236547,#191229,#191230); #161874=AXIS2_PLACEMENT_3D('',#236553,#191234,#191235); #161875=AXIS2_PLACEMENT_3D('',#236559,#191239,#191240); #161876=AXIS2_PLACEMENT_3D('',#236565,#191244,#191245); #161877=AXIS2_PLACEMENT_3D('',#236571,#191249,#191250); #161878=AXIS2_PLACEMENT_3D('',#236577,#191254,#191255); #161879=AXIS2_PLACEMENT_3D('',#236583,#191259,#191260); #161880=AXIS2_PLACEMENT_3D('',#236585,#191261,#191262); #161881=AXIS2_PLACEMENT_3D('',#236588,#191264,#191265); #161882=AXIS2_PLACEMENT_3D('',#236589,#191266,#191267); #161883=AXIS2_PLACEMENT_3D('',#236595,#191271,#191272); #161884=AXIS2_PLACEMENT_3D('',#236601,#191276,#191277); #161885=AXIS2_PLACEMENT_3D('',#236603,#191278,#191279); #161886=AXIS2_PLACEMENT_3D('',#236606,#191281,#191282); #161887=AXIS2_PLACEMENT_3D('',#236607,#191283,#191284); #161888=AXIS2_PLACEMENT_3D('',#236613,#191288,#191289); #161889=AXIS2_PLACEMENT_3D('',#236619,#191293,#191294); #161890=AXIS2_PLACEMENT_3D('',#236625,#191298,#191299); #161891=AXIS2_PLACEMENT_3D('',#236631,#191303,#191304); #161892=AXIS2_PLACEMENT_3D('',#236637,#191308,#191309); #161893=AXIS2_PLACEMENT_3D('',#236643,#191313,#191314); #161894=AXIS2_PLACEMENT_3D('',#236649,#191318,#191319); #161895=AXIS2_PLACEMENT_3D('',#236655,#191323,#191324); #161896=AXIS2_PLACEMENT_3D('',#236661,#191328,#191329); #161897=AXIS2_PLACEMENT_3D('',#236667,#191333,#191334); #161898=AXIS2_PLACEMENT_3D('',#236673,#191338,#191339); #161899=AXIS2_PLACEMENT_3D('',#236679,#191343,#191344); #161900=AXIS2_PLACEMENT_3D('',#236685,#191348,#191349); #161901=AXIS2_PLACEMENT_3D('',#236691,#191353,#191354); #161902=AXIS2_PLACEMENT_3D('',#236697,#191358,#191359); #161903=AXIS2_PLACEMENT_3D('',#236703,#191363,#191364); #161904=AXIS2_PLACEMENT_3D('',#236709,#191368,#191369); #161905=AXIS2_PLACEMENT_3D('',#236715,#191373,#191374); #161906=AXIS2_PLACEMENT_3D('',#236721,#191378,#191379); #161907=AXIS2_PLACEMENT_3D('',#236727,#191383,#191384); #161908=AXIS2_PLACEMENT_3D('',#236733,#191388,#191389); #161909=AXIS2_PLACEMENT_3D('',#236739,#191393,#191394); #161910=AXIS2_PLACEMENT_3D('',#236745,#191398,#191399); #161911=AXIS2_PLACEMENT_3D('',#236751,#191403,#191404); #161912=AXIS2_PLACEMENT_3D('',#236757,#191408,#191409); #161913=AXIS2_PLACEMENT_3D('',#236763,#191413,#191414); #161914=AXIS2_PLACEMENT_3D('',#236769,#191418,#191419); #161915=AXIS2_PLACEMENT_3D('',#236771,#191420,#191421); #161916=AXIS2_PLACEMENT_3D('',#236774,#191423,#191424); #161917=AXIS2_PLACEMENT_3D('',#236775,#191425,#191426); #161918=AXIS2_PLACEMENT_3D('',#236777,#191427,#191428); #161919=AXIS2_PLACEMENT_3D('',#236780,#191430,#191431); #161920=AXIS2_PLACEMENT_3D('',#236781,#191432,#191433); #161921=AXIS2_PLACEMENT_3D('',#236787,#191437,#191438); #161922=AXIS2_PLACEMENT_3D('',#236793,#191442,#191443); #161923=AXIS2_PLACEMENT_3D('',#236799,#191447,#191448); #161924=AXIS2_PLACEMENT_3D('',#236805,#191452,#191453); #161925=AXIS2_PLACEMENT_3D('',#236811,#191457,#191458); #161926=AXIS2_PLACEMENT_3D('',#236817,#191462,#191463); #161927=AXIS2_PLACEMENT_3D('',#236823,#191467,#191468); #161928=AXIS2_PLACEMENT_3D('',#236829,#191472,#191473); #161929=AXIS2_PLACEMENT_3D('',#236835,#191477,#191478); #161930=AXIS2_PLACEMENT_3D('',#236841,#191482,#191483); #161931=AXIS2_PLACEMENT_3D('',#236847,#191487,#191488); #161932=AXIS2_PLACEMENT_3D('',#236853,#191492,#191493); #161933=AXIS2_PLACEMENT_3D('',#236859,#191497,#191498); #161934=AXIS2_PLACEMENT_3D('',#236865,#191502,#191503); #161935=AXIS2_PLACEMENT_3D('',#236871,#191507,#191508); #161936=AXIS2_PLACEMENT_3D('',#236877,#191512,#191513); #161937=AXIS2_PLACEMENT_3D('',#236883,#191517,#191518); #161938=AXIS2_PLACEMENT_3D('',#236889,#191522,#191523); #161939=AXIS2_PLACEMENT_3D('',#236895,#191527,#191528); #161940=AXIS2_PLACEMENT_3D('',#236901,#191532,#191533); #161941=AXIS2_PLACEMENT_3D('',#236907,#191537,#191538); #161942=AXIS2_PLACEMENT_3D('',#236913,#191542,#191543); #161943=AXIS2_PLACEMENT_3D('',#236919,#191547,#191548); #161944=AXIS2_PLACEMENT_3D('',#236925,#191552,#191553); #161945=AXIS2_PLACEMENT_3D('',#236931,#191557,#191558); #161946=AXIS2_PLACEMENT_3D('',#236937,#191562,#191563); #161947=AXIS2_PLACEMENT_3D('',#236939,#191564,#191565); #161948=AXIS2_PLACEMENT_3D('',#236942,#191567,#191568); #161949=AXIS2_PLACEMENT_3D('',#236943,#191569,#191570); #161950=AXIS2_PLACEMENT_3D('',#236949,#191574,#191575); #161951=AXIS2_PLACEMENT_3D('',#236955,#191579,#191580); #161952=AXIS2_PLACEMENT_3D('',#236961,#191584,#191585); #161953=AXIS2_PLACEMENT_3D('',#236967,#191589,#191590); #161954=AXIS2_PLACEMENT_3D('',#236969,#191591,#191592); #161955=AXIS2_PLACEMENT_3D('',#236972,#191594,#191595); #161956=AXIS2_PLACEMENT_3D('',#236973,#191596,#191597); #161957=AXIS2_PLACEMENT_3D('',#236979,#191601,#191602); #161958=AXIS2_PLACEMENT_3D('',#236985,#191606,#191607); #161959=AXIS2_PLACEMENT_3D('',#236991,#191611,#191612); #161960=AXIS2_PLACEMENT_3D('',#236997,#191616,#191617); #161961=AXIS2_PLACEMENT_3D('',#237003,#191621,#191622); #161962=AXIS2_PLACEMENT_3D('',#237009,#191626,#191627); #161963=AXIS2_PLACEMENT_3D('',#237012,#191630,#191631); #161964=AXIS2_PLACEMENT_3D('',#237013,#191632,#191633); #161965=AXIS2_PLACEMENT_3D('',#237016,#191634,#191635); #161966=AXIS2_PLACEMENT_3D('',#237020,#191637,#191638); #161967=AXIS2_PLACEMENT_3D('',#237022,#191640,#191641); #161968=AXIS2_PLACEMENT_3D('',#237024,#191642,#191643); #161969=AXIS2_PLACEMENT_3D('',#237027,#191645,#191646); #161970=AXIS2_PLACEMENT_3D('',#237028,#191647,#191648); #161971=AXIS2_PLACEMENT_3D('',#237030,#191649,#191650); #161972=AXIS2_PLACEMENT_3D('',#237033,#191652,#191653); #161973=AXIS2_PLACEMENT_3D('',#237034,#191654,#191655); #161974=AXIS2_PLACEMENT_3D('',#237036,#191656,#191657); #161975=AXIS2_PLACEMENT_3D('',#237039,#191659,#191660); #161976=AXIS2_PLACEMENT_3D('',#237040,#191661,#191662); #161977=AXIS2_PLACEMENT_3D('',#237042,#191663,#191664); #161978=AXIS2_PLACEMENT_3D('',#237045,#191666,#191667); #161979=AXIS2_PLACEMENT_3D('',#237046,#191668,#191669); #161980=AXIS2_PLACEMENT_3D('',#237048,#191670,#191671); #161981=AXIS2_PLACEMENT_3D('',#237051,#191673,#191674); #161982=AXIS2_PLACEMENT_3D('',#237052,#191675,#191676); #161983=AXIS2_PLACEMENT_3D('',#237054,#191677,#191678); #161984=AXIS2_PLACEMENT_3D('',#237057,#191680,#191681); #161985=AXIS2_PLACEMENT_3D('',#237058,#191682,#191683); #161986=AXIS2_PLACEMENT_3D('',#237064,#191687,#191688); #161987=AXIS2_PLACEMENT_3D('',#237066,#191689,#191690); #161988=AXIS2_PLACEMENT_3D('',#237069,#191692,#191693); #161989=AXIS2_PLACEMENT_3D('',#237070,#191694,#191695); #161990=AXIS2_PLACEMENT_3D('',#237072,#191696,#191697); #161991=AXIS2_PLACEMENT_3D('',#237075,#191699,#191700); #161992=AXIS2_PLACEMENT_3D('',#237076,#191701,#191702); #161993=AXIS2_PLACEMENT_3D('',#237077,#191703,#191704); #161994=AXIS2_PLACEMENT_3D('',#237078,#191705,#191706); #161995=AXIS2_PLACEMENT_3D('',#237079,#191707,#191708); #161996=AXIS2_PLACEMENT_3D('',#237088,#191713,#191714); #161997=AXIS2_PLACEMENT_3D('',#237090,#191715,#191716); #161998=AXIS2_PLACEMENT_3D('',#237093,#191718,#191719); #161999=AXIS2_PLACEMENT_3D('',#237094,#191720,#191721); #162000=AXIS2_PLACEMENT_3D('',#237096,#191722,#191723); #162001=AXIS2_PLACEMENT_3D('',#237099,#191725,#191726); #162002=AXIS2_PLACEMENT_3D('',#237100,#191727,#191728); #162003=AXIS2_PLACEMENT_3D('',#237102,#191729,#191730); #162004=AXIS2_PLACEMENT_3D('',#237105,#191732,#191733); #162005=AXIS2_PLACEMENT_3D('',#237106,#191734,#191735); #162006=AXIS2_PLACEMENT_3D('',#237108,#191736,#191737); #162007=AXIS2_PLACEMENT_3D('',#237111,#191739,#191740); #162008=AXIS2_PLACEMENT_3D('',#237112,#191741,#191742); #162009=AXIS2_PLACEMENT_3D('',#237114,#191743,#191744); #162010=AXIS2_PLACEMENT_3D('',#237117,#191746,#191747); #162011=AXIS2_PLACEMENT_3D('',#237118,#191748,#191749); #162012=AXIS2_PLACEMENT_3D('',#237120,#191750,#191751); #162013=AXIS2_PLACEMENT_3D('',#237123,#191753,#191754); #162014=AXIS2_PLACEMENT_3D('',#237124,#191755,#191756); #162015=AXIS2_PLACEMENT_3D('',#237126,#191757,#191758); #162016=AXIS2_PLACEMENT_3D('',#237129,#191760,#191761); #162017=AXIS2_PLACEMENT_3D('',#237130,#191762,#191763); #162018=AXIS2_PLACEMENT_3D('',#237132,#191764,#191765); #162019=AXIS2_PLACEMENT_3D('',#237135,#191767,#191768); #162020=AXIS2_PLACEMENT_3D('',#237136,#191769,#191770); #162021=AXIS2_PLACEMENT_3D('',#237137,#191771,#191772); #162022=AXIS2_PLACEMENT_3D('',#237138,#191773,#191774); #162023=AXIS2_PLACEMENT_3D('',#237139,#191775,#191776); #162024=AXIS2_PLACEMENT_3D('',#237140,#191777,#191778); #162025=AXIS2_PLACEMENT_3D('',#237143,#191779,#191780); #162026=AXIS2_PLACEMENT_3D('',#237147,#191782,#191783); #162027=AXIS2_PLACEMENT_3D('',#237149,#191785,#191786); #162028=AXIS2_PLACEMENT_3D('',#237151,#191787,#191788); #162029=AXIS2_PLACEMENT_3D('',#237154,#191790,#191791); #162030=AXIS2_PLACEMENT_3D('',#237155,#191792,#191793); #162031=AXIS2_PLACEMENT_3D('',#237161,#191797,#191798); #162032=AXIS2_PLACEMENT_3D('',#237163,#191799,#191800); #162033=AXIS2_PLACEMENT_3D('',#237166,#191802,#191803); #162034=AXIS2_PLACEMENT_3D('',#237167,#191804,#191805); #162035=AXIS2_PLACEMENT_3D('',#237173,#191809,#191810); #162036=AXIS2_PLACEMENT_3D('',#237175,#191811,#191812); #162037=AXIS2_PLACEMENT_3D('',#237178,#191814,#191815); #162038=AXIS2_PLACEMENT_3D('',#237179,#191816,#191817); #162039=AXIS2_PLACEMENT_3D('',#237185,#191821,#191822); #162040=AXIS2_PLACEMENT_3D('',#237191,#191826,#191827); #162041=AXIS2_PLACEMENT_3D('',#237197,#191831,#191832); #162042=AXIS2_PLACEMENT_3D('',#237199,#191833,#191834); #162043=AXIS2_PLACEMENT_3D('',#237202,#191836,#191837); #162044=AXIS2_PLACEMENT_3D('',#237203,#191838,#191839); #162045=AXIS2_PLACEMENT_3D('',#237209,#191843,#191844); #162046=AXIS2_PLACEMENT_3D('',#237215,#191848,#191849); #162047=AXIS2_PLACEMENT_3D('',#237221,#191853,#191854); #162048=AXIS2_PLACEMENT_3D('',#237223,#191855,#191856); #162049=AXIS2_PLACEMENT_3D('',#237226,#191858,#191859); #162050=AXIS2_PLACEMENT_3D('',#237227,#191860,#191861); #162051=AXIS2_PLACEMENT_3D('',#237229,#191862,#191863); #162052=AXIS2_PLACEMENT_3D('',#237232,#191865,#191866); #162053=AXIS2_PLACEMENT_3D('',#237233,#191867,#191868); #162054=AXIS2_PLACEMENT_3D('',#237239,#191872,#191873); #162055=AXIS2_PLACEMENT_3D('',#237245,#191877,#191878); #162056=AXIS2_PLACEMENT_3D('',#237247,#191879,#191880); #162057=AXIS2_PLACEMENT_3D('',#237250,#191882,#191883); #162058=AXIS2_PLACEMENT_3D('',#237251,#191884,#191885); #162059=AXIS2_PLACEMENT_3D('',#237257,#191889,#191890); #162060=AXIS2_PLACEMENT_3D('',#237263,#191894,#191895); #162061=AXIS2_PLACEMENT_3D('',#237269,#191899,#191900); #162062=AXIS2_PLACEMENT_3D('',#237275,#191904,#191905); #162063=AXIS2_PLACEMENT_3D('',#237281,#191909,#191910); #162064=AXIS2_PLACEMENT_3D('',#237287,#191914,#191915); #162065=AXIS2_PLACEMENT_3D('',#237289,#191916,#191917); #162066=AXIS2_PLACEMENT_3D('',#237292,#191919,#191920); #162067=AXIS2_PLACEMENT_3D('',#237293,#191921,#191922); #162068=AXIS2_PLACEMENT_3D('',#237295,#191923,#191924); #162069=AXIS2_PLACEMENT_3D('',#237298,#191926,#191927); #162070=AXIS2_PLACEMENT_3D('',#237299,#191928,#191929); #162071=AXIS2_PLACEMENT_3D('',#237301,#191930,#191931); #162072=AXIS2_PLACEMENT_3D('',#237304,#191933,#191934); #162073=AXIS2_PLACEMENT_3D('',#237305,#191935,#191936); #162074=AXIS2_PLACEMENT_3D('',#237307,#191937,#191938); #162075=AXIS2_PLACEMENT_3D('',#237310,#191940,#191941); #162076=AXIS2_PLACEMENT_3D('',#237311,#191942,#191943); #162077=AXIS2_PLACEMENT_3D('',#237317,#191947,#191948); #162078=AXIS2_PLACEMENT_3D('',#237319,#191949,#191950); #162079=AXIS2_PLACEMENT_3D('',#237322,#191952,#191953); #162080=AXIS2_PLACEMENT_3D('',#237323,#191954,#191955); #162081=AXIS2_PLACEMENT_3D('',#237329,#191959,#191960); #162082=AXIS2_PLACEMENT_3D('',#237331,#191961,#191962); #162083=AXIS2_PLACEMENT_3D('',#237334,#191964,#191965); #162084=AXIS2_PLACEMENT_3D('',#237335,#191966,#191967); #162085=AXIS2_PLACEMENT_3D('',#237341,#191971,#191972); #162086=AXIS2_PLACEMENT_3D('',#237343,#191973,#191974); #162087=AXIS2_PLACEMENT_3D('',#237346,#191976,#191977); #162088=AXIS2_PLACEMENT_3D('',#237347,#191978,#191979); #162089=AXIS2_PLACEMENT_3D('',#237353,#191983,#191984); #162090=AXIS2_PLACEMENT_3D('',#237359,#191988,#191989); #162091=AXIS2_PLACEMENT_3D('',#237361,#191990,#191991); #162092=AXIS2_PLACEMENT_3D('',#237364,#191993,#191994); #162093=AXIS2_PLACEMENT_3D('',#237365,#191995,#191996); #162094=AXIS2_PLACEMENT_3D('',#237371,#192000,#192001); #162095=AXIS2_PLACEMENT_3D('',#237373,#192002,#192003); #162096=AXIS2_PLACEMENT_3D('',#237376,#192005,#192006); #162097=AXIS2_PLACEMENT_3D('',#237377,#192007,#192008); #162098=AXIS2_PLACEMENT_3D('',#237383,#192012,#192013); #162099=AXIS2_PLACEMENT_3D('',#237385,#192014,#192015); #162100=AXIS2_PLACEMENT_3D('',#237388,#192017,#192018); #162101=AXIS2_PLACEMENT_3D('',#237389,#192019,#192020); #162102=AXIS2_PLACEMENT_3D('',#237395,#192024,#192025); #162103=AXIS2_PLACEMENT_3D('',#237401,#192029,#192030); #162104=AXIS2_PLACEMENT_3D('',#237404,#192033,#192034); #162105=AXIS2_PLACEMENT_3D('',#237405,#192035,#192036); #162106=AXIS2_PLACEMENT_3D('',#237414,#192041,#192042); #162107=AXIS2_PLACEMENT_3D('',#237420,#192046,#192047); #162108=AXIS2_PLACEMENT_3D('',#237426,#192051,#192052); #162109=AXIS2_PLACEMENT_3D('',#237432,#192056,#192057); #162110=AXIS2_PLACEMENT_3D('',#237438,#192061,#192062); #162111=AXIS2_PLACEMENT_3D('',#237444,#192066,#192067); #162112=AXIS2_PLACEMENT_3D('',#237450,#192071,#192072); #162113=AXIS2_PLACEMENT_3D('',#237456,#192076,#192077); #162114=AXIS2_PLACEMENT_3D('',#237462,#192081,#192082); #162115=AXIS2_PLACEMENT_3D('',#237468,#192086,#192087); #162116=AXIS2_PLACEMENT_3D('',#237474,#192091,#192092); #162117=AXIS2_PLACEMENT_3D('',#237480,#192096,#192097); #162118=AXIS2_PLACEMENT_3D('',#237486,#192101,#192102); #162119=AXIS2_PLACEMENT_3D('',#237492,#192106,#192107); #162120=AXIS2_PLACEMENT_3D('',#237494,#192108,#192109); #162121=AXIS2_PLACEMENT_3D('',#237497,#192111,#192112); #162122=AXIS2_PLACEMENT_3D('',#237498,#192113,#192114); #162123=AXIS2_PLACEMENT_3D('',#237500,#192115,#192116); #162124=AXIS2_PLACEMENT_3D('',#237503,#192118,#192119); #162125=AXIS2_PLACEMENT_3D('',#237504,#192120,#192121); #162126=AXIS2_PLACEMENT_3D('',#237510,#192125,#192126); #162127=AXIS2_PLACEMENT_3D('',#237516,#192130,#192131); #162128=AXIS2_PLACEMENT_3D('',#237522,#192135,#192136); #162129=AXIS2_PLACEMENT_3D('',#237528,#192140,#192141); #162130=AXIS2_PLACEMENT_3D('',#237534,#192145,#192146); #162131=AXIS2_PLACEMENT_3D('',#237540,#192150,#192151); #162132=AXIS2_PLACEMENT_3D('',#237546,#192155,#192156); #162133=AXIS2_PLACEMENT_3D('',#237552,#192160,#192161); #162134=AXIS2_PLACEMENT_3D('',#237558,#192165,#192166); #162135=AXIS2_PLACEMENT_3D('',#237564,#192170,#192171); #162136=AXIS2_PLACEMENT_3D('',#237570,#192175,#192176); #162137=AXIS2_PLACEMENT_3D('',#237576,#192180,#192181); #162138=AXIS2_PLACEMENT_3D('',#237582,#192185,#192186); #162139=AXIS2_PLACEMENT_3D('',#237588,#192190,#192191); #162140=AXIS2_PLACEMENT_3D('',#237594,#192195,#192196); #162141=AXIS2_PLACEMENT_3D('',#237600,#192200,#192201); #162142=AXIS2_PLACEMENT_3D('',#237602,#192202,#192203); #162143=AXIS2_PLACEMENT_3D('',#237605,#192205,#192206); #162144=AXIS2_PLACEMENT_3D('',#237606,#192207,#192208); #162145=AXIS2_PLACEMENT_3D('',#237612,#192212,#192213); #162146=AXIS2_PLACEMENT_3D('',#237618,#192217,#192218); #162147=AXIS2_PLACEMENT_3D('',#237624,#192222,#192223); #162148=AXIS2_PLACEMENT_3D('',#237630,#192227,#192228); #162149=AXIS2_PLACEMENT_3D('',#237636,#192232,#192233); #162150=AXIS2_PLACEMENT_3D('',#237642,#192237,#192238); #162151=AXIS2_PLACEMENT_3D('',#237648,#192242,#192243); #162152=AXIS2_PLACEMENT_3D('',#237650,#192244,#192245); #162153=AXIS2_PLACEMENT_3D('',#237653,#192247,#192248); #162154=AXIS2_PLACEMENT_3D('',#237654,#192249,#192250); #162155=AXIS2_PLACEMENT_3D('',#237660,#192254,#192255); #162156=AXIS2_PLACEMENT_3D('',#237662,#192256,#192257); #162157=AXIS2_PLACEMENT_3D('',#237665,#192259,#192260); #162158=AXIS2_PLACEMENT_3D('',#237666,#192261,#192262); #162159=AXIS2_PLACEMENT_3D('',#237668,#192263,#192264); #162160=AXIS2_PLACEMENT_3D('',#237671,#192266,#192267); #162161=AXIS2_PLACEMENT_3D('',#237672,#192268,#192269); #162162=AXIS2_PLACEMENT_3D('',#237678,#192273,#192274); #162163=AXIS2_PLACEMENT_3D('',#237684,#192278,#192279); #162164=AXIS2_PLACEMENT_3D('',#237690,#192283,#192284); #162165=AXIS2_PLACEMENT_3D('',#237696,#192288,#192289); #162166=AXIS2_PLACEMENT_3D('',#237702,#192293,#192294); #162167=AXIS2_PLACEMENT_3D('',#237708,#192298,#192299); #162168=AXIS2_PLACEMENT_3D('',#237714,#192303,#192304); #162169=AXIS2_PLACEMENT_3D('',#237720,#192308,#192309); #162170=AXIS2_PLACEMENT_3D('',#237726,#192313,#192314); #162171=AXIS2_PLACEMENT_3D('',#237732,#192318,#192319); #162172=AXIS2_PLACEMENT_3D('',#237738,#192323,#192324); #162173=AXIS2_PLACEMENT_3D('',#237744,#192328,#192329); #162174=AXIS2_PLACEMENT_3D('',#237750,#192333,#192334); #162175=AXIS2_PLACEMENT_3D('',#237756,#192338,#192339); #162176=AXIS2_PLACEMENT_3D('',#237762,#192343,#192344); #162177=AXIS2_PLACEMENT_3D('',#237768,#192348,#192349); #162178=AXIS2_PLACEMENT_3D('',#237774,#192353,#192354); #162179=AXIS2_PLACEMENT_3D('',#237780,#192358,#192359); #162180=AXIS2_PLACEMENT_3D('',#237786,#192363,#192364); #162181=AXIS2_PLACEMENT_3D('',#237792,#192368,#192369); #162182=AXIS2_PLACEMENT_3D('',#237798,#192373,#192374); #162183=AXIS2_PLACEMENT_3D('',#237804,#192378,#192379); #162184=AXIS2_PLACEMENT_3D('',#237810,#192383,#192384); #162185=AXIS2_PLACEMENT_3D('',#237816,#192388,#192389); #162186=AXIS2_PLACEMENT_3D('',#237818,#192390,#192391); #162187=AXIS2_PLACEMENT_3D('',#237821,#192393,#192394); #162188=AXIS2_PLACEMENT_3D('',#237822,#192395,#192396); #162189=AXIS2_PLACEMENT_3D('',#237828,#192400,#192401); #162190=AXIS2_PLACEMENT_3D('',#237834,#192405,#192406); #162191=AXIS2_PLACEMENT_3D('',#237840,#192410,#192411); #162192=AXIS2_PLACEMENT_3D('',#237846,#192415,#192416); #162193=AXIS2_PLACEMENT_3D('',#237848,#192417,#192418); #162194=AXIS2_PLACEMENT_3D('',#237851,#192420,#192421); #162195=AXIS2_PLACEMENT_3D('',#237852,#192422,#192423); #162196=AXIS2_PLACEMENT_3D('',#237858,#192427,#192428); #162197=AXIS2_PLACEMENT_3D('',#237864,#192432,#192433); #162198=AXIS2_PLACEMENT_3D('',#237870,#192437,#192438); #162199=AXIS2_PLACEMENT_3D('',#237876,#192442,#192443); #162200=AXIS2_PLACEMENT_3D('',#237882,#192447,#192448); #162201=AXIS2_PLACEMENT_3D('',#237888,#192452,#192453); #162202=AXIS2_PLACEMENT_3D('',#237894,#192457,#192458); #162203=AXIS2_PLACEMENT_3D('',#237900,#192462,#192463); #162204=AXIS2_PLACEMENT_3D('',#237906,#192467,#192468); #162205=AXIS2_PLACEMENT_3D('',#237912,#192472,#192473); #162206=AXIS2_PLACEMENT_3D('',#237918,#192477,#192478); #162207=AXIS2_PLACEMENT_3D('',#237924,#192482,#192483); #162208=AXIS2_PLACEMENT_3D('',#237930,#192487,#192488); #162209=AXIS2_PLACEMENT_3D('',#237936,#192492,#192493); #162210=AXIS2_PLACEMENT_3D('',#237942,#192497,#192498); #162211=AXIS2_PLACEMENT_3D('',#237948,#192502,#192503); #162212=AXIS2_PLACEMENT_3D('',#237954,#192507,#192508); #162213=AXIS2_PLACEMENT_3D('',#237960,#192512,#192513); #162214=AXIS2_PLACEMENT_3D('',#237962,#192514,#192515); #162215=AXIS2_PLACEMENT_3D('',#237965,#192517,#192518); #162216=AXIS2_PLACEMENT_3D('',#237966,#192519,#192520); #162217=AXIS2_PLACEMENT_3D('',#237972,#192524,#192525); #162218=AXIS2_PLACEMENT_3D('',#237978,#192529,#192530); #162219=AXIS2_PLACEMENT_3D('',#237984,#192534,#192535); #162220=AXIS2_PLACEMENT_3D('',#237990,#192539,#192540); #162221=AXIS2_PLACEMENT_3D('',#237996,#192544,#192545); #162222=AXIS2_PLACEMENT_3D('',#238002,#192549,#192550); #162223=AXIS2_PLACEMENT_3D('',#238004,#192551,#192552); #162224=AXIS2_PLACEMENT_3D('',#238007,#192554,#192555); #162225=AXIS2_PLACEMENT_3D('',#238008,#192556,#192557); #162226=AXIS2_PLACEMENT_3D('',#238010,#192558,#192559); #162227=AXIS2_PLACEMENT_3D('',#238013,#192561,#192562); #162228=AXIS2_PLACEMENT_3D('',#238014,#192563,#192564); #162229=AXIS2_PLACEMENT_3D('',#238020,#192568,#192569); #162230=AXIS2_PLACEMENT_3D('',#238022,#192570,#192571); #162231=AXIS2_PLACEMENT_3D('',#238025,#192573,#192574); #162232=AXIS2_PLACEMENT_3D('',#238026,#192575,#192576); #162233=AXIS2_PLACEMENT_3D('',#238032,#192580,#192581); #162234=AXIS2_PLACEMENT_3D('',#238038,#192585,#192586); #162235=AXIS2_PLACEMENT_3D('',#238040,#192587,#192588); #162236=AXIS2_PLACEMENT_3D('',#238043,#192590,#192591); #162237=AXIS2_PLACEMENT_3D('',#238044,#192592,#192593); #162238=AXIS2_PLACEMENT_3D('',#238050,#192597,#192598); #162239=AXIS2_PLACEMENT_3D('',#238056,#192602,#192603); #162240=AXIS2_PLACEMENT_3D('',#238062,#192607,#192608); #162241=AXIS2_PLACEMENT_3D('',#238068,#192612,#192613); #162242=AXIS2_PLACEMENT_3D('',#238074,#192617,#192618); #162243=AXIS2_PLACEMENT_3D('',#238076,#192619,#192620); #162244=AXIS2_PLACEMENT_3D('',#238079,#192622,#192623); #162245=AXIS2_PLACEMENT_3D('',#238080,#192624,#192625); #162246=AXIS2_PLACEMENT_3D('',#238086,#192629,#192630); #162247=AXIS2_PLACEMENT_3D('',#238092,#192634,#192635); #162248=AXIS2_PLACEMENT_3D('',#238098,#192639,#192640); #162249=AXIS2_PLACEMENT_3D('',#238101,#192643,#192644); #162250=AXIS2_PLACEMENT_3D('',#238102,#192645,#192646); #162251=AXIS2_PLACEMENT_3D('',#238115,#192652,#192653); #162252=AXIS2_PLACEMENT_3D('',#238119,#192655,#192656); #162253=AXIS2_PLACEMENT_3D('',#238127,#192660,#192661); #162254=AXIS2_PLACEMENT_3D('',#238131,#192663,#192664); #162255=AXIS2_PLACEMENT_3D('',#238139,#192668,#192669); #162256=AXIS2_PLACEMENT_3D('',#238143,#192671,#192672); #162257=AXIS2_PLACEMENT_3D('',#238151,#192676,#192677); #162258=AXIS2_PLACEMENT_3D('',#238159,#192681,#192682); #162259=AXIS2_PLACEMENT_3D('',#238167,#192686,#192687); #162260=AXIS2_PLACEMENT_3D('',#238171,#192689,#192690); #162261=AXIS2_PLACEMENT_3D('',#238179,#192694,#192695); #162262=AXIS2_PLACEMENT_3D('',#238183,#192697,#192698); #162263=AXIS2_PLACEMENT_3D('',#238191,#192702,#192703); #162264=AXIS2_PLACEMENT_3D('',#238195,#192705,#192706); #162265=AXIS2_PLACEMENT_3D('',#238200,#192709,#192710); #162266=AXIS2_PLACEMENT_3D('',#238202,#192711,#192712); #162267=AXIS2_PLACEMENT_3D('',#238204,#192713,#192714); #162268=AXIS2_PLACEMENT_3D('',#238206,#192715,#192716); #162269=AXIS2_PLACEMENT_3D('',#238207,#192717,#192718); #162270=AXIS2_PLACEMENT_3D('',#238208,#192719,#192720); #162271=AXIS2_PLACEMENT_3D('',#238209,#192721,#192722); #162272=AXIS2_PLACEMENT_3D('',#238210,#192723,#192724); #162273=AXIS2_PLACEMENT_3D('',#238211,#192725,#192726); #162274=AXIS2_PLACEMENT_3D('',#238212,#192727,#192728); #162275=AXIS2_PLACEMENT_3D('',#238213,#192729,#192730); #162276=AXIS2_PLACEMENT_3D('',#238214,#192731,#192732); #162277=AXIS2_PLACEMENT_3D('',#238215,#192733,#192734); #162278=AXIS2_PLACEMENT_3D('',#238216,#192735,#192736); #162279=AXIS2_PLACEMENT_3D('',#238217,#192737,#192738); #162280=AXIS2_PLACEMENT_3D('',#238218,#192739,#192740); #162281=AXIS2_PLACEMENT_3D('',#238219,#192741,#192742); #162282=AXIS2_PLACEMENT_3D('',#238220,#192743,#192744); #162283=AXIS2_PLACEMENT_3D('',#238221,#192745,#192746); #162284=AXIS2_PLACEMENT_3D('',#238222,#192747,#192748); #162285=AXIS2_PLACEMENT_3D('',#238223,#192749,#192750); #162286=AXIS2_PLACEMENT_3D('',#238224,#192751,#192752); #162287=AXIS2_PLACEMENT_3D('',#238225,#192753,#192754); #162288=AXIS2_PLACEMENT_3D('',#238226,#192755,#192756); #162289=AXIS2_PLACEMENT_3D('',#238227,#192757,#192758); #162290=AXIS2_PLACEMENT_3D('',#238228,#192759,#192760); #162291=AXIS2_PLACEMENT_3D('',#238231,#192761,#192762); #162292=AXIS2_PLACEMENT_3D('',#238235,#192764,#192765); #162293=AXIS2_PLACEMENT_3D('',#238237,#192767,#192768); #162294=AXIS2_PLACEMENT_3D('',#238243,#192772,#192773); #162295=AXIS2_PLACEMENT_3D('',#238246,#192774,#192775); #162296=AXIS2_PLACEMENT_3D('',#238250,#192777,#192778); #162297=AXIS2_PLACEMENT_3D('',#238252,#192780,#192781); #162298=AXIS2_PLACEMENT_3D('',#238253,#192782,#192783); #162299=AXIS2_PLACEMENT_3D('',#238257,#192785,#192786); #162300=AXIS2_PLACEMENT_3D('',#238261,#192788,#192789); #162301=AXIS2_PLACEMENT_3D('',#238265,#192791,#192792); #162302=AXIS2_PLACEMENT_3D('',#238268,#192794,#192795); #162303=AXIS2_PLACEMENT_3D('',#238270,#192796,#192797); #162304=AXIS2_PLACEMENT_3D('',#238272,#192798,#192799); #162305=AXIS2_PLACEMENT_3D('',#238274,#192800,#192801); #162306=AXIS2_PLACEMENT_3D('',#238275,#192802,#192803); #162307=AXIS2_PLACEMENT_3D('',#238279,#192806,#192807); #162308=AXIS2_PLACEMENT_3D('',#238282,#192808,#192809); #162309=AXIS2_PLACEMENT_3D('',#238286,#192811,#192812); #162310=AXIS2_PLACEMENT_3D('',#238288,#192814,#192815); #162311=AXIS2_PLACEMENT_3D('',#238295,#192820,#192821); #162312=AXIS2_PLACEMENT_3D('',#238298,#192822,#192823); #162313=AXIS2_PLACEMENT_3D('',#238302,#192825,#192826); #162314=AXIS2_PLACEMENT_3D('',#238304,#192828,#192829); #162315=AXIS2_PLACEMENT_3D('',#238308,#192831,#192832); #162316=AXIS2_PLACEMENT_3D('',#238312,#192834,#192835); #162317=AXIS2_PLACEMENT_3D('',#238316,#192837,#192838); #162318=AXIS2_PLACEMENT_3D('',#238318,#192840,#192841); #162319=AXIS2_PLACEMENT_3D('',#238322,#192844,#192845); #162320=AXIS2_PLACEMENT_3D('',#238324,#192846,#192847); #162321=AXIS2_PLACEMENT_3D('',#238328,#192849,#192850); #162322=AXIS2_PLACEMENT_3D('',#238330,#192852,#192853); #162323=AXIS2_PLACEMENT_3D('',#238334,#192855,#192856); #162324=AXIS2_PLACEMENT_3D('',#238336,#192858,#192859); #162325=AXIS2_PLACEMENT_3D('',#238340,#192862,#192863); #162326=AXIS2_PLACEMENT_3D('',#238342,#192864,#192865); #162327=AXIS2_PLACEMENT_3D('',#238346,#192867,#192868); #162328=AXIS2_PLACEMENT_3D('',#238348,#192870,#192871); #162329=AXIS2_PLACEMENT_3D('',#238355,#192876,#192877); #162330=AXIS2_PLACEMENT_3D('',#238361,#192881,#192882); #162331=AXIS2_PLACEMENT_3D('',#238365,#192884,#192885); #162332=AXIS2_PLACEMENT_3D('',#238369,#192887,#192888); #162333=AXIS2_PLACEMENT_3D('',#238370,#192889,#192890); #162334=AXIS2_PLACEMENT_3D('',#238372,#192891,#192892); #162335=AXIS2_PLACEMENT_3D('',#238374,#192894,#192895); #162336=AXIS2_PLACEMENT_3D('',#238376,#192896,#192897); #162337=AXIS2_PLACEMENT_3D('',#238378,#192898,#192899); #162338=AXIS2_PLACEMENT_3D('',#238380,#192900,#192901); #162339=AXIS2_PLACEMENT_3D('',#238384,#192903,#192904); #162340=AXIS2_PLACEMENT_3D('',#238387,#192906,#192907); #162341=AXIS2_PLACEMENT_3D('',#238389,#192908,#192909); #162342=AXIS2_PLACEMENT_3D('',#238391,#192910,#192911); #162343=AXIS2_PLACEMENT_3D('',#238395,#192913,#192914); #162344=AXIS2_PLACEMENT_3D('',#238399,#192916,#192917); #162345=AXIS2_PLACEMENT_3D('',#238403,#192919,#192920); #162346=AXIS2_PLACEMENT_3D('',#238405,#192921,#192922); #162347=AXIS2_PLACEMENT_3D('',#238406,#192923,#192924); #162348=AXIS2_PLACEMENT_3D('',#238407,#192925,#192926); #162349=AXIS2_PLACEMENT_3D('',#238409,#192927,#192928); #162350=AXIS2_PLACEMENT_3D('',#238411,#192930,#192931); #162351=AXIS2_PLACEMENT_3D('',#238415,#192933,#192934); #162352=AXIS2_PLACEMENT_3D('',#238419,#192936,#192937); #162353=AXIS2_PLACEMENT_3D('',#238423,#192939,#192940); #162354=AXIS2_PLACEMENT_3D('',#238427,#192942,#192943); #162355=AXIS2_PLACEMENT_3D('',#238431,#192945,#192946); #162356=AXIS2_PLACEMENT_3D('',#238435,#192948,#192949); #162357=AXIS2_PLACEMENT_3D('',#238439,#192951,#192952); #162358=AXIS2_PLACEMENT_3D('',#238440,#192953,#192954); #162359=AXIS2_PLACEMENT_3D('',#238442,#192955,#192956); #162360=AXIS2_PLACEMENT_3D('',#238443,#192957,#192958); #162361=AXIS2_PLACEMENT_3D('',#238447,#192960,#192961); #162362=AXIS2_PLACEMENT_3D('',#238449,#192963,#192964); #162363=AXIS2_PLACEMENT_3D('',#238453,#192967,#192968); #162364=AXIS2_PLACEMENT_3D('',#238455,#192969,#192970); #162365=AXIS2_PLACEMENT_3D('',#238457,#192972,#192973); #162366=AXIS2_PLACEMENT_3D('',#238461,#192975,#192976); #162367=AXIS2_PLACEMENT_3D('',#238463,#192978,#192979); #162368=AXIS2_PLACEMENT_3D('',#238468,#192984,#192985); #162369=AXIS2_PLACEMENT_3D('',#238470,#192987,#192988); #162370=AXIS2_PLACEMENT_3D('',#238472,#192989,#192990); #162371=AXIS2_PLACEMENT_3D('',#238474,#192991,#192992); #162372=AXIS2_PLACEMENT_3D('',#238476,#192993,#192994); #162373=AXIS2_PLACEMENT_3D('',#238477,#192995,#192996); #162374=AXIS2_PLACEMENT_3D('',#238480,#192999,#193000); #162375=AXIS2_PLACEMENT_3D('',#238482,#193002,#193003); #162376=AXIS2_PLACEMENT_3D('',#238483,#193004,#193005); #162377=AXIS2_PLACEMENT_3D('',#238485,#193007,#193008); #162378=AXIS2_PLACEMENT_3D('',#238486,#193009,#193010); #162379=AXIS2_PLACEMENT_3D('',#238488,#193012,#193013); #162380=AXIS2_PLACEMENT_3D('',#238490,#193015,#193016); #162381=AXIS2_PLACEMENT_3D('',#238492,#193018,#193019); #162382=AXIS2_PLACEMENT_3D('',#238493,#193020,#193021); #162383=AXIS2_PLACEMENT_3D('',#238494,#193022,#193023); #162384=AXIS2_PLACEMENT_3D('',#238498,#193026,#193027); #162385=AXIS2_PLACEMENT_3D('',#238500,#193028,#193029); #162386=AXIS2_PLACEMENT_3D('',#238502,#193031,#193032); #162387=AXIS2_PLACEMENT_3D('',#238506,#193035,#193036); #162388=AXIS2_PLACEMENT_3D('',#238510,#193038,#193039); #162389=AXIS2_PLACEMENT_3D('',#238512,#193041,#193042); #162390=AXIS2_PLACEMENT_3D('',#238516,#193045,#193046); #162391=AXIS2_PLACEMENT_3D('',#238518,#193047,#193048); #162392=AXIS2_PLACEMENT_3D('',#238520,#193050,#193051); #162393=AXIS2_PLACEMENT_3D('',#238524,#193054,#193055); #162394=AXIS2_PLACEMENT_3D('',#238528,#193057,#193058); #162395=AXIS2_PLACEMENT_3D('',#238530,#193060,#193061); #162396=AXIS2_PLACEMENT_3D('',#238532,#193062,#193063); #162397=AXIS2_PLACEMENT_3D('',#238534,#193065,#193066); #162398=AXIS2_PLACEMENT_3D('',#238536,#193068,#193069); #162399=AXIS2_PLACEMENT_3D('',#238538,#193070,#193071); #162400=AXIS2_PLACEMENT_3D('',#238540,#193073,#193074); #162401=AXIS2_PLACEMENT_3D('',#238542,#193075,#193076); #162402=AXIS2_PLACEMENT_3D('',#238544,#193078,#193079); #162403=AXIS2_PLACEMENT_3D('',#238545,#193080,#193081); #162404=AXIS2_PLACEMENT_3D('',#238546,#193082,#193083); #162405=AXIS2_PLACEMENT_3D('',#238547,#193084,#193085); #162406=AXIS2_PLACEMENT_3D('',#238548,#193086,#193087); #162407=AXIS2_PLACEMENT_3D('',#238550,#193088,#193089); #162408=AXIS2_PLACEMENT_3D('',#238553,#193091,#193092); #162409=AXIS2_PLACEMENT_3D('',#238554,#193093,#193094); #162410=AXIS2_PLACEMENT_3D('',#238555,#193095,#193096); #162411=AXIS2_PLACEMENT_3D('',#238557,#193097,#193098); #162412=AXIS2_PLACEMENT_3D('',#238560,#193100,#193101); #162413=AXIS2_PLACEMENT_3D('',#238561,#193102,#193103); #162414=AXIS2_PLACEMENT_3D('',#238562,#193104,#193105); #162415=AXIS2_PLACEMENT_3D('',#238565,#193107,#193108); #162416=AXIS2_PLACEMENT_3D('',#238566,#193109,#193110); #162417=AXIS2_PLACEMENT_3D('',#238567,#193111,#193112); #162418=AXIS2_PLACEMENT_3D('',#238569,#193114,#193115); #162419=AXIS2_PLACEMENT_3D('',#238572,#193117,#193118); #162420=AXIS2_PLACEMENT_3D('',#238573,#193119,#193120); #162421=AXIS2_PLACEMENT_3D('',#238575,#193122,#193123); #162422=AXIS2_PLACEMENT_3D('',#238578,#193125,#193126); #162423=AXIS2_PLACEMENT_3D('',#238579,#193127,#193128); #162424=AXIS2_PLACEMENT_3D('',#238581,#193130,#193131); #162425=AXIS2_PLACEMENT_3D('',#238584,#193133,#193134); #162426=AXIS2_PLACEMENT_3D('',#238585,#193135,#193136); #162427=AXIS2_PLACEMENT_3D('',#238587,#193138,#193139); #162428=AXIS2_PLACEMENT_3D('',#238589,#193140,#193141); #162429=AXIS2_PLACEMENT_3D('',#238592,#193143,#193144); #162430=AXIS2_PLACEMENT_3D('',#238593,#193145,#193146); #162431=AXIS2_PLACEMENT_3D('',#238603,#193151,#193152); #162432=AXIS2_PLACEMENT_3D('',#238605,#193153,#193154); #162433=AXIS2_PLACEMENT_3D('',#238606,#193155,#193156); #162434=AXIS2_PLACEMENT_3D('',#238608,#193158,#193159); #162435=AXIS2_PLACEMENT_3D('',#238611,#193161,#193162); #162436=AXIS2_PLACEMENT_3D('',#238612,#193163,#193164); #162437=AXIS2_PLACEMENT_3D('',#238614,#193166,#193167); #162438=AXIS2_PLACEMENT_3D('',#238617,#193169,#193170); #162439=AXIS2_PLACEMENT_3D('',#238618,#193171,#193172); #162440=AXIS2_PLACEMENT_3D('',#238620,#193174,#193175); #162441=AXIS2_PLACEMENT_3D('',#238624,#193178,#193179); #162442=AXIS2_PLACEMENT_3D('',#238628,#193182,#193183); #162443=AXIS2_PLACEMENT_3D('',#238637,#193188,#193189); #162444=AXIS2_PLACEMENT_3D('',#238645,#193195,#193196); #162445=AXIS2_PLACEMENT_3D('',#238649,#193198,#193199); #162446=AXIS2_PLACEMENT_3D('',#238653,#193201,#193202); #162447=AXIS2_PLACEMENT_3D('',#238661,#193206,#193207); #162448=AXIS2_PLACEMENT_3D('',#238665,#193209,#193210); #162449=AXIS2_PLACEMENT_3D('',#238673,#193214,#193215); #162450=AXIS2_PLACEMENT_3D('',#238677,#193217,#193218); #162451=AXIS2_PLACEMENT_3D('',#238684,#193222,#193223); #162452=AXIS2_PLACEMENT_3D('',#238692,#193227,#193228); #162453=AXIS2_PLACEMENT_3D('',#238700,#193232,#193233); #162454=AXIS2_PLACEMENT_3D('',#238704,#193235,#193236); #162455=AXIS2_PLACEMENT_3D('',#238712,#193240,#193241); #162456=AXIS2_PLACEMENT_3D('',#238716,#193243,#193244); #162457=AXIS2_PLACEMENT_3D('',#238724,#193248,#193249); #162458=AXIS2_PLACEMENT_3D('',#238728,#193251,#193252); #162459=AXIS2_PLACEMENT_3D('',#238734,#193256,#193257); #162460=AXIS2_PLACEMENT_3D('',#238740,#193261,#193262); #162461=AXIS2_PLACEMENT_3D('',#238746,#193267,#193268); #162462=AXIS2_PLACEMENT_3D('',#238748,#193270,#193271); #162463=AXIS2_PLACEMENT_3D('',#238750,#193273,#193274); #162464=AXIS2_PLACEMENT_3D('',#238752,#193276,#193277); #162465=AXIS2_PLACEMENT_3D('',#238754,#193279,#193280); #162466=AXIS2_PLACEMENT_3D('',#238757,#193283,#193284); #162467=AXIS2_PLACEMENT_3D('',#238759,#193286,#193287); #162468=AXIS2_PLACEMENT_3D('',#238762,#193290,#193291); #162469=AXIS2_PLACEMENT_3D('',#238764,#193293,#193294); #162470=AXIS2_PLACEMENT_3D('',#238765,#193295,#193296); #162471=AXIS2_PLACEMENT_3D('',#238768,#193299,#193300); #162472=AXIS2_PLACEMENT_3D('',#238769,#193301,#193302); #162473=AXIS2_PLACEMENT_3D('',#238772,#193305,#193306); #162474=AXIS2_PLACEMENT_3D('',#238773,#193307,#193308); #162475=AXIS2_PLACEMENT_3D('',#238776,#193311,#193312); #162476=AXIS2_PLACEMENT_3D('',#238777,#193313,#193314); #162477=AXIS2_PLACEMENT_3D('',#238779,#193316,#193317); #162478=AXIS2_PLACEMENT_3D('',#238782,#193320,#193321); #162479=AXIS2_PLACEMENT_3D('',#238784,#193323,#193324); #162480=AXIS2_PLACEMENT_3D('',#238787,#193327,#193328); #162481=AXIS2_PLACEMENT_3D('',#238788,#193329,#193330); #162482=AXIS2_PLACEMENT_3D('',#238789,#193331,#193332); #162483=AXIS2_PLACEMENT_3D('',#238792,#193335,#193336); #162484=AXIS2_PLACEMENT_3D('',#238794,#193338,#193339); #162485=AXIS2_PLACEMENT_3D('',#238797,#193342,#193343); #162486=AXIS2_PLACEMENT_3D('',#238799,#193345,#193346); #162487=AXIS2_PLACEMENT_3D('',#238800,#193347,#193348); #162488=AXIS2_PLACEMENT_3D('',#238803,#193351,#193352); #162489=AXIS2_PLACEMENT_3D('',#238805,#193354,#193355); #162490=AXIS2_PLACEMENT_3D('',#238807,#193357,#193358); #162491=AXIS2_PLACEMENT_3D('',#238810,#193361,#193362); #162492=AXIS2_PLACEMENT_3D('',#238811,#193363,#193364); #162493=AXIS2_PLACEMENT_3D('',#238814,#193367,#193368); #162494=AXIS2_PLACEMENT_3D('',#238816,#193370,#193371); #162495=AXIS2_PLACEMENT_3D('',#238818,#193373,#193374); #162496=AXIS2_PLACEMENT_3D('',#238821,#193377,#193378); #162497=AXIS2_PLACEMENT_3D('',#238822,#193379,#193380); #162498=AXIS2_PLACEMENT_3D('',#238823,#193381,#193382); #162499=AXIS2_PLACEMENT_3D('',#238824,#193383,#193384); #162500=AXIS2_PLACEMENT_3D('',#238825,#193385,#193386); #162501=AXIS2_PLACEMENT_3D('',#238826,#193387,#193388); #162502=AXIS2_PLACEMENT_3D('',#238827,#193389,#193390); #162503=AXIS2_PLACEMENT_3D('',#238828,#193391,#193392); #162504=AXIS2_PLACEMENT_3D('',#238829,#193393,#193394); #162505=AXIS2_PLACEMENT_3D('',#238831,#193396,#193397); #162506=AXIS2_PLACEMENT_3D('',#238833,#193399,#193400); #162507=AXIS2_PLACEMENT_3D('',#238834,#193401,#193402); #162508=AXIS2_PLACEMENT_3D('',#238835,#193403,#193404); #162509=AXIS2_PLACEMENT_3D('',#238837,#193405,#193406); #162510=AXIS2_PLACEMENT_3D('',#238838,#193407,#193408); #162511=AXIS2_PLACEMENT_3D('',#238840,#193409,#193410); #162512=AXIS2_PLACEMENT_3D('',#238842,#193412,#193413); #162513=AXIS2_PLACEMENT_3D('',#238844,#193414,#193415); #162514=AXIS2_PLACEMENT_3D('',#238846,#193417,#193418); #162515=AXIS2_PLACEMENT_3D('',#238847,#193419,#193420); #162516=AXIS2_PLACEMENT_3D('',#238848,#193421,#193422); #162517=AXIS2_PLACEMENT_3D('',#238890,#193424,#193425); #162518=AXIS2_PLACEMENT_3D('',#238904,#193426,#193427); #162519=AXIS2_PLACEMENT_3D('',#238957,#193429,#193430); #162520=AXIS2_PLACEMENT_3D('',#239010,#193432,#193433); #162521=AXIS2_PLACEMENT_3D('',#239063,#193435,#193436); #162522=AXIS2_PLACEMENT_3D('',#239116,#193438,#193439); #162523=AXIS2_PLACEMENT_3D('',#239169,#193441,#193442); #162524=AXIS2_PLACEMENT_3D('',#239222,#193444,#193445); #162525=AXIS2_PLACEMENT_3D('',#239275,#193447,#193448); #162526=AXIS2_PLACEMENT_3D('',#239330,#193450,#193451); #162527=AXIS2_PLACEMENT_3D('',#239383,#193453,#193454); #162528=AXIS2_PLACEMENT_3D('',#239436,#193456,#193457); #162529=AXIS2_PLACEMENT_3D('',#239489,#193459,#193460); #162530=AXIS2_PLACEMENT_3D('',#239542,#193462,#193463); #162531=AXIS2_PLACEMENT_3D('',#239595,#193465,#193466); #162532=AXIS2_PLACEMENT_3D('',#239648,#193468,#193469); #162533=AXIS2_PLACEMENT_3D('',#239701,#193471,#193472); #162534=AXIS2_PLACEMENT_3D('',#239706,#193474,#193475); #162535=AXIS2_PLACEMENT_3D('',#239739,#193476,#193477); #162536=AXIS2_PLACEMENT_3D('',#239763,#193479,#193480); #162537=AXIS2_PLACEMENT_3D('',#239764,#193481,#193482); #162538=AXIS2_PLACEMENT_3D('',#239788,#193484,#193485); #162539=AXIS2_PLACEMENT_3D('',#239805,#193486,#193487); #162540=AXIS2_PLACEMENT_3D('',#239881,#193489,#193490); #162541=AXIS2_PLACEMENT_3D('',#239959,#193492,#193493); #162542=AXIS2_PLACEMENT_3D('',#240035,#193495,#193496); #162543=AXIS2_PLACEMENT_3D('',#240111,#193498,#193499); #162544=AXIS2_PLACEMENT_3D('',#240189,#193501,#193502); #162545=AXIS2_PLACEMENT_3D('',#240265,#193504,#193505); #162546=AXIS2_PLACEMENT_3D('',#240341,#193507,#193508); #162547=AXIS2_PLACEMENT_3D('',#240417,#193510,#193511); #162548=AXIS2_PLACEMENT_3D('',#240495,#193513,#193514); #162549=AXIS2_PLACEMENT_3D('',#240571,#193516,#193517); #162550=AXIS2_PLACEMENT_3D('',#240647,#193519,#193520); #162551=AXIS2_PLACEMENT_3D('',#240725,#193522,#193523); #162552=AXIS2_PLACEMENT_3D('',#240801,#193525,#193526); #162553=AXIS2_PLACEMENT_3D('',#240877,#193528,#193529); #162554=AXIS2_PLACEMENT_3D('',#240880,#193530,#193531); #162555=AXIS2_PLACEMENT_3D('',#240883,#193533,#193534); #162556=AXIS2_PLACEMENT_3D('',#240933,#193536,#193537); #162557=AXIS2_PLACEMENT_3D('',#240934,#193538,#193539); #162558=AXIS2_PLACEMENT_3D('',#241007,#193540,#193541); #162559=AXIS2_PLACEMENT_3D('',#241009,#193542,#193543); #162560=AXIS2_PLACEMENT_3D('',#241042,#193544,#193545); #162561=AXIS2_PLACEMENT_3D('',#241065,#193546,#193547); #162562=AXIS2_PLACEMENT_3D('',#241067,#193548,#193549); #162563=AXIS2_PLACEMENT_3D('',#241068,#193550,#193551); #162564=AXIS2_PLACEMENT_3D('',#241069,#193552,#193553); #162565=AXIS2_PLACEMENT_3D('',#241074,#193555,#193556); #162566=AXIS2_PLACEMENT_3D('',#241076,#193557,#193558); #162567=AXIS2_PLACEMENT_3D('',#241078,#193559,#193560); #162568=AXIS2_PLACEMENT_3D('',#241080,#193561,#193562); #162569=AXIS2_PLACEMENT_3D('',#241082,#193563,#193564); #162570=AXIS2_PLACEMENT_3D('',#241083,#193565,#193566); #162571=AXIS2_PLACEMENT_3D('',#241084,#193567,#193568); #162572=AXIS2_PLACEMENT_3D('',#241149,#193570,#193571); #162573=AXIS2_PLACEMENT_3D('',#241206,#193572,#193573); #162574=AXIS2_PLACEMENT_3D('',#241208,#193574,#193575); #162575=AXIS2_PLACEMENT_3D('',#241210,#193576,#193577); #162576=AXIS2_PLACEMENT_3D('',#241211,#193578,#193579); #162577=AXIS2_PLACEMENT_3D('',#241212,#193580,#193581); #162578=AXIS2_PLACEMENT_3D('',#241214,#193582,#193583); #162579=AXIS2_PLACEMENT_3D('',#241216,#193585,#193586); #162580=AXIS2_PLACEMENT_3D('',#241218,#193587,#193588); #162581=AXIS2_PLACEMENT_3D('',#241219,#193589,#193590); #162582=AXIS2_PLACEMENT_3D('',#241220,#193591,#193592); #162583=AXIS2_PLACEMENT_3D('',#241222,#193593,#193594); #162584=AXIS2_PLACEMENT_3D('',#241224,#193596,#193597); #162585=AXIS2_PLACEMENT_3D('',#241227,#193598,#193599); #162586=AXIS2_PLACEMENT_3D('',#241228,#193600,#193601); #162587=AXIS2_PLACEMENT_3D('',#241229,#193602,#193603); #162588=AXIS2_PLACEMENT_3D('',#241230,#193604,#193605); #162589=AXIS2_PLACEMENT_3D('',#241234,#193607,#193608); #162590=AXIS2_PLACEMENT_3D('',#241235,#193609,#193610); #162591=AXIS2_PLACEMENT_3D('',#241236,#193611,#193612); #162592=AXIS2_PLACEMENT_3D('',#241238,#193613,#193614); #162593=AXIS2_PLACEMENT_3D('',#241239,#193615,#193616); #162594=AXIS2_PLACEMENT_3D('',#241240,#193617,#193618); #162595=AXIS2_PLACEMENT_3D('',#241241,#193619,#193620); #162596=AXIS2_PLACEMENT_3D('',#241245,#193622,#193623); #162597=AXIS2_PLACEMENT_3D('',#241247,#193625,#193626); #162598=AXIS2_PLACEMENT_3D('',#241251,#193628,#193629); #162599=AXIS2_PLACEMENT_3D('',#241253,#193631,#193632); #162600=AXIS2_PLACEMENT_3D('',#241257,#193634,#193635); #162601=AXIS2_PLACEMENT_3D('',#241259,#193637,#193638); #162602=AXIS2_PLACEMENT_3D('',#241263,#193640,#193641); #162603=AXIS2_PLACEMENT_3D('',#241265,#193643,#193644); #162604=AXIS2_PLACEMENT_3D('',#241269,#193646,#193647); #162605=AXIS2_PLACEMENT_3D('',#241271,#193649,#193650); #162606=AXIS2_PLACEMENT_3D('',#241272,#193651,#193652); #162607=AXIS2_PLACEMENT_3D('',#241277,#193656,#193657); #162608=AXIS2_PLACEMENT_3D('',#241280,#193660,#193661); #162609=AXIS2_PLACEMENT_3D('',#241283,#193664,#193665); #162610=AXIS2_PLACEMENT_3D('',#241286,#193668,#193669); #162611=AXIS2_PLACEMENT_3D('',#241289,#193672,#193673); #162612=AXIS2_PLACEMENT_3D('',#241294,#193677,#193678); #162613=AXIS2_PLACEMENT_3D('',#241295,#193679,#193680); #162614=AXIS2_PLACEMENT_3D('',#241296,#193681,#193682); #162615=AXIS2_PLACEMENT_3D('',#241297,#193683,#193684); #162616=AXIS2_PLACEMENT_3D('',#241298,#193685,#193686); #162617=AXIS2_PLACEMENT_3D('',#241299,#193687,#193688); #162618=AXIS2_PLACEMENT_3D('',#241300,#193689,#193690); #162619=AXIS2_PLACEMENT_3D('',#241301,#193691,#193692); #162620=AXIS2_PLACEMENT_3D('',#241302,#193693,#193694); #162621=AXIS2_PLACEMENT_3D('',#241303,#193695,#193696); #162622=AXIS2_PLACEMENT_3D('',#241745,#193697,#193698); #162623=AXIS2_PLACEMENT_3D('',#242188,#193699,#193700); #162624=AXIS2_PLACEMENT_3D('',#242189,#193701,#193702); #162625=AXIS2_PLACEMENT_3D('',#242192,#193703,#193704); #162626=AXIS2_PLACEMENT_3D('',#242196,#193706,#193707); #162627=AXIS2_PLACEMENT_3D('',#242198,#193709,#193710); #162628=AXIS2_PLACEMENT_3D('',#242200,#193711,#193712); #162629=AXIS2_PLACEMENT_3D('',#242203,#193714,#193715); #162630=AXIS2_PLACEMENT_3D('',#242204,#193716,#193717); #162631=AXIS2_PLACEMENT_3D('',#242206,#193718,#193719); #162632=AXIS2_PLACEMENT_3D('',#242209,#193721,#193722); #162633=AXIS2_PLACEMENT_3D('',#242210,#193723,#193724); #162634=AXIS2_PLACEMENT_3D('',#242212,#193725,#193726); #162635=AXIS2_PLACEMENT_3D('',#242215,#193728,#193729); #162636=AXIS2_PLACEMENT_3D('',#242216,#193730,#193731); #162637=AXIS2_PLACEMENT_3D('',#242218,#193732,#193733); #162638=AXIS2_PLACEMENT_3D('',#242221,#193735,#193736); #162639=AXIS2_PLACEMENT_3D('',#242222,#193737,#193738); #162640=AXIS2_PLACEMENT_3D('',#242224,#193739,#193740); #162641=AXIS2_PLACEMENT_3D('',#242227,#193742,#193743); #162642=AXIS2_PLACEMENT_3D('',#242228,#193744,#193745); #162643=AXIS2_PLACEMENT_3D('',#242234,#193749,#193750); #162644=AXIS2_PLACEMENT_3D('',#242236,#193751,#193752); #162645=AXIS2_PLACEMENT_3D('',#242239,#193754,#193755); #162646=AXIS2_PLACEMENT_3D('',#242240,#193756,#193757); #162647=AXIS2_PLACEMENT_3D('',#242242,#193758,#193759); #162648=AXIS2_PLACEMENT_3D('',#242245,#193761,#193762); #162649=AXIS2_PLACEMENT_3D('',#242246,#193763,#193764); #162650=AXIS2_PLACEMENT_3D('',#242248,#193765,#193766); #162651=AXIS2_PLACEMENT_3D('',#242251,#193768,#193769); #162652=AXIS2_PLACEMENT_3D('',#242252,#193770,#193771); #162653=AXIS2_PLACEMENT_3D('',#242254,#193772,#193773); #162654=AXIS2_PLACEMENT_3D('',#242257,#193775,#193776); #162655=AXIS2_PLACEMENT_3D('',#242258,#193777,#193778); #162656=AXIS2_PLACEMENT_3D('',#242260,#193779,#193780); #162657=AXIS2_PLACEMENT_3D('',#242263,#193782,#193783); #162658=AXIS2_PLACEMENT_3D('',#242264,#193784,#193785); #162659=AXIS2_PLACEMENT_3D('',#242266,#193786,#193787); #162660=AXIS2_PLACEMENT_3D('',#242269,#193789,#193790); #162661=AXIS2_PLACEMENT_3D('',#242270,#193791,#193792); #162662=AXIS2_PLACEMENT_3D('',#242273,#193795,#193796); #162663=AXIS2_PLACEMENT_3D('',#242276,#193797,#193798); #162664=AXIS2_PLACEMENT_3D('',#242280,#193800,#193801); #162665=AXIS2_PLACEMENT_3D('',#242282,#193803,#193804); #162666=AXIS2_PLACEMENT_3D('',#242288,#193808,#193809); #162667=AXIS2_PLACEMENT_3D('',#242290,#193810,#193811); #162668=AXIS2_PLACEMENT_3D('',#242293,#193813,#193814); #162669=AXIS2_PLACEMENT_3D('',#242294,#193815,#193816); #162670=AXIS2_PLACEMENT_3D('',#242296,#193817,#193818); #162671=AXIS2_PLACEMENT_3D('',#242299,#193820,#193821); #162672=AXIS2_PLACEMENT_3D('',#242300,#193822,#193823); #162673=AXIS2_PLACEMENT_3D('',#242302,#193824,#193825); #162674=AXIS2_PLACEMENT_3D('',#242305,#193827,#193828); #162675=AXIS2_PLACEMENT_3D('',#242306,#193829,#193830); #162676=AXIS2_PLACEMENT_3D('',#242308,#193831,#193832); #162677=AXIS2_PLACEMENT_3D('',#242311,#193834,#193835); #162678=AXIS2_PLACEMENT_3D('',#242312,#193836,#193837); #162679=AXIS2_PLACEMENT_3D('',#242314,#193838,#193839); #162680=AXIS2_PLACEMENT_3D('',#242317,#193841,#193842); #162681=AXIS2_PLACEMENT_3D('',#242318,#193843,#193844); #162682=AXIS2_PLACEMENT_3D('',#242320,#193845,#193846); #162683=AXIS2_PLACEMENT_3D('',#242323,#193848,#193849); #162684=AXIS2_PLACEMENT_3D('',#242324,#193850,#193851); #162685=AXIS2_PLACEMENT_3D('',#242326,#193852,#193853); #162686=AXIS2_PLACEMENT_3D('',#242329,#193855,#193856); #162687=AXIS2_PLACEMENT_3D('',#242330,#193857,#193858); #162688=AXIS2_PLACEMENT_3D('',#242336,#193862,#193863); #162689=AXIS2_PLACEMENT_3D('',#242338,#193864,#193865); #162690=AXIS2_PLACEMENT_3D('',#242341,#193867,#193868); #162691=AXIS2_PLACEMENT_3D('',#242342,#193869,#193870); #162692=AXIS2_PLACEMENT_3D('',#242344,#193871,#193872); #162693=AXIS2_PLACEMENT_3D('',#242347,#193874,#193875); #162694=AXIS2_PLACEMENT_3D('',#242348,#193876,#193877); #162695=AXIS2_PLACEMENT_3D('',#242350,#193878,#193879); #162696=AXIS2_PLACEMENT_3D('',#242353,#193881,#193882); #162697=AXIS2_PLACEMENT_3D('',#242354,#193883,#193884); #162698=AXIS2_PLACEMENT_3D('',#242356,#193885,#193886); #162699=AXIS2_PLACEMENT_3D('',#242359,#193888,#193889); #162700=AXIS2_PLACEMENT_3D('',#242360,#193890,#193891); #162701=AXIS2_PLACEMENT_3D('',#242362,#193892,#193893); #162702=AXIS2_PLACEMENT_3D('',#242365,#193895,#193896); #162703=AXIS2_PLACEMENT_3D('',#242366,#193897,#193898); #162704=AXIS2_PLACEMENT_3D('',#242367,#193899,#193900); #162705=AXIS2_PLACEMENT_3D('',#242368,#193901,#193902); #162706=AXIS2_PLACEMENT_3D('',#242369,#193903,#193904); #162707=AXIS2_PLACEMENT_3D('',#242370,#193905,#193906); #162708=AXIS2_PLACEMENT_3D('',#242379,#193911,#193912); #162709=AXIS2_PLACEMENT_3D('',#242385,#193916,#193917); #162710=AXIS2_PLACEMENT_3D('',#242391,#193921,#193922); #162711=AXIS2_PLACEMENT_3D('',#242394,#193925,#193926); #162712=AXIS2_PLACEMENT_3D('',#242395,#193927,#193928); #162713=AXIS2_PLACEMENT_3D('',#242404,#193933,#193934); #162714=AXIS2_PLACEMENT_3D('',#242410,#193938,#193939); #162715=AXIS2_PLACEMENT_3D('',#242416,#193943,#193944); #162716=AXIS2_PLACEMENT_3D('',#242419,#193947,#193948); #162717=AXIS2_PLACEMENT_3D('',#242422,#193949,#193950); #162718=AXIS2_PLACEMENT_3D('',#242426,#193952,#193953); #162719=AXIS2_PLACEMENT_3D('',#242428,#193955,#193956); #162720=AXIS2_PLACEMENT_3D('',#242434,#193960,#193961); #162721=AXIS2_PLACEMENT_3D('',#242440,#193965,#193966); #162722=AXIS2_PLACEMENT_3D('',#242446,#193970,#193971); #162723=AXIS2_PLACEMENT_3D('',#242448,#193972,#193973); #162724=AXIS2_PLACEMENT_3D('',#242451,#193975,#193976); #162725=AXIS2_PLACEMENT_3D('',#242452,#193977,#193978); #162726=AXIS2_PLACEMENT_3D('',#242454,#193979,#193980); #162727=AXIS2_PLACEMENT_3D('',#242457,#193982,#193983); #162728=AXIS2_PLACEMENT_3D('',#242458,#193984,#193985); #162729=AXIS2_PLACEMENT_3D('',#242460,#193986,#193987); #162730=AXIS2_PLACEMENT_3D('',#242463,#193989,#193990); #162731=AXIS2_PLACEMENT_3D('',#242464,#193991,#193992); #162732=AXIS2_PLACEMENT_3D('',#242466,#193993,#193994); #162733=AXIS2_PLACEMENT_3D('',#242469,#193996,#193997); #162734=AXIS2_PLACEMENT_3D('',#242470,#193998,#193999); #162735=AXIS2_PLACEMENT_3D('',#242476,#194003,#194004); #162736=AXIS2_PLACEMENT_3D('',#242482,#194008,#194009); #162737=AXIS2_PLACEMENT_3D('',#242488,#194013,#194014); #162738=AXIS2_PLACEMENT_3D('',#242490,#194015,#194016); #162739=AXIS2_PLACEMENT_3D('',#242493,#194018,#194019); #162740=AXIS2_PLACEMENT_3D('',#242494,#194020,#194021); #162741=AXIS2_PLACEMENT_3D('',#242496,#194022,#194023); #162742=AXIS2_PLACEMENT_3D('',#242499,#194025,#194026); #162743=AXIS2_PLACEMENT_3D('',#242500,#194027,#194028); #162744=AXIS2_PLACEMENT_3D('',#242502,#194029,#194030); #162745=AXIS2_PLACEMENT_3D('',#242505,#194032,#194033); #162746=AXIS2_PLACEMENT_3D('',#242506,#194034,#194035); #162747=AXIS2_PLACEMENT_3D('',#242508,#194036,#194037); #162748=AXIS2_PLACEMENT_3D('',#242511,#194039,#194040); #162749=AXIS2_PLACEMENT_3D('',#242512,#194041,#194042); #162750=AXIS2_PLACEMENT_3D('',#242518,#194046,#194047); #162751=AXIS2_PLACEMENT_3D('',#242520,#194048,#194049); #162752=AXIS2_PLACEMENT_3D('',#242523,#194051,#194052); #162753=AXIS2_PLACEMENT_3D('',#242524,#194053,#194054); #162754=AXIS2_PLACEMENT_3D('',#242526,#194055,#194056); #162755=AXIS2_PLACEMENT_3D('',#242529,#194058,#194059); #162756=AXIS2_PLACEMENT_3D('',#242530,#194060,#194061); #162757=AXIS2_PLACEMENT_3D('',#242532,#194062,#194063); #162758=AXIS2_PLACEMENT_3D('',#242535,#194065,#194066); #162759=AXIS2_PLACEMENT_3D('',#242536,#194067,#194068); #162760=AXIS2_PLACEMENT_3D('',#242538,#194069,#194070); #162761=AXIS2_PLACEMENT_3D('',#242541,#194072,#194073); #162762=AXIS2_PLACEMENT_3D('',#242542,#194074,#194075); #162763=AXIS2_PLACEMENT_3D('',#242548,#194079,#194080); #162764=AXIS2_PLACEMENT_3D('',#242554,#194084,#194085); #162765=AXIS2_PLACEMENT_3D('',#242560,#194089,#194090); #162766=AXIS2_PLACEMENT_3D('',#242566,#194094,#194095); #162767=AXIS2_PLACEMENT_3D('',#242572,#194099,#194100); #162768=AXIS2_PLACEMENT_3D('',#242574,#194101,#194102); #162769=AXIS2_PLACEMENT_3D('',#242577,#194104,#194105); #162770=AXIS2_PLACEMENT_3D('',#242578,#194106,#194107); #162771=AXIS2_PLACEMENT_3D('',#242580,#194108,#194109); #162772=AXIS2_PLACEMENT_3D('',#242583,#194111,#194112); #162773=AXIS2_PLACEMENT_3D('',#242584,#194113,#194114); #162774=AXIS2_PLACEMENT_3D('',#242586,#194115,#194116); #162775=AXIS2_PLACEMENT_3D('',#242589,#194118,#194119); #162776=AXIS2_PLACEMENT_3D('',#242590,#194120,#194121); #162777=AXIS2_PLACEMENT_3D('',#242592,#194122,#194123); #162778=AXIS2_PLACEMENT_3D('',#242595,#194125,#194126); #162779=AXIS2_PLACEMENT_3D('',#242596,#194127,#194128); #162780=AXIS2_PLACEMENT_3D('',#242602,#194132,#194133); #162781=AXIS2_PLACEMENT_3D('',#242604,#194134,#194135); #162782=AXIS2_PLACEMENT_3D('',#242607,#194137,#194138); #162783=AXIS2_PLACEMENT_3D('',#242608,#194139,#194140); #162784=AXIS2_PLACEMENT_3D('',#242610,#194141,#194142); #162785=AXIS2_PLACEMENT_3D('',#242613,#194144,#194145); #162786=AXIS2_PLACEMENT_3D('',#242614,#194146,#194147); #162787=AXIS2_PLACEMENT_3D('',#242615,#194148,#194149); #162788=AXIS2_PLACEMENT_3D('',#242616,#194150,#194151); #162789=AXIS2_PLACEMENT_3D('',#242617,#194152,#194153); #162790=AXIS2_PLACEMENT_3D('',#242618,#194154,#194155); #162791=AXIS2_PLACEMENT_3D('',#242627,#194160,#194161); #162792=AXIS2_PLACEMENT_3D('',#242629,#194162,#194163); #162793=AXIS2_PLACEMENT_3D('',#242632,#194165,#194166); #162794=AXIS2_PLACEMENT_3D('',#242633,#194167,#194168); #162795=AXIS2_PLACEMENT_3D('',#242635,#194169,#194170); #162796=AXIS2_PLACEMENT_3D('',#242638,#194172,#194173); #162797=AXIS2_PLACEMENT_3D('',#242639,#194174,#194175); #162798=AXIS2_PLACEMENT_3D('',#242641,#194176,#194177); #162799=AXIS2_PLACEMENT_3D('',#242644,#194179,#194180); #162800=AXIS2_PLACEMENT_3D('',#242645,#194181,#194182); #162801=AXIS2_PLACEMENT_3D('',#242647,#194183,#194184); #162802=AXIS2_PLACEMENT_3D('',#242650,#194186,#194187); #162803=AXIS2_PLACEMENT_3D('',#242651,#194188,#194189); #162804=AXIS2_PLACEMENT_3D('',#242657,#194193,#194194); #162805=AXIS2_PLACEMENT_3D('',#242663,#194198,#194199); #162806=AXIS2_PLACEMENT_3D('',#242669,#194203,#194204); #162807=AXIS2_PLACEMENT_3D('',#242671,#194205,#194206); #162808=AXIS2_PLACEMENT_3D('',#242674,#194208,#194209); #162809=AXIS2_PLACEMENT_3D('',#242675,#194210,#194211); #162810=AXIS2_PLACEMENT_3D('',#242677,#194212,#194213); #162811=AXIS2_PLACEMENT_3D('',#242680,#194215,#194216); #162812=AXIS2_PLACEMENT_3D('',#242681,#194217,#194218); #162813=AXIS2_PLACEMENT_3D('',#242683,#194219,#194220); #162814=AXIS2_PLACEMENT_3D('',#242686,#194222,#194223); #162815=AXIS2_PLACEMENT_3D('',#242687,#194224,#194225); #162816=AXIS2_PLACEMENT_3D('',#242689,#194226,#194227); #162817=AXIS2_PLACEMENT_3D('',#242692,#194229,#194230); #162818=AXIS2_PLACEMENT_3D('',#242693,#194231,#194232); #162819=AXIS2_PLACEMENT_3D('',#242695,#194233,#194234); #162820=AXIS2_PLACEMENT_3D('',#242698,#194236,#194237); #162821=AXIS2_PLACEMENT_3D('',#242699,#194238,#194239); #162822=AXIS2_PLACEMENT_3D('',#242705,#194243,#194244); #162823=AXIS2_PLACEMENT_3D('',#242711,#194248,#194249); #162824=AXIS2_PLACEMENT_3D('',#242717,#194253,#194254); #162825=AXIS2_PLACEMENT_3D('',#242719,#194255,#194256); #162826=AXIS2_PLACEMENT_3D('',#242722,#194258,#194259); #162827=AXIS2_PLACEMENT_3D('',#242723,#194260,#194261); #162828=AXIS2_PLACEMENT_3D('',#242725,#194262,#194263); #162829=AXIS2_PLACEMENT_3D('',#242728,#194265,#194266); #162830=AXIS2_PLACEMENT_3D('',#242729,#194267,#194268); #162831=AXIS2_PLACEMENT_3D('',#242731,#194269,#194270); #162832=AXIS2_PLACEMENT_3D('',#242734,#194272,#194273); #162833=AXIS2_PLACEMENT_3D('',#242735,#194274,#194275); #162834=AXIS2_PLACEMENT_3D('',#242736,#194276,#194277); #162835=AXIS2_PLACEMENT_3D('',#242737,#194278,#194279); #162836=AXIS2_PLACEMENT_3D('',#242738,#194280,#194281); #162837=AXIS2_PLACEMENT_3D('',#242739,#194282,#194283); #162838=AXIS2_PLACEMENT_3D('',#242748,#194288,#194289); #162839=AXIS2_PLACEMENT_3D('',#242754,#194293,#194294); #162840=AXIS2_PLACEMENT_3D('',#242756,#194295,#194296); #162841=AXIS2_PLACEMENT_3D('',#242759,#194298,#194299); #162842=AXIS2_PLACEMENT_3D('',#242760,#194300,#194301); #162843=AXIS2_PLACEMENT_3D('',#242762,#194302,#194303); #162844=AXIS2_PLACEMENT_3D('',#242765,#194305,#194306); #162845=AXIS2_PLACEMENT_3D('',#242766,#194307,#194308); #162846=AXIS2_PLACEMENT_3D('',#242768,#194309,#194310); #162847=AXIS2_PLACEMENT_3D('',#242771,#194312,#194313); #162848=AXIS2_PLACEMENT_3D('',#242772,#194314,#194315); #162849=AXIS2_PLACEMENT_3D('',#242774,#194316,#194317); #162850=AXIS2_PLACEMENT_3D('',#242777,#194319,#194320); #162851=AXIS2_PLACEMENT_3D('',#242778,#194321,#194322); #162852=AXIS2_PLACEMENT_3D('',#242784,#194326,#194327); #162853=AXIS2_PLACEMENT_3D('',#242786,#194328,#194329); #162854=AXIS2_PLACEMENT_3D('',#242789,#194331,#194332); #162855=AXIS2_PLACEMENT_3D('',#242790,#194333,#194334); #162856=AXIS2_PLACEMENT_3D('',#242792,#194335,#194336); #162857=AXIS2_PLACEMENT_3D('',#242795,#194338,#194339); #162858=AXIS2_PLACEMENT_3D('',#242796,#194340,#194341); #162859=AXIS2_PLACEMENT_3D('',#242798,#194342,#194343); #162860=AXIS2_PLACEMENT_3D('',#242801,#194345,#194346); #162861=AXIS2_PLACEMENT_3D('',#242802,#194347,#194348); #162862=AXIS2_PLACEMENT_3D('',#242805,#194351,#194352); #162863=AXIS2_PLACEMENT_3D('',#242808,#194353,#194354); #162864=AXIS2_PLACEMENT_3D('',#242812,#194356,#194357); #162865=AXIS2_PLACEMENT_3D('',#242814,#194359,#194360); #162866=AXIS2_PLACEMENT_3D('',#242816,#194361,#194362); #162867=AXIS2_PLACEMENT_3D('',#242819,#194364,#194365); #162868=AXIS2_PLACEMENT_3D('',#242820,#194366,#194367); #162869=AXIS2_PLACEMENT_3D('',#242822,#194368,#194369); #162870=AXIS2_PLACEMENT_3D('',#242825,#194371,#194372); #162871=AXIS2_PLACEMENT_3D('',#242826,#194373,#194374); #162872=AXIS2_PLACEMENT_3D('',#242832,#194378,#194379); #162873=AXIS2_PLACEMENT_3D('',#242834,#194380,#194381); #162874=AXIS2_PLACEMENT_3D('',#242837,#194383,#194384); #162875=AXIS2_PLACEMENT_3D('',#242838,#194385,#194386); #162876=AXIS2_PLACEMENT_3D('',#242840,#194387,#194388); #162877=AXIS2_PLACEMENT_3D('',#242843,#194390,#194391); #162878=AXIS2_PLACEMENT_3D('',#242844,#194392,#194393); #162879=AXIS2_PLACEMENT_3D('',#242846,#194394,#194395); #162880=AXIS2_PLACEMENT_3D('',#242849,#194397,#194398); #162881=AXIS2_PLACEMENT_3D('',#242850,#194399,#194400); #162882=AXIS2_PLACEMENT_3D('',#242852,#194401,#194402); #162883=AXIS2_PLACEMENT_3D('',#242855,#194404,#194405); #162884=AXIS2_PLACEMENT_3D('',#242856,#194406,#194407); #162885=AXIS2_PLACEMENT_3D('',#242862,#194411,#194412); #162886=AXIS2_PLACEMENT_3D('',#242864,#194413,#194414); #162887=AXIS2_PLACEMENT_3D('',#242867,#194416,#194417); #162888=AXIS2_PLACEMENT_3D('',#242868,#194418,#194419); #162889=AXIS2_PLACEMENT_3D('',#242870,#194420,#194421); #162890=AXIS2_PLACEMENT_3D('',#242873,#194423,#194424); #162891=AXIS2_PLACEMENT_3D('',#242874,#194425,#194426); #162892=AXIS2_PLACEMENT_3D('',#242876,#194427,#194428); #162893=AXIS2_PLACEMENT_3D('',#242879,#194430,#194431); #162894=AXIS2_PLACEMENT_3D('',#242880,#194432,#194433); #162895=AXIS2_PLACEMENT_3D('',#242882,#194434,#194435); #162896=AXIS2_PLACEMENT_3D('',#242885,#194437,#194438); #162897=AXIS2_PLACEMENT_3D('',#242886,#194439,#194440); #162898=AXIS2_PLACEMENT_3D('',#242892,#194444,#194445); #162899=AXIS2_PLACEMENT_3D('',#242898,#194449,#194450); #162900=AXIS2_PLACEMENT_3D('',#242904,#194454,#194455); #162901=AXIS2_PLACEMENT_3D('',#242906,#194456,#194457); #162902=AXIS2_PLACEMENT_3D('',#242909,#194459,#194460); #162903=AXIS2_PLACEMENT_3D('',#242910,#194461,#194462); #162904=AXIS2_PLACEMENT_3D('',#242912,#194463,#194464); #162905=AXIS2_PLACEMENT_3D('',#242915,#194466,#194467); #162906=AXIS2_PLACEMENT_3D('',#242916,#194468,#194469); #162907=AXIS2_PLACEMENT_3D('',#242918,#194470,#194471); #162908=AXIS2_PLACEMENT_3D('',#242921,#194473,#194474); #162909=AXIS2_PLACEMENT_3D('',#242922,#194475,#194476); #162910=AXIS2_PLACEMENT_3D('',#242924,#194477,#194478); #162911=AXIS2_PLACEMENT_3D('',#242927,#194480,#194481); #162912=AXIS2_PLACEMENT_3D('',#242928,#194482,#194483); #162913=AXIS2_PLACEMENT_3D('',#242931,#194486,#194487); #162914=AXIS2_PLACEMENT_3D('',#242932,#194488,#194489); #162915=AXIS2_PLACEMENT_3D('',#242935,#194490,#194491); #162916=AXIS2_PLACEMENT_3D('',#242939,#194493,#194494); #162917=AXIS2_PLACEMENT_3D('',#242941,#194496,#194497); #162918=AXIS2_PLACEMENT_3D('',#242947,#194501,#194502); #162919=AXIS2_PLACEMENT_3D('',#242953,#194506,#194507); #162920=AXIS2_PLACEMENT_3D('',#242959,#194511,#194512); #162921=AXIS2_PLACEMENT_3D('',#242965,#194516,#194517); #162922=AXIS2_PLACEMENT_3D('',#242967,#194518,#194519); #162923=AXIS2_PLACEMENT_3D('',#242970,#194521,#194522); #162924=AXIS2_PLACEMENT_3D('',#242971,#194523,#194524); #162925=AXIS2_PLACEMENT_3D('',#242973,#194525,#194526); #162926=AXIS2_PLACEMENT_3D('',#242976,#194528,#194529); #162927=AXIS2_PLACEMENT_3D('',#242977,#194530,#194531); #162928=AXIS2_PLACEMENT_3D('',#242979,#194532,#194533); #162929=AXIS2_PLACEMENT_3D('',#242982,#194535,#194536); #162930=AXIS2_PLACEMENT_3D('',#242983,#194537,#194538); #162931=AXIS2_PLACEMENT_3D('',#242985,#194539,#194540); #162932=AXIS2_PLACEMENT_3D('',#242988,#194542,#194543); #162933=AXIS2_PLACEMENT_3D('',#242989,#194544,#194545); #162934=AXIS2_PLACEMENT_3D('',#242995,#194549,#194550); #162935=AXIS2_PLACEMENT_3D('',#243001,#194554,#194555); #162936=AXIS2_PLACEMENT_3D('',#243007,#194559,#194560); #162937=AXIS2_PLACEMENT_3D('',#243009,#194561,#194562); #162938=AXIS2_PLACEMENT_3D('',#243012,#194564,#194565); #162939=AXIS2_PLACEMENT_3D('',#243013,#194566,#194567); #162940=AXIS2_PLACEMENT_3D('',#243015,#194568,#194569); #162941=AXIS2_PLACEMENT_3D('',#243018,#194571,#194572); #162942=AXIS2_PLACEMENT_3D('',#243019,#194573,#194574); #162943=AXIS2_PLACEMENT_3D('',#243021,#194575,#194576); #162944=AXIS2_PLACEMENT_3D('',#243024,#194578,#194579); #162945=AXIS2_PLACEMENT_3D('',#243025,#194580,#194581); #162946=AXIS2_PLACEMENT_3D('',#243027,#194582,#194583); #162947=AXIS2_PLACEMENT_3D('',#243030,#194585,#194586); #162948=AXIS2_PLACEMENT_3D('',#243031,#194587,#194588); #162949=AXIS2_PLACEMENT_3D('',#243037,#194592,#194593); #162950=AXIS2_PLACEMENT_3D('',#243039,#194594,#194595); #162951=AXIS2_PLACEMENT_3D('',#243042,#194597,#194598); #162952=AXIS2_PLACEMENT_3D('',#243043,#194599,#194600); #162953=AXIS2_PLACEMENT_3D('',#243045,#194601,#194602); #162954=AXIS2_PLACEMENT_3D('',#243048,#194604,#194605); #162955=AXIS2_PLACEMENT_3D('',#243049,#194606,#194607); #162956=AXIS2_PLACEMENT_3D('',#243051,#194608,#194609); #162957=AXIS2_PLACEMENT_3D('',#243054,#194611,#194612); #162958=AXIS2_PLACEMENT_3D('',#243055,#194613,#194614); #162959=AXIS2_PLACEMENT_3D('',#243057,#194615,#194616); #162960=AXIS2_PLACEMENT_3D('',#243060,#194618,#194619); #162961=AXIS2_PLACEMENT_3D('',#243061,#194620,#194621); #162962=AXIS2_PLACEMENT_3D('',#243067,#194625,#194626); #162963=AXIS2_PLACEMENT_3D('',#243073,#194630,#194631); #162964=AXIS2_PLACEMENT_3D('',#243079,#194635,#194636); #162965=AXIS2_PLACEMENT_3D('',#243085,#194640,#194641); #162966=AXIS2_PLACEMENT_3D('',#243091,#194645,#194646); #162967=AXIS2_PLACEMENT_3D('',#243097,#194650,#194651); #162968=AXIS2_PLACEMENT_3D('',#243099,#194652,#194653); #162969=AXIS2_PLACEMENT_3D('',#243102,#194655,#194656); #162970=AXIS2_PLACEMENT_3D('',#243103,#194657,#194658); #162971=AXIS2_PLACEMENT_3D('',#243105,#194659,#194660); #162972=AXIS2_PLACEMENT_3D('',#243108,#194662,#194663); #162973=AXIS2_PLACEMENT_3D('',#243109,#194664,#194665); #162974=AXIS2_PLACEMENT_3D('',#243111,#194666,#194667); #162975=AXIS2_PLACEMENT_3D('',#243114,#194669,#194670); #162976=AXIS2_PLACEMENT_3D('',#243115,#194671,#194672); #162977=AXIS2_PLACEMENT_3D('',#243117,#194673,#194674); #162978=AXIS2_PLACEMENT_3D('',#243120,#194676,#194677); #162979=AXIS2_PLACEMENT_3D('',#243121,#194678,#194679); #162980=AXIS2_PLACEMENT_3D('',#243127,#194683,#194684); #162981=AXIS2_PLACEMENT_3D('',#243129,#194685,#194686); #162982=AXIS2_PLACEMENT_3D('',#243132,#194688,#194689); #162983=AXIS2_PLACEMENT_3D('',#243133,#194690,#194691); #162984=AXIS2_PLACEMENT_3D('',#243135,#194692,#194693); #162985=AXIS2_PLACEMENT_3D('',#243138,#194695,#194696); #162986=AXIS2_PLACEMENT_3D('',#243139,#194697,#194698); #162987=AXIS2_PLACEMENT_3D('',#243140,#194699,#194700); #162988=AXIS2_PLACEMENT_3D('',#243141,#194701,#194702); #162989=AXIS2_PLACEMENT_3D('',#243142,#194703,#194704); #162990=AXIS2_PLACEMENT_3D('',#243143,#194705,#194706); #162991=AXIS2_PLACEMENT_3D('',#243152,#194711,#194712); #162992=AXIS2_PLACEMENT_3D('',#243158,#194716,#194717); #162993=AXIS2_PLACEMENT_3D('',#243164,#194721,#194722); #162994=AXIS2_PLACEMENT_3D('',#243167,#194725,#194726); #162995=AXIS2_PLACEMENT_3D('',#243168,#194727,#194728); #162996=AXIS2_PLACEMENT_3D('',#243177,#194733,#194734); #162997=AXIS2_PLACEMENT_3D('',#243183,#194738,#194739); #162998=AXIS2_PLACEMENT_3D('',#243189,#194743,#194744); #162999=AXIS2_PLACEMENT_3D('',#243195,#194748,#194749); #163000=AXIS2_PLACEMENT_3D('',#243201,#194753,#194754); #163001=AXIS2_PLACEMENT_3D('',#243205,#194758,#194759); #163002=AXIS2_PLACEMENT_3D('',#243206,#194760,#194761); #163003=AXIS2_PLACEMENT_3D('',#243215,#194766,#194767); #163004=AXIS2_PLACEMENT_3D('',#243221,#194771,#194772); #163005=AXIS2_PLACEMENT_3D('',#243227,#194776,#194777); #163006=AXIS2_PLACEMENT_3D('',#243231,#194781,#194782); #163007=AXIS2_PLACEMENT_3D('',#243232,#194783,#194784); #163008=AXIS2_PLACEMENT_3D('',#243241,#194789,#194790); #163009=AXIS2_PLACEMENT_3D('',#243247,#194794,#194795); #163010=AXIS2_PLACEMENT_3D('',#243253,#194799,#194800); #163011=AXIS2_PLACEMENT_3D('',#243257,#194804,#194805); #163012=AXIS2_PLACEMENT_3D('',#243258,#194806,#194807); #163013=AXIS2_PLACEMENT_3D('',#243267,#194812,#194813); #163014=AXIS2_PLACEMENT_3D('',#243273,#194817,#194818); #163015=AXIS2_PLACEMENT_3D('',#243280,#194823,#194824); #163016=AXIS2_PLACEMENT_3D('',#243283,#194827,#194828); #163017=AXIS2_PLACEMENT_3D('',#243289,#194832,#194833); #163018=AXIS2_PLACEMENT_3D('',#243295,#194837,#194838); #163019=AXIS2_PLACEMENT_3D('',#243301,#194842,#194843); #163020=AXIS2_PLACEMENT_3D('',#243307,#194847,#194848); #163021=AXIS2_PLACEMENT_3D('',#243313,#194852,#194853); #163022=AXIS2_PLACEMENT_3D('',#243316,#194856,#194857); #163023=AXIS2_PLACEMENT_3D('',#243322,#194861,#194862); #163024=AXIS2_PLACEMENT_3D('',#243328,#194866,#194867); #163025=AXIS2_PLACEMENT_3D('',#243334,#194871,#194872); #163026=AXIS2_PLACEMENT_3D('',#243337,#194875,#194876); #163027=AXIS2_PLACEMENT_3D('',#243338,#194877,#194878); #163028=AXIS2_PLACEMENT_3D('',#243347,#194883,#194884); #163029=AXIS2_PLACEMENT_3D('',#243353,#194888,#194889); #163030=AXIS2_PLACEMENT_3D('',#243360,#194894,#194895); #163031=AXIS2_PLACEMENT_3D('',#243364,#194899,#194900); #163032=AXIS2_PLACEMENT_3D('',#243365,#194901,#194902); #163033=AXIS2_PLACEMENT_3D('',#243374,#194907,#194908); #163034=AXIS2_PLACEMENT_3D('',#243380,#194912,#194913); #163035=AXIS2_PLACEMENT_3D('',#243386,#194917,#194918); #163036=AXIS2_PLACEMENT_3D('',#243390,#194922,#194923); #163037=AXIS2_PLACEMENT_3D('',#243391,#194924,#194925); #163038=AXIS2_PLACEMENT_3D('',#243400,#194930,#194931); #163039=AXIS2_PLACEMENT_3D('',#243406,#194935,#194936); #163040=AXIS2_PLACEMENT_3D('',#243412,#194940,#194941); #163041=AXIS2_PLACEMENT_3D('',#243419,#194946,#194947); #163042=AXIS2_PLACEMENT_3D('',#243425,#194951,#194952); #163043=AXIS2_PLACEMENT_3D('',#243428,#194955,#194956); #163044=AXIS2_PLACEMENT_3D('',#243434,#194960,#194961); #163045=AXIS2_PLACEMENT_3D('',#243440,#194965,#194966); #163046=AXIS2_PLACEMENT_3D('',#243443,#194969,#194970); #163047=AXIS2_PLACEMENT_3D('',#243449,#194974,#194975); #163048=AXIS2_PLACEMENT_3D('',#243455,#194979,#194980); #163049=AXIS2_PLACEMENT_3D('',#243458,#194983,#194984); #163050=AXIS2_PLACEMENT_3D('',#243459,#194985,#194986); #163051=AXIS2_PLACEMENT_3D('',#243468,#194991,#194992); #163052=AXIS2_PLACEMENT_3D('',#243471,#194995,#194996); #163053=AXIS2_PLACEMENT_3D('',#243477,#195000,#195001); #163054=AXIS2_PLACEMENT_3D('',#243481,#195005,#195006); #163055=AXIS2_PLACEMENT_3D('',#243482,#195007,#195008); #163056=AXIS2_PLACEMENT_3D('',#243491,#195013,#195014); #163057=AXIS2_PLACEMENT_3D('',#243497,#195018,#195019); #163058=AXIS2_PLACEMENT_3D('',#243503,#195023,#195024); #163059=AXIS2_PLACEMENT_3D('',#243509,#195028,#195029); #163060=AXIS2_PLACEMENT_3D('',#243515,#195033,#195034); #163061=AXIS2_PLACEMENT_3D('',#243519,#195038,#195039); #163062=AXIS2_PLACEMENT_3D('',#243525,#195043,#195044); #163063=AXIS2_PLACEMENT_3D('',#243528,#195047,#195048); #163064=AXIS2_PLACEMENT_3D('',#243529,#195049,#195050); #163065=AXIS2_PLACEMENT_3D('',#243538,#195055,#195056); #163066=AXIS2_PLACEMENT_3D('',#243541,#195059,#195060); #163067=AXIS2_PLACEMENT_3D('',#243547,#195064,#195065); #163068=AXIS2_PLACEMENT_3D('',#243551,#195069,#195070); #163069=AXIS2_PLACEMENT_3D('',#243552,#195071,#195072); #163070=AXIS2_PLACEMENT_3D('',#243561,#195077,#195078); #163071=AXIS2_PLACEMENT_3D('',#243567,#195082,#195083); #163072=AXIS2_PLACEMENT_3D('',#243574,#195088,#195089); #163073=AXIS2_PLACEMENT_3D('',#243578,#195093,#195094); #163074=AXIS2_PLACEMENT_3D('',#243579,#195095,#195096); #163075=AXIS2_PLACEMENT_3D('',#243585,#195100,#195101); #163076=AXIS2_PLACEMENT_3D('',#243588,#195104,#195105); #163077=AXIS2_PLACEMENT_3D('',#243594,#195109,#195110); #163078=AXIS2_PLACEMENT_3D('',#243600,#195114,#195115); #163079=AXIS2_PLACEMENT_3D('',#243606,#195119,#195120); #163080=AXIS2_PLACEMENT_3D('',#243613,#195125,#195126); #163081=AXIS2_PLACEMENT_3D('',#243619,#195130,#195131); #163082=AXIS2_PLACEMENT_3D('',#243626,#195136,#195137); #163083=AXIS2_PLACEMENT_3D('',#243632,#195141,#195142); #163084=AXIS2_PLACEMENT_3D('',#243635,#195145,#195146); #163085=AXIS2_PLACEMENT_3D('',#243641,#195150,#195151); #163086=AXIS2_PLACEMENT_3D('',#243644,#195154,#195155); #163087=AXIS2_PLACEMENT_3D('',#243645,#195156,#195157); #163088=AXIS2_PLACEMENT_3D('',#243654,#195162,#195163); #163089=AXIS2_PLACEMENT_3D('',#243658,#195167,#195168); #163090=AXIS2_PLACEMENT_3D('',#243664,#195172,#195173); #163091=AXIS2_PLACEMENT_3D('',#243667,#195176,#195177); #163092=AXIS2_PLACEMENT_3D('',#243668,#195178,#195179); #163093=AXIS2_PLACEMENT_3D('',#243677,#195184,#195185); #163094=AXIS2_PLACEMENT_3D('',#243683,#195189,#195190); #163095=AXIS2_PLACEMENT_3D('',#243689,#195194,#195195); #163096=AXIS2_PLACEMENT_3D('',#243695,#195199,#195200); #163097=AXIS2_PLACEMENT_3D('',#243698,#195203,#195204); #163098=AXIS2_PLACEMENT_3D('',#243701,#195207,#195208); #163099=AXIS2_PLACEMENT_3D('',#243707,#195212,#195213); #163100=AXIS2_PLACEMENT_3D('',#243713,#195217,#195218); #163101=AXIS2_PLACEMENT_3D('',#243719,#195222,#195223); #163102=AXIS2_PLACEMENT_3D('',#243725,#195227,#195228); #163103=AXIS2_PLACEMENT_3D('',#243732,#195233,#195234); #163104=AXIS2_PLACEMENT_3D('',#243736,#195238,#195239); #163105=AXIS2_PLACEMENT_3D('',#243737,#195240,#195241); #163106=AXIS2_PLACEMENT_3D('',#243746,#195246,#195247); #163107=AXIS2_PLACEMENT_3D('',#243753,#195252,#195253); #163108=AXIS2_PLACEMENT_3D('',#243759,#195257,#195258); #163109=AXIS2_PLACEMENT_3D('',#243762,#195261,#195262); #163110=AXIS2_PLACEMENT_3D('',#243763,#195263,#195264); #163111=AXIS2_PLACEMENT_3D('',#243772,#195269,#195270); #163112=AXIS2_PLACEMENT_3D('',#243778,#195274,#195275); #163113=AXIS2_PLACEMENT_3D('',#243784,#195279,#195280); #163114=AXIS2_PLACEMENT_3D('',#243787,#195283,#195284); #163115=AXIS2_PLACEMENT_3D('',#243794,#195289,#195290); #163116=AXIS2_PLACEMENT_3D('',#243800,#195294,#195295); #163117=AXIS2_PLACEMENT_3D('',#243806,#195299,#195300); #163118=AXIS2_PLACEMENT_3D('',#243812,#195304,#195305); #163119=AXIS2_PLACEMENT_3D('',#243818,#195309,#195310); #163120=AXIS2_PLACEMENT_3D('',#243824,#195314,#195315); #163121=AXIS2_PLACEMENT_3D('',#243830,#195319,#195320); #163122=AXIS2_PLACEMENT_3D('',#243836,#195324,#195325); #163123=AXIS2_PLACEMENT_3D('',#243843,#195330,#195331); #163124=AXIS2_PLACEMENT_3D('',#243849,#195335,#195336); #163125=AXIS2_PLACEMENT_3D('',#243855,#195340,#195341); #163126=AXIS2_PLACEMENT_3D('',#243858,#195344,#195345); #163127=AXIS2_PLACEMENT_3D('',#243864,#195349,#195350); #163128=AXIS2_PLACEMENT_3D('',#243870,#195354,#195355); #163129=AXIS2_PLACEMENT_3D('',#243876,#195359,#195360); #163130=AXIS2_PLACEMENT_3D('',#243882,#195364,#195365); #163131=AXIS2_PLACEMENT_3D('',#243888,#195369,#195370); #163132=AXIS2_PLACEMENT_3D('',#243891,#195373,#195374); #163133=AXIS2_PLACEMENT_3D('',#243897,#195378,#195379); #163134=AXIS2_PLACEMENT_3D('',#243903,#195383,#195384); #163135=AXIS2_PLACEMENT_3D('',#243906,#195387,#195388); #163136=AXIS2_PLACEMENT_3D('',#243912,#195392,#195393); #163137=AXIS2_PLACEMENT_3D('',#243918,#195397,#195398); #163138=AXIS2_PLACEMENT_3D('',#243924,#195402,#195403); #163139=AXIS2_PLACEMENT_3D('',#243930,#195407,#195408); #163140=AXIS2_PLACEMENT_3D('',#243933,#195411,#195412); #163141=AXIS2_PLACEMENT_3D('',#243934,#195413,#195414); #163142=AXIS2_PLACEMENT_3D('',#243943,#195419,#195420); #163143=AXIS2_PLACEMENT_3D('',#243949,#195424,#195425); #163144=AXIS2_PLACEMENT_3D('',#243952,#195428,#195429); #163145=AXIS2_PLACEMENT_3D('',#243955,#195432,#195433); #163146=AXIS2_PLACEMENT_3D('',#243956,#195434,#195435); #163147=AXIS2_PLACEMENT_3D('',#243965,#195440,#195441); #163148=AXIS2_PLACEMENT_3D('',#243972,#195446,#195447); #163149=AXIS2_PLACEMENT_3D('',#243978,#195451,#195452); #163150=AXIS2_PLACEMENT_3D('',#243981,#195455,#195456); #163151=AXIS2_PLACEMENT_3D('',#243982,#195457,#195458); #163152=AXIS2_PLACEMENT_3D('',#243991,#195463,#195464); #163153=AXIS2_PLACEMENT_3D('',#243997,#195468,#195469); #163154=AXIS2_PLACEMENT_3D('',#244004,#195474,#195475); #163155=AXIS2_PLACEMENT_3D('',#244007,#195478,#195479); #163156=AXIS2_PLACEMENT_3D('',#244013,#195483,#195484); #163157=AXIS2_PLACEMENT_3D('',#244019,#195488,#195489); #163158=AXIS2_PLACEMENT_3D('',#244022,#195492,#195493); #163159=AXIS2_PLACEMENT_3D('',#244028,#195497,#195498); #163160=AXIS2_PLACEMENT_3D('',#244034,#195502,#195503); #163161=AXIS2_PLACEMENT_3D('',#244037,#195506,#195507); #163162=AXIS2_PLACEMENT_3D('',#244043,#195511,#195512); #163163=AXIS2_PLACEMENT_3D('',#244049,#195516,#195517); #163164=AXIS2_PLACEMENT_3D('',#244052,#195520,#195521); #163165=AXIS2_PLACEMENT_3D('',#244055,#195524,#195525); #163166=AXIS2_PLACEMENT_3D('',#244056,#195526,#195527); #163167=AXIS2_PLACEMENT_3D('',#244065,#195532,#195533); #163168=AXIS2_PLACEMENT_3D('',#244071,#195537,#195538); #163169=AXIS2_PLACEMENT_3D('',#244077,#195542,#195543); #163170=AXIS2_PLACEMENT_3D('',#244083,#195547,#195548); #163171=AXIS2_PLACEMENT_3D('',#244086,#195551,#195552); #163172=AXIS2_PLACEMENT_3D('',#244089,#195555,#195556); #163173=AXIS2_PLACEMENT_3D('',#244090,#195557,#195558); #163174=AXIS2_PLACEMENT_3D('',#244099,#195563,#195564); #163175=AXIS2_PLACEMENT_3D('',#244105,#195568,#195569); #163176=AXIS2_PLACEMENT_3D('',#244111,#195573,#195574); #163177=AXIS2_PLACEMENT_3D('',#244117,#195578,#195579); #163178=AXIS2_PLACEMENT_3D('',#244123,#195583,#195584); #163179=AXIS2_PLACEMENT_3D('',#244129,#195588,#195589); #163180=AXIS2_PLACEMENT_3D('',#244135,#195593,#195594); #163181=AXIS2_PLACEMENT_3D('',#244141,#195598,#195599); #163182=AXIS2_PLACEMENT_3D('',#244147,#195603,#195604); #163183=AXIS2_PLACEMENT_3D('',#244153,#195608,#195609); #163184=AXIS2_PLACEMENT_3D('',#244159,#195613,#195614); #163185=AXIS2_PLACEMENT_3D('',#244165,#195618,#195619); #163186=AXIS2_PLACEMENT_3D('',#244171,#195623,#195624); #163187=AXIS2_PLACEMENT_3D('',#244177,#195628,#195629); #163188=AXIS2_PLACEMENT_3D('',#244183,#195633,#195634); #163189=AXIS2_PLACEMENT_3D('',#244189,#195638,#195639); #163190=AXIS2_PLACEMENT_3D('',#244195,#195643,#195644); #163191=AXIS2_PLACEMENT_3D('',#244202,#195649,#195650); #163192=AXIS2_PLACEMENT_3D('',#244209,#195655,#195656); #163193=AXIS2_PLACEMENT_3D('',#244213,#195660,#195661); #163194=AXIS2_PLACEMENT_3D('',#244214,#195662,#195663); #163195=AXIS2_PLACEMENT_3D('',#244223,#195668,#195669); #163196=AXIS2_PLACEMENT_3D('',#244226,#195672,#195673); #163197=AXIS2_PLACEMENT_3D('',#244232,#195677,#195678); #163198=AXIS2_PLACEMENT_3D('',#244238,#195682,#195683); #163199=AXIS2_PLACEMENT_3D('',#244244,#195687,#195688); #163200=AXIS2_PLACEMENT_3D('',#244247,#195691,#195692); #163201=AXIS2_PLACEMENT_3D('',#244248,#195693,#195694); #163202=AXIS2_PLACEMENT_3D('',#244254,#195698,#195699); #163203=AXIS2_PLACEMENT_3D('',#244260,#195703,#195704); #163204=AXIS2_PLACEMENT_3D('',#244266,#195708,#195709); #163205=AXIS2_PLACEMENT_3D('',#244269,#195712,#195713); #163206=AXIS2_PLACEMENT_3D('',#244270,#195714,#195715); #163207=AXIS2_PLACEMENT_3D('',#244279,#195720,#195721); #163208=AXIS2_PLACEMENT_3D('',#244282,#195724,#195725); #163209=AXIS2_PLACEMENT_3D('',#244288,#195729,#195730); #163210=AXIS2_PLACEMENT_3D('',#244291,#195733,#195734); #163211=AXIS2_PLACEMENT_3D('',#244292,#195735,#195736); #163212=AXIS2_PLACEMENT_3D('',#244301,#195741,#195742); #163213=AXIS2_PLACEMENT_3D('',#244307,#195746,#195747); #163214=AXIS2_PLACEMENT_3D('',#244313,#195751,#195752); #163215=AXIS2_PLACEMENT_3D('',#244316,#195755,#195756); #163216=AXIS2_PLACEMENT_3D('',#244325,#195761,#195762); #163217=AXIS2_PLACEMENT_3D('',#244331,#195766,#195767); #163218=AXIS2_PLACEMENT_3D('',#244337,#195771,#195772); #163219=AXIS2_PLACEMENT_3D('',#244340,#195775,#195776); #163220=AXIS2_PLACEMENT_3D('',#244341,#195777,#195778); #163221=AXIS2_PLACEMENT_3D('',#244350,#195783,#195784); #163222=AXIS2_PLACEMENT_3D('',#244356,#195788,#195789); #163223=AXIS2_PLACEMENT_3D('',#244362,#195793,#195794); #163224=AXIS2_PLACEMENT_3D('',#244368,#195798,#195799); #163225=AXIS2_PLACEMENT_3D('',#244374,#195803,#195804); #163226=AXIS2_PLACEMENT_3D('',#244376,#195805,#195806); #163227=AXIS2_PLACEMENT_3D('',#244379,#195808,#195809); #163228=AXIS2_PLACEMENT_3D('',#244380,#195810,#195811); #163229=AXIS2_PLACEMENT_3D('',#244386,#195815,#195816); #163230=AXIS2_PLACEMENT_3D('',#244392,#195820,#195821); #163231=AXIS2_PLACEMENT_3D('',#244398,#195825,#195826); #163232=AXIS2_PLACEMENT_3D('',#244404,#195830,#195831); #163233=AXIS2_PLACEMENT_3D('',#244406,#195832,#195833); #163234=AXIS2_PLACEMENT_3D('',#244409,#195835,#195836); #163235=AXIS2_PLACEMENT_3D('',#244410,#195837,#195838); #163236=AXIS2_PLACEMENT_3D('',#244416,#195842,#195843); #163237=AXIS2_PLACEMENT_3D('',#244422,#195847,#195848); #163238=AXIS2_PLACEMENT_3D('',#244428,#195852,#195853); #163239=AXIS2_PLACEMENT_3D('',#244430,#195854,#195855); #163240=AXIS2_PLACEMENT_3D('',#244433,#195857,#195858); #163241=AXIS2_PLACEMENT_3D('',#244434,#195859,#195860); #163242=AXIS2_PLACEMENT_3D('',#244440,#195864,#195865); #163243=AXIS2_PLACEMENT_3D('',#244446,#195869,#195870); #163244=AXIS2_PLACEMENT_3D('',#244452,#195874,#195875); #163245=AXIS2_PLACEMENT_3D('',#244458,#195879,#195880); #163246=AXIS2_PLACEMENT_3D('',#244460,#195881,#195882); #163247=AXIS2_PLACEMENT_3D('',#244463,#195884,#195885); #163248=AXIS2_PLACEMENT_3D('',#244464,#195886,#195887); #163249=AXIS2_PLACEMENT_3D('',#244470,#195891,#195892); #163250=AXIS2_PLACEMENT_3D('',#244476,#195896,#195897); #163251=AXIS2_PLACEMENT_3D('',#244482,#195901,#195902); #163252=AXIS2_PLACEMENT_3D('',#244485,#195905,#195906); #163253=AXIS2_PLACEMENT_3D('',#244486,#195907,#195908); #163254=AXIS2_PLACEMENT_3D('',#244495,#195913,#195914); #163255=AXIS2_PLACEMENT_3D('',#244501,#195918,#195919); #163256=AXIS2_PLACEMENT_3D('',#244507,#195923,#195924); #163257=AXIS2_PLACEMENT_3D('',#244509,#195925,#195926); #163258=AXIS2_PLACEMENT_3D('',#244512,#195928,#195929); #163259=AXIS2_PLACEMENT_3D('',#244513,#195930,#195931); #163260=AXIS2_PLACEMENT_3D('',#244515,#195932,#195933); #163261=AXIS2_PLACEMENT_3D('',#244518,#195935,#195936); #163262=AXIS2_PLACEMENT_3D('',#244519,#195937,#195938); #163263=AXIS2_PLACEMENT_3D('',#244521,#195939,#195940); #163264=AXIS2_PLACEMENT_3D('',#244524,#195942,#195943); #163265=AXIS2_PLACEMENT_3D('',#244525,#195944,#195945); #163266=AXIS2_PLACEMENT_3D('',#244527,#195946,#195947); #163267=AXIS2_PLACEMENT_3D('',#244530,#195949,#195950); #163268=AXIS2_PLACEMENT_3D('',#244531,#195951,#195952); #163269=AXIS2_PLACEMENT_3D('',#244533,#195953,#195954); #163270=AXIS2_PLACEMENT_3D('',#244536,#195956,#195957); #163271=AXIS2_PLACEMENT_3D('',#244537,#195958,#195959); #163272=AXIS2_PLACEMENT_3D('',#244540,#195962,#195963); #163273=AXIS2_PLACEMENT_3D('',#244543,#195964,#195965); #163274=AXIS2_PLACEMENT_3D('',#244547,#195967,#195968); #163275=AXIS2_PLACEMENT_3D('',#244549,#195970,#195971); #163276=AXIS2_PLACEMENT_3D('',#244551,#195972,#195973); #163277=AXIS2_PLACEMENT_3D('',#244554,#195975,#195976); #163278=AXIS2_PLACEMENT_3D('',#244555,#195977,#195978); #163279=AXIS2_PLACEMENT_3D('',#244561,#195982,#195983); #163280=AXIS2_PLACEMENT_3D('',#244563,#195984,#195985); #163281=AXIS2_PLACEMENT_3D('',#244566,#195987,#195988); #163282=AXIS2_PLACEMENT_3D('',#244567,#195989,#195990); #163283=AXIS2_PLACEMENT_3D('',#244569,#195991,#195992); #163284=AXIS2_PLACEMENT_3D('',#244572,#195994,#195995); #163285=AXIS2_PLACEMENT_3D('',#244573,#195996,#195997); #163286=AXIS2_PLACEMENT_3D('',#244575,#195998,#195999); #163287=AXIS2_PLACEMENT_3D('',#244578,#196001,#196002); #163288=AXIS2_PLACEMENT_3D('',#244579,#196003,#196004); #163289=AXIS2_PLACEMENT_3D('',#244585,#196008,#196009); #163290=AXIS2_PLACEMENT_3D('',#244591,#196013,#196014); #163291=AXIS2_PLACEMENT_3D('',#244597,#196018,#196019); #163292=AXIS2_PLACEMENT_3D('',#244603,#196023,#196024); #163293=AXIS2_PLACEMENT_3D('',#244609,#196028,#196029); #163294=AXIS2_PLACEMENT_3D('',#244615,#196033,#196034); #163295=AXIS2_PLACEMENT_3D('',#244621,#196038,#196039); #163296=AXIS2_PLACEMENT_3D('',#244627,#196043,#196044); #163297=AXIS2_PLACEMENT_3D('',#244629,#196045,#196046); #163298=AXIS2_PLACEMENT_3D('',#244632,#196048,#196049); #163299=AXIS2_PLACEMENT_3D('',#244633,#196050,#196051); #163300=AXIS2_PLACEMENT_3D('',#244635,#196052,#196053); #163301=AXIS2_PLACEMENT_3D('',#244638,#196055,#196056); #163302=AXIS2_PLACEMENT_3D('',#244639,#196057,#196058); #163303=AXIS2_PLACEMENT_3D('',#244641,#196059,#196060); #163304=AXIS2_PLACEMENT_3D('',#244644,#196062,#196063); #163305=AXIS2_PLACEMENT_3D('',#244645,#196064,#196065); #163306=AXIS2_PLACEMENT_3D('',#244647,#196066,#196067); #163307=AXIS2_PLACEMENT_3D('',#244650,#196069,#196070); #163308=AXIS2_PLACEMENT_3D('',#244651,#196071,#196072); #163309=AXIS2_PLACEMENT_3D('',#244653,#196073,#196074); #163310=AXIS2_PLACEMENT_3D('',#244656,#196076,#196077); #163311=AXIS2_PLACEMENT_3D('',#244657,#196078,#196079); #163312=AXIS2_PLACEMENT_3D('',#244663,#196083,#196084); #163313=AXIS2_PLACEMENT_3D('',#244665,#196085,#196086); #163314=AXIS2_PLACEMENT_3D('',#244668,#196088,#196089); #163315=AXIS2_PLACEMENT_3D('',#244669,#196090,#196091); #163316=AXIS2_PLACEMENT_3D('',#244671,#196092,#196093); #163317=AXIS2_PLACEMENT_3D('',#244674,#196095,#196096); #163318=AXIS2_PLACEMENT_3D('',#244675,#196097,#196098); #163319=AXIS2_PLACEMENT_3D('',#244681,#196102,#196103); #163320=AXIS2_PLACEMENT_3D('',#244687,#196107,#196108); #163321=AXIS2_PLACEMENT_3D('',#244693,#196112,#196113); #163322=AXIS2_PLACEMENT_3D('',#244699,#196117,#196118); #163323=AXIS2_PLACEMENT_3D('',#244705,#196122,#196123); #163324=AXIS2_PLACEMENT_3D('',#244711,#196127,#196128); #163325=AXIS2_PLACEMENT_3D('',#244717,#196132,#196133); #163326=AXIS2_PLACEMENT_3D('',#244719,#196134,#196135); #163327=AXIS2_PLACEMENT_3D('',#244722,#196137,#196138); #163328=AXIS2_PLACEMENT_3D('',#244723,#196139,#196140); #163329=AXIS2_PLACEMENT_3D('',#244726,#196143,#196144); #163330=AXIS2_PLACEMENT_3D('',#244727,#196145,#196146); #163331=AXIS2_PLACEMENT_3D('',#244736,#196151,#196152); #163332=AXIS2_PLACEMENT_3D('',#244742,#196156,#196157); #163333=AXIS2_PLACEMENT_3D('',#244748,#196161,#196162); #163334=AXIS2_PLACEMENT_3D('',#244750,#196163,#196164); #163335=AXIS2_PLACEMENT_3D('',#244753,#196166,#196167); #163336=AXIS2_PLACEMENT_3D('',#244754,#196168,#196169); #163337=AXIS2_PLACEMENT_3D('',#244760,#196173,#196174); #163338=AXIS2_PLACEMENT_3D('',#244762,#196175,#196176); #163339=AXIS2_PLACEMENT_3D('',#244765,#196178,#196179); #163340=AXIS2_PLACEMENT_3D('',#244766,#196180,#196181); #163341=AXIS2_PLACEMENT_3D('',#244772,#196185,#196186); #163342=AXIS2_PLACEMENT_3D('',#244778,#196190,#196191); #163343=AXIS2_PLACEMENT_3D('',#244784,#196195,#196196); #163344=AXIS2_PLACEMENT_3D('',#244790,#196200,#196201); #163345=AXIS2_PLACEMENT_3D('',#244793,#196204,#196205); #163346=AXIS2_PLACEMENT_3D('',#244794,#196206,#196207); #163347=AXIS2_PLACEMENT_3D('',#244797,#196208,#196209); #163348=AXIS2_PLACEMENT_3D('',#244801,#196211,#196212); #163349=AXIS2_PLACEMENT_3D('',#244803,#196214,#196215); #163350=AXIS2_PLACEMENT_3D('',#244805,#196216,#196217); #163351=AXIS2_PLACEMENT_3D('',#244808,#196219,#196220); #163352=AXIS2_PLACEMENT_3D('',#244809,#196221,#196222); #163353=AXIS2_PLACEMENT_3D('',#244811,#196223,#196224); #163354=AXIS2_PLACEMENT_3D('',#244814,#196226,#196227); #163355=AXIS2_PLACEMENT_3D('',#244815,#196228,#196229); #163356=AXIS2_PLACEMENT_3D('',#244817,#196230,#196231); #163357=AXIS2_PLACEMENT_3D('',#244820,#196233,#196234); #163358=AXIS2_PLACEMENT_3D('',#244821,#196235,#196236); #163359=AXIS2_PLACEMENT_3D('',#244823,#196237,#196238); #163360=AXIS2_PLACEMENT_3D('',#244826,#196240,#196241); #163361=AXIS2_PLACEMENT_3D('',#244827,#196242,#196243); #163362=AXIS2_PLACEMENT_3D('',#244829,#196244,#196245); #163363=AXIS2_PLACEMENT_3D('',#244832,#196247,#196248); #163364=AXIS2_PLACEMENT_3D('',#244833,#196249,#196250); #163365=AXIS2_PLACEMENT_3D('',#244835,#196251,#196252); #163366=AXIS2_PLACEMENT_3D('',#244838,#196254,#196255); #163367=AXIS2_PLACEMENT_3D('',#244839,#196256,#196257); #163368=AXIS2_PLACEMENT_3D('',#244841,#196258,#196259); #163369=AXIS2_PLACEMENT_3D('',#244844,#196261,#196262); #163370=AXIS2_PLACEMENT_3D('',#244845,#196263,#196264); #163371=AXIS2_PLACEMENT_3D('',#244847,#196265,#196266); #163372=AXIS2_PLACEMENT_3D('',#244850,#196268,#196269); #163373=AXIS2_PLACEMENT_3D('',#244851,#196270,#196271); #163374=AXIS2_PLACEMENT_3D('',#244854,#196274,#196275); #163375=AXIS2_PLACEMENT_3D('',#244857,#196276,#196277); #163376=AXIS2_PLACEMENT_3D('',#244861,#196279,#196280); #163377=AXIS2_PLACEMENT_3D('',#244863,#196282,#196283); #163378=AXIS2_PLACEMENT_3D('',#244865,#196284,#196285); #163379=AXIS2_PLACEMENT_3D('',#244868,#196287,#196288); #163380=AXIS2_PLACEMENT_3D('',#244869,#196289,#196290); #163381=AXIS2_PLACEMENT_3D('',#244871,#196291,#196292); #163382=AXIS2_PLACEMENT_3D('',#244874,#196294,#196295); #163383=AXIS2_PLACEMENT_3D('',#244875,#196296,#196297); #163384=AXIS2_PLACEMENT_3D('',#244877,#196298,#196299); #163385=AXIS2_PLACEMENT_3D('',#244880,#196301,#196302); #163386=AXIS2_PLACEMENT_3D('',#244881,#196303,#196304); #163387=AXIS2_PLACEMENT_3D('',#244883,#196305,#196306); #163388=AXIS2_PLACEMENT_3D('',#244886,#196308,#196309); #163389=AXIS2_PLACEMENT_3D('',#244887,#196310,#196311); #163390=AXIS2_PLACEMENT_3D('',#244889,#196312,#196313); #163391=AXIS2_PLACEMENT_3D('',#244892,#196315,#196316); #163392=AXIS2_PLACEMENT_3D('',#244893,#196317,#196318); #163393=AXIS2_PLACEMENT_3D('',#244899,#196322,#196323); #163394=AXIS2_PLACEMENT_3D('',#244901,#196324,#196325); #163395=AXIS2_PLACEMENT_3D('',#244904,#196327,#196328); #163396=AXIS2_PLACEMENT_3D('',#244905,#196329,#196330); #163397=AXIS2_PLACEMENT_3D('',#244911,#196334,#196335); #163398=AXIS2_PLACEMENT_3D('',#244917,#196339,#196340); #163399=AXIS2_PLACEMENT_3D('',#244923,#196344,#196345); #163400=AXIS2_PLACEMENT_3D('',#244929,#196349,#196350); #163401=AXIS2_PLACEMENT_3D('',#244931,#196351,#196352); #163402=AXIS2_PLACEMENT_3D('',#244934,#196354,#196355); #163403=AXIS2_PLACEMENT_3D('',#244935,#196356,#196357); #163404=AXIS2_PLACEMENT_3D('',#244941,#196361,#196362); #163405=AXIS2_PLACEMENT_3D('',#244947,#196366,#196367); #163406=AXIS2_PLACEMENT_3D('',#244953,#196371,#196372); #163407=AXIS2_PLACEMENT_3D('',#244959,#196376,#196377); #163408=AXIS2_PLACEMENT_3D('',#244965,#196381,#196382); #163409=AXIS2_PLACEMENT_3D('',#244971,#196386,#196387); #163410=AXIS2_PLACEMENT_3D('',#244977,#196391,#196392); #163411=AXIS2_PLACEMENT_3D('',#244983,#196396,#196397); #163412=AXIS2_PLACEMENT_3D('',#244989,#196401,#196402); #163413=AXIS2_PLACEMENT_3D('',#244995,#196406,#196407); #163414=AXIS2_PLACEMENT_3D('',#245001,#196411,#196412); #163415=AXIS2_PLACEMENT_3D('',#245007,#196416,#196417); #163416=AXIS2_PLACEMENT_3D('',#245013,#196421,#196422); #163417=AXIS2_PLACEMENT_3D('',#245019,#196426,#196427); #163418=AXIS2_PLACEMENT_3D('',#245025,#196431,#196432); #163419=AXIS2_PLACEMENT_3D('',#245031,#196436,#196437); #163420=AXIS2_PLACEMENT_3D('',#245033,#196438,#196439); #163421=AXIS2_PLACEMENT_3D('',#245036,#196441,#196442); #163422=AXIS2_PLACEMENT_3D('',#245037,#196443,#196444); #163423=AXIS2_PLACEMENT_3D('',#245043,#196448,#196449); #163424=AXIS2_PLACEMENT_3D('',#245045,#196450,#196451); #163425=AXIS2_PLACEMENT_3D('',#245048,#196453,#196454); #163426=AXIS2_PLACEMENT_3D('',#245049,#196455,#196456); #163427=AXIS2_PLACEMENT_3D('',#245051,#196457,#196458); #163428=AXIS2_PLACEMENT_3D('',#245054,#196460,#196461); #163429=AXIS2_PLACEMENT_3D('',#245055,#196462,#196463); #163430=AXIS2_PLACEMENT_3D('',#245061,#196467,#196468); #163431=AXIS2_PLACEMENT_3D('',#245063,#196469,#196470); #163432=AXIS2_PLACEMENT_3D('',#245066,#196472,#196473); #163433=AXIS2_PLACEMENT_3D('',#245067,#196474,#196475); #163434=AXIS2_PLACEMENT_3D('',#245073,#196479,#196480); #163435=AXIS2_PLACEMENT_3D('',#245079,#196484,#196485); #163436=AXIS2_PLACEMENT_3D('',#245080,#196486,#196487); #163437=AXIS2_PLACEMENT_3D('',#245081,#196488,#196489); #163438=AXIS2_PLACEMENT_3D('',#245082,#196490,#196491); #163439=AXIS2_PLACEMENT_3D('',#245083,#196492,#196493); #163440=AXIS2_PLACEMENT_3D('',#245086,#196494,#196495); #163441=AXIS2_PLACEMENT_3D('',#245090,#196497,#196498); #163442=AXIS2_PLACEMENT_3D('',#245092,#196500,#196501); #163443=AXIS2_PLACEMENT_3D('',#245098,#196505,#196506); #163444=AXIS2_PLACEMENT_3D('',#245100,#196507,#196508); #163445=AXIS2_PLACEMENT_3D('',#245103,#196510,#196511); #163446=AXIS2_PLACEMENT_3D('',#245104,#196512,#196513); #163447=AXIS2_PLACEMENT_3D('',#245110,#196517,#196518); #163448=AXIS2_PLACEMENT_3D('',#245112,#196519,#196520); #163449=AXIS2_PLACEMENT_3D('',#245115,#196522,#196523); #163450=AXIS2_PLACEMENT_3D('',#245116,#196524,#196525); #163451=AXIS2_PLACEMENT_3D('',#245122,#196529,#196530); #163452=AXIS2_PLACEMENT_3D('',#245128,#196534,#196535); #163453=AXIS2_PLACEMENT_3D('',#245130,#196536,#196537); #163454=AXIS2_PLACEMENT_3D('',#245133,#196539,#196540); #163455=AXIS2_PLACEMENT_3D('',#245134,#196541,#196542); #163456=AXIS2_PLACEMENT_3D('',#245136,#196543,#196544); #163457=AXIS2_PLACEMENT_3D('',#245139,#196546,#196547); #163458=AXIS2_PLACEMENT_3D('',#245140,#196548,#196549); #163459=AXIS2_PLACEMENT_3D('',#245142,#196550,#196551); #163460=AXIS2_PLACEMENT_3D('',#245145,#196553,#196554); #163461=AXIS2_PLACEMENT_3D('',#245146,#196555,#196556); #163462=AXIS2_PLACEMENT_3D('',#245148,#196557,#196558); #163463=AXIS2_PLACEMENT_3D('',#245151,#196560,#196561); #163464=AXIS2_PLACEMENT_3D('',#245152,#196562,#196563); #163465=AXIS2_PLACEMENT_3D('',#245154,#196564,#196565); #163466=AXIS2_PLACEMENT_3D('',#245157,#196567,#196568); #163467=AXIS2_PLACEMENT_3D('',#245158,#196569,#196570); #163468=AXIS2_PLACEMENT_3D('',#245160,#196571,#196572); #163469=AXIS2_PLACEMENT_3D('',#245163,#196574,#196575); #163470=AXIS2_PLACEMENT_3D('',#245164,#196576,#196577); #163471=AXIS2_PLACEMENT_3D('',#245170,#196581,#196582); #163472=AXIS2_PLACEMENT_3D('',#245172,#196583,#196584); #163473=AXIS2_PLACEMENT_3D('',#245175,#196586,#196587); #163474=AXIS2_PLACEMENT_3D('',#245176,#196588,#196589); #163475=AXIS2_PLACEMENT_3D('',#245178,#196590,#196591); #163476=AXIS2_PLACEMENT_3D('',#245181,#196593,#196594); #163477=AXIS2_PLACEMENT_3D('',#245182,#196595,#196596); #163478=AXIS2_PLACEMENT_3D('',#245184,#196597,#196598); #163479=AXIS2_PLACEMENT_3D('',#245187,#196600,#196601); #163480=AXIS2_PLACEMENT_3D('',#245188,#196602,#196603); #163481=AXIS2_PLACEMENT_3D('',#245190,#196604,#196605); #163482=AXIS2_PLACEMENT_3D('',#245193,#196607,#196608); #163483=AXIS2_PLACEMENT_3D('',#245194,#196609,#196610); #163484=AXIS2_PLACEMENT_3D('',#245196,#196611,#196612); #163485=AXIS2_PLACEMENT_3D('',#245199,#196614,#196615); #163486=AXIS2_PLACEMENT_3D('',#245200,#196616,#196617); #163487=AXIS2_PLACEMENT_3D('',#245206,#196621,#196622); #163488=AXIS2_PLACEMENT_3D('',#245212,#196626,#196627); #163489=AXIS2_PLACEMENT_3D('',#245218,#196631,#196632); #163490=AXIS2_PLACEMENT_3D('',#245224,#196636,#196637); #163491=AXIS2_PLACEMENT_3D('',#245230,#196641,#196642); #163492=AXIS2_PLACEMENT_3D('',#245236,#196646,#196647); #163493=AXIS2_PLACEMENT_3D('',#245242,#196651,#196652); #163494=AXIS2_PLACEMENT_3D('',#245244,#196653,#196654); #163495=AXIS2_PLACEMENT_3D('',#245247,#196656,#196657); #163496=AXIS2_PLACEMENT_3D('',#245248,#196658,#196659); #163497=AXIS2_PLACEMENT_3D('',#245254,#196663,#196664); #163498=AXIS2_PLACEMENT_3D('',#245256,#196665,#196666); #163499=AXIS2_PLACEMENT_3D('',#245259,#196668,#196669); #163500=AXIS2_PLACEMENT_3D('',#245260,#196670,#196671); #163501=AXIS2_PLACEMENT_3D('',#245266,#196675,#196676); #163502=AXIS2_PLACEMENT_3D('',#245272,#196680,#196681); #163503=AXIS2_PLACEMENT_3D('',#245274,#196682,#196683); #163504=AXIS2_PLACEMENT_3D('',#245277,#196685,#196686); #163505=AXIS2_PLACEMENT_3D('',#245278,#196687,#196688); #163506=AXIS2_PLACEMENT_3D('',#245280,#196689,#196690); #163507=AXIS2_PLACEMENT_3D('',#245283,#196692,#196693); #163508=AXIS2_PLACEMENT_3D('',#245284,#196694,#196695); #163509=AXIS2_PLACEMENT_3D('',#245286,#196696,#196697); #163510=AXIS2_PLACEMENT_3D('',#245289,#196699,#196700); #163511=AXIS2_PLACEMENT_3D('',#245290,#196701,#196702); #163512=AXIS2_PLACEMENT_3D('',#245292,#196703,#196704); #163513=AXIS2_PLACEMENT_3D('',#245295,#196706,#196707); #163514=AXIS2_PLACEMENT_3D('',#245296,#196708,#196709); #163515=AXIS2_PLACEMENT_3D('',#245298,#196710,#196711); #163516=AXIS2_PLACEMENT_3D('',#245301,#196713,#196714); #163517=AXIS2_PLACEMENT_3D('',#245302,#196715,#196716); #163518=AXIS2_PLACEMENT_3D('',#245304,#196717,#196718); #163519=AXIS2_PLACEMENT_3D('',#245307,#196720,#196721); #163520=AXIS2_PLACEMENT_3D('',#245308,#196722,#196723); #163521=AXIS2_PLACEMENT_3D('',#245310,#196724,#196725); #163522=AXIS2_PLACEMENT_3D('',#245313,#196727,#196728); #163523=AXIS2_PLACEMENT_3D('',#245314,#196729,#196730); #163524=AXIS2_PLACEMENT_3D('',#245320,#196734,#196735); #163525=AXIS2_PLACEMENT_3D('',#245322,#196736,#196737); #163526=AXIS2_PLACEMENT_3D('',#245325,#196739,#196740); #163527=AXIS2_PLACEMENT_3D('',#245326,#196741,#196742); #163528=AXIS2_PLACEMENT_3D('',#245327,#196743,#196744); #163529=AXIS2_PLACEMENT_3D('',#245328,#196745,#196746); #163530=AXIS2_PLACEMENT_3D('',#245329,#196747,#196748); #163531=AXIS2_PLACEMENT_3D('',#245330,#196749,#196750); #163532=AXIS2_PLACEMENT_3D('',#245339,#196755,#196756); #163533=AXIS2_PLACEMENT_3D('',#245345,#196760,#196761); #163534=AXIS2_PLACEMENT_3D('',#245351,#196765,#196766); #163535=AXIS2_PLACEMENT_3D('',#245354,#196769,#196770); #163536=AXIS2_PLACEMENT_3D('',#245355,#196771,#196772); #163537=AXIS2_PLACEMENT_3D('',#245364,#196777,#196778); #163538=AXIS2_PLACEMENT_3D('',#245370,#196782,#196783); #163539=AXIS2_PLACEMENT_3D('',#245376,#196787,#196788); #163540=AXIS2_PLACEMENT_3D('',#245379,#196791,#196792); #163541=AXIS2_PLACEMENT_3D('',#245388,#196797,#196798); #163542=AXIS2_PLACEMENT_3D('',#245394,#196802,#196803); #163543=AXIS2_PLACEMENT_3D('',#245400,#196807,#196808); #163544=AXIS2_PLACEMENT_3D('',#245403,#196811,#196812); #163545=AXIS2_PLACEMENT_3D('',#245404,#196813,#196814); #163546=AXIS2_PLACEMENT_3D('',#245413,#196819,#196820); #163547=AXIS2_PLACEMENT_3D('',#245419,#196824,#196825); #163548=AXIS2_PLACEMENT_3D('',#245421,#196826,#196827); #163549=AXIS2_PLACEMENT_3D('',#245424,#196829,#196830); #163550=AXIS2_PLACEMENT_3D('',#245425,#196831,#196832); #163551=AXIS2_PLACEMENT_3D('',#245427,#196833,#196834); #163552=AXIS2_PLACEMENT_3D('',#245430,#196836,#196837); #163553=AXIS2_PLACEMENT_3D('',#245431,#196838,#196839); #163554=AXIS2_PLACEMENT_3D('',#245433,#196840,#196841); #163555=AXIS2_PLACEMENT_3D('',#245436,#196843,#196844); #163556=AXIS2_PLACEMENT_3D('',#245437,#196845,#196846); #163557=AXIS2_PLACEMENT_3D('',#245439,#196847,#196848); #163558=AXIS2_PLACEMENT_3D('',#245442,#196850,#196851); #163559=AXIS2_PLACEMENT_3D('',#245443,#196852,#196853); #163560=AXIS2_PLACEMENT_3D('',#245445,#196854,#196855); #163561=AXIS2_PLACEMENT_3D('',#245448,#196857,#196858); #163562=AXIS2_PLACEMENT_3D('',#245449,#196859,#196860); #163563=AXIS2_PLACEMENT_3D('',#245452,#196863,#196864); #163564=AXIS2_PLACEMENT_3D('',#245455,#196865,#196866); #163565=AXIS2_PLACEMENT_3D('',#245459,#196868,#196869); #163566=AXIS2_PLACEMENT_3D('',#245461,#196871,#196872); #163567=AXIS2_PLACEMENT_3D('',#245463,#196873,#196874); #163568=AXIS2_PLACEMENT_3D('',#245466,#196876,#196877); #163569=AXIS2_PLACEMENT_3D('',#245467,#196878,#196879); #163570=AXIS2_PLACEMENT_3D('',#245469,#196880,#196881); #163571=AXIS2_PLACEMENT_3D('',#245472,#196883,#196884); #163572=AXIS2_PLACEMENT_3D('',#245473,#196885,#196886); #163573=AXIS2_PLACEMENT_3D('',#245475,#196887,#196888); #163574=AXIS2_PLACEMENT_3D('',#245478,#196890,#196891); #163575=AXIS2_PLACEMENT_3D('',#245479,#196892,#196893); #163576=AXIS2_PLACEMENT_3D('',#245481,#196894,#196895); #163577=AXIS2_PLACEMENT_3D('',#245484,#196897,#196898); #163578=AXIS2_PLACEMENT_3D('',#245485,#196899,#196900); #163579=AXIS2_PLACEMENT_3D('',#245487,#196901,#196902); #163580=AXIS2_PLACEMENT_3D('',#245490,#196904,#196905); #163581=AXIS2_PLACEMENT_3D('',#245491,#196906,#196907); #163582=AXIS2_PLACEMENT_3D('',#245497,#196911,#196912); #163583=AXIS2_PLACEMENT_3D('',#245499,#196913,#196914); #163584=AXIS2_PLACEMENT_3D('',#245502,#196916,#196917); #163585=AXIS2_PLACEMENT_3D('',#245503,#196918,#196919); #163586=AXIS2_PLACEMENT_3D('',#245505,#196920,#196921); #163587=AXIS2_PLACEMENT_3D('',#245508,#196923,#196924); #163588=AXIS2_PLACEMENT_3D('',#245509,#196925,#196926); #163589=AXIS2_PLACEMENT_3D('',#245511,#196927,#196928); #163590=AXIS2_PLACEMENT_3D('',#245514,#196930,#196931); #163591=AXIS2_PLACEMENT_3D('',#245515,#196932,#196933); #163592=AXIS2_PLACEMENT_3D('',#245517,#196934,#196935); #163593=AXIS2_PLACEMENT_3D('',#245520,#196937,#196938); #163594=AXIS2_PLACEMENT_3D('',#245521,#196939,#196940); #163595=AXIS2_PLACEMENT_3D('',#245527,#196944,#196945); #163596=AXIS2_PLACEMENT_3D('',#245533,#196949,#196950); #163597=AXIS2_PLACEMENT_3D('',#245539,#196954,#196955); #163598=AXIS2_PLACEMENT_3D('',#245541,#196956,#196957); #163599=AXIS2_PLACEMENT_3D('',#245544,#196959,#196960); #163600=AXIS2_PLACEMENT_3D('',#245545,#196961,#196962); #163601=AXIS2_PLACEMENT_3D('',#245547,#196963,#196964); #163602=AXIS2_PLACEMENT_3D('',#245550,#196966,#196967); #163603=AXIS2_PLACEMENT_3D('',#245551,#196968,#196969); #163604=AXIS2_PLACEMENT_3D('',#245553,#196970,#196971); #163605=AXIS2_PLACEMENT_3D('',#245556,#196973,#196974); #163606=AXIS2_PLACEMENT_3D('',#245557,#196975,#196976); #163607=AXIS2_PLACEMENT_3D('',#245559,#196977,#196978); #163608=AXIS2_PLACEMENT_3D('',#245562,#196980,#196981); #163609=AXIS2_PLACEMENT_3D('',#245563,#196982,#196983); #163610=AXIS2_PLACEMENT_3D('',#245569,#196987,#196988); #163611=AXIS2_PLACEMENT_3D('',#245571,#196989,#196990); #163612=AXIS2_PLACEMENT_3D('',#245574,#196992,#196993); #163613=AXIS2_PLACEMENT_3D('',#245575,#196994,#196995); #163614=AXIS2_PLACEMENT_3D('',#245577,#196996,#196997); #163615=AXIS2_PLACEMENT_3D('',#245580,#196999,#197000); #163616=AXIS2_PLACEMENT_3D('',#245581,#197001,#197002); #163617=AXIS2_PLACEMENT_3D('',#245583,#197003,#197004); #163618=AXIS2_PLACEMENT_3D('',#245586,#197006,#197007); #163619=AXIS2_PLACEMENT_3D('',#245587,#197008,#197009); #163620=AXIS2_PLACEMENT_3D('',#245589,#197010,#197011); #163621=AXIS2_PLACEMENT_3D('',#245592,#197013,#197014); #163622=AXIS2_PLACEMENT_3D('',#245593,#197015,#197016); #163623=AXIS2_PLACEMENT_3D('',#245599,#197020,#197021); #163624=AXIS2_PLACEMENT_3D('',#245605,#197025,#197026); #163625=AXIS2_PLACEMENT_3D('',#245611,#197030,#197031); #163626=AXIS2_PLACEMENT_3D('',#245617,#197035,#197036); #163627=AXIS2_PLACEMENT_3D('',#245623,#197040,#197041); #163628=AXIS2_PLACEMENT_3D('',#245629,#197045,#197046); #163629=AXIS2_PLACEMENT_3D('',#245635,#197050,#197051); #163630=AXIS2_PLACEMENT_3D('',#245641,#197055,#197056); #163631=AXIS2_PLACEMENT_3D('',#245643,#197057,#197058); #163632=AXIS2_PLACEMENT_3D('',#245646,#197060,#197061); #163633=AXIS2_PLACEMENT_3D('',#245647,#197062,#197063); #163634=AXIS2_PLACEMENT_3D('',#245649,#197064,#197065); #163635=AXIS2_PLACEMENT_3D('',#245652,#197067,#197068); #163636=AXIS2_PLACEMENT_3D('',#245653,#197069,#197070); #163637=AXIS2_PLACEMENT_3D('',#245655,#197071,#197072); #163638=AXIS2_PLACEMENT_3D('',#245658,#197074,#197075); #163639=AXIS2_PLACEMENT_3D('',#245659,#197076,#197077); #163640=AXIS2_PLACEMENT_3D('',#245660,#197078,#197079); #163641=AXIS2_PLACEMENT_3D('',#245661,#197080,#197081); #163642=AXIS2_PLACEMENT_3D('',#245662,#197082,#197083); #163643=AXIS2_PLACEMENT_3D('',#245663,#197084,#197085); #163644=AXIS2_PLACEMENT_3D('',#245672,#197090,#197091); #163645=AXIS2_PLACEMENT_3D('',#245674,#197092,#197093); #163646=AXIS2_PLACEMENT_3D('',#245677,#197095,#197096); #163647=AXIS2_PLACEMENT_3D('',#245678,#197097,#197098); #163648=AXIS2_PLACEMENT_3D('',#245680,#197099,#197100); #163649=AXIS2_PLACEMENT_3D('',#245683,#197102,#197103); #163650=AXIS2_PLACEMENT_3D('',#245684,#197104,#197105); #163651=AXIS2_PLACEMENT_3D('',#245686,#197106,#197107); #163652=AXIS2_PLACEMENT_3D('',#245689,#197109,#197110); #163653=AXIS2_PLACEMENT_3D('',#245690,#197111,#197112); #163654=AXIS2_PLACEMENT_3D('',#245692,#197113,#197114); #163655=AXIS2_PLACEMENT_3D('',#245695,#197116,#197117); #163656=AXIS2_PLACEMENT_3D('',#245696,#197118,#197119); #163657=AXIS2_PLACEMENT_3D('',#245702,#197123,#197124); #163658=AXIS2_PLACEMENT_3D('',#245704,#197125,#197126); #163659=AXIS2_PLACEMENT_3D('',#245707,#197128,#197129); #163660=AXIS2_PLACEMENT_3D('',#245708,#197130,#197131); #163661=AXIS2_PLACEMENT_3D('',#245710,#197132,#197133); #163662=AXIS2_PLACEMENT_3D('',#245713,#197135,#197136); #163663=AXIS2_PLACEMENT_3D('',#245714,#197137,#197138); #163664=AXIS2_PLACEMENT_3D('',#245716,#197139,#197140); #163665=AXIS2_PLACEMENT_3D('',#245719,#197142,#197143); #163666=AXIS2_PLACEMENT_3D('',#245720,#197144,#197145); #163667=AXIS2_PLACEMENT_3D('',#245722,#197146,#197147); #163668=AXIS2_PLACEMENT_3D('',#245725,#197149,#197150); #163669=AXIS2_PLACEMENT_3D('',#245726,#197151,#197152); #163670=AXIS2_PLACEMENT_3D('',#245728,#197153,#197154); #163671=AXIS2_PLACEMENT_3D('',#245731,#197156,#197157); #163672=AXIS2_PLACEMENT_3D('',#245732,#197158,#197159); #163673=AXIS2_PLACEMENT_3D('',#245734,#197160,#197161); #163674=AXIS2_PLACEMENT_3D('',#245737,#197163,#197164); #163675=AXIS2_PLACEMENT_3D('',#245738,#197165,#197166); #163676=AXIS2_PLACEMENT_3D('',#245740,#197167,#197168); #163677=AXIS2_PLACEMENT_3D('',#245743,#197170,#197171); #163678=AXIS2_PLACEMENT_3D('',#245744,#197172,#197173); #163679=AXIS2_PLACEMENT_3D('',#245746,#197174,#197175); #163680=AXIS2_PLACEMENT_3D('',#245749,#197177,#197178); #163681=AXIS2_PLACEMENT_3D('',#245750,#197179,#197180); #163682=AXIS2_PLACEMENT_3D('',#245752,#197181,#197182); #163683=AXIS2_PLACEMENT_3D('',#245755,#197184,#197185); #163684=AXIS2_PLACEMENT_3D('',#245756,#197186,#197187); #163685=AXIS2_PLACEMENT_3D('',#245758,#197188,#197189); #163686=AXIS2_PLACEMENT_3D('',#245761,#197191,#197192); #163687=AXIS2_PLACEMENT_3D('',#245762,#197193,#197194); #163688=AXIS2_PLACEMENT_3D('',#245764,#197195,#197196); #163689=AXIS2_PLACEMENT_3D('',#245767,#197198,#197199); #163690=AXIS2_PLACEMENT_3D('',#245768,#197200,#197201); #163691=AXIS2_PLACEMENT_3D('',#245770,#197202,#197203); #163692=AXIS2_PLACEMENT_3D('',#245773,#197205,#197206); #163693=AXIS2_PLACEMENT_3D('',#245774,#197207,#197208); #163694=AXIS2_PLACEMENT_3D('',#245776,#197209,#197210); #163695=AXIS2_PLACEMENT_3D('',#245779,#197212,#197213); #163696=AXIS2_PLACEMENT_3D('',#245780,#197214,#197215); #163697=AXIS2_PLACEMENT_3D('',#245782,#197216,#197217); #163698=AXIS2_PLACEMENT_3D('',#245785,#197219,#197220); #163699=AXIS2_PLACEMENT_3D('',#245786,#197221,#197222); #163700=AXIS2_PLACEMENT_3D('',#245788,#197223,#197224); #163701=AXIS2_PLACEMENT_3D('',#245791,#197226,#197227); #163702=AXIS2_PLACEMENT_3D('',#245792,#197228,#197229); #163703=AXIS2_PLACEMENT_3D('',#245794,#197230,#197231); #163704=AXIS2_PLACEMENT_3D('',#245797,#197233,#197234); #163705=AXIS2_PLACEMENT_3D('',#245798,#197235,#197236); #163706=AXIS2_PLACEMENT_3D('',#245800,#197237,#197238); #163707=AXIS2_PLACEMENT_3D('',#245803,#197240,#197241); #163708=AXIS2_PLACEMENT_3D('',#245804,#197242,#197243); #163709=AXIS2_PLACEMENT_3D('',#245806,#197244,#197245); #163710=AXIS2_PLACEMENT_3D('',#245809,#197247,#197248); #163711=AXIS2_PLACEMENT_3D('',#245810,#197249,#197250); #163712=AXIS2_PLACEMENT_3D('',#245816,#197254,#197255); #163713=AXIS2_PLACEMENT_3D('',#245818,#197256,#197257); #163714=AXIS2_PLACEMENT_3D('',#245821,#197259,#197260); #163715=AXIS2_PLACEMENT_3D('',#245822,#197261,#197262); #163716=AXIS2_PLACEMENT_3D('',#245824,#197263,#197264); #163717=AXIS2_PLACEMENT_3D('',#245827,#197266,#197267); #163718=AXIS2_PLACEMENT_3D('',#245828,#197268,#197269); #163719=AXIS2_PLACEMENT_3D('',#245830,#197270,#197271); #163720=AXIS2_PLACEMENT_3D('',#245833,#197273,#197274); #163721=AXIS2_PLACEMENT_3D('',#245834,#197275,#197276); #163722=AXIS2_PLACEMENT_3D('',#245836,#197277,#197278); #163723=AXIS2_PLACEMENT_3D('',#245839,#197280,#197281); #163724=AXIS2_PLACEMENT_3D('',#245840,#197282,#197283); #163725=AXIS2_PLACEMENT_3D('',#245846,#197287,#197288); #163726=AXIS2_PLACEMENT_3D('',#245848,#197289,#197290); #163727=AXIS2_PLACEMENT_3D('',#245851,#197292,#197293); #163728=AXIS2_PLACEMENT_3D('',#245852,#197294,#197295); #163729=AXIS2_PLACEMENT_3D('',#245854,#197296,#197297); #163730=AXIS2_PLACEMENT_3D('',#245857,#197299,#197300); #163731=AXIS2_PLACEMENT_3D('',#245858,#197301,#197302); #163732=AXIS2_PLACEMENT_3D('',#245860,#197303,#197304); #163733=AXIS2_PLACEMENT_3D('',#245863,#197306,#197307); #163734=AXIS2_PLACEMENT_3D('',#245864,#197308,#197309); #163735=AXIS2_PLACEMENT_3D('',#245866,#197310,#197311); #163736=AXIS2_PLACEMENT_3D('',#245869,#197313,#197314); #163737=AXIS2_PLACEMENT_3D('',#245870,#197315,#197316); #163738=AXIS2_PLACEMENT_3D('',#245872,#197317,#197318); #163739=AXIS2_PLACEMENT_3D('',#245875,#197320,#197321); #163740=AXIS2_PLACEMENT_3D('',#245876,#197322,#197323); #163741=AXIS2_PLACEMENT_3D('',#245878,#197324,#197325); #163742=AXIS2_PLACEMENT_3D('',#245881,#197327,#197328); #163743=AXIS2_PLACEMENT_3D('',#245882,#197329,#197330); #163744=AXIS2_PLACEMENT_3D('',#245884,#197331,#197332); #163745=AXIS2_PLACEMENT_3D('',#245887,#197334,#197335); #163746=AXIS2_PLACEMENT_3D('',#245888,#197336,#197337); #163747=AXIS2_PLACEMENT_3D('',#245890,#197338,#197339); #163748=AXIS2_PLACEMENT_3D('',#245893,#197341,#197342); #163749=AXIS2_PLACEMENT_3D('',#245894,#197343,#197344); #163750=AXIS2_PLACEMENT_3D('',#245896,#197345,#197346); #163751=AXIS2_PLACEMENT_3D('',#245899,#197348,#197349); #163752=AXIS2_PLACEMENT_3D('',#245900,#197350,#197351); #163753=AXIS2_PLACEMENT_3D('',#245902,#197352,#197353); #163754=AXIS2_PLACEMENT_3D('',#245905,#197355,#197356); #163755=AXIS2_PLACEMENT_3D('',#245906,#197357,#197358); #163756=AXIS2_PLACEMENT_3D('',#245908,#197359,#197360); #163757=AXIS2_PLACEMENT_3D('',#245911,#197362,#197363); #163758=AXIS2_PLACEMENT_3D('',#245912,#197364,#197365); #163759=AXIS2_PLACEMENT_3D('',#245914,#197366,#197367); #163760=AXIS2_PLACEMENT_3D('',#245917,#197369,#197370); #163761=AXIS2_PLACEMENT_3D('',#245918,#197371,#197372); #163762=AXIS2_PLACEMENT_3D('',#245920,#197373,#197374); #163763=AXIS2_PLACEMENT_3D('',#245923,#197376,#197377); #163764=AXIS2_PLACEMENT_3D('',#245924,#197378,#197379); #163765=AXIS2_PLACEMENT_3D('',#245926,#197380,#197381); #163766=AXIS2_PLACEMENT_3D('',#245929,#197383,#197384); #163767=AXIS2_PLACEMENT_3D('',#245930,#197385,#197386); #163768=AXIS2_PLACEMENT_3D('',#245932,#197387,#197388); #163769=AXIS2_PLACEMENT_3D('',#245935,#197390,#197391); #163770=AXIS2_PLACEMENT_3D('',#245936,#197392,#197393); #163771=AXIS2_PLACEMENT_3D('',#245937,#197394,#197395); #163772=AXIS2_PLACEMENT_3D('',#245938,#197396,#197397); #163773=AXIS2_PLACEMENT_3D('',#245939,#197398,#197399); #163774=AXIS2_PLACEMENT_3D('',#245940,#197400,#197401); #163775=AXIS2_PLACEMENT_3D('',#245943,#197402,#197403); #163776=AXIS2_PLACEMENT_3D('',#245947,#197405,#197406); #163777=AXIS2_PLACEMENT_3D('',#245949,#197408,#197409); #163778=AXIS2_PLACEMENT_3D('',#245951,#197410,#197411); #163779=AXIS2_PLACEMENT_3D('',#245954,#197413,#197414); #163780=AXIS2_PLACEMENT_3D('',#245955,#197415,#197416); #163781=AXIS2_PLACEMENT_3D('',#245957,#197417,#197418); #163782=AXIS2_PLACEMENT_3D('',#245960,#197420,#197421); #163783=AXIS2_PLACEMENT_3D('',#245961,#197422,#197423); #163784=AXIS2_PLACEMENT_3D('',#245967,#197427,#197428); #163785=AXIS2_PLACEMENT_3D('',#245969,#197429,#197430); #163786=AXIS2_PLACEMENT_3D('',#245972,#197432,#197433); #163787=AXIS2_PLACEMENT_3D('',#245973,#197434,#197435); #163788=AXIS2_PLACEMENT_3D('',#245975,#197436,#197437); #163789=AXIS2_PLACEMENT_3D('',#245978,#197439,#197440); #163790=AXIS2_PLACEMENT_3D('',#245979,#197441,#197442); #163791=AXIS2_PLACEMENT_3D('',#245981,#197443,#197444); #163792=AXIS2_PLACEMENT_3D('',#245984,#197446,#197447); #163793=AXIS2_PLACEMENT_3D('',#245985,#197448,#197449); #163794=AXIS2_PLACEMENT_3D('',#245987,#197450,#197451); #163795=AXIS2_PLACEMENT_3D('',#245990,#197453,#197454); #163796=AXIS2_PLACEMENT_3D('',#245991,#197455,#197456); #163797=AXIS2_PLACEMENT_3D('',#245993,#197457,#197458); #163798=AXIS2_PLACEMENT_3D('',#245996,#197460,#197461); #163799=AXIS2_PLACEMENT_3D('',#245997,#197462,#197463); #163800=AXIS2_PLACEMENT_3D('',#245999,#197464,#197465); #163801=AXIS2_PLACEMENT_3D('',#246002,#197467,#197468); #163802=AXIS2_PLACEMENT_3D('',#246003,#197469,#197470); #163803=AXIS2_PLACEMENT_3D('',#246005,#197471,#197472); #163804=AXIS2_PLACEMENT_3D('',#246008,#197474,#197475); #163805=AXIS2_PLACEMENT_3D('',#246009,#197476,#197477); #163806=AXIS2_PLACEMENT_3D('',#246011,#197478,#197479); #163807=AXIS2_PLACEMENT_3D('',#246014,#197481,#197482); #163808=AXIS2_PLACEMENT_3D('',#246015,#197483,#197484); #163809=AXIS2_PLACEMENT_3D('',#246017,#197485,#197486); #163810=AXIS2_PLACEMENT_3D('',#246020,#197488,#197489); #163811=AXIS2_PLACEMENT_3D('',#246021,#197490,#197491); #163812=AXIS2_PLACEMENT_3D('',#246023,#197492,#197493); #163813=AXIS2_PLACEMENT_3D('',#246026,#197495,#197496); #163814=AXIS2_PLACEMENT_3D('',#246027,#197497,#197498); #163815=AXIS2_PLACEMENT_3D('',#246033,#197502,#197503); #163816=AXIS2_PLACEMENT_3D('',#246035,#197504,#197505); #163817=AXIS2_PLACEMENT_3D('',#246038,#197507,#197508); #163818=AXIS2_PLACEMENT_3D('',#246039,#197509,#197510); #163819=AXIS2_PLACEMENT_3D('',#246041,#197511,#197512); #163820=AXIS2_PLACEMENT_3D('',#246044,#197514,#197515); #163821=AXIS2_PLACEMENT_3D('',#246045,#197516,#197517); #163822=AXIS2_PLACEMENT_3D('',#246047,#197518,#197519); #163823=AXIS2_PLACEMENT_3D('',#246050,#197521,#197522); #163824=AXIS2_PLACEMENT_3D('',#246051,#197523,#197524); #163825=AXIS2_PLACEMENT_3D('',#246053,#197525,#197526); #163826=AXIS2_PLACEMENT_3D('',#246056,#197528,#197529); #163827=AXIS2_PLACEMENT_3D('',#246057,#197530,#197531); #163828=AXIS2_PLACEMENT_3D('',#246063,#197535,#197536); #163829=AXIS2_PLACEMENT_3D('',#246065,#197537,#197538); #163830=AXIS2_PLACEMENT_3D('',#246068,#197540,#197541); #163831=AXIS2_PLACEMENT_3D('',#246069,#197542,#197543); #163832=AXIS2_PLACEMENT_3D('',#246071,#197544,#197545); #163833=AXIS2_PLACEMENT_3D('',#246074,#197547,#197548); #163834=AXIS2_PLACEMENT_3D('',#246075,#197549,#197550); #163835=AXIS2_PLACEMENT_3D('',#246077,#197551,#197552); #163836=AXIS2_PLACEMENT_3D('',#246080,#197554,#197555); #163837=AXIS2_PLACEMENT_3D('',#246081,#197556,#197557); #163838=AXIS2_PLACEMENT_3D('',#246083,#197558,#197559); #163839=AXIS2_PLACEMENT_3D('',#246086,#197561,#197562); #163840=AXIS2_PLACEMENT_3D('',#246087,#197563,#197564); #163841=AXIS2_PLACEMENT_3D('',#246093,#197568,#197569); #163842=AXIS2_PLACEMENT_3D('',#246095,#197570,#197571); #163843=AXIS2_PLACEMENT_3D('',#246098,#197573,#197574); #163844=AXIS2_PLACEMENT_3D('',#246099,#197575,#197576); #163845=AXIS2_PLACEMENT_3D('',#246101,#197577,#197578); #163846=AXIS2_PLACEMENT_3D('',#246104,#197580,#197581); #163847=AXIS2_PLACEMENT_3D('',#246105,#197582,#197583); #163848=AXIS2_PLACEMENT_3D('',#246107,#197584,#197585); #163849=AXIS2_PLACEMENT_3D('',#246110,#197587,#197588); #163850=AXIS2_PLACEMENT_3D('',#246111,#197589,#197590); #163851=AXIS2_PLACEMENT_3D('',#246113,#197591,#197592); #163852=AXIS2_PLACEMENT_3D('',#246116,#197594,#197595); #163853=AXIS2_PLACEMENT_3D('',#246117,#197596,#197597); #163854=AXIS2_PLACEMENT_3D('',#246119,#197598,#197599); #163855=AXIS2_PLACEMENT_3D('',#246122,#197601,#197602); #163856=AXIS2_PLACEMENT_3D('',#246123,#197603,#197604); #163857=AXIS2_PLACEMENT_3D('',#246125,#197605,#197606); #163858=AXIS2_PLACEMENT_3D('',#246128,#197608,#197609); #163859=AXIS2_PLACEMENT_3D('',#246129,#197610,#197611); #163860=AXIS2_PLACEMENT_3D('',#246131,#197612,#197613); #163861=AXIS2_PLACEMENT_3D('',#246134,#197615,#197616); #163862=AXIS2_PLACEMENT_3D('',#246135,#197617,#197618); #163863=AXIS2_PLACEMENT_3D('',#246137,#197619,#197620); #163864=AXIS2_PLACEMENT_3D('',#246140,#197622,#197623); #163865=AXIS2_PLACEMENT_3D('',#246141,#197624,#197625); #163866=AXIS2_PLACEMENT_3D('',#246143,#197626,#197627); #163867=AXIS2_PLACEMENT_3D('',#246146,#197629,#197630); #163868=AXIS2_PLACEMENT_3D('',#246147,#197631,#197632); #163869=AXIS2_PLACEMENT_3D('',#246149,#197633,#197634); #163870=AXIS2_PLACEMENT_3D('',#246152,#197636,#197637); #163871=AXIS2_PLACEMENT_3D('',#246153,#197638,#197639); #163872=AXIS2_PLACEMENT_3D('',#246155,#197640,#197641); #163873=AXIS2_PLACEMENT_3D('',#246158,#197643,#197644); #163874=AXIS2_PLACEMENT_3D('',#246159,#197645,#197646); #163875=AXIS2_PLACEMENT_3D('',#246160,#197647,#197648); #163876=AXIS2_PLACEMENT_3D('',#246161,#197649,#197650); #163877=AXIS2_PLACEMENT_3D('',#246162,#197651,#197652); #163878=AXIS2_PLACEMENT_3D('',#246163,#197653,#197654); #163879=AXIS2_PLACEMENT_3D('',#246172,#197659,#197660); #163880=AXIS2_PLACEMENT_3D('',#246174,#197661,#197662); #163881=AXIS2_PLACEMENT_3D('',#246177,#197664,#197665); #163882=AXIS2_PLACEMENT_3D('',#246178,#197666,#197667); #163883=AXIS2_PLACEMENT_3D('',#246180,#197668,#197669); #163884=AXIS2_PLACEMENT_3D('',#246183,#197671,#197672); #163885=AXIS2_PLACEMENT_3D('',#246184,#197673,#197674); #163886=AXIS2_PLACEMENT_3D('',#246186,#197675,#197676); #163887=AXIS2_PLACEMENT_3D('',#246189,#197678,#197679); #163888=AXIS2_PLACEMENT_3D('',#246190,#197680,#197681); #163889=AXIS2_PLACEMENT_3D('',#246192,#197682,#197683); #163890=AXIS2_PLACEMENT_3D('',#246195,#197685,#197686); #163891=AXIS2_PLACEMENT_3D('',#246196,#197687,#197688); #163892=AXIS2_PLACEMENT_3D('',#246202,#197692,#197693); #163893=AXIS2_PLACEMENT_3D('',#246204,#197694,#197695); #163894=AXIS2_PLACEMENT_3D('',#246207,#197697,#197698); #163895=AXIS2_PLACEMENT_3D('',#246208,#197699,#197700); #163896=AXIS2_PLACEMENT_3D('',#246210,#197701,#197702); #163897=AXIS2_PLACEMENT_3D('',#246213,#197704,#197705); #163898=AXIS2_PLACEMENT_3D('',#246214,#197706,#197707); #163899=AXIS2_PLACEMENT_3D('',#246216,#197708,#197709); #163900=AXIS2_PLACEMENT_3D('',#246219,#197711,#197712); #163901=AXIS2_PLACEMENT_3D('',#246220,#197713,#197714); #163902=AXIS2_PLACEMENT_3D('',#246222,#197715,#197716); #163903=AXIS2_PLACEMENT_3D('',#246225,#197718,#197719); #163904=AXIS2_PLACEMENT_3D('',#246226,#197720,#197721); #163905=AXIS2_PLACEMENT_3D('',#246227,#197722,#197723); #163906=AXIS2_PLACEMENT_3D('',#246228,#197724,#197725); #163907=AXIS2_PLACEMENT_3D('',#246229,#197726,#197727); #163908=AXIS2_PLACEMENT_3D('',#246230,#197728,#197729); #163909=AXIS2_PLACEMENT_3D('',#246239,#197734,#197735); #163910=AXIS2_PLACEMENT_3D('',#246241,#197736,#197737); #163911=AXIS2_PLACEMENT_3D('',#246244,#197739,#197740); #163912=AXIS2_PLACEMENT_3D('',#246245,#197741,#197742); #163913=AXIS2_PLACEMENT_3D('',#246247,#197743,#197744); #163914=AXIS2_PLACEMENT_3D('',#246250,#197746,#197747); #163915=AXIS2_PLACEMENT_3D('',#246251,#197748,#197749); #163916=AXIS2_PLACEMENT_3D('',#246253,#197750,#197751); #163917=AXIS2_PLACEMENT_3D('',#246256,#197753,#197754); #163918=AXIS2_PLACEMENT_3D('',#246257,#197755,#197756); #163919=AXIS2_PLACEMENT_3D('',#246259,#197757,#197758); #163920=AXIS2_PLACEMENT_3D('',#246262,#197760,#197761); #163921=AXIS2_PLACEMENT_3D('',#246263,#197762,#197763); #163922=AXIS2_PLACEMENT_3D('',#246269,#197767,#197768); #163923=AXIS2_PLACEMENT_3D('',#246275,#197772,#197773); #163924=AXIS2_PLACEMENT_3D('',#246281,#197777,#197778); #163925=AXIS2_PLACEMENT_3D('',#246283,#197779,#197780); #163926=AXIS2_PLACEMENT_3D('',#246286,#197782,#197783); #163927=AXIS2_PLACEMENT_3D('',#246287,#197784,#197785); #163928=AXIS2_PLACEMENT_3D('',#246289,#197786,#197787); #163929=AXIS2_PLACEMENT_3D('',#246292,#197789,#197790); #163930=AXIS2_PLACEMENT_3D('',#246293,#197791,#197792); #163931=AXIS2_PLACEMENT_3D('',#246295,#197793,#197794); #163932=AXIS2_PLACEMENT_3D('',#246298,#197796,#197797); #163933=AXIS2_PLACEMENT_3D('',#246299,#197798,#197799); #163934=AXIS2_PLACEMENT_3D('',#246301,#197800,#197801); #163935=AXIS2_PLACEMENT_3D('',#246304,#197803,#197804); #163936=AXIS2_PLACEMENT_3D('',#246305,#197805,#197806); #163937=AXIS2_PLACEMENT_3D('',#246311,#197810,#197811); #163938=AXIS2_PLACEMENT_3D('',#246313,#197812,#197813); #163939=AXIS2_PLACEMENT_3D('',#246316,#197815,#197816); #163940=AXIS2_PLACEMENT_3D('',#246317,#197817,#197818); #163941=AXIS2_PLACEMENT_3D('',#246319,#197819,#197820); #163942=AXIS2_PLACEMENT_3D('',#246322,#197822,#197823); #163943=AXIS2_PLACEMENT_3D('',#246323,#197824,#197825); #163944=AXIS2_PLACEMENT_3D('',#246325,#197826,#197827); #163945=AXIS2_PLACEMENT_3D('',#246328,#197829,#197830); #163946=AXIS2_PLACEMENT_3D('',#246329,#197831,#197832); #163947=AXIS2_PLACEMENT_3D('',#246331,#197833,#197834); #163948=AXIS2_PLACEMENT_3D('',#246334,#197836,#197837); #163949=AXIS2_PLACEMENT_3D('',#246335,#197838,#197839); #163950=AXIS2_PLACEMENT_3D('',#246341,#197843,#197844); #163951=AXIS2_PLACEMENT_3D('',#246347,#197848,#197849); #163952=AXIS2_PLACEMENT_3D('',#246349,#197850,#197851); #163953=AXIS2_PLACEMENT_3D('',#246352,#197853,#197854); #163954=AXIS2_PLACEMENT_3D('',#246353,#197855,#197856); #163955=AXIS2_PLACEMENT_3D('',#246355,#197857,#197858); #163956=AXIS2_PLACEMENT_3D('',#246358,#197860,#197861); #163957=AXIS2_PLACEMENT_3D('',#246359,#197862,#197863); #163958=AXIS2_PLACEMENT_3D('',#246361,#197864,#197865); #163959=AXIS2_PLACEMENT_3D('',#246364,#197867,#197868); #163960=AXIS2_PLACEMENT_3D('',#246365,#197869,#197870); #163961=AXIS2_PLACEMENT_3D('',#246367,#197871,#197872); #163962=AXIS2_PLACEMENT_3D('',#246370,#197874,#197875); #163963=AXIS2_PLACEMENT_3D('',#246371,#197876,#197877); #163964=AXIS2_PLACEMENT_3D('',#246377,#197881,#197882); #163965=AXIS2_PLACEMENT_3D('',#246383,#197886,#197887); #163966=AXIS2_PLACEMENT_3D('',#246385,#197888,#197889); #163967=AXIS2_PLACEMENT_3D('',#246388,#197891,#197892); #163968=AXIS2_PLACEMENT_3D('',#246389,#197893,#197894); #163969=AXIS2_PLACEMENT_3D('',#246391,#197895,#197896); #163970=AXIS2_PLACEMENT_3D('',#246394,#197898,#197899); #163971=AXIS2_PLACEMENT_3D('',#246395,#197900,#197901); #163972=AXIS2_PLACEMENT_3D('',#246397,#197902,#197903); #163973=AXIS2_PLACEMENT_3D('',#246400,#197905,#197906); #163974=AXIS2_PLACEMENT_3D('',#246401,#197907,#197908); #163975=AXIS2_PLACEMENT_3D('',#246403,#197909,#197910); #163976=AXIS2_PLACEMENT_3D('',#246406,#197912,#197913); #163977=AXIS2_PLACEMENT_3D('',#246407,#197914,#197915); #163978=AXIS2_PLACEMENT_3D('',#246413,#197919,#197920); #163979=AXIS2_PLACEMENT_3D('',#246419,#197924,#197925); #163980=AXIS2_PLACEMENT_3D('',#246421,#197926,#197927); #163981=AXIS2_PLACEMENT_3D('',#246424,#197929,#197930); #163982=AXIS2_PLACEMENT_3D('',#246425,#197931,#197932); #163983=AXIS2_PLACEMENT_3D('',#246427,#197933,#197934); #163984=AXIS2_PLACEMENT_3D('',#246430,#197936,#197937); #163985=AXIS2_PLACEMENT_3D('',#246431,#197938,#197939); #163986=AXIS2_PLACEMENT_3D('',#246433,#197940,#197941); #163987=AXIS2_PLACEMENT_3D('',#246436,#197943,#197944); #163988=AXIS2_PLACEMENT_3D('',#246437,#197945,#197946); #163989=AXIS2_PLACEMENT_3D('',#246439,#197947,#197948); #163990=AXIS2_PLACEMENT_3D('',#246442,#197950,#197951); #163991=AXIS2_PLACEMENT_3D('',#246443,#197952,#197953); #163992=AXIS2_PLACEMENT_3D('',#246449,#197957,#197958); #163993=AXIS2_PLACEMENT_3D('',#246455,#197962,#197963); #163994=AXIS2_PLACEMENT_3D('',#246457,#197964,#197965); #163995=AXIS2_PLACEMENT_3D('',#246460,#197967,#197968); #163996=AXIS2_PLACEMENT_3D('',#246461,#197969,#197970); #163997=AXIS2_PLACEMENT_3D('',#246463,#197971,#197972); #163998=AXIS2_PLACEMENT_3D('',#246466,#197974,#197975); #163999=AXIS2_PLACEMENT_3D('',#246467,#197976,#197977); #164000=AXIS2_PLACEMENT_3D('',#246469,#197978,#197979); #164001=AXIS2_PLACEMENT_3D('',#246472,#197981,#197982); #164002=AXIS2_PLACEMENT_3D('',#246473,#197983,#197984); #164003=AXIS2_PLACEMENT_3D('',#246475,#197985,#197986); #164004=AXIS2_PLACEMENT_3D('',#246478,#197988,#197989); #164005=AXIS2_PLACEMENT_3D('',#246479,#197990,#197991); #164006=AXIS2_PLACEMENT_3D('',#246485,#197995,#197996); #164007=AXIS2_PLACEMENT_3D('',#246491,#198000,#198001); #164008=AXIS2_PLACEMENT_3D('',#246493,#198002,#198003); #164009=AXIS2_PLACEMENT_3D('',#246496,#198005,#198006); #164010=AXIS2_PLACEMENT_3D('',#246497,#198007,#198008); #164011=AXIS2_PLACEMENT_3D('',#246499,#198009,#198010); #164012=AXIS2_PLACEMENT_3D('',#246502,#198012,#198013); #164013=AXIS2_PLACEMENT_3D('',#246503,#198014,#198015); #164014=AXIS2_PLACEMENT_3D('',#246505,#198016,#198017); #164015=AXIS2_PLACEMENT_3D('',#246508,#198019,#198020); #164016=AXIS2_PLACEMENT_3D('',#246509,#198021,#198022); #164017=AXIS2_PLACEMENT_3D('',#246511,#198023,#198024); #164018=AXIS2_PLACEMENT_3D('',#246514,#198026,#198027); #164019=AXIS2_PLACEMENT_3D('',#246515,#198028,#198029); #164020=AXIS2_PLACEMENT_3D('',#246517,#198030,#198031); #164021=AXIS2_PLACEMENT_3D('',#246520,#198033,#198034); #164022=AXIS2_PLACEMENT_3D('',#246521,#198035,#198036); #164023=AXIS2_PLACEMENT_3D('',#246523,#198037,#198038); #164024=AXIS2_PLACEMENT_3D('',#246526,#198040,#198041); #164025=AXIS2_PLACEMENT_3D('',#246527,#198042,#198043); #164026=AXIS2_PLACEMENT_3D('',#246529,#198044,#198045); #164027=AXIS2_PLACEMENT_3D('',#246532,#198047,#198048); #164028=AXIS2_PLACEMENT_3D('',#246533,#198049,#198050); #164029=AXIS2_PLACEMENT_3D('',#246536,#198053,#198054); #164030=AXIS2_PLACEMENT_3D('',#246537,#198055,#198056); #164031=AXIS2_PLACEMENT_3D('',#246540,#198057,#198058); #164032=AXIS2_PLACEMENT_3D('',#246544,#198060,#198061); #164033=AXIS2_PLACEMENT_3D('',#246546,#198063,#198064); #164034=AXIS2_PLACEMENT_3D('',#246552,#198068,#198069); #164035=AXIS2_PLACEMENT_3D('',#246554,#198070,#198071); #164036=AXIS2_PLACEMENT_3D('',#246557,#198073,#198074); #164037=AXIS2_PLACEMENT_3D('',#246558,#198075,#198076); #164038=AXIS2_PLACEMENT_3D('',#246560,#198077,#198078); #164039=AXIS2_PLACEMENT_3D('',#246563,#198080,#198081); #164040=AXIS2_PLACEMENT_3D('',#246564,#198082,#198083); #164041=AXIS2_PLACEMENT_3D('',#246566,#198084,#198085); #164042=AXIS2_PLACEMENT_3D('',#246569,#198087,#198088); #164043=AXIS2_PLACEMENT_3D('',#246570,#198089,#198090); #164044=AXIS2_PLACEMENT_3D('',#246572,#198091,#198092); #164045=AXIS2_PLACEMENT_3D('',#246575,#198094,#198095); #164046=AXIS2_PLACEMENT_3D('',#246576,#198096,#198097); #164047=AXIS2_PLACEMENT_3D('',#246578,#198098,#198099); #164048=AXIS2_PLACEMENT_3D('',#246581,#198101,#198102); #164049=AXIS2_PLACEMENT_3D('',#246582,#198103,#198104); #164050=AXIS2_PLACEMENT_3D('',#246584,#198105,#198106); #164051=AXIS2_PLACEMENT_3D('',#246587,#198108,#198109); #164052=AXIS2_PLACEMENT_3D('',#246588,#198110,#198111); #164053=AXIS2_PLACEMENT_3D('',#246594,#198115,#198116); #164054=AXIS2_PLACEMENT_3D('',#246596,#198117,#198118); #164055=AXIS2_PLACEMENT_3D('',#246599,#198120,#198121); #164056=AXIS2_PLACEMENT_3D('',#246600,#198122,#198123); #164057=AXIS2_PLACEMENT_3D('',#246602,#198124,#198125); #164058=AXIS2_PLACEMENT_3D('',#246605,#198127,#198128); #164059=AXIS2_PLACEMENT_3D('',#246606,#198129,#198130); #164060=AXIS2_PLACEMENT_3D('',#246608,#198131,#198132); #164061=AXIS2_PLACEMENT_3D('',#246611,#198134,#198135); #164062=AXIS2_PLACEMENT_3D('',#246612,#198136,#198137); #164063=AXIS2_PLACEMENT_3D('',#246614,#198138,#198139); #164064=AXIS2_PLACEMENT_3D('',#246617,#198141,#198142); #164065=AXIS2_PLACEMENT_3D('',#246618,#198143,#198144); #164066=AXIS2_PLACEMENT_3D('',#246619,#198145,#198146); #164067=AXIS2_PLACEMENT_3D('',#246620,#198147,#198148); #164068=AXIS2_PLACEMENT_3D('',#246621,#198149,#198150); #164069=AXIS2_PLACEMENT_3D('',#246630,#198155,#198156); #164070=AXIS2_PLACEMENT_3D('',#246632,#198157,#198158); #164071=AXIS2_PLACEMENT_3D('',#246635,#198160,#198161); #164072=AXIS2_PLACEMENT_3D('',#246636,#198162,#198163); #164073=AXIS2_PLACEMENT_3D('',#246638,#198164,#198165); #164074=AXIS2_PLACEMENT_3D('',#246641,#198167,#198168); #164075=AXIS2_PLACEMENT_3D('',#246642,#198169,#198170); #164076=AXIS2_PLACEMENT_3D('',#246644,#198171,#198172); #164077=AXIS2_PLACEMENT_3D('',#246647,#198174,#198175); #164078=AXIS2_PLACEMENT_3D('',#246648,#198176,#198177); #164079=AXIS2_PLACEMENT_3D('',#246650,#198178,#198179); #164080=AXIS2_PLACEMENT_3D('',#246653,#198181,#198182); #164081=AXIS2_PLACEMENT_3D('',#246654,#198183,#198184); #164082=AXIS2_PLACEMENT_3D('',#246656,#198185,#198186); #164083=AXIS2_PLACEMENT_3D('',#246659,#198188,#198189); #164084=AXIS2_PLACEMENT_3D('',#246660,#198190,#198191); #164085=AXIS2_PLACEMENT_3D('',#246662,#198192,#198193); #164086=AXIS2_PLACEMENT_3D('',#246665,#198195,#198196); #164087=AXIS2_PLACEMENT_3D('',#246666,#198197,#198198); #164088=AXIS2_PLACEMENT_3D('',#246668,#198199,#198200); #164089=AXIS2_PLACEMENT_3D('',#246671,#198202,#198203); #164090=AXIS2_PLACEMENT_3D('',#246672,#198204,#198205); #164091=AXIS2_PLACEMENT_3D('',#246678,#198209,#198210); #164092=AXIS2_PLACEMENT_3D('',#246680,#198211,#198212); #164093=AXIS2_PLACEMENT_3D('',#246683,#198214,#198215); #164094=AXIS2_PLACEMENT_3D('',#246684,#198216,#198217); #164095=AXIS2_PLACEMENT_3D('',#246686,#198218,#198219); #164096=AXIS2_PLACEMENT_3D('',#246689,#198221,#198222); #164097=AXIS2_PLACEMENT_3D('',#246690,#198223,#198224); #164098=AXIS2_PLACEMENT_3D('',#246692,#198225,#198226); #164099=AXIS2_PLACEMENT_3D('',#246695,#198228,#198229); #164100=AXIS2_PLACEMENT_3D('',#246696,#198230,#198231); #164101=AXIS2_PLACEMENT_3D('',#246698,#198232,#198233); #164102=AXIS2_PLACEMENT_3D('',#246701,#198235,#198236); #164103=AXIS2_PLACEMENT_3D('',#246702,#198237,#198238); #164104=AXIS2_PLACEMENT_3D('',#246704,#198239,#198240); #164105=AXIS2_PLACEMENT_3D('',#246707,#198242,#198243); #164106=AXIS2_PLACEMENT_3D('',#246708,#198244,#198245); #164107=AXIS2_PLACEMENT_3D('',#246710,#198246,#198247); #164108=AXIS2_PLACEMENT_3D('',#246713,#198249,#198250); #164109=AXIS2_PLACEMENT_3D('',#246714,#198251,#198252); #164110=AXIS2_PLACEMENT_3D('',#246715,#198253,#198254); #164111=AXIS2_PLACEMENT_3D('',#246716,#198255,#198256); #164112=AXIS2_PLACEMENT_3D('',#246717,#198257,#198258); #164113=AXIS2_PLACEMENT_3D('',#246718,#198259,#198260); #164114=AXIS2_PLACEMENT_3D('',#246721,#198261,#198262); #164115=AXIS2_PLACEMENT_3D('',#246725,#198264,#198265); #164116=AXIS2_PLACEMENT_3D('',#246727,#198267,#198268); #164117=AXIS2_PLACEMENT_3D('',#246733,#198272,#198273); #164118=AXIS2_PLACEMENT_3D('',#246735,#198274,#198275); #164119=AXIS2_PLACEMENT_3D('',#246738,#198277,#198278); #164120=AXIS2_PLACEMENT_3D('',#246739,#198279,#198280); #164121=AXIS2_PLACEMENT_3D('',#246741,#198281,#198282); #164122=AXIS2_PLACEMENT_3D('',#246744,#198284,#198285); #164123=AXIS2_PLACEMENT_3D('',#246745,#198286,#198287); #164124=AXIS2_PLACEMENT_3D('',#246747,#198288,#198289); #164125=AXIS2_PLACEMENT_3D('',#246750,#198291,#198292); #164126=AXIS2_PLACEMENT_3D('',#246751,#198293,#198294); #164127=AXIS2_PLACEMENT_3D('',#246753,#198295,#198296); #164128=AXIS2_PLACEMENT_3D('',#246756,#198298,#198299); #164129=AXIS2_PLACEMENT_3D('',#246757,#198300,#198301); #164130=AXIS2_PLACEMENT_3D('',#246759,#198302,#198303); #164131=AXIS2_PLACEMENT_3D('',#246762,#198305,#198306); #164132=AXIS2_PLACEMENT_3D('',#246763,#198307,#198308); #164133=AXIS2_PLACEMENT_3D('',#246765,#198309,#198310); #164134=AXIS2_PLACEMENT_3D('',#246768,#198312,#198313); #164135=AXIS2_PLACEMENT_3D('',#246769,#198314,#198315); #164136=AXIS2_PLACEMENT_3D('',#246775,#198319,#198320); #164137=AXIS2_PLACEMENT_3D('',#246777,#198321,#198322); #164138=AXIS2_PLACEMENT_3D('',#246780,#198324,#198325); #164139=AXIS2_PLACEMENT_3D('',#246781,#198326,#198327); #164140=AXIS2_PLACEMENT_3D('',#246783,#198328,#198329); #164141=AXIS2_PLACEMENT_3D('',#246786,#198331,#198332); #164142=AXIS2_PLACEMENT_3D('',#246787,#198333,#198334); #164143=AXIS2_PLACEMENT_3D('',#246789,#198335,#198336); #164144=AXIS2_PLACEMENT_3D('',#246792,#198338,#198339); #164145=AXIS2_PLACEMENT_3D('',#246793,#198340,#198341); #164146=AXIS2_PLACEMENT_3D('',#246795,#198342,#198343); #164147=AXIS2_PLACEMENT_3D('',#246798,#198345,#198346); #164148=AXIS2_PLACEMENT_3D('',#246799,#198347,#198348); #164149=AXIS2_PLACEMENT_3D('',#246800,#198349,#198350); #164150=AXIS2_PLACEMENT_3D('',#246801,#198351,#198352); #164151=AXIS2_PLACEMENT_3D('',#246802,#198353,#198354); #164152=AXIS2_PLACEMENT_3D('',#246805,#198355,#198356); #164153=AXIS2_PLACEMENT_3D('',#246809,#198358,#198359); #164154=AXIS2_PLACEMENT_3D('',#246811,#198361,#198362); #164155=AXIS2_PLACEMENT_3D('',#246817,#198366,#198367); #164156=AXIS2_PLACEMENT_3D('',#246819,#198368,#198369); #164157=AXIS2_PLACEMENT_3D('',#246822,#198371,#198372); #164158=AXIS2_PLACEMENT_3D('',#246823,#198373,#198374); #164159=AXIS2_PLACEMENT_3D('',#246825,#198375,#198376); #164160=AXIS2_PLACEMENT_3D('',#246828,#198378,#198379); #164161=AXIS2_PLACEMENT_3D('',#246829,#198380,#198381); #164162=AXIS2_PLACEMENT_3D('',#246831,#198382,#198383); #164163=AXIS2_PLACEMENT_3D('',#246834,#198385,#198386); #164164=AXIS2_PLACEMENT_3D('',#246835,#198387,#198388); #164165=AXIS2_PLACEMENT_3D('',#246837,#198389,#198390); #164166=AXIS2_PLACEMENT_3D('',#246840,#198392,#198393); #164167=AXIS2_PLACEMENT_3D('',#246841,#198394,#198395); #164168=AXIS2_PLACEMENT_3D('',#246843,#198396,#198397); #164169=AXIS2_PLACEMENT_3D('',#246846,#198399,#198400); #164170=AXIS2_PLACEMENT_3D('',#246847,#198401,#198402); #164171=AXIS2_PLACEMENT_3D('',#246849,#198403,#198404); #164172=AXIS2_PLACEMENT_3D('',#246852,#198406,#198407); #164173=AXIS2_PLACEMENT_3D('',#246853,#198408,#198409); #164174=AXIS2_PLACEMENT_3D('',#246855,#198410,#198411); #164175=AXIS2_PLACEMENT_3D('',#246858,#198413,#198414); #164176=AXIS2_PLACEMENT_3D('',#246859,#198415,#198416); #164177=AXIS2_PLACEMENT_3D('',#246865,#198420,#198421); #164178=AXIS2_PLACEMENT_3D('',#246867,#198422,#198423); #164179=AXIS2_PLACEMENT_3D('',#246870,#198425,#198426); #164180=AXIS2_PLACEMENT_3D('',#246871,#198427,#198428); #164181=AXIS2_PLACEMENT_3D('',#246873,#198429,#198430); #164182=AXIS2_PLACEMENT_3D('',#246876,#198432,#198433); #164183=AXIS2_PLACEMENT_3D('',#246877,#198434,#198435); #164184=AXIS2_PLACEMENT_3D('',#246879,#198436,#198437); #164185=AXIS2_PLACEMENT_3D('',#246882,#198439,#198440); #164186=AXIS2_PLACEMENT_3D('',#246883,#198441,#198442); #164187=AXIS2_PLACEMENT_3D('',#246885,#198443,#198444); #164188=AXIS2_PLACEMENT_3D('',#246888,#198446,#198447); #164189=AXIS2_PLACEMENT_3D('',#246889,#198448,#198449); #164190=AXIS2_PLACEMENT_3D('',#246891,#198450,#198451); #164191=AXIS2_PLACEMENT_3D('',#246894,#198453,#198454); #164192=AXIS2_PLACEMENT_3D('',#246895,#198455,#198456); #164193=AXIS2_PLACEMENT_3D('',#246896,#198457,#198458); #164194=AXIS2_PLACEMENT_3D('',#246897,#198459,#198460); #164195=AXIS2_PLACEMENT_3D('',#246898,#198461,#198462); #164196=AXIS2_PLACEMENT_3D('',#246899,#198463,#198464); #164197=AXIS2_PLACEMENT_3D('',#246902,#198465,#198466); #164198=AXIS2_PLACEMENT_3D('',#246906,#198468,#198469); #164199=AXIS2_PLACEMENT_3D('',#246908,#198471,#198472); #164200=AXIS2_PLACEMENT_3D('',#246910,#198473,#198474); #164201=AXIS2_PLACEMENT_3D('',#246913,#198476,#198477); #164202=AXIS2_PLACEMENT_3D('',#246914,#198478,#198479); #164203=AXIS2_PLACEMENT_3D('',#246920,#198483,#198484); #164204=AXIS2_PLACEMENT_3D('',#246922,#198485,#198486); #164205=AXIS2_PLACEMENT_3D('',#246925,#198488,#198489); #164206=AXIS2_PLACEMENT_3D('',#246926,#198490,#198491); #164207=AXIS2_PLACEMENT_3D('',#246928,#198492,#198493); #164208=AXIS2_PLACEMENT_3D('',#246931,#198495,#198496); #164209=AXIS2_PLACEMENT_3D('',#246932,#198497,#198498); #164210=AXIS2_PLACEMENT_3D('',#246934,#198499,#198500); #164211=AXIS2_PLACEMENT_3D('',#246937,#198502,#198503); #164212=AXIS2_PLACEMENT_3D('',#246938,#198504,#198505); #164213=AXIS2_PLACEMENT_3D('',#246940,#198506,#198507); #164214=AXIS2_PLACEMENT_3D('',#246943,#198509,#198510); #164215=AXIS2_PLACEMENT_3D('',#246944,#198511,#198512); #164216=AXIS2_PLACEMENT_3D('',#246946,#198513,#198514); #164217=AXIS2_PLACEMENT_3D('',#246949,#198516,#198517); #164218=AXIS2_PLACEMENT_3D('',#246950,#198518,#198519); #164219=AXIS2_PLACEMENT_3D('',#246952,#198520,#198521); #164220=AXIS2_PLACEMENT_3D('',#246955,#198523,#198524); #164221=AXIS2_PLACEMENT_3D('',#246956,#198525,#198526); #164222=AXIS2_PLACEMENT_3D('',#246962,#198530,#198531); #164223=AXIS2_PLACEMENT_3D('',#246964,#198532,#198533); #164224=AXIS2_PLACEMENT_3D('',#246967,#198535,#198536); #164225=AXIS2_PLACEMENT_3D('',#246968,#198537,#198538); #164226=AXIS2_PLACEMENT_3D('',#246970,#198539,#198540); #164227=AXIS2_PLACEMENT_3D('',#246973,#198542,#198543); #164228=AXIS2_PLACEMENT_3D('',#246974,#198544,#198545); #164229=AXIS2_PLACEMENT_3D('',#246976,#198546,#198547); #164230=AXIS2_PLACEMENT_3D('',#246979,#198549,#198550); #164231=AXIS2_PLACEMENT_3D('',#246980,#198551,#198552); #164232=AXIS2_PLACEMENT_3D('',#246981,#198553,#198554); #164233=AXIS2_PLACEMENT_3D('',#246982,#198555,#198556); #164234=AXIS2_PLACEMENT_3D('',#246983,#198557,#198558); #164235=AXIS2_PLACEMENT_3D('',#246992,#198563,#198564); #164236=AXIS2_PLACEMENT_3D('',#246994,#198565,#198566); #164237=AXIS2_PLACEMENT_3D('',#246997,#198568,#198569); #164238=AXIS2_PLACEMENT_3D('',#246998,#198570,#198571); #164239=AXIS2_PLACEMENT_3D('',#247000,#198572,#198573); #164240=AXIS2_PLACEMENT_3D('',#247003,#198575,#198576); #164241=AXIS2_PLACEMENT_3D('',#247004,#198577,#198578); #164242=AXIS2_PLACEMENT_3D('',#247006,#198579,#198580); #164243=AXIS2_PLACEMENT_3D('',#247009,#198582,#198583); #164244=AXIS2_PLACEMENT_3D('',#247010,#198584,#198585); #164245=AXIS2_PLACEMENT_3D('',#247012,#198586,#198587); #164246=AXIS2_PLACEMENT_3D('',#247015,#198589,#198590); #164247=AXIS2_PLACEMENT_3D('',#247016,#198591,#198592); #164248=AXIS2_PLACEMENT_3D('',#247018,#198593,#198594); #164249=AXIS2_PLACEMENT_3D('',#247021,#198596,#198597); #164250=AXIS2_PLACEMENT_3D('',#247022,#198598,#198599); #164251=AXIS2_PLACEMENT_3D('',#247024,#198600,#198601); #164252=AXIS2_PLACEMENT_3D('',#247027,#198603,#198604); #164253=AXIS2_PLACEMENT_3D('',#247028,#198605,#198606); #164254=AXIS2_PLACEMENT_3D('',#247030,#198607,#198608); #164255=AXIS2_PLACEMENT_3D('',#247033,#198610,#198611); #164256=AXIS2_PLACEMENT_3D('',#247034,#198612,#198613); #164257=AXIS2_PLACEMENT_3D('',#247040,#198617,#198618); #164258=AXIS2_PLACEMENT_3D('',#247042,#198619,#198620); #164259=AXIS2_PLACEMENT_3D('',#247045,#198622,#198623); #164260=AXIS2_PLACEMENT_3D('',#247046,#198624,#198625); #164261=AXIS2_PLACEMENT_3D('',#247048,#198626,#198627); #164262=AXIS2_PLACEMENT_3D('',#247051,#198629,#198630); #164263=AXIS2_PLACEMENT_3D('',#247052,#198631,#198632); #164264=AXIS2_PLACEMENT_3D('',#247054,#198633,#198634); #164265=AXIS2_PLACEMENT_3D('',#247057,#198636,#198637); #164266=AXIS2_PLACEMENT_3D('',#247058,#198638,#198639); #164267=AXIS2_PLACEMENT_3D('',#247060,#198640,#198641); #164268=AXIS2_PLACEMENT_3D('',#247063,#198643,#198644); #164269=AXIS2_PLACEMENT_3D('',#247064,#198645,#198646); #164270=AXIS2_PLACEMENT_3D('',#247066,#198647,#198648); #164271=AXIS2_PLACEMENT_3D('',#247069,#198650,#198651); #164272=AXIS2_PLACEMENT_3D('',#247070,#198652,#198653); #164273=AXIS2_PLACEMENT_3D('',#247072,#198654,#198655); #164274=AXIS2_PLACEMENT_3D('',#247075,#198657,#198658); #164275=AXIS2_PLACEMENT_3D('',#247076,#198659,#198660); #164276=AXIS2_PLACEMENT_3D('',#247077,#198661,#198662); #164277=AXIS2_PLACEMENT_3D('',#247078,#198663,#198664); #164278=AXIS2_PLACEMENT_3D('',#247079,#198665,#198666); #164279=AXIS2_PLACEMENT_3D('',#247080,#198667,#198668); #164280=AXIS2_PLACEMENT_3D('',#247083,#198669,#198670); #164281=AXIS2_PLACEMENT_3D('',#247087,#198672,#198673); #164282=AXIS2_PLACEMENT_3D('',#247089,#198675,#198676); #164283=AXIS2_PLACEMENT_3D('',#247091,#198677,#198678); #164284=AXIS2_PLACEMENT_3D('',#247094,#198680,#198681); #164285=AXIS2_PLACEMENT_3D('',#247095,#198682,#198683); #164286=AXIS2_PLACEMENT_3D('',#247097,#198684,#198685); #164287=AXIS2_PLACEMENT_3D('',#247100,#198687,#198688); #164288=AXIS2_PLACEMENT_3D('',#247101,#198689,#198690); #164289=AXIS2_PLACEMENT_3D('',#247103,#198691,#198692); #164290=AXIS2_PLACEMENT_3D('',#247106,#198694,#198695); #164291=AXIS2_PLACEMENT_3D('',#247107,#198696,#198697); #164292=AXIS2_PLACEMENT_3D('',#247113,#198701,#198702); #164293=AXIS2_PLACEMENT_3D('',#247115,#198703,#198704); #164294=AXIS2_PLACEMENT_3D('',#247118,#198706,#198707); #164295=AXIS2_PLACEMENT_3D('',#247119,#198708,#198709); #164296=AXIS2_PLACEMENT_3D('',#247121,#198710,#198711); #164297=AXIS2_PLACEMENT_3D('',#247124,#198713,#198714); #164298=AXIS2_PLACEMENT_3D('',#247125,#198715,#198716); #164299=AXIS2_PLACEMENT_3D('',#247127,#198717,#198718); #164300=AXIS2_PLACEMENT_3D('',#247130,#198720,#198721); #164301=AXIS2_PLACEMENT_3D('',#247131,#198722,#198723); #164302=AXIS2_PLACEMENT_3D('',#247133,#198724,#198725); #164303=AXIS2_PLACEMENT_3D('',#247136,#198727,#198728); #164304=AXIS2_PLACEMENT_3D('',#247137,#198729,#198730); #164305=AXIS2_PLACEMENT_3D('',#247139,#198731,#198732); #164306=AXIS2_PLACEMENT_3D('',#247142,#198734,#198735); #164307=AXIS2_PLACEMENT_3D('',#247143,#198736,#198737); #164308=AXIS2_PLACEMENT_3D('',#247145,#198738,#198739); #164309=AXIS2_PLACEMENT_3D('',#247148,#198741,#198742); #164310=AXIS2_PLACEMENT_3D('',#247149,#198743,#198744); #164311=AXIS2_PLACEMENT_3D('',#247155,#198748,#198749); #164312=AXIS2_PLACEMENT_3D('',#247157,#198750,#198751); #164313=AXIS2_PLACEMENT_3D('',#247160,#198753,#198754); #164314=AXIS2_PLACEMENT_3D('',#247161,#198755,#198756); #164315=AXIS2_PLACEMENT_3D('',#247162,#198757,#198758); #164316=AXIS2_PLACEMENT_3D('',#247163,#198759,#198760); #164317=AXIS2_PLACEMENT_3D('',#247164,#198761,#198762); #164318=AXIS2_PLACEMENT_3D('',#247173,#198767,#198768); #164319=AXIS2_PLACEMENT_3D('',#247175,#198769,#198770); #164320=AXIS2_PLACEMENT_3D('',#247178,#198772,#198773); #164321=AXIS2_PLACEMENT_3D('',#247179,#198774,#198775); #164322=AXIS2_PLACEMENT_3D('',#247181,#198776,#198777); #164323=AXIS2_PLACEMENT_3D('',#247184,#198779,#198780); #164324=AXIS2_PLACEMENT_3D('',#247185,#198781,#198782); #164325=AXIS2_PLACEMENT_3D('',#247187,#198783,#198784); #164326=AXIS2_PLACEMENT_3D('',#247190,#198786,#198787); #164327=AXIS2_PLACEMENT_3D('',#247191,#198788,#198789); #164328=AXIS2_PLACEMENT_3D('',#247193,#198790,#198791); #164329=AXIS2_PLACEMENT_3D('',#247196,#198793,#198794); #164330=AXIS2_PLACEMENT_3D('',#247197,#198795,#198796); #164331=AXIS2_PLACEMENT_3D('',#247199,#198797,#198798); #164332=AXIS2_PLACEMENT_3D('',#247202,#198800,#198801); #164333=AXIS2_PLACEMENT_3D('',#247203,#198802,#198803); #164334=AXIS2_PLACEMENT_3D('',#247205,#198804,#198805); #164335=AXIS2_PLACEMENT_3D('',#247208,#198807,#198808); #164336=AXIS2_PLACEMENT_3D('',#247209,#198809,#198810); #164337=AXIS2_PLACEMENT_3D('',#247211,#198811,#198812); #164338=AXIS2_PLACEMENT_3D('',#247214,#198814,#198815); #164339=AXIS2_PLACEMENT_3D('',#247215,#198816,#198817); #164340=AXIS2_PLACEMENT_3D('',#247221,#198821,#198822); #164341=AXIS2_PLACEMENT_3D('',#247223,#198823,#198824); #164342=AXIS2_PLACEMENT_3D('',#247226,#198826,#198827); #164343=AXIS2_PLACEMENT_3D('',#247227,#198828,#198829); #164344=AXIS2_PLACEMENT_3D('',#247229,#198830,#198831); #164345=AXIS2_PLACEMENT_3D('',#247232,#198833,#198834); #164346=AXIS2_PLACEMENT_3D('',#247233,#198835,#198836); #164347=AXIS2_PLACEMENT_3D('',#247235,#198837,#198838); #164348=AXIS2_PLACEMENT_3D('',#247238,#198840,#198841); #164349=AXIS2_PLACEMENT_3D('',#247239,#198842,#198843); #164350=AXIS2_PLACEMENT_3D('',#247241,#198844,#198845); #164351=AXIS2_PLACEMENT_3D('',#247244,#198847,#198848); #164352=AXIS2_PLACEMENT_3D('',#247245,#198849,#198850); #164353=AXIS2_PLACEMENT_3D('',#247247,#198851,#198852); #164354=AXIS2_PLACEMENT_3D('',#247250,#198854,#198855); #164355=AXIS2_PLACEMENT_3D('',#247251,#198856,#198857); #164356=AXIS2_PLACEMENT_3D('',#247253,#198858,#198859); #164357=AXIS2_PLACEMENT_3D('',#247256,#198861,#198862); #164358=AXIS2_PLACEMENT_3D('',#247257,#198863,#198864); #164359=AXIS2_PLACEMENT_3D('',#247258,#198865,#198866); #164360=AXIS2_PLACEMENT_3D('',#247259,#198867,#198868); #164361=AXIS2_PLACEMENT_3D('',#247260,#198869,#198870); #164362=AXIS2_PLACEMENT_3D('',#247261,#198871,#198872); #164363=AXIS2_PLACEMENT_3D('',#247264,#198873,#198874); #164364=AXIS2_PLACEMENT_3D('',#247268,#198876,#198877); #164365=AXIS2_PLACEMENT_3D('',#247270,#198879,#198880); #164366=AXIS2_PLACEMENT_3D('',#247272,#198881,#198882); #164367=AXIS2_PLACEMENT_3D('',#247275,#198884,#198885); #164368=AXIS2_PLACEMENT_3D('',#247276,#198886,#198887); #164369=AXIS2_PLACEMENT_3D('',#247278,#198888,#198889); #164370=AXIS2_PLACEMENT_3D('',#247281,#198891,#198892); #164371=AXIS2_PLACEMENT_3D('',#247282,#198893,#198894); #164372=AXIS2_PLACEMENT_3D('',#247284,#198895,#198896); #164373=AXIS2_PLACEMENT_3D('',#247287,#198898,#198899); #164374=AXIS2_PLACEMENT_3D('',#247288,#198900,#198901); #164375=AXIS2_PLACEMENT_3D('',#247290,#198902,#198903); #164376=AXIS2_PLACEMENT_3D('',#247293,#198905,#198906); #164377=AXIS2_PLACEMENT_3D('',#247294,#198907,#198908); #164378=AXIS2_PLACEMENT_3D('',#247296,#198909,#198910); #164379=AXIS2_PLACEMENT_3D('',#247299,#198912,#198913); #164380=AXIS2_PLACEMENT_3D('',#247300,#198914,#198915); #164381=AXIS2_PLACEMENT_3D('',#247302,#198916,#198917); #164382=AXIS2_PLACEMENT_3D('',#247305,#198919,#198920); #164383=AXIS2_PLACEMENT_3D('',#247306,#198921,#198922); #164384=AXIS2_PLACEMENT_3D('',#247308,#198923,#198924); #164385=AXIS2_PLACEMENT_3D('',#247311,#198926,#198927); #164386=AXIS2_PLACEMENT_3D('',#247312,#198928,#198929); #164387=AXIS2_PLACEMENT_3D('',#247314,#198930,#198931); #164388=AXIS2_PLACEMENT_3D('',#247317,#198933,#198934); #164389=AXIS2_PLACEMENT_3D('',#247318,#198935,#198936); #164390=AXIS2_PLACEMENT_3D('',#247320,#198937,#198938); #164391=AXIS2_PLACEMENT_3D('',#247323,#198940,#198941); #164392=AXIS2_PLACEMENT_3D('',#247324,#198942,#198943); #164393=AXIS2_PLACEMENT_3D('',#247326,#198944,#198945); #164394=AXIS2_PLACEMENT_3D('',#247329,#198947,#198948); #164395=AXIS2_PLACEMENT_3D('',#247330,#198949,#198950); #164396=AXIS2_PLACEMENT_3D('',#247332,#198951,#198952); #164397=AXIS2_PLACEMENT_3D('',#247335,#198954,#198955); #164398=AXIS2_PLACEMENT_3D('',#247336,#198956,#198957); #164399=AXIS2_PLACEMENT_3D('',#247338,#198958,#198959); #164400=AXIS2_PLACEMENT_3D('',#247341,#198961,#198962); #164401=AXIS2_PLACEMENT_3D('',#247342,#198963,#198964); #164402=AXIS2_PLACEMENT_3D('',#247344,#198965,#198966); #164403=AXIS2_PLACEMENT_3D('',#247347,#198968,#198969); #164404=AXIS2_PLACEMENT_3D('',#247348,#198970,#198971); #164405=AXIS2_PLACEMENT_3D('',#247350,#198972,#198973); #164406=AXIS2_PLACEMENT_3D('',#247353,#198975,#198976); #164407=AXIS2_PLACEMENT_3D('',#247354,#198977,#198978); #164408=AXIS2_PLACEMENT_3D('',#247356,#198979,#198980); #164409=AXIS2_PLACEMENT_3D('',#247359,#198982,#198983); #164410=AXIS2_PLACEMENT_3D('',#247360,#198984,#198985); #164411=AXIS2_PLACEMENT_3D('',#247362,#198986,#198987); #164412=AXIS2_PLACEMENT_3D('',#247365,#198989,#198990); #164413=AXIS2_PLACEMENT_3D('',#247366,#198991,#198992); #164414=AXIS2_PLACEMENT_3D('',#247368,#198993,#198994); #164415=AXIS2_PLACEMENT_3D('',#247371,#198996,#198997); #164416=AXIS2_PLACEMENT_3D('',#247372,#198998,#198999); #164417=AXIS2_PLACEMENT_3D('',#247378,#199003,#199004); #164418=AXIS2_PLACEMENT_3D('',#247384,#199008,#199009); #164419=AXIS2_PLACEMENT_3D('',#247390,#199013,#199014); #164420=AXIS2_PLACEMENT_3D('',#247392,#199015,#199016); #164421=AXIS2_PLACEMENT_3D('',#247395,#199018,#199019); #164422=AXIS2_PLACEMENT_3D('',#247396,#199020,#199021); #164423=AXIS2_PLACEMENT_3D('',#247398,#199022,#199023); #164424=AXIS2_PLACEMENT_3D('',#247401,#199025,#199026); #164425=AXIS2_PLACEMENT_3D('',#247402,#199027,#199028); #164426=AXIS2_PLACEMENT_3D('',#247404,#199029,#199030); #164427=AXIS2_PLACEMENT_3D('',#247407,#199032,#199033); #164428=AXIS2_PLACEMENT_3D('',#247408,#199034,#199035); #164429=AXIS2_PLACEMENT_3D('',#247410,#199036,#199037); #164430=AXIS2_PLACEMENT_3D('',#247413,#199039,#199040); #164431=AXIS2_PLACEMENT_3D('',#247414,#199041,#199042); #164432=AXIS2_PLACEMENT_3D('',#247420,#199046,#199047); #164433=AXIS2_PLACEMENT_3D('',#247426,#199051,#199052); #164434=AXIS2_PLACEMENT_3D('',#247432,#199056,#199057); #164435=AXIS2_PLACEMENT_3D('',#247434,#199058,#199059); #164436=AXIS2_PLACEMENT_3D('',#247437,#199061,#199062); #164437=AXIS2_PLACEMENT_3D('',#247438,#199063,#199064); #164438=AXIS2_PLACEMENT_3D('',#247440,#199065,#199066); #164439=AXIS2_PLACEMENT_3D('',#247443,#199068,#199069); #164440=AXIS2_PLACEMENT_3D('',#247444,#199070,#199071); #164441=AXIS2_PLACEMENT_3D('',#247445,#199072,#199073); #164442=AXIS2_PLACEMENT_3D('',#247446,#199074,#199075); #164443=AXIS2_PLACEMENT_3D('',#247447,#199076,#199077); #164444=AXIS2_PLACEMENT_3D('',#247448,#199078,#199079); #164445=AXIS2_PLACEMENT_3D('',#247451,#199080,#199081); #164446=AXIS2_PLACEMENT_3D('',#247455,#199083,#199084); #164447=AXIS2_PLACEMENT_3D('',#247457,#199086,#199087); #164448=AXIS2_PLACEMENT_3D('',#247459,#199088,#199089); #164449=AXIS2_PLACEMENT_3D('',#247462,#199091,#199092); #164450=AXIS2_PLACEMENT_3D('',#247463,#199093,#199094); #164451=AXIS2_PLACEMENT_3D('',#247465,#199095,#199096); #164452=AXIS2_PLACEMENT_3D('',#247468,#199098,#199099); #164453=AXIS2_PLACEMENT_3D('',#247469,#199100,#199101); #164454=AXIS2_PLACEMENT_3D('',#247471,#199102,#199103); #164455=AXIS2_PLACEMENT_3D('',#247474,#199105,#199106); #164456=AXIS2_PLACEMENT_3D('',#247475,#199107,#199108); #164457=AXIS2_PLACEMENT_3D('',#247477,#199109,#199110); #164458=AXIS2_PLACEMENT_3D('',#247480,#199112,#199113); #164459=AXIS2_PLACEMENT_3D('',#247481,#199114,#199115); #164460=AXIS2_PLACEMENT_3D('',#247483,#199116,#199117); #164461=AXIS2_PLACEMENT_3D('',#247486,#199119,#199120); #164462=AXIS2_PLACEMENT_3D('',#247487,#199121,#199122); #164463=AXIS2_PLACEMENT_3D('',#247489,#199123,#199124); #164464=AXIS2_PLACEMENT_3D('',#247492,#199126,#199127); #164465=AXIS2_PLACEMENT_3D('',#247493,#199128,#199129); #164466=AXIS2_PLACEMENT_3D('',#247495,#199130,#199131); #164467=AXIS2_PLACEMENT_3D('',#247498,#199133,#199134); #164468=AXIS2_PLACEMENT_3D('',#247499,#199135,#199136); #164469=AXIS2_PLACEMENT_3D('',#247501,#199137,#199138); #164470=AXIS2_PLACEMENT_3D('',#247504,#199140,#199141); #164471=AXIS2_PLACEMENT_3D('',#247505,#199142,#199143); #164472=AXIS2_PLACEMENT_3D('',#247507,#199144,#199145); #164473=AXIS2_PLACEMENT_3D('',#247510,#199147,#199148); #164474=AXIS2_PLACEMENT_3D('',#247511,#199149,#199150); #164475=AXIS2_PLACEMENT_3D('',#247513,#199151,#199152); #164476=AXIS2_PLACEMENT_3D('',#247516,#199154,#199155); #164477=AXIS2_PLACEMENT_3D('',#247517,#199156,#199157); #164478=AXIS2_PLACEMENT_3D('',#247519,#199158,#199159); #164479=AXIS2_PLACEMENT_3D('',#247522,#199161,#199162); #164480=AXIS2_PLACEMENT_3D('',#247523,#199163,#199164); #164481=AXIS2_PLACEMENT_3D('',#247525,#199165,#199166); #164482=AXIS2_PLACEMENT_3D('',#247528,#199168,#199169); #164483=AXIS2_PLACEMENT_3D('',#247529,#199170,#199171); #164484=AXIS2_PLACEMENT_3D('',#247531,#199172,#199173); #164485=AXIS2_PLACEMENT_3D('',#247534,#199175,#199176); #164486=AXIS2_PLACEMENT_3D('',#247535,#199177,#199178); #164487=AXIS2_PLACEMENT_3D('',#247537,#199179,#199180); #164488=AXIS2_PLACEMENT_3D('',#247540,#199182,#199183); #164489=AXIS2_PLACEMENT_3D('',#247541,#199184,#199185); #164490=AXIS2_PLACEMENT_3D('',#247543,#199186,#199187); #164491=AXIS2_PLACEMENT_3D('',#247546,#199189,#199190); #164492=AXIS2_PLACEMENT_3D('',#247547,#199191,#199192); #164493=AXIS2_PLACEMENT_3D('',#247549,#199193,#199194); #164494=AXIS2_PLACEMENT_3D('',#247552,#199196,#199197); #164495=AXIS2_PLACEMENT_3D('',#247553,#199198,#199199); #164496=AXIS2_PLACEMENT_3D('',#247555,#199200,#199201); #164497=AXIS2_PLACEMENT_3D('',#247558,#199203,#199204); #164498=AXIS2_PLACEMENT_3D('',#247559,#199205,#199206); #164499=AXIS2_PLACEMENT_3D('',#247561,#199207,#199208); #164500=AXIS2_PLACEMENT_3D('',#247564,#199210,#199211); #164501=AXIS2_PLACEMENT_3D('',#247565,#199212,#199213); #164502=AXIS2_PLACEMENT_3D('',#247567,#199214,#199215); #164503=AXIS2_PLACEMENT_3D('',#247570,#199217,#199218); #164504=AXIS2_PLACEMENT_3D('',#247571,#199219,#199220); #164505=AXIS2_PLACEMENT_3D('',#247573,#199221,#199222); #164506=AXIS2_PLACEMENT_3D('',#247576,#199224,#199225); #164507=AXIS2_PLACEMENT_3D('',#247577,#199226,#199227); #164508=AXIS2_PLACEMENT_3D('',#247579,#199228,#199229); #164509=AXIS2_PLACEMENT_3D('',#247582,#199231,#199232); #164510=AXIS2_PLACEMENT_3D('',#247583,#199233,#199234); #164511=AXIS2_PLACEMENT_3D('',#247585,#199235,#199236); #164512=AXIS2_PLACEMENT_3D('',#247588,#199238,#199239); #164513=AXIS2_PLACEMENT_3D('',#247589,#199240,#199241); #164514=AXIS2_PLACEMENT_3D('',#247591,#199242,#199243); #164515=AXIS2_PLACEMENT_3D('',#247594,#199245,#199246); #164516=AXIS2_PLACEMENT_3D('',#247595,#199247,#199248); #164517=AXIS2_PLACEMENT_3D('',#247597,#199249,#199250); #164518=AXIS2_PLACEMENT_3D('',#247600,#199252,#199253); #164519=AXIS2_PLACEMENT_3D('',#247601,#199254,#199255); #164520=AXIS2_PLACEMENT_3D('',#247603,#199256,#199257); #164521=AXIS2_PLACEMENT_3D('',#247606,#199259,#199260); #164522=AXIS2_PLACEMENT_3D('',#247607,#199261,#199262); #164523=AXIS2_PLACEMENT_3D('',#247609,#199263,#199264); #164524=AXIS2_PLACEMENT_3D('',#247612,#199266,#199267); #164525=AXIS2_PLACEMENT_3D('',#247613,#199268,#199269); #164526=AXIS2_PLACEMENT_3D('',#247615,#199270,#199271); #164527=AXIS2_PLACEMENT_3D('',#247618,#199273,#199274); #164528=AXIS2_PLACEMENT_3D('',#247619,#199275,#199276); #164529=AXIS2_PLACEMENT_3D('',#247625,#199280,#199281); #164530=AXIS2_PLACEMENT_3D('',#247627,#199282,#199283); #164531=AXIS2_PLACEMENT_3D('',#247630,#199285,#199286); #164532=AXIS2_PLACEMENT_3D('',#247631,#199287,#199288); #164533=AXIS2_PLACEMENT_3D('',#247633,#199289,#199290); #164534=AXIS2_PLACEMENT_3D('',#247636,#199292,#199293); #164535=AXIS2_PLACEMENT_3D('',#247637,#199294,#199295); #164536=AXIS2_PLACEMENT_3D('',#247639,#199296,#199297); #164537=AXIS2_PLACEMENT_3D('',#247642,#199299,#199300); #164538=AXIS2_PLACEMENT_3D('',#247643,#199301,#199302); #164539=AXIS2_PLACEMENT_3D('',#247645,#199303,#199304); #164540=AXIS2_PLACEMENT_3D('',#247648,#199306,#199307); #164541=AXIS2_PLACEMENT_3D('',#247649,#199308,#199309); #164542=AXIS2_PLACEMENT_3D('',#247651,#199310,#199311); #164543=AXIS2_PLACEMENT_3D('',#247654,#199313,#199314); #164544=AXIS2_PLACEMENT_3D('',#247655,#199315,#199316); #164545=AXIS2_PLACEMENT_3D('',#247657,#199317,#199318); #164546=AXIS2_PLACEMENT_3D('',#247660,#199320,#199321); #164547=AXIS2_PLACEMENT_3D('',#247661,#199322,#199323); #164548=AXIS2_PLACEMENT_3D('',#247663,#199324,#199325); #164549=AXIS2_PLACEMENT_3D('',#247666,#199327,#199328); #164550=AXIS2_PLACEMENT_3D('',#247667,#199329,#199330); #164551=AXIS2_PLACEMENT_3D('',#247668,#199331,#199332); #164552=AXIS2_PLACEMENT_3D('',#247669,#199333,#199334); #164553=AXIS2_PLACEMENT_3D('',#247670,#199335,#199336); #164554=AXIS2_PLACEMENT_3D('',#247671,#199337,#199338); #164555=AXIS2_PLACEMENT_3D('',#247674,#199339,#199340); #164556=AXIS2_PLACEMENT_3D('',#247678,#199342,#199343); #164557=AXIS2_PLACEMENT_3D('',#247680,#199345,#199346); #164558=AXIS2_PLACEMENT_3D('',#247682,#199347,#199348); #164559=AXIS2_PLACEMENT_3D('',#247685,#199350,#199351); #164560=AXIS2_PLACEMENT_3D('',#247686,#199352,#199353); #164561=AXIS2_PLACEMENT_3D('',#247688,#199354,#199355); #164562=AXIS2_PLACEMENT_3D('',#247691,#199357,#199358); #164563=AXIS2_PLACEMENT_3D('',#247692,#199359,#199360); #164564=AXIS2_PLACEMENT_3D('',#247694,#199361,#199362); #164565=AXIS2_PLACEMENT_3D('',#247697,#199364,#199365); #164566=AXIS2_PLACEMENT_3D('',#247698,#199366,#199367); #164567=AXIS2_PLACEMENT_3D('',#247700,#199368,#199369); #164568=AXIS2_PLACEMENT_3D('',#247703,#199371,#199372); #164569=AXIS2_PLACEMENT_3D('',#247704,#199373,#199374); #164570=AXIS2_PLACEMENT_3D('',#247706,#199375,#199376); #164571=AXIS2_PLACEMENT_3D('',#247709,#199378,#199379); #164572=AXIS2_PLACEMENT_3D('',#247710,#199380,#199381); #164573=AXIS2_PLACEMENT_3D('',#247712,#199382,#199383); #164574=AXIS2_PLACEMENT_3D('',#247715,#199385,#199386); #164575=AXIS2_PLACEMENT_3D('',#247716,#199387,#199388); #164576=AXIS2_PLACEMENT_3D('',#247718,#199389,#199390); #164577=AXIS2_PLACEMENT_3D('',#247721,#199392,#199393); #164578=AXIS2_PLACEMENT_3D('',#247722,#199394,#199395); #164579=AXIS2_PLACEMENT_3D('',#247724,#199396,#199397); #164580=AXIS2_PLACEMENT_3D('',#247727,#199399,#199400); #164581=AXIS2_PLACEMENT_3D('',#247728,#199401,#199402); #164582=AXIS2_PLACEMENT_3D('',#247730,#199403,#199404); #164583=AXIS2_PLACEMENT_3D('',#247733,#199406,#199407); #164584=AXIS2_PLACEMENT_3D('',#247734,#199408,#199409); #164585=AXIS2_PLACEMENT_3D('',#247736,#199410,#199411); #164586=AXIS2_PLACEMENT_3D('',#247739,#199413,#199414); #164587=AXIS2_PLACEMENT_3D('',#247740,#199415,#199416); #164588=AXIS2_PLACEMENT_3D('',#247742,#199417,#199418); #164589=AXIS2_PLACEMENT_3D('',#247745,#199420,#199421); #164590=AXIS2_PLACEMENT_3D('',#247746,#199422,#199423); #164591=AXIS2_PLACEMENT_3D('',#247748,#199424,#199425); #164592=AXIS2_PLACEMENT_3D('',#247751,#199427,#199428); #164593=AXIS2_PLACEMENT_3D('',#247752,#199429,#199430); #164594=AXIS2_PLACEMENT_3D('',#247754,#199431,#199432); #164595=AXIS2_PLACEMENT_3D('',#247757,#199434,#199435); #164596=AXIS2_PLACEMENT_3D('',#247758,#199436,#199437); #164597=AXIS2_PLACEMENT_3D('',#247764,#199441,#199442); #164598=AXIS2_PLACEMENT_3D('',#247770,#199446,#199447); #164599=AXIS2_PLACEMENT_3D('',#247772,#199448,#199449); #164600=AXIS2_PLACEMENT_3D('',#247775,#199451,#199452); #164601=AXIS2_PLACEMENT_3D('',#247776,#199453,#199454); #164602=AXIS2_PLACEMENT_3D('',#247778,#199455,#199456); #164603=AXIS2_PLACEMENT_3D('',#247781,#199458,#199459); #164604=AXIS2_PLACEMENT_3D('',#247782,#199460,#199461); #164605=AXIS2_PLACEMENT_3D('',#247784,#199462,#199463); #164606=AXIS2_PLACEMENT_3D('',#247787,#199465,#199466); #164607=AXIS2_PLACEMENT_3D('',#247788,#199467,#199468); #164608=AXIS2_PLACEMENT_3D('',#247790,#199469,#199470); #164609=AXIS2_PLACEMENT_3D('',#247793,#199472,#199473); #164610=AXIS2_PLACEMENT_3D('',#247794,#199474,#199475); #164611=AXIS2_PLACEMENT_3D('',#247800,#199479,#199480); #164612=AXIS2_PLACEMENT_3D('',#247806,#199484,#199485); #164613=AXIS2_PLACEMENT_3D('',#247808,#199486,#199487); #164614=AXIS2_PLACEMENT_3D('',#247811,#199489,#199490); #164615=AXIS2_PLACEMENT_3D('',#247812,#199491,#199492); #164616=AXIS2_PLACEMENT_3D('',#247814,#199493,#199494); #164617=AXIS2_PLACEMENT_3D('',#247817,#199496,#199497); #164618=AXIS2_PLACEMENT_3D('',#247818,#199498,#199499); #164619=AXIS2_PLACEMENT_3D('',#247820,#199500,#199501); #164620=AXIS2_PLACEMENT_3D('',#247823,#199503,#199504); #164621=AXIS2_PLACEMENT_3D('',#247824,#199505,#199506); #164622=AXIS2_PLACEMENT_3D('',#247826,#199507,#199508); #164623=AXIS2_PLACEMENT_3D('',#247829,#199510,#199511); #164624=AXIS2_PLACEMENT_3D('',#247830,#199512,#199513); #164625=AXIS2_PLACEMENT_3D('',#247832,#199514,#199515); #164626=AXIS2_PLACEMENT_3D('',#247835,#199517,#199518); #164627=AXIS2_PLACEMENT_3D('',#247836,#199519,#199520); #164628=AXIS2_PLACEMENT_3D('',#247838,#199521,#199522); #164629=AXIS2_PLACEMENT_3D('',#247841,#199524,#199525); #164630=AXIS2_PLACEMENT_3D('',#247842,#199526,#199527); #164631=AXIS2_PLACEMENT_3D('',#247843,#199528,#199529); #164632=AXIS2_PLACEMENT_3D('',#247844,#199530,#199531); #164633=AXIS2_PLACEMENT_3D('',#247845,#199532,#199533); #164634=AXIS2_PLACEMENT_3D('',#247846,#199534,#199535); #164635=AXIS2_PLACEMENT_3D('',#247849,#199536,#199537); #164636=AXIS2_PLACEMENT_3D('',#247853,#199539,#199540); #164637=AXIS2_PLACEMENT_3D('',#247855,#199542,#199543); #164638=AXIS2_PLACEMENT_3D('',#247857,#199544,#199545); #164639=AXIS2_PLACEMENT_3D('',#247860,#199547,#199548); #164640=AXIS2_PLACEMENT_3D('',#247861,#199549,#199550); #164641=AXIS2_PLACEMENT_3D('',#247863,#199551,#199552); #164642=AXIS2_PLACEMENT_3D('',#247866,#199554,#199555); #164643=AXIS2_PLACEMENT_3D('',#247867,#199556,#199557); #164644=AXIS2_PLACEMENT_3D('',#247869,#199558,#199559); #164645=AXIS2_PLACEMENT_3D('',#247872,#199561,#199562); #164646=AXIS2_PLACEMENT_3D('',#247873,#199563,#199564); #164647=AXIS2_PLACEMENT_3D('',#247879,#199568,#199569); #164648=AXIS2_PLACEMENT_3D('',#247881,#199570,#199571); #164649=AXIS2_PLACEMENT_3D('',#247884,#199573,#199574); #164650=AXIS2_PLACEMENT_3D('',#247885,#199575,#199576); #164651=AXIS2_PLACEMENT_3D('',#247887,#199577,#199578); #164652=AXIS2_PLACEMENT_3D('',#247890,#199580,#199581); #164653=AXIS2_PLACEMENT_3D('',#247891,#199582,#199583); #164654=AXIS2_PLACEMENT_3D('',#247893,#199584,#199585); #164655=AXIS2_PLACEMENT_3D('',#247896,#199587,#199588); #164656=AXIS2_PLACEMENT_3D('',#247897,#199589,#199590); #164657=AXIS2_PLACEMENT_3D('',#247899,#199591,#199592); #164658=AXIS2_PLACEMENT_3D('',#247902,#199594,#199595); #164659=AXIS2_PLACEMENT_3D('',#247903,#199596,#199597); #164660=AXIS2_PLACEMENT_3D('',#247906,#199600,#199601); #164661=AXIS2_PLACEMENT_3D('',#247907,#199602,#199603); #164662=AXIS2_PLACEMENT_3D('',#247910,#199604,#199605); #164663=AXIS2_PLACEMENT_3D('',#247914,#199607,#199608); #164664=AXIS2_PLACEMENT_3D('',#247916,#199610,#199611); #164665=AXIS2_PLACEMENT_3D('',#247918,#199612,#199613); #164666=AXIS2_PLACEMENT_3D('',#247921,#199615,#199616); #164667=AXIS2_PLACEMENT_3D('',#247922,#199617,#199618); #164668=AXIS2_PLACEMENT_3D('',#247928,#199622,#199623); #164669=AXIS2_PLACEMENT_3D('',#247930,#199624,#199625); #164670=AXIS2_PLACEMENT_3D('',#247933,#199627,#199628); #164671=AXIS2_PLACEMENT_3D('',#247934,#199629,#199630); #164672=AXIS2_PLACEMENT_3D('',#247936,#199631,#199632); #164673=AXIS2_PLACEMENT_3D('',#247939,#199634,#199635); #164674=AXIS2_PLACEMENT_3D('',#247940,#199636,#199637); #164675=AXIS2_PLACEMENT_3D('',#247942,#199638,#199639); #164676=AXIS2_PLACEMENT_3D('',#247945,#199641,#199642); #164677=AXIS2_PLACEMENT_3D('',#247946,#199643,#199644); #164678=AXIS2_PLACEMENT_3D('',#247948,#199645,#199646); #164679=AXIS2_PLACEMENT_3D('',#247951,#199648,#199649); #164680=AXIS2_PLACEMENT_3D('',#247952,#199650,#199651); #164681=AXIS2_PLACEMENT_3D('',#247958,#199655,#199656); #164682=AXIS2_PLACEMENT_3D('',#247964,#199660,#199661); #164683=AXIS2_PLACEMENT_3D('',#247970,#199665,#199666); #164684=AXIS2_PLACEMENT_3D('',#247972,#199667,#199668); #164685=AXIS2_PLACEMENT_3D('',#247975,#199670,#199671); #164686=AXIS2_PLACEMENT_3D('',#247976,#199672,#199673); #164687=AXIS2_PLACEMENT_3D('',#247978,#199674,#199675); #164688=AXIS2_PLACEMENT_3D('',#247981,#199677,#199678); #164689=AXIS2_PLACEMENT_3D('',#247982,#199679,#199680); #164690=AXIS2_PLACEMENT_3D('',#247984,#199681,#199682); #164691=AXIS2_PLACEMENT_3D('',#247987,#199684,#199685); #164692=AXIS2_PLACEMENT_3D('',#247988,#199686,#199687); #164693=AXIS2_PLACEMENT_3D('',#247990,#199688,#199689); #164694=AXIS2_PLACEMENT_3D('',#247993,#199691,#199692); #164695=AXIS2_PLACEMENT_3D('',#247994,#199693,#199694); #164696=AXIS2_PLACEMENT_3D('',#247996,#199695,#199696); #164697=AXIS2_PLACEMENT_3D('',#247999,#199698,#199699); #164698=AXIS2_PLACEMENT_3D('',#248000,#199700,#199701); #164699=AXIS2_PLACEMENT_3D('',#248006,#199705,#199706); #164700=AXIS2_PLACEMENT_3D('',#248012,#199710,#199711); #164701=AXIS2_PLACEMENT_3D('',#248018,#199715,#199716); #164702=AXIS2_PLACEMENT_3D('',#248020,#199717,#199718); #164703=AXIS2_PLACEMENT_3D('',#248023,#199720,#199721); #164704=AXIS2_PLACEMENT_3D('',#248024,#199722,#199723); #164705=AXIS2_PLACEMENT_3D('',#248025,#199724,#199725); #164706=AXIS2_PLACEMENT_3D('',#248026,#199726,#199727); #164707=AXIS2_PLACEMENT_3D('',#248027,#199728,#199729); #164708=AXIS2_PLACEMENT_3D('',#248028,#199730,#199731); #164709=AXIS2_PLACEMENT_3D('',#248037,#199736,#199737); #164710=AXIS2_PLACEMENT_3D('',#248043,#199741,#199742); #164711=AXIS2_PLACEMENT_3D('',#248049,#199746,#199747); #164712=AXIS2_PLACEMENT_3D('',#248055,#199751,#199752); #164713=AXIS2_PLACEMENT_3D('',#248061,#199756,#199757); #164714=AXIS2_PLACEMENT_3D('',#248067,#199761,#199762); #164715=AXIS2_PLACEMENT_3D('',#248073,#199766,#199767); #164716=AXIS2_PLACEMENT_3D('',#248077,#199771,#199772); #164717=AXIS2_PLACEMENT_3D('',#248078,#199773,#199774); #164718=AXIS2_PLACEMENT_3D('',#248087,#199779,#199780); #164719=AXIS2_PLACEMENT_3D('',#248093,#199784,#199785); #164720=AXIS2_PLACEMENT_3D('',#248099,#199789,#199790); #164721=AXIS2_PLACEMENT_3D('',#248105,#199794,#199795); #164722=AXIS2_PLACEMENT_3D('',#248111,#199799,#199800); #164723=AXIS2_PLACEMENT_3D('',#248114,#199803,#199804); #164724=AXIS2_PLACEMENT_3D('',#248120,#199808,#199809); #164725=AXIS2_PLACEMENT_3D('',#248123,#199812,#199813); #164726=AXIS2_PLACEMENT_3D('',#248124,#199814,#199815); #164727=AXIS2_PLACEMENT_3D('',#248133,#199820,#199821); #164728=AXIS2_PLACEMENT_3D('',#248139,#199825,#199826); #164729=AXIS2_PLACEMENT_3D('',#248145,#199830,#199831); #164730=AXIS2_PLACEMENT_3D('',#248148,#199834,#199835); #164731=AXIS2_PLACEMENT_3D('',#248157,#199840,#199841); #164732=AXIS2_PLACEMENT_3D('',#248163,#199845,#199846); #164733=AXIS2_PLACEMENT_3D('',#248169,#199850,#199851); #164734=AXIS2_PLACEMENT_3D('',#248172,#199854,#199855); #164735=AXIS2_PLACEMENT_3D('',#248173,#199856,#199857); #164736=AXIS2_PLACEMENT_3D('',#248182,#199862,#199863); #164737=AXIS2_PLACEMENT_3D('',#248188,#199867,#199868); #164738=AXIS2_PLACEMENT_3D('',#248194,#199872,#199873); #164739=AXIS2_PLACEMENT_3D('',#248197,#199876,#199877); #164740=AXIS2_PLACEMENT_3D('',#248198,#199878,#199879); #164741=AXIS2_PLACEMENT_3D('',#248207,#199884,#199885); #164742=AXIS2_PLACEMENT_3D('',#248213,#199889,#199890); #164743=AXIS2_PLACEMENT_3D('',#248219,#199894,#199895); #164744=AXIS2_PLACEMENT_3D('',#248222,#199898,#199899); #164745=AXIS2_PLACEMENT_3D('',#248223,#199900,#199901); #164746=AXIS2_PLACEMENT_3D('',#248232,#199906,#199907); #164747=AXIS2_PLACEMENT_3D('',#248234,#199908,#199909); #164748=AXIS2_PLACEMENT_3D('',#248237,#199911,#199912); #164749=AXIS2_PLACEMENT_3D('',#248238,#199913,#199914); #164750=AXIS2_PLACEMENT_3D('',#248244,#199918,#199919); #164751=AXIS2_PLACEMENT_3D('',#248250,#199923,#199924); #164752=AXIS2_PLACEMENT_3D('',#248256,#199928,#199929); #164753=AXIS2_PLACEMENT_3D('',#248262,#199933,#199934); #164754=AXIS2_PLACEMENT_3D('',#248268,#199938,#199939); #164755=AXIS2_PLACEMENT_3D('',#248274,#199943,#199944); #164756=AXIS2_PLACEMENT_3D('',#248280,#199948,#199949); #164757=AXIS2_PLACEMENT_3D('',#248286,#199953,#199954); #164758=AXIS2_PLACEMENT_3D('',#248288,#199955,#199956); #164759=AXIS2_PLACEMENT_3D('',#248291,#199958,#199959); #164760=AXIS2_PLACEMENT_3D('',#248292,#199960,#199961); #164761=AXIS2_PLACEMENT_3D('',#248298,#199965,#199966); #164762=AXIS2_PLACEMENT_3D('',#248304,#199970,#199971); #164763=AXIS2_PLACEMENT_3D('',#248306,#199972,#199973); #164764=AXIS2_PLACEMENT_3D('',#248309,#199975,#199976); #164765=AXIS2_PLACEMENT_3D('',#248310,#199977,#199978); #164766=AXIS2_PLACEMENT_3D('',#248312,#199979,#199980); #164767=AXIS2_PLACEMENT_3D('',#248315,#199982,#199983); #164768=AXIS2_PLACEMENT_3D('',#248316,#199984,#199985); #164769=AXIS2_PLACEMENT_3D('',#248322,#199989,#199990); #164770=AXIS2_PLACEMENT_3D('',#248324,#199991,#199992); #164771=AXIS2_PLACEMENT_3D('',#248327,#199994,#199995); #164772=AXIS2_PLACEMENT_3D('',#248328,#199996,#199997); #164773=AXIS2_PLACEMENT_3D('',#248334,#200001,#200002); #164774=AXIS2_PLACEMENT_3D('',#248340,#200006,#200007); #164775=AXIS2_PLACEMENT_3D('',#248346,#200011,#200012); #164776=AXIS2_PLACEMENT_3D('',#248352,#200016,#200017); #164777=AXIS2_PLACEMENT_3D('',#248358,#200021,#200022); #164778=AXIS2_PLACEMENT_3D('',#248364,#200026,#200027); #164779=AXIS2_PLACEMENT_3D('',#248370,#200031,#200032); #164780=AXIS2_PLACEMENT_3D('',#248376,#200036,#200037); #164781=AXIS2_PLACEMENT_3D('',#248378,#200038,#200039); #164782=AXIS2_PLACEMENT_3D('',#248381,#200041,#200042); #164783=AXIS2_PLACEMENT_3D('',#248382,#200043,#200044); #164784=AXIS2_PLACEMENT_3D('',#248388,#200048,#200049); #164785=AXIS2_PLACEMENT_3D('',#248394,#200053,#200054); #164786=AXIS2_PLACEMENT_3D('',#248397,#200057,#200058); #164787=AXIS2_PLACEMENT_3D('',#248398,#200059,#200060); #164788=AXIS2_PLACEMENT_3D('',#248407,#200065,#200066); #164789=AXIS2_PLACEMENT_3D('',#248413,#200070,#200071); #164790=AXIS2_PLACEMENT_3D('',#248419,#200075,#200076); #164791=AXIS2_PLACEMENT_3D('',#248425,#200080,#200081); #164792=AXIS2_PLACEMENT_3D('',#248431,#200085,#200086); #164793=AXIS2_PLACEMENT_3D('',#248437,#200090,#200091); #164794=AXIS2_PLACEMENT_3D('',#248443,#200095,#200096); #164795=AXIS2_PLACEMENT_3D('',#248449,#200100,#200101); #164796=AXIS2_PLACEMENT_3D('',#248451,#200102,#200103); #164797=AXIS2_PLACEMENT_3D('',#248454,#200105,#200106); #164798=AXIS2_PLACEMENT_3D('',#248455,#200107,#200108); #164799=AXIS2_PLACEMENT_3D('',#248457,#200109,#200110); #164800=AXIS2_PLACEMENT_3D('',#248460,#200112,#200113); #164801=AXIS2_PLACEMENT_3D('',#248461,#200114,#200115); #164802=AXIS2_PLACEMENT_3D('',#248467,#200119,#200120); #164803=AXIS2_PLACEMENT_3D('',#248469,#200121,#200122); #164804=AXIS2_PLACEMENT_3D('',#248472,#200124,#200125); #164805=AXIS2_PLACEMENT_3D('',#248473,#200126,#200127); #164806=AXIS2_PLACEMENT_3D('',#248475,#200128,#200129); #164807=AXIS2_PLACEMENT_3D('',#248478,#200131,#200132); #164808=AXIS2_PLACEMENT_3D('',#248479,#200133,#200134); #164809=AXIS2_PLACEMENT_3D('',#248481,#200135,#200136); #164810=AXIS2_PLACEMENT_3D('',#248484,#200138,#200139); #164811=AXIS2_PLACEMENT_3D('',#248485,#200140,#200141); #164812=AXIS2_PLACEMENT_3D('',#248491,#200145,#200146); #164813=AXIS2_PLACEMENT_3D('',#248497,#200150,#200151); #164814=AXIS2_PLACEMENT_3D('',#248503,#200155,#200156); #164815=AXIS2_PLACEMENT_3D('',#248509,#200160,#200161); #164816=AXIS2_PLACEMENT_3D('',#248515,#200165,#200166); #164817=AXIS2_PLACEMENT_3D('',#248517,#200167,#200168); #164818=AXIS2_PLACEMENT_3D('',#248520,#200170,#200171); #164819=AXIS2_PLACEMENT_3D('',#248521,#200172,#200173); #164820=AXIS2_PLACEMENT_3D('',#248527,#200177,#200178); #164821=AXIS2_PLACEMENT_3D('',#248529,#200179,#200180); #164822=AXIS2_PLACEMENT_3D('',#248532,#200182,#200183); #164823=AXIS2_PLACEMENT_3D('',#248533,#200184,#200185); #164824=AXIS2_PLACEMENT_3D('',#248535,#200186,#200187); #164825=AXIS2_PLACEMENT_3D('',#248538,#200189,#200190); #164826=AXIS2_PLACEMENT_3D('',#248539,#200191,#200192); #164827=AXIS2_PLACEMENT_3D('',#248541,#200193,#200194); #164828=AXIS2_PLACEMENT_3D('',#248544,#200196,#200197); #164829=AXIS2_PLACEMENT_3D('',#248545,#200198,#200199); #164830=AXIS2_PLACEMENT_3D('',#248551,#200203,#200204); #164831=AXIS2_PLACEMENT_3D('',#248553,#200205,#200206); #164832=AXIS2_PLACEMENT_3D('',#248556,#200208,#200209); #164833=AXIS2_PLACEMENT_3D('',#248557,#200210,#200211); #164834=AXIS2_PLACEMENT_3D('',#248563,#200215,#200216); #164835=AXIS2_PLACEMENT_3D('',#248569,#200220,#200221); #164836=AXIS2_PLACEMENT_3D('',#248575,#200225,#200226); #164837=AXIS2_PLACEMENT_3D('',#248577,#200227,#200228); #164838=AXIS2_PLACEMENT_3D('',#248580,#200230,#200231); #164839=AXIS2_PLACEMENT_3D('',#248581,#200232,#200233); #164840=AXIS2_PLACEMENT_3D('',#248587,#200237,#200238); #164841=AXIS2_PLACEMENT_3D('',#248593,#200242,#200243); #164842=AXIS2_PLACEMENT_3D('',#248599,#200247,#200248); #164843=AXIS2_PLACEMENT_3D('',#248602,#200251,#200252); #164844=AXIS2_PLACEMENT_3D('',#248603,#200253,#200254); #164845=AXIS2_PLACEMENT_3D('',#248606,#200255,#200256); #164846=AXIS2_PLACEMENT_3D('',#248610,#200258,#200259); #164847=AXIS2_PLACEMENT_3D('',#248612,#200261,#200262); #164848=AXIS2_PLACEMENT_3D('',#248618,#200266,#200267); #164849=AXIS2_PLACEMENT_3D('',#248624,#200271,#200272); #164850=AXIS2_PLACEMENT_3D('',#248630,#200276,#200277); #164851=AXIS2_PLACEMENT_3D('',#248636,#200281,#200282); #164852=AXIS2_PLACEMENT_3D('',#248638,#200283,#200284); #164853=AXIS2_PLACEMENT_3D('',#248641,#200286,#200287); #164854=AXIS2_PLACEMENT_3D('',#248642,#200288,#200289); #164855=AXIS2_PLACEMENT_3D('',#248644,#200290,#200291); #164856=AXIS2_PLACEMENT_3D('',#248647,#200293,#200294); #164857=AXIS2_PLACEMENT_3D('',#248648,#200295,#200296); #164858=AXIS2_PLACEMENT_3D('',#248654,#200300,#200301); #164859=AXIS2_PLACEMENT_3D('',#248660,#200305,#200306); #164860=AXIS2_PLACEMENT_3D('',#248662,#200307,#200308); #164861=AXIS2_PLACEMENT_3D('',#248665,#200310,#200311); #164862=AXIS2_PLACEMENT_3D('',#248666,#200312,#200313); #164863=AXIS2_PLACEMENT_3D('',#248672,#200317,#200318); #164864=AXIS2_PLACEMENT_3D('',#248674,#200319,#200320); #164865=AXIS2_PLACEMENT_3D('',#248677,#200322,#200323); #164866=AXIS2_PLACEMENT_3D('',#248678,#200324,#200325); #164867=AXIS2_PLACEMENT_3D('',#248680,#200326,#200327); #164868=AXIS2_PLACEMENT_3D('',#248683,#200329,#200330); #164869=AXIS2_PLACEMENT_3D('',#248684,#200331,#200332); #164870=AXIS2_PLACEMENT_3D('',#248690,#200336,#200337); #164871=AXIS2_PLACEMENT_3D('',#248696,#200341,#200342); #164872=AXIS2_PLACEMENT_3D('',#248698,#200343,#200344); #164873=AXIS2_PLACEMENT_3D('',#248701,#200346,#200347); #164874=AXIS2_PLACEMENT_3D('',#248702,#200348,#200349); #164875=AXIS2_PLACEMENT_3D('',#248704,#200350,#200351); #164876=AXIS2_PLACEMENT_3D('',#248707,#200353,#200354); #164877=AXIS2_PLACEMENT_3D('',#248708,#200355,#200356); #164878=AXIS2_PLACEMENT_3D('',#248710,#200357,#200358); #164879=AXIS2_PLACEMENT_3D('',#248713,#200360,#200361); #164880=AXIS2_PLACEMENT_3D('',#248714,#200362,#200363); #164881=AXIS2_PLACEMENT_3D('',#248720,#200367,#200368); #164882=AXIS2_PLACEMENT_3D('',#248722,#200369,#200370); #164883=AXIS2_PLACEMENT_3D('',#248725,#200372,#200373); #164884=AXIS2_PLACEMENT_3D('',#248726,#200374,#200375); #164885=AXIS2_PLACEMENT_3D('',#248732,#200379,#200380); #164886=AXIS2_PLACEMENT_3D('',#248738,#200384,#200385); #164887=AXIS2_PLACEMENT_3D('',#248740,#200386,#200387); #164888=AXIS2_PLACEMENT_3D('',#248743,#200389,#200390); #164889=AXIS2_PLACEMENT_3D('',#248744,#200391,#200392); #164890=AXIS2_PLACEMENT_3D('',#248750,#200396,#200397); #164891=AXIS2_PLACEMENT_3D('',#248756,#200401,#200402); #164892=AXIS2_PLACEMENT_3D('',#248758,#200403,#200404); #164893=AXIS2_PLACEMENT_3D('',#248761,#200406,#200407); #164894=AXIS2_PLACEMENT_3D('',#248762,#200408,#200409); #164895=AXIS2_PLACEMENT_3D('',#248768,#200413,#200414); #164896=AXIS2_PLACEMENT_3D('',#248774,#200418,#200419); #164897=AXIS2_PLACEMENT_3D('',#248776,#200420,#200421); #164898=AXIS2_PLACEMENT_3D('',#248779,#200423,#200424); #164899=AXIS2_PLACEMENT_3D('',#248780,#200425,#200426); #164900=AXIS2_PLACEMENT_3D('',#248786,#200430,#200431); #164901=AXIS2_PLACEMENT_3D('',#248792,#200435,#200436); #164902=AXIS2_PLACEMENT_3D('',#248794,#200437,#200438); #164903=AXIS2_PLACEMENT_3D('',#248797,#200440,#200441); #164904=AXIS2_PLACEMENT_3D('',#248798,#200442,#200443); #164905=AXIS2_PLACEMENT_3D('',#248804,#200447,#200448); #164906=AXIS2_PLACEMENT_3D('',#248810,#200452,#200453); #164907=AXIS2_PLACEMENT_3D('',#248812,#200454,#200455); #164908=AXIS2_PLACEMENT_3D('',#248815,#200457,#200458); #164909=AXIS2_PLACEMENT_3D('',#248816,#200459,#200460); #164910=AXIS2_PLACEMENT_3D('',#248818,#200461,#200462); #164911=AXIS2_PLACEMENT_3D('',#248821,#200464,#200465); #164912=AXIS2_PLACEMENT_3D('',#248822,#200466,#200467); #164913=AXIS2_PLACEMENT_3D('',#248828,#200471,#200472); #164914=AXIS2_PLACEMENT_3D('',#248834,#200476,#200477); #164915=AXIS2_PLACEMENT_3D('',#248835,#200478,#200479); #164916=AXIS2_PLACEMENT_3D('',#248836,#200480,#200481); #164917=AXIS2_PLACEMENT_3D('',#248837,#200482,#200483); #164918=AXIS2_PLACEMENT_3D('',#248838,#200484,#200485); #164919=AXIS2_PLACEMENT_3D('',#248841,#200486,#200487); #164920=AXIS2_PLACEMENT_3D('',#248845,#200489,#200490); #164921=AXIS2_PLACEMENT_3D('',#248847,#200492,#200493); #164922=AXIS2_PLACEMENT_3D('',#248849,#200494,#200495); #164923=AXIS2_PLACEMENT_3D('',#248852,#200497,#200498); #164924=AXIS2_PLACEMENT_3D('',#248853,#200499,#200500); #164925=AXIS2_PLACEMENT_3D('',#248855,#200501,#200502); #164926=AXIS2_PLACEMENT_3D('',#248858,#200504,#200505); #164927=AXIS2_PLACEMENT_3D('',#248859,#200506,#200507); #164928=AXIS2_PLACEMENT_3D('',#248865,#200511,#200512); #164929=AXIS2_PLACEMENT_3D('',#248867,#200513,#200514); #164930=AXIS2_PLACEMENT_3D('',#248870,#200516,#200517); #164931=AXIS2_PLACEMENT_3D('',#248871,#200518,#200519); #164932=AXIS2_PLACEMENT_3D('',#248873,#200520,#200521); #164933=AXIS2_PLACEMENT_3D('',#248876,#200523,#200524); #164934=AXIS2_PLACEMENT_3D('',#248877,#200525,#200526); #164935=AXIS2_PLACEMENT_3D('',#248879,#200527,#200528); #164936=AXIS2_PLACEMENT_3D('',#248882,#200530,#200531); #164937=AXIS2_PLACEMENT_3D('',#248883,#200532,#200533); #164938=AXIS2_PLACEMENT_3D('',#248885,#200534,#200535); #164939=AXIS2_PLACEMENT_3D('',#248888,#200537,#200538); #164940=AXIS2_PLACEMENT_3D('',#248889,#200539,#200540); #164941=AXIS2_PLACEMENT_3D('',#248891,#200541,#200542); #164942=AXIS2_PLACEMENT_3D('',#248894,#200544,#200545); #164943=AXIS2_PLACEMENT_3D('',#248895,#200546,#200547); #164944=AXIS2_PLACEMENT_3D('',#248896,#200548,#200549); #164945=AXIS2_PLACEMENT_3D('',#248897,#200550,#200551); #164946=AXIS2_PLACEMENT_3D('',#248898,#200552,#200553); #164947=AXIS2_PLACEMENT_3D('',#248907,#200558,#200559); #164948=AXIS2_PLACEMENT_3D('',#248909,#200560,#200561); #164949=AXIS2_PLACEMENT_3D('',#248912,#200563,#200564); #164950=AXIS2_PLACEMENT_3D('',#248913,#200565,#200566); #164951=AXIS2_PLACEMENT_3D('',#248915,#200567,#200568); #164952=AXIS2_PLACEMENT_3D('',#248918,#200570,#200571); #164953=AXIS2_PLACEMENT_3D('',#248919,#200572,#200573); #164954=AXIS2_PLACEMENT_3D('',#248921,#200574,#200575); #164955=AXIS2_PLACEMENT_3D('',#248924,#200577,#200578); #164956=AXIS2_PLACEMENT_3D('',#248925,#200579,#200580); #164957=AXIS2_PLACEMENT_3D('',#248927,#200581,#200582); #164958=AXIS2_PLACEMENT_3D('',#248930,#200584,#200585); #164959=AXIS2_PLACEMENT_3D('',#248931,#200586,#200587); #164960=AXIS2_PLACEMENT_3D('',#248933,#200588,#200589); #164961=AXIS2_PLACEMENT_3D('',#248936,#200591,#200592); #164962=AXIS2_PLACEMENT_3D('',#248937,#200593,#200594); #164963=AXIS2_PLACEMENT_3D('',#248939,#200595,#200596); #164964=AXIS2_PLACEMENT_3D('',#248942,#200598,#200599); #164965=AXIS2_PLACEMENT_3D('',#248943,#200600,#200601); #164966=AXIS2_PLACEMENT_3D('',#248945,#200602,#200603); #164967=AXIS2_PLACEMENT_3D('',#248948,#200605,#200606); #164968=AXIS2_PLACEMENT_3D('',#248949,#200607,#200608); #164969=AXIS2_PLACEMENT_3D('',#248951,#200609,#200610); #164970=AXIS2_PLACEMENT_3D('',#248954,#200612,#200613); #164971=AXIS2_PLACEMENT_3D('',#248955,#200614,#200615); #164972=AXIS2_PLACEMENT_3D('',#248957,#200616,#200617); #164973=AXIS2_PLACEMENT_3D('',#248960,#200619,#200620); #164974=AXIS2_PLACEMENT_3D('',#248961,#200621,#200622); #164975=AXIS2_PLACEMENT_3D('',#248964,#200625,#200626); #164976=AXIS2_PLACEMENT_3D('',#248965,#200627,#200628); #164977=AXIS2_PLACEMENT_3D('',#248974,#200633,#200634); #164978=AXIS2_PLACEMENT_3D('',#248976,#200635,#200636); #164979=AXIS2_PLACEMENT_3D('',#248979,#200638,#200639); #164980=AXIS2_PLACEMENT_3D('',#248980,#200640,#200641); #164981=AXIS2_PLACEMENT_3D('',#248982,#200642,#200643); #164982=AXIS2_PLACEMENT_3D('',#248985,#200645,#200646); #164983=AXIS2_PLACEMENT_3D('',#248986,#200647,#200648); #164984=AXIS2_PLACEMENT_3D('',#248992,#200652,#200653); #164985=AXIS2_PLACEMENT_3D('',#248994,#200654,#200655); #164986=AXIS2_PLACEMENT_3D('',#248997,#200657,#200658); #164987=AXIS2_PLACEMENT_3D('',#248998,#200659,#200660); #164988=AXIS2_PLACEMENT_3D('',#249000,#200661,#200662); #164989=AXIS2_PLACEMENT_3D('',#249003,#200664,#200665); #164990=AXIS2_PLACEMENT_3D('',#249004,#200666,#200667); #164991=AXIS2_PLACEMENT_3D('',#249010,#200671,#200672); #164992=AXIS2_PLACEMENT_3D('',#249012,#200673,#200674); #164993=AXIS2_PLACEMENT_3D('',#249015,#200676,#200677); #164994=AXIS2_PLACEMENT_3D('',#249016,#200678,#200679); #164995=AXIS2_PLACEMENT_3D('',#249022,#200683,#200684); #164996=AXIS2_PLACEMENT_3D('',#249028,#200688,#200689); #164997=AXIS2_PLACEMENT_3D('',#249034,#200693,#200694); #164998=AXIS2_PLACEMENT_3D('',#249036,#200695,#200696); #164999=AXIS2_PLACEMENT_3D('',#249039,#200698,#200699); #165000=AXIS2_PLACEMENT_3D('',#249040,#200700,#200701); #165001=AXIS2_PLACEMENT_3D('',#249046,#200705,#200706); #165002=AXIS2_PLACEMENT_3D('',#249052,#200710,#200711); #165003=AXIS2_PLACEMENT_3D('',#249058,#200715,#200716); #165004=AXIS2_PLACEMENT_3D('',#249064,#200720,#200721); #165005=AXIS2_PLACEMENT_3D('',#249066,#200722,#200723); #165006=AXIS2_PLACEMENT_3D('',#249069,#200725,#200726); #165007=AXIS2_PLACEMENT_3D('',#249070,#200727,#200728); #165008=AXIS2_PLACEMENT_3D('',#249076,#200732,#200733); #165009=AXIS2_PLACEMENT_3D('',#249082,#200737,#200738); #165010=AXIS2_PLACEMENT_3D('',#249084,#200739,#200740); #165011=AXIS2_PLACEMENT_3D('',#249087,#200742,#200743); #165012=AXIS2_PLACEMENT_3D('',#249088,#200744,#200745); #165013=AXIS2_PLACEMENT_3D('',#249090,#200746,#200747); #165014=AXIS2_PLACEMENT_3D('',#249093,#200749,#200750); #165015=AXIS2_PLACEMENT_3D('',#249094,#200751,#200752); #165016=AXIS2_PLACEMENT_3D('',#249100,#200756,#200757); #165017=AXIS2_PLACEMENT_3D('',#249106,#200761,#200762); #165018=AXIS2_PLACEMENT_3D('',#249112,#200766,#200767); #165019=AXIS2_PLACEMENT_3D('',#249118,#200771,#200772); #165020=AXIS2_PLACEMENT_3D('',#249120,#200773,#200774); #165021=AXIS2_PLACEMENT_3D('',#249123,#200776,#200777); #165022=AXIS2_PLACEMENT_3D('',#249124,#200778,#200779); #165023=AXIS2_PLACEMENT_3D('',#249126,#200780,#200781); #165024=AXIS2_PLACEMENT_3D('',#249129,#200783,#200784); #165025=AXIS2_PLACEMENT_3D('',#249130,#200785,#200786); #165026=AXIS2_PLACEMENT_3D('',#249132,#200787,#200788); #165027=AXIS2_PLACEMENT_3D('',#249135,#200790,#200791); #165028=AXIS2_PLACEMENT_3D('',#249136,#200792,#200793); #165029=AXIS2_PLACEMENT_3D('',#249142,#200797,#200798); #165030=AXIS2_PLACEMENT_3D('',#249144,#200799,#200800); #165031=AXIS2_PLACEMENT_3D('',#249147,#200802,#200803); #165032=AXIS2_PLACEMENT_3D('',#249148,#200804,#200805); #165033=AXIS2_PLACEMENT_3D('',#249150,#200806,#200807); #165034=AXIS2_PLACEMENT_3D('',#249153,#200809,#200810); #165035=AXIS2_PLACEMENT_3D('',#249154,#200811,#200812); #165036=AXIS2_PLACEMENT_3D('',#249156,#200813,#200814); #165037=AXIS2_PLACEMENT_3D('',#249159,#200816,#200817); #165038=AXIS2_PLACEMENT_3D('',#249160,#200818,#200819); #165039=AXIS2_PLACEMENT_3D('',#249166,#200823,#200824); #165040=AXIS2_PLACEMENT_3D('',#249172,#200828,#200829); #165041=AXIS2_PLACEMENT_3D('',#249178,#200833,#200834); #165042=AXIS2_PLACEMENT_3D('',#249184,#200838,#200839); #165043=AXIS2_PLACEMENT_3D('',#249190,#200843,#200844); #165044=AXIS2_PLACEMENT_3D('',#249196,#200848,#200849); #165045=AXIS2_PLACEMENT_3D('',#249202,#200853,#200854); #165046=AXIS2_PLACEMENT_3D('',#249208,#200858,#200859); #165047=AXIS2_PLACEMENT_3D('',#249214,#200863,#200864); #165048=AXIS2_PLACEMENT_3D('',#249220,#200868,#200869); #165049=AXIS2_PLACEMENT_3D('',#249222,#200870,#200871); #165050=AXIS2_PLACEMENT_3D('',#249225,#200873,#200874); #165051=AXIS2_PLACEMENT_3D('',#249226,#200875,#200876); #165052=AXIS2_PLACEMENT_3D('',#249232,#200880,#200881); #165053=AXIS2_PLACEMENT_3D('',#249238,#200885,#200886); #165054=AXIS2_PLACEMENT_3D('',#249244,#200890,#200891); #165055=AXIS2_PLACEMENT_3D('',#249245,#200892,#200893); #165056=AXIS2_PLACEMENT_3D('',#249246,#200894,#200895); #165057=AXIS2_PLACEMENT_3D('',#249247,#200896,#200897); #165058=AXIS2_PLACEMENT_3D('',#249248,#200898,#200899); #165059=AXIS2_PLACEMENT_3D('',#249257,#200904,#200905); #165060=AXIS2_PLACEMENT_3D('',#249263,#200909,#200910); #165061=AXIS2_PLACEMENT_3D('',#249269,#200914,#200915); #165062=AXIS2_PLACEMENT_3D('',#249271,#200916,#200917); #165063=AXIS2_PLACEMENT_3D('',#249274,#200919,#200920); #165064=AXIS2_PLACEMENT_3D('',#249275,#200921,#200922); #165065=AXIS2_PLACEMENT_3D('',#249277,#200923,#200924); #165066=AXIS2_PLACEMENT_3D('',#249280,#200926,#200927); #165067=AXIS2_PLACEMENT_3D('',#249281,#200928,#200929); #165068=AXIS2_PLACEMENT_3D('',#249283,#200930,#200931); #165069=AXIS2_PLACEMENT_3D('',#249286,#200933,#200934); #165070=AXIS2_PLACEMENT_3D('',#249287,#200935,#200936); #165071=AXIS2_PLACEMENT_3D('',#249289,#200937,#200938); #165072=AXIS2_PLACEMENT_3D('',#249292,#200940,#200941); #165073=AXIS2_PLACEMENT_3D('',#249293,#200942,#200943); #165074=AXIS2_PLACEMENT_3D('',#249299,#200947,#200948); #165075=AXIS2_PLACEMENT_3D('',#249305,#200952,#200953); #165076=AXIS2_PLACEMENT_3D('',#249311,#200957,#200958); #165077=AXIS2_PLACEMENT_3D('',#249313,#200959,#200960); #165078=AXIS2_PLACEMENT_3D('',#249316,#200962,#200963); #165079=AXIS2_PLACEMENT_3D('',#249317,#200964,#200965); #165080=AXIS2_PLACEMENT_3D('',#249319,#200966,#200967); #165081=AXIS2_PLACEMENT_3D('',#249322,#200969,#200970); #165082=AXIS2_PLACEMENT_3D('',#249323,#200971,#200972); #165083=AXIS2_PLACEMENT_3D('',#249325,#200973,#200974); #165084=AXIS2_PLACEMENT_3D('',#249328,#200976,#200977); #165085=AXIS2_PLACEMENT_3D('',#249329,#200978,#200979); #165086=AXIS2_PLACEMENT_3D('',#249331,#200980,#200981); #165087=AXIS2_PLACEMENT_3D('',#249334,#200983,#200984); #165088=AXIS2_PLACEMENT_3D('',#249335,#200985,#200986); #165089=AXIS2_PLACEMENT_3D('',#249341,#200990,#200991); #165090=AXIS2_PLACEMENT_3D('',#249347,#200995,#200996); #165091=AXIS2_PLACEMENT_3D('',#249353,#201000,#201001); #165092=AXIS2_PLACEMENT_3D('',#249359,#201005,#201006); #165093=AXIS2_PLACEMENT_3D('',#249361,#201007,#201008); #165094=AXIS2_PLACEMENT_3D('',#249364,#201010,#201011); #165095=AXIS2_PLACEMENT_3D('',#249365,#201012,#201013); #165096=AXIS2_PLACEMENT_3D('',#249367,#201014,#201015); #165097=AXIS2_PLACEMENT_3D('',#249370,#201017,#201018); #165098=AXIS2_PLACEMENT_3D('',#249371,#201019,#201020); #165099=AXIS2_PLACEMENT_3D('',#249373,#201021,#201022); #165100=AXIS2_PLACEMENT_3D('',#249376,#201024,#201025); #165101=AXIS2_PLACEMENT_3D('',#249377,#201026,#201027); #165102=AXIS2_PLACEMENT_3D('',#249379,#201028,#201029); #165103=AXIS2_PLACEMENT_3D('',#249382,#201031,#201032); #165104=AXIS2_PLACEMENT_3D('',#249383,#201033,#201034); #165105=AXIS2_PLACEMENT_3D('',#249389,#201038,#201039); #165106=AXIS2_PLACEMENT_3D('',#249391,#201040,#201041); #165107=AXIS2_PLACEMENT_3D('',#249394,#201043,#201044); #165108=AXIS2_PLACEMENT_3D('',#249395,#201045,#201046); #165109=AXIS2_PLACEMENT_3D('',#249397,#201047,#201048); #165110=AXIS2_PLACEMENT_3D('',#249400,#201050,#201051); #165111=AXIS2_PLACEMENT_3D('',#249401,#201052,#201053); #165112=AXIS2_PLACEMENT_3D('',#249403,#201054,#201055); #165113=AXIS2_PLACEMENT_3D('',#249406,#201057,#201058); #165114=AXIS2_PLACEMENT_3D('',#249407,#201059,#201060); #165115=AXIS2_PLACEMENT_3D('',#249409,#201061,#201062); #165116=AXIS2_PLACEMENT_3D('',#249412,#201064,#201065); #165117=AXIS2_PLACEMENT_3D('',#249413,#201066,#201067); #165118=AXIS2_PLACEMENT_3D('',#249416,#201070,#201071); #165119=AXIS2_PLACEMENT_3D('',#249417,#201072,#201073); #165120=AXIS2_PLACEMENT_3D('',#249420,#201074,#201075); #165121=AXIS2_PLACEMENT_3D('',#249424,#201077,#201078); #165122=AXIS2_PLACEMENT_3D('',#249426,#201080,#201081); #165123=AXIS2_PLACEMENT_3D('',#249432,#201085,#201086); #165124=AXIS2_PLACEMENT_3D('',#249434,#201087,#201088); #165125=AXIS2_PLACEMENT_3D('',#249437,#201090,#201091); #165126=AXIS2_PLACEMENT_3D('',#249438,#201092,#201093); #165127=AXIS2_PLACEMENT_3D('',#249440,#201094,#201095); #165128=AXIS2_PLACEMENT_3D('',#249443,#201097,#201098); #165129=AXIS2_PLACEMENT_3D('',#249444,#201099,#201100); #165130=AXIS2_PLACEMENT_3D('',#249446,#201101,#201102); #165131=AXIS2_PLACEMENT_3D('',#249449,#201104,#201105); #165132=AXIS2_PLACEMENT_3D('',#249450,#201106,#201107); #165133=AXIS2_PLACEMENT_3D('',#249452,#201108,#201109); #165134=AXIS2_PLACEMENT_3D('',#249455,#201111,#201112); #165135=AXIS2_PLACEMENT_3D('',#249456,#201113,#201114); #165136=AXIS2_PLACEMENT_3D('',#249462,#201118,#201119); #165137=AXIS2_PLACEMENT_3D('',#249468,#201123,#201124); #165138=AXIS2_PLACEMENT_3D('',#249474,#201128,#201129); #165139=AXIS2_PLACEMENT_3D('',#249476,#201130,#201131); #165140=AXIS2_PLACEMENT_3D('',#249479,#201133,#201134); #165141=AXIS2_PLACEMENT_3D('',#249480,#201135,#201136); #165142=AXIS2_PLACEMENT_3D('',#249482,#201137,#201138); #165143=AXIS2_PLACEMENT_3D('',#249485,#201140,#201141); #165144=AXIS2_PLACEMENT_3D('',#249486,#201142,#201143); #165145=AXIS2_PLACEMENT_3D('',#249488,#201144,#201145); #165146=AXIS2_PLACEMENT_3D('',#249491,#201147,#201148); #165147=AXIS2_PLACEMENT_3D('',#249492,#201149,#201150); #165148=AXIS2_PLACEMENT_3D('',#249494,#201151,#201152); #165149=AXIS2_PLACEMENT_3D('',#249497,#201154,#201155); #165150=AXIS2_PLACEMENT_3D('',#249498,#201156,#201157); #165151=AXIS2_PLACEMENT_3D('',#249504,#201161,#201162); #165152=AXIS2_PLACEMENT_3D('',#249506,#201163,#201164); #165153=AXIS2_PLACEMENT_3D('',#249509,#201166,#201167); #165154=AXIS2_PLACEMENT_3D('',#249510,#201168,#201169); #165155=AXIS2_PLACEMENT_3D('',#249512,#201170,#201171); #165156=AXIS2_PLACEMENT_3D('',#249515,#201173,#201174); #165157=AXIS2_PLACEMENT_3D('',#249516,#201175,#201176); #165158=AXIS2_PLACEMENT_3D('',#249518,#201177,#201178); #165159=AXIS2_PLACEMENT_3D('',#249521,#201180,#201181); #165160=AXIS2_PLACEMENT_3D('',#249522,#201182,#201183); #165161=AXIS2_PLACEMENT_3D('',#249524,#201184,#201185); #165162=AXIS2_PLACEMENT_3D('',#249527,#201187,#201188); #165163=AXIS2_PLACEMENT_3D('',#249528,#201189,#201190); #165164=AXIS2_PLACEMENT_3D('',#249534,#201194,#201195); #165165=AXIS2_PLACEMENT_3D('',#249540,#201199,#201200); #165166=AXIS2_PLACEMENT_3D('',#249546,#201204,#201205); #165167=AXIS2_PLACEMENT_3D('',#249552,#201209,#201210); #165168=AXIS2_PLACEMENT_3D('',#249554,#201211,#201212); #165169=AXIS2_PLACEMENT_3D('',#249557,#201214,#201215); #165170=AXIS2_PLACEMENT_3D('',#249558,#201216,#201217); #165171=AXIS2_PLACEMENT_3D('',#249560,#201218,#201219); #165172=AXIS2_PLACEMENT_3D('',#249563,#201221,#201222); #165173=AXIS2_PLACEMENT_3D('',#249564,#201223,#201224); #165174=AXIS2_PLACEMENT_3D('',#249566,#201225,#201226); #165175=AXIS2_PLACEMENT_3D('',#249569,#201228,#201229); #165176=AXIS2_PLACEMENT_3D('',#249570,#201230,#201231); #165177=AXIS2_PLACEMENT_3D('',#249572,#201232,#201233); #165178=AXIS2_PLACEMENT_3D('',#249575,#201235,#201236); #165179=AXIS2_PLACEMENT_3D('',#249576,#201237,#201238); #165180=AXIS2_PLACEMENT_3D('',#249582,#201242,#201243); #165181=AXIS2_PLACEMENT_3D('',#249588,#201247,#201248); #165182=AXIS2_PLACEMENT_3D('',#249590,#201249,#201250); #165183=AXIS2_PLACEMENT_3D('',#249593,#201252,#201253); #165184=AXIS2_PLACEMENT_3D('',#249594,#201254,#201255); #165185=AXIS2_PLACEMENT_3D('',#249596,#201256,#201257); #165186=AXIS2_PLACEMENT_3D('',#249599,#201259,#201260); #165187=AXIS2_PLACEMENT_3D('',#249600,#201261,#201262); #165188=AXIS2_PLACEMENT_3D('',#249602,#201263,#201264); #165189=AXIS2_PLACEMENT_3D('',#249605,#201266,#201267); #165190=AXIS2_PLACEMENT_3D('',#249606,#201268,#201269); #165191=AXIS2_PLACEMENT_3D('',#249608,#201270,#201271); #165192=AXIS2_PLACEMENT_3D('',#249611,#201273,#201274); #165193=AXIS2_PLACEMENT_3D('',#249612,#201275,#201276); #165194=AXIS2_PLACEMENT_3D('',#249618,#201280,#201281); #165195=AXIS2_PLACEMENT_3D('',#249624,#201285,#201286); #165196=AXIS2_PLACEMENT_3D('',#249630,#201290,#201291); #165197=AXIS2_PLACEMENT_3D('',#249632,#201292,#201293); #165198=AXIS2_PLACEMENT_3D('',#249635,#201295,#201296); #165199=AXIS2_PLACEMENT_3D('',#249636,#201297,#201298); #165200=AXIS2_PLACEMENT_3D('',#249638,#201299,#201300); #165201=AXIS2_PLACEMENT_3D('',#249641,#201302,#201303); #165202=AXIS2_PLACEMENT_3D('',#249642,#201304,#201305); #165203=AXIS2_PLACEMENT_3D('',#249643,#201306,#201307); #165204=AXIS2_PLACEMENT_3D('',#249644,#201308,#201309); #165205=AXIS2_PLACEMENT_3D('',#249645,#201310,#201311); #165206=AXIS2_PLACEMENT_3D('',#249646,#201312,#201313); #165207=AXIS2_PLACEMENT_3D('',#249649,#201314,#201315); #165208=AXIS2_PLACEMENT_3D('',#249653,#201317,#201318); #165209=AXIS2_PLACEMENT_3D('',#249655,#201320,#201321); #165210=AXIS2_PLACEMENT_3D('',#249657,#201322,#201323); #165211=AXIS2_PLACEMENT_3D('',#249660,#201325,#201326); #165212=AXIS2_PLACEMENT_3D('',#249661,#201327,#201328); #165213=AXIS2_PLACEMENT_3D('',#249663,#201329,#201330); #165214=AXIS2_PLACEMENT_3D('',#249666,#201332,#201333); #165215=AXIS2_PLACEMENT_3D('',#249667,#201334,#201335); #165216=AXIS2_PLACEMENT_3D('',#249669,#201336,#201337); #165217=AXIS2_PLACEMENT_3D('',#249672,#201339,#201340); #165218=AXIS2_PLACEMENT_3D('',#249673,#201341,#201342); #165219=AXIS2_PLACEMENT_3D('',#249679,#201346,#201347); #165220=AXIS2_PLACEMENT_3D('',#249681,#201348,#201349); #165221=AXIS2_PLACEMENT_3D('',#249684,#201351,#201352); #165222=AXIS2_PLACEMENT_3D('',#249685,#201353,#201354); #165223=AXIS2_PLACEMENT_3D('',#249687,#201355,#201356); #165224=AXIS2_PLACEMENT_3D('',#249690,#201358,#201359); #165225=AXIS2_PLACEMENT_3D('',#249691,#201360,#201361); #165226=AXIS2_PLACEMENT_3D('',#249693,#201362,#201363); #165227=AXIS2_PLACEMENT_3D('',#249696,#201365,#201366); #165228=AXIS2_PLACEMENT_3D('',#249697,#201367,#201368); #165229=AXIS2_PLACEMENT_3D('',#249699,#201369,#201370); #165230=AXIS2_PLACEMENT_3D('',#249702,#201372,#201373); #165231=AXIS2_PLACEMENT_3D('',#249703,#201374,#201375); #165232=AXIS2_PLACEMENT_3D('',#249706,#201378,#201379); #165233=AXIS2_PLACEMENT_3D('',#249707,#201380,#201381); #165234=AXIS2_PLACEMENT_3D('',#249716,#201386,#201387); #165235=AXIS2_PLACEMENT_3D('',#249722,#201391,#201392); #165236=AXIS2_PLACEMENT_3D('',#249728,#201396,#201397); #165237=AXIS2_PLACEMENT_3D('',#249734,#201401,#201402); #165238=AXIS2_PLACEMENT_3D('',#249736,#201403,#201404); #165239=AXIS2_PLACEMENT_3D('',#249739,#201406,#201407); #165240=AXIS2_PLACEMENT_3D('',#249740,#201408,#201409); #165241=AXIS2_PLACEMENT_3D('',#249742,#201410,#201411); #165242=AXIS2_PLACEMENT_3D('',#249745,#201413,#201414); #165243=AXIS2_PLACEMENT_3D('',#249746,#201415,#201416); #165244=AXIS2_PLACEMENT_3D('',#249748,#201417,#201418); #165245=AXIS2_PLACEMENT_3D('',#249751,#201420,#201421); #165246=AXIS2_PLACEMENT_3D('',#249752,#201422,#201423); #165247=AXIS2_PLACEMENT_3D('',#249754,#201424,#201425); #165248=AXIS2_PLACEMENT_3D('',#249757,#201427,#201428); #165249=AXIS2_PLACEMENT_3D('',#249758,#201429,#201430); #165250=AXIS2_PLACEMENT_3D('',#249760,#201431,#201432); #165251=AXIS2_PLACEMENT_3D('',#249763,#201434,#201435); #165252=AXIS2_PLACEMENT_3D('',#249764,#201436,#201437); #165253=AXIS2_PLACEMENT_3D('',#249766,#201438,#201439); #165254=AXIS2_PLACEMENT_3D('',#249769,#201441,#201442); #165255=AXIS2_PLACEMENT_3D('',#249770,#201443,#201444); #165256=AXIS2_PLACEMENT_3D('',#249776,#201448,#201449); #165257=AXIS2_PLACEMENT_3D('',#249778,#201450,#201451); #165258=AXIS2_PLACEMENT_3D('',#249781,#201453,#201454); #165259=AXIS2_PLACEMENT_3D('',#249782,#201455,#201456); #165260=AXIS2_PLACEMENT_3D('',#249784,#201457,#201458); #165261=AXIS2_PLACEMENT_3D('',#249787,#201460,#201461); #165262=AXIS2_PLACEMENT_3D('',#249788,#201462,#201463); #165263=AXIS2_PLACEMENT_3D('',#249790,#201464,#201465); #165264=AXIS2_PLACEMENT_3D('',#249793,#201467,#201468); #165265=AXIS2_PLACEMENT_3D('',#249794,#201469,#201470); #165266=AXIS2_PLACEMENT_3D('',#249796,#201471,#201472); #165267=AXIS2_PLACEMENT_3D('',#249799,#201474,#201475); #165268=AXIS2_PLACEMENT_3D('',#249800,#201476,#201477); #165269=AXIS2_PLACEMENT_3D('',#249802,#201478,#201479); #165270=AXIS2_PLACEMENT_3D('',#249805,#201481,#201482); #165271=AXIS2_PLACEMENT_3D('',#249806,#201483,#201484); #165272=AXIS2_PLACEMENT_3D('',#249808,#201485,#201486); #165273=AXIS2_PLACEMENT_3D('',#249811,#201488,#201489); #165274=AXIS2_PLACEMENT_3D('',#249812,#201490,#201491); #165275=AXIS2_PLACEMENT_3D('',#249818,#201495,#201496); #165276=AXIS2_PLACEMENT_3D('',#249824,#201500,#201501); #165277=AXIS2_PLACEMENT_3D('',#249830,#201505,#201506); #165278=AXIS2_PLACEMENT_3D('',#249836,#201510,#201511); #165279=AXIS2_PLACEMENT_3D('',#249842,#201515,#201516); #165280=AXIS2_PLACEMENT_3D('',#249844,#201517,#201518); #165281=AXIS2_PLACEMENT_3D('',#249847,#201520,#201521); #165282=AXIS2_PLACEMENT_3D('',#249848,#201522,#201523); #165283=AXIS2_PLACEMENT_3D('',#249850,#201524,#201525); #165284=AXIS2_PLACEMENT_3D('',#249853,#201527,#201528); #165285=AXIS2_PLACEMENT_3D('',#249854,#201529,#201530); #165286=AXIS2_PLACEMENT_3D('',#249856,#201531,#201532); #165287=AXIS2_PLACEMENT_3D('',#249859,#201534,#201535); #165288=AXIS2_PLACEMENT_3D('',#249860,#201536,#201537); #165289=AXIS2_PLACEMENT_3D('',#249862,#201538,#201539); #165290=AXIS2_PLACEMENT_3D('',#249865,#201541,#201542); #165291=AXIS2_PLACEMENT_3D('',#249866,#201543,#201544); #165292=AXIS2_PLACEMENT_3D('',#249868,#201545,#201546); #165293=AXIS2_PLACEMENT_3D('',#249871,#201548,#201549); #165294=AXIS2_PLACEMENT_3D('',#249872,#201550,#201551); #165295=AXIS2_PLACEMENT_3D('',#249874,#201552,#201553); #165296=AXIS2_PLACEMENT_3D('',#249877,#201555,#201556); #165297=AXIS2_PLACEMENT_3D('',#249878,#201557,#201558); #165298=AXIS2_PLACEMENT_3D('',#249884,#201562,#201563); #165299=AXIS2_PLACEMENT_3D('',#249886,#201564,#201565); #165300=AXIS2_PLACEMENT_3D('',#249889,#201567,#201568); #165301=AXIS2_PLACEMENT_3D('',#249890,#201569,#201570); #165302=AXIS2_PLACEMENT_3D('',#249892,#201571,#201572); #165303=AXIS2_PLACEMENT_3D('',#249895,#201574,#201575); #165304=AXIS2_PLACEMENT_3D('',#249896,#201576,#201577); #165305=AXIS2_PLACEMENT_3D('',#249898,#201578,#201579); #165306=AXIS2_PLACEMENT_3D('',#249901,#201581,#201582); #165307=AXIS2_PLACEMENT_3D('',#249902,#201583,#201584); #165308=AXIS2_PLACEMENT_3D('',#249904,#201585,#201586); #165309=AXIS2_PLACEMENT_3D('',#249907,#201588,#201589); #165310=AXIS2_PLACEMENT_3D('',#249908,#201590,#201591); #165311=AXIS2_PLACEMENT_3D('',#249910,#201592,#201593); #165312=AXIS2_PLACEMENT_3D('',#249913,#201595,#201596); #165313=AXIS2_PLACEMENT_3D('',#249914,#201597,#201598); #165314=AXIS2_PLACEMENT_3D('',#249916,#201599,#201600); #165315=AXIS2_PLACEMENT_3D('',#249919,#201602,#201603); #165316=AXIS2_PLACEMENT_3D('',#249920,#201604,#201605); #165317=AXIS2_PLACEMENT_3D('',#249923,#201608,#201609); #165318=AXIS2_PLACEMENT_3D('',#249924,#201610,#201611); #165319=AXIS2_PLACEMENT_3D('',#249927,#201612,#201613); #165320=AXIS2_PLACEMENT_3D('',#249931,#201615,#201616); #165321=AXIS2_PLACEMENT_3D('',#249933,#201618,#201619); #165322=AXIS2_PLACEMENT_3D('',#249939,#201623,#201624); #165323=AXIS2_PLACEMENT_3D('',#249941,#201625,#201626); #165324=AXIS2_PLACEMENT_3D('',#249944,#201628,#201629); #165325=AXIS2_PLACEMENT_3D('',#249945,#201630,#201631); #165326=AXIS2_PLACEMENT_3D('',#249947,#201632,#201633); #165327=AXIS2_PLACEMENT_3D('',#249950,#201635,#201636); #165328=AXIS2_PLACEMENT_3D('',#249951,#201637,#201638); #165329=AXIS2_PLACEMENT_3D('',#249953,#201639,#201640); #165330=AXIS2_PLACEMENT_3D('',#249956,#201642,#201643); #165331=AXIS2_PLACEMENT_3D('',#249957,#201644,#201645); #165332=AXIS2_PLACEMENT_3D('',#249959,#201646,#201647); #165333=AXIS2_PLACEMENT_3D('',#249962,#201649,#201650); #165334=AXIS2_PLACEMENT_3D('',#249963,#201651,#201652); #165335=AXIS2_PLACEMENT_3D('',#249965,#201653,#201654); #165336=AXIS2_PLACEMENT_3D('',#249968,#201656,#201657); #165337=AXIS2_PLACEMENT_3D('',#249969,#201658,#201659); #165338=AXIS2_PLACEMENT_3D('',#249971,#201660,#201661); #165339=AXIS2_PLACEMENT_3D('',#249974,#201663,#201664); #165340=AXIS2_PLACEMENT_3D('',#249975,#201665,#201666); #165341=AXIS2_PLACEMENT_3D('',#249981,#201670,#201671); #165342=AXIS2_PLACEMENT_3D('',#249983,#201672,#201673); #165343=AXIS2_PLACEMENT_3D('',#249986,#201675,#201676); #165344=AXIS2_PLACEMENT_3D('',#249987,#201677,#201678); #165345=AXIS2_PLACEMENT_3D('',#249989,#201679,#201680); #165346=AXIS2_PLACEMENT_3D('',#249992,#201682,#201683); #165347=AXIS2_PLACEMENT_3D('',#249993,#201684,#201685); #165348=AXIS2_PLACEMENT_3D('',#249995,#201686,#201687); #165349=AXIS2_PLACEMENT_3D('',#249998,#201689,#201690); #165350=AXIS2_PLACEMENT_3D('',#249999,#201691,#201692); #165351=AXIS2_PLACEMENT_3D('',#250001,#201693,#201694); #165352=AXIS2_PLACEMENT_3D('',#250004,#201696,#201697); #165353=AXIS2_PLACEMENT_3D('',#250005,#201698,#201699); #165354=AXIS2_PLACEMENT_3D('',#250006,#201700,#201701); #165355=AXIS2_PLACEMENT_3D('',#250007,#201702,#201703); #165356=AXIS2_PLACEMENT_3D('',#250008,#201704,#201705); #165357=AXIS2_PLACEMENT_3D('',#250011,#201706,#201707); #165358=AXIS2_PLACEMENT_3D('',#250015,#201709,#201710); #165359=AXIS2_PLACEMENT_3D('',#250017,#201712,#201713); #165360=AXIS2_PLACEMENT_3D('',#250023,#201717,#201718); #165361=AXIS2_PLACEMENT_3D('',#250025,#201719,#201720); #165362=AXIS2_PLACEMENT_3D('',#250028,#201722,#201723); #165363=AXIS2_PLACEMENT_3D('',#250029,#201724,#201725); #165364=AXIS2_PLACEMENT_3D('',#250031,#201726,#201727); #165365=AXIS2_PLACEMENT_3D('',#250034,#201729,#201730); #165366=AXIS2_PLACEMENT_3D('',#250035,#201731,#201732); #165367=AXIS2_PLACEMENT_3D('',#250037,#201733,#201734); #165368=AXIS2_PLACEMENT_3D('',#250040,#201736,#201737); #165369=AXIS2_PLACEMENT_3D('',#250041,#201738,#201739); #165370=AXIS2_PLACEMENT_3D('',#250043,#201740,#201741); #165371=AXIS2_PLACEMENT_3D('',#250046,#201743,#201744); #165372=AXIS2_PLACEMENT_3D('',#250047,#201745,#201746); #165373=AXIS2_PLACEMENT_3D('',#250049,#201747,#201748); #165374=AXIS2_PLACEMENT_3D('',#250052,#201750,#201751); #165375=AXIS2_PLACEMENT_3D('',#250053,#201752,#201753); #165376=AXIS2_PLACEMENT_3D('',#250055,#201754,#201755); #165377=AXIS2_PLACEMENT_3D('',#250058,#201757,#201758); #165378=AXIS2_PLACEMENT_3D('',#250059,#201759,#201760); #165379=AXIS2_PLACEMENT_3D('',#250061,#201761,#201762); #165380=AXIS2_PLACEMENT_3D('',#250064,#201764,#201765); #165381=AXIS2_PLACEMENT_3D('',#250065,#201766,#201767); #165382=AXIS2_PLACEMENT_3D('',#250071,#201771,#201772); #165383=AXIS2_PLACEMENT_3D('',#250073,#201773,#201774); #165384=AXIS2_PLACEMENT_3D('',#250076,#201776,#201777); #165385=AXIS2_PLACEMENT_3D('',#250077,#201778,#201779); #165386=AXIS2_PLACEMENT_3D('',#250079,#201780,#201781); #165387=AXIS2_PLACEMENT_3D('',#250082,#201783,#201784); #165388=AXIS2_PLACEMENT_3D('',#250083,#201785,#201786); #165389=AXIS2_PLACEMENT_3D('',#250085,#201787,#201788); #165390=AXIS2_PLACEMENT_3D('',#250088,#201790,#201791); #165391=AXIS2_PLACEMENT_3D('',#250089,#201792,#201793); #165392=AXIS2_PLACEMENT_3D('',#250091,#201794,#201795); #165393=AXIS2_PLACEMENT_3D('',#250094,#201797,#201798); #165394=AXIS2_PLACEMENT_3D('',#250095,#201799,#201800); #165395=AXIS2_PLACEMENT_3D('',#250097,#201801,#201802); #165396=AXIS2_PLACEMENT_3D('',#250100,#201804,#201805); #165397=AXIS2_PLACEMENT_3D('',#250101,#201806,#201807); #165398=AXIS2_PLACEMENT_3D('',#250102,#201808,#201809); #165399=AXIS2_PLACEMENT_3D('',#250103,#201810,#201811); #165400=AXIS2_PLACEMENT_3D('',#250104,#201812,#201813); #165401=AXIS2_PLACEMENT_3D('',#250105,#201814,#201815); #165402=AXIS2_PLACEMENT_3D('',#250108,#201816,#201817); #165403=AXIS2_PLACEMENT_3D('',#250112,#201819,#201820); #165404=AXIS2_PLACEMENT_3D('',#250114,#201822,#201823); #165405=AXIS2_PLACEMENT_3D('',#250116,#201824,#201825); #165406=AXIS2_PLACEMENT_3D('',#250119,#201827,#201828); #165407=AXIS2_PLACEMENT_3D('',#250120,#201829,#201830); #165408=AXIS2_PLACEMENT_3D('',#250122,#201831,#201832); #165409=AXIS2_PLACEMENT_3D('',#250125,#201834,#201835); #165410=AXIS2_PLACEMENT_3D('',#250126,#201836,#201837); #165411=AXIS2_PLACEMENT_3D('',#250128,#201838,#201839); #165412=AXIS2_PLACEMENT_3D('',#250131,#201841,#201842); #165413=AXIS2_PLACEMENT_3D('',#250132,#201843,#201844); #165414=AXIS2_PLACEMENT_3D('',#250134,#201845,#201846); #165415=AXIS2_PLACEMENT_3D('',#250137,#201848,#201849); #165416=AXIS2_PLACEMENT_3D('',#250138,#201850,#201851); #165417=AXIS2_PLACEMENT_3D('',#250140,#201852,#201853); #165418=AXIS2_PLACEMENT_3D('',#250143,#201855,#201856); #165419=AXIS2_PLACEMENT_3D('',#250144,#201857,#201858); #165420=AXIS2_PLACEMENT_3D('',#250146,#201859,#201860); #165421=AXIS2_PLACEMENT_3D('',#250149,#201862,#201863); #165422=AXIS2_PLACEMENT_3D('',#250150,#201864,#201865); #165423=AXIS2_PLACEMENT_3D('',#250152,#201866,#201867); #165424=AXIS2_PLACEMENT_3D('',#250155,#201869,#201870); #165425=AXIS2_PLACEMENT_3D('',#250156,#201871,#201872); #165426=AXIS2_PLACEMENT_3D('',#250158,#201873,#201874); #165427=AXIS2_PLACEMENT_3D('',#250161,#201876,#201877); #165428=AXIS2_PLACEMENT_3D('',#250162,#201878,#201879); #165429=AXIS2_PLACEMENT_3D('',#250168,#201883,#201884); #165430=AXIS2_PLACEMENT_3D('',#250174,#201888,#201889); #165431=AXIS2_PLACEMENT_3D('',#250180,#201893,#201894); #165432=AXIS2_PLACEMENT_3D('',#250182,#201895,#201896); #165433=AXIS2_PLACEMENT_3D('',#250185,#201898,#201899); #165434=AXIS2_PLACEMENT_3D('',#250186,#201900,#201901); #165435=AXIS2_PLACEMENT_3D('',#250188,#201902,#201903); #165436=AXIS2_PLACEMENT_3D('',#250191,#201905,#201906); #165437=AXIS2_PLACEMENT_3D('',#250192,#201907,#201908); #165438=AXIS2_PLACEMENT_3D('',#250194,#201909,#201910); #165439=AXIS2_PLACEMENT_3D('',#250197,#201912,#201913); #165440=AXIS2_PLACEMENT_3D('',#250198,#201914,#201915); #165441=AXIS2_PLACEMENT_3D('',#250200,#201916,#201917); #165442=AXIS2_PLACEMENT_3D('',#250203,#201919,#201920); #165443=AXIS2_PLACEMENT_3D('',#250204,#201921,#201922); #165444=AXIS2_PLACEMENT_3D('',#250210,#201926,#201927); #165445=AXIS2_PLACEMENT_3D('',#250216,#201931,#201932); #165446=AXIS2_PLACEMENT_3D('',#250222,#201936,#201937); #165447=AXIS2_PLACEMENT_3D('',#250224,#201938,#201939); #165448=AXIS2_PLACEMENT_3D('',#250227,#201941,#201942); #165449=AXIS2_PLACEMENT_3D('',#250228,#201943,#201944); #165450=AXIS2_PLACEMENT_3D('',#250230,#201945,#201946); #165451=AXIS2_PLACEMENT_3D('',#250233,#201948,#201949); #165452=AXIS2_PLACEMENT_3D('',#250234,#201950,#201951); #165453=AXIS2_PLACEMENT_3D('',#250236,#201952,#201953); #165454=AXIS2_PLACEMENT_3D('',#250239,#201955,#201956); #165455=AXIS2_PLACEMENT_3D('',#250240,#201957,#201958); #165456=AXIS2_PLACEMENT_3D('',#250242,#201959,#201960); #165457=AXIS2_PLACEMENT_3D('',#250245,#201962,#201963); #165458=AXIS2_PLACEMENT_3D('',#250246,#201964,#201965); #165459=AXIS2_PLACEMENT_3D('',#250248,#201966,#201967); #165460=AXIS2_PLACEMENT_3D('',#250251,#201969,#201970); #165461=AXIS2_PLACEMENT_3D('',#250252,#201971,#201972); #165462=AXIS2_PLACEMENT_3D('',#250254,#201973,#201974); #165463=AXIS2_PLACEMENT_3D('',#250257,#201976,#201977); #165464=AXIS2_PLACEMENT_3D('',#250258,#201978,#201979); #165465=AXIS2_PLACEMENT_3D('',#250260,#201980,#201981); #165466=AXIS2_PLACEMENT_3D('',#250263,#201983,#201984); #165467=AXIS2_PLACEMENT_3D('',#250264,#201985,#201986); #165468=AXIS2_PLACEMENT_3D('',#250266,#201987,#201988); #165469=AXIS2_PLACEMENT_3D('',#250269,#201990,#201991); #165470=AXIS2_PLACEMENT_3D('',#250270,#201992,#201993); #165471=AXIS2_PLACEMENT_3D('',#250272,#201994,#201995); #165472=AXIS2_PLACEMENT_3D('',#250275,#201997,#201998); #165473=AXIS2_PLACEMENT_3D('',#250276,#201999,#202000); #165474=AXIS2_PLACEMENT_3D('',#250278,#202001,#202002); #165475=AXIS2_PLACEMENT_3D('',#250281,#202004,#202005); #165476=AXIS2_PLACEMENT_3D('',#250282,#202006,#202007); #165477=AXIS2_PLACEMENT_3D('',#250284,#202008,#202009); #165478=AXIS2_PLACEMENT_3D('',#250287,#202011,#202012); #165479=AXIS2_PLACEMENT_3D('',#250288,#202013,#202014); #165480=AXIS2_PLACEMENT_3D('',#250289,#202015,#202016); #165481=AXIS2_PLACEMENT_3D('',#250290,#202017,#202018); #165482=AXIS2_PLACEMENT_3D('',#250291,#202019,#202020); #165483=AXIS2_PLACEMENT_3D('',#250292,#202021,#202022); #165484=AXIS2_PLACEMENT_3D('',#250295,#202023,#202024); #165485=AXIS2_PLACEMENT_3D('',#250299,#202026,#202027); #165486=AXIS2_PLACEMENT_3D('',#250301,#202029,#202030); #165487=AXIS2_PLACEMENT_3D('',#250303,#202031,#202032); #165488=AXIS2_PLACEMENT_3D('',#250306,#202034,#202035); #165489=AXIS2_PLACEMENT_3D('',#250307,#202036,#202037); #165490=AXIS2_PLACEMENT_3D('',#250309,#202038,#202039); #165491=AXIS2_PLACEMENT_3D('',#250312,#202041,#202042); #165492=AXIS2_PLACEMENT_3D('',#250313,#202043,#202044); #165493=AXIS2_PLACEMENT_3D('',#250319,#202048,#202049); #165494=AXIS2_PLACEMENT_3D('',#250321,#202050,#202051); #165495=AXIS2_PLACEMENT_3D('',#250324,#202053,#202054); #165496=AXIS2_PLACEMENT_3D('',#250325,#202055,#202056); #165497=AXIS2_PLACEMENT_3D('',#250327,#202057,#202058); #165498=AXIS2_PLACEMENT_3D('',#250330,#202060,#202061); #165499=AXIS2_PLACEMENT_3D('',#250331,#202062,#202063); #165500=AXIS2_PLACEMENT_3D('',#250333,#202064,#202065); #165501=AXIS2_PLACEMENT_3D('',#250336,#202067,#202068); #165502=AXIS2_PLACEMENT_3D('',#250337,#202069,#202070); #165503=AXIS2_PLACEMENT_3D('',#250339,#202071,#202072); #165504=AXIS2_PLACEMENT_3D('',#250342,#202074,#202075); #165505=AXIS2_PLACEMENT_3D('',#250343,#202076,#202077); #165506=AXIS2_PLACEMENT_3D('',#250345,#202078,#202079); #165507=AXIS2_PLACEMENT_3D('',#250348,#202081,#202082); #165508=AXIS2_PLACEMENT_3D('',#250349,#202083,#202084); #165509=AXIS2_PLACEMENT_3D('',#250351,#202085,#202086); #165510=AXIS2_PLACEMENT_3D('',#250354,#202088,#202089); #165511=AXIS2_PLACEMENT_3D('',#250355,#202090,#202091); #165512=AXIS2_PLACEMENT_3D('',#250361,#202095,#202096); #165513=AXIS2_PLACEMENT_3D('',#250363,#202097,#202098); #165514=AXIS2_PLACEMENT_3D('',#250366,#202100,#202101); #165515=AXIS2_PLACEMENT_3D('',#250367,#202102,#202103); #165516=AXIS2_PLACEMENT_3D('',#250369,#202104,#202105); #165517=AXIS2_PLACEMENT_3D('',#250372,#202107,#202108); #165518=AXIS2_PLACEMENT_3D('',#250373,#202109,#202110); #165519=AXIS2_PLACEMENT_3D('',#250374,#202111,#202112); #165520=AXIS2_PLACEMENT_3D('',#250375,#202113,#202114); #165521=AXIS2_PLACEMENT_3D('',#250376,#202115,#202116); #165522=AXIS2_PLACEMENT_3D('',#250379,#202117,#202118); #165523=AXIS2_PLACEMENT_3D('',#250383,#202120,#202121); #165524=AXIS2_PLACEMENT_3D('',#250385,#202123,#202124); #165525=AXIS2_PLACEMENT_3D('',#250387,#202125,#202126); #165526=AXIS2_PLACEMENT_3D('',#250390,#202128,#202129); #165527=AXIS2_PLACEMENT_3D('',#250391,#202130,#202131); #165528=AXIS2_PLACEMENT_3D('',#250397,#202135,#202136); #165529=AXIS2_PLACEMENT_3D('',#250399,#202137,#202138); #165530=AXIS2_PLACEMENT_3D('',#250402,#202140,#202141); #165531=AXIS2_PLACEMENT_3D('',#250403,#202142,#202143); #165532=AXIS2_PLACEMENT_3D('',#250405,#202144,#202145); #165533=AXIS2_PLACEMENT_3D('',#250408,#202147,#202148); #165534=AXIS2_PLACEMENT_3D('',#250409,#202149,#202150); #165535=AXIS2_PLACEMENT_3D('',#250411,#202151,#202152); #165536=AXIS2_PLACEMENT_3D('',#250414,#202154,#202155); #165537=AXIS2_PLACEMENT_3D('',#250415,#202156,#202157); #165538=AXIS2_PLACEMENT_3D('',#250417,#202158,#202159); #165539=AXIS2_PLACEMENT_3D('',#250420,#202161,#202162); #165540=AXIS2_PLACEMENT_3D('',#250421,#202163,#202164); #165541=AXIS2_PLACEMENT_3D('',#250423,#202165,#202166); #165542=AXIS2_PLACEMENT_3D('',#250426,#202168,#202169); #165543=AXIS2_PLACEMENT_3D('',#250427,#202170,#202171); #165544=AXIS2_PLACEMENT_3D('',#250429,#202172,#202173); #165545=AXIS2_PLACEMENT_3D('',#250432,#202175,#202176); #165546=AXIS2_PLACEMENT_3D('',#250433,#202177,#202178); #165547=AXIS2_PLACEMENT_3D('',#250435,#202179,#202180); #165548=AXIS2_PLACEMENT_3D('',#250438,#202182,#202183); #165549=AXIS2_PLACEMENT_3D('',#250439,#202184,#202185); #165550=AXIS2_PLACEMENT_3D('',#250445,#202189,#202190); #165551=AXIS2_PLACEMENT_3D('',#250447,#202191,#202192); #165552=AXIS2_PLACEMENT_3D('',#250450,#202194,#202195); #165553=AXIS2_PLACEMENT_3D('',#250451,#202196,#202197); #165554=AXIS2_PLACEMENT_3D('',#250453,#202198,#202199); #165555=AXIS2_PLACEMENT_3D('',#250456,#202201,#202202); #165556=AXIS2_PLACEMENT_3D('',#250457,#202203,#202204); #165557=AXIS2_PLACEMENT_3D('',#250459,#202205,#202206); #165558=AXIS2_PLACEMENT_3D('',#250462,#202208,#202209); #165559=AXIS2_PLACEMENT_3D('',#250463,#202210,#202211); #165560=AXIS2_PLACEMENT_3D('',#250465,#202212,#202213); #165561=AXIS2_PLACEMENT_3D('',#250468,#202215,#202216); #165562=AXIS2_PLACEMENT_3D('',#250469,#202217,#202218); #165563=AXIS2_PLACEMENT_3D('',#250470,#202219,#202220); #165564=AXIS2_PLACEMENT_3D('',#250471,#202221,#202222); #165565=AXIS2_PLACEMENT_3D('',#250472,#202223,#202224); #165566=AXIS2_PLACEMENT_3D('',#250473,#202225,#202226); #165567=AXIS2_PLACEMENT_3D('',#250476,#202227,#202228); #165568=AXIS2_PLACEMENT_3D('',#250480,#202230,#202231); #165569=AXIS2_PLACEMENT_3D('',#250482,#202233,#202234); #165570=AXIS2_PLACEMENT_3D('',#250484,#202235,#202236); #165571=AXIS2_PLACEMENT_3D('',#250487,#202238,#202239); #165572=AXIS2_PLACEMENT_3D('',#250488,#202240,#202241); #165573=AXIS2_PLACEMENT_3D('',#250494,#202245,#202246); #165574=AXIS2_PLACEMENT_3D('',#250496,#202247,#202248); #165575=AXIS2_PLACEMENT_3D('',#250499,#202250,#202251); #165576=AXIS2_PLACEMENT_3D('',#250500,#202252,#202253); #165577=AXIS2_PLACEMENT_3D('',#250502,#202254,#202255); #165578=AXIS2_PLACEMENT_3D('',#250505,#202257,#202258); #165579=AXIS2_PLACEMENT_3D('',#250506,#202259,#202260); #165580=AXIS2_PLACEMENT_3D('',#250508,#202261,#202262); #165581=AXIS2_PLACEMENT_3D('',#250511,#202264,#202265); #165582=AXIS2_PLACEMENT_3D('',#250512,#202266,#202267); #165583=AXIS2_PLACEMENT_3D('',#250514,#202268,#202269); #165584=AXIS2_PLACEMENT_3D('',#250517,#202271,#202272); #165585=AXIS2_PLACEMENT_3D('',#250518,#202273,#202274); #165586=AXIS2_PLACEMENT_3D('',#250524,#202278,#202279); #165587=AXIS2_PLACEMENT_3D('',#250530,#202283,#202284); #165588=AXIS2_PLACEMENT_3D('',#250536,#202288,#202289); #165589=AXIS2_PLACEMENT_3D('',#250538,#202290,#202291); #165590=AXIS2_PLACEMENT_3D('',#250541,#202293,#202294); #165591=AXIS2_PLACEMENT_3D('',#250542,#202295,#202296); #165592=AXIS2_PLACEMENT_3D('',#250544,#202297,#202298); #165593=AXIS2_PLACEMENT_3D('',#250547,#202300,#202301); #165594=AXIS2_PLACEMENT_3D('',#250548,#202302,#202303); #165595=AXIS2_PLACEMENT_3D('',#250550,#202304,#202305); #165596=AXIS2_PLACEMENT_3D('',#250553,#202307,#202308); #165597=AXIS2_PLACEMENT_3D('',#250554,#202309,#202310); #165598=AXIS2_PLACEMENT_3D('',#250556,#202311,#202312); #165599=AXIS2_PLACEMENT_3D('',#250559,#202314,#202315); #165600=AXIS2_PLACEMENT_3D('',#250560,#202316,#202317); #165601=AXIS2_PLACEMENT_3D('',#250562,#202318,#202319); #165602=AXIS2_PLACEMENT_3D('',#250565,#202321,#202322); #165603=AXIS2_PLACEMENT_3D('',#250566,#202323,#202324); #165604=AXIS2_PLACEMENT_3D('',#250572,#202328,#202329); #165605=AXIS2_PLACEMENT_3D('',#250578,#202333,#202334); #165606=AXIS2_PLACEMENT_3D('',#250584,#202338,#202339); #165607=AXIS2_PLACEMENT_3D('',#250586,#202340,#202341); #165608=AXIS2_PLACEMENT_3D('',#250589,#202343,#202344); #165609=AXIS2_PLACEMENT_3D('',#250590,#202345,#202346); #165610=AXIS2_PLACEMENT_3D('',#250591,#202347,#202348); #165611=AXIS2_PLACEMENT_3D('',#250592,#202349,#202350); #165612=AXIS2_PLACEMENT_3D('',#250593,#202351,#202352); #165613=AXIS2_PLACEMENT_3D('',#250594,#202353,#202354); #165614=AXIS2_PLACEMENT_3D('',#250597,#202355,#202356); #165615=AXIS2_PLACEMENT_3D('',#250601,#202358,#202359); #165616=AXIS2_PLACEMENT_3D('',#250605,#202361,#202362); #165617=AXIS2_PLACEMENT_3D('',#250609,#202364,#202365); #165618=AXIS2_PLACEMENT_3D('',#250611,#202367,#202368); #165619=AXIS2_PLACEMENT_3D('',#250612,#202369,#202370); #165620=AXIS2_PLACEMENT_3D('',#250613,#202371,#202372); #165621=AXIS2_PLACEMENT_3D('',#250614,#202373,#202374); #165622=AXIS2_PLACEMENT_3D('',#250615,#202375,#202376); #165623=AXIS2_PLACEMENT_3D('',#250616,#202377,#202378); #165624=AXIS2_PLACEMENT_3D('',#250617,#202379,#202380); #165625=AXIS2_PLACEMENT_3D('',#250618,#202381,#202382); #165626=AXIS2_PLACEMENT_3D('',#250619,#202383,#202384); #165627=AXIS2_PLACEMENT_3D('',#250620,#202385,#202386); #165628=AXIS2_PLACEMENT_3D('',#250621,#202387,#202388); #165629=AXIS2_PLACEMENT_3D('',#250622,#202389,#202390); #165630=AXIS2_PLACEMENT_3D('',#250623,#202391,#202392); #165631=AXIS2_PLACEMENT_3D('',#250624,#202393,#202394); #165632=AXIS2_PLACEMENT_3D('',#250625,#202395,#202396); #165633=AXIS2_PLACEMENT_3D('',#250626,#202397,#202398); #165634=AXIS2_PLACEMENT_3D('',#250627,#202399,#202400); #165635=AXIS2_PLACEMENT_3D('',#250628,#202401,#202402); #165636=AXIS2_PLACEMENT_3D('',#250629,#202403,#202404); #165637=AXIS2_PLACEMENT_3D('',#250630,#202405,#202406); #165638=AXIS2_PLACEMENT_3D('',#250636,#202410,#202411); #165639=AXIS2_PLACEMENT_3D('',#250638,#202412,#202413); #165640=AXIS2_PLACEMENT_3D('',#250640,#202415,#202416); #165641=AXIS2_PLACEMENT_3D('',#250644,#202419,#202420); #165642=AXIS2_PLACEMENT_3D('',#250646,#202421,#202422); #165643=AXIS2_PLACEMENT_3D('',#250648,#202424,#202425); #165644=AXIS2_PLACEMENT_3D('',#250652,#202428,#202429); #165645=AXIS2_PLACEMENT_3D('',#250654,#202430,#202431); #165646=AXIS2_PLACEMENT_3D('',#250656,#202433,#202434); #165647=AXIS2_PLACEMENT_3D('',#250660,#202437,#202438); #165648=AXIS2_PLACEMENT_3D('',#250661,#202439,#202440); #165649=AXIS2_PLACEMENT_3D('',#250662,#202441,#202442); #165650=AXIS2_PLACEMENT_3D('',#250663,#202443,#202444); #165651=AXIS2_PLACEMENT_3D('',#250664,#202445,#202446); #165652=AXIS2_PLACEMENT_3D('',#250665,#202447,#202448); #165653=AXIS2_PLACEMENT_3D('',#250666,#202449,#202450); #165654=AXIS2_PLACEMENT_3D('',#250667,#202451,#202452); #165655=AXIS2_PLACEMENT_3D('',#250940,#202589,#202590); #165656=AXIS2_PLACEMENT_3D('',#250962,#202602,#202603); #165657=AXIS2_PLACEMENT_3D('',#250984,#202615,#202616); #165658=AXIS2_PLACEMENT_3D('',#251006,#202628,#202629); #165659=AXIS2_PLACEMENT_3D('',#251028,#202641,#202642); #165660=AXIS2_PLACEMENT_3D('',#251050,#202654,#202655); #165661=AXIS2_PLACEMENT_3D('',#251072,#202667,#202668); #165662=AXIS2_PLACEMENT_3D('',#251094,#202680,#202681); #165663=AXIS2_PLACEMENT_3D('',#251116,#202693,#202694); #165664=AXIS2_PLACEMENT_3D('',#251138,#202706,#202707); #165665=AXIS2_PLACEMENT_3D('',#251160,#202719,#202720); #165666=AXIS2_PLACEMENT_3D('',#251182,#202732,#202733); #165667=AXIS2_PLACEMENT_3D('',#251204,#202745,#202746); #165668=AXIS2_PLACEMENT_3D('',#251226,#202758,#202759); #165669=AXIS2_PLACEMENT_3D('',#251248,#202771,#202772); #165670=AXIS2_PLACEMENT_3D('',#251270,#202784,#202785); #165671=AXIS2_PLACEMENT_3D('',#251284,#202793,#202794); #165672=AXIS2_PLACEMENT_3D('',#251306,#202806,#202807); #165673=AXIS2_PLACEMENT_3D('',#251328,#202819,#202820); #165674=AXIS2_PLACEMENT_3D('',#251350,#202832,#202833); #165675=AXIS2_PLACEMENT_3D('',#251372,#202845,#202846); #165676=AXIS2_PLACEMENT_3D('',#251394,#202858,#202859); #165677=AXIS2_PLACEMENT_3D('',#251416,#202871,#202872); #165678=AXIS2_PLACEMENT_3D('',#251438,#202884,#202885); #165679=AXIS2_PLACEMENT_3D('',#251460,#202897,#202898); #165680=AXIS2_PLACEMENT_3D('',#251482,#202910,#202911); #165681=AXIS2_PLACEMENT_3D('',#251504,#202923,#202924); #165682=AXIS2_PLACEMENT_3D('',#251518,#202932,#202933); #165683=AXIS2_PLACEMENT_3D('',#251540,#202945,#202946); #165684=AXIS2_PLACEMENT_3D('',#251562,#202958,#202959); #165685=AXIS2_PLACEMENT_3D('',#251584,#202971,#202972); #165686=AXIS2_PLACEMENT_3D('',#251606,#202984,#202985); #165687=AXIS2_PLACEMENT_3D('',#251628,#202997,#202998); #165688=AXIS2_PLACEMENT_3D('',#251797,#203099,#203100); #165689=AXIS2_PLACEMENT_3D('',#251799,#203102,#203103); #165690=AXIS2_PLACEMENT_3D('',#251810,#203110,#203111); #165691=AXIS2_PLACEMENT_3D('',#251811,#203112,#203113); #165692=AXIS2_PLACEMENT_3D('',#251821,#203119,#203120); #165693=AXIS2_PLACEMENT_3D('',#251825,#203123,#203124); #165694=AXIS2_PLACEMENT_3D('',#251829,#203127,#203128); #165695=AXIS2_PLACEMENT_3D('',#251831,#203130,#203131); #165696=AXIS2_PLACEMENT_3D('',#251832,#203132,#203133); #165697=AXIS2_PLACEMENT_3D('',#251833,#203134,#203135); #165698=AXIS2_PLACEMENT_3D('',#251837,#203138,#203139); #165699=AXIS2_PLACEMENT_3D('',#251841,#203142,#203143); #165700=AXIS2_PLACEMENT_3D('',#251843,#203145,#203146); #165701=AXIS2_PLACEMENT_3D('',#251844,#203147,#203148); #165702=AXIS2_PLACEMENT_3D('',#251845,#203149,#203150); #165703=AXIS2_PLACEMENT_3D('',#251849,#203153,#203154); #165704=AXIS2_PLACEMENT_3D('',#251853,#203157,#203158); #165705=AXIS2_PLACEMENT_3D('',#251855,#203160,#203161); #165706=AXIS2_PLACEMENT_3D('',#251856,#203162,#203163); #165707=AXIS2_PLACEMENT_3D('',#251857,#203164,#203165); #165708=AXIS2_PLACEMENT_3D('',#251861,#203168,#203169); #165709=AXIS2_PLACEMENT_3D('',#251865,#203172,#203173); #165710=AXIS2_PLACEMENT_3D('',#251867,#203175,#203176); #165711=AXIS2_PLACEMENT_3D('',#251868,#203177,#203178); #165712=AXIS2_PLACEMENT_3D('',#251869,#203179,#203180); #165713=AXIS2_PLACEMENT_3D('',#251873,#203183,#203184); #165714=AXIS2_PLACEMENT_3D('',#251877,#203187,#203188); #165715=AXIS2_PLACEMENT_3D('',#251879,#203190,#203191); #165716=AXIS2_PLACEMENT_3D('',#251880,#203192,#203193); #165717=AXIS2_PLACEMENT_3D('',#251881,#203194,#203195); #165718=AXIS2_PLACEMENT_3D('',#251885,#203198,#203199); #165719=AXIS2_PLACEMENT_3D('',#251889,#203202,#203203); #165720=AXIS2_PLACEMENT_3D('',#251891,#203205,#203206); #165721=AXIS2_PLACEMENT_3D('',#251892,#203207,#203208); #165722=AXIS2_PLACEMENT_3D('',#251893,#203209,#203210); #165723=AXIS2_PLACEMENT_3D('',#251897,#203213,#203214); #165724=AXIS2_PLACEMENT_3D('',#251901,#203217,#203218); #165725=AXIS2_PLACEMENT_3D('',#251903,#203220,#203221); #165726=AXIS2_PLACEMENT_3D('',#251904,#203222,#203223); #165727=AXIS2_PLACEMENT_3D('',#251905,#203224,#203225); #165728=AXIS2_PLACEMENT_3D('',#251909,#203228,#203229); #165729=AXIS2_PLACEMENT_3D('',#251913,#203232,#203233); #165730=AXIS2_PLACEMENT_3D('',#251915,#203235,#203236); #165731=AXIS2_PLACEMENT_3D('',#251916,#203237,#203238); #165732=AXIS2_PLACEMENT_3D('',#251917,#203239,#203240); #165733=AXIS2_PLACEMENT_3D('',#251921,#203243,#203244); #165734=AXIS2_PLACEMENT_3D('',#251925,#203247,#203248); #165735=AXIS2_PLACEMENT_3D('',#251927,#203250,#203251); #165736=AXIS2_PLACEMENT_3D('',#251928,#203252,#203253); #165737=AXIS2_PLACEMENT_3D('',#251929,#203254,#203255); #165738=AXIS2_PLACEMENT_3D('',#251933,#203258,#203259); #165739=AXIS2_PLACEMENT_3D('',#251937,#203262,#203263); #165740=AXIS2_PLACEMENT_3D('',#251939,#203265,#203266); #165741=AXIS2_PLACEMENT_3D('',#251940,#203267,#203268); #165742=AXIS2_PLACEMENT_3D('',#251941,#203269,#203270); #165743=AXIS2_PLACEMENT_3D('',#251945,#203273,#203274); #165744=AXIS2_PLACEMENT_3D('',#251949,#203277,#203278); #165745=AXIS2_PLACEMENT_3D('',#251951,#203280,#203281); #165746=AXIS2_PLACEMENT_3D('',#251952,#203282,#203283); #165747=AXIS2_PLACEMENT_3D('',#251953,#203284,#203285); #165748=AXIS2_PLACEMENT_3D('',#251957,#203288,#203289); #165749=AXIS2_PLACEMENT_3D('',#251961,#203292,#203293); #165750=AXIS2_PLACEMENT_3D('',#251963,#203295,#203296); #165751=AXIS2_PLACEMENT_3D('',#251964,#203297,#203298); #165752=AXIS2_PLACEMENT_3D('',#251965,#203299,#203300); #165753=AXIS2_PLACEMENT_3D('',#251969,#203303,#203304); #165754=AXIS2_PLACEMENT_3D('',#251973,#203307,#203308); #165755=AXIS2_PLACEMENT_3D('',#251975,#203310,#203311); #165756=AXIS2_PLACEMENT_3D('',#251976,#203312,#203313); #165757=AXIS2_PLACEMENT_3D('',#251977,#203314,#203315); #165758=AXIS2_PLACEMENT_3D('',#251981,#203318,#203319); #165759=AXIS2_PLACEMENT_3D('',#251985,#203322,#203323); #165760=AXIS2_PLACEMENT_3D('',#251987,#203325,#203326); #165761=AXIS2_PLACEMENT_3D('',#251988,#203327,#203328); #165762=AXIS2_PLACEMENT_3D('',#251989,#203329,#203330); #165763=AXIS2_PLACEMENT_3D('',#251993,#203333,#203334); #165764=AXIS2_PLACEMENT_3D('',#251997,#203337,#203338); #165765=AXIS2_PLACEMENT_3D('',#251999,#203340,#203341); #165766=AXIS2_PLACEMENT_3D('',#252000,#203342,#203343); #165767=AXIS2_PLACEMENT_3D('',#252001,#203344,#203345); #165768=AXIS2_PLACEMENT_3D('',#252005,#203348,#203349); #165769=AXIS2_PLACEMENT_3D('',#252009,#203352,#203353); #165770=AXIS2_PLACEMENT_3D('',#252011,#203355,#203356); #165771=AXIS2_PLACEMENT_3D('',#252012,#203357,#203358); #165772=AXIS2_PLACEMENT_3D('',#252013,#203359,#203360); #165773=AXIS2_PLACEMENT_3D('',#252017,#203363,#203364); #165774=AXIS2_PLACEMENT_3D('',#252021,#203367,#203368); #165775=AXIS2_PLACEMENT_3D('',#252023,#203370,#203371); #165776=AXIS2_PLACEMENT_3D('',#252024,#203372,#203373); #165777=AXIS2_PLACEMENT_3D('',#252025,#203374,#203375); #165778=AXIS2_PLACEMENT_3D('',#252029,#203378,#203379); #165779=AXIS2_PLACEMENT_3D('',#252033,#203382,#203383); #165780=AXIS2_PLACEMENT_3D('',#252035,#203385,#203386); #165781=AXIS2_PLACEMENT_3D('',#252036,#203387,#203388); #165782=AXIS2_PLACEMENT_3D('',#252037,#203389,#203390); #165783=AXIS2_PLACEMENT_3D('',#252041,#203393,#203394); #165784=AXIS2_PLACEMENT_3D('',#252045,#203397,#203398); #165785=AXIS2_PLACEMENT_3D('',#252047,#203400,#203401); #165786=AXIS2_PLACEMENT_3D('',#252048,#203402,#203403); #165787=AXIS2_PLACEMENT_3D('',#252049,#203404,#203405); #165788=AXIS2_PLACEMENT_3D('',#252053,#203408,#203409); #165789=AXIS2_PLACEMENT_3D('',#252057,#203412,#203413); #165790=AXIS2_PLACEMENT_3D('',#252059,#203415,#203416); #165791=AXIS2_PLACEMENT_3D('',#252060,#203417,#203418); #165792=AXIS2_PLACEMENT_3D('',#252061,#203419,#203420); #165793=AXIS2_PLACEMENT_3D('',#252065,#203423,#203424); #165794=AXIS2_PLACEMENT_3D('',#252069,#203427,#203428); #165795=AXIS2_PLACEMENT_3D('',#252071,#203430,#203431); #165796=AXIS2_PLACEMENT_3D('',#252072,#203432,#203433); #165797=AXIS2_PLACEMENT_3D('',#252073,#203434,#203435); #165798=AXIS2_PLACEMENT_3D('',#252077,#203438,#203439); #165799=AXIS2_PLACEMENT_3D('',#252081,#203442,#203443); #165800=AXIS2_PLACEMENT_3D('',#252083,#203445,#203446); #165801=AXIS2_PLACEMENT_3D('',#252084,#203447,#203448); #165802=AXIS2_PLACEMENT_3D('',#252085,#203449,#203450); #165803=AXIS2_PLACEMENT_3D('',#252089,#203453,#203454); #165804=AXIS2_PLACEMENT_3D('',#252093,#203457,#203458); #165805=AXIS2_PLACEMENT_3D('',#252095,#203460,#203461); #165806=AXIS2_PLACEMENT_3D('',#252096,#203462,#203463); #165807=AXIS2_PLACEMENT_3D('',#252097,#203464,#203465); #165808=AXIS2_PLACEMENT_3D('',#252101,#203468,#203469); #165809=AXIS2_PLACEMENT_3D('',#252105,#203472,#203473); #165810=AXIS2_PLACEMENT_3D('',#252107,#203475,#203476); #165811=AXIS2_PLACEMENT_3D('',#252108,#203477,#203478); #165812=AXIS2_PLACEMENT_3D('',#252109,#203479,#203480); #165813=AXIS2_PLACEMENT_3D('',#252113,#203483,#203484); #165814=AXIS2_PLACEMENT_3D('',#252117,#203487,#203488); #165815=AXIS2_PLACEMENT_3D('',#252119,#203490,#203491); #165816=AXIS2_PLACEMENT_3D('',#252120,#203492,#203493); #165817=AXIS2_PLACEMENT_3D('',#252121,#203494,#203495); #165818=AXIS2_PLACEMENT_3D('',#252125,#203498,#203499); #165819=AXIS2_PLACEMENT_3D('',#252129,#203502,#203503); #165820=AXIS2_PLACEMENT_3D('',#252131,#203505,#203506); #165821=AXIS2_PLACEMENT_3D('',#252132,#203507,#203508); #165822=AXIS2_PLACEMENT_3D('',#252133,#203509,#203510); #165823=AXIS2_PLACEMENT_3D('',#252137,#203513,#203514); #165824=AXIS2_PLACEMENT_3D('',#252141,#203517,#203518); #165825=AXIS2_PLACEMENT_3D('',#252143,#203520,#203521); #165826=AXIS2_PLACEMENT_3D('',#252144,#203522,#203523); #165827=AXIS2_PLACEMENT_3D('',#252145,#203524,#203525); #165828=AXIS2_PLACEMENT_3D('',#252149,#203528,#203529); #165829=AXIS2_PLACEMENT_3D('',#252153,#203532,#203533); #165830=AXIS2_PLACEMENT_3D('',#252155,#203535,#203536); #165831=AXIS2_PLACEMENT_3D('',#252156,#203537,#203538); #165832=AXIS2_PLACEMENT_3D('',#252157,#203539,#203540); #165833=AXIS2_PLACEMENT_3D('',#252161,#203543,#203544); #165834=AXIS2_PLACEMENT_3D('',#252165,#203547,#203548); #165835=AXIS2_PLACEMENT_3D('',#252167,#203550,#203551); #165836=AXIS2_PLACEMENT_3D('',#252168,#203552,#203553); #165837=AXIS2_PLACEMENT_3D('',#252169,#203554,#203555); #165838=AXIS2_PLACEMENT_3D('',#252173,#203558,#203559); #165839=AXIS2_PLACEMENT_3D('',#252177,#203562,#203563); #165840=AXIS2_PLACEMENT_3D('',#252179,#203565,#203566); #165841=AXIS2_PLACEMENT_3D('',#252180,#203567,#203568); #165842=AXIS2_PLACEMENT_3D('',#252181,#203569,#203570); #165843=AXIS2_PLACEMENT_3D('',#252185,#203573,#203574); #165844=AXIS2_PLACEMENT_3D('',#252189,#203577,#203578); #165845=AXIS2_PLACEMENT_3D('',#252191,#203580,#203581); #165846=AXIS2_PLACEMENT_3D('',#252192,#203582,#203583); #165847=AXIS2_PLACEMENT_3D('',#252193,#203584,#203585); #165848=AXIS2_PLACEMENT_3D('',#252197,#203588,#203589); #165849=AXIS2_PLACEMENT_3D('',#252201,#203592,#203593); #165850=AXIS2_PLACEMENT_3D('',#252203,#203595,#203596); #165851=AXIS2_PLACEMENT_3D('',#252204,#203597,#203598); #165852=AXIS2_PLACEMENT_3D('',#252205,#203599,#203600); #165853=AXIS2_PLACEMENT_3D('',#252209,#203603,#203604); #165854=AXIS2_PLACEMENT_3D('',#252213,#203607,#203608); #165855=AXIS2_PLACEMENT_3D('',#252215,#203610,#203611); #165856=AXIS2_PLACEMENT_3D('',#252216,#203612,#203613); #165857=AXIS2_PLACEMENT_3D('',#252217,#203614,#203615); #165858=AXIS2_PLACEMENT_3D('',#252221,#203618,#203619); #165859=AXIS2_PLACEMENT_3D('',#252225,#203622,#203623); #165860=AXIS2_PLACEMENT_3D('',#252227,#203625,#203626); #165861=AXIS2_PLACEMENT_3D('',#252228,#203627,#203628); #165862=AXIS2_PLACEMENT_3D('',#252229,#203629,#203630); #165863=AXIS2_PLACEMENT_3D('',#252233,#203633,#203634); #165864=AXIS2_PLACEMENT_3D('',#252237,#203637,#203638); #165865=AXIS2_PLACEMENT_3D('',#252239,#203640,#203641); #165866=AXIS2_PLACEMENT_3D('',#252240,#203642,#203643); #165867=AXIS2_PLACEMENT_3D('',#252241,#203644,#203645); #165868=AXIS2_PLACEMENT_3D('',#252245,#203648,#203649); #165869=AXIS2_PLACEMENT_3D('',#252249,#203652,#203653); #165870=AXIS2_PLACEMENT_3D('',#252251,#203655,#203656); #165871=AXIS2_PLACEMENT_3D('',#252252,#203657,#203658); #165872=AXIS2_PLACEMENT_3D('',#252253,#203659,#203660); #165873=AXIS2_PLACEMENT_3D('',#252257,#203663,#203664); #165874=AXIS2_PLACEMENT_3D('',#252261,#203667,#203668); #165875=AXIS2_PLACEMENT_3D('',#252263,#203670,#203671); #165876=AXIS2_PLACEMENT_3D('',#252264,#203672,#203673); #165877=AXIS2_PLACEMENT_3D('',#252265,#203674,#203675); #165878=AXIS2_PLACEMENT_3D('',#252269,#203678,#203679); #165879=AXIS2_PLACEMENT_3D('',#252273,#203682,#203683); #165880=AXIS2_PLACEMENT_3D('',#252275,#203685,#203686); #165881=AXIS2_PLACEMENT_3D('',#252276,#203687,#203688); #165882=AXIS2_PLACEMENT_3D('',#252277,#203689,#203690); #165883=AXIS2_PLACEMENT_3D('',#252281,#203693,#203694); #165884=AXIS2_PLACEMENT_3D('',#252285,#203697,#203698); #165885=AXIS2_PLACEMENT_3D('',#252287,#203700,#203701); #165886=AXIS2_PLACEMENT_3D('',#252288,#203702,#203703); #165887=AXIS2_PLACEMENT_3D('',#252289,#203704,#203705); #165888=AXIS2_PLACEMENT_3D('',#252293,#203708,#203709); #165889=AXIS2_PLACEMENT_3D('',#252297,#203712,#203713); #165890=AXIS2_PLACEMENT_3D('',#252299,#203715,#203716); #165891=AXIS2_PLACEMENT_3D('',#252300,#203717,#203718); #165892=AXIS2_PLACEMENT_3D('',#252301,#203719,#203720); #165893=AXIS2_PLACEMENT_3D('',#252305,#203723,#203724); #165894=AXIS2_PLACEMENT_3D('',#252309,#203727,#203728); #165895=AXIS2_PLACEMENT_3D('',#252311,#203730,#203731); #165896=AXIS2_PLACEMENT_3D('',#252312,#203732,#203733); #165897=AXIS2_PLACEMENT_3D('',#252313,#203734,#203735); #165898=AXIS2_PLACEMENT_3D('',#252317,#203738,#203739); #165899=AXIS2_PLACEMENT_3D('',#252321,#203742,#203743); #165900=AXIS2_PLACEMENT_3D('',#252323,#203745,#203746); #165901=AXIS2_PLACEMENT_3D('',#252324,#203747,#203748); #165902=AXIS2_PLACEMENT_3D('',#252325,#203749,#203750); #165903=AXIS2_PLACEMENT_3D('',#252329,#203753,#203754); #165904=AXIS2_PLACEMENT_3D('',#252333,#203757,#203758); #165905=AXIS2_PLACEMENT_3D('',#252335,#203760,#203761); #165906=AXIS2_PLACEMENT_3D('',#252336,#203762,#203763); #165907=AXIS2_PLACEMENT_3D('',#252337,#203764,#203765); #165908=AXIS2_PLACEMENT_3D('',#252341,#203768,#203769); #165909=AXIS2_PLACEMENT_3D('',#252345,#203772,#203773); #165910=AXIS2_PLACEMENT_3D('',#252347,#203775,#203776); #165911=AXIS2_PLACEMENT_3D('',#252348,#203777,#203778); #165912=AXIS2_PLACEMENT_3D('',#252349,#203779,#203780); #165913=AXIS2_PLACEMENT_3D('',#252353,#203783,#203784); #165914=AXIS2_PLACEMENT_3D('',#252357,#203787,#203788); #165915=AXIS2_PLACEMENT_3D('',#252359,#203790,#203791); #165916=AXIS2_PLACEMENT_3D('',#252360,#203792,#203793); #165917=AXIS2_PLACEMENT_3D('',#252361,#203794,#203795); #165918=AXIS2_PLACEMENT_3D('',#252365,#203798,#203799); #165919=AXIS2_PLACEMENT_3D('',#252369,#203802,#203803); #165920=AXIS2_PLACEMENT_3D('',#252371,#203805,#203806); #165921=AXIS2_PLACEMENT_3D('',#252372,#203807,#203808); #165922=AXIS2_PLACEMENT_3D('',#252373,#203809,#203810); #165923=AXIS2_PLACEMENT_3D('',#252377,#203813,#203814); #165924=AXIS2_PLACEMENT_3D('',#252381,#203817,#203818); #165925=AXIS2_PLACEMENT_3D('',#252383,#203820,#203821); #165926=AXIS2_PLACEMENT_3D('',#252384,#203822,#203823); #165927=AXIS2_PLACEMENT_3D('',#252385,#203824,#203825); #165928=AXIS2_PLACEMENT_3D('',#252389,#203828,#203829); #165929=AXIS2_PLACEMENT_3D('',#252393,#203832,#203833); #165930=AXIS2_PLACEMENT_3D('',#252395,#203835,#203836); #165931=AXIS2_PLACEMENT_3D('',#252396,#203837,#203838); #165932=AXIS2_PLACEMENT_3D('',#252397,#203839,#203840); #165933=AXIS2_PLACEMENT_3D('',#252401,#203843,#203844); #165934=AXIS2_PLACEMENT_3D('',#252405,#203847,#203848); #165935=AXIS2_PLACEMENT_3D('',#252407,#203850,#203851); #165936=AXIS2_PLACEMENT_3D('',#252408,#203852,#203853); #165937=AXIS2_PLACEMENT_3D('',#252409,#203854,#203855); #165938=AXIS2_PLACEMENT_3D('',#252413,#203858,#203859); #165939=AXIS2_PLACEMENT_3D('',#252417,#203862,#203863); #165940=AXIS2_PLACEMENT_3D('',#252419,#203865,#203866); #165941=AXIS2_PLACEMENT_3D('',#252420,#203867,#203868); #165942=AXIS2_PLACEMENT_3D('',#252421,#203869,#203870); #165943=AXIS2_PLACEMENT_3D('',#252425,#203873,#203874); #165944=AXIS2_PLACEMENT_3D('',#252429,#203877,#203878); #165945=AXIS2_PLACEMENT_3D('',#252431,#203880,#203881); #165946=AXIS2_PLACEMENT_3D('',#252432,#203882,#203883); #165947=AXIS2_PLACEMENT_3D('',#252433,#203884,#203885); #165948=AXIS2_PLACEMENT_3D('',#252437,#203888,#203889); #165949=AXIS2_PLACEMENT_3D('',#252441,#203892,#203893); #165950=AXIS2_PLACEMENT_3D('',#252443,#203895,#203896); #165951=AXIS2_PLACEMENT_3D('',#252444,#203897,#203898); #165952=AXIS2_PLACEMENT_3D('',#252445,#203899,#203900); #165953=AXIS2_PLACEMENT_3D('',#252449,#203903,#203904); #165954=AXIS2_PLACEMENT_3D('',#252453,#203907,#203908); #165955=AXIS2_PLACEMENT_3D('',#252455,#203910,#203911); #165956=AXIS2_PLACEMENT_3D('',#252456,#203912,#203913); #165957=AXIS2_PLACEMENT_3D('',#252457,#203914,#203915); #165958=AXIS2_PLACEMENT_3D('',#252461,#203918,#203919); #165959=AXIS2_PLACEMENT_3D('',#252465,#203922,#203923); #165960=AXIS2_PLACEMENT_3D('',#252467,#203925,#203926); #165961=AXIS2_PLACEMENT_3D('',#252468,#203927,#203928); #165962=AXIS2_PLACEMENT_3D('',#252469,#203929,#203930); #165963=AXIS2_PLACEMENT_3D('',#252473,#203933,#203934); #165964=AXIS2_PLACEMENT_3D('',#252477,#203937,#203938); #165965=AXIS2_PLACEMENT_3D('',#252479,#203940,#203941); #165966=AXIS2_PLACEMENT_3D('',#252480,#203942,#203943); #165967=AXIS2_PLACEMENT_3D('',#252481,#203944,#203945); #165968=AXIS2_PLACEMENT_3D('',#252485,#203948,#203949); #165969=AXIS2_PLACEMENT_3D('',#252489,#203952,#203953); #165970=AXIS2_PLACEMENT_3D('',#252491,#203955,#203956); #165971=AXIS2_PLACEMENT_3D('',#252492,#203957,#203958); #165972=AXIS2_PLACEMENT_3D('',#252493,#203959,#203960); #165973=AXIS2_PLACEMENT_3D('',#252497,#203963,#203964); #165974=AXIS2_PLACEMENT_3D('',#252501,#203967,#203968); #165975=AXIS2_PLACEMENT_3D('',#252503,#203970,#203971); #165976=AXIS2_PLACEMENT_3D('',#252504,#203972,#203973); #165977=AXIS2_PLACEMENT_3D('',#252505,#203974,#203975); #165978=AXIS2_PLACEMENT_3D('',#252509,#203978,#203979); #165979=AXIS2_PLACEMENT_3D('',#252513,#203982,#203983); #165980=AXIS2_PLACEMENT_3D('',#252515,#203985,#203986); #165981=AXIS2_PLACEMENT_3D('',#252516,#203987,#203988); #165982=AXIS2_PLACEMENT_3D('',#252517,#203989,#203990); #165983=AXIS2_PLACEMENT_3D('',#252521,#203993,#203994); #165984=AXIS2_PLACEMENT_3D('',#252525,#203997,#203998); #165985=AXIS2_PLACEMENT_3D('',#252527,#204000,#204001); #165986=AXIS2_PLACEMENT_3D('',#252528,#204002,#204003); #165987=AXIS2_PLACEMENT_3D('',#252529,#204004,#204005); #165988=AXIS2_PLACEMENT_3D('',#252533,#204008,#204009); #165989=AXIS2_PLACEMENT_3D('',#252537,#204012,#204013); #165990=AXIS2_PLACEMENT_3D('',#252539,#204015,#204016); #165991=AXIS2_PLACEMENT_3D('',#252540,#204017,#204018); #165992=AXIS2_PLACEMENT_3D('',#252541,#204019,#204020); #165993=AXIS2_PLACEMENT_3D('',#252545,#204023,#204024); #165994=AXIS2_PLACEMENT_3D('',#252549,#204027,#204028); #165995=AXIS2_PLACEMENT_3D('',#252551,#204030,#204031); #165996=AXIS2_PLACEMENT_3D('',#252552,#204032,#204033); #165997=AXIS2_PLACEMENT_3D('',#252553,#204034,#204035); #165998=AXIS2_PLACEMENT_3D('',#252557,#204038,#204039); #165999=AXIS2_PLACEMENT_3D('',#252561,#204042,#204043); #166000=AXIS2_PLACEMENT_3D('',#252563,#204045,#204046); #166001=AXIS2_PLACEMENT_3D('',#252564,#204047,#204048); #166002=AXIS2_PLACEMENT_3D('',#252565,#204049,#204050); #166003=AXIS2_PLACEMENT_3D('',#252569,#204053,#204054); #166004=AXIS2_PLACEMENT_3D('',#252573,#204057,#204058); #166005=AXIS2_PLACEMENT_3D('',#252575,#204060,#204061); #166006=AXIS2_PLACEMENT_3D('',#252576,#204062,#204063); #166007=AXIS2_PLACEMENT_3D('',#252577,#204064,#204065); #166008=AXIS2_PLACEMENT_3D('',#252581,#204068,#204069); #166009=AXIS2_PLACEMENT_3D('',#252585,#204072,#204073); #166010=AXIS2_PLACEMENT_3D('',#252587,#204075,#204076); #166011=AXIS2_PLACEMENT_3D('',#252588,#204077,#204078); #166012=AXIS2_PLACEMENT_3D('',#252589,#204079,#204080); #166013=AXIS2_PLACEMENT_3D('',#252590,#204081,#204082); #166014=AXIS2_PLACEMENT_3D('',#252591,#204083,#204084); #166015=AXIS2_PLACEMENT_3D('',#252592,#204085,#204086); #166016=AXIS2_PLACEMENT_3D('',#252593,#204087,#204088); #166017=AXIS2_PLACEMENT_3D('',#252594,#204089,#204090); #166018=AXIS2_PLACEMENT_3D('',#252595,#204091,#204092); #166019=AXIS2_PLACEMENT_3D('',#252596,#204093,#204094); #166020=AXIS2_PLACEMENT_3D('',#252597,#204095,#204096); #166021=AXIS2_PLACEMENT_3D('',#252598,#204097,#204098); #166022=AXIS2_PLACEMENT_3D('',#252599,#204099,#204100); #166023=AXIS2_PLACEMENT_3D('',#252600,#204101,#204102); #166024=AXIS2_PLACEMENT_3D('',#252601,#204103,#204104); #166025=AXIS2_PLACEMENT_3D('',#252602,#204105,#204106); #166026=AXIS2_PLACEMENT_3D('',#252603,#204107,#204108); #166027=AXIS2_PLACEMENT_3D('',#252604,#204109,#204110); #166028=AXIS2_PLACEMENT_3D('',#252605,#204111,#204112); #166029=AXIS2_PLACEMENT_3D('',#252606,#204113,#204114); #166030=AXIS2_PLACEMENT_3D('',#252607,#204115,#204116); #166031=AXIS2_PLACEMENT_3D('',#252608,#204117,#204118); #166032=AXIS2_PLACEMENT_3D('',#252609,#204119,#204120); #166033=AXIS2_PLACEMENT_3D('',#252610,#204121,#204122); #166034=AXIS2_PLACEMENT_3D('',#252611,#204123,#204124); #166035=AXIS2_PLACEMENT_3D('',#252612,#204125,#204126); #166036=AXIS2_PLACEMENT_3D('',#252613,#204127,#204128); #166037=AXIS2_PLACEMENT_3D('',#252614,#204129,#204130); #166038=AXIS2_PLACEMENT_3D('',#252615,#204131,#204132); #166039=AXIS2_PLACEMENT_3D('',#252616,#204133,#204134); #166040=AXIS2_PLACEMENT_3D('',#252617,#204135,#204136); #166041=AXIS2_PLACEMENT_3D('',#252618,#204137,#204138); #166042=AXIS2_PLACEMENT_3D('',#252619,#204139,#204140); #166043=AXIS2_PLACEMENT_3D('',#252620,#204141,#204142); #166044=AXIS2_PLACEMENT_3D('',#252621,#204143,#204144); #166045=AXIS2_PLACEMENT_3D('',#252638,#204153,#204154); #166046=AXIS2_PLACEMENT_3D('',#252641,#204157,#204158); #166047=AXIS2_PLACEMENT_3D('',#252643,#204160,#204161); #166048=AXIS2_PLACEMENT_3D('',#252645,#204163,#204164); #166049=AXIS2_PLACEMENT_3D('',#252646,#204165,#204166); #166050=AXIS2_PLACEMENT_3D('',#252652,#204170,#204171); #166051=AXIS2_PLACEMENT_3D('',#252656,#204174,#204175); #166052=AXIS2_PLACEMENT_3D('',#252660,#204178,#204179); #166053=AXIS2_PLACEMENT_3D('',#252662,#204181,#204182); #166054=AXIS2_PLACEMENT_3D('',#252663,#204183,#204184); #166055=AXIS2_PLACEMENT_3D('',#252664,#204185,#204186); #166056=AXIS2_PLACEMENT_3D('',#252673,#204191,#204192); #166057=AXIS2_PLACEMENT_3D('',#252675,#204193,#204194); #166058=AXIS2_PLACEMENT_3D('',#252678,#204196,#204197); #166059=AXIS2_PLACEMENT_3D('',#252679,#204198,#204199); #166060=AXIS2_PLACEMENT_3D('',#252685,#204203,#204204); #166061=AXIS2_PLACEMENT_3D('',#252687,#204205,#204206); #166062=AXIS2_PLACEMENT_3D('',#252690,#204208,#204209); #166063=AXIS2_PLACEMENT_3D('',#252691,#204210,#204211); #166064=AXIS2_PLACEMENT_3D('',#252693,#204212,#204213); #166065=AXIS2_PLACEMENT_3D('',#252696,#204215,#204216); #166066=AXIS2_PLACEMENT_3D('',#252697,#204217,#204218); #166067=AXIS2_PLACEMENT_3D('',#252703,#204222,#204223); #166068=AXIS2_PLACEMENT_3D('',#252705,#204224,#204225); #166069=AXIS2_PLACEMENT_3D('',#252708,#204227,#204228); #166070=AXIS2_PLACEMENT_3D('',#252709,#204229,#204230); #166071=AXIS2_PLACEMENT_3D('',#252711,#204231,#204232); #166072=AXIS2_PLACEMENT_3D('',#252714,#204234,#204235); #166073=AXIS2_PLACEMENT_3D('',#252715,#204236,#204237); #166074=AXIS2_PLACEMENT_3D('',#252717,#204238,#204239); #166075=AXIS2_PLACEMENT_3D('',#252720,#204241,#204242); #166076=AXIS2_PLACEMENT_3D('',#252721,#204243,#204244); #166077=AXIS2_PLACEMENT_3D('',#252723,#204245,#204246); #166078=AXIS2_PLACEMENT_3D('',#252726,#204248,#204249); #166079=AXIS2_PLACEMENT_3D('',#252727,#204250,#204251); #166080=AXIS2_PLACEMENT_3D('',#252730,#204254,#204255); #166081=AXIS2_PLACEMENT_3D('',#252733,#204256,#204257); #166082=AXIS2_PLACEMENT_3D('',#252737,#204259,#204260); #166083=AXIS2_PLACEMENT_3D('',#252739,#204262,#204263); #166084=AXIS2_PLACEMENT_3D('',#252741,#204264,#204265); #166085=AXIS2_PLACEMENT_3D('',#252744,#204267,#204268); #166086=AXIS2_PLACEMENT_3D('',#252745,#204269,#204270); #166087=AXIS2_PLACEMENT_3D('',#252747,#204271,#204272); #166088=AXIS2_PLACEMENT_3D('',#252750,#204274,#204275); #166089=AXIS2_PLACEMENT_3D('',#252751,#204276,#204277); #166090=AXIS2_PLACEMENT_3D('',#252753,#204278,#204279); #166091=AXIS2_PLACEMENT_3D('',#252756,#204281,#204282); #166092=AXIS2_PLACEMENT_3D('',#252757,#204283,#204284); #166093=AXIS2_PLACEMENT_3D('',#252763,#204288,#204289); #166094=AXIS2_PLACEMENT_3D('',#252765,#204290,#204291); #166095=AXIS2_PLACEMENT_3D('',#252768,#204293,#204294); #166096=AXIS2_PLACEMENT_3D('',#252769,#204295,#204296); #166097=AXIS2_PLACEMENT_3D('',#252771,#204297,#204298); #166098=AXIS2_PLACEMENT_3D('',#252774,#204300,#204301); #166099=AXIS2_PLACEMENT_3D('',#252775,#204302,#204303); #166100=AXIS2_PLACEMENT_3D('',#252781,#204307,#204308); #166101=AXIS2_PLACEMENT_3D('',#252783,#204309,#204310); #166102=AXIS2_PLACEMENT_3D('',#252786,#204312,#204313); #166103=AXIS2_PLACEMENT_3D('',#252787,#204314,#204315); #166104=AXIS2_PLACEMENT_3D('',#252793,#204319,#204320); #166105=AXIS2_PLACEMENT_3D('',#252796,#204323,#204324); #166106=AXIS2_PLACEMENT_3D('',#252797,#204325,#204326); #166107=AXIS2_PLACEMENT_3D('',#252823,#204339,#204340); #166108=AXIS2_PLACEMENT_3D('',#252825,#204341,#204342); #166109=AXIS2_PLACEMENT_3D('',#252827,#204343,#204344); #166110=AXIS2_PLACEMENT_3D('',#252829,#204345,#204346); #166111=AXIS2_PLACEMENT_3D('',#252831,#204347,#204348); #166112=AXIS2_PLACEMENT_3D('',#252833,#204349,#204350); #166113=AXIS2_PLACEMENT_3D('',#252835,#204351,#204352); #166114=AXIS2_PLACEMENT_3D('',#252837,#204353,#204354); #166115=AXIS2_PLACEMENT_3D('',#252839,#204355,#204356); #166116=AXIS2_PLACEMENT_3D('',#252841,#204357,#204358); #166117=AXIS2_PLACEMENT_3D('',#252843,#204359,#204360); #166118=AXIS2_PLACEMENT_3D('',#252845,#204361,#204362); #166119=AXIS2_PLACEMENT_3D('',#252847,#204363,#204364); #166120=AXIS2_PLACEMENT_3D('',#252849,#204365,#204366); #166121=AXIS2_PLACEMENT_3D('',#252854,#204368,#204369); #166122=AXIS2_PLACEMENT_3D('',#252858,#204371,#204372); #166123=AXIS2_PLACEMENT_3D('',#252862,#204374,#204375); #166124=AXIS2_PLACEMENT_3D('',#252865,#204377,#204378); #166125=AXIS2_PLACEMENT_3D('',#252932,#204411,#204412); #166126=AXIS2_PLACEMENT_3D('',#252936,#204414,#204415); #166127=AXIS2_PLACEMENT_3D('',#252980,#204437,#204438); #166128=AXIS2_PLACEMENT_3D('',#252984,#204440,#204441); #166129=AXIS2_PLACEMENT_3D('',#252996,#204447,#204448); #166130=AXIS2_PLACEMENT_3D('',#252998,#204449,#204450); #166131=AXIS2_PLACEMENT_3D('',#253000,#204451,#204452); #166132=AXIS2_PLACEMENT_3D('',#253004,#204454,#204455); #166133=AXIS2_PLACEMENT_3D('',#253006,#204456,#204457); #166134=AXIS2_PLACEMENT_3D('',#253008,#204458,#204459); #166135=AXIS2_PLACEMENT_3D('',#253010,#204460,#204461); #166136=AXIS2_PLACEMENT_3D('',#253012,#204462,#204463); #166137=AXIS2_PLACEMENT_3D('',#253014,#204464,#204465); #166138=AXIS2_PLACEMENT_3D('',#253020,#204468,#204469); #166139=AXIS2_PLACEMENT_3D('',#253024,#204471,#204472); #166140=AXIS2_PLACEMENT_3D('',#253040,#204480,#204481); #166141=AXIS2_PLACEMENT_3D('',#253042,#204482,#204483); #166142=AXIS2_PLACEMENT_3D('',#253044,#204484,#204485); #166143=AXIS2_PLACEMENT_3D('',#253046,#204486,#204487); #166144=AXIS2_PLACEMENT_3D('',#253048,#204488,#204489); #166145=AXIS2_PLACEMENT_3D('',#253052,#204491,#204492); #166146=AXIS2_PLACEMENT_3D('',#253054,#204493,#204494); #166147=AXIS2_PLACEMENT_3D('',#253056,#204495,#204496); #166148=AXIS2_PLACEMENT_3D('',#253058,#204497,#204498); #166149=AXIS2_PLACEMENT_3D('',#253060,#204499,#204500); #166150=AXIS2_PLACEMENT_3D('',#253062,#204501,#204502); #166151=AXIS2_PLACEMENT_3D('',#253068,#204505,#204506); #166152=AXIS2_PLACEMENT_3D('',#253074,#204509,#204510); #166153=AXIS2_PLACEMENT_3D('',#253078,#204512,#204513); #166154=AXIS2_PLACEMENT_3D('',#253079,#204514,#204515); #166155=AXIS2_PLACEMENT_3D('',#253082,#204516,#204517); #166156=AXIS2_PLACEMENT_3D('',#253092,#204522,#204523); #166157=AXIS2_PLACEMENT_3D('',#253096,#204525,#204526); #166158=AXIS2_PLACEMENT_3D('',#253098,#204527,#204528); #166159=AXIS2_PLACEMENT_3D('',#253100,#204529,#204530); #166160=AXIS2_PLACEMENT_3D('',#253102,#204531,#204532); #166161=AXIS2_PLACEMENT_3D('',#253104,#204533,#204534); #166162=AXIS2_PLACEMENT_3D('',#253106,#204535,#204536); #166163=AXIS2_PLACEMENT_3D('',#253108,#204537,#204538); #166164=AXIS2_PLACEMENT_3D('',#253110,#204539,#204540); #166165=AXIS2_PLACEMENT_3D('',#253112,#204541,#204542); #166166=AXIS2_PLACEMENT_3D('',#253116,#204544,#204545); #166167=AXIS2_PLACEMENT_3D('',#253118,#204546,#204547); #166168=AXIS2_PLACEMENT_3D('',#253122,#204549,#204550); #166169=AXIS2_PLACEMENT_3D('',#253168,#204573,#204574); #166170=AXIS2_PLACEMENT_3D('',#253172,#204576,#204577); #166171=AXIS2_PLACEMENT_3D('',#253178,#204580,#204581); #166172=AXIS2_PLACEMENT_3D('',#253180,#204582,#204583); #166173=AXIS2_PLACEMENT_3D('',#253182,#204584,#204585); #166174=AXIS2_PLACEMENT_3D('',#253184,#204586,#204587); #166175=AXIS2_PLACEMENT_3D('',#253186,#204588,#204589); #166176=AXIS2_PLACEMENT_3D('',#253204,#204598,#204599); #166177=AXIS2_PLACEMENT_3D('',#253206,#204600,#204601); #166178=AXIS2_PLACEMENT_3D('',#253208,#204602,#204603); #166179=AXIS2_PLACEMENT_3D('',#253212,#204605,#204606); #166180=AXIS2_PLACEMENT_3D('',#253214,#204607,#204608); #166181=AXIS2_PLACEMENT_3D('',#253218,#204610,#204611); #166182=AXIS2_PLACEMENT_3D('',#253234,#204619,#204620); #166183=AXIS2_PLACEMENT_3D('',#253236,#204621,#204622); #166184=AXIS2_PLACEMENT_3D('',#253258,#204633,#204634); #166185=AXIS2_PLACEMENT_3D('',#253268,#204639,#204640); #166186=AXIS2_PLACEMENT_3D('',#253276,#204644,#204645); #166187=AXIS2_PLACEMENT_3D('',#253285,#204650,#204651); #166188=AXIS2_PLACEMENT_3D('',#253302,#204659,#204660); #166189=AXIS2_PLACEMENT_3D('',#253314,#204666,#204667); #166190=AXIS2_PLACEMENT_3D('',#253322,#204671,#204672); #166191=AXIS2_PLACEMENT_3D('',#253324,#204673,#204674); #166192=AXIS2_PLACEMENT_3D('',#253330,#204677,#204678); #166193=AXIS2_PLACEMENT_3D('',#253332,#204679,#204680); #166194=AXIS2_PLACEMENT_3D('',#253336,#204682,#204683); #166195=AXIS2_PLACEMENT_3D('',#253338,#204684,#204685); #166196=AXIS2_PLACEMENT_3D('',#253344,#204688,#204689); #166197=AXIS2_PLACEMENT_3D('',#253346,#204690,#204691); #166198=AXIS2_PLACEMENT_3D('',#253354,#204695,#204696); #166199=AXIS2_PLACEMENT_3D('',#253360,#204699,#204700); #166200=AXIS2_PLACEMENT_3D('',#253368,#204704,#204705); #166201=AXIS2_PLACEMENT_3D('',#253378,#204710,#204711); #166202=AXIS2_PLACEMENT_3D('',#253388,#204716,#204717); #166203=AXIS2_PLACEMENT_3D('',#253396,#204721,#204722); #166204=AXIS2_PLACEMENT_3D('',#253412,#204730,#204731); #166205=AXIS2_PLACEMENT_3D('',#253418,#204734,#204735); #166206=AXIS2_PLACEMENT_3D('',#253420,#204736,#204737); #166207=AXIS2_PLACEMENT_3D('',#253426,#204740,#204741); #166208=AXIS2_PLACEMENT_3D('',#253432,#204744,#204745); #166209=AXIS2_PLACEMENT_3D('',#253442,#204750,#204751); #166210=AXIS2_PLACEMENT_3D('',#253444,#204752,#204753); #166211=AXIS2_PLACEMENT_3D('',#253452,#204757,#204758); #166212=AXIS2_PLACEMENT_3D('',#253456,#204760,#204761); #166213=AXIS2_PLACEMENT_3D('',#253460,#204763,#204764); #166214=AXIS2_PLACEMENT_3D('',#253464,#204766,#204767); #166215=AXIS2_PLACEMENT_3D('',#253470,#204770,#204771); #166216=AXIS2_PLACEMENT_3D('',#253474,#204773,#204774); #166217=AXIS2_PLACEMENT_3D('',#253478,#204776,#204777); #166218=AXIS2_PLACEMENT_3D('',#253482,#204779,#204780); #166219=AXIS2_PLACEMENT_3D('',#253488,#204783,#204784); #166220=AXIS2_PLACEMENT_3D('',#253492,#204786,#204787); #166221=AXIS2_PLACEMENT_3D('',#253498,#204790,#204791); #166222=AXIS2_PLACEMENT_3D('',#253502,#204793,#204794); #166223=AXIS2_PLACEMENT_3D('',#253508,#204797,#204798); #166224=AXIS2_PLACEMENT_3D('',#253512,#204800,#204801); #166225=AXIS2_PLACEMENT_3D('',#253518,#204804,#204805); #166226=AXIS2_PLACEMENT_3D('',#253522,#204807,#204808); #166227=AXIS2_PLACEMENT_3D('',#253528,#204811,#204812); #166228=AXIS2_PLACEMENT_3D('',#253532,#204814,#204815); #166229=AXIS2_PLACEMENT_3D('',#253536,#204817,#204818); #166230=AXIS2_PLACEMENT_3D('',#253540,#204820,#204821); #166231=AXIS2_PLACEMENT_3D('',#253546,#204824,#204825); #166232=AXIS2_PLACEMENT_3D('',#253550,#204827,#204828); #166233=AXIS2_PLACEMENT_3D('',#253564,#204835,#204836); #166234=AXIS2_PLACEMENT_3D('',#253574,#204841,#204842); #166235=AXIS2_PLACEMENT_3D('',#253582,#204846,#204847); #166236=AXIS2_PLACEMENT_3D('',#253586,#204849,#204850); #166237=AXIS2_PLACEMENT_3D('',#253588,#204851,#204852); #166238=AXIS2_PLACEMENT_3D('',#253592,#204854,#204855); #166239=AXIS2_PLACEMENT_3D('',#253594,#204856,#204857); #166240=AXIS2_PLACEMENT_3D('',#253598,#204859,#204860); #166241=AXIS2_PLACEMENT_3D('',#253606,#204864,#204865); #166242=AXIS2_PLACEMENT_3D('',#253622,#204873,#204874); #166243=AXIS2_PLACEMENT_3D('',#253626,#204876,#204877); #166244=AXIS2_PLACEMENT_3D('',#253628,#204878,#204879); #166245=AXIS2_PLACEMENT_3D('',#253630,#204880,#204881); #166246=AXIS2_PLACEMENT_3D('',#253634,#204883,#204884); #166247=AXIS2_PLACEMENT_3D('',#253636,#204885,#204886); #166248=AXIS2_PLACEMENT_3D('',#253638,#204887,#204888); #166249=AXIS2_PLACEMENT_3D('',#253650,#204894,#204895); #166250=AXIS2_PLACEMENT_3D('',#253651,#204896,#204897); #166251=AXIS2_PLACEMENT_3D('',#253658,#204900,#204901); #166252=AXIS2_PLACEMENT_3D('',#253660,#204902,#204903); #166253=AXIS2_PLACEMENT_3D('',#253662,#204904,#204905); #166254=AXIS2_PLACEMENT_3D('',#253664,#204906,#204907); #166255=AXIS2_PLACEMENT_3D('',#253666,#204908,#204909); #166256=AXIS2_PLACEMENT_3D('',#253668,#204910,#204911); #166257=AXIS2_PLACEMENT_3D('',#253670,#204912,#204913); #166258=AXIS2_PLACEMENT_3D('',#253672,#204914,#204915); #166259=AXIS2_PLACEMENT_3D('',#253673,#204916,#204917); #166260=AXIS2_PLACEMENT_3D('',#253678,#204919,#204920); #166261=AXIS2_PLACEMENT_3D('',#253680,#204921,#204922); #166262=AXIS2_PLACEMENT_3D('',#253686,#204925,#204926); #166263=AXIS2_PLACEMENT_3D('',#253688,#204927,#204928); #166264=AXIS2_PLACEMENT_3D('',#253694,#204931,#204932); #166265=AXIS2_PLACEMENT_3D('',#253700,#204935,#204936); #166266=AXIS2_PLACEMENT_3D('',#253708,#204940,#204941); #166267=AXIS2_PLACEMENT_3D('',#253716,#204945,#204946); #166268=AXIS2_PLACEMENT_3D('',#253724,#204950,#204951); #166269=AXIS2_PLACEMENT_3D('',#253728,#204953,#204954); #166270=AXIS2_PLACEMENT_3D('',#253730,#204955,#204956); #166271=AXIS2_PLACEMENT_3D('',#253732,#204957,#204958); #166272=AXIS2_PLACEMENT_3D('',#253738,#204961,#204962); #166273=AXIS2_PLACEMENT_3D('',#253740,#204963,#204964); #166274=AXIS2_PLACEMENT_3D('',#253744,#204966,#204967); #166275=AXIS2_PLACEMENT_3D('',#253750,#204970,#204971); #166276=AXIS2_PLACEMENT_3D('',#253752,#204972,#204973); #166277=AXIS2_PLACEMENT_3D('',#253770,#204982,#204983); #166278=AXIS2_PLACEMENT_3D('',#253776,#204986,#204987); #166279=AXIS2_PLACEMENT_3D('',#253780,#204989,#204990); #166280=AXIS2_PLACEMENT_3D('',#253782,#204991,#204992); #166281=AXIS2_PLACEMENT_3D('',#253784,#204993,#204994); #166282=AXIS2_PLACEMENT_3D('',#253788,#204996,#204997); #166283=AXIS2_PLACEMENT_3D('',#253800,#205003,#205004); #166284=AXIS2_PLACEMENT_3D('',#253802,#205005,#205006); #166285=AXIS2_PLACEMENT_3D('',#253804,#205007,#205008); #166286=AXIS2_PLACEMENT_3D('',#253808,#205010,#205011); #166287=AXIS2_PLACEMENT_3D('',#253810,#205012,#205013); #166288=AXIS2_PLACEMENT_3D('',#253842,#205029,#205030); #166289=AXIS2_PLACEMENT_3D('',#253854,#205036,#205037); #166290=AXIS2_PLACEMENT_3D('',#253874,#205047,#205048); #166291=AXIS2_PLACEMENT_3D('',#253878,#205050,#205051); #166292=AXIS2_PLACEMENT_3D('',#253880,#205052,#205053); #166293=AXIS2_PLACEMENT_3D('',#253885,#205056,#205057); #166294=AXIS2_PLACEMENT_3D('',#253900,#205064,#205065); #166295=AXIS2_PLACEMENT_3D('',#253904,#205067,#205068); #166296=AXIS2_PLACEMENT_3D('',#253908,#205070,#205071); #166297=AXIS2_PLACEMENT_3D('',#253910,#205072,#205073); #166298=AXIS2_PLACEMENT_3D('',#253932,#205084,#205085); #166299=AXIS2_PLACEMENT_3D('',#253944,#205091,#205092); #166300=AXIS2_PLACEMENT_3D('',#253947,#205094,#205095); #166301=AXIS2_PLACEMENT_3D('',#253950,#205096,#205097); #166302=AXIS2_PLACEMENT_3D('',#253956,#205100,#205101); #166303=AXIS2_PLACEMENT_3D('',#253960,#205103,#205104); #166304=AXIS2_PLACEMENT_3D('',#253966,#205107,#205108); #166305=AXIS2_PLACEMENT_3D('',#253970,#205110,#205111); #166306=AXIS2_PLACEMENT_3D('',#253974,#205113,#205114); #166307=AXIS2_PLACEMENT_3D('',#253976,#205116,#205117); #166308=AXIS2_PLACEMENT_3D('',#253980,#205119,#205120); #166309=AXIS2_PLACEMENT_3D('',#253982,#205122,#205123); #166310=AXIS2_PLACEMENT_3D('',#253986,#205126,#205127); #166311=AXIS2_PLACEMENT_3D('',#253989,#205129,#205130); #166312=AXIS2_PLACEMENT_3D('',#253990,#205131,#205132); #166313=AXIS2_PLACEMENT_3D('',#253994,#205135,#205136); #166314=AXIS2_PLACEMENT_3D('',#253997,#205138,#205139); #166315=AXIS2_PLACEMENT_3D('',#253998,#205140,#205141); #166316=AXIS2_PLACEMENT_3D('',#254002,#205144,#205145); #166317=AXIS2_PLACEMENT_3D('',#254005,#205147,#205148); #166318=AXIS2_PLACEMENT_3D('',#254006,#205149,#205150); #166319=AXIS2_PLACEMENT_3D('',#254010,#205153,#205154); #166320=AXIS2_PLACEMENT_3D('',#254014,#205157,#205158); #166321=AXIS2_PLACEMENT_3D('',#254017,#205160,#205161); #166322=AXIS2_PLACEMENT_3D('',#254018,#205162,#205163); #166323=AXIS2_PLACEMENT_3D('',#254022,#205166,#205167); #166324=AXIS2_PLACEMENT_3D('',#254025,#205169,#205170); #166325=AXIS2_PLACEMENT_3D('',#254026,#205171,#205172); #166326=AXIS2_PLACEMENT_3D('',#254030,#205175,#205176); #166327=AXIS2_PLACEMENT_3D('',#254032,#205178,#205179); #166328=AXIS2_PLACEMENT_3D('',#254033,#205180,#205181); #166329=AXIS2_PLACEMENT_3D('',#254042,#205186,#205187); #166330=AXIS2_PLACEMENT_3D('',#254048,#205191,#205192); #166331=AXIS2_PLACEMENT_3D('',#254054,#205196,#205197); #166332=AXIS2_PLACEMENT_3D('',#254060,#205201,#205202); #166333=AXIS2_PLACEMENT_3D('',#254066,#205206,#205207); #166334=AXIS2_PLACEMENT_3D('',#254072,#205211,#205212); #166335=AXIS2_PLACEMENT_3D('',#254078,#205216,#205217); #166336=AXIS2_PLACEMENT_3D('',#254084,#205221,#205222); #166337=AXIS2_PLACEMENT_3D('',#254090,#205226,#205227); #166338=AXIS2_PLACEMENT_3D('',#254096,#205231,#205232); #166339=AXIS2_PLACEMENT_3D('',#254102,#205236,#205237); #166340=AXIS2_PLACEMENT_3D('',#254108,#205241,#205242); #166341=AXIS2_PLACEMENT_3D('',#254114,#205246,#205247); #166342=AXIS2_PLACEMENT_3D('',#254115,#205248,#205249); #166343=AXIS2_PLACEMENT_3D('',#254116,#205250,#205251); #166344=AXIS2_PLACEMENT_3D('',#254117,#205252,#205253); #166345=AXIS2_PLACEMENT_3D('',#254123,#205257,#205258); #166346=AXIS2_PLACEMENT_3D('',#254126,#205260,#205261); #166347=AXIS2_PLACEMENT_3D('',#254127,#205262,#205263); #166348=AXIS2_PLACEMENT_3D('',#254131,#205266,#205267); #166349=AXIS2_PLACEMENT_3D('',#254134,#205269,#205270); #166350=AXIS2_PLACEMENT_3D('',#254135,#205271,#205272); #166351=AXIS2_PLACEMENT_3D('',#254139,#205275,#205276); #166352=AXIS2_PLACEMENT_3D('',#254143,#205279,#205280); #166353=AXIS2_PLACEMENT_3D('',#254147,#205283,#205284); #166354=AXIS2_PLACEMENT_3D('',#254151,#205287,#205288); #166355=AXIS2_PLACEMENT_3D('',#254155,#205291,#205292); #166356=AXIS2_PLACEMENT_3D('',#254158,#205294,#205295); #166357=AXIS2_PLACEMENT_3D('',#254159,#205296,#205297); #166358=AXIS2_PLACEMENT_3D('',#254163,#205300,#205301); #166359=AXIS2_PLACEMENT_3D('',#254167,#205304,#205305); #166360=AXIS2_PLACEMENT_3D('',#254171,#205308,#205309); #166361=AXIS2_PLACEMENT_3D('',#254175,#205312,#205313); #166362=AXIS2_PLACEMENT_3D('',#254179,#205316,#205317); #166363=AXIS2_PLACEMENT_3D('',#254183,#205320,#205321); #166364=AXIS2_PLACEMENT_3D('',#254187,#205324,#205325); #166365=AXIS2_PLACEMENT_3D('',#254191,#205328,#205329); #166366=AXIS2_PLACEMENT_3D('',#254195,#205332,#205333); #166367=AXIS2_PLACEMENT_3D('',#254199,#205336,#205337); #166368=AXIS2_PLACEMENT_3D('',#254202,#205339,#205340); #166369=AXIS2_PLACEMENT_3D('',#254203,#205341,#205342); #166370=AXIS2_PLACEMENT_3D('',#254206,#205344,#205345); #166371=AXIS2_PLACEMENT_3D('',#254207,#205346,#205347); #166372=AXIS2_PLACEMENT_3D('',#254211,#205350,#205351); #166373=AXIS2_PLACEMENT_3D('',#254214,#205353,#205354); #166374=AXIS2_PLACEMENT_3D('',#254215,#205355,#205356); #166375=AXIS2_PLACEMENT_3D('',#254219,#205359,#205360); #166376=AXIS2_PLACEMENT_3D('',#254222,#205362,#205363); #166377=AXIS2_PLACEMENT_3D('',#254223,#205364,#205365); #166378=AXIS2_PLACEMENT_3D('',#254227,#205368,#205369); #166379=AXIS2_PLACEMENT_3D('',#254231,#205372,#205373); #166380=AXIS2_PLACEMENT_3D('',#254235,#205376,#205377); #166381=AXIS2_PLACEMENT_3D('',#254239,#205380,#205381); #166382=AXIS2_PLACEMENT_3D('',#254241,#205383,#205384); #166383=AXIS2_PLACEMENT_3D('',#254242,#205385,#205386); #166384=AXIS2_PLACEMENT_3D('',#254248,#205390,#205391); #166385=AXIS2_PLACEMENT_3D('',#254251,#205393,#205394); #166386=AXIS2_PLACEMENT_3D('',#254252,#205395,#205396); #166387=AXIS2_PLACEMENT_3D('',#254256,#205399,#205400); #166388=AXIS2_PLACEMENT_3D('',#254260,#205403,#205404); #166389=AXIS2_PLACEMENT_3D('',#254263,#205406,#205407); #166390=AXIS2_PLACEMENT_3D('',#254264,#205408,#205409); #166391=AXIS2_PLACEMENT_3D('',#254267,#205411,#205412); #166392=AXIS2_PLACEMENT_3D('',#254268,#205413,#205414); #166393=AXIS2_PLACEMENT_3D('',#254272,#205417,#205418); #166394=AXIS2_PLACEMENT_3D('',#254275,#205420,#205421); #166395=AXIS2_PLACEMENT_3D('',#254276,#205422,#205423); #166396=AXIS2_PLACEMENT_3D('',#254280,#205426,#205427); #166397=AXIS2_PLACEMENT_3D('',#254284,#205430,#205431); #166398=AXIS2_PLACEMENT_3D('',#254288,#205434,#205435); #166399=AXIS2_PLACEMENT_3D('',#254292,#205438,#205439); #166400=AXIS2_PLACEMENT_3D('',#254296,#205442,#205443); #166401=AXIS2_PLACEMENT_3D('',#254300,#205446,#205447); #166402=AXIS2_PLACEMENT_3D('',#254304,#205450,#205451); #166403=AXIS2_PLACEMENT_3D('',#254308,#205454,#205455); #166404=AXIS2_PLACEMENT_3D('',#254312,#205458,#205459); #166405=AXIS2_PLACEMENT_3D('',#254315,#205461,#205462); #166406=AXIS2_PLACEMENT_3D('',#254316,#205463,#205464); #166407=AXIS2_PLACEMENT_3D('',#254320,#205467,#205468); #166408=AXIS2_PLACEMENT_3D('',#254324,#205471,#205472); #166409=AXIS2_PLACEMENT_3D('',#254328,#205475,#205476); #166410=AXIS2_PLACEMENT_3D('',#254332,#205479,#205480); #166411=AXIS2_PLACEMENT_3D('',#254336,#205483,#205484); #166412=AXIS2_PLACEMENT_3D('',#254339,#205486,#205487); #166413=AXIS2_PLACEMENT_3D('',#254340,#205488,#205489); #166414=AXIS2_PLACEMENT_3D('',#254344,#205492,#205493); #166415=AXIS2_PLACEMENT_3D('',#254348,#205496,#205497); #166416=AXIS2_PLACEMENT_3D('',#254352,#205500,#205501); #166417=AXIS2_PLACEMENT_3D('',#254356,#205504,#205505); #166418=AXIS2_PLACEMENT_3D('',#254360,#205508,#205509); #166419=AXIS2_PLACEMENT_3D('',#254364,#205512,#205513); #166420=AXIS2_PLACEMENT_3D('',#254366,#205515,#205516); #166421=AXIS2_PLACEMENT_3D('',#254367,#205517,#205518); #166422=AXIS2_PLACEMENT_3D('',#254373,#205522,#205523); #166423=AXIS2_PLACEMENT_3D('',#254377,#205526,#205527); #166424=AXIS2_PLACEMENT_3D('',#254381,#205530,#205531); #166425=AXIS2_PLACEMENT_3D('',#254385,#205534,#205535); #166426=AXIS2_PLACEMENT_3D('',#254389,#205538,#205539); #166427=AXIS2_PLACEMENT_3D('',#254393,#205542,#205543); #166428=AXIS2_PLACEMENT_3D('',#254397,#205546,#205547); #166429=AXIS2_PLACEMENT_3D('',#254401,#205550,#205551); #166430=AXIS2_PLACEMENT_3D('',#254404,#205553,#205554); #166431=AXIS2_PLACEMENT_3D('',#254405,#205555,#205556); #166432=AXIS2_PLACEMENT_3D('',#254408,#205558,#205559); #166433=AXIS2_PLACEMENT_3D('',#254409,#205560,#205561); #166434=AXIS2_PLACEMENT_3D('',#254413,#205564,#205565); #166435=AXIS2_PLACEMENT_3D('',#254416,#205567,#205568); #166436=AXIS2_PLACEMENT_3D('',#254417,#205569,#205570); #166437=AXIS2_PLACEMENT_3D('',#254420,#205572,#205573); #166438=AXIS2_PLACEMENT_3D('',#254421,#205574,#205575); #166439=AXIS2_PLACEMENT_3D('',#254424,#205577,#205578); #166440=AXIS2_PLACEMENT_3D('',#254425,#205579,#205580); #166441=AXIS2_PLACEMENT_3D('',#254429,#205583,#205584); #166442=AXIS2_PLACEMENT_3D('',#254433,#205587,#205588); #166443=AXIS2_PLACEMENT_3D('',#254437,#205591,#205592); #166444=AXIS2_PLACEMENT_3D('',#254441,#205595,#205596); #166445=AXIS2_PLACEMENT_3D('',#254445,#205599,#205600); #166446=AXIS2_PLACEMENT_3D('',#254448,#205602,#205603); #166447=AXIS2_PLACEMENT_3D('',#254449,#205604,#205605); #166448=AXIS2_PLACEMENT_3D('',#254453,#205608,#205609); #166449=AXIS2_PLACEMENT_3D('',#254456,#205611,#205612); #166450=AXIS2_PLACEMENT_3D('',#254457,#205613,#205614); #166451=AXIS2_PLACEMENT_3D('',#254460,#205616,#205617); #166452=AXIS2_PLACEMENT_3D('',#254461,#205618,#205619); #166453=AXIS2_PLACEMENT_3D('',#254464,#205621,#205622); #166454=AXIS2_PLACEMENT_3D('',#254465,#205623,#205624); #166455=AXIS2_PLACEMENT_3D('',#254469,#205627,#205628); #166456=AXIS2_PLACEMENT_3D('',#254472,#205630,#205631); #166457=AXIS2_PLACEMENT_3D('',#254473,#205632,#205633); #166458=AXIS2_PLACEMENT_3D('',#254477,#205636,#205637); #166459=AXIS2_PLACEMENT_3D('',#254481,#205640,#205641); #166460=AXIS2_PLACEMENT_3D('',#254484,#205643,#205644); #166461=AXIS2_PLACEMENT_3D('',#254485,#205645,#205646); #166462=AXIS2_PLACEMENT_3D('',#254489,#205649,#205650); #166463=AXIS2_PLACEMENT_3D('',#254493,#205653,#205654); #166464=AXIS2_PLACEMENT_3D('',#254497,#205657,#205658); #166465=AXIS2_PLACEMENT_3D('',#254499,#205660,#205661); #166466=AXIS2_PLACEMENT_3D('',#254500,#205662,#205663); #166467=AXIS2_PLACEMENT_3D('',#254506,#205667,#205668); #166468=AXIS2_PLACEMENT_3D('',#254510,#205671,#205672); #166469=AXIS2_PLACEMENT_3D('',#254514,#205675,#205676); #166470=AXIS2_PLACEMENT_3D('',#254518,#205679,#205680); #166471=AXIS2_PLACEMENT_3D('',#254521,#205682,#205683); #166472=AXIS2_PLACEMENT_3D('',#254522,#205684,#205685); #166473=AXIS2_PLACEMENT_3D('',#254525,#205687,#205688); #166474=AXIS2_PLACEMENT_3D('',#254526,#205689,#205690); #166475=AXIS2_PLACEMENT_3D('',#254530,#205693,#205694); #166476=AXIS2_PLACEMENT_3D('',#254534,#205697,#205698); #166477=AXIS2_PLACEMENT_3D('',#254537,#205700,#205701); #166478=AXIS2_PLACEMENT_3D('',#254538,#205702,#205703); #166479=AXIS2_PLACEMENT_3D('',#254542,#205706,#205707); #166480=AXIS2_PLACEMENT_3D('',#254545,#205709,#205710); #166481=AXIS2_PLACEMENT_3D('',#254546,#205711,#205712); #166482=AXIS2_PLACEMENT_3D('',#254549,#205714,#205715); #166483=AXIS2_PLACEMENT_3D('',#254550,#205716,#205717); #166484=AXIS2_PLACEMENT_3D('',#254554,#205720,#205721); #166485=AXIS2_PLACEMENT_3D('',#254558,#205724,#205725); #166486=AXIS2_PLACEMENT_3D('',#254561,#205727,#205728); #166487=AXIS2_PLACEMENT_3D('',#254562,#205729,#205730); #166488=AXIS2_PLACEMENT_3D('',#254565,#205732,#205733); #166489=AXIS2_PLACEMENT_3D('',#254566,#205734,#205735); #166490=AXIS2_PLACEMENT_3D('',#254569,#205737,#205738); #166491=AXIS2_PLACEMENT_3D('',#254570,#205739,#205740); #166492=AXIS2_PLACEMENT_3D('',#254574,#205743,#205744); #166493=AXIS2_PLACEMENT_3D('',#254577,#205746,#205747); #166494=AXIS2_PLACEMENT_3D('',#254578,#205748,#205749); #166495=AXIS2_PLACEMENT_3D('',#254582,#205752,#205753); #166496=AXIS2_PLACEMENT_3D('',#254586,#205756,#205757); #166497=AXIS2_PLACEMENT_3D('',#254590,#205760,#205761); #166498=AXIS2_PLACEMENT_3D('',#254593,#205763,#205764); #166499=AXIS2_PLACEMENT_3D('',#254594,#205765,#205766); #166500=AXIS2_PLACEMENT_3D('',#254598,#205769,#205770); #166501=AXIS2_PLACEMENT_3D('',#254602,#205773,#205774); #166502=AXIS2_PLACEMENT_3D('',#254606,#205777,#205778); #166503=AXIS2_PLACEMENT_3D('',#254609,#205780,#205781); #166504=AXIS2_PLACEMENT_3D('',#254610,#205782,#205783); #166505=AXIS2_PLACEMENT_3D('',#254614,#205786,#205787); #166506=AXIS2_PLACEMENT_3D('',#254618,#205790,#205791); #166507=AXIS2_PLACEMENT_3D('',#254622,#205794,#205795); #166508=AXIS2_PLACEMENT_3D('',#254625,#205797,#205798); #166509=AXIS2_PLACEMENT_3D('',#254626,#205799,#205800); #166510=AXIS2_PLACEMENT_3D('',#254630,#205803,#205804); #166511=AXIS2_PLACEMENT_3D('',#254634,#205807,#205808); #166512=AXIS2_PLACEMENT_3D('',#254637,#205810,#205811); #166513=AXIS2_PLACEMENT_3D('',#254638,#205812,#205813); #166514=AXIS2_PLACEMENT_3D('',#254642,#205816,#205817); #166515=AXIS2_PLACEMENT_3D('',#254646,#205820,#205821); #166516=AXIS2_PLACEMENT_3D('',#254649,#205823,#205824); #166517=AXIS2_PLACEMENT_3D('',#254650,#205825,#205826); #166518=AXIS2_PLACEMENT_3D('',#254653,#205828,#205829); #166519=AXIS2_PLACEMENT_3D('',#254654,#205830,#205831); #166520=AXIS2_PLACEMENT_3D('',#254658,#205834,#205835); #166521=AXIS2_PLACEMENT_3D('',#254662,#205838,#205839); #166522=AXIS2_PLACEMENT_3D('',#254665,#205841,#205842); #166523=AXIS2_PLACEMENT_3D('',#254666,#205843,#205844); #166524=AXIS2_PLACEMENT_3D('',#254667,#205845,#205846); #166525=AXIS2_PLACEMENT_3D('',#254668,#205847,#205848); #166526=AXIS2_PLACEMENT_3D('',#254669,#205849,#205850); #166527=AXIS2_PLACEMENT_3D('',#254672,#205851,#205852); #166528=AXIS2_PLACEMENT_3D('',#254676,#205854,#205855); #166529=AXIS2_PLACEMENT_3D('',#254678,#205857,#205858); #166530=AXIS2_PLACEMENT_3D('',#254680,#205859,#205860); #166531=AXIS2_PLACEMENT_3D('',#254683,#205862,#205863); #166532=AXIS2_PLACEMENT_3D('',#254684,#205864,#205865); #166533=AXIS2_PLACEMENT_3D('',#254686,#205866,#205867); #166534=AXIS2_PLACEMENT_3D('',#254689,#205869,#205870); #166535=AXIS2_PLACEMENT_3D('',#254690,#205871,#205872); #166536=AXIS2_PLACEMENT_3D('',#254696,#205876,#205877); #166537=AXIS2_PLACEMENT_3D('',#254698,#205878,#205879); #166538=AXIS2_PLACEMENT_3D('',#254701,#205881,#205882); #166539=AXIS2_PLACEMENT_3D('',#254702,#205883,#205884); #166540=AXIS2_PLACEMENT_3D('',#254704,#205885,#205886); #166541=AXIS2_PLACEMENT_3D('',#254707,#205888,#205889); #166542=AXIS2_PLACEMENT_3D('',#254708,#205890,#205891); #166543=AXIS2_PLACEMENT_3D('',#254710,#205892,#205893); #166544=AXIS2_PLACEMENT_3D('',#254713,#205895,#205896); #166545=AXIS2_PLACEMENT_3D('',#254714,#205897,#205898); #166546=AXIS2_PLACEMENT_3D('',#254716,#205899,#205900); #166547=AXIS2_PLACEMENT_3D('',#254719,#205902,#205903); #166548=AXIS2_PLACEMENT_3D('',#254720,#205904,#205905); #166549=AXIS2_PLACEMENT_3D('',#254721,#205906,#205907); #166550=AXIS2_PLACEMENT_3D('',#254722,#205908,#205909); #166551=AXIS2_PLACEMENT_3D('',#254723,#205910,#205911); #166552=AXIS2_PLACEMENT_3D('',#254729,#205915,#205916); #166553=AXIS2_PLACEMENT_3D('',#254732,#205918,#205919); #166554=AXIS2_PLACEMENT_3D('',#254733,#205920,#205921); #166555=AXIS2_PLACEMENT_3D('',#254736,#205923,#205924); #166556=AXIS2_PLACEMENT_3D('',#254737,#205925,#205926); #166557=AXIS2_PLACEMENT_3D('',#254740,#205928,#205929); #166558=AXIS2_PLACEMENT_3D('',#254741,#205930,#205931); #166559=AXIS2_PLACEMENT_3D('',#254744,#205933,#205934); #166560=AXIS2_PLACEMENT_3D('',#254745,#205935,#205936); #166561=AXIS2_PLACEMENT_3D('',#254748,#205938,#205939); #166562=AXIS2_PLACEMENT_3D('',#254749,#205940,#205941); #166563=AXIS2_PLACEMENT_3D('',#254752,#205943,#205944); #166564=AXIS2_PLACEMENT_3D('',#254753,#205945,#205946); #166565=AXIS2_PLACEMENT_3D('',#254756,#205948,#205949); #166566=AXIS2_PLACEMENT_3D('',#254757,#205950,#205951); #166567=AXIS2_PLACEMENT_3D('',#254760,#205953,#205954); #166568=AXIS2_PLACEMENT_3D('',#254761,#205955,#205956); #166569=AXIS2_PLACEMENT_3D('',#254764,#205958,#205959); #166570=AXIS2_PLACEMENT_3D('',#254765,#205960,#205961); #166571=AXIS2_PLACEMENT_3D('',#254767,#205963,#205964); #166572=AXIS2_PLACEMENT_3D('',#254768,#205965,#205966); #166573=AXIS2_PLACEMENT_3D('',#254774,#205970,#205971); #166574=AXIS2_PLACEMENT_3D('',#254777,#205973,#205974); #166575=AXIS2_PLACEMENT_3D('',#254778,#205975,#205976); #166576=AXIS2_PLACEMENT_3D('',#254781,#205978,#205979); #166577=AXIS2_PLACEMENT_3D('',#254782,#205980,#205981); #166578=AXIS2_PLACEMENT_3D('',#254786,#205984,#205985); #166579=AXIS2_PLACEMENT_3D('',#254790,#205988,#205989); #166580=AXIS2_PLACEMENT_3D('',#254794,#205992,#205993); #166581=AXIS2_PLACEMENT_3D('',#254798,#205996,#205997); #166582=AXIS2_PLACEMENT_3D('',#254802,#206000,#206001); #166583=AXIS2_PLACEMENT_3D('',#254805,#206003,#206004); #166584=AXIS2_PLACEMENT_3D('',#254806,#206005,#206006); #166585=AXIS2_PLACEMENT_3D('',#254809,#206008,#206009); #166586=AXIS2_PLACEMENT_3D('',#254810,#206010,#206011); #166587=AXIS2_PLACEMENT_3D('',#254813,#206013,#206014); #166588=AXIS2_PLACEMENT_3D('',#254814,#206015,#206016); #166589=AXIS2_PLACEMENT_3D('',#254818,#206019,#206020); #166590=AXIS2_PLACEMENT_3D('',#254821,#206022,#206023); #166591=AXIS2_PLACEMENT_3D('',#254822,#206024,#206025); #166592=AXIS2_PLACEMENT_3D('',#254825,#206027,#206028); #166593=AXIS2_PLACEMENT_3D('',#254826,#206029,#206030); #166594=AXIS2_PLACEMENT_3D('',#254829,#206032,#206033); #166595=AXIS2_PLACEMENT_3D('',#254830,#206034,#206035); #166596=AXIS2_PLACEMENT_3D('',#254834,#206038,#206039); #166597=AXIS2_PLACEMENT_3D('',#254837,#206041,#206042); #166598=AXIS2_PLACEMENT_3D('',#254838,#206043,#206044); #166599=AXIS2_PLACEMENT_3D('',#254842,#206047,#206048); #166600=AXIS2_PLACEMENT_3D('',#254846,#206051,#206052); #166601=AXIS2_PLACEMENT_3D('',#254850,#206055,#206056); #166602=AXIS2_PLACEMENT_3D('',#254854,#206059,#206060); #166603=AXIS2_PLACEMENT_3D('',#254858,#206063,#206064); #166604=AXIS2_PLACEMENT_3D('',#254862,#206067,#206068); #166605=AXIS2_PLACEMENT_3D('',#254866,#206071,#206072); #166606=AXIS2_PLACEMENT_3D('',#254869,#206074,#206075); #166607=AXIS2_PLACEMENT_3D('',#254870,#206076,#206077); #166608=AXIS2_PLACEMENT_3D('',#254874,#206080,#206081); #166609=AXIS2_PLACEMENT_3D('',#254878,#206084,#206085); #166610=AXIS2_PLACEMENT_3D('',#254882,#206088,#206089); #166611=AXIS2_PLACEMENT_3D('',#254885,#206091,#206092); #166612=AXIS2_PLACEMENT_3D('',#254886,#206093,#206094); #166613=AXIS2_PLACEMENT_3D('',#254890,#206097,#206098); #166614=AXIS2_PLACEMENT_3D('',#254893,#206100,#206101); #166615=AXIS2_PLACEMENT_3D('',#254894,#206102,#206103); #166616=AXIS2_PLACEMENT_3D('',#254897,#206105,#206106); #166617=AXIS2_PLACEMENT_3D('',#254898,#206107,#206108); #166618=AXIS2_PLACEMENT_3D('',#254902,#206111,#206112); #166619=AXIS2_PLACEMENT_3D('',#254905,#206114,#206115); #166620=AXIS2_PLACEMENT_3D('',#254906,#206116,#206117); #166621=AXIS2_PLACEMENT_3D('',#254909,#206119,#206120); #166622=AXIS2_PLACEMENT_3D('',#254910,#206121,#206122); #166623=AXIS2_PLACEMENT_3D('',#254914,#206125,#206126); #166624=AXIS2_PLACEMENT_3D('',#254917,#206128,#206129); #166625=AXIS2_PLACEMENT_3D('',#254918,#206130,#206131); #166626=AXIS2_PLACEMENT_3D('',#254922,#206134,#206135); #166627=AXIS2_PLACEMENT_3D('',#254926,#206138,#206139); #166628=AXIS2_PLACEMENT_3D('',#254930,#206142,#206143); #166629=AXIS2_PLACEMENT_3D('',#254933,#206145,#206146); #166630=AXIS2_PLACEMENT_3D('',#254934,#206147,#206148); #166631=AXIS2_PLACEMENT_3D('',#254938,#206151,#206152); #166632=AXIS2_PLACEMENT_3D('',#254942,#206155,#206156); #166633=AXIS2_PLACEMENT_3D('',#254946,#206159,#206160); #166634=AXIS2_PLACEMENT_3D('',#254950,#206163,#206164); #166635=AXIS2_PLACEMENT_3D('',#254951,#206165,#206166); #166636=AXIS2_PLACEMENT_3D('',#254952,#206167,#206168); #166637=AXIS2_PLACEMENT_3D('',#254953,#206169,#206170); #166638=AXIS2_PLACEMENT_3D('',#254959,#206174,#206175); #166639=AXIS2_PLACEMENT_3D('',#254963,#206178,#206179); #166640=AXIS2_PLACEMENT_3D('',#254967,#206182,#206183); #166641=AXIS2_PLACEMENT_3D('',#254971,#206186,#206187); #166642=AXIS2_PLACEMENT_3D('',#254975,#206190,#206191); #166643=AXIS2_PLACEMENT_3D('',#254979,#206194,#206195); #166644=AXIS2_PLACEMENT_3D('',#254982,#206197,#206198); #166645=AXIS2_PLACEMENT_3D('',#254983,#206199,#206200); #166646=AXIS2_PLACEMENT_3D('',#254987,#206203,#206204); #166647=AXIS2_PLACEMENT_3D('',#254990,#206206,#206207); #166648=AXIS2_PLACEMENT_3D('',#254991,#206208,#206209); #166649=AXIS2_PLACEMENT_3D('',#254995,#206212,#206213); #166650=AXIS2_PLACEMENT_3D('',#254999,#206216,#206217); #166651=AXIS2_PLACEMENT_3D('',#255002,#206219,#206220); #166652=AXIS2_PLACEMENT_3D('',#255003,#206221,#206222); #166653=AXIS2_PLACEMENT_3D('',#255007,#206225,#206226); #166654=AXIS2_PLACEMENT_3D('',#255010,#206228,#206229); #166655=AXIS2_PLACEMENT_3D('',#255011,#206230,#206231); #166656=AXIS2_PLACEMENT_3D('',#255015,#206234,#206235); #166657=AXIS2_PLACEMENT_3D('',#255018,#206237,#206238); #166658=AXIS2_PLACEMENT_3D('',#255019,#206239,#206240); #166659=AXIS2_PLACEMENT_3D('',#255023,#206243,#206244); #166660=AXIS2_PLACEMENT_3D('',#255024,#206245,#206246); #166661=AXIS2_PLACEMENT_3D('',#255025,#206247,#206248); #166662=AXIS2_PLACEMENT_3D('',#255026,#206249,#206250); #166663=AXIS2_PLACEMENT_3D('',#255030,#206252,#206253); #166664=AXIS2_PLACEMENT_3D('',#255032,#206255,#206256); #166665=AXIS2_PLACEMENT_3D('',#255036,#206259,#206260); #166666=AXIS2_PLACEMENT_3D('',#255039,#206262,#206263); #166667=AXIS2_PLACEMENT_3D('',#255040,#206264,#206265); #166668=AXIS2_PLACEMENT_3D('',#255044,#206268,#206269); #166669=AXIS2_PLACEMENT_3D('',#255047,#206271,#206272); #166670=AXIS2_PLACEMENT_3D('',#255048,#206273,#206274); #166671=AXIS2_PLACEMENT_3D('',#255052,#206277,#206278); #166672=AXIS2_PLACEMENT_3D('',#255056,#206281,#206282); #166673=AXIS2_PLACEMENT_3D('',#255059,#206284,#206285); #166674=AXIS2_PLACEMENT_3D('',#255060,#206286,#206287); #166675=AXIS2_PLACEMENT_3D('',#255064,#206290,#206291); #166676=AXIS2_PLACEMENT_3D('',#255067,#206293,#206294); #166677=AXIS2_PLACEMENT_3D('',#255068,#206295,#206296); #166678=AXIS2_PLACEMENT_3D('',#255072,#206299,#206300); #166679=AXIS2_PLACEMENT_3D('',#255076,#206303,#206304); #166680=AXIS2_PLACEMENT_3D('',#255079,#206306,#206307); #166681=AXIS2_PLACEMENT_3D('',#255080,#206308,#206309); #166682=AXIS2_PLACEMENT_3D('',#255082,#206311,#206312); #166683=AXIS2_PLACEMENT_3D('',#255083,#206313,#206314); #166684=AXIS2_PLACEMENT_3D('',#255087,#206316,#206317); #166685=AXIS2_PLACEMENT_3D('',#255089,#206319,#206320); #166686=AXIS2_PLACEMENT_3D('',#255093,#206323,#206324); #166687=AXIS2_PLACEMENT_3D('',#255097,#206327,#206328); #166688=AXIS2_PLACEMENT_3D('',#255100,#206330,#206331); #166689=AXIS2_PLACEMENT_3D('',#255101,#206332,#206333); #166690=AXIS2_PLACEMENT_3D('',#255105,#206336,#206337); #166691=AXIS2_PLACEMENT_3D('',#255108,#206339,#206340); #166692=AXIS2_PLACEMENT_3D('',#255109,#206341,#206342); #166693=AXIS2_PLACEMENT_3D('',#255113,#206345,#206346); #166694=AXIS2_PLACEMENT_3D('',#255117,#206349,#206350); #166695=AXIS2_PLACEMENT_3D('',#255120,#206352,#206353); #166696=AXIS2_PLACEMENT_3D('',#255121,#206354,#206355); #166697=AXIS2_PLACEMENT_3D('',#255125,#206358,#206359); #166698=AXIS2_PLACEMENT_3D('',#255128,#206361,#206362); #166699=AXIS2_PLACEMENT_3D('',#255129,#206363,#206364); #166700=AXIS2_PLACEMENT_3D('',#255133,#206367,#206368); #166701=AXIS2_PLACEMENT_3D('',#255136,#206370,#206371); #166702=AXIS2_PLACEMENT_3D('',#255137,#206372,#206373); #166703=AXIS2_PLACEMENT_3D('',#255141,#206376,#206377); #166704=AXIS2_PLACEMENT_3D('',#255144,#206379,#206380); #166705=AXIS2_PLACEMENT_3D('',#255145,#206381,#206382); #166706=AXIS2_PLACEMENT_3D('',#255149,#206385,#206386); #166707=AXIS2_PLACEMENT_3D('',#255153,#206389,#206390); #166708=AXIS2_PLACEMENT_3D('',#255156,#206392,#206393); #166709=AXIS2_PLACEMENT_3D('',#255157,#206394,#206395); #166710=AXIS2_PLACEMENT_3D('',#255161,#206398,#206399); #166711=AXIS2_PLACEMENT_3D('',#255164,#206401,#206402); #166712=AXIS2_PLACEMENT_3D('',#255165,#206403,#206404); #166713=AXIS2_PLACEMENT_3D('',#255169,#206407,#206408); #166714=AXIS2_PLACEMENT_3D('',#255172,#206410,#206411); #166715=AXIS2_PLACEMENT_3D('',#255173,#206412,#206413); #166716=AXIS2_PLACEMENT_3D('',#255175,#206415,#206416); #166717=AXIS2_PLACEMENT_3D('',#255176,#206417,#206418); #166718=AXIS2_PLACEMENT_3D('',#255182,#206422,#206423); #166719=AXIS2_PLACEMENT_3D('',#255186,#206426,#206427); #166720=AXIS2_PLACEMENT_3D('',#255190,#206430,#206431); #166721=AXIS2_PLACEMENT_3D('',#255194,#206434,#206435); #166722=AXIS2_PLACEMENT_3D('',#255197,#206437,#206438); #166723=AXIS2_PLACEMENT_3D('',#255198,#206439,#206440); #166724=AXIS2_PLACEMENT_3D('',#255201,#206442,#206443); #166725=AXIS2_PLACEMENT_3D('',#255202,#206444,#206445); #166726=AXIS2_PLACEMENT_3D('',#255206,#206448,#206449); #166727=AXIS2_PLACEMENT_3D('',#255210,#206452,#206453); #166728=AXIS2_PLACEMENT_3D('',#255214,#206456,#206457); #166729=AXIS2_PLACEMENT_3D('',#255218,#206460,#206461); #166730=AXIS2_PLACEMENT_3D('',#255221,#206463,#206464); #166731=AXIS2_PLACEMENT_3D('',#255222,#206465,#206466); #166732=AXIS2_PLACEMENT_3D('',#255226,#206469,#206470); #166733=AXIS2_PLACEMENT_3D('',#255230,#206473,#206474); #166734=AXIS2_PLACEMENT_3D('',#255233,#206476,#206477); #166735=AXIS2_PLACEMENT_3D('',#255234,#206478,#206479); #166736=AXIS2_PLACEMENT_3D('',#255238,#206482,#206483); #166737=AXIS2_PLACEMENT_3D('',#255242,#206486,#206487); #166738=AXIS2_PLACEMENT_3D('',#255245,#206489,#206490); #166739=AXIS2_PLACEMENT_3D('',#255246,#206491,#206492); #166740=AXIS2_PLACEMENT_3D('',#255249,#206494,#206495); #166741=AXIS2_PLACEMENT_3D('',#255250,#206496,#206497); #166742=AXIS2_PLACEMENT_3D('',#255254,#206500,#206501); #166743=AXIS2_PLACEMENT_3D('',#255258,#206504,#206505); #166744=AXIS2_PLACEMENT_3D('',#255261,#206507,#206508); #166745=AXIS2_PLACEMENT_3D('',#255262,#206509,#206510); #166746=AXIS2_PLACEMENT_3D('',#255266,#206513,#206514); #166747=AXIS2_PLACEMENT_3D('',#255270,#206517,#206518); #166748=AXIS2_PLACEMENT_3D('',#255274,#206521,#206522); #166749=AXIS2_PLACEMENT_3D('',#255278,#206525,#206526); #166750=AXIS2_PLACEMENT_3D('',#255282,#206529,#206530); #166751=AXIS2_PLACEMENT_3D('',#255286,#206533,#206534); #166752=AXIS2_PLACEMENT_3D('',#255290,#206537,#206538); #166753=AXIS2_PLACEMENT_3D('',#255293,#206540,#206541); #166754=AXIS2_PLACEMENT_3D('',#255294,#206542,#206543); #166755=AXIS2_PLACEMENT_3D('',#255298,#206546,#206547); #166756=AXIS2_PLACEMENT_3D('',#255302,#206550,#206551); #166757=AXIS2_PLACEMENT_3D('',#255306,#206554,#206555); #166758=AXIS2_PLACEMENT_3D('',#255309,#206557,#206558); #166759=AXIS2_PLACEMENT_3D('',#255310,#206559,#206560); #166760=AXIS2_PLACEMENT_3D('',#255314,#206563,#206564); #166761=AXIS2_PLACEMENT_3D('',#255318,#206567,#206568); #166762=AXIS2_PLACEMENT_3D('',#255322,#206571,#206572); #166763=AXIS2_PLACEMENT_3D('',#255326,#206575,#206576); #166764=AXIS2_PLACEMENT_3D('',#255329,#206578,#206579); #166765=AXIS2_PLACEMENT_3D('',#255330,#206580,#206581); #166766=AXIS2_PLACEMENT_3D('',#255334,#206584,#206585); #166767=AXIS2_PLACEMENT_3D('',#255338,#206588,#206589); #166768=AXIS2_PLACEMENT_3D('',#255342,#206592,#206593); #166769=AXIS2_PLACEMENT_3D('',#255346,#206596,#206597); #166770=AXIS2_PLACEMENT_3D('',#255349,#206599,#206600); #166771=AXIS2_PLACEMENT_3D('',#255350,#206601,#206602); #166772=AXIS2_PLACEMENT_3D('',#255354,#206605,#206606); #166773=AXIS2_PLACEMENT_3D('',#255358,#206609,#206610); #166774=AXIS2_PLACEMENT_3D('',#255362,#206613,#206614); #166775=AXIS2_PLACEMENT_3D('',#255365,#206616,#206617); #166776=AXIS2_PLACEMENT_3D('',#255366,#206618,#206619); #166777=AXIS2_PLACEMENT_3D('',#255370,#206622,#206623); #166778=AXIS2_PLACEMENT_3D('',#255374,#206626,#206627); #166779=AXIS2_PLACEMENT_3D('',#255377,#206629,#206630); #166780=AXIS2_PLACEMENT_3D('',#255378,#206631,#206632); #166781=AXIS2_PLACEMENT_3D('',#255382,#206635,#206636); #166782=AXIS2_PLACEMENT_3D('',#255386,#206639,#206640); #166783=AXIS2_PLACEMENT_3D('',#255390,#206643,#206644); #166784=AXIS2_PLACEMENT_3D('',#255393,#206646,#206647); #166785=AXIS2_PLACEMENT_3D('',#255394,#206648,#206649); #166786=AXIS2_PLACEMENT_3D('',#255397,#206651,#206652); #166787=AXIS2_PLACEMENT_3D('',#255398,#206653,#206654); #166788=AXIS2_PLACEMENT_3D('',#255402,#206657,#206658); #166789=AXIS2_PLACEMENT_3D('',#255406,#206661,#206662); #166790=AXIS2_PLACEMENT_3D('',#255409,#206664,#206665); #166791=AXIS2_PLACEMENT_3D('',#255410,#206666,#206667); #166792=AXIS2_PLACEMENT_3D('',#255413,#206669,#206670); #166793=AXIS2_PLACEMENT_3D('',#255414,#206671,#206672); #166794=AXIS2_PLACEMENT_3D('',#255418,#206675,#206676); #166795=AXIS2_PLACEMENT_3D('',#255421,#206678,#206679); #166796=AXIS2_PLACEMENT_3D('',#255422,#206680,#206681); #166797=AXIS2_PLACEMENT_3D('',#255425,#206683,#206684); #166798=AXIS2_PLACEMENT_3D('',#255426,#206685,#206686); #166799=AXIS2_PLACEMENT_3D('',#255430,#206689,#206690); #166800=AXIS2_PLACEMENT_3D('',#255434,#206693,#206694); #166801=AXIS2_PLACEMENT_3D('',#255437,#206696,#206697); #166802=AXIS2_PLACEMENT_3D('',#255438,#206698,#206699); #166803=AXIS2_PLACEMENT_3D('',#255441,#206701,#206702); #166804=AXIS2_PLACEMENT_3D('',#255442,#206703,#206704); #166805=AXIS2_PLACEMENT_3D('',#255446,#206707,#206708); #166806=AXIS2_PLACEMENT_3D('',#255450,#206711,#206712); #166807=AXIS2_PLACEMENT_3D('',#255454,#206715,#206716); #166808=AXIS2_PLACEMENT_3D('',#255457,#206718,#206719); #166809=AXIS2_PLACEMENT_3D('',#255458,#206720,#206721); #166810=AXIS2_PLACEMENT_3D('',#255462,#206724,#206725); #166811=AXIS2_PLACEMENT_3D('',#255466,#206728,#206729); #166812=AXIS2_PLACEMENT_3D('',#255470,#206732,#206733); #166813=AXIS2_PLACEMENT_3D('',#255474,#206736,#206737); #166814=AXIS2_PLACEMENT_3D('',#255478,#206740,#206741); #166815=AXIS2_PLACEMENT_3D('',#255481,#206743,#206744); #166816=AXIS2_PLACEMENT_3D('',#255482,#206745,#206746); #166817=AXIS2_PLACEMENT_3D('',#255486,#206749,#206750); #166818=AXIS2_PLACEMENT_3D('',#255490,#206753,#206754); #166819=AXIS2_PLACEMENT_3D('',#255494,#206757,#206758); #166820=AXIS2_PLACEMENT_3D('',#255498,#206761,#206762); #166821=AXIS2_PLACEMENT_3D('',#255502,#206765,#206766); #166822=AXIS2_PLACEMENT_3D('',#255504,#206768,#206769); #166823=AXIS2_PLACEMENT_3D('',#255505,#206770,#206771); #166824=AXIS2_PLACEMENT_3D('',#255511,#206775,#206776); #166825=AXIS2_PLACEMENT_3D('',#255514,#206778,#206779); #166826=AXIS2_PLACEMENT_3D('',#255515,#206780,#206781); #166827=AXIS2_PLACEMENT_3D('',#255519,#206784,#206785); #166828=AXIS2_PLACEMENT_3D('',#255523,#206788,#206789); #166829=AXIS2_PLACEMENT_3D('',#255527,#206792,#206793); #166830=AXIS2_PLACEMENT_3D('',#255531,#206796,#206797); #166831=AXIS2_PLACEMENT_3D('',#255534,#206799,#206800); #166832=AXIS2_PLACEMENT_3D('',#255535,#206801,#206802); #166833=AXIS2_PLACEMENT_3D('',#255539,#206805,#206806); #166834=AXIS2_PLACEMENT_3D('',#255543,#206809,#206810); #166835=AXIS2_PLACEMENT_3D('',#255547,#206813,#206814); #166836=AXIS2_PLACEMENT_3D('',#255550,#206816,#206817); #166837=AXIS2_PLACEMENT_3D('',#255551,#206818,#206819); #166838=AXIS2_PLACEMENT_3D('',#255555,#206822,#206823); #166839=AXIS2_PLACEMENT_3D('',#255559,#206826,#206827); #166840=AXIS2_PLACEMENT_3D('',#255563,#206830,#206831); #166841=AXIS2_PLACEMENT_3D('',#255567,#206834,#206835); #166842=AXIS2_PLACEMENT_3D('',#255570,#206837,#206838); #166843=AXIS2_PLACEMENT_3D('',#255571,#206839,#206840); #166844=AXIS2_PLACEMENT_3D('',#255575,#206843,#206844); #166845=AXIS2_PLACEMENT_3D('',#255579,#206847,#206848); #166846=AXIS2_PLACEMENT_3D('',#255583,#206851,#206852); #166847=AXIS2_PLACEMENT_3D('',#255587,#206855,#206856); #166848=AXIS2_PLACEMENT_3D('',#255591,#206859,#206860); #166849=AXIS2_PLACEMENT_3D('',#255595,#206863,#206864); #166850=AXIS2_PLACEMENT_3D('',#255599,#206867,#206868); #166851=AXIS2_PLACEMENT_3D('',#255601,#206870,#206871); #166852=AXIS2_PLACEMENT_3D('',#255602,#206872,#206873); #166853=AXIS2_PLACEMENT_3D('',#255611,#206878,#206879); #166854=AXIS2_PLACEMENT_3D('',#255617,#206883,#206884); #166855=AXIS2_PLACEMENT_3D('',#255623,#206888,#206889); #166856=AXIS2_PLACEMENT_3D('',#255629,#206893,#206894); #166857=AXIS2_PLACEMENT_3D('',#255631,#206895,#206896); #166858=AXIS2_PLACEMENT_3D('',#255634,#206898,#206899); #166859=AXIS2_PLACEMENT_3D('',#255635,#206900,#206901); #166860=AXIS2_PLACEMENT_3D('',#255637,#206902,#206903); #166861=AXIS2_PLACEMENT_3D('',#255640,#206905,#206906); #166862=AXIS2_PLACEMENT_3D('',#255641,#206907,#206908); #166863=AXIS2_PLACEMENT_3D('',#255643,#206909,#206910); #166864=AXIS2_PLACEMENT_3D('',#255646,#206912,#206913); #166865=AXIS2_PLACEMENT_3D('',#255647,#206914,#206915); #166866=AXIS2_PLACEMENT_3D('',#255649,#206916,#206917); #166867=AXIS2_PLACEMENT_3D('',#255652,#206919,#206920); #166868=AXIS2_PLACEMENT_3D('',#255653,#206921,#206922); #166869=AXIS2_PLACEMENT_3D('',#255654,#206923,#206924); #166870=AXIS2_PLACEMENT_3D('',#255655,#206925,#206926); #166871=AXIS2_PLACEMENT_3D('',#255656,#206927,#206928); #166872=AXIS2_PLACEMENT_3D('',#255660,#206930,#206931); #166873=AXIS2_PLACEMENT_3D('',#255662,#206933,#206934); #166874=AXIS2_PLACEMENT_3D('',#255666,#206937,#206938); #166875=AXIS2_PLACEMENT_3D('',#255669,#206940,#206941); #166876=AXIS2_PLACEMENT_3D('',#255670,#206942,#206943); #166877=AXIS2_PLACEMENT_3D('',#255673,#206945,#206946); #166878=AXIS2_PLACEMENT_3D('',#255674,#206947,#206948); #166879=AXIS2_PLACEMENT_3D('',#255678,#206951,#206952); #166880=AXIS2_PLACEMENT_3D('',#255682,#206955,#206956); #166881=AXIS2_PLACEMENT_3D('',#255686,#206959,#206960); #166882=AXIS2_PLACEMENT_3D('',#255690,#206963,#206964); #166883=AXIS2_PLACEMENT_3D('',#255694,#206967,#206968); #166884=AXIS2_PLACEMENT_3D('',#255698,#206971,#206972); #166885=AXIS2_PLACEMENT_3D('',#255702,#206975,#206976); #166886=AXIS2_PLACEMENT_3D('',#255705,#206978,#206979); #166887=AXIS2_PLACEMENT_3D('',#255706,#206980,#206981); #166888=AXIS2_PLACEMENT_3D('',#255710,#206984,#206985); #166889=AXIS2_PLACEMENT_3D('',#255713,#206987,#206988); #166890=AXIS2_PLACEMENT_3D('',#255714,#206989,#206990); #166891=AXIS2_PLACEMENT_3D('',#255717,#206992,#206993); #166892=AXIS2_PLACEMENT_3D('',#255718,#206994,#206995); #166893=AXIS2_PLACEMENT_3D('',#255722,#206998,#206999); #166894=AXIS2_PLACEMENT_3D('',#255725,#207001,#207002); #166895=AXIS2_PLACEMENT_3D('',#255726,#207003,#207004); #166896=AXIS2_PLACEMENT_3D('',#255729,#207006,#207007); #166897=AXIS2_PLACEMENT_3D('',#255730,#207008,#207009); #166898=AXIS2_PLACEMENT_3D('',#255733,#207011,#207012); #166899=AXIS2_PLACEMENT_3D('',#255734,#207013,#207014); #166900=AXIS2_PLACEMENT_3D('',#255738,#207017,#207018); #166901=AXIS2_PLACEMENT_3D('',#255742,#207021,#207022); #166902=AXIS2_PLACEMENT_3D('',#255746,#207025,#207026); #166903=AXIS2_PLACEMENT_3D('',#255750,#207029,#207030); #166904=AXIS2_PLACEMENT_3D('',#255754,#207033,#207034); #166905=AXIS2_PLACEMENT_3D('',#255758,#207037,#207038); #166906=AXIS2_PLACEMENT_3D('',#255762,#207041,#207042); #166907=AXIS2_PLACEMENT_3D('',#255766,#207045,#207046); #166908=AXIS2_PLACEMENT_3D('',#255769,#207048,#207049); #166909=AXIS2_PLACEMENT_3D('',#255770,#207050,#207051); #166910=AXIS2_PLACEMENT_3D('',#255773,#207053,#207054); #166911=AXIS2_PLACEMENT_3D('',#255774,#207055,#207056); #166912=AXIS2_PLACEMENT_3D('',#255777,#207058,#207059); #166913=AXIS2_PLACEMENT_3D('',#255778,#207060,#207061); #166914=AXIS2_PLACEMENT_3D('',#255779,#207062,#207063); #166915=AXIS2_PLACEMENT_3D('',#255780,#207064,#207065); #166916=AXIS2_PLACEMENT_3D('',#255781,#207066,#207067); #166917=AXIS2_PLACEMENT_3D('',#255787,#207071,#207072); #166918=AXIS2_PLACEMENT_3D('',#255791,#207075,#207076); #166919=AXIS2_PLACEMENT_3D('',#255795,#207079,#207080); #166920=AXIS2_PLACEMENT_3D('',#255798,#207082,#207083); #166921=AXIS2_PLACEMENT_3D('',#255799,#207084,#207085); #166922=AXIS2_PLACEMENT_3D('',#255803,#207088,#207089); #166923=AXIS2_PLACEMENT_3D('',#255806,#207091,#207092); #166924=AXIS2_PLACEMENT_3D('',#255807,#207093,#207094); #166925=AXIS2_PLACEMENT_3D('',#255811,#207097,#207098); #166926=AXIS2_PLACEMENT_3D('',#255815,#207101,#207102); #166927=AXIS2_PLACEMENT_3D('',#255819,#207105,#207106); #166928=AXIS2_PLACEMENT_3D('',#255823,#207109,#207110); #166929=AXIS2_PLACEMENT_3D('',#255825,#207112,#207113); #166930=AXIS2_PLACEMENT_3D('',#255826,#207114,#207115); #166931=AXIS2_PLACEMENT_3D('',#255829,#207116,#207117); #166932=AXIS2_PLACEMENT_3D('',#255833,#207119,#207120); #166933=AXIS2_PLACEMENT_3D('',#255835,#207122,#207123); #166934=AXIS2_PLACEMENT_3D('',#255841,#207127,#207128); #166935=AXIS2_PLACEMENT_3D('',#255843,#207129,#207130); #166936=AXIS2_PLACEMENT_3D('',#255846,#207132,#207133); #166937=AXIS2_PLACEMENT_3D('',#255847,#207134,#207135); #166938=AXIS2_PLACEMENT_3D('',#255849,#207136,#207137); #166939=AXIS2_PLACEMENT_3D('',#255852,#207139,#207140); #166940=AXIS2_PLACEMENT_3D('',#255853,#207141,#207142); #166941=AXIS2_PLACEMENT_3D('',#255855,#207143,#207144); #166942=AXIS2_PLACEMENT_3D('',#255858,#207146,#207147); #166943=AXIS2_PLACEMENT_3D('',#255859,#207148,#207149); #166944=AXIS2_PLACEMENT_3D('',#255861,#207150,#207151); #166945=AXIS2_PLACEMENT_3D('',#255864,#207153,#207154); #166946=AXIS2_PLACEMENT_3D('',#255865,#207155,#207156); #166947=AXIS2_PLACEMENT_3D('',#255867,#207157,#207158); #166948=AXIS2_PLACEMENT_3D('',#255870,#207160,#207161); #166949=AXIS2_PLACEMENT_3D('',#255871,#207162,#207163); #166950=AXIS2_PLACEMENT_3D('',#255873,#207164,#207165); #166951=AXIS2_PLACEMENT_3D('',#255876,#207167,#207168); #166952=AXIS2_PLACEMENT_3D('',#255877,#207169,#207170); #166953=AXIS2_PLACEMENT_3D('',#255879,#207171,#207172); #166954=AXIS2_PLACEMENT_3D('',#255882,#207174,#207175); #166955=AXIS2_PLACEMENT_3D('',#255883,#207176,#207177); #166956=AXIS2_PLACEMENT_3D('',#255884,#207178,#207179); #166957=AXIS2_PLACEMENT_3D('',#255885,#207180,#207181); #166958=AXIS2_PLACEMENT_3D('',#255886,#207182,#207183); #166959=AXIS2_PLACEMENT_3D('',#255890,#207185,#207186); #166960=AXIS2_PLACEMENT_3D('',#255892,#207188,#207189); #166961=AXIS2_PLACEMENT_3D('',#255896,#207192,#207193); #166962=AXIS2_PLACEMENT_3D('',#255900,#207196,#207197); #166963=AXIS2_PLACEMENT_3D('',#255904,#207200,#207201); #166964=AXIS2_PLACEMENT_3D('',#255908,#207204,#207205); #166965=AXIS2_PLACEMENT_3D('',#255912,#207208,#207209); #166966=AXIS2_PLACEMENT_3D('',#255916,#207212,#207213); #166967=AXIS2_PLACEMENT_3D('',#255920,#207216,#207217); #166968=AXIS2_PLACEMENT_3D('',#255924,#207220,#207221); #166969=AXIS2_PLACEMENT_3D('',#255928,#207224,#207225); #166970=AXIS2_PLACEMENT_3D('',#255932,#207228,#207229); #166971=AXIS2_PLACEMENT_3D('',#255936,#207232,#207233); #166972=AXIS2_PLACEMENT_3D('',#255940,#207236,#207237); #166973=AXIS2_PLACEMENT_3D('',#255944,#207240,#207241); #166974=AXIS2_PLACEMENT_3D('',#255948,#207244,#207245); #166975=AXIS2_PLACEMENT_3D('',#255952,#207248,#207249); #166976=AXIS2_PLACEMENT_3D('',#255956,#207252,#207253); #166977=AXIS2_PLACEMENT_3D('',#255959,#207255,#207256); #166978=AXIS2_PLACEMENT_3D('',#255960,#207257,#207258); #166979=AXIS2_PLACEMENT_3D('',#255964,#207261,#207262); #166980=AXIS2_PLACEMENT_3D('',#255967,#207264,#207265); #166981=AXIS2_PLACEMENT_3D('',#255968,#207266,#207267); #166982=AXIS2_PLACEMENT_3D('',#255971,#207269,#207270); #166983=AXIS2_PLACEMENT_3D('',#255972,#207271,#207272); #166984=AXIS2_PLACEMENT_3D('',#255976,#207275,#207276); #166985=AXIS2_PLACEMENT_3D('',#255979,#207278,#207279); #166986=AXIS2_PLACEMENT_3D('',#255980,#207280,#207281); #166987=AXIS2_PLACEMENT_3D('',#255983,#207283,#207284); #166988=AXIS2_PLACEMENT_3D('',#255984,#207285,#207286); #166989=AXIS2_PLACEMENT_3D('',#255987,#207288,#207289); #166990=AXIS2_PLACEMENT_3D('',#255988,#207290,#207291); #166991=AXIS2_PLACEMENT_3D('',#255991,#207293,#207294); #166992=AXIS2_PLACEMENT_3D('',#255992,#207295,#207296); #166993=AXIS2_PLACEMENT_3D('',#255995,#207298,#207299); #166994=AXIS2_PLACEMENT_3D('',#255996,#207300,#207301); #166995=AXIS2_PLACEMENT_3D('',#255999,#207303,#207304); #166996=AXIS2_PLACEMENT_3D('',#256000,#207305,#207306); #166997=AXIS2_PLACEMENT_3D('',#256003,#207308,#207309); #166998=AXIS2_PLACEMENT_3D('',#256004,#207310,#207311); #166999=AXIS2_PLACEMENT_3D('',#256007,#207313,#207314); #167000=AXIS2_PLACEMENT_3D('',#256008,#207315,#207316); #167001=AXIS2_PLACEMENT_3D('',#256011,#207318,#207319); #167002=AXIS2_PLACEMENT_3D('',#256012,#207320,#207321); #167003=AXIS2_PLACEMENT_3D('',#256016,#207324,#207325); #167004=AXIS2_PLACEMENT_3D('',#256019,#207327,#207328); #167005=AXIS2_PLACEMENT_3D('',#256020,#207329,#207330); #167006=AXIS2_PLACEMENT_3D('',#256024,#207333,#207334); #167007=AXIS2_PLACEMENT_3D('',#256028,#207337,#207338); #167008=AXIS2_PLACEMENT_3D('',#256032,#207341,#207342); #167009=AXIS2_PLACEMENT_3D('',#256034,#207344,#207345); #167010=AXIS2_PLACEMENT_3D('',#256035,#207346,#207347); #167011=AXIS2_PLACEMENT_3D('',#256039,#207349,#207350); #167012=AXIS2_PLACEMENT_3D('',#256041,#207352,#207353); #167013=AXIS2_PLACEMENT_3D('',#256044,#207355,#207356); #167014=AXIS2_PLACEMENT_3D('',#256045,#207357,#207358); #167015=AXIS2_PLACEMENT_3D('',#256048,#207360,#207361); #167016=AXIS2_PLACEMENT_3D('',#256049,#207362,#207363); #167017=AXIS2_PLACEMENT_3D('',#256053,#207366,#207367); #167018=AXIS2_PLACEMENT_3D('',#256056,#207369,#207370); #167019=AXIS2_PLACEMENT_3D('',#256057,#207371,#207372); #167020=AXIS2_PLACEMENT_3D('',#256061,#207375,#207376); #167021=AXIS2_PLACEMENT_3D('',#256065,#207379,#207380); #167022=AXIS2_PLACEMENT_3D('',#256068,#207382,#207383); #167023=AXIS2_PLACEMENT_3D('',#256069,#207384,#207385); #167024=AXIS2_PLACEMENT_3D('',#256073,#207388,#207389); #167025=AXIS2_PLACEMENT_3D('',#256077,#207392,#207393); #167026=AXIS2_PLACEMENT_3D('',#256080,#207395,#207396); #167027=AXIS2_PLACEMENT_3D('',#256081,#207397,#207398); #167028=AXIS2_PLACEMENT_3D('',#256084,#207400,#207401); #167029=AXIS2_PLACEMENT_3D('',#256085,#207402,#207403); #167030=AXIS2_PLACEMENT_3D('',#256088,#207405,#207406); #167031=AXIS2_PLACEMENT_3D('',#256089,#207407,#207408); #167032=AXIS2_PLACEMENT_3D('',#256092,#207410,#207411); #167033=AXIS2_PLACEMENT_3D('',#256093,#207412,#207413); #167034=AXIS2_PLACEMENT_3D('',#256096,#207415,#207416); #167035=AXIS2_PLACEMENT_3D('',#256097,#207417,#207418); #167036=AXIS2_PLACEMENT_3D('',#256100,#207420,#207421); #167037=AXIS2_PLACEMENT_3D('',#256101,#207422,#207423); #167038=AXIS2_PLACEMENT_3D('',#256105,#207426,#207427); #167039=AXIS2_PLACEMENT_3D('',#256108,#207429,#207430); #167040=AXIS2_PLACEMENT_3D('',#256109,#207431,#207432); #167041=AXIS2_PLACEMENT_3D('',#256112,#207434,#207435); #167042=AXIS2_PLACEMENT_3D('',#256113,#207436,#207437); #167043=AXIS2_PLACEMENT_3D('',#256116,#207439,#207440); #167044=AXIS2_PLACEMENT_3D('',#256117,#207441,#207442); #167045=AXIS2_PLACEMENT_3D('',#256120,#207444,#207445); #167046=AXIS2_PLACEMENT_3D('',#256121,#207446,#207447); #167047=AXIS2_PLACEMENT_3D('',#256124,#207449,#207450); #167048=AXIS2_PLACEMENT_3D('',#256125,#207451,#207452); #167049=AXIS2_PLACEMENT_3D('',#256129,#207455,#207456); #167050=AXIS2_PLACEMENT_3D('',#256133,#207459,#207460); #167051=AXIS2_PLACEMENT_3D('',#256137,#207463,#207464); #167052=AXIS2_PLACEMENT_3D('',#256141,#207467,#207468); #167053=AXIS2_PLACEMENT_3D('',#256145,#207471,#207472); #167054=AXIS2_PLACEMENT_3D('',#256149,#207475,#207476); #167055=AXIS2_PLACEMENT_3D('',#256153,#207479,#207480); #167056=AXIS2_PLACEMENT_3D('',#256156,#207482,#207483); #167057=AXIS2_PLACEMENT_3D('',#256157,#207484,#207485); #167058=AXIS2_PLACEMENT_3D('',#256161,#207488,#207489); #167059=AXIS2_PLACEMENT_3D('',#256164,#207491,#207492); #167060=AXIS2_PLACEMENT_3D('',#256165,#207493,#207494); #167061=AXIS2_PLACEMENT_3D('',#256169,#207497,#207498); #167062=AXIS2_PLACEMENT_3D('',#256173,#207501,#207502); #167063=AXIS2_PLACEMENT_3D('',#256176,#207504,#207505); #167064=AXIS2_PLACEMENT_3D('',#256177,#207506,#207507); #167065=AXIS2_PLACEMENT_3D('',#256180,#207509,#207510); #167066=AXIS2_PLACEMENT_3D('',#256181,#207511,#207512); #167067=AXIS2_PLACEMENT_3D('',#256184,#207514,#207515); #167068=AXIS2_PLACEMENT_3D('',#256185,#207516,#207517); #167069=AXIS2_PLACEMENT_3D('',#256188,#207519,#207520); #167070=AXIS2_PLACEMENT_3D('',#256189,#207521,#207522); #167071=AXIS2_PLACEMENT_3D('',#256192,#207524,#207525); #167072=AXIS2_PLACEMENT_3D('',#256193,#207526,#207527); #167073=AXIS2_PLACEMENT_3D('',#256196,#207529,#207530); #167074=AXIS2_PLACEMENT_3D('',#256197,#207531,#207532); #167075=AXIS2_PLACEMENT_3D('',#256201,#207535,#207536); #167076=AXIS2_PLACEMENT_3D('',#256204,#207538,#207539); #167077=AXIS2_PLACEMENT_3D('',#256205,#207540,#207541); #167078=AXIS2_PLACEMENT_3D('',#256206,#207542,#207543); #167079=AXIS2_PLACEMENT_3D('',#256207,#207544,#207545); #167080=AXIS2_PLACEMENT_3D('',#256208,#207546,#207547); #167081=AXIS2_PLACEMENT_3D('',#256214,#207551,#207552); #167082=AXIS2_PLACEMENT_3D('',#256218,#207555,#207556); #167083=AXIS2_PLACEMENT_3D('',#256222,#207559,#207560); #167084=AXIS2_PLACEMENT_3D('',#256226,#207563,#207564); #167085=AXIS2_PLACEMENT_3D('',#256230,#207567,#207568); #167086=AXIS2_PLACEMENT_3D('',#256234,#207571,#207572); #167087=AXIS2_PLACEMENT_3D('',#256237,#207574,#207575); #167088=AXIS2_PLACEMENT_3D('',#256238,#207576,#207577); #167089=AXIS2_PLACEMENT_3D('',#256242,#207580,#207581); #167090=AXIS2_PLACEMENT_3D('',#256245,#207583,#207584); #167091=AXIS2_PLACEMENT_3D('',#256246,#207585,#207586); #167092=AXIS2_PLACEMENT_3D('',#256250,#207589,#207590); #167093=AXIS2_PLACEMENT_3D('',#256254,#207593,#207594); #167094=AXIS2_PLACEMENT_3D('',#256258,#207597,#207598); #167095=AXIS2_PLACEMENT_3D('',#256262,#207601,#207602); #167096=AXIS2_PLACEMENT_3D('',#256266,#207605,#207606); #167097=AXIS2_PLACEMENT_3D('',#256270,#207609,#207610); #167098=AXIS2_PLACEMENT_3D('',#256274,#207613,#207614); #167099=AXIS2_PLACEMENT_3D('',#256278,#207617,#207618); #167100=AXIS2_PLACEMENT_3D('',#256282,#207621,#207622); #167101=AXIS2_PLACEMENT_3D('',#256286,#207625,#207626); #167102=AXIS2_PLACEMENT_3D('',#256290,#207629,#207630); #167103=AXIS2_PLACEMENT_3D('',#256294,#207633,#207634); #167104=AXIS2_PLACEMENT_3D('',#256298,#207637,#207638); #167105=AXIS2_PLACEMENT_3D('',#256302,#207641,#207642); #167106=AXIS2_PLACEMENT_3D('',#256306,#207645,#207646); #167107=AXIS2_PLACEMENT_3D('',#256310,#207649,#207650); #167108=AXIS2_PLACEMENT_3D('',#256314,#207653,#207654); #167109=AXIS2_PLACEMENT_3D('',#256318,#207657,#207658); #167110=AXIS2_PLACEMENT_3D('',#256322,#207661,#207662); #167111=AXIS2_PLACEMENT_3D('',#256326,#207665,#207666); #167112=AXIS2_PLACEMENT_3D('',#256330,#207669,#207670); #167113=AXIS2_PLACEMENT_3D('',#256333,#207672,#207673); #167114=AXIS2_PLACEMENT_3D('',#256334,#207674,#207675); #167115=AXIS2_PLACEMENT_3D('',#256338,#207678,#207679); #167116=AXIS2_PLACEMENT_3D('',#256341,#207681,#207682); #167117=AXIS2_PLACEMENT_3D('',#256342,#207683,#207684); #167118=AXIS2_PLACEMENT_3D('',#256346,#207687,#207688); #167119=AXIS2_PLACEMENT_3D('',#256350,#207691,#207692); #167120=AXIS2_PLACEMENT_3D('',#256354,#207695,#207696); #167121=AXIS2_PLACEMENT_3D('',#256358,#207699,#207700); #167122=AXIS2_PLACEMENT_3D('',#256362,#207703,#207704); #167123=AXIS2_PLACEMENT_3D('',#256366,#207707,#207708); #167124=AXIS2_PLACEMENT_3D('',#256370,#207711,#207712); #167125=AXIS2_PLACEMENT_3D('',#256374,#207715,#207716); #167126=AXIS2_PLACEMENT_3D('',#256378,#207719,#207720); #167127=AXIS2_PLACEMENT_3D('',#256382,#207723,#207724); #167128=AXIS2_PLACEMENT_3D('',#256386,#207727,#207728); #167129=AXIS2_PLACEMENT_3D('',#256390,#207731,#207732); #167130=AXIS2_PLACEMENT_3D('',#256394,#207735,#207736); #167131=AXIS2_PLACEMENT_3D('',#256398,#207739,#207740); #167132=AXIS2_PLACEMENT_3D('',#256402,#207743,#207744); #167133=AXIS2_PLACEMENT_3D('',#256406,#207747,#207748); #167134=AXIS2_PLACEMENT_3D('',#256410,#207751,#207752); #167135=AXIS2_PLACEMENT_3D('',#256414,#207755,#207756); #167136=AXIS2_PLACEMENT_3D('',#256418,#207759,#207760); #167137=AXIS2_PLACEMENT_3D('',#256422,#207763,#207764); #167138=AXIS2_PLACEMENT_3D('',#256426,#207767,#207768); #167139=AXIS2_PLACEMENT_3D('',#256430,#207771,#207772); #167140=AXIS2_PLACEMENT_3D('',#256434,#207775,#207776); #167141=AXIS2_PLACEMENT_3D('',#256438,#207779,#207780); #167142=AXIS2_PLACEMENT_3D('',#256442,#207783,#207784); #167143=AXIS2_PLACEMENT_3D('',#256446,#207787,#207788); #167144=AXIS2_PLACEMENT_3D('',#256450,#207791,#207792); #167145=AXIS2_PLACEMENT_3D('',#256454,#207795,#207796); #167146=AXIS2_PLACEMENT_3D('',#256458,#207799,#207800); #167147=AXIS2_PLACEMENT_3D('',#256462,#207803,#207804); #167148=AXIS2_PLACEMENT_3D('',#256464,#207806,#207807); #167149=AXIS2_PLACEMENT_3D('',#256465,#207808,#207809); #167150=AXIS2_PLACEMENT_3D('',#256471,#207813,#207814); #167151=AXIS2_PLACEMENT_3D('',#256474,#207816,#207817); #167152=AXIS2_PLACEMENT_3D('',#256475,#207818,#207819); #167153=AXIS2_PLACEMENT_3D('',#256479,#207822,#207823); #167154=AXIS2_PLACEMENT_3D('',#256482,#207825,#207826); #167155=AXIS2_PLACEMENT_3D('',#256483,#207827,#207828); #167156=AXIS2_PLACEMENT_3D('',#256487,#207831,#207832); #167157=AXIS2_PLACEMENT_3D('',#256490,#207834,#207835); #167158=AXIS2_PLACEMENT_3D('',#256491,#207836,#207837); #167159=AXIS2_PLACEMENT_3D('',#256495,#207840,#207841); #167160=AXIS2_PLACEMENT_3D('',#256496,#207842,#207843); #167161=AXIS2_PLACEMENT_3D('',#256497,#207844,#207845); #167162=AXIS2_PLACEMENT_3D('',#256498,#207846,#207847); #167163=AXIS2_PLACEMENT_3D('',#256499,#207848,#207849); #167164=AXIS2_PLACEMENT_3D('',#256500,#207850,#207851); #167165=AXIS2_PLACEMENT_3D('',#256501,#207852,#207853); #167166=AXIS2_PLACEMENT_3D('',#256502,#207854,#207855); #167167=AXIS2_PLACEMENT_3D('',#256504,#207856,#207857); #167168=AXIS2_PLACEMENT_3D('',#256506,#207859,#207860); #167169=AXIS2_PLACEMENT_3D('',#256508,#207861,#207862); #167170=AXIS2_PLACEMENT_3D('',#256510,#207864,#207865); #167171=AXIS2_PLACEMENT_3D('',#256512,#207866,#207867); #167172=AXIS2_PLACEMENT_3D('',#256514,#207869,#207870); #167173=AXIS2_PLACEMENT_3D('',#256516,#207871,#207872); #167174=AXIS2_PLACEMENT_3D('',#256518,#207874,#207875); #167175=AXIS2_PLACEMENT_3D('',#256520,#207876,#207877); #167176=AXIS2_PLACEMENT_3D('',#256522,#207879,#207880); #167177=AXIS2_PLACEMENT_3D('',#256524,#207881,#207882); #167178=AXIS2_PLACEMENT_3D('',#256526,#207884,#207885); #167179=AXIS2_PLACEMENT_3D('',#256528,#207886,#207887); #167180=AXIS2_PLACEMENT_3D('',#256530,#207889,#207890); #167181=AXIS2_PLACEMENT_3D('',#256532,#207891,#207892); #167182=AXIS2_PLACEMENT_3D('',#256534,#207894,#207895); #167183=AXIS2_PLACEMENT_3D('',#256536,#207896,#207897); #167184=AXIS2_PLACEMENT_3D('',#256538,#207899,#207900); #167185=AXIS2_PLACEMENT_3D('',#256540,#207901,#207902); #167186=AXIS2_PLACEMENT_3D('',#256542,#207904,#207905); #167187=AXIS2_PLACEMENT_3D('',#256544,#207906,#207907); #167188=AXIS2_PLACEMENT_3D('',#256546,#207909,#207910); #167189=AXIS2_PLACEMENT_3D('',#256548,#207911,#207912); #167190=AXIS2_PLACEMENT_3D('',#256550,#207914,#207915); #167191=AXIS2_PLACEMENT_3D('',#256552,#207916,#207917); #167192=AXIS2_PLACEMENT_3D('',#256554,#207919,#207920); #167193=AXIS2_PLACEMENT_3D('',#256556,#207921,#207922); #167194=AXIS2_PLACEMENT_3D('',#256558,#207924,#207925); #167195=AXIS2_PLACEMENT_3D('',#256567,#207930,#207931); #167196=AXIS2_PLACEMENT_3D('',#256576,#207936,#207937); #167197=AXIS2_PLACEMENT_3D('',#256585,#207942,#207943); #167198=AXIS2_PLACEMENT_3D('',#256594,#207948,#207949); #167199=AXIS2_PLACEMENT_3D('',#256600,#207953,#207954); #167200=AXIS2_PLACEMENT_3D('',#256606,#207958,#207959); #167201=AXIS2_PLACEMENT_3D('',#256612,#207963,#207964); #167202=AXIS2_PLACEMENT_3D('',#256618,#207968,#207969); #167203=AXIS2_PLACEMENT_3D('',#256624,#207973,#207974); #167204=AXIS2_PLACEMENT_3D('',#256628,#207977,#207978); #167205=AXIS2_PLACEMENT_3D('',#256632,#207981,#207982); #167206=AXIS2_PLACEMENT_3D('',#256634,#207984,#207985); #167207=AXIS2_PLACEMENT_3D('',#256643,#207990,#207991); #167208=AXIS2_PLACEMENT_3D('',#256649,#207995,#207996); #167209=AXIS2_PLACEMENT_3D('',#256655,#208000,#208001); #167210=AXIS2_PLACEMENT_3D('',#256661,#208005,#208006); #167211=AXIS2_PLACEMENT_3D('',#256667,#208010,#208011); #167212=AXIS2_PLACEMENT_3D('',#256673,#208015,#208016); #167213=AXIS2_PLACEMENT_3D('',#256677,#208019,#208020); #167214=AXIS2_PLACEMENT_3D('',#256681,#208023,#208024); #167215=AXIS2_PLACEMENT_3D('',#256683,#208026,#208027); #167216=AXIS2_PLACEMENT_3D('',#256689,#208031,#208032); #167217=AXIS2_PLACEMENT_3D('',#256698,#208037,#208038); #167218=AXIS2_PLACEMENT_3D('',#256704,#208042,#208043); #167219=AXIS2_PLACEMENT_3D('',#256710,#208047,#208048); #167220=AXIS2_PLACEMENT_3D('',#256716,#208052,#208053); #167221=AXIS2_PLACEMENT_3D('',#256722,#208057,#208058); #167222=AXIS2_PLACEMENT_3D('',#256725,#208059,#208060); #167223=AXIS2_PLACEMENT_3D('',#256733,#208065,#208066); #167224=AXIS2_PLACEMENT_3D('',#256737,#208068,#208069); #167225=AXIS2_PLACEMENT_3D('',#256742,#208072,#208073); #167226=AXIS2_PLACEMENT_3D('',#256746,#208075,#208076); #167227=AXIS2_PLACEMENT_3D('',#256751,#208079,#208080); #167228=AXIS2_PLACEMENT_3D('',#256753,#208082,#208083); #167229=AXIS2_PLACEMENT_3D('',#256757,#208086,#208087); #167230=AXIS2_PLACEMENT_3D('',#256761,#208089,#208090); #167231=AXIS2_PLACEMENT_3D('',#256765,#208092,#208093); #167232=AXIS2_PLACEMENT_3D('',#256770,#208096,#208097); #167233=AXIS2_PLACEMENT_3D('',#256774,#208099,#208100); #167234=AXIS2_PLACEMENT_3D('',#256779,#208103,#208104); #167235=AXIS2_PLACEMENT_3D('',#256783,#208106,#208107); #167236=AXIS2_PLACEMENT_3D('',#256786,#208110,#208111); #167237=AXIS2_PLACEMENT_3D('',#256795,#208116,#208117); #167238=AXIS2_PLACEMENT_3D('',#256801,#208121,#208122); #167239=AXIS2_PLACEMENT_3D('',#256807,#208126,#208127); #167240=AXIS2_PLACEMENT_3D('',#256813,#208131,#208132); #167241=AXIS2_PLACEMENT_3D('',#256819,#208136,#208137); #167242=AXIS2_PLACEMENT_3D('',#256825,#208141,#208142); #167243=AXIS2_PLACEMENT_3D('',#256829,#208145,#208146); #167244=AXIS2_PLACEMENT_3D('',#256833,#208149,#208150); #167245=AXIS2_PLACEMENT_3D('',#256835,#208152,#208153); #167246=AXIS2_PLACEMENT_3D('',#256841,#208157,#208158); #167247=AXIS2_PLACEMENT_3D('',#256847,#208162,#208163); #167248=AXIS2_PLACEMENT_3D('',#256851,#208166,#208167); #167249=AXIS2_PLACEMENT_3D('',#256857,#208171,#208172); #167250=AXIS2_PLACEMENT_3D('',#256861,#208175,#208176); #167251=AXIS2_PLACEMENT_3D('',#256867,#208180,#208181); #167252=AXIS2_PLACEMENT_3D('',#256871,#208184,#208185); #167253=AXIS2_PLACEMENT_3D('',#256875,#208188,#208189); #167254=AXIS2_PLACEMENT_3D('',#256880,#208194,#208195); #167255=AXIS2_PLACEMENT_3D('',#256881,#208196,#208197); #167256=AXIS2_PLACEMENT_3D('',#256882,#208198,#208199); #167257=AXIS2_PLACEMENT_3D('',#256883,#208200,#208201); #167258=AXIS2_PLACEMENT_3D('',#256884,#208202,#208203); #167259=AXIS2_PLACEMENT_3D('',#256885,#208204,#208205); #167260=AXIS2_PLACEMENT_3D('',#256886,#208206,#208207); #167261=AXIS2_PLACEMENT_3D('',#256887,#208208,#208209); #167262=AXIS2_PLACEMENT_3D('',#256888,#208210,#208211); #167263=AXIS2_PLACEMENT_3D('',#256889,#208212,#208213); #167264=AXIS2_PLACEMENT_3D('',#256890,#208214,#208215); #167265=AXIS2_PLACEMENT_3D('',#256891,#208216,#208217); #167266=AXIS2_PLACEMENT_3D('',#256892,#208218,#208219); #167267=AXIS2_PLACEMENT_3D('',#256893,#208220,#208221); #167268=AXIS2_PLACEMENT_3D('',#256894,#208222,#208223); #167269=AXIS2_PLACEMENT_3D('',#256895,#208224,#208225); #167270=AXIS2_PLACEMENT_3D('',#256896,#208226,#208227); #167271=AXIS2_PLACEMENT_3D('',#256897,#208228,#208229); #167272=AXIS2_PLACEMENT_3D('',#256898,#208230,#208231); #167273=AXIS2_PLACEMENT_3D('',#256899,#208232,#208233); #167274=AXIS2_PLACEMENT_3D('',#256900,#208234,#208235); #167275=AXIS2_PLACEMENT_3D('',#256901,#208236,#208237); #167276=AXIS2_PLACEMENT_3D('',#256902,#208238,#208239); #167277=AXIS2_PLACEMENT_3D('',#256903,#208240,#208241); #167278=AXIS2_PLACEMENT_3D('',#256904,#208242,#208243); #167279=AXIS2_PLACEMENT_3D('',#256905,#208244,#208245); #167280=AXIS2_PLACEMENT_3D('',#256906,#208246,#208247); #167281=AXIS2_PLACEMENT_3D('',#256907,#208248,#208249); #167282=AXIS2_PLACEMENT_3D('',#256908,#208250,#208251); #167283=AXIS2_PLACEMENT_3D('',#256909,#208252,#208253); #167284=AXIS2_PLACEMENT_3D('',#256910,#208254,#208255); #167285=AXIS2_PLACEMENT_3D('',#256911,#208256,#208257); #167286=AXIS2_PLACEMENT_3D('',#256912,#208258,#208259); #167287=AXIS2_PLACEMENT_3D('',#256913,#208260,#208261); #167288=AXIS2_PLACEMENT_3D('',#256915,#208263,#208264); #167289=AXIS2_PLACEMENT_3D('',#256917,#208266,#208267); #167290=AXIS2_PLACEMENT_3D('',#256919,#208269,#208270); #167291=AXIS2_PLACEMENT_3D('',#256921,#208272,#208273); #167292=AXIS2_PLACEMENT_3D('',#256922,#208274,#208275); #167293=AXIS2_PLACEMENT_3D('',#256924,#208277,#208278); #167294=AXIS2_PLACEMENT_3D('',#256926,#208280,#208281); #167295=AXIS2_PLACEMENT_3D('',#256928,#208283,#208284); #167296=AXIS2_PLACEMENT_3D('',#256930,#208286,#208287); #167297=AXIS2_PLACEMENT_3D('',#256931,#208288,#208289); #167298=AXIS2_PLACEMENT_3D('',#256933,#208291,#208292); #167299=AXIS2_PLACEMENT_3D('',#256935,#208294,#208295); #167300=AXIS2_PLACEMENT_3D('',#256937,#208297,#208298); #167301=AXIS2_PLACEMENT_3D('',#256939,#208300,#208301); #167302=AXIS2_PLACEMENT_3D('',#256940,#208302,#208303); #167303=AXIS2_PLACEMENT_3D('',#256941,#208304,#208305); #167304=AXIS2_PLACEMENT_3D('',#256942,#208306,#208307); #167305=AXIS2_PLACEMENT_3D('',#256943,#208308,#208309); #167306=AXIS2_PLACEMENT_3D('',#256945,#208310,#208311); #167307=AXIS2_PLACEMENT_3D('',#256947,#208312,#208313); #167308=AXIS2_PLACEMENT_3D('',#256949,#208314,#208315); #167309=AXIS2_PLACEMENT_3D('',#256950,#208316,#208317); #167310=AXIS2_PLACEMENT_3D('',#256951,#208318,#208319); #167311=AXIS2_PLACEMENT_3D('',#256954,#208321,#208322); #167312=AXIS2_PLACEMENT_3D('',#256955,#208323,#208324); #167313=AXIS2_PLACEMENT_3D('',#256964,#208329,#208330); #167314=AXIS2_PLACEMENT_3D('',#256967,#208331,#208332); #167315=AXIS2_PLACEMENT_3D('',#256971,#208334,#208335); #167316=AXIS2_PLACEMENT_3D('',#256973,#208337,#208338); #167317=AXIS2_PLACEMENT_3D('',#256976,#208339,#208340); #167318=AXIS2_PLACEMENT_3D('',#256980,#208342,#208343); #167319=AXIS2_PLACEMENT_3D('',#256982,#208345,#208346); #167320=AXIS2_PLACEMENT_3D('',#256985,#208347,#208348); #167321=AXIS2_PLACEMENT_3D('',#256986,#208349,#208350); #167322=AXIS2_PLACEMENT_3D('',#256987,#208351,#208352); #167323=AXIS2_PLACEMENT_3D('',#256988,#208353,#208354); #167324=AXIS2_PLACEMENT_3D('',#256991,#208355,#208356); #167325=AXIS2_PLACEMENT_3D('',#257022,#208359,#208360); #167326=AXIS2_PLACEMENT_3D('',#257025,#208361,#208362); #167327=AXIS2_PLACEMENT_3D('',#257029,#208364,#208365); #167328=AXIS2_PLACEMENT_3D('',#257059,#208367,#208368); #167329=AXIS2_PLACEMENT_3D('',#257062,#208369,#208370); #167330=AXIS2_PLACEMENT_3D('',#257066,#208372,#208373); #167331=AXIS2_PLACEMENT_3D('',#257068,#208375,#208376); #167332=AXIS2_PLACEMENT_3D('',#257071,#208377,#208378); #167333=AXIS2_PLACEMENT_3D('',#257072,#208379,#208380); #167334=AXIS2_PLACEMENT_3D('',#257073,#208381,#208382); #167335=AXIS2_PLACEMENT_3D('',#257074,#208383,#208384); #167336=AXIS2_PLACEMENT_3D('',#257077,#208385,#208386); #167337=AXIS2_PLACEMENT_3D('',#257108,#208389,#208390); #167338=AXIS2_PLACEMENT_3D('',#257111,#208391,#208392); #167339=AXIS2_PLACEMENT_3D('',#257115,#208394,#208395); #167340=AXIS2_PLACEMENT_3D('',#257145,#208397,#208398); #167341=AXIS2_PLACEMENT_3D('',#257148,#208399,#208400); #167342=AXIS2_PLACEMENT_3D('',#257152,#208402,#208403); #167343=AXIS2_PLACEMENT_3D('',#257154,#208405,#208406); #167344=AXIS2_PLACEMENT_3D('',#257157,#208407,#208408); #167345=AXIS2_PLACEMENT_3D('',#257158,#208409,#208410); #167346=AXIS2_PLACEMENT_3D('',#257159,#208411,#208412); #167347=AXIS2_PLACEMENT_3D('',#257160,#208413,#208414); #167348=AXIS2_PLACEMENT_3D('',#257163,#208415,#208416); #167349=AXIS2_PLACEMENT_3D('',#257194,#208419,#208420); #167350=AXIS2_PLACEMENT_3D('',#257197,#208421,#208422); #167351=AXIS2_PLACEMENT_3D('',#257201,#208424,#208425); #167352=AXIS2_PLACEMENT_3D('',#257231,#208427,#208428); #167353=AXIS2_PLACEMENT_3D('',#257234,#208429,#208430); #167354=AXIS2_PLACEMENT_3D('',#257238,#208432,#208433); #167355=AXIS2_PLACEMENT_3D('',#257240,#208435,#208436); #167356=AXIS2_PLACEMENT_3D('',#257243,#208437,#208438); #167357=AXIS2_PLACEMENT_3D('',#257244,#208439,#208440); #167358=AXIS2_PLACEMENT_3D('',#257245,#208441,#208442); #167359=AXIS2_PLACEMENT_3D('',#257246,#208443,#208444); #167360=AXIS2_PLACEMENT_3D('',#257249,#208445,#208446); #167361=AXIS2_PLACEMENT_3D('',#257308,#208449,#208450); #167362=AXIS2_PLACEMENT_3D('',#257309,#208451,#208452); #167363=AXIS2_PLACEMENT_3D('',#257310,#208453,#208454); #167364=AXIS2_PLACEMENT_3D('',#257312,#208455,#208456); #167365=AXIS2_PLACEMENT_3D('',#257315,#208458,#208459); #167366=AXIS2_PLACEMENT_3D('',#257316,#208460,#208461); #167367=AXIS2_PLACEMENT_3D('',#257317,#208462,#208463); #167368=AXIS2_PLACEMENT_3D('',#257318,#208464,#208465); #167369=AXIS2_PLACEMENT_3D('',#257320,#208466,#208467); #167370=AXIS2_PLACEMENT_3D('',#257321,#208468,#208469); #167371=AXIS2_PLACEMENT_3D('',#257322,#208470,#208471); #167372=AXIS2_PLACEMENT_3D('',#257324,#208472,#208473); #167373=AXIS2_PLACEMENT_3D('',#257326,#208475,#208476); #167374=AXIS2_PLACEMENT_3D('',#257332,#208480,#208481); #167375=AXIS2_PLACEMENT_3D('',#257335,#208483,#208484); #167376=AXIS2_PLACEMENT_3D('',#257336,#208485,#208486); #167377=AXIS2_PLACEMENT_3D('',#257340,#208489,#208490); #167378=AXIS2_PLACEMENT_3D('',#257343,#208492,#208493); #167379=AXIS2_PLACEMENT_3D('',#257344,#208494,#208495); #167380=AXIS2_PLACEMENT_3D('',#257347,#208496,#208497); #167381=AXIS2_PLACEMENT_3D('',#257351,#208499,#208500); #167382=AXIS2_PLACEMENT_3D('',#257353,#208502,#208503); #167383=AXIS2_PLACEMENT_3D('',#257355,#208504,#208505); #167384=AXIS2_PLACEMENT_3D('',#257356,#208506,#208507); #167385=AXIS2_PLACEMENT_3D('',#257357,#208508,#208509); #167386=AXIS2_PLACEMENT_3D('',#257358,#208510,#208511); #167387=AXIS2_PLACEMENT_3D('',#257360,#208512,#208513); #167388=AXIS2_PLACEMENT_3D('',#257362,#208515,#208516); #167389=AXIS2_PLACEMENT_3D('',#257364,#208517,#208518); #167390=AXIS2_PLACEMENT_3D('',#257365,#208519,#208520); #167391=AXIS2_PLACEMENT_3D('',#257366,#208521,#208522); #167392=AXIS2_PLACEMENT_3D('',#257368,#208523,#208524); #167393=AXIS2_PLACEMENT_3D('',#257370,#208526,#208527); #167394=AXIS2_PLACEMENT_3D('',#257372,#208528,#208529); #167395=AXIS2_PLACEMENT_3D('',#257373,#208530,#208531); #167396=AXIS2_PLACEMENT_3D('',#257374,#208532,#208533); #167397=AXIS2_PLACEMENT_3D('',#257377,#208534,#208535); #167398=AXIS2_PLACEMENT_3D('',#257380,#208538,#208539); #167399=AXIS2_PLACEMENT_3D('',#257381,#208540,#208541); #167400=AXIS2_PLACEMENT_3D('',#257382,#208542,#208543); #167401=AXIS2_PLACEMENT_3D('',#257383,#208544,#208545); #167402=AXIS2_PLACEMENT_3D('',#257385,#208547,#208548); #167403=AXIS2_PLACEMENT_3D('',#257387,#208550,#208551); #167404=AXIS2_PLACEMENT_3D('',#257389,#208552,#208553); #167405=AXIS2_PLACEMENT_3D('',#257392,#208555,#208556); #167406=AXIS2_PLACEMENT_3D('',#257393,#208557,#208558); #167407=AXIS2_PLACEMENT_3D('',#257395,#208559,#208560); #167408=AXIS2_PLACEMENT_3D('',#257396,#208561,#208562); #167409=AXIS2_PLACEMENT_3D('',#257397,#208563,#208564); #167410=AXIS2_PLACEMENT_3D('',#257398,#208565,#208566); #167411=AXIS2_PLACEMENT_3D('',#257401,#208568,#208569); #167412=AXIS2_PLACEMENT_3D('',#257402,#208570,#208571); #167413=AXIS2_PLACEMENT_3D('',#257403,#208572,#208573); #167414=AXIS2_PLACEMENT_3D('',#257404,#208574,#208575); #167415=AXIS2_PLACEMENT_3D('',#257405,#208576,#208577); #167416=AXIS2_PLACEMENT_3D('',#257406,#208578,#208579); #167417=AXIS2_PLACEMENT_3D('',#257407,#208580,#208581); #167418=AXIS2_PLACEMENT_3D('',#257411,#208583,#208584); #167419=AXIS2_PLACEMENT_3D('',#257413,#208586,#208587); #167420=AXIS2_PLACEMENT_3D('',#257417,#208590,#208591); #167421=AXIS2_PLACEMENT_3D('',#257420,#208593,#208594); #167422=AXIS2_PLACEMENT_3D('',#257421,#208595,#208596); #167423=AXIS2_PLACEMENT_3D('',#257425,#208599,#208600); #167424=AXIS2_PLACEMENT_3D('',#257426,#208601,#208602); #167425=AXIS2_PLACEMENT_3D('',#257427,#208603,#208604); #167426=AXIS2_PLACEMENT_3D('',#257429,#208605,#208606); #167427=AXIS2_PLACEMENT_3D('',#257432,#208608,#208609); #167428=AXIS2_PLACEMENT_3D('',#257433,#208610,#208611); #167429=AXIS2_PLACEMENT_3D('',#257434,#208612,#208613); #167430=AXIS2_PLACEMENT_3D('',#257435,#208614,#208615); #167431=AXIS2_PLACEMENT_3D('',#257436,#208616,#208617); #167432=AXIS2_PLACEMENT_3D('',#257437,#208618,#208619); #167433=AXIS2_PLACEMENT_3D('',#257438,#208620,#208621); #167434=AXIS2_PLACEMENT_3D('',#257439,#208622,#208623); #167435=AXIS2_PLACEMENT_3D('',#257440,#208624,#208625); #167436=AXIS2_PLACEMENT_3D('',#257441,#208626,#208627); #167437=AXIS2_PLACEMENT_3D('',#257442,#208628,#208629); #167438=AXIS2_PLACEMENT_3D('',#257444,#208630,#208631); #167439=AXIS2_PLACEMENT_3D('',#257446,#208633,#208634); #167440=AXIS2_PLACEMENT_3D('',#257447,#208635,#208636); #167441=AXIS2_PLACEMENT_3D('',#257448,#208637,#208638); #167442=AXIS2_PLACEMENT_3D('',#257449,#208639,#208640); #167443=AXIS2_PLACEMENT_3D('',#257450,#208641,#208642); #167444=AXIS2_PLACEMENT_3D('',#257452,#208644,#208645); #167445=AXIS2_PLACEMENT_3D('',#257454,#208647,#208648); #167446=AXIS2_PLACEMENT_3D('',#257456,#208650,#208651); #167447=AXIS2_PLACEMENT_3D('',#257457,#208652,#208653); #167448=AXIS2_PLACEMENT_3D('',#257459,#208655,#208656); #167449=AXIS2_PLACEMENT_3D('',#257460,#208657,#208658); #167450=AXIS2_PLACEMENT_3D('',#257461,#208659,#208660); #167451=AXIS2_PLACEMENT_3D('',#257470,#208665,#208666); #167452=AXIS2_PLACEMENT_3D('',#257479,#208671,#208672); #167453=AXIS2_PLACEMENT_3D('',#257492,#208679,#208680); #167454=AXIS2_PLACEMENT_3D('',#257505,#208687,#208688); #167455=AXIS2_PLACEMENT_3D('',#257514,#208693,#208694); #167456=AXIS2_PLACEMENT_3D('',#257527,#208701,#208702); #167457=AXIS2_PLACEMENT_3D('',#257536,#208707,#208708); #167458=AXIS2_PLACEMENT_3D('',#257545,#208713,#208714); #167459=AXIS2_PLACEMENT_3D('',#257558,#208721,#208722); #167460=AXIS2_PLACEMENT_3D('',#257567,#208727,#208728); #167461=AXIS2_PLACEMENT_3D('',#257580,#208735,#208736); #167462=AXIS2_PLACEMENT_3D('',#257589,#208741,#208742); #167463=AXIS2_PLACEMENT_3D('',#257598,#208747,#208748); #167464=AXIS2_PLACEMENT_3D('',#257607,#208753,#208754); #167465=AXIS2_PLACEMENT_3D('',#257616,#208759,#208760); #167466=AXIS2_PLACEMENT_3D('',#257625,#208765,#208766); #167467=AXIS2_PLACEMENT_3D('',#257634,#208771,#208772); #167468=AXIS2_PLACEMENT_3D('',#257723,#208817,#208818); #167469=AXIS2_PLACEMENT_3D('',#257736,#208825,#208826); #167470=AXIS2_PLACEMENT_3D('',#257745,#208831,#208832); #167471=AXIS2_PLACEMENT_3D('',#257754,#208836,#208837); #167472=AXIS2_PLACEMENT_3D('',#257758,#208839,#208840); #167473=AXIS2_PLACEMENT_3D('',#257766,#208844,#208845); #167474=AXIS2_PLACEMENT_3D('',#257770,#208847,#208848); #167475=AXIS2_PLACEMENT_3D('',#257778,#208852,#208853); #167476=AXIS2_PLACEMENT_3D('',#257782,#208855,#208856); #167477=AXIS2_PLACEMENT_3D('',#257790,#208861,#208862); #167478=AXIS2_PLACEMENT_3D('',#257803,#208869,#208870); #167479=AXIS2_PLACEMENT_3D('',#257816,#208877,#208878); #167480=AXIS2_PLACEMENT_3D('',#257829,#208885,#208886); #167481=AXIS2_PLACEMENT_3D('',#257842,#208893,#208894); #167482=AXIS2_PLACEMENT_3D('',#257851,#208899,#208900); #167483=AXIS2_PLACEMENT_3D('',#257852,#208901,#208902); #167484=AXIS2_PLACEMENT_3D('',#257853,#208903,#208904); #167485=AXIS2_PLACEMENT_3D('',#257854,#208905,#208906); #167486=AXIS2_PLACEMENT_3D('',#257855,#208907,#208908); #167487=AXIS2_PLACEMENT_3D('',#257856,#208909,#208910); #167488=AXIS2_PLACEMENT_3D('',#257857,#208911,#208912); #167489=AXIS2_PLACEMENT_3D('',#257859,#208913,#208914); #167490=AXIS2_PLACEMENT_3D('',#257862,#208916,#208917); #167491=AXIS2_PLACEMENT_3D('',#257863,#208918,#208919); #167492=AXIS2_PLACEMENT_3D('',#257864,#208920,#208921); #167493=AXIS2_PLACEMENT_3D('',#257865,#208922,#208923); #167494=AXIS2_PLACEMENT_3D('',#257866,#208924,#208925); #167495=AXIS2_PLACEMENT_3D('',#257867,#208926,#208927); #167496=AXIS2_PLACEMENT_3D('',#257868,#208928,#208929); #167497=AXIS2_PLACEMENT_3D('',#257869,#208930,#208931); #167498=AXIS2_PLACEMENT_3D('',#257870,#208932,#208933); #167499=AXIS2_PLACEMENT_3D('',#257871,#208934,#208935); #167500=AXIS2_PLACEMENT_3D('',#257872,#208936,#208937); #167501=AXIS2_PLACEMENT_3D('',#257873,#208938,#208939); #167502=AXIS2_PLACEMENT_3D('',#257874,#208940,#208941); #167503=AXIS2_PLACEMENT_3D('',#257875,#208942,#208943); #167504=AXIS2_PLACEMENT_3D('',#257876,#208944,#208945); #167505=AXIS2_PLACEMENT_3D('',#257877,#208946,#208947); #167506=AXIS2_PLACEMENT_3D('',#257878,#208948,#208949); #167507=AXIS2_PLACEMENT_3D('',#257880,#208950,#208951); #167508=AXIS2_PLACEMENT_3D('',#257883,#208953,#208954); #167509=AXIS2_PLACEMENT_3D('',#257884,#208955,#208956); #167510=AXIS2_PLACEMENT_3D('',#257885,#208957,#208958); #167511=AXIS2_PLACEMENT_3D('',#257886,#208959,#208960); #167512=AXIS2_PLACEMENT_3D('',#257887,#208961,#208962); #167513=AXIS2_PLACEMENT_3D('',#257888,#208963,#208964); #167514=AXIS2_PLACEMENT_3D('',#257889,#208965,#208966); #167515=AXIS2_PLACEMENT_3D('',#257890,#208967,#208968); #167516=AXIS2_PLACEMENT_3D('',#257891,#208969,#208970); #167517=AXIS2_PLACEMENT_3D('',#257892,#208971,#208972); #167518=AXIS2_PLACEMENT_3D('',#257893,#208973,#208974); #167519=AXIS2_PLACEMENT_3D('',#257894,#208975,#208976); #167520=AXIS2_PLACEMENT_3D('',#257895,#208977,#208978); #167521=AXIS2_PLACEMENT_3D('',#257896,#208979,#208980); #167522=AXIS2_PLACEMENT_3D('',#257898,#208981,#208982); #167523=AXIS2_PLACEMENT_3D('',#257901,#208984,#208985); #167524=AXIS2_PLACEMENT_3D('',#257902,#208986,#208987); #167525=AXIS2_PLACEMENT_3D('',#257903,#208988,#208989); #167526=AXIS2_PLACEMENT_3D('',#257904,#208990,#208991); #167527=AXIS2_PLACEMENT_3D('',#257905,#208992,#208993); #167528=AXIS2_PLACEMENT_3D('',#257906,#208994,#208995); #167529=AXIS2_PLACEMENT_3D('',#257907,#208996,#208997); #167530=AXIS2_PLACEMENT_3D('',#257908,#208998,#208999); #167531=AXIS2_PLACEMENT_3D('',#257909,#209000,#209001); #167532=AXIS2_PLACEMENT_3D('',#257910,#209002,#209003); #167533=AXIS2_PLACEMENT_3D('',#257911,#209004,#209005); #167534=AXIS2_PLACEMENT_3D('',#257912,#209006,#209007); #167535=AXIS2_PLACEMENT_3D('',#257913,#209008,#209009); #167536=AXIS2_PLACEMENT_3D('',#257914,#209010,#209011); #167537=AXIS2_PLACEMENT_3D('',#257916,#209012,#209013); #167538=AXIS2_PLACEMENT_3D('',#257919,#209015,#209016); #167539=AXIS2_PLACEMENT_3D('',#257920,#209017,#209018); #167540=AXIS2_PLACEMENT_3D('',#257930,#209024,#209025); #167541=AXIS2_PLACEMENT_3D('',#257932,#209026,#209027); #167542=AXIS2_PLACEMENT_3D('',#257935,#209029,#209030); #167543=AXIS2_PLACEMENT_3D('',#257936,#209031,#209032); #167544=AXIS2_PLACEMENT_3D('',#257942,#209036,#209037); #167545=AXIS2_PLACEMENT_3D('',#257944,#209038,#209039); #167546=AXIS2_PLACEMENT_3D('',#257947,#209041,#209042); #167547=AXIS2_PLACEMENT_3D('',#257948,#209043,#209044); #167548=AXIS2_PLACEMENT_3D('',#257950,#209045,#209046); #167549=AXIS2_PLACEMENT_3D('',#257952,#209047,#209048); #167550=AXIS2_PLACEMENT_3D('',#257954,#209050,#209051); #167551=AXIS2_PLACEMENT_3D('',#257956,#209052,#209053); #167552=AXIS2_PLACEMENT_3D('',#257958,#209055,#209056); #167553=AXIS2_PLACEMENT_3D('',#257959,#209057,#209058); #167554=AXIS2_PLACEMENT_3D('',#257960,#209059,#209060); #167555=AXIS2_PLACEMENT_3D('',#257961,#209061,#209062); #167556=AXIS2_PLACEMENT_3D('',#257962,#209063,#209064); #167557=AXIS2_PLACEMENT_3D('',#257963,#209065,#209066); #167558=AXIS2_PLACEMENT_3D('',#257964,#209067,#209068); #167559=AXIS2_PLACEMENT_3D('',#257965,#209069,#209070); #167560=AXIS2_PLACEMENT_3D('',#257966,#209071,#209072); #167561=AXIS2_PLACEMENT_3D('',#257967,#209073,#209074); #167562=AXIS2_PLACEMENT_3D('',#257968,#209075,#209076); #167563=AXIS2_PLACEMENT_3D('',#257969,#209077,#209078); #167564=AXIS2_PLACEMENT_3D('',#257970,#209079,#209080); #167565=AXIS2_PLACEMENT_3D('',#257971,#209081,#209082); #167566=AXIS2_PLACEMENT_3D('',#257973,#209083,#209084); #167567=AXIS2_PLACEMENT_3D('',#257974,#209085,#209086); #167568=AXIS2_PLACEMENT_3D('',#257976,#209088,#209089); #167569=AXIS2_PLACEMENT_3D('',#257977,#209090,#209091); #167570=AXIS2_PLACEMENT_3D('',#257978,#209092,#209093); #167571=AXIS2_PLACEMENT_3D('',#257999,#209094,#209095); #167572=AXIS2_PLACEMENT_3D('',#258000,#209096,#209097); #167573=AXIS2_PLACEMENT_3D('',#258001,#209098,#209099); #167574=AXIS2_PLACEMENT_3D('',#258002,#209100,#209101); #167575=AXIS2_PLACEMENT_3D('',#258003,#209102,#209103); #167576=AXIS2_PLACEMENT_3D('',#258024,#209104,#209105); #167577=AXIS2_PLACEMENT_3D('',#258025,#209106,#209107); #167578=AXIS2_PLACEMENT_3D('',#258026,#209108,#209109); #167579=AXIS2_PLACEMENT_3D('',#258027,#209110,#209111); #167580=AXIS2_PLACEMENT_3D('',#258029,#209112,#209113); #167581=AXIS2_PLACEMENT_3D('',#258032,#209115,#209116); #167582=AXIS2_PLACEMENT_3D('',#258033,#209117,#209118); #167583=AXIS2_PLACEMENT_3D('',#258043,#209124,#209125); #167584=AXIS2_PLACEMENT_3D('',#258045,#209126,#209127); #167585=AXIS2_PLACEMENT_3D('',#258048,#209129,#209130); #167586=AXIS2_PLACEMENT_3D('',#258049,#209131,#209132); #167587=AXIS2_PLACEMENT_3D('',#258055,#209136,#209137); #167588=AXIS2_PLACEMENT_3D('',#258057,#209138,#209139); #167589=AXIS2_PLACEMENT_3D('',#258060,#209141,#209142); #167590=AXIS2_PLACEMENT_3D('',#258061,#209143,#209144); #167591=AXIS2_PLACEMENT_3D('',#258063,#209145,#209146); #167592=AXIS2_PLACEMENT_3D('',#258065,#209147,#209148); #167593=AXIS2_PLACEMENT_3D('',#258067,#209150,#209151); #167594=AXIS2_PLACEMENT_3D('',#258069,#209152,#209153); #167595=AXIS2_PLACEMENT_3D('',#258071,#209155,#209156); #167596=AXIS2_PLACEMENT_3D('',#258072,#209157,#209158); #167597=AXIS2_PLACEMENT_3D('',#258073,#209159,#209160); #167598=AXIS2_PLACEMENT_3D('',#258074,#209161,#209162); #167599=AXIS2_PLACEMENT_3D('',#258075,#209163,#209164); #167600=AXIS2_PLACEMENT_3D('',#258076,#209165,#209166); #167601=AXIS2_PLACEMENT_3D('',#258077,#209167,#209168); #167602=AXIS2_PLACEMENT_3D('',#258078,#209169,#209170); #167603=AXIS2_PLACEMENT_3D('',#258079,#209171,#209172); #167604=AXIS2_PLACEMENT_3D('',#258080,#209173,#209174); #167605=AXIS2_PLACEMENT_3D('',#258081,#209175,#209176); #167606=AXIS2_PLACEMENT_3D('',#258082,#209177,#209178); #167607=AXIS2_PLACEMENT_3D('',#258083,#209179,#209180); #167608=AXIS2_PLACEMENT_3D('',#258084,#209181,#209182); #167609=AXIS2_PLACEMENT_3D('',#258086,#209183,#209184); #167610=AXIS2_PLACEMENT_3D('',#258087,#209185,#209186); #167611=AXIS2_PLACEMENT_3D('',#258089,#209188,#209189); #167612=AXIS2_PLACEMENT_3D('',#258090,#209190,#209191); #167613=AXIS2_PLACEMENT_3D('',#258091,#209192,#209193); #167614=AXIS2_PLACEMENT_3D('',#258112,#209194,#209195); #167615=AXIS2_PLACEMENT_3D('',#258113,#209196,#209197); #167616=AXIS2_PLACEMENT_3D('',#258114,#209198,#209199); #167617=AXIS2_PLACEMENT_3D('',#258115,#209200,#209201); #167618=AXIS2_PLACEMENT_3D('',#258116,#209202,#209203); #167619=AXIS2_PLACEMENT_3D('',#258137,#209204,#209205); #167620=AXIS2_PLACEMENT_3D('',#258138,#209206,#209207); #167621=AXIS2_PLACEMENT_3D('',#258139,#209208,#209209); #167622=AXIS2_PLACEMENT_3D('',#258140,#209210,#209211); #167623=AXIS2_PLACEMENT_3D('',#258142,#209212,#209213); #167624=AXIS2_PLACEMENT_3D('',#258145,#209215,#209216); #167625=AXIS2_PLACEMENT_3D('',#258146,#209217,#209218); #167626=AXIS2_PLACEMENT_3D('',#258156,#209224,#209225); #167627=AXIS2_PLACEMENT_3D('',#258158,#209226,#209227); #167628=AXIS2_PLACEMENT_3D('',#258161,#209229,#209230); #167629=AXIS2_PLACEMENT_3D('',#258162,#209231,#209232); #167630=AXIS2_PLACEMENT_3D('',#258168,#209236,#209237); #167631=AXIS2_PLACEMENT_3D('',#258170,#209238,#209239); #167632=AXIS2_PLACEMENT_3D('',#258173,#209241,#209242); #167633=AXIS2_PLACEMENT_3D('',#258174,#209243,#209244); #167634=AXIS2_PLACEMENT_3D('',#258176,#209245,#209246); #167635=AXIS2_PLACEMENT_3D('',#258178,#209247,#209248); #167636=AXIS2_PLACEMENT_3D('',#258180,#209250,#209251); #167637=AXIS2_PLACEMENT_3D('',#258182,#209252,#209253); #167638=AXIS2_PLACEMENT_3D('',#258184,#209255,#209256); #167639=AXIS2_PLACEMENT_3D('',#258185,#209257,#209258); #167640=AXIS2_PLACEMENT_3D('',#258186,#209259,#209260); #167641=AXIS2_PLACEMENT_3D('',#258187,#209261,#209262); #167642=AXIS2_PLACEMENT_3D('',#258188,#209263,#209264); #167643=AXIS2_PLACEMENT_3D('',#258189,#209265,#209266); #167644=AXIS2_PLACEMENT_3D('',#258190,#209267,#209268); #167645=AXIS2_PLACEMENT_3D('',#258191,#209269,#209270); #167646=AXIS2_PLACEMENT_3D('',#258192,#209271,#209272); #167647=AXIS2_PLACEMENT_3D('',#258193,#209273,#209274); #167648=AXIS2_PLACEMENT_3D('',#258194,#209275,#209276); #167649=AXIS2_PLACEMENT_3D('',#258195,#209277,#209278); #167650=AXIS2_PLACEMENT_3D('',#258196,#209279,#209280); #167651=AXIS2_PLACEMENT_3D('',#258198,#209281,#209282); #167652=AXIS2_PLACEMENT_3D('',#258199,#209283,#209284); #167653=AXIS2_PLACEMENT_3D('',#258201,#209286,#209287); #167654=AXIS2_PLACEMENT_3D('',#258202,#209288,#209289); #167655=AXIS2_PLACEMENT_3D('',#258203,#209290,#209291); #167656=AXIS2_PLACEMENT_3D('',#258224,#209292,#209293); #167657=AXIS2_PLACEMENT_3D('',#258225,#209294,#209295); #167658=AXIS2_PLACEMENT_3D('',#258246,#209296,#209297); #167659=AXIS2_PLACEMENT_3D('',#258247,#209298,#209299); #167660=AXIS2_PLACEMENT_3D('',#258248,#209300,#209301); #167661=AXIS2_PLACEMENT_3D('',#258249,#209302,#209303); #167662=AXIS2_PLACEMENT_3D('',#258250,#209304,#209305); #167663=AXIS2_PLACEMENT_3D('',#258252,#209306,#209307); #167664=AXIS2_PLACEMENT_3D('',#258254,#209308,#209309); #167665=AXIS2_PLACEMENT_3D('',#258256,#209311,#209312); #167666=AXIS2_PLACEMENT_3D('',#258258,#209313,#209314); #167667=AXIS2_PLACEMENT_3D('',#258260,#209315,#209316); #167668=AXIS2_PLACEMENT_3D('',#258262,#209318,#209319); #167669=AXIS2_PLACEMENT_3D('',#258263,#209320,#209321); #167670=AXIS2_PLACEMENT_3D('',#258264,#209322,#209323); #167671=AXIS2_PLACEMENT_3D('',#258265,#209324,#209325); #167672=AXIS2_PLACEMENT_3D('',#258267,#209326,#209327); #167673=AXIS2_PLACEMENT_3D('',#258269,#209328,#209329); #167674=AXIS2_PLACEMENT_3D('',#258271,#209331,#209332); #167675=AXIS2_PLACEMENT_3D('',#258273,#209333,#209334); #167676=AXIS2_PLACEMENT_3D('',#258275,#209336,#209337); #167677=AXIS2_PLACEMENT_3D('',#258276,#209338,#209339); #167678=AXIS2_PLACEMENT_3D('',#258277,#209340,#209341); #167679=AXIS2_PLACEMENT_3D('',#258278,#209342,#209343); #167680=AXIS2_PLACEMENT_3D('',#258279,#209344,#209345); #167681=AXIS2_PLACEMENT_3D('',#258281,#209346,#209347); #167682=AXIS2_PLACEMENT_3D('',#258282,#209348,#209349); #167683=AXIS2_PLACEMENT_3D('',#258284,#209351,#209352); #167684=AXIS2_PLACEMENT_3D('',#258285,#209353,#209354); #167685=AXIS2_PLACEMENT_3D('',#258286,#209355,#209356); #167686=AXIS2_PLACEMENT_3D('',#258287,#209357,#209358); #167687=AXIS2_PLACEMENT_3D('',#258289,#209359,#209360); #167688=AXIS2_PLACEMENT_3D('',#258291,#209361,#209362); #167689=AXIS2_PLACEMENT_3D('',#258293,#209364,#209365); #167690=AXIS2_PLACEMENT_3D('',#258295,#209366,#209367); #167691=AXIS2_PLACEMENT_3D('',#258297,#209369,#209370); #167692=AXIS2_PLACEMENT_3D('',#258299,#209372,#209373); #167693=AXIS2_PLACEMENT_3D('',#258300,#209374,#209375); #167694=AXIS2_PLACEMENT_3D('',#258301,#209376,#209377); #167695=AXIS2_PLACEMENT_3D('',#258302,#209378,#209379); #167696=AXIS2_PLACEMENT_3D('',#258305,#209380,#209381); #167697=AXIS2_PLACEMENT_3D('',#258307,#209383,#209384); #167698=AXIS2_PLACEMENT_3D('',#258309,#209386,#209387); #167699=AXIS2_PLACEMENT_3D('',#258310,#209388,#209389); #167700=AXIS2_PLACEMENT_3D('',#258311,#209390,#209391); #167701=AXIS2_PLACEMENT_3D('',#258312,#209392,#209393); #167702=AXIS2_PLACEMENT_3D('',#258313,#209394,#209395); #167703=AXIS2_PLACEMENT_3D('',#258321,#209399,#209400); #167704=AXIS2_PLACEMENT_3D('',#258325,#209402,#209403); #167705=AXIS2_PLACEMENT_3D('',#258333,#209407,#209408); #167706=AXIS2_PLACEMENT_3D('',#258336,#209410,#209411); #167707=AXIS2_PLACEMENT_3D('',#258337,#209412,#209413); #167708=AXIS2_PLACEMENT_3D('',#258338,#209414,#209415); #167709=AXIS2_PLACEMENT_3D('',#258339,#209416,#209417); #167710=AXIS2_PLACEMENT_3D('',#258349,#209423,#209424); #167711=AXIS2_PLACEMENT_3D('',#258351,#209425,#209426); #167712=AXIS2_PLACEMENT_3D('',#258353,#209427,#209428); #167713=AXIS2_PLACEMENT_3D('',#258355,#209430,#209431); #167714=AXIS2_PLACEMENT_3D('',#258357,#209432,#209433); #167715=AXIS2_PLACEMENT_3D('',#258359,#209435,#209436); #167716=AXIS2_PLACEMENT_3D('',#258360,#209437,#209438); #167717=AXIS2_PLACEMENT_3D('',#258362,#209439,#209440); #167718=AXIS2_PLACEMENT_3D('',#258364,#209441,#209442); #167719=AXIS2_PLACEMENT_3D('',#258366,#209444,#209445); #167720=AXIS2_PLACEMENT_3D('',#258367,#209446,#209447); #167721=AXIS2_PLACEMENT_3D('',#258368,#209448,#209449); #167722=AXIS2_PLACEMENT_3D('',#258369,#209450,#209451); #167723=AXIS2_PLACEMENT_3D('',#258370,#209452,#209453); #167724=AXIS2_PLACEMENT_3D('',#258371,#209454,#209455); #167725=AXIS2_PLACEMENT_3D('',#258372,#209456,#209457); #167726=AXIS2_PLACEMENT_3D('',#258373,#209458,#209459); #167727=AXIS2_PLACEMENT_3D('',#258375,#209460,#209461); #167728=AXIS2_PLACEMENT_3D('',#258377,#209463,#209464); #167729=AXIS2_PLACEMENT_3D('',#258378,#209465,#209466); #167730=AXIS2_PLACEMENT_3D('',#258379,#209467,#209468); #167731=AXIS2_PLACEMENT_3D('',#258380,#209469,#209470); #167732=AXIS2_PLACEMENT_3D('',#258381,#209471,#209472); #167733=AXIS2_PLACEMENT_3D('',#258382,#209473,#209474); #167734=AXIS2_PLACEMENT_3D('',#258403,#209475,#209476); #167735=AXIS2_PLACEMENT_3D('',#258404,#209477,#209478); #167736=AXIS2_PLACEMENT_3D('',#258405,#209479,#209480); #167737=AXIS2_PLACEMENT_3D('',#258406,#209481,#209482); #167738=AXIS2_PLACEMENT_3D('',#258407,#209483,#209484); #167739=AXIS2_PLACEMENT_3D('',#258408,#209485,#209486); #167740=AXIS2_PLACEMENT_3D('',#258409,#209487,#209488); #167741=AXIS2_PLACEMENT_3D('',#258430,#209489,#209490); #167742=AXIS2_PLACEMENT_3D('',#258431,#209491,#209492); #167743=AXIS2_PLACEMENT_3D('',#258432,#209493,#209494); #167744=AXIS2_PLACEMENT_3D('',#258433,#209495,#209496); #167745=AXIS2_PLACEMENT_3D('',#258434,#209497,#209498); #167746=AXIS2_PLACEMENT_3D('',#258436,#209499,#209500); #167747=AXIS2_PLACEMENT_3D('',#258439,#209502,#209503); #167748=AXIS2_PLACEMENT_3D('',#258440,#209504,#209505); #167749=AXIS2_PLACEMENT_3D('',#258450,#209511,#209512); #167750=AXIS2_PLACEMENT_3D('',#258452,#209513,#209514); #167751=AXIS2_PLACEMENT_3D('',#258455,#209516,#209517); #167752=AXIS2_PLACEMENT_3D('',#258456,#209518,#209519); #167753=AXIS2_PLACEMENT_3D('',#258462,#209523,#209524); #167754=AXIS2_PLACEMENT_3D('',#258464,#209525,#209526); #167755=AXIS2_PLACEMENT_3D('',#258467,#209528,#209529); #167756=AXIS2_PLACEMENT_3D('',#258468,#209530,#209531); #167757=AXIS2_PLACEMENT_3D('',#258470,#209532,#209533); #167758=AXIS2_PLACEMENT_3D('',#258472,#209534,#209535); #167759=AXIS2_PLACEMENT_3D('',#258474,#209537,#209538); #167760=AXIS2_PLACEMENT_3D('',#258476,#209539,#209540); #167761=AXIS2_PLACEMENT_3D('',#258478,#209542,#209543); #167762=AXIS2_PLACEMENT_3D('',#258479,#209544,#209545); #167763=AXIS2_PLACEMENT_3D('',#258480,#209546,#209547); #167764=AXIS2_PLACEMENT_3D('',#258481,#209548,#209549); #167765=AXIS2_PLACEMENT_3D('',#258482,#209550,#209551); #167766=AXIS2_PLACEMENT_3D('',#258483,#209552,#209553); #167767=AXIS2_PLACEMENT_3D('',#258484,#209554,#209555); #167768=AXIS2_PLACEMENT_3D('',#258485,#209556,#209557); #167769=AXIS2_PLACEMENT_3D('',#258486,#209558,#209559); #167770=AXIS2_PLACEMENT_3D('',#258487,#209560,#209561); #167771=AXIS2_PLACEMENT_3D('',#258488,#209562,#209563); #167772=AXIS2_PLACEMENT_3D('',#258489,#209564,#209565); #167773=AXIS2_PLACEMENT_3D('',#258490,#209566,#209567); #167774=AXIS2_PLACEMENT_3D('',#258492,#209568,#209569); #167775=AXIS2_PLACEMENT_3D('',#258493,#209570,#209571); #167776=AXIS2_PLACEMENT_3D('',#258495,#209573,#209574); #167777=AXIS2_PLACEMENT_3D('',#258496,#209575,#209576); #167778=AXIS2_PLACEMENT_3D('',#258497,#209577,#209578); #167779=AXIS2_PLACEMENT_3D('',#258518,#209579,#209580); #167780=AXIS2_PLACEMENT_3D('',#258519,#209581,#209582); #167781=AXIS2_PLACEMENT_3D('',#258520,#209583,#209584); #167782=AXIS2_PLACEMENT_3D('',#258521,#209585,#209586); #167783=AXIS2_PLACEMENT_3D('',#258522,#209587,#209588); #167784=AXIS2_PLACEMENT_3D('',#258543,#209589,#209590); #167785=AXIS2_PLACEMENT_3D('',#258544,#209591,#209592); #167786=AXIS2_PLACEMENT_3D('',#258546,#209593,#209594); #167787=AXIS2_PLACEMENT_3D('',#258548,#209596,#209597); #167788=AXIS2_PLACEMENT_3D('',#258557,#209601,#209602); #167789=AXIS2_PLACEMENT_3D('',#258561,#209604,#209605); #167790=AXIS2_PLACEMENT_3D('',#258568,#209609,#209610); #167791=AXIS2_PLACEMENT_3D('',#258571,#209612,#209613); #167792=AXIS2_PLACEMENT_3D('',#258572,#209614,#209615); #167793=AXIS2_PLACEMENT_3D('',#258573,#209616,#209617); #167794=AXIS2_PLACEMENT_3D('',#258574,#209618,#209619); #167795=AXIS2_PLACEMENT_3D('',#258575,#209620,#209621); #167796=AXIS2_PLACEMENT_3D('',#258585,#209627,#209628); #167797=AXIS2_PLACEMENT_3D('',#258587,#209629,#209630); #167798=AXIS2_PLACEMENT_3D('',#258589,#209631,#209632); #167799=AXIS2_PLACEMENT_3D('',#258591,#209634,#209635); #167800=AXIS2_PLACEMENT_3D('',#258593,#209636,#209637); #167801=AXIS2_PLACEMENT_3D('',#258595,#209639,#209640); #167802=AXIS2_PLACEMENT_3D('',#258596,#209641,#209642); #167803=AXIS2_PLACEMENT_3D('',#258598,#209643,#209644); #167804=AXIS2_PLACEMENT_3D('',#258600,#209645,#209646); #167805=AXIS2_PLACEMENT_3D('',#258602,#209648,#209649); #167806=AXIS2_PLACEMENT_3D('',#258603,#209650,#209651); #167807=AXIS2_PLACEMENT_3D('',#258604,#209652,#209653); #167808=AXIS2_PLACEMENT_3D('',#258605,#209654,#209655); #167809=AXIS2_PLACEMENT_3D('',#258606,#209656,#209657); #167810=AXIS2_PLACEMENT_3D('',#258607,#209658,#209659); #167811=AXIS2_PLACEMENT_3D('',#258608,#209660,#209661); #167812=AXIS2_PLACEMENT_3D('',#258610,#209662,#209663); #167813=AXIS2_PLACEMENT_3D('',#258612,#209665,#209666); #167814=AXIS2_PLACEMENT_3D('',#258613,#209667,#209668); #167815=AXIS2_PLACEMENT_3D('',#258614,#209669,#209670); #167816=AXIS2_PLACEMENT_3D('',#258615,#209671,#209672); #167817=AXIS2_PLACEMENT_3D('',#258616,#209673,#209674); #167818=AXIS2_PLACEMENT_3D('',#258617,#209675,#209676); #167819=AXIS2_PLACEMENT_3D('',#258618,#209677,#209678); #167820=AXIS2_PLACEMENT_3D('',#258619,#209679,#209680); #167821=AXIS2_PLACEMENT_3D('',#258620,#209681,#209682); #167822=AXIS2_PLACEMENT_3D('',#258641,#209683,#209684); #167823=AXIS2_PLACEMENT_3D('',#258642,#209685,#209686); #167824=AXIS2_PLACEMENT_3D('',#258643,#209687,#209688); #167825=AXIS2_PLACEMENT_3D('',#258644,#209689,#209690); #167826=AXIS2_PLACEMENT_3D('',#258665,#209691,#209692); #167827=AXIS2_PLACEMENT_3D('',#258666,#209693,#209694); #167828=AXIS2_PLACEMENT_3D('',#258667,#209695,#209696); #167829=AXIS2_PLACEMENT_3D('',#258668,#209697,#209698); #167830=AXIS2_PLACEMENT_3D('',#258669,#209699,#209700); #167831=AXIS2_PLACEMENT_3D('',#258671,#209701,#209702); #167832=AXIS2_PLACEMENT_3D('',#258674,#209704,#209705); #167833=AXIS2_PLACEMENT_3D('',#258675,#209706,#209707); #167834=AXIS2_PLACEMENT_3D('',#258685,#209713,#209714); #167835=AXIS2_PLACEMENT_3D('',#258687,#209715,#209716); #167836=AXIS2_PLACEMENT_3D('',#258690,#209718,#209719); #167837=AXIS2_PLACEMENT_3D('',#258691,#209720,#209721); #167838=AXIS2_PLACEMENT_3D('',#258697,#209725,#209726); #167839=AXIS2_PLACEMENT_3D('',#258699,#209727,#209728); #167840=AXIS2_PLACEMENT_3D('',#258702,#209730,#209731); #167841=AXIS2_PLACEMENT_3D('',#258703,#209732,#209733); #167842=AXIS2_PLACEMENT_3D('',#258705,#209734,#209735); #167843=AXIS2_PLACEMENT_3D('',#258707,#209736,#209737); #167844=AXIS2_PLACEMENT_3D('',#258709,#209739,#209740); #167845=AXIS2_PLACEMENT_3D('',#258711,#209741,#209742); #167846=AXIS2_PLACEMENT_3D('',#258713,#209744,#209745); #167847=AXIS2_PLACEMENT_3D('',#258714,#209746,#209747); #167848=AXIS2_PLACEMENT_3D('',#258715,#209748,#209749); #167849=AXIS2_PLACEMENT_3D('',#258716,#209750,#209751); #167850=AXIS2_PLACEMENT_3D('',#258717,#209752,#209753); #167851=AXIS2_PLACEMENT_3D('',#258718,#209754,#209755); #167852=AXIS2_PLACEMENT_3D('',#258719,#209756,#209757); #167853=AXIS2_PLACEMENT_3D('',#258720,#209758,#209759); #167854=AXIS2_PLACEMENT_3D('',#258721,#209760,#209761); #167855=AXIS2_PLACEMENT_3D('',#258722,#209762,#209763); #167856=AXIS2_PLACEMENT_3D('',#258723,#209764,#209765); #167857=AXIS2_PLACEMENT_3D('',#258724,#209766,#209767); #167858=AXIS2_PLACEMENT_3D('',#258725,#209768,#209769); #167859=AXIS2_PLACEMENT_3D('',#258727,#209770,#209771); #167860=AXIS2_PLACEMENT_3D('',#258728,#209772,#209773); #167861=AXIS2_PLACEMENT_3D('',#258730,#209775,#209776); #167862=AXIS2_PLACEMENT_3D('',#258731,#209777,#209778); #167863=AXIS2_PLACEMENT_3D('',#258732,#209779,#209780); #167864=AXIS2_PLACEMENT_3D('',#258753,#209781,#209782); #167865=AXIS2_PLACEMENT_3D('',#258754,#209783,#209784); #167866=AXIS2_PLACEMENT_3D('',#258755,#209785,#209786); #167867=AXIS2_PLACEMENT_3D('',#258756,#209787,#209788); #167868=AXIS2_PLACEMENT_3D('',#258757,#209789,#209790); #167869=AXIS2_PLACEMENT_3D('',#258778,#209791,#209792); #167870=AXIS2_PLACEMENT_3D('',#258779,#209793,#209794); #167871=AXIS2_PLACEMENT_3D('',#258781,#209795,#209796); #167872=AXIS2_PLACEMENT_3D('',#258783,#209798,#209799); #167873=AXIS2_PLACEMENT_3D('',#258792,#209803,#209804); #167874=AXIS2_PLACEMENT_3D('',#258796,#209806,#209807); #167875=AXIS2_PLACEMENT_3D('',#258803,#209811,#209812); #167876=AXIS2_PLACEMENT_3D('',#258807,#209814,#209815); #167877=AXIS2_PLACEMENT_3D('',#258815,#209819,#209820); #167878=AXIS2_PLACEMENT_3D('',#258818,#209822,#209823); #167879=AXIS2_PLACEMENT_3D('',#258819,#209824,#209825); #167880=AXIS2_PLACEMENT_3D('',#258820,#209826,#209827); #167881=AXIS2_PLACEMENT_3D('',#258821,#209828,#209829); #167882=AXIS2_PLACEMENT_3D('',#258822,#209830,#209831); #167883=AXIS2_PLACEMENT_3D('',#258832,#209837,#209838); #167884=AXIS2_PLACEMENT_3D('',#258834,#209839,#209840); #167885=AXIS2_PLACEMENT_3D('',#258836,#209841,#209842); #167886=AXIS2_PLACEMENT_3D('',#258838,#209844,#209845); #167887=AXIS2_PLACEMENT_3D('',#258840,#209846,#209847); #167888=AXIS2_PLACEMENT_3D('',#258842,#209849,#209850); #167889=AXIS2_PLACEMENT_3D('',#258843,#209851,#209852); #167890=AXIS2_PLACEMENT_3D('',#258845,#209853,#209854); #167891=AXIS2_PLACEMENT_3D('',#258847,#209855,#209856); #167892=AXIS2_PLACEMENT_3D('',#258849,#209858,#209859); #167893=AXIS2_PLACEMENT_3D('',#258850,#209860,#209861); #167894=AXIS2_PLACEMENT_3D('',#258851,#209862,#209863); #167895=AXIS2_PLACEMENT_3D('',#258852,#209864,#209865); #167896=AXIS2_PLACEMENT_3D('',#258853,#209866,#209867); #167897=AXIS2_PLACEMENT_3D('',#258854,#209868,#209869); #167898=AXIS2_PLACEMENT_3D('',#258855,#209870,#209871); #167899=AXIS2_PLACEMENT_3D('',#258857,#209872,#209873); #167900=AXIS2_PLACEMENT_3D('',#258859,#209875,#209876); #167901=AXIS2_PLACEMENT_3D('',#258860,#209877,#209878); #167902=AXIS2_PLACEMENT_3D('',#258861,#209879,#209880); #167903=AXIS2_PLACEMENT_3D('',#258862,#209881,#209882); #167904=AXIS2_PLACEMENT_3D('',#258863,#209883,#209884); #167905=AXIS2_PLACEMENT_3D('',#258864,#209885,#209886); #167906=AXIS2_PLACEMENT_3D('',#258865,#209887,#209888); #167907=AXIS2_PLACEMENT_3D('',#258866,#209889,#209890); #167908=AXIS2_PLACEMENT_3D('',#258867,#209891,#209892); #167909=AXIS2_PLACEMENT_3D('',#258888,#209893,#209894); #167910=AXIS2_PLACEMENT_3D('',#258889,#209895,#209896); #167911=AXIS2_PLACEMENT_3D('',#258890,#209897,#209898); #167912=AXIS2_PLACEMENT_3D('',#258891,#209899,#209900); #167913=AXIS2_PLACEMENT_3D('',#258912,#209901,#209902); #167914=AXIS2_PLACEMENT_3D('',#258913,#209903,#209904); #167915=AXIS2_PLACEMENT_3D('',#258914,#209905,#209906); #167916=AXIS2_PLACEMENT_3D('',#258915,#209907,#209908); #167917=AXIS2_PLACEMENT_3D('',#258916,#209909,#209910); #167918=AXIS2_PLACEMENT_3D('',#258918,#209911,#209912); #167919=AXIS2_PLACEMENT_3D('',#258921,#209914,#209915); #167920=AXIS2_PLACEMENT_3D('',#258922,#209916,#209917); #167921=AXIS2_PLACEMENT_3D('',#258932,#209923,#209924); #167922=AXIS2_PLACEMENT_3D('',#258934,#209925,#209926); #167923=AXIS2_PLACEMENT_3D('',#258937,#209928,#209929); #167924=AXIS2_PLACEMENT_3D('',#258938,#209930,#209931); #167925=AXIS2_PLACEMENT_3D('',#258944,#209935,#209936); #167926=AXIS2_PLACEMENT_3D('',#258946,#209937,#209938); #167927=AXIS2_PLACEMENT_3D('',#258949,#209940,#209941); #167928=AXIS2_PLACEMENT_3D('',#258950,#209942,#209943); #167929=AXIS2_PLACEMENT_3D('',#258952,#209944,#209945); #167930=AXIS2_PLACEMENT_3D('',#258954,#209946,#209947); #167931=AXIS2_PLACEMENT_3D('',#258956,#209949,#209950); #167932=AXIS2_PLACEMENT_3D('',#258958,#209951,#209952); #167933=AXIS2_PLACEMENT_3D('',#258960,#209954,#209955); #167934=AXIS2_PLACEMENT_3D('',#258961,#209956,#209957); #167935=AXIS2_PLACEMENT_3D('',#258962,#209958,#209959); #167936=AXIS2_PLACEMENT_3D('',#258963,#209960,#209961); #167937=AXIS2_PLACEMENT_3D('',#258964,#209962,#209963); #167938=AXIS2_PLACEMENT_3D('',#258965,#209964,#209965); #167939=AXIS2_PLACEMENT_3D('',#258966,#209966,#209967); #167940=AXIS2_PLACEMENT_3D('',#258967,#209968,#209969); #167941=AXIS2_PLACEMENT_3D('',#258968,#209970,#209971); #167942=AXIS2_PLACEMENT_3D('',#258969,#209972,#209973); #167943=AXIS2_PLACEMENT_3D('',#258970,#209974,#209975); #167944=AXIS2_PLACEMENT_3D('',#258971,#209976,#209977); #167945=AXIS2_PLACEMENT_3D('',#258972,#209978,#209979); #167946=AXIS2_PLACEMENT_3D('',#258973,#209980,#209981); #167947=AXIS2_PLACEMENT_3D('',#258975,#209982,#209983); #167948=AXIS2_PLACEMENT_3D('',#258976,#209984,#209985); #167949=AXIS2_PLACEMENT_3D('',#258978,#209987,#209988); #167950=AXIS2_PLACEMENT_3D('',#258979,#209989,#209990); #167951=AXIS2_PLACEMENT_3D('',#258980,#209991,#209992); #167952=AXIS2_PLACEMENT_3D('',#259001,#209993,#209994); #167953=AXIS2_PLACEMENT_3D('',#259002,#209995,#209996); #167954=AXIS2_PLACEMENT_3D('',#259003,#209997,#209998); #167955=AXIS2_PLACEMENT_3D('',#259004,#209999,#210000); #167956=AXIS2_PLACEMENT_3D('',#259005,#210001,#210002); #167957=AXIS2_PLACEMENT_3D('',#259026,#210003,#210004); #167958=AXIS2_PLACEMENT_3D('',#259027,#210005,#210006); #167959=AXIS2_PLACEMENT_3D('',#259028,#210007,#210008); #167960=AXIS2_PLACEMENT_3D('',#259029,#210009,#210010); #167961=AXIS2_PLACEMENT_3D('',#259031,#210011,#210012); #167962=AXIS2_PLACEMENT_3D('',#259034,#210014,#210015); #167963=AXIS2_PLACEMENT_3D('',#259035,#210016,#210017); #167964=AXIS2_PLACEMENT_3D('',#259045,#210023,#210024); #167965=AXIS2_PLACEMENT_3D('',#259047,#210025,#210026); #167966=AXIS2_PLACEMENT_3D('',#259050,#210028,#210029); #167967=AXIS2_PLACEMENT_3D('',#259051,#210030,#210031); #167968=AXIS2_PLACEMENT_3D('',#259057,#210035,#210036); #167969=AXIS2_PLACEMENT_3D('',#259059,#210037,#210038); #167970=AXIS2_PLACEMENT_3D('',#259062,#210040,#210041); #167971=AXIS2_PLACEMENT_3D('',#259063,#210042,#210043); #167972=AXIS2_PLACEMENT_3D('',#259065,#210044,#210045); #167973=AXIS2_PLACEMENT_3D('',#259067,#210046,#210047); #167974=AXIS2_PLACEMENT_3D('',#259069,#210049,#210050); #167975=AXIS2_PLACEMENT_3D('',#259071,#210051,#210052); #167976=AXIS2_PLACEMENT_3D('',#259073,#210054,#210055); #167977=AXIS2_PLACEMENT_3D('',#259074,#210056,#210057); #167978=AXIS2_PLACEMENT_3D('',#259075,#210058,#210059); #167979=AXIS2_PLACEMENT_3D('',#259076,#210060,#210061); #167980=AXIS2_PLACEMENT_3D('',#259077,#210062,#210063); #167981=AXIS2_PLACEMENT_3D('',#259078,#210064,#210065); #167982=AXIS2_PLACEMENT_3D('',#259079,#210066,#210067); #167983=AXIS2_PLACEMENT_3D('',#259080,#210068,#210069); #167984=AXIS2_PLACEMENT_3D('',#259081,#210070,#210071); #167985=AXIS2_PLACEMENT_3D('',#259082,#210072,#210073); #167986=AXIS2_PLACEMENT_3D('',#259083,#210074,#210075); #167987=AXIS2_PLACEMENT_3D('',#259084,#210076,#210077); #167988=AXIS2_PLACEMENT_3D('',#259085,#210078,#210079); #167989=AXIS2_PLACEMENT_3D('',#259087,#210080,#210081); #167990=AXIS2_PLACEMENT_3D('',#259088,#210082,#210083); #167991=AXIS2_PLACEMENT_3D('',#259090,#210085,#210086); #167992=AXIS2_PLACEMENT_3D('',#259091,#210087,#210088); #167993=AXIS2_PLACEMENT_3D('',#259092,#210089,#210090); #167994=AXIS2_PLACEMENT_3D('',#259113,#210091,#210092); #167995=AXIS2_PLACEMENT_3D('',#259114,#210093,#210094); #167996=AXIS2_PLACEMENT_3D('',#259115,#210095,#210096); #167997=AXIS2_PLACEMENT_3D('',#259116,#210097,#210098); #167998=AXIS2_PLACEMENT_3D('',#259117,#210099,#210100); #167999=AXIS2_PLACEMENT_3D('',#259138,#210101,#210102); #168000=AXIS2_PLACEMENT_3D('',#259139,#210103,#210104); #168001=AXIS2_PLACEMENT_3D('',#259140,#210105,#210106); #168002=AXIS2_PLACEMENT_3D('',#259141,#210107,#210108); #168003=AXIS2_PLACEMENT_3D('',#259142,#210109,#210110); #168004=AXIS2_PLACEMENT_3D('',#259144,#210111,#210112); #168005=AXIS2_PLACEMENT_3D('',#259146,#210114,#210115); #168006=AXIS2_PLACEMENT_3D('',#259164,#210117,#210118); #168007=AXIS2_PLACEMENT_3D('',#259165,#210119,#210120); #168008=AXIS2_PLACEMENT_3D('',#259166,#210121,#210122); #168009=AXIS2_PLACEMENT_3D('',#259167,#210123,#210124); #168010=AXIS2_PLACEMENT_3D('',#259184,#210125,#210126); #168011=AXIS2_PLACEMENT_3D('',#259185,#210127,#210128); #168012=AXIS2_PLACEMENT_3D('',#259186,#210129,#210130); #168013=AXIS2_PLACEMENT_3D('',#259187,#210131,#210132); #168014=AXIS2_PLACEMENT_3D('',#259189,#210133,#210134); #168015=AXIS2_PLACEMENT_3D('',#259191,#210136,#210137); #168016=AXIS2_PLACEMENT_3D('',#259209,#210139,#210140); #168017=AXIS2_PLACEMENT_3D('',#259210,#210141,#210142); #168018=AXIS2_PLACEMENT_3D('',#259211,#210143,#210144); #168019=AXIS2_PLACEMENT_3D('',#259212,#210145,#210146); #168020=AXIS2_PLACEMENT_3D('',#259229,#210147,#210148); #168021=AXIS2_PLACEMENT_3D('',#259230,#210149,#210150); #168022=AXIS2_PLACEMENT_3D('',#259231,#210151,#210152); #168023=AXIS2_PLACEMENT_3D('',#259233,#210153,#210154); #168024=AXIS2_PLACEMENT_3D('',#259235,#210156,#210157); #168025=AXIS2_PLACEMENT_3D('',#259253,#210159,#210160); #168026=AXIS2_PLACEMENT_3D('',#259254,#210161,#210162); #168027=AXIS2_PLACEMENT_3D('',#259255,#210163,#210164); #168028=AXIS2_PLACEMENT_3D('',#259272,#210165,#210166); #168029=AXIS2_PLACEMENT_3D('',#259273,#210167,#210168); #168030=AXIS2_PLACEMENT_3D('',#259274,#210169,#210170); #168031=AXIS2_PLACEMENT_3D('',#259276,#210171,#210172); #168032=AXIS2_PLACEMENT_3D('',#259278,#210174,#210175); #168033=AXIS2_PLACEMENT_3D('',#259296,#210177,#210178); #168034=AXIS2_PLACEMENT_3D('',#259297,#210179,#210180); #168035=AXIS2_PLACEMENT_3D('',#259298,#210181,#210182); #168036=AXIS2_PLACEMENT_3D('',#259315,#210183,#210184); #168037=AXIS2_PLACEMENT_3D('',#259316,#210185,#210186); #168038=AXIS2_PLACEMENT_3D('',#259317,#210187,#210188); #168039=AXIS2_PLACEMENT_3D('',#259318,#210189,#210190); #168040=AXIS2_PLACEMENT_3D('',#259320,#210191,#210192); #168041=AXIS2_PLACEMENT_3D('',#259322,#210194,#210195); #168042=AXIS2_PLACEMENT_3D('',#259340,#210197,#210198); #168043=AXIS2_PLACEMENT_3D('',#259341,#210199,#210200); #168044=AXIS2_PLACEMENT_3D('',#259342,#210201,#210202); #168045=AXIS2_PLACEMENT_3D('',#259343,#210203,#210204); #168046=AXIS2_PLACEMENT_3D('',#259360,#210205,#210206); #168047=AXIS2_PLACEMENT_3D('',#259361,#210207,#210208); #168048=AXIS2_PLACEMENT_3D('',#259362,#210209,#210210); #168049=AXIS2_PLACEMENT_3D('',#259363,#210211,#210212); #168050=AXIS2_PLACEMENT_3D('',#259365,#210213,#210214); #168051=AXIS2_PLACEMENT_3D('',#259367,#210216,#210217); #168052=AXIS2_PLACEMENT_3D('',#259385,#210219,#210220); #168053=AXIS2_PLACEMENT_3D('',#259386,#210221,#210222); #168054=AXIS2_PLACEMENT_3D('',#259387,#210223,#210224); #168055=AXIS2_PLACEMENT_3D('',#259388,#210225,#210226); #168056=AXIS2_PLACEMENT_3D('',#259405,#210227,#210228); #168057=AXIS2_PLACEMENT_3D('',#259406,#210229,#210230); #168058=AXIS2_PLACEMENT_3D('',#259407,#210231,#210232); #168059=AXIS2_PLACEMENT_3D('',#259409,#210233,#210234); #168060=AXIS2_PLACEMENT_3D('',#259411,#210236,#210237); #168061=AXIS2_PLACEMENT_3D('',#259429,#210239,#210240); #168062=AXIS2_PLACEMENT_3D('',#259430,#210241,#210242); #168063=AXIS2_PLACEMENT_3D('',#259431,#210243,#210244); #168064=AXIS2_PLACEMENT_3D('',#259448,#210245,#210246); #168065=AXIS2_PLACEMENT_3D('',#259449,#210247,#210248); #168066=AXIS2_PLACEMENT_3D('',#259450,#210249,#210250); #168067=AXIS2_PLACEMENT_3D('',#259451,#210251,#210252); #168068=AXIS2_PLACEMENT_3D('',#259453,#210253,#210254); #168069=AXIS2_PLACEMENT_3D('',#259455,#210256,#210257); #168070=AXIS2_PLACEMENT_3D('',#259473,#210259,#210260); #168071=AXIS2_PLACEMENT_3D('',#259474,#210261,#210262); #168072=AXIS2_PLACEMENT_3D('',#259475,#210263,#210264); #168073=AXIS2_PLACEMENT_3D('',#259476,#210265,#210266); #168074=AXIS2_PLACEMENT_3D('',#259493,#210267,#210268); #168075=AXIS2_PLACEMENT_3D('',#259494,#210269,#210270); #168076=AXIS2_PLACEMENT_3D('',#259495,#210271,#210272); #168077=AXIS2_PLACEMENT_3D('',#259496,#210273,#210274); #168078=AXIS2_PLACEMENT_3D('',#259498,#210275,#210276); #168079=AXIS2_PLACEMENT_3D('',#259500,#210278,#210279); #168080=AXIS2_PLACEMENT_3D('',#259518,#210281,#210282); #168081=AXIS2_PLACEMENT_3D('',#259519,#210283,#210284); #168082=AXIS2_PLACEMENT_3D('',#259520,#210285,#210286); #168083=AXIS2_PLACEMENT_3D('',#259521,#210287,#210288); #168084=AXIS2_PLACEMENT_3D('',#259538,#210289,#210290); #168085=AXIS2_PLACEMENT_3D('',#259539,#210291,#210292); #168086=AXIS2_PLACEMENT_3D('',#259540,#210293,#210294); #168087=AXIS2_PLACEMENT_3D('',#259541,#210295,#210296); #168088=AXIS2_PLACEMENT_3D('',#259543,#210297,#210298); #168089=AXIS2_PLACEMENT_3D('',#259545,#210300,#210301); #168090=AXIS2_PLACEMENT_3D('',#259563,#210303,#210304); #168091=AXIS2_PLACEMENT_3D('',#259564,#210305,#210306); #168092=AXIS2_PLACEMENT_3D('',#259565,#210307,#210308); #168093=AXIS2_PLACEMENT_3D('',#259566,#210309,#210310); #168094=AXIS2_PLACEMENT_3D('',#259583,#210311,#210312); #168095=AXIS2_PLACEMENT_3D('',#259584,#210313,#210314); #168096=AXIS2_PLACEMENT_3D('',#259585,#210315,#210316); #168097=AXIS2_PLACEMENT_3D('',#259586,#210317,#210318); #168098=AXIS2_PLACEMENT_3D('',#259588,#210319,#210320); #168099=AXIS2_PLACEMENT_3D('',#259590,#210322,#210323); #168100=AXIS2_PLACEMENT_3D('',#259608,#210325,#210326); #168101=AXIS2_PLACEMENT_3D('',#259609,#210327,#210328); #168102=AXIS2_PLACEMENT_3D('',#259610,#210329,#210330); #168103=AXIS2_PLACEMENT_3D('',#259611,#210331,#210332); #168104=AXIS2_PLACEMENT_3D('',#259628,#210333,#210334); #168105=AXIS2_PLACEMENT_3D('',#259629,#210335,#210336); #168106=AXIS2_PLACEMENT_3D('',#259630,#210337,#210338); #168107=AXIS2_PLACEMENT_3D('',#259631,#210339,#210340); #168108=AXIS2_PLACEMENT_3D('',#259633,#210341,#210342); #168109=AXIS2_PLACEMENT_3D('',#259635,#210344,#210345); #168110=AXIS2_PLACEMENT_3D('',#259653,#210347,#210348); #168111=AXIS2_PLACEMENT_3D('',#259654,#210349,#210350); #168112=AXIS2_PLACEMENT_3D('',#259655,#210351,#210352); #168113=AXIS2_PLACEMENT_3D('',#259656,#210353,#210354); #168114=AXIS2_PLACEMENT_3D('',#259673,#210355,#210356); #168115=AXIS2_PLACEMENT_3D('',#259674,#210357,#210358); #168116=AXIS2_PLACEMENT_3D('',#259675,#210359,#210360); #168117=AXIS2_PLACEMENT_3D('',#259677,#210361,#210362); #168118=AXIS2_PLACEMENT_3D('',#259679,#210364,#210365); #168119=AXIS2_PLACEMENT_3D('',#259697,#210367,#210368); #168120=AXIS2_PLACEMENT_3D('',#259698,#210369,#210370); #168121=AXIS2_PLACEMENT_3D('',#259699,#210371,#210372); #168122=AXIS2_PLACEMENT_3D('',#259716,#210373,#210374); #168123=AXIS2_PLACEMENT_3D('',#259717,#210375,#210376); #168124=AXIS2_PLACEMENT_3D('',#259718,#210377,#210378); #168125=AXIS2_PLACEMENT_3D('',#259720,#210379,#210380); #168126=AXIS2_PLACEMENT_3D('',#259722,#210382,#210383); #168127=AXIS2_PLACEMENT_3D('',#259740,#210385,#210386); #168128=AXIS2_PLACEMENT_3D('',#259741,#210387,#210388); #168129=AXIS2_PLACEMENT_3D('',#259742,#210389,#210390); #168130=AXIS2_PLACEMENT_3D('',#259759,#210391,#210392); #168131=AXIS2_PLACEMENT_3D('',#259760,#210393,#210394); #168132=AXIS2_PLACEMENT_3D('',#259761,#210395,#210396); #168133=AXIS2_PLACEMENT_3D('',#259763,#210397,#210398); #168134=AXIS2_PLACEMENT_3D('',#259765,#210400,#210401); #168135=AXIS2_PLACEMENT_3D('',#259783,#210403,#210404); #168136=AXIS2_PLACEMENT_3D('',#259784,#210405,#210406); #168137=AXIS2_PLACEMENT_3D('',#259785,#210407,#210408); #168138=AXIS2_PLACEMENT_3D('',#259802,#210409,#210410); #168139=AXIS2_PLACEMENT_3D('',#259803,#210411,#210412); #168140=AXIS2_PLACEMENT_3D('',#259804,#210413,#210414); #168141=AXIS2_PLACEMENT_3D('',#259806,#210415,#210416); #168142=AXIS2_PLACEMENT_3D('',#259808,#210418,#210419); #168143=AXIS2_PLACEMENT_3D('',#259826,#210421,#210422); #168144=AXIS2_PLACEMENT_3D('',#259827,#210423,#210424); #168145=AXIS2_PLACEMENT_3D('',#259828,#210425,#210426); #168146=AXIS2_PLACEMENT_3D('',#259845,#210427,#210428); #168147=AXIS2_PLACEMENT_3D('',#259846,#210429,#210430); #168148=AXIS2_PLACEMENT_3D('',#259847,#210431,#210432); #168149=AXIS2_PLACEMENT_3D('',#259849,#210433,#210434); #168150=AXIS2_PLACEMENT_3D('',#259851,#210436,#210437); #168151=AXIS2_PLACEMENT_3D('',#259869,#210439,#210440); #168152=AXIS2_PLACEMENT_3D('',#259870,#210441,#210442); #168153=AXIS2_PLACEMENT_3D('',#259871,#210443,#210444); #168154=AXIS2_PLACEMENT_3D('',#259888,#210445,#210446); #168155=AXIS2_PLACEMENT_3D('',#259889,#210447,#210448); #168156=AXIS2_PLACEMENT_3D('',#259890,#210449,#210450); #168157=AXIS2_PLACEMENT_3D('',#259892,#210451,#210452); #168158=AXIS2_PLACEMENT_3D('',#259894,#210454,#210455); #168159=AXIS2_PLACEMENT_3D('',#259912,#210457,#210458); #168160=AXIS2_PLACEMENT_3D('',#259913,#210459,#210460); #168161=AXIS2_PLACEMENT_3D('',#259914,#210461,#210462); #168162=AXIS2_PLACEMENT_3D('',#259931,#210463,#210464); #168163=AXIS2_PLACEMENT_3D('',#259932,#210465,#210466); #168164=AXIS2_PLACEMENT_3D('',#259933,#210467,#210468); #168165=AXIS2_PLACEMENT_3D('',#259934,#210469,#210470); #168166=AXIS2_PLACEMENT_3D('',#259936,#210471,#210472); #168167=AXIS2_PLACEMENT_3D('',#259938,#210474,#210475); #168168=AXIS2_PLACEMENT_3D('',#259956,#210477,#210478); #168169=AXIS2_PLACEMENT_3D('',#259957,#210479,#210480); #168170=AXIS2_PLACEMENT_3D('',#259958,#210481,#210482); #168171=AXIS2_PLACEMENT_3D('',#259959,#210483,#210484); #168172=AXIS2_PLACEMENT_3D('',#259976,#210485,#210486); #168173=AXIS2_PLACEMENT_3D('',#259977,#210487,#210488); #168174=AXIS2_PLACEMENT_3D('',#259978,#210489,#210490); #168175=AXIS2_PLACEMENT_3D('',#259980,#210491,#210492); #168176=AXIS2_PLACEMENT_3D('',#259982,#210494,#210495); #168177=AXIS2_PLACEMENT_3D('',#260000,#210497,#210498); #168178=AXIS2_PLACEMENT_3D('',#260001,#210499,#210500); #168179=AXIS2_PLACEMENT_3D('',#260002,#210501,#210502); #168180=AXIS2_PLACEMENT_3D('',#260019,#210503,#210504); #168181=AXIS2_PLACEMENT_3D('',#260020,#210505,#210506); #168182=AXIS2_PLACEMENT_3D('',#260036,#210508,#210509); #168183=AXIS2_PLACEMENT_3D('',#260044,#210510,#210511); #168184=AXIS2_PLACEMENT_3D('',#260092,#210513,#210514); #168185=AXIS2_PLACEMENT_3D('',#260140,#210516,#210517); #168186=AXIS2_PLACEMENT_3D('',#260188,#210519,#210520); #168187=AXIS2_PLACEMENT_3D('',#260242,#210522,#210523); #168188=AXIS2_PLACEMENT_3D('',#260290,#210525,#210526); #168189=AXIS2_PLACEMENT_3D('',#260338,#210528,#210529); #168190=AXIS2_PLACEMENT_3D('',#260392,#210531,#210532); #168191=AXIS2_PLACEMENT_3D('',#260440,#210534,#210535); #168192=AXIS2_PLACEMENT_3D('',#260488,#210537,#210538); #168193=AXIS2_PLACEMENT_3D('',#260536,#210540,#210541); #168194=AXIS2_PLACEMENT_3D('',#260587,#210543,#210544); #168195=AXIS2_PLACEMENT_3D('',#260635,#210546,#210547); #168196=AXIS2_PLACEMENT_3D('',#260683,#210549,#210550); #168197=AXIS2_PLACEMENT_3D('',#260737,#210552,#210553); #168198=AXIS2_PLACEMENT_3D('',#260785,#210555,#210556); #168199=AXIS2_PLACEMENT_3D('',#260833,#210558,#210559); #168200=AXIS2_PLACEMENT_3D('',#260884,#210561,#210562); #168201=AXIS2_PLACEMENT_3D('',#260898,#210564,#210565); #168202=AXIS2_PLACEMENT_3D('',#260905,#210566,#210567); #168203=AXIS2_PLACEMENT_3D('',#260930,#210569,#210570); #168204=AXIS2_PLACEMENT_3D('',#260954,#210572,#210573); #168205=AXIS2_PLACEMENT_3D('',#260979,#210575,#210576); #168206=AXIS2_PLACEMENT_3D('',#261004,#210578,#210579); #168207=AXIS2_PLACEMENT_3D('',#261028,#210581,#210582); #168208=AXIS2_PLACEMENT_3D('',#261053,#210584,#210585); #168209=AXIS2_PLACEMENT_3D('',#261077,#210587,#210588); #168210=AXIS2_PLACEMENT_3D('',#261102,#210590,#210591); #168211=AXIS2_PLACEMENT_3D('',#261126,#210593,#210594); #168212=AXIS2_PLACEMENT_3D('',#261151,#210596,#210597); #168213=AXIS2_PLACEMENT_3D('',#261176,#210599,#210600); #168214=AXIS2_PLACEMENT_3D('',#261200,#210602,#210603); #168215=AXIS2_PLACEMENT_3D('',#261225,#210605,#210606); #168216=AXIS2_PLACEMENT_3D('',#261250,#210608,#210609); #168217=AXIS2_PLACEMENT_3D('',#261274,#210611,#210612); #168218=AXIS2_PLACEMENT_3D('',#261298,#210614,#210615); #168219=AXIS2_PLACEMENT_3D('',#261300,#210616,#210617); #168220=AXIS2_PLACEMENT_3D('',#261303,#210618,#210619); #168221=AXIS2_PLACEMENT_3D('',#261307,#210622,#210623); #168222=AXIS2_PLACEMENT_3D('',#261313,#210627,#210628); #168223=AXIS2_PLACEMENT_3D('',#261317,#210631,#210632); #168224=AXIS2_PLACEMENT_3D('',#261318,#210633,#210634); #168225=AXIS2_PLACEMENT_3D('',#261963,#210635,#210636); #168226=AXIS2_PLACEMENT_3D('',#261965,#210637,#210638); #168227=AXIS2_PLACEMENT_3D('',#261966,#210639,#210640); #168228=AXIS2_PLACEMENT_3D('',#262056,#210641,#210642); #168229=AXIS2_PLACEMENT_3D('',#262057,#210643,#210644); #168230=AXIS2_PLACEMENT_3D('',#262612,#210645,#210646); #168231=AXIS2_PLACEMENT_3D('',#262613,#210647,#210648); #168232=AXIS2_PLACEMENT_3D('',#262622,#210653,#210654); #168233=AXIS2_PLACEMENT_3D('',#262631,#210659,#210660); #168234=AXIS2_PLACEMENT_3D('',#262640,#210665,#210666); #168235=AXIS2_PLACEMENT_3D('',#262649,#210671,#210672); #168236=AXIS2_PLACEMENT_3D('',#262658,#210677,#210678); #168237=AXIS2_PLACEMENT_3D('',#262667,#210683,#210684); #168238=AXIS2_PLACEMENT_3D('',#262680,#210691,#210692); #168239=AXIS2_PLACEMENT_3D('',#262681,#210693,#210694); #168240=AXIS2_PLACEMENT_3D('',#262695,#210701,#210702); #168241=AXIS2_PLACEMENT_3D('',#262696,#210703,#210704); #168242=AXIS2_PLACEMENT_3D('',#262698,#210705,#210706); #168243=AXIS2_PLACEMENT_3D('',#262700,#210708,#210709); #168244=AXIS2_PLACEMENT_3D('',#262701,#210710,#210711); #168245=AXIS2_PLACEMENT_3D('',#262702,#210712,#210713); #168246=AXIS2_PLACEMENT_3D('',#262705,#210715,#210716); #168247=AXIS2_PLACEMENT_3D('',#262706,#210717,#210718); #168248=AXIS2_PLACEMENT_3D('',#262708,#210720,#210721); #168249=AXIS2_PLACEMENT_3D('',#262711,#210724,#210725); #168250=AXIS2_PLACEMENT_3D('',#262714,#210728,#210729); #168251=AXIS2_PLACEMENT_3D('',#262717,#210732,#210733); #168252=AXIS2_PLACEMENT_3D('',#262719,#210735,#210736); #168253=AXIS2_PLACEMENT_3D('',#262721,#210738,#210739); #168254=AXIS2_PLACEMENT_3D('',#262724,#210742,#210743); #168255=AXIS2_PLACEMENT_3D('',#262726,#210745,#210746); #168256=AXIS2_PLACEMENT_3D('',#262728,#210748,#210749); #168257=AXIS2_PLACEMENT_3D('',#262731,#210752,#210753); #168258=AXIS2_PLACEMENT_3D('',#262733,#210755,#210756); #168259=AXIS2_PLACEMENT_3D('',#262735,#210758,#210759); #168260=AXIS2_PLACEMENT_3D('',#262737,#210761,#210762); #168261=AXIS2_PLACEMENT_3D('',#262739,#210764,#210765); #168262=AXIS2_PLACEMENT_3D('',#262741,#210767,#210768); #168263=AXIS2_PLACEMENT_3D('',#262743,#210770,#210771); #168264=AXIS2_PLACEMENT_3D('',#262746,#210774,#210775); #168265=AXIS2_PLACEMENT_3D('',#262748,#210777,#210778); #168266=AXIS2_PLACEMENT_3D('',#262750,#210780,#210781); #168267=AXIS2_PLACEMENT_3D('',#262753,#210784,#210785); #168268=AXIS2_PLACEMENT_3D('',#262755,#210787,#210788); #168269=AXIS2_PLACEMENT_3D('',#262757,#210790,#210791); #168270=AXIS2_PLACEMENT_3D('',#262759,#210793,#210794); #168271=AXIS2_PLACEMENT_3D('',#262761,#210796,#210797); #168272=AXIS2_PLACEMENT_3D('',#262763,#210799,#210800); #168273=AXIS2_PLACEMENT_3D('',#262765,#210802,#210803); #168274=AXIS2_PLACEMENT_3D('',#262767,#210805,#210806); #168275=AXIS2_PLACEMENT_3D('',#262769,#210808,#210809); #168276=AXIS2_PLACEMENT_3D('',#262771,#210811,#210812); #168277=AXIS2_PLACEMENT_3D('',#262773,#210814,#210815); #168278=AXIS2_PLACEMENT_3D('',#262774,#210816,#210817); #168279=AXIS2_PLACEMENT_3D('',#262776,#210818,#210819); #168280=AXIS2_PLACEMENT_3D('',#262778,#210820,#210821); #168281=AXIS2_PLACEMENT_3D('',#262779,#210822,#210823); #168282=AXIS2_PLACEMENT_3D('',#262782,#210825,#210826); #168283=AXIS2_PLACEMENT_3D('',#262783,#210827,#210828); #168284=AXIS2_PLACEMENT_3D('',#262785,#210829,#210830); #168285=AXIS2_PLACEMENT_3D('',#262786,#210831,#210832); #168286=AXIS2_PLACEMENT_3D('',#262789,#210833,#210834); #168287=AXIS2_PLACEMENT_3D('',#262791,#210835,#210836); #168288=AXIS2_PLACEMENT_3D('',#262792,#210837,#210838); #168289=AXIS2_PLACEMENT_3D('',#262793,#210839,#210840); #168290=AXIS2_PLACEMENT_3D('',#262797,#210842,#210843); #168291=AXIS2_PLACEMENT_3D('',#262798,#210844,#210845); #168292=AXIS2_PLACEMENT_3D('',#262799,#210846,#210847); #168293=AXIS2_PLACEMENT_3D('',#262801,#210848,#210849); #168294=AXIS2_PLACEMENT_3D('',#262802,#210850,#210851); #168295=AXIS2_PLACEMENT_3D('',#262805,#210852,#210853); #168296=AXIS2_PLACEMENT_3D('',#262807,#210854,#210855); #168297=AXIS2_PLACEMENT_3D('',#262808,#210856,#210857); #168298=AXIS2_PLACEMENT_3D('',#262809,#210858,#210859); #168299=AXIS2_PLACEMENT_3D('',#262813,#210861,#210862); #168300=AXIS2_PLACEMENT_3D('',#262814,#210863,#210864); #168301=AXIS2_PLACEMENT_3D('',#262815,#210865,#210866); #168302=AXIS2_PLACEMENT_3D('',#262817,#210867,#210868); #168303=AXIS2_PLACEMENT_3D('',#262818,#210869,#210870); #168304=AXIS2_PLACEMENT_3D('',#262821,#210871,#210872); #168305=AXIS2_PLACEMENT_3D('',#262823,#210873,#210874); #168306=AXIS2_PLACEMENT_3D('',#262824,#210875,#210876); #168307=AXIS2_PLACEMENT_3D('',#262825,#210877,#210878); #168308=AXIS2_PLACEMENT_3D('',#262829,#210880,#210881); #168309=AXIS2_PLACEMENT_3D('',#262830,#210882,#210883); #168310=AXIS2_PLACEMENT_3D('',#262831,#210884,#210885); #168311=AXIS2_PLACEMENT_3D('',#262833,#210886,#210887); #168312=AXIS2_PLACEMENT_3D('',#262834,#210888,#210889); #168313=AXIS2_PLACEMENT_3D('',#262835,#210890,#210891); #168314=AXIS2_PLACEMENT_3D('',#262837,#210892,#210893); #168315=AXIS2_PLACEMENT_3D('',#262839,#210894,#210895); #168316=AXIS2_PLACEMENT_3D('',#262840,#210896,#210897); #168317=AXIS2_PLACEMENT_3D('',#262841,#210898,#210899); #168318=AXIS2_PLACEMENT_3D('',#262843,#210901,#210902); #168319=AXIS2_PLACEMENT_3D('',#262844,#210903,#210904); #168320=AXIS2_PLACEMENT_3D('',#262853,#210909,#210910); #168321=AXIS2_PLACEMENT_3D('',#262862,#210915,#210916); #168322=AXIS2_PLACEMENT_3D('',#262871,#210921,#210922); #168323=AXIS2_PLACEMENT_3D('',#262880,#210927,#210928); #168324=AXIS2_PLACEMENT_3D('',#262889,#210933,#210934); #168325=AXIS2_PLACEMENT_3D('',#262898,#210939,#210940); #168326=AXIS2_PLACEMENT_3D('',#262912,#210947,#210948); #168327=AXIS2_PLACEMENT_3D('',#262913,#210949,#210950); #168328=AXIS2_PLACEMENT_3D('',#262927,#210957,#210958); #168329=AXIS2_PLACEMENT_3D('',#262928,#210959,#210960); #168330=AXIS2_PLACEMENT_3D('',#262931,#210963,#210964); #168331=AXIS2_PLACEMENT_3D('',#262934,#210967,#210968); #168332=AXIS2_PLACEMENT_3D('',#262937,#210971,#210972); #168333=AXIS2_PLACEMENT_3D('',#262939,#210974,#210975); #168334=AXIS2_PLACEMENT_3D('',#262941,#210977,#210978); #168335=AXIS2_PLACEMENT_3D('',#262943,#210980,#210981); #168336=AXIS2_PLACEMENT_3D('',#262945,#210983,#210984); #168337=AXIS2_PLACEMENT_3D('',#262948,#210987,#210988); #168338=AXIS2_PLACEMENT_3D('',#262950,#210990,#210991); #168339=AXIS2_PLACEMENT_3D('',#262953,#210994,#210995); #168340=AXIS2_PLACEMENT_3D('',#262956,#210998,#210999); #168341=AXIS2_PLACEMENT_3D('',#262958,#211001,#211002); #168342=AXIS2_PLACEMENT_3D('',#262960,#211004,#211005); #168343=AXIS2_PLACEMENT_3D('',#262962,#211007,#211008); #168344=AXIS2_PLACEMENT_3D('',#262964,#211010,#211011); #168345=AXIS2_PLACEMENT_3D('',#262967,#211014,#211015); #168346=AXIS2_PLACEMENT_3D('',#262969,#211017,#211018); #168347=AXIS2_PLACEMENT_3D('',#262971,#211020,#211021); #168348=AXIS2_PLACEMENT_3D('',#262973,#211023,#211024); #168349=AXIS2_PLACEMENT_3D('',#262975,#211026,#211027); #168350=AXIS2_PLACEMENT_3D('',#262977,#211029,#211030); #168351=AXIS2_PLACEMENT_3D('',#262979,#211032,#211033); #168352=AXIS2_PLACEMENT_3D('',#262981,#211035,#211036); #168353=AXIS2_PLACEMENT_3D('',#262983,#211038,#211039); #168354=AXIS2_PLACEMENT_3D('',#262985,#211041,#211042); #168355=AXIS2_PLACEMENT_3D('',#262987,#211044,#211045); #168356=AXIS2_PLACEMENT_3D('',#262989,#211047,#211048); #168357=AXIS2_PLACEMENT_3D('',#262991,#211050,#211051); #168358=AXIS2_PLACEMENT_3D('',#262993,#211053,#211054); #168359=AXIS2_PLACEMENT_3D('',#262994,#211055,#211056); #168360=AXIS2_PLACEMENT_3D('',#262996,#211058,#211059); #168361=AXIS2_PLACEMENT_3D('',#262999,#211060,#211061); #168362=AXIS2_PLACEMENT_3D('',#263011,#211067,#211068); #168363=AXIS2_PLACEMENT_3D('',#263015,#211070,#211071); #168364=AXIS2_PLACEMENT_3D('',#263023,#211075,#211076); #168365=AXIS2_PLACEMENT_3D('',#263029,#211079,#211080); #168366=AXIS2_PLACEMENT_3D('',#263036,#211084,#211085); #168367=AXIS2_PLACEMENT_3D('',#263038,#211086,#211087); #168368=AXIS2_PLACEMENT_3D('',#263040,#211088,#211089); #168369=AXIS2_PLACEMENT_3D('',#263041,#211090,#211091); #168370=AXIS2_PLACEMENT_3D('',#263044,#211092,#211093); #168371=AXIS2_PLACEMENT_3D('',#263052,#211097,#211098); #168372=AXIS2_PLACEMENT_3D('',#263060,#211102,#211103); #168373=AXIS2_PLACEMENT_3D('',#263064,#211105,#211106); #168374=AXIS2_PLACEMENT_3D('',#263068,#211108,#211109); #168375=AXIS2_PLACEMENT_3D('',#263072,#211111,#211112); #168376=AXIS2_PLACEMENT_3D('',#263076,#211114,#211115); #168377=AXIS2_PLACEMENT_3D('',#263084,#211120,#211121); #168378=AXIS2_PLACEMENT_3D('',#263087,#211124,#211125); #168379=AXIS2_PLACEMENT_3D('',#263092,#211127,#211128); #168380=AXIS2_PLACEMENT_3D('',#263098,#211131,#211132); #168381=AXIS2_PLACEMENT_3D('',#263106,#211136,#211137); #168382=AXIS2_PLACEMENT_3D('',#263110,#211139,#211140); #168383=AXIS2_PLACEMENT_3D('',#263121,#211146,#211147); #168384=AXIS2_PLACEMENT_3D('',#263122,#211148,#211149); #168385=AXIS2_PLACEMENT_3D('',#263127,#211151,#211152); #168386=AXIS2_PLACEMENT_3D('',#263134,#211156,#211157); #168387=AXIS2_PLACEMENT_3D('',#263135,#211158,#211159); #168388=AXIS2_PLACEMENT_3D('',#263138,#211160,#211161); #168389=AXIS2_PLACEMENT_3D('',#263141,#211164,#211165); #168390=AXIS2_PLACEMENT_3D('',#263145,#211167,#211168); #168391=AXIS2_PLACEMENT_3D('',#263147,#211170,#211171); #168392=AXIS2_PLACEMENT_3D('',#263151,#211173,#211174); #168393=AXIS2_PLACEMENT_3D('',#263153,#211176,#211177); #168394=AXIS2_PLACEMENT_3D('',#263156,#211178,#211179); #168395=AXIS2_PLACEMENT_3D('',#263159,#211182,#211183); #168396=AXIS2_PLACEMENT_3D('',#263165,#211187,#211188); #168397=AXIS2_PLACEMENT_3D('',#263171,#211192,#211193); #168398=AXIS2_PLACEMENT_3D('',#263177,#211197,#211198); #168399=AXIS2_PLACEMENT_3D('',#263183,#211202,#211203); #168400=AXIS2_PLACEMENT_3D('',#263184,#211204,#211205); #168401=AXIS2_PLACEMENT_3D('',#263185,#211206,#211207); #168402=AXIS2_PLACEMENT_3D('',#263191,#211211,#211212); #168403=AXIS2_PLACEMENT_3D('',#263194,#211214,#211215); #168404=AXIS2_PLACEMENT_3D('',#263195,#211216,#211217); #168405=AXIS2_PLACEMENT_3D('',#263199,#211220,#211221); #168406=AXIS2_PLACEMENT_3D('',#263200,#211222,#211223); #168407=AXIS2_PLACEMENT_3D('',#263201,#211224,#211225); #168408=AXIS2_PLACEMENT_3D('',#263207,#211230,#211231); #168409=AXIS2_PLACEMENT_3D('',#263209,#211233,#211234); #168410=AXIS2_PLACEMENT_3D('',#263211,#211236,#211237); #168411=AXIS2_PLACEMENT_3D('',#263213,#211239,#211240); #168412=AXIS2_PLACEMENT_3D('',#263215,#211242,#211243); #168413=AXIS2_PLACEMENT_3D('',#263217,#211245,#211246); #168414=AXIS2_PLACEMENT_3D('',#263219,#211248,#211249); #168415=AXIS2_PLACEMENT_3D('',#263221,#211251,#211252); #168416=AXIS2_PLACEMENT_3D('',#263223,#211254,#211255); #168417=AXIS2_PLACEMENT_3D('',#263225,#211257,#211258); #168418=AXIS2_PLACEMENT_3D('',#263230,#211262,#211263); #168419=AXIS2_PLACEMENT_3D('',#263231,#211264,#211265); #168420=AXIS2_PLACEMENT_3D('',#263232,#211266,#211267); #168421=AXIS2_PLACEMENT_3D('',#263233,#211268,#211269); #168422=AXIS2_PLACEMENT_3D('',#263234,#211270,#211271); #168423=AXIS2_PLACEMENT_3D('',#263236,#211273,#211274); #168424=AXIS2_PLACEMENT_3D('',#263238,#211276,#211277); #168425=AXIS2_PLACEMENT_3D('',#263240,#211279,#211280); #168426=AXIS2_PLACEMENT_3D('',#263242,#211282,#211283); #168427=AXIS2_PLACEMENT_3D('',#263243,#211284,#211285); #168428=AXIS2_PLACEMENT_3D('',#263245,#211287,#211288); #168429=AXIS2_PLACEMENT_3D('',#263246,#211289,#211290); #168430=AXIS2_PLACEMENT_3D('',#263250,#211293,#211294); #168431=AXIS2_PLACEMENT_3D('',#263254,#211297,#211298); #168432=AXIS2_PLACEMENT_3D('',#263258,#211301,#211302); #168433=AXIS2_PLACEMENT_3D('',#263260,#211304,#211305); #168434=AXIS2_PLACEMENT_3D('',#263262,#211307,#211308); #168435=AXIS2_PLACEMENT_3D('',#263264,#211310,#211311); #168436=AXIS2_PLACEMENT_3D('',#263267,#211314,#211315); #168437=AXIS2_PLACEMENT_3D('',#263268,#211316,#211317); #168438=AXIS2_PLACEMENT_3D('',#263269,#211318,#211319); #168439=AXIS2_PLACEMENT_3D('',#263272,#211321,#211322); #168440=AXIS2_PLACEMENT_3D('',#263273,#211323,#211324); #168441=AXIS2_PLACEMENT_3D('',#263274,#211325,#211326); #168442=AXIS2_PLACEMENT_3D('',#263277,#211328,#211329); #168443=AXIS2_PLACEMENT_3D('',#263278,#211330,#211331); #168444=AXIS2_PLACEMENT_3D('',#263279,#211332,#211333); #168445=AXIS2_PLACEMENT_3D('',#263282,#211335,#211336); #168446=AXIS2_PLACEMENT_3D('',#263283,#211337,#211338); #168447=AXIS2_PLACEMENT_3D('',#263284,#211339,#211340); #168448=AXIS2_PLACEMENT_3D('',#263285,#211341,#211342); #168449=AXIS2_PLACEMENT_3D('',#263286,#211343,#211344); #168450=AXIS2_PLACEMENT_3D('',#263287,#211345,#211346); #168451=AXIS2_PLACEMENT_3D('',#263288,#211347,#211348); #168452=AXIS2_PLACEMENT_3D('',#263289,#211349,#211350); #168453=AXIS2_PLACEMENT_3D('',#263290,#211351,#211352); #168454=AXIS2_PLACEMENT_3D('',#263292,#211353,#211354); #168455=AXIS2_PLACEMENT_3D('',#263295,#211356,#211357); #168456=AXIS2_PLACEMENT_3D('',#263296,#211358,#211359); #168457=AXIS2_PLACEMENT_3D('',#263298,#211360,#211361); #168458=AXIS2_PLACEMENT_3D('',#263301,#211363,#211364); #168459=AXIS2_PLACEMENT_3D('',#263302,#211365,#211366); #168460=AXIS2_PLACEMENT_3D('',#263304,#211367,#211368); #168461=AXIS2_PLACEMENT_3D('',#263306,#211369,#211370); #168462=AXIS2_PLACEMENT_3D('',#263307,#211371,#211372); #168463=AXIS2_PLACEMENT_3D('',#263308,#211373,#211374); #168464=AXIS2_PLACEMENT_3D('',#263311,#211376,#211377); #168465=AXIS2_PLACEMENT_3D('',#263312,#211378,#211379); #168466=AXIS2_PLACEMENT_3D('',#263314,#211380,#211381); #168467=AXIS2_PLACEMENT_3D('',#263315,#211382,#211383); #168468=AXIS2_PLACEMENT_3D('',#263316,#211384,#211385); #168469=AXIS2_PLACEMENT_3D('',#263318,#211386,#211387); #168470=AXIS2_PLACEMENT_3D('',#263321,#211389,#211390); #168471=AXIS2_PLACEMENT_3D('',#263322,#211391,#211392); #168472=AXIS2_PLACEMENT_3D('',#263325,#211394,#211395); #168473=AXIS2_PLACEMENT_3D('',#263326,#211396,#211397); #168474=AXIS2_PLACEMENT_3D('',#263329,#211398,#211399); #168475=AXIS2_PLACEMENT_3D('',#263331,#211400,#211401); #168476=AXIS2_PLACEMENT_3D('',#263334,#211403,#211404); #168477=AXIS2_PLACEMENT_3D('',#263336,#211405,#211406); #168478=AXIS2_PLACEMENT_3D('',#263337,#211407,#211408); #168479=AXIS2_PLACEMENT_3D('',#263338,#211409,#211410); #168480=AXIS2_PLACEMENT_3D('',#263363,#211423,#211424); #168481=AXIS2_PLACEMENT_3D('',#263364,#211425,#211426); #168482=AXIS2_PLACEMENT_3D('',#263368,#211429,#211430); #168483=AXIS2_PLACEMENT_3D('',#263372,#211433,#211434); #168484=AXIS2_PLACEMENT_3D('',#263376,#211437,#211438); #168485=AXIS2_PLACEMENT_3D('',#263385,#211443,#211444); #168486=AXIS2_PLACEMENT_3D('',#263388,#211447,#211448); #168487=AXIS2_PLACEMENT_3D('',#263397,#211453,#211454); #168488=AXIS2_PLACEMENT_3D('',#263406,#211459,#211460); #168489=AXIS2_PLACEMENT_3D('',#263413,#211466,#211467); #168490=AXIS2_PLACEMENT_3D('',#263416,#211470,#211471); #168491=AXIS2_PLACEMENT_3D('',#263419,#211474,#211475); #168492=AXIS2_PLACEMENT_3D('',#263422,#211478,#211479); #168493=AXIS2_PLACEMENT_3D('',#263428,#211483,#211484); #168494=AXIS2_PLACEMENT_3D('',#263434,#211488,#211489); #168495=AXIS2_PLACEMENT_3D('',#263437,#211492,#211493); #168496=AXIS2_PLACEMENT_3D('',#263438,#211494,#211495); #168497=AXIS2_PLACEMENT_3D('',#263440,#211497,#211498); #168498=AXIS2_PLACEMENT_3D('',#263441,#211499,#211500); #168499=AXIS2_PLACEMENT_3D('',#263442,#211501,#211502); #168500=AXIS2_PLACEMENT_3D('',#263443,#211503,#211504); #168501=AXIS2_PLACEMENT_3D('',#263444,#211505,#211506); #168502=AXIS2_PLACEMENT_3D('',#263445,#211507,#211508); #168503=AXIS2_PLACEMENT_3D('',#263446,#211509,#211510); #168504=AXIS2_PLACEMENT_3D('',#263447,#211511,#211512); #168505=AXIS2_PLACEMENT_3D('',#263449,#211513,#211514); #168506=AXIS2_PLACEMENT_3D('',#263452,#211516,#211517); #168507=AXIS2_PLACEMENT_3D('',#263453,#211518,#211519); #168508=AXIS2_PLACEMENT_3D('',#263455,#211520,#211521); #168509=AXIS2_PLACEMENT_3D('',#263458,#211523,#211524); #168510=AXIS2_PLACEMENT_3D('',#263459,#211525,#211526); #168511=AXIS2_PLACEMENT_3D('',#263460,#211527,#211528); #168512=AXIS2_PLACEMENT_3D('',#263461,#211529,#211530); #168513=AXIS2_PLACEMENT_3D('',#263463,#211531,#211532); #168514=AXIS2_PLACEMENT_3D('',#263466,#211534,#211535); #168515=AXIS2_PLACEMENT_3D('',#263467,#211536,#211537); #168516=AXIS2_PLACEMENT_3D('',#263469,#211538,#211539); #168517=AXIS2_PLACEMENT_3D('',#263473,#211541,#211542); #168518=AXIS2_PLACEMENT_3D('',#263477,#211544,#211545); #168519=AXIS2_PLACEMENT_3D('',#263481,#211547,#211548); #168520=AXIS2_PLACEMENT_3D('',#263485,#211550,#211551); #168521=AXIS2_PLACEMENT_3D('',#263488,#211553,#211554); #168522=AXIS2_PLACEMENT_3D('',#263489,#211555,#211556); #168523=AXIS2_PLACEMENT_3D('',#263492,#211557,#211558); #168524=AXIS2_PLACEMENT_3D('',#263496,#211560,#211561); #168525=AXIS2_PLACEMENT_3D('',#263500,#211563,#211564); #168526=AXIS2_PLACEMENT_3D('',#263503,#211566,#211567); #168527=AXIS2_PLACEMENT_3D('',#263507,#211569,#211570); #168528=AXIS2_PLACEMENT_3D('',#263509,#211572,#211573); #168529=AXIS2_PLACEMENT_3D('',#263512,#211576,#211577); #168530=AXIS2_PLACEMENT_3D('',#263515,#211580,#211581); #168531=AXIS2_PLACEMENT_3D('',#263516,#211582,#211583); #168532=AXIS2_PLACEMENT_3D('',#263517,#211584,#211585); #168533=AXIS2_PLACEMENT_3D('',#263519,#211587,#211588); #168534=AXIS2_PLACEMENT_3D('',#263521,#211590,#211591); #168535=AXIS2_PLACEMENT_3D('',#263522,#211592,#211593); #168536=AXIS2_PLACEMENT_3D('',#263524,#211595,#211596); #168537=AXIS2_PLACEMENT_3D('',#263526,#211598,#211599); #168538=AXIS2_PLACEMENT_3D('',#263527,#211600,#211601); #168539=AXIS2_PLACEMENT_3D('',#263528,#211602,#211603); #168540=AXIS2_PLACEMENT_3D('',#263529,#211604,#211605); #168541=AXIS2_PLACEMENT_3D('',#263530,#211606,#211607); #168542=AXIS2_PLACEMENT_3D('',#263531,#211608,#211609); #168543=AXIS2_PLACEMENT_3D('',#263532,#211610,#211611); #168544=AXIS2_PLACEMENT_3D('',#263533,#211612,#211613); #168545=AXIS2_PLACEMENT_3D('',#263534,#211614,#211615); #168546=AXIS2_PLACEMENT_3D('',#263535,#211616,#211617); #168547=AXIS2_PLACEMENT_3D('',#263536,#211618,#211619); #168548=AXIS2_PLACEMENT_3D('',#263537,#211620,#211621); #168549=AXIS2_PLACEMENT_3D('',#263538,#211622,#211623); #168550=DIRECTION('axis',(0.,0.,1.)); #168551=DIRECTION('refdir',(1.,0.,0.)); #168552=DIRECTION('axis',(0.,0.,1.)); #168553=DIRECTION('refdir',(1.,0.,0.)); #168554=DIRECTION('center_axis',(1.,0.,0.)); #168555=DIRECTION('ref_axis',(0.,0.,1.)); #168556=DIRECTION('center_axis',(-1.,0.,0.)); #168557=DIRECTION('ref_axis',(0.,0.,1.)); #168558=DIRECTION('',(1.,0.,0.)); #168559=DIRECTION('center_axis',(1.,0.,0.)); #168560=DIRECTION('ref_axis',(0.,0.,1.)); #168561=DIRECTION('',(-1.,0.,0.)); #168562=DIRECTION('center_axis',(-1.,0.,0.)); #168563=DIRECTION('ref_axis',(0.,0.,-1.)); #168564=DIRECTION('',(0.,0.,-1.)); #168565=DIRECTION('',(0.,-1.,0.)); #168566=DIRECTION('',(0.,0.,-1.)); #168567=DIRECTION('',(0.,1.,0.)); #168568=DIRECTION('',(0.,0.,-1.)); #168569=DIRECTION('',(0.,-1.,0.)); #168570=DIRECTION('',(0.,0.,-1.)); #168571=DIRECTION('center_axis',(-1.,0.,0.)); #168572=DIRECTION('ref_axis',(0.,0.,-1.)); #168573=DIRECTION('',(0.,0.,-1.)); #168574=DIRECTION('',(0.,1.,0.)); #168575=DIRECTION('',(0.,0.,-1.)); #168576=DIRECTION('',(0.,1.,0.)); #168577=DIRECTION('',(0.,0.,1.)); #168578=DIRECTION('',(0.,-1.,0.)); #168579=DIRECTION('',(0.,0.,-1.)); #168580=DIRECTION('',(0.,1.,0.)); #168581=DIRECTION('',(0.,0.,1.)); #168582=DIRECTION('',(0.,-1.,0.)); #168583=DIRECTION('',(0.,0.,-1.)); #168584=DIRECTION('',(0.,-1.,0.)); #168585=DIRECTION('',(0.,0.,-1.)); #168586=DIRECTION('center_axis',(0.,-1.,0.)); #168587=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #168588=DIRECTION('center_axis',(0.,1.,0.)); #168589=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #168590=DIRECTION('center_axis',(0.,1.,0.)); #168591=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #168592=DIRECTION('',(0.,-1.,0.)); #168593=DIRECTION('center_axis',(0.,1.,0.)); #168594=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #168595=DIRECTION('',(0.,-1.,0.)); #168596=DIRECTION('center_axis',(0.,-1.,0.)); #168597=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #168598=DIRECTION('center_axis',(0.,1.,0.)); #168599=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #168600=DIRECTION('',(0.,1.,0.)); #168601=DIRECTION('center_axis',(0.,1.,0.)); #168602=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #168603=DIRECTION('',(0.,-1.,0.)); #168604=DIRECTION('center_axis',(0.,-1.,0.)); #168605=DIRECTION('ref_axis',(0.,0.,-1.)); #168606=DIRECTION('',(-1.,0.,0.)); #168607=DIRECTION('',(0.,0.,1.)); #168608=DIRECTION('center_axis',(0.,1.,0.)); #168609=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168610=DIRECTION('',(1.,0.,0.)); #168611=DIRECTION('center_axis',(0.,1.,0.)); #168612=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #168613=DIRECTION('center_axis',(1.,0.,0.)); #168614=DIRECTION('ref_axis',(0.,0.,1.)); #168615=DIRECTION('',(0.,0.,1.)); #168616=DIRECTION('',(0.,-1.,0.)); #168617=DIRECTION('',(0.,0.,1.)); #168618=DIRECTION('',(0.,1.,0.)); #168619=DIRECTION('center_axis',(0.,-1.,0.)); #168620=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168621=DIRECTION('center_axis',(0.,1.,0.)); #168622=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168623=DIRECTION('',(0.,1.,0.)); #168624=DIRECTION('center_axis',(0.,1.,0.)); #168625=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168626=DIRECTION('',(0.,-1.,0.)); #168627=DIRECTION('center_axis',(0.,-1.,0.)); #168628=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #168629=DIRECTION('',(0.,1.,0.)); #168630=DIRECTION('center_axis',(0.,1.,0.)); #168631=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #168632=DIRECTION('center_axis',(0.,0.,-1.)); #168633=DIRECTION('ref_axis',(1.,0.,0.)); #168634=DIRECTION('',(1.,0.,0.)); #168635=DIRECTION('center_axis',(0.,0.,1.)); #168636=DIRECTION('ref_axis',(-1.,0.,0.)); #168637=DIRECTION('',(-1.,0.,0.)); #168638=DIRECTION('center_axis',(0.,0.,-1.)); #168639=DIRECTION('ref_axis',(1.,0.,0.)); #168640=DIRECTION('',(1.,0.,0.)); #168641=DIRECTION('',(0.,-1.,0.)); #168642=DIRECTION('',(1.,0.,0.)); #168643=DIRECTION('center_axis',(0.,0.,-1.)); #168644=DIRECTION('ref_axis',(1.,0.,0.)); #168645=DIRECTION('',(1.,0.,0.)); #168646=DIRECTION('',(0.,-1.,0.)); #168647=DIRECTION('',(1.,0.,0.)); #168648=DIRECTION('center_axis',(0.,1.,0.)); #168649=DIRECTION('ref_axis',(-1.,0.,0.)); #168650=DIRECTION('center_axis',(0.,-1.,0.)); #168651=DIRECTION('ref_axis',(-1.,0.,0.)); #168652=DIRECTION('center_axis',(0.,1.,0.)); #168653=DIRECTION('ref_axis',(-1.,0.,0.)); #168654=DIRECTION('center_axis',(0.,-1.,0.)); #168655=DIRECTION('ref_axis',(-1.,0.,0.)); #168656=DIRECTION('',(0.,0.,-1.)); #168657=DIRECTION('center_axis',(0.,-1.,0.)); #168658=DIRECTION('ref_axis',(-1.,0.,0.)); #168659=DIRECTION('',(-1.,0.,0.)); #168660=DIRECTION('center_axis',(0.,-1.,0.)); #168661=DIRECTION('ref_axis',(-1.,0.,0.)); #168662=DIRECTION('',(0.,0.,1.)); #168663=DIRECTION('center_axis',(0.,-1.,0.)); #168664=DIRECTION('ref_axis',(-1.,0.,0.)); #168665=DIRECTION('center_axis',(0.,1.,0.)); #168666=DIRECTION('ref_axis',(-1.,0.,0.)); #168667=DIRECTION('center_axis',(0.,-1.,0.)); #168668=DIRECTION('ref_axis',(-1.,0.,0.)); #168669=DIRECTION('',(0.,0.,1.)); #168670=DIRECTION('center_axis',(0.,-1.,0.)); #168671=DIRECTION('ref_axis',(-1.,0.,0.)); #168672=DIRECTION('',(0.,0.,1.)); #168673=DIRECTION('center_axis',(0.,1.,0.)); #168674=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #168675=DIRECTION('',(-1.,0.,1.77635683940025E-16)); #168676=DIRECTION('center_axis',(0.,1.,0.)); #168677=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #168678=DIRECTION('',(0.,0.,-1.)); #168679=DIRECTION('center_axis',(0.,1.,0.)); #168680=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #168681=DIRECTION('',(1.,0.,0.)); #168682=DIRECTION('center_axis',(0.,1.,0.)); #168683=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #168684=DIRECTION('center_axis',(0.,1.,0.)); #168685=DIRECTION('ref_axis',(-1.,0.,0.)); #168686=DIRECTION('center_axis',(0.,-1.,0.)); #168687=DIRECTION('ref_axis',(-1.,0.,0.)); #168688=DIRECTION('',(0.,0.,1.)); #168689=DIRECTION('center_axis',(0.,-1.,0.)); #168690=DIRECTION('ref_axis',(-1.,0.,0.)); #168691=DIRECTION('center_axis',(0.,1.,0.)); #168692=DIRECTION('ref_axis',(-1.,0.,0.)); #168693=DIRECTION('center_axis',(0.,-1.,0.)); #168694=DIRECTION('ref_axis',(-1.,0.,0.)); #168695=DIRECTION('',(0.,0.,1.)); #168696=DIRECTION('center_axis',(0.,-1.,0.)); #168697=DIRECTION('ref_axis',(-1.,0.,0.)); #168698=DIRECTION('',(-1.,0.,0.)); #168699=DIRECTION('center_axis',(0.,-1.,0.)); #168700=DIRECTION('ref_axis',(-1.,0.,0.)); #168701=DIRECTION('',(0.,0.,-1.)); #168702=DIRECTION('center_axis',(0.,-1.,0.)); #168703=DIRECTION('ref_axis',(-1.,0.,0.)); #168704=DIRECTION('center_axis',(0.,1.,0.)); #168705=DIRECTION('ref_axis',(-1.,0.,0.)); #168706=DIRECTION('center_axis',(0.,-1.,0.)); #168707=DIRECTION('ref_axis',(-1.,0.,0.)); #168708=DIRECTION('center_axis',(0.,-1.,0.)); #168709=DIRECTION('ref_axis',(-1.,0.,0.)); #168710=DIRECTION('center_axis',(0.,1.,0.)); #168711=DIRECTION('ref_axis',(-1.,0.,0.)); #168712=DIRECTION('center_axis',(0.,-1.,0.)); #168713=DIRECTION('ref_axis',(-1.,0.,0.)); #168714=DIRECTION('',(0.,0.,-1.)); #168715=DIRECTION('center_axis',(0.,1.,0.)); #168716=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #168717=DIRECTION('',(-1.,0.,0.)); #168718=DIRECTION('center_axis',(0.,1.,0.)); #168719=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186544)); #168720=DIRECTION('',(0.,0.,1.)); #168721=DIRECTION('center_axis',(0.,-1.,0.)); #168722=DIRECTION('ref_axis',(-1.,0.,0.)); #168723=DIRECTION('center_axis',(0.,1.,0.)); #168724=DIRECTION('ref_axis',(-1.,0.,0.)); #168725=DIRECTION('center_axis',(0.,-1.,0.)); #168726=DIRECTION('ref_axis',(-1.,0.,0.)); #168727=DIRECTION('',(0.,0.,1.)); #168728=DIRECTION('center_axis',(0.,1.,0.)); #168729=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #168730=DIRECTION('center_axis',(0.,-1.,0.)); #168731=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #168732=DIRECTION('center_axis',(0.,1.,0.)); #168733=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #168734=DIRECTION('',(0.,1.,0.)); #168735=DIRECTION('',(0.,-1.,0.)); #168736=DIRECTION('center_axis',(-1.,0.,0.)); #168737=DIRECTION('ref_axis',(0.,0.,-1.)); #168738=DIRECTION('',(0.,0.,-1.)); #168739=DIRECTION('',(0.,-1.,0.)); #168740=DIRECTION('center_axis',(0.,-1.,0.)); #168741=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #168742=DIRECTION('center_axis',(0.,1.,0.)); #168743=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #168744=DIRECTION('',(0.,1.,0.)); #168745=DIRECTION('',(0.,-1.,0.)); #168746=DIRECTION('center_axis',(0.,-1.,0.)); #168747=DIRECTION('ref_axis',(0.,0.,-1.)); #168748=DIRECTION('',(-1.,0.,1.77635683940025E-16)); #168749=DIRECTION('',(0.,0.,1.)); #168750=DIRECTION('center_axis',(0.,1.,0.)); #168751=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #168752=DIRECTION('',(1.,0.,0.)); #168753=DIRECTION('center_axis',(0.,1.,0.)); #168754=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #168755=DIRECTION('center_axis',(1.,0.,0.)); #168756=DIRECTION('ref_axis',(0.,0.,1.)); #168757=DIRECTION('',(0.,1.,0.)); #168758=DIRECTION('center_axis',(0.,-1.,0.)); #168759=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #168760=DIRECTION('',(0.,-1.,0.)); #168761=DIRECTION('center_axis',(0.,0.,-1.)); #168762=DIRECTION('ref_axis',(1.,0.,0.)); #168763=DIRECTION('',(0.,1.,0.)); #168764=DIRECTION('center_axis',(0.,-1.,0.)); #168765=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #168766=DIRECTION('center_axis',(1.77635683940025E-16,0.,1.)); #168767=DIRECTION('ref_axis',(-1.,0.,1.77635683940025E-16)); #168768=DIRECTION('center_axis',(1.,0.,0.)); #168769=DIRECTION('ref_axis',(0.,0.,1.)); #168770=DIRECTION('',(0.,-1.,0.)); #168771=DIRECTION('',(0.,-1.,0.)); #168772=DIRECTION('center_axis',(0.,-1.,0.)); #168773=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168774=DIRECTION('center_axis',(0.,-1.,0.)); #168775=DIRECTION('ref_axis',(-1.,0.,0.)); #168776=DIRECTION('',(0.,-1.,0.)); #168777=DIRECTION('center_axis',(0.,-1.,0.)); #168778=DIRECTION('ref_axis',(1.,0.,7.6571373978539E-16)); #168779=DIRECTION('',(0.,-1.,0.)); #168780=DIRECTION('center_axis',(0.,-1.,0.)); #168781=DIRECTION('ref_axis',(-1.,0.,0.)); #168782=DIRECTION('',(0.,-1.,0.)); #168783=DIRECTION('center_axis',(-1.,0.,0.)); #168784=DIRECTION('ref_axis',(0.,0.,-1.)); #168785=DIRECTION('',(0.,-1.,0.)); #168786=DIRECTION('center_axis',(0.,-1.,0.)); #168787=DIRECTION('ref_axis',(-1.,0.,0.)); #168788=DIRECTION('',(0.,-1.,0.)); #168789=DIRECTION('center_axis',(0.,0.,1.)); #168790=DIRECTION('ref_axis',(-1.,0.,0.)); #168791=DIRECTION('',(0.,-1.,0.)); #168792=DIRECTION('center_axis',(0.,-1.,0.)); #168793=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #168794=DIRECTION('',(0.,-1.,0.)); #168795=DIRECTION('center_axis',(1.,0.,0.)); #168796=DIRECTION('ref_axis',(0.,0.,1.)); #168797=DIRECTION('',(0.,-1.,0.)); #168798=DIRECTION('center_axis',(0.,-1.,0.)); #168799=DIRECTION('ref_axis',(0.833758429450744,0.,-0.552129406316878)); #168800=DIRECTION('',(0.,-1.,0.)); #168801=DIRECTION('center_axis',(0.,-1.,0.)); #168802=DIRECTION('ref_axis',(-1.,0.,0.)); #168803=DIRECTION('',(0.,-1.,0.)); #168804=DIRECTION('center_axis',(0.,-1.,0.)); #168805=DIRECTION('ref_axis',(0.833758429450745,0.,0.552129406316878)); #168806=DIRECTION('center_axis',(0.,-1.,0.)); #168807=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186544)); #168808=DIRECTION('',(0.,1.,0.)); #168809=DIRECTION('center_axis',(0.,1.,0.)); #168810=DIRECTION('ref_axis',(0.707106781186551,0.,0.707106781186544)); #168811=DIRECTION('',(0.,-1.,0.)); #168812=DIRECTION('center_axis',(1.,0.,0.)); #168813=DIRECTION('ref_axis',(0.,0.,1.)); #168814=DIRECTION('',(0.,0.,1.)); #168815=DIRECTION('',(0.,-1.,0.)); #168816=DIRECTION('center_axis',(0.,-1.,0.)); #168817=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #168818=DIRECTION('',(0.,1.,0.)); #168819=DIRECTION('center_axis',(0.,1.,0.)); #168820=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #168821=DIRECTION('center_axis',(0.,-1.,0.)); #168822=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #168823=DIRECTION('',(0.,1.,0.)); #168824=DIRECTION('center_axis',(0.,1.,0.)); #168825=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #168826=DIRECTION('',(0.,-1.,0.)); #168827=DIRECTION('center_axis',(0.,0.,1.)); #168828=DIRECTION('ref_axis',(-1.,0.,0.)); #168829=DIRECTION('',(-1.,0.,0.)); #168830=DIRECTION('center_axis',(1.,0.,0.)); #168831=DIRECTION('ref_axis',(0.,0.,1.)); #168832=DIRECTION('',(0.,-1.,0.)); #168833=DIRECTION('',(0.,0.,1.)); #168834=DIRECTION('center_axis',(0.,-1.,0.)); #168835=DIRECTION('ref_axis',(-1.,0.,0.)); #168836=DIRECTION('center_axis',(0.,-1.,0.)); #168837=DIRECTION('ref_axis',(-1.,0.,0.)); #168838=DIRECTION('',(0.,-1.,0.)); #168839=DIRECTION('center_axis',(0.,-1.,0.)); #168840=DIRECTION('ref_axis',(1.,0.,-1.01064309961486E-15)); #168841=DIRECTION('center_axis',(0.,1.,0.)); #168842=DIRECTION('ref_axis',(-1.,0.,0.)); #168843=DIRECTION('',(0.,-1.,0.)); #168844=DIRECTION('center_axis',(0.,-1.,0.)); #168845=DIRECTION('ref_axis',(-1.,0.,0.)); #168846=DIRECTION('center_axis',(0.,-1.,0.)); #168847=DIRECTION('ref_axis',(-1.,0.,0.)); #168848=DIRECTION('',(0.,-1.,0.)); #168849=DIRECTION('center_axis',(-1.,0.,0.)); #168850=DIRECTION('ref_axis',(0.,0.,-1.)); #168851=DIRECTION('',(0.,0.,-1.)); #168852=DIRECTION('center_axis',(0.,-1.,0.)); #168853=DIRECTION('ref_axis',(0.813109811592181,0.,-0.582110328281958)); #168854=DIRECTION('center_axis',(0.,-1.,0.)); #168855=DIRECTION('ref_axis',(-1.,0.,0.)); #168856=DIRECTION('',(0.,-1.,0.)); #168857=DIRECTION('center_axis',(0.,-1.,0.)); #168858=DIRECTION('ref_axis',(-1.,0.,0.)); #168859=DIRECTION('center_axis',(0.,1.,0.)); #168860=DIRECTION('ref_axis',(-1.,0.,0.)); #168861=DIRECTION('',(0.,-1.,0.)); #168862=DIRECTION('center_axis',(0.,-1.,0.)); #168863=DIRECTION('ref_axis',(0.81310981159218,0.,0.582110328281958)); #168864=DIRECTION('center_axis',(0.,-1.,0.)); #168865=DIRECTION('ref_axis',(-1.,0.,0.)); #168866=DIRECTION('center_axis',(3.33066907387547E-16,0.,-1.)); #168867=DIRECTION('ref_axis',(-1.,0.,-3.33066907387547E-16)); #168868=DIRECTION('',(0.,1.,0.)); #168869=DIRECTION('',(-1.,0.,-3.33066907387547E-16)); #168870=DIRECTION('',(0.,-1.,0.)); #168871=DIRECTION('',(-1.,0.,-3.33066907387547E-16)); #168872=DIRECTION('center_axis',(0.,1.,0.)); #168873=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #168874=DIRECTION('center_axis',(0.,-1.,0.)); #168875=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #168876=DIRECTION('',(0.,-1.,0.)); #168877=DIRECTION('center_axis',(0.,-1.,0.)); #168878=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #168879=DIRECTION('center_axis',(0.,1.,0.)); #168880=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #168881=DIRECTION('center_axis',(0.,-1.,0.)); #168882=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #168883=DIRECTION('',(0.,-1.,0.)); #168884=DIRECTION('center_axis',(0.,-1.,0.)); #168885=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #168886=DIRECTION('',(0.,1.,0.)); #168887=DIRECTION('center_axis',(2.03540887847945E-16,0.,-1.)); #168888=DIRECTION('ref_axis',(-1.,0.,-2.03540887847945E-16)); #168889=DIRECTION('',(-1.,0.,-2.03540887847945E-16)); #168890=DIRECTION('',(0.,-1.,0.)); #168891=DIRECTION('',(-1.,0.,-2.03540887847945E-16)); #168892=DIRECTION('center_axis',(0.,1.,0.)); #168893=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #168894=DIRECTION('center_axis',(0.,-1.,0.)); #168895=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #168896=DIRECTION('',(0.,-1.,0.)); #168897=DIRECTION('center_axis',(0.,-1.,0.)); #168898=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #168899=DIRECTION('',(0.,1.,0.)); #168900=DIRECTION('center_axis',(-1.,0.,0.)); #168901=DIRECTION('ref_axis',(0.,0.,1.)); #168902=DIRECTION('',(0.,0.,1.)); #168903=DIRECTION('',(0.,1.,0.)); #168904=DIRECTION('',(0.,0.,1.)); #168905=DIRECTION('center_axis',(0.,1.,0.)); #168906=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168907=DIRECTION('center_axis',(0.,-1.,0.)); #168908=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168909=DIRECTION('',(0.,-1.,0.)); #168910=DIRECTION('center_axis',(0.,-1.,0.)); #168911=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #168912=DIRECTION('',(0.,1.,0.)); #168913=DIRECTION('center_axis',(0.,1.,0.)); #168914=DIRECTION('ref_axis',(0.,0.,1.)); #168915=DIRECTION('',(-1.,0.,-1.77635683940025E-16)); #168916=DIRECTION('',(0.,0.,-1.)); #168917=DIRECTION('center_axis',(0.,-1.,0.)); #168918=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #168919=DIRECTION('',(1.,0.,0.)); #168920=DIRECTION('center_axis',(0.,-1.,0.)); #168921=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #168922=DIRECTION('center_axis',(1.,0.,0.)); #168923=DIRECTION('ref_axis',(0.,0.,-1.)); #168924=DIRECTION('',(0.,0.,-1.)); #168925=DIRECTION('',(0.,-1.,0.)); #168926=DIRECTION('center_axis',(0.,1.,0.)); #168927=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #168928=DIRECTION('center_axis',(0.,-1.,0.)); #168929=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549)); #168930=DIRECTION('',(0.,1.,0.)); #168931=DIRECTION('center_axis',(0.,0.,1.)); #168932=DIRECTION('ref_axis',(1.,0.,0.)); #168933=DIRECTION('',(1.,0.,0.)); #168934=DIRECTION('',(0.,-1.,0.)); #168935=DIRECTION('center_axis',(0.,1.,0.)); #168936=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #168937=DIRECTION('center_axis',(0.,-1.,0.)); #168938=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #168939=DIRECTION('center_axis',(-1.11022302462516E-16,0.,1.)); #168940=DIRECTION('ref_axis',(1.,0.,1.11022302462516E-16)); #168941=DIRECTION('',(1.,0.,1.11022302462516E-16)); #168942=DIRECTION('',(0.,1.,0.)); #168943=DIRECTION('',(1.,0.,1.11022302462516E-16)); #168944=DIRECTION('center_axis',(0.,1.,0.)); #168945=DIRECTION('ref_axis',(0.,0.,1.)); #168946=DIRECTION('',(0.,0.,-1.)); #168947=DIRECTION('center_axis',(0.,0.,1.)); #168948=DIRECTION('ref_axis',(1.,0.,0.)); #168949=DIRECTION('',(1.,0.,0.)); #168950=DIRECTION('',(1.,0.,0.)); #168951=DIRECTION('',(0.,1.,0.)); #168952=DIRECTION('center_axis',(0.,0.,-1.)); #168953=DIRECTION('ref_axis',(-1.,0.,0.)); #168954=DIRECTION('',(-1.,0.,0.)); #168955=DIRECTION('',(0.,-1.,0.)); #168956=DIRECTION('',(-1.,0.,0.)); #168957=DIRECTION('center_axis',(0.,1.,0.)); #168958=DIRECTION('ref_axis',(0.,0.,1.)); #168959=DIRECTION('',(0.,0.,-1.)); #168960=DIRECTION('center_axis',(-3.70074341541719E-17,0.,1.)); #168961=DIRECTION('ref_axis',(1.,0.,3.70074341541719E-17)); #168962=DIRECTION('',(1.,0.,3.70074341541719E-17)); #168963=DIRECTION('',(0.,1.,0.)); #168964=DIRECTION('',(1.,0.,3.70074341541719E-17)); #168965=DIRECTION('center_axis',(0.,1.,0.)); #168966=DIRECTION('ref_axis',(0.,0.,1.)); #168967=DIRECTION('',(0.,0.,-1.)); #168968=DIRECTION('center_axis',(0.,0.,1.)); #168969=DIRECTION('ref_axis',(1.,0.,0.)); #168970=DIRECTION('',(1.,0.,0.)); #168971=DIRECTION('',(1.,0.,0.)); #168972=DIRECTION('',(0.,1.,0.)); #168973=DIRECTION('center_axis',(0.,0.,-1.)); #168974=DIRECTION('ref_axis',(-1.,0.,0.)); #168975=DIRECTION('',(-1.,0.,0.)); #168976=DIRECTION('',(0.,-1.,0.)); #168977=DIRECTION('',(-1.,0.,0.)); #168978=DIRECTION('center_axis',(0.,1.,0.)); #168979=DIRECTION('ref_axis',(0.,0.,1.)); #168980=DIRECTION('',(0.,0.,-1.)); #168981=DIRECTION('center_axis',(0.,-1.,0.)); #168982=DIRECTION('ref_axis',(0.,0.,-1.)); #168983=DIRECTION('',(0.,0.,1.)); #168984=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #168985=DIRECTION('',(-1.,0.,0.)); #168986=DIRECTION('center_axis',(0.,1.,0.)); #168987=DIRECTION('ref_axis',(5.92118946466756E-15,0.,-1.)); #168988=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #168989=DIRECTION('',(-1.,0.,0.)); #168990=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #168991=DIRECTION('center_axis',(0.,1.,0.)); #168992=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #168993=DIRECTION('',(-1.,0.,0.)); #168994=DIRECTION('center_axis',(0.,1.,0.)); #168995=DIRECTION('ref_axis',(5.92118946466756E-15,0.,-1.)); #168996=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #168997=DIRECTION('',(-1.,0.,0.)); #168998=DIRECTION('',(-0.707106781186543,0.,-0.707106781186552)); #168999=DIRECTION('center_axis',(0.,1.,0.)); #169000=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #169001=DIRECTION('',(-1.,0.,0.)); #169002=DIRECTION('center_axis',(0.,1.,0.)); #169003=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #169004=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #169005=DIRECTION('',(-1.,0.,0.)); #169006=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #169007=DIRECTION('center_axis',(0.,1.,0.)); #169008=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #169009=DIRECTION('',(-1.,0.,0.)); #169010=DIRECTION('center_axis',(0.,1.,0.)); #169011=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #169012=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #169013=DIRECTION('',(-1.,0.,0.)); #169014=DIRECTION('',(0.707106781186555,0.,0.70710678118654)); #169015=DIRECTION('center_axis',(0.,-1.,0.)); #169016=DIRECTION('ref_axis',(0.,0.,-1.)); #169017=DIRECTION('',(0.,0.,1.)); #169018=DIRECTION('center_axis',(0.,-1.,0.)); #169019=DIRECTION('ref_axis',(0.,0.,-1.)); #169020=DIRECTION('',(0.,0.,1.)); #169021=DIRECTION('',(0.,0.,1.)); #169022=DIRECTION('',(-0.707106781186547,0.,0.707106781186548)); #169023=DIRECTION('',(1.,0.,0.)); #169024=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #169025=DIRECTION('center_axis',(0.,1.,0.)); #169026=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169027=DIRECTION('',(1.,0.,0.)); #169028=DIRECTION('center_axis',(0.,1.,0.)); #169029=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #169030=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #169031=DIRECTION('',(1.,0.,0.)); #169032=DIRECTION('',(0.707106781186545,0.,0.70710678118655)); #169033=DIRECTION('center_axis',(0.,1.,0.)); #169034=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169035=DIRECTION('',(1.,0.,0.)); #169036=DIRECTION('center_axis',(0.,1.,0.)); #169037=DIRECTION('ref_axis',(-2.96059473233378E-15,0.,1.)); #169038=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #169039=DIRECTION('',(1.,0.,0.)); #169040=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #169041=DIRECTION('center_axis',(0.,1.,0.)); #169042=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #169043=DIRECTION('',(1.,0.,0.)); #169044=DIRECTION('center_axis',(0.,1.,0.)); #169045=DIRECTION('ref_axis',(-5.92118946466756E-15,0.,1.)); #169046=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #169047=DIRECTION('',(1.,0.,0.)); #169048=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #169049=DIRECTION('center_axis',(0.,1.,0.)); #169050=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #169051=DIRECTION('',(1.,0.,0.)); #169052=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #169053=DIRECTION('',(0.,0.,1.)); #169054=DIRECTION('center_axis',(0.,1.,0.)); #169055=DIRECTION('ref_axis',(1.,0.,0.)); #169056=DIRECTION('center_axis',(0.,1.,0.)); #169057=DIRECTION('ref_axis',(1.,0.,0.)); #169058=DIRECTION('',(-1.,0.,-1.77635683940025E-16)); #169059=DIRECTION('center_axis',(1.77635683940025E-16,0.,-1.)); #169060=DIRECTION('ref_axis',(-1.,0.,-1.77635683940025E-16)); #169061=DIRECTION('center_axis',(0.,1.,0.)); #169062=DIRECTION('ref_axis',(1.,0.,0.)); #169063=DIRECTION('',(0.,1.,0.)); #169064=DIRECTION('center_axis',(0.,1.,0.)); #169065=DIRECTION('ref_axis',(1.,0.,0.)); #169066=DIRECTION('center_axis',(0.,-1.,0.)); #169067=DIRECTION('ref_axis',(1.,0.,0.)); #169068=DIRECTION('center_axis',(0.,-1.,0.)); #169069=DIRECTION('ref_axis',(-1.,0.,0.)); #169070=DIRECTION('center_axis',(0.,1.,0.)); #169071=DIRECTION('ref_axis',(1.,0.,0.)); #169072=DIRECTION('',(0.,1.,0.)); #169073=DIRECTION('center_axis',(0.,1.,0.)); #169074=DIRECTION('ref_axis',(1.,0.,0.)); #169075=DIRECTION('center_axis',(0.,-1.,0.)); #169076=DIRECTION('ref_axis',(1.,0.,0.)); #169077=DIRECTION('center_axis',(0.,-1.,0.)); #169078=DIRECTION('ref_axis',(-1.,0.,0.)); #169079=DIRECTION('center_axis',(0.,-1.,0.)); #169080=DIRECTION('ref_axis',(-1.,0.,0.)); #169081=DIRECTION('center_axis',(0.,-1.,0.)); #169082=DIRECTION('ref_axis',(-1.,0.,0.)); #169083=DIRECTION('',(0.,-1.,0.)); #169084=DIRECTION('center_axis',(0.,-1.,0.)); #169085=DIRECTION('ref_axis',(-1.,0.,0.)); #169086=DIRECTION('center_axis',(0.,-1.,0.)); #169087=DIRECTION('ref_axis',(-1.,0.,0.)); #169088=DIRECTION('',(0.,-1.,0.)); #169089=DIRECTION('center_axis',(0.70710678118655,0.,-0.707106781186545)); #169090=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #169091=DIRECTION('',(0.707106781186545,0.,0.70710678118655)); #169092=DIRECTION('',(0.,-1.,0.)); #169093=DIRECTION('',(0.,1.,0.)); #169094=DIRECTION('center_axis',(1.,0.,0.)); #169095=DIRECTION('ref_axis',(0.,0.,1.)); #169096=DIRECTION('',(0.,0.,1.)); #169097=DIRECTION('',(0.,1.,0.)); #169098=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #169099=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #169100=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #169101=DIRECTION('',(0.,-1.,0.)); #169102=DIRECTION('center_axis',(-0.70710678118654,0.,0.707106781186555)); #169103=DIRECTION('ref_axis',(0.707106781186555,0.,0.70710678118654)); #169104=DIRECTION('',(0.,1.,0.)); #169105=DIRECTION('',(-0.707106781186555,0.,-0.70710678118654)); #169106=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186547)); #169107=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #169108=DIRECTION('',(0.707106781186547,0.,-0.707106781186548)); #169109=DIRECTION('',(0.,-1.,0.)); #169110=DIRECTION('center_axis',(0.707106781186552,0.,-0.707106781186543)); #169111=DIRECTION('ref_axis',(0.707106781186543,0.,0.707106781186552)); #169112=DIRECTION('',(0.,-1.,0.)); #169113=DIRECTION('',(0.707106781186543,0.,0.707106781186552)); #169114=DIRECTION('',(0.,-1.,0.)); #169115=DIRECTION('center_axis',(0.,-1.,0.)); #169116=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #169117=DIRECTION('center_axis',(0.,-1.,0.)); #169118=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #169119=DIRECTION('',(0.,-1.,0.)); #169120=DIRECTION('center_axis',(0.,0.,-1.)); #169121=DIRECTION('ref_axis',(1.,0.,0.)); #169122=DIRECTION('',(1.,0.,0.)); #169123=DIRECTION('',(0.,-1.,0.)); #169124=DIRECTION('center_axis',(0.,-1.,0.)); #169125=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #169126=DIRECTION('center_axis',(0.,-1.,0.)); #169127=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #169128=DIRECTION('',(0.,-1.,0.)); #169129=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #169130=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #169131=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #169132=DIRECTION('',(0.,1.,0.)); #169133=DIRECTION('center_axis',(0.,0.,-1.)); #169134=DIRECTION('ref_axis',(1.,0.,0.)); #169135=DIRECTION('',(1.,0.,0.)); #169136=DIRECTION('',(0.,-1.,0.)); #169137=DIRECTION('center_axis',(0.,-1.,0.)); #169138=DIRECTION('ref_axis',(5.92118946466756E-15,0.,-1.)); #169139=DIRECTION('center_axis',(0.,-1.,0.)); #169140=DIRECTION('ref_axis',(5.92118946466756E-15,0.,-1.)); #169141=DIRECTION('',(0.,-1.,0.)); #169142=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #169143=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #169144=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #169145=DIRECTION('',(0.,1.,0.)); #169146=DIRECTION('center_axis',(0.,-1.,0.)); #169147=DIRECTION('ref_axis',(5.92118946466756E-15,0.,-1.)); #169148=DIRECTION('',(0.,-1.,0.)); #169149=DIRECTION('center_axis',(0.,-1.,0.)); #169150=DIRECTION('ref_axis',(5.92118946466756E-15,0.,-1.)); #169151=DIRECTION('',(0.,-1.,0.)); #169152=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #169153=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #169154=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #169155=DIRECTION('',(0.,1.,0.)); #169156=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #169157=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #169158=DIRECTION('',(0.,-1.,0.)); #169159=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #169160=DIRECTION('',(0.,-1.,0.)); #169161=DIRECTION('center_axis',(0.,-1.,0.)); #169162=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #169163=DIRECTION('center_axis',(0.,-1.,0.)); #169164=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #169165=DIRECTION('',(0.,-1.,0.)); #169166=DIRECTION('center_axis',(0.,0.,-1.)); #169167=DIRECTION('ref_axis',(1.,0.,0.)); #169168=DIRECTION('',(1.,0.,0.)); #169169=DIRECTION('center_axis',(0.707106781186545,0.,0.70710678118655)); #169170=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169171=DIRECTION('',(0.,-1.,0.)); #169172=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #169173=DIRECTION('',(0.,1.,0.)); #169174=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #169175=DIRECTION('ref_axis',(-0.70710678118655,0.,-0.707106781186545)); #169176=DIRECTION('',(0.,-1.,0.)); #169177=DIRECTION('',(-0.70710678118655,0.,-0.707106781186545)); #169178=DIRECTION('',(0.,-1.,0.)); #169179=DIRECTION('center_axis',(0.,-1.,0.)); #169180=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #169181=DIRECTION('center_axis',(0.,-1.,0.)); #169182=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #169183=DIRECTION('',(0.,-1.,0.)); #169184=DIRECTION('center_axis',(0.,0.,1.)); #169185=DIRECTION('ref_axis',(-1.,0.,0.)); #169186=DIRECTION('',(-1.,0.,0.)); #169187=DIRECTION('',(0.,-1.,0.)); #169188=DIRECTION('center_axis',(0.,-1.,0.)); #169189=DIRECTION('ref_axis',(-5.92118946466756E-15,0.,1.)); #169190=DIRECTION('center_axis',(0.,-1.,0.)); #169191=DIRECTION('ref_axis',(-5.92118946466756E-15,0.,1.)); #169192=DIRECTION('center_axis',(0.,-1.,0.)); #169193=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #169194=DIRECTION('',(0.,-1.,0.)); #169195=DIRECTION('center_axis',(0.,-1.,0.)); #169196=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #169197=DIRECTION('',(0.,-1.,0.)); #169198=DIRECTION('center_axis',(-0.70710678118655,0.,-0.707106781186545)); #169199=DIRECTION('ref_axis',(0.707106781186545,0.,-0.70710678118655)); #169200=DIRECTION('',(0.707106781186545,0.,-0.70710678118655)); #169201=DIRECTION('',(0.,1.,0.)); #169202=DIRECTION('center_axis',(0.70710678118655,0.,-0.707106781186545)); #169203=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); #169204=DIRECTION('',(0.,-1.,0.)); #169205=DIRECTION('',(0.707106781186545,0.,0.70710678118655)); #169206=DIRECTION('',(0.,-1.,0.)); #169207=DIRECTION('center_axis',(0.,-1.,0.)); #169208=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #169209=DIRECTION('center_axis',(0.,-1.,0.)); #169210=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546)); #169211=DIRECTION('',(0.,-1.,0.)); #169212=DIRECTION('center_axis',(0.,0.,-1.)); #169213=DIRECTION('ref_axis',(1.,0.,0.)); #169214=DIRECTION('',(1.,0.,0.)); #169215=DIRECTION('center_axis',(0.707106781186545,0.,0.70710678118655)); #169216=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169217=DIRECTION('',(0.,-1.,0.)); #169218=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #169219=DIRECTION('',(0.,1.,0.)); #169220=DIRECTION('center_axis',(-0.70710678118655,0.,0.707106781186545)); #169221=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #169222=DIRECTION('',(0.,-1.,0.)); #169223=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #169224=DIRECTION('',(0.,-1.,0.)); #169225=DIRECTION('center_axis',(0.,-1.,0.)); #169226=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169227=DIRECTION('center_axis',(0.,-1.,0.)); #169228=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169229=DIRECTION('',(0.,-1.,0.)); #169230=DIRECTION('center_axis',(0.,0.,1.)); #169231=DIRECTION('ref_axis',(-1.,0.,0.)); #169232=DIRECTION('',(-1.,0.,0.)); #169233=DIRECTION('',(0.,-1.,0.)); #169234=DIRECTION('center_axis',(0.,-1.,0.)); #169235=DIRECTION('ref_axis',(-2.96059473233378E-15,0.,1.)); #169236=DIRECTION('center_axis',(0.,-1.,0.)); #169237=DIRECTION('ref_axis',(-2.96059473233378E-15,0.,1.)); #169238=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #169239=DIRECTION('ref_axis',(-0.70710678118655,0.,-0.707106781186545)); #169240=DIRECTION('',(0.,-1.,0.)); #169241=DIRECTION('',(-0.70710678118655,0.,-0.707106781186545)); #169242=DIRECTION('',(0.,-1.,0.)); #169243=DIRECTION('center_axis',(0.,-1.,0.)); #169244=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #169245=DIRECTION('center_axis',(0.,-1.,0.)); #169246=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #169247=DIRECTION('',(0.,-1.,0.)); #169248=DIRECTION('center_axis',(0.,0.,1.)); #169249=DIRECTION('ref_axis',(-1.,0.,0.)); #169250=DIRECTION('',(-1.,0.,0.)); #169251=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #169252=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #169253=DIRECTION('',(0.,-1.,0.)); #169254=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #169255=DIRECTION('',(0.,1.,0.)); #169256=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #169257=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #169258=DIRECTION('',(0.,-1.,0.)); #169259=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #169260=DIRECTION('',(0.,-1.,0.)); #169261=DIRECTION('center_axis',(0.,-1.,0.)); #169262=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169263=DIRECTION('center_axis',(0.,-1.,0.)); #169264=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #169265=DIRECTION('',(0.,-1.,0.)); #169266=DIRECTION('center_axis',(0.,0.,1.)); #169267=DIRECTION('ref_axis',(-1.,0.,0.)); #169268=DIRECTION('',(-1.,0.,0.)); #169269=DIRECTION('',(0.,-1.,0.)); #169270=DIRECTION('center_axis',(0.,-1.,0.)); #169271=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #169272=DIRECTION('center_axis',(0.,-1.,0.)); #169273=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #169274=DIRECTION('center_axis',(0.,0.,1.)); #169275=DIRECTION('ref_axis',(-1.,0.,0.)); #169276=DIRECTION('',(-1.,0.,0.)); #169277=DIRECTION('center_axis',(0.,0.,1.)); #169278=DIRECTION('ref_axis',(-1.,0.,0.)); #169279=DIRECTION('',(-1.,0.,0.)); #169280=DIRECTION('center_axis',(0.,0.,1.)); #169281=DIRECTION('ref_axis',(-1.,0.,0.)); #169282=DIRECTION('',(-1.,0.,0.)); #169283=DIRECTION('center_axis',(0.,0.,1.)); #169284=DIRECTION('ref_axis',(-1.,0.,0.)); #169285=DIRECTION('',(-1.,0.,0.)); #169286=DIRECTION('center_axis',(0.,0.,-1.)); #169287=DIRECTION('ref_axis',(1.,0.,0.)); #169288=DIRECTION('',(1.,0.,0.)); #169289=DIRECTION('center_axis',(0.,0.,-1.)); #169290=DIRECTION('ref_axis',(1.,0.,0.)); #169291=DIRECTION('',(1.,0.,0.)); #169292=DIRECTION('center_axis',(0.,0.,-1.)); #169293=DIRECTION('ref_axis',(1.,0.,0.)); #169294=DIRECTION('',(1.,0.,0.)); #169295=DIRECTION('center_axis',(0.,0.,-1.)); #169296=DIRECTION('ref_axis',(1.,0.,0.)); #169297=DIRECTION('',(1.,0.,0.)); #169298=DIRECTION('center_axis',(0.,1.,0.)); #169299=DIRECTION('ref_axis',(1.,0.,0.)); #169300=DIRECTION('axis',(0.,0.,1.)); #169301=DIRECTION('refdir',(1.,0.,0.)); #169302=DIRECTION('center_axis',(0.,-1.,0.)); #169303=DIRECTION('ref_axis',(0.997254612358773,0.,-0.0740488901277673)); #169304=DIRECTION('center_axis',(0.,1.,0.)); #169305=DIRECTION('ref_axis',(0.997254612358773,0.,-0.0740488901277673)); #169306=DIRECTION('',(0.,-1.,0.)); #169307=DIRECTION('center_axis',(0.,1.,0.)); #169308=DIRECTION('ref_axis',(0.997254612358773,0.,-0.0740488901277673)); #169309=DIRECTION('',(0.,-1.,0.)); #169310=DIRECTION('center_axis',(0.,-1.,0.)); #169311=DIRECTION('ref_axis',(-0.216495848689574,0.,0.976283538476493)); #169312=DIRECTION('center_axis',(0.,1.,0.)); #169313=DIRECTION('ref_axis',(-0.216495848689574,0.,0.976283538476493)); #169314=DIRECTION('',(0.,-1.,0.)); #169315=DIRECTION('center_axis',(0.,1.,0.)); #169316=DIRECTION('ref_axis',(-0.216495848689574,0.,0.976283538476493)); #169317=DIRECTION('center_axis',(4.63770945498819E-5,0.,-0.999999998924583)); #169318=DIRECTION('ref_axis',(0.999999998924583,0.,4.63770945498819E-5)); #169319=DIRECTION('',(0.999999998924583,0.,4.63770945498819E-5)); #169320=DIRECTION('',(0.,-1.,0.)); #169321=DIRECTION('',(0.999999998924583,0.,4.63770945498819E-5)); #169322=DIRECTION('center_axis',(-4.49045187699602E-5,0.,-0.999999998991792)); #169323=DIRECTION('ref_axis',(0.999999998991792,0.,-4.49045187699602E-5)); #169324=DIRECTION('',(0.999999998991792,0.,-4.49045187699602E-5)); #169325=DIRECTION('',(0.,-1.,0.)); #169326=DIRECTION('',(0.999999998991792,0.,-4.49045187699602E-5)); #169327=DIRECTION('center_axis',(0.,0.,-1.)); #169328=DIRECTION('ref_axis',(1.,0.,0.)); #169329=DIRECTION('',(1.,0.,0.)); #169330=DIRECTION('',(0.,-1.,0.)); #169331=DIRECTION('',(1.,0.,0.)); #169332=DIRECTION('center_axis',(1.,0.,0.)); #169333=DIRECTION('ref_axis',(0.,0.,1.)); #169334=DIRECTION('',(0.,0.,1.)); #169335=DIRECTION('',(0.,-1.,0.)); #169336=DIRECTION('',(0.,0.,1.)); #169337=DIRECTION('center_axis',(0.,-1.,0.)); #169338=DIRECTION('ref_axis',(0.214868996601883,0.,-0.97664287961327)); #169339=DIRECTION('center_axis',(0.,1.,0.)); #169340=DIRECTION('ref_axis',(0.214868996601883,0.,-0.97664287961327)); #169341=DIRECTION('center_axis',(0.,1.,0.)); #169342=DIRECTION('ref_axis',(0.214868996601883,0.,-0.97664287961327)); #169343=DIRECTION('center_axis',(0.578218124452281,0.,-0.815882222232404)); #169344=DIRECTION('ref_axis',(0.815882222232404,0.,0.578218124452281)); #169345=DIRECTION('',(0.815882222232404,0.,0.578218124452281)); #169346=DIRECTION('',(0.,-1.,0.)); #169347=DIRECTION('',(0.815882222232404,0.,0.578218124452281)); #169348=DIRECTION('',(0.,-1.,0.)); #169349=DIRECTION('center_axis',(0.,-1.,0.)); #169350=DIRECTION('ref_axis',(0.973377185949767,0.,0.229209192382226)); #169351=DIRECTION('center_axis',(0.,-1.,0.)); #169352=DIRECTION('ref_axis',(0.973377185949767,0.,0.229209192382226)); #169353=DIRECTION('',(0.,-1.,0.)); #169354=DIRECTION('center_axis',(0.,-1.,0.)); #169355=DIRECTION('ref_axis',(0.973377185949767,0.,0.229209192382226)); #169356=DIRECTION('center_axis',(0.,-1.,0.)); #169357=DIRECTION('ref_axis',(0.124717459066915,0.,-0.992192297593512)); #169358=DIRECTION('center_axis',(0.,-1.,0.)); #169359=DIRECTION('ref_axis',(0.124717459066915,0.,-0.992192297593512)); #169360=DIRECTION('',(0.,-1.,0.)); #169361=DIRECTION('center_axis',(0.,-1.,0.)); #169362=DIRECTION('ref_axis',(0.124717459066915,0.,-0.992192297593512)); #169363=DIRECTION('center_axis',(0.,-1.,0.)); #169364=DIRECTION('ref_axis',(-0.0811445429754131,0.,-0.996702344306118)); #169365=DIRECTION('center_axis',(0.,-1.,0.)); #169366=DIRECTION('ref_axis',(-0.0811445429754131,0.,-0.996702344306118)); #169367=DIRECTION('',(0.,-1.,0.)); #169368=DIRECTION('center_axis',(0.,-1.,0.)); #169369=DIRECTION('ref_axis',(-0.0811445429754131,0.,-0.996702344306118)); #169370=DIRECTION('center_axis',(0.,-1.,0.)); #169371=DIRECTION('ref_axis',(-0.220329723845756,0.,-0.975425452195119)); #169372=DIRECTION('center_axis',(0.,-1.,0.)); #169373=DIRECTION('ref_axis',(-0.220329723845756,0.,-0.975425452195119)); #169374=DIRECTION('',(0.,-1.,0.)); #169375=DIRECTION('center_axis',(0.,-1.,0.)); #169376=DIRECTION('ref_axis',(-0.220329723845756,0.,-0.975425452195119)); #169377=DIRECTION('center_axis',(-0.29652614427696,0.,-0.955024735679783)); #169378=DIRECTION('ref_axis',(0.955024735679783,0.,-0.29652614427696)); #169379=DIRECTION('',(0.955024735679783,0.,-0.29652614427696)); #169380=DIRECTION('',(0.,-1.,0.)); #169381=DIRECTION('',(0.955024735679783,0.,-0.29652614427696)); #169382=DIRECTION('center_axis',(-0.393394985719817,0.,-0.91936955856201)); #169383=DIRECTION('ref_axis',(0.91936955856201,0.,-0.393394985719817)); #169384=DIRECTION('',(0.91936955856201,0.,-0.393394985719817)); #169385=DIRECTION('',(0.,-1.,0.)); #169386=DIRECTION('',(0.91936955856201,0.,-0.393394985719817)); #169387=DIRECTION('center_axis',(-0.502227928845247,0.,-0.864735281741074)); #169388=DIRECTION('ref_axis',(0.864735281741074,0.,-0.502227928845246)); #169389=DIRECTION('',(0.864735281741074,0.,-0.502227928845246)); #169390=DIRECTION('',(0.,-1.,0.)); #169391=DIRECTION('',(0.864735281741074,0.,-0.502227928845246)); #169392=DIRECTION('center_axis',(-0.61521933502208,0.,-0.788355991805092)); #169393=DIRECTION('ref_axis',(0.788355991805092,0.,-0.61521933502208)); #169394=DIRECTION('',(0.788355991805092,0.,-0.61521933502208)); #169395=DIRECTION('',(0.,-1.,0.)); #169396=DIRECTION('',(0.788355991805092,0.,-0.61521933502208)); #169397=DIRECTION('center_axis',(-0.685581669754359,0.,-0.727995723955041)); #169398=DIRECTION('ref_axis',(0.727995723955041,0.,-0.685581669754359)); #169399=DIRECTION('',(0.727995723955041,0.,-0.685581669754359)); #169400=DIRECTION('',(0.,-1.,0.)); #169401=DIRECTION('',(0.727995723955041,0.,-0.685581669754359)); #169402=DIRECTION('center_axis',(-0.764039990289381,0.,-0.645168887376478)); #169403=DIRECTION('ref_axis',(0.645168887376478,0.,-0.764039990289381)); #169404=DIRECTION('',(0.645168887376478,0.,-0.764039990289381)); #169405=DIRECTION('',(0.,-1.,0.)); #169406=DIRECTION('',(0.645168887376478,0.,-0.764039990289381)); #169407=DIRECTION('center_axis',(-0.834581609698378,0.,-0.550884322479106)); #169408=DIRECTION('ref_axis',(0.550884322479106,0.,-0.834581609698378)); #169409=DIRECTION('',(0.550884322479106,0.,-0.834581609698378)); #169410=DIRECTION('',(0.,-1.,0.)); #169411=DIRECTION('',(0.550884322479106,0.,-0.834581609698378)); #169412=DIRECTION('center_axis',(-0.894009148094595,0.,-0.448048706195182)); #169413=DIRECTION('ref_axis',(0.448048706195182,0.,-0.894009148094595)); #169414=DIRECTION('',(0.448048706195182,0.,-0.894009148094595)); #169415=DIRECTION('',(0.,-1.,0.)); #169416=DIRECTION('',(0.448048706195182,0.,-0.894009148094595)); #169417=DIRECTION('center_axis',(-0.939806778630591,0.,-0.341706334211106)); #169418=DIRECTION('ref_axis',(0.341706334211106,0.,-0.939806778630591)); #169419=DIRECTION('',(0.341706334211106,0.,-0.939806778630591)); #169420=DIRECTION('',(0.,-1.,0.)); #169421=DIRECTION('',(0.341706334211106,0.,-0.939806778630591)); #169422=DIRECTION('center_axis',(-0.971901169659298,0.,-0.235389286958622)); #169423=DIRECTION('ref_axis',(0.235389286958622,0.,-0.971901169659298)); #169424=DIRECTION('',(0.235389286958622,0.,-0.971901169659298)); #169425=DIRECTION('',(0.,-1.,0.)); #169426=DIRECTION('',(0.235389286958622,0.,-0.971901169659298)); #169427=DIRECTION('center_axis',(-0.99109781128861,0.,-0.133135751993693)); #169428=DIRECTION('ref_axis',(0.133135751993693,0.,-0.99109781128861)); #169429=DIRECTION('',(0.133135751993693,0.,-0.99109781128861)); #169430=DIRECTION('',(0.,-1.,0.)); #169431=DIRECTION('',(0.133135751993693,0.,-0.99109781128861)); #169432=DIRECTION('center_axis',(-0.999295200004637,0.,-0.0375380240248766)); #169433=DIRECTION('ref_axis',(0.0375380240248766,0.,-0.999295200004637)); #169434=DIRECTION('',(0.0375380240248766,0.,-0.999295200004637)); #169435=DIRECTION('',(0.,-1.,0.)); #169436=DIRECTION('',(0.0375380240248766,0.,-0.999295200004637)); #169437=DIRECTION('center_axis',(-0.998577689529843,0.,0.0533160198555787)); #169438=DIRECTION('ref_axis',(-0.0533160198555787,0.,-0.998577689529843)); #169439=DIRECTION('',(-0.0533160198555787,0.,-0.998577689529843)); #169440=DIRECTION('',(0.,-1.,0.)); #169441=DIRECTION('',(-0.0533160198555787,0.,-0.998577689529843)); #169442=DIRECTION('center_axis',(0.,-1.,0.)); #169443=DIRECTION('ref_axis',(-0.966181249947977,0.,0.257863902570649)); #169444=DIRECTION('center_axis',(0.,-1.,0.)); #169445=DIRECTION('ref_axis',(-0.966181249947977,0.,0.257863902570649)); #169446=DIRECTION('',(0.,-1.,0.)); #169447=DIRECTION('center_axis',(0.,-1.,0.)); #169448=DIRECTION('ref_axis',(-0.966181249947977,0.,0.257863902570649)); #169449=DIRECTION('center_axis',(-0.932859519173429,0.,0.360240360714232)); #169450=DIRECTION('ref_axis',(-0.360240360714232,0.,-0.932859519173429)); #169451=DIRECTION('',(-0.360240360714232,0.,-0.932859519173429)); #169452=DIRECTION('',(0.,-1.,0.)); #169453=DIRECTION('',(-0.360240360714232,0.,-0.932859519173429)); #169454=DIRECTION('center_axis',(-0.884898074415882,0.,0.465784711959361)); #169455=DIRECTION('ref_axis',(-0.465784711959361,0.,-0.884898074415881)); #169456=DIRECTION('',(-0.465784711959361,0.,-0.884898074415881)); #169457=DIRECTION('',(0.,-1.,0.)); #169458=DIRECTION('',(-0.465784711959361,0.,-0.884898074415881)); #169459=DIRECTION('center_axis',(-0.824642483659958,0.,0.565654288539507)); #169460=DIRECTION('ref_axis',(-0.565654288539507,0.,-0.824642483659958)); #169461=DIRECTION('',(-0.565654288539507,0.,-0.824642483659958)); #169462=DIRECTION('',(0.,-1.,0.)); #169463=DIRECTION('',(-0.565654288539507,0.,-0.824642483659958)); #169464=DIRECTION('center_axis',(-0.753720559454263,0.,0.65719503821617)); #169465=DIRECTION('ref_axis',(-0.65719503821617,0.,-0.753720559454263)); #169466=DIRECTION('',(-0.65719503821617,0.,-0.753720559454263)); #169467=DIRECTION('',(0.,-1.,0.)); #169468=DIRECTION('',(-0.65719503821617,0.,-0.753720559454263)); #169469=DIRECTION('center_axis',(-0.677800941332766,0.,0.735245458284794)); #169470=DIRECTION('ref_axis',(-0.735245458284794,0.,-0.677800941332766)); #169471=DIRECTION('',(-0.735245458284794,0.,-0.677800941332766)); #169472=DIRECTION('',(0.,-1.,0.)); #169473=DIRECTION('',(-0.735245458284794,0.,-0.677800941332766)); #169474=DIRECTION('center_axis',(-0.591638833936449,0.,0.806203132081685)); #169475=DIRECTION('ref_axis',(-0.806203132081685,0.,-0.591638833936449)); #169476=DIRECTION('',(-0.806203132081685,0.,-0.591638833936449)); #169477=DIRECTION('',(0.,-1.,0.)); #169478=DIRECTION('',(-0.806203132081685,0.,-0.591638833936449)); #169479=DIRECTION('center_axis',(-0.479160355912153,0.,0.877727379840767)); #169480=DIRECTION('ref_axis',(-0.877727379840767,0.,-0.479160355912153)); #169481=DIRECTION('',(-0.877727379840767,0.,-0.479160355912153)); #169482=DIRECTION('',(0.,-1.,0.)); #169483=DIRECTION('',(-0.877727379840767,0.,-0.479160355912153)); #169484=DIRECTION('center_axis',(0.,-1.,0.)); #169485=DIRECTION('ref_axis',(-0.267498665028771,0.,0.963558230833936)); #169486=DIRECTION('center_axis',(0.,-1.,0.)); #169487=DIRECTION('ref_axis',(-0.267498665028771,0.,0.963558230833936)); #169488=DIRECTION('',(0.,-1.,0.)); #169489=DIRECTION('center_axis',(0.,-1.,0.)); #169490=DIRECTION('ref_axis',(-0.267498665028771,0.,0.963558230833936)); #169491=DIRECTION('center_axis',(-0.196362738340641,0.,0.980531322799718)); #169492=DIRECTION('ref_axis',(-0.980531322799718,0.,-0.196362738340641)); #169493=DIRECTION('',(-0.980531322799718,0.,-0.196362738340641)); #169494=DIRECTION('',(0.,-1.,0.)); #169495=DIRECTION('',(-0.980531322799718,0.,-0.196362738340641)); #169496=DIRECTION('center_axis',(0.,-1.,0.)); #169497=DIRECTION('ref_axis',(-0.0535727052877446,0.,0.998563951506438)); #169498=DIRECTION('center_axis',(0.,-1.,0.)); #169499=DIRECTION('ref_axis',(-0.0535727052877446,0.,0.998563951506438)); #169500=DIRECTION('',(0.,-1.,0.)); #169501=DIRECTION('center_axis',(0.,-1.,0.)); #169502=DIRECTION('ref_axis',(-0.0535727052877446,0.,0.998563951506438)); #169503=DIRECTION('center_axis',(-0.0176963434947284,0.,0.999843407452845)); #169504=DIRECTION('ref_axis',(-0.999843407452845,0.,-0.0176963434947284)); #169505=DIRECTION('',(-0.999843407452845,0.,-0.0176963434947284)); #169506=DIRECTION('',(0.,-1.,0.)); #169507=DIRECTION('',(-0.999843407452845,0.,-0.0176963434947284)); #169508=DIRECTION('center_axis',(0.,0.,1.)); #169509=DIRECTION('ref_axis',(-1.,0.,0.)); #169510=DIRECTION('',(-1.,0.,0.)); #169511=DIRECTION('',(0.,-1.,0.)); #169512=DIRECTION('',(-1.,0.,0.)); #169513=DIRECTION('center_axis',(-0.994990686159244,0.,0.0999676670546872)); #169514=DIRECTION('ref_axis',(-0.0999676670546872,0.,-0.994990686159244)); #169515=DIRECTION('',(-0.0999676670546872,0.,-0.994990686159244)); #169516=DIRECTION('',(0.,-1.,0.)); #169517=DIRECTION('',(-0.0999676670546872,0.,-0.994990686159244)); #169518=DIRECTION('center_axis',(-0.999999999751609,0.,-2.22885970105107E-5)); #169519=DIRECTION('ref_axis',(2.22885970105107E-5,0.,-0.999999999751609)); #169520=DIRECTION('',(2.22885970105107E-5,0.,-0.999999999751609)); #169521=DIRECTION('',(0.,-1.,0.)); #169522=DIRECTION('',(2.22885970105107E-5,0.,-0.999999999751609)); #169523=DIRECTION('center_axis',(0.,-1.,0.)); #169524=DIRECTION('ref_axis',(-0.141465004290283,0.,-0.989943257243136)); #169525=DIRECTION('center_axis',(0.,-1.,0.)); #169526=DIRECTION('ref_axis',(-0.141465004290283,0.,-0.989943257243136)); #169527=DIRECTION('',(0.,-1.,0.)); #169528=DIRECTION('center_axis',(0.,-1.,0.)); #169529=DIRECTION('ref_axis',(-0.141465004290283,0.,-0.989943257243136)); #169530=DIRECTION('center_axis',(-0.245356315492352,0.,-0.969432967485642)); #169531=DIRECTION('ref_axis',(0.969432967485642,0.,-0.245356315492352)); #169532=DIRECTION('',(0.969432967485642,0.,-0.245356315492352)); #169533=DIRECTION('',(0.,-1.,0.)); #169534=DIRECTION('',(0.969432967485642,0.,-0.245356315492352)); #169535=DIRECTION('center_axis',(-0.334405184427833,0.,-0.942429399280279)); #169536=DIRECTION('ref_axis',(0.942429399280279,0.,-0.334405184427833)); #169537=DIRECTION('',(0.942429399280279,0.,-0.334405184427833)); #169538=DIRECTION('',(0.,-1.,0.)); #169539=DIRECTION('',(0.942429399280279,0.,-0.334405184427833)); #169540=DIRECTION('center_axis',(-0.434927220024531,0.,-0.900465609161024)); #169541=DIRECTION('ref_axis',(0.900465609161024,0.,-0.434927220024531)); #169542=DIRECTION('',(0.900465609161024,0.,-0.434927220024531)); #169543=DIRECTION('',(0.,-1.,0.)); #169544=DIRECTION('',(0.900465609161024,0.,-0.434927220024531)); #169545=DIRECTION('center_axis',(-0.544188745357655,0.,-0.838962817665992)); #169546=DIRECTION('ref_axis',(0.838962817665992,0.,-0.544188745357655)); #169547=DIRECTION('',(0.838962817665992,0.,-0.544188745357655)); #169548=DIRECTION('',(0.,-1.,0.)); #169549=DIRECTION('',(0.838962817665992,0.,-0.544188745357655)); #169550=DIRECTION('center_axis',(-0.646466755576684,0.,-0.7629421563488)); #169551=DIRECTION('ref_axis',(0.7629421563488,0.,-0.646466755576684)); #169552=DIRECTION('',(0.7629421563488,0.,-0.646466755576684)); #169553=DIRECTION('',(0.,-1.,0.)); #169554=DIRECTION('',(0.7629421563488,0.,-0.646466755576684)); #169555=DIRECTION('center_axis',(-0.720956714056633,0.,-0.692980098167807)); #169556=DIRECTION('ref_axis',(0.692980098167807,0.,-0.720956714056633)); #169557=DIRECTION('',(0.692980098167807,0.,-0.720956714056633)); #169558=DIRECTION('',(0.,-1.,0.)); #169559=DIRECTION('',(0.692980098167807,0.,-0.720956714056633)); #169560=DIRECTION('center_axis',(-0.794923452527478,0.,-0.606709736712536)); #169561=DIRECTION('ref_axis',(0.606709736712536,0.,-0.794923452527478)); #169562=DIRECTION('',(0.606709736712536,0.,-0.794923452527478)); #169563=DIRECTION('',(0.,-1.,0.)); #169564=DIRECTION('',(0.606709736712536,0.,-0.794923452527478)); #169565=DIRECTION('center_axis',(-0.860497499468105,0.,-0.50945466276121)); #169566=DIRECTION('ref_axis',(0.50945466276121,0.,-0.860497499468105)); #169567=DIRECTION('',(0.50945466276121,0.,-0.860497499468105)); #169568=DIRECTION('',(0.,-1.,0.)); #169569=DIRECTION('',(0.50945466276121,0.,-0.860497499468105)); #169570=DIRECTION('center_axis',(-0.913994391715479,0.,-0.40572681931646)); #169571=DIRECTION('ref_axis',(0.40572681931646,0.,-0.913994391715479)); #169572=DIRECTION('',(0.40572681931646,0.,-0.913994391715479)); #169573=DIRECTION('',(0.,-1.,0.)); #169574=DIRECTION('',(0.40572681931646,0.,-0.913994391715479)); #169575=DIRECTION('center_axis',(-0.954058668778592,0.,-0.29961985336159)); #169576=DIRECTION('ref_axis',(0.29961985336159,0.,-0.954058668778592)); #169577=DIRECTION('',(0.29961985336159,0.,-0.954058668778592)); #169578=DIRECTION('',(0.,-1.,0.)); #169579=DIRECTION('',(0.29961985336159,0.,-0.954058668778592)); #169580=DIRECTION('center_axis',(-0.980879448509924,0.,-0.194616308388758)); #169581=DIRECTION('ref_axis',(0.194616308388758,0.,-0.980879448509924)); #169582=DIRECTION('',(0.194616308388758,0.,-0.980879448509924)); #169583=DIRECTION('',(0.,-1.,0.)); #169584=DIRECTION('',(0.194616308388758,0.,-0.980879448509924)); #169585=DIRECTION('center_axis',(-0.995543752486724,0.,-0.0943007788125455)); #169586=DIRECTION('ref_axis',(0.0943007788125455,0.,-0.995543752486724)); #169587=DIRECTION('',(0.0943007788125455,0.,-0.995543752486724)); #169588=DIRECTION('',(0.,-1.,0.)); #169589=DIRECTION('',(0.0943007788125455,0.,-0.995543752486724)); #169590=DIRECTION('center_axis',(-0.999998579029384,0.,-0.00168580521177197)); #169591=DIRECTION('ref_axis',(0.00168580521177197,0.,-0.999998579029384)); #169592=DIRECTION('',(0.00168580521177197,0.,-0.999998579029384)); #169593=DIRECTION('',(0.,-1.,0.)); #169594=DIRECTION('',(0.00168580521177197,0.,-0.999998579029384)); #169595=DIRECTION('center_axis',(-0.995337288635122,0.,0.0964555952367958)); #169596=DIRECTION('ref_axis',(-0.0964555952367957,0.,-0.995337288635122)); #169597=DIRECTION('',(-0.0964555952367957,0.,-0.995337288635122)); #169598=DIRECTION('',(0.,-1.,0.)); #169599=DIRECTION('',(-0.0964555952367957,0.,-0.995337288635122)); #169600=DIRECTION('center_axis',(-0.98009417716716,0.,0.19853313044182)); #169601=DIRECTION('ref_axis',(-0.19853313044182,0.,-0.98009417716716)); #169602=DIRECTION('',(-0.19853313044182,0.,-0.98009417716716)); #169603=DIRECTION('',(0.,-1.,0.)); #169604=DIRECTION('',(-0.19853313044182,0.,-0.98009417716716)); #169605=DIRECTION('center_axis',(-0.952516143811846,0.,0.304488088072112)); #169606=DIRECTION('ref_axis',(-0.304488088072112,0.,-0.952516143811846)); #169607=DIRECTION('',(-0.304488088072112,0.,-0.952516143811846)); #169608=DIRECTION('',(0.,-1.,0.)); #169609=DIRECTION('',(-0.304488088072112,0.,-0.952516143811846)); #169610=DIRECTION('center_axis',(-0.912827898364788,0.,0.408344496677652)); #169611=DIRECTION('ref_axis',(-0.408344496677652,0.,-0.912827898364787)); #169612=DIRECTION('',(-0.408344496677652,0.,-0.912827898364787)); #169613=DIRECTION('',(0.,-1.,0.)); #169614=DIRECTION('',(-0.408344496677652,0.,-0.912827898364787)); #169615=DIRECTION('center_axis',(-0.862405210464101,0.,0.506218582199794)); #169616=DIRECTION('ref_axis',(-0.506218582199794,0.,-0.862405210464101)); #169617=DIRECTION('',(-0.506218582199794,0.,-0.862405210464101)); #169618=DIRECTION('',(0.,-1.,0.)); #169619=DIRECTION('',(-0.506218582199794,0.,-0.862405210464101)); #169620=DIRECTION('center_axis',(-0.803601473673202,0.,0.595167767533036)); #169621=DIRECTION('ref_axis',(-0.595167767533036,0.,-0.803601473673202)); #169622=DIRECTION('',(-0.595167767533036,0.,-0.803601473673202)); #169623=DIRECTION('',(0.,-1.,0.)); #169624=DIRECTION('',(-0.595167767533036,0.,-0.803601473673202)); #169625=DIRECTION('center_axis',(-0.740616227839279,0.,0.671928272259114)); #169626=DIRECTION('ref_axis',(-0.671928272259114,0.,-0.740616227839279)); #169627=DIRECTION('',(-0.671928272259114,0.,-0.740616227839279)); #169628=DIRECTION('',(0.,-1.,0.)); #169629=DIRECTION('',(-0.671928272259114,0.,-0.740616227839279)); #169630=DIRECTION('center_axis',(-0.66246007601473,0.,0.749097221785369)); #169631=DIRECTION('ref_axis',(-0.749097221785369,0.,-0.66246007601473)); #169632=DIRECTION('',(-0.749097221785369,0.,-0.66246007601473)); #169633=DIRECTION('',(0.,-1.,0.)); #169634=DIRECTION('',(-0.749097221785369,0.,-0.66246007601473)); #169635=DIRECTION('center_axis',(-0.551853815269545,0.,0.833940865153188)); #169636=DIRECTION('ref_axis',(-0.833940865153187,0.,-0.551853815269545)); #169637=DIRECTION('',(-0.833940865153187,0.,-0.551853815269545)); #169638=DIRECTION('',(0.,-1.,0.)); #169639=DIRECTION('',(-0.833940865153187,0.,-0.551853815269545)); #169640=DIRECTION('center_axis',(0.,-1.,0.)); #169641=DIRECTION('ref_axis',(-0.333728862139283,0.,0.942669107680537)); #169642=DIRECTION('center_axis',(0.,-1.,0.)); #169643=DIRECTION('ref_axis',(-0.333728862139283,0.,0.942669107680537)); #169644=DIRECTION('',(0.,-1.,0.)); #169645=DIRECTION('center_axis',(0.,-1.,0.)); #169646=DIRECTION('ref_axis',(-0.333728862139283,0.,0.942669107680537)); #169647=DIRECTION('center_axis',(-0.256135552491209,0.,0.966640873721996)); #169648=DIRECTION('ref_axis',(-0.966640873721996,0.,-0.256135552491209)); #169649=DIRECTION('',(-0.966640873721996,0.,-0.256135552491209)); #169650=DIRECTION('',(0.,-1.,0.)); #169651=DIRECTION('',(-0.966640873721996,0.,-0.256135552491209)); #169652=DIRECTION('center_axis',(-0.176846620203023,0.,0.984238422803524)); #169653=DIRECTION('ref_axis',(-0.984238422803524,0.,-0.176846620203023)); #169654=DIRECTION('',(-0.984238422803524,0.,-0.176846620203023)); #169655=DIRECTION('',(0.,-1.,0.)); #169656=DIRECTION('',(-0.984238422803524,0.,-0.176846620203023)); #169657=DIRECTION('center_axis',(0.,-1.,0.)); #169658=DIRECTION('ref_axis',(0.0775784933864584,0.,0.996986247329364)); #169659=DIRECTION('center_axis',(0.,-1.,0.)); #169660=DIRECTION('ref_axis',(0.0775784933864584,0.,0.996986247329364)); #169661=DIRECTION('',(0.,-1.,0.)); #169662=DIRECTION('center_axis',(0.,-1.,0.)); #169663=DIRECTION('ref_axis',(0.0775784933864584,0.,0.996986247329364)); #169664=DIRECTION('center_axis',(0.,-1.,0.)); #169665=DIRECTION('ref_axis',(0.222289058611213,0.,0.97498080720686)); #169666=DIRECTION('center_axis',(0.,-1.,0.)); #169667=DIRECTION('ref_axis',(0.222289058611213,0.,0.97498080720686)); #169668=DIRECTION('',(0.,-1.,0.)); #169669=DIRECTION('center_axis',(0.,-1.,0.)); #169670=DIRECTION('ref_axis',(0.222289058611213,0.,0.97498080720686)); #169671=DIRECTION('center_axis',(0.27697287991209,0.,0.960877736131503)); #169672=DIRECTION('ref_axis',(-0.960877736131503,0.,0.27697287991209)); #169673=DIRECTION('',(-0.960877736131503,0.,0.27697287991209)); #169674=DIRECTION('',(0.,-1.,0.)); #169675=DIRECTION('',(-0.960877736131503,0.,0.27697287991209)); #169676=DIRECTION('center_axis',(0.368842752580956,0.,0.929491809468219)); #169677=DIRECTION('ref_axis',(-0.929491809468219,0.,0.368842752580956)); #169678=DIRECTION('',(-0.929491809468219,0.,0.368842752580956)); #169679=DIRECTION('',(0.,-1.,0.)); #169680=DIRECTION('',(-0.929491809468219,0.,0.368842752580956)); #169681=DIRECTION('center_axis',(0.470327964439639,0.,0.882491703001261)); #169682=DIRECTION('ref_axis',(-0.882491703001261,0.,0.470327964439639)); #169683=DIRECTION('',(-0.882491703001261,0.,0.470327964439639)); #169684=DIRECTION('',(0.,-1.,0.)); #169685=DIRECTION('',(-0.882491703001261,0.,0.470327964439639)); #169686=DIRECTION('center_axis',(0.57657842211637,0.,0.817041812363233)); #169687=DIRECTION('ref_axis',(-0.817041812363233,0.,0.57657842211637)); #169688=DIRECTION('',(-0.817041812363233,0.,0.57657842211637)); #169689=DIRECTION('',(0.,-1.,0.)); #169690=DIRECTION('',(-0.817041812363233,0.,0.57657842211637)); #169691=DIRECTION('center_axis',(0.696764833160025,0.,0.717299635627596)); #169692=DIRECTION('ref_axis',(-0.717299635627596,0.,0.696764833160025)); #169693=DIRECTION('',(-0.717299635627596,0.,0.696764833160025)); #169694=DIRECTION('',(0.,-1.,0.)); #169695=DIRECTION('',(-0.717299635627596,0.,0.696764833160025)); #169696=DIRECTION('center_axis',(0.769236031537477,0.,0.638964731252417)); #169697=DIRECTION('ref_axis',(-0.638964731252417,0.,0.769236031537477)); #169698=DIRECTION('',(-0.638964731252417,0.,0.769236031537477)); #169699=DIRECTION('',(0.,-1.,0.)); #169700=DIRECTION('',(-0.638964731252417,0.,0.769236031537477)); #169701=DIRECTION('center_axis',(0.827866759440903,0.,0.560924797644763)); #169702=DIRECTION('ref_axis',(-0.560924797644763,0.,0.827866759440903)); #169703=DIRECTION('',(-0.560924797644763,0.,0.827866759440903)); #169704=DIRECTION('',(0.,-1.,0.)); #169705=DIRECTION('',(-0.560924797644763,0.,0.827866759440903)); #169706=DIRECTION('center_axis',(0.881478228040575,0.,0.47222466421233)); #169707=DIRECTION('ref_axis',(-0.47222466421233,0.,0.881478228040575)); #169708=DIRECTION('',(-0.47222466421233,0.,0.881478228040575)); #169709=DIRECTION('',(0.,-1.,0.)); #169710=DIRECTION('',(-0.47222466421233,0.,0.881478228040575)); #169711=DIRECTION('center_axis',(0.926909447707704,0.,0.375285059321843)); #169712=DIRECTION('ref_axis',(-0.375285059321843,0.,0.926909447707704)); #169713=DIRECTION('',(-0.375285059321843,0.,0.926909447707704)); #169714=DIRECTION('',(0.,-1.,0.)); #169715=DIRECTION('',(-0.375285059321843,0.,0.926909447707704)); #169716=DIRECTION('center_axis',(0.961858538489385,0.,0.273547348616403)); #169717=DIRECTION('ref_axis',(-0.273547348616403,0.,0.961858538489385)); #169718=DIRECTION('',(-0.273547348616403,0.,0.961858538489385)); #169719=DIRECTION('',(0.,-1.,0.)); #169720=DIRECTION('',(-0.273547348616403,0.,0.961858538489385)); #169721=DIRECTION('center_axis',(0.985389793051664,0.,0.170314285218825)); #169722=DIRECTION('ref_axis',(-0.170314285218825,0.,0.985389793051664)); #169723=DIRECTION('',(-0.170314285218825,0.,0.985389793051664)); #169724=DIRECTION('',(0.,-1.,0.)); #169725=DIRECTION('',(-0.170314285218825,0.,0.985389793051664)); #169726=DIRECTION('center_axis',(0.997562431310148,0.,0.0697796219435659)); #169727=DIRECTION('ref_axis',(-0.0697796219435659,0.,0.997562431310148)); #169728=DIRECTION('',(-0.0697796219435659,0.,0.997562431310148)); #169729=DIRECTION('',(0.,-1.,0.)); #169730=DIRECTION('',(-0.0697796219435659,0.,0.997562431310148)); #169731=DIRECTION('center_axis',(0.999701035883846,0.,-0.0244507433990219)); #169732=DIRECTION('ref_axis',(0.0244507433990219,0.,0.999701035883846)); #169733=DIRECTION('',(0.0244507433990219,0.,0.999701035883846)); #169734=DIRECTION('',(0.,-1.,0.)); #169735=DIRECTION('',(0.0244507433990219,0.,0.999701035883846)); #169736=DIRECTION('center_axis',(0.992899620492494,0.,-0.11895521689216)); #169737=DIRECTION('ref_axis',(0.11895521689216,0.,0.992899620492494)); #169738=DIRECTION('',(0.11895521689216,0.,0.992899620492494)); #169739=DIRECTION('',(0.,-1.,0.)); #169740=DIRECTION('',(0.11895521689216,0.,0.992899620492494)); #169741=DIRECTION('center_axis',(0.97581547971004,0.,-0.218595858968701)); #169742=DIRECTION('ref_axis',(0.218595858968701,0.,0.97581547971004)); #169743=DIRECTION('',(0.218595858968701,0.,0.97581547971004)); #169744=DIRECTION('',(0.,-1.,0.)); #169745=DIRECTION('',(0.218595858968701,0.,0.97581547971004)); #169746=DIRECTION('center_axis',(0.946860557498836,0.,-0.321644344972819)); #169747=DIRECTION('ref_axis',(0.321644344972819,0.,0.946860557498836)); #169748=DIRECTION('',(0.321644344972819,0.,0.946860557498836)); #169749=DIRECTION('',(0.,-1.,0.)); #169750=DIRECTION('',(0.321644344972819,0.,0.946860557498836)); #169751=DIRECTION('center_axis',(0.905361107727145,0.,-0.424642513904433)); #169752=DIRECTION('ref_axis',(0.424642513904433,0.,0.905361107727145)); #169753=DIRECTION('',(0.424642513904433,0.,0.905361107727145)); #169754=DIRECTION('',(0.,-1.,0.)); #169755=DIRECTION('',(0.424642513904433,0.,0.905361107727145)); #169756=DIRECTION('center_axis',(0.851711347580471,0.,-0.524011240721665)); #169757=DIRECTION('ref_axis',(0.524011240721665,0.,0.851711347580471)); #169758=DIRECTION('',(0.524011240721665,0.,0.851711347580471)); #169759=DIRECTION('',(0.,-1.,0.)); #169760=DIRECTION('',(0.524011240721665,0.,0.851711347580471)); #169761=DIRECTION('center_axis',(0.787395972592781,0.,-0.616447550359857)); #169762=DIRECTION('ref_axis',(0.616447550359857,0.,0.787395972592781)); #169763=DIRECTION('',(0.616447550359857,0.,0.787395972592781)); #169764=DIRECTION('',(0.,-1.,0.)); #169765=DIRECTION('',(0.616447550359857,0.,0.787395972592781)); #169766=DIRECTION('center_axis',(0.714991924551769,0.,-0.699132711168458)); #169767=DIRECTION('ref_axis',(0.699132711168457,0.,0.714991924551769)); #169768=DIRECTION('',(0.699132711168457,0.,0.714991924551769)); #169769=DIRECTION('',(0.,-1.,0.)); #169770=DIRECTION('',(0.699132711168457,0.,0.714991924551769)); #169771=DIRECTION('center_axis',(0.624537824595235,0.,-0.780994561856772)); #169772=DIRECTION('ref_axis',(0.780994561856772,0.,0.624537824595235)); #169773=DIRECTION('',(0.780994561856772,0.,0.624537824595235)); #169774=DIRECTION('',(0.,-1.,0.)); #169775=DIRECTION('',(0.780994561856772,0.,0.624537824595235)); #169776=DIRECTION('center_axis',(0.513913804541689,0.,-0.857841827787318)); #169777=DIRECTION('ref_axis',(0.857841827787318,0.,0.513913804541689)); #169778=DIRECTION('',(0.857841827787318,0.,0.513913804541689)); #169779=DIRECTION('',(0.,-1.,0.)); #169780=DIRECTION('',(0.857841827787318,0.,0.513913804541689)); #169781=DIRECTION('center_axis',(0.407142594862099,0.,-0.913364608165303)); #169782=DIRECTION('ref_axis',(0.913364608165303,0.,0.407142594862098)); #169783=DIRECTION('',(0.913364608165303,0.,0.407142594862098)); #169784=DIRECTION('',(0.,-1.,0.)); #169785=DIRECTION('',(0.913364608165303,0.,0.407142594862098)); #169786=DIRECTION('center_axis',(0.309365725863707,0.,-0.950943135871342)); #169787=DIRECTION('ref_axis',(0.950943135871342,0.,0.309365725863707)); #169788=DIRECTION('',(0.950943135871342,0.,0.309365725863707)); #169789=DIRECTION('',(0.,-1.,0.)); #169790=DIRECTION('',(0.950943135871342,0.,0.309365725863707)); #169791=DIRECTION('center_axis',(0.,-1.,0.)); #169792=DIRECTION('ref_axis',(-0.0169658943108373,0.,-0.99985606885703)); #169793=DIRECTION('center_axis',(0.,-1.,0.)); #169794=DIRECTION('ref_axis',(-0.0169658943108373,0.,-0.99985606885703)); #169795=DIRECTION('',(0.,-1.,0.)); #169796=DIRECTION('center_axis',(0.,-1.,0.)); #169797=DIRECTION('ref_axis',(-0.0169658943108373,0.,-0.99985606885703)); #169798=DIRECTION('center_axis',(0.,0.,-1.)); #169799=DIRECTION('ref_axis',(1.,0.,0.)); #169800=DIRECTION('',(1.,0.,0.)); #169801=DIRECTION('',(0.,-1.,0.)); #169802=DIRECTION('',(1.,0.,0.)); #169803=DIRECTION('center_axis',(0.999999998352892,0.,-5.73952574228347E-5)); #169804=DIRECTION('ref_axis',(5.73952574228347E-5,0.,0.999999998352892)); #169805=DIRECTION('',(5.73952574228347E-5,0.,0.999999998352892)); #169806=DIRECTION('',(0.,-1.,0.)); #169807=DIRECTION('',(5.73952574228347E-5,0.,0.999999998352892)); #169808=DIRECTION('center_axis',(0.,0.,1.)); #169809=DIRECTION('ref_axis',(-1.,0.,0.)); #169810=DIRECTION('',(-1.,0.,0.)); #169811=DIRECTION('',(0.,-1.,0.)); #169812=DIRECTION('',(-1.,0.,0.)); #169813=DIRECTION('center_axis',(0.,-1.,0.)); #169814=DIRECTION('ref_axis',(0.9112197631798,0.,-0.411920554464753)); #169815=DIRECTION('center_axis',(0.,1.,0.)); #169816=DIRECTION('ref_axis',(0.9112197631798,0.,-0.411920554464753)); #169817=DIRECTION('',(0.,-1.,0.)); #169818=DIRECTION('center_axis',(0.,1.,0.)); #169819=DIRECTION('ref_axis',(0.9112197631798,0.,-0.411920554464753)); #169820=DIRECTION('center_axis',(-0.865969902462409,0.,0.500096118790424)); #169821=DIRECTION('ref_axis',(-0.500096118790424,0.,-0.865969902462409)); #169822=DIRECTION('',(-0.500096118790424,0.,-0.865969902462409)); #169823=DIRECTION('',(0.,-1.,0.)); #169824=DIRECTION('',(-0.500096118790424,0.,-0.865969902462409)); #169825=DIRECTION('center_axis',(0.,-1.,0.)); #169826=DIRECTION('ref_axis',(0.0809379838741612,0.,0.996719139359923)); #169827=DIRECTION('center_axis',(0.,-1.,0.)); #169828=DIRECTION('ref_axis',(0.0809379838741612,0.,0.996719139359923)); #169829=DIRECTION('',(0.,-1.,0.)); #169830=DIRECTION('center_axis',(0.,-1.,0.)); #169831=DIRECTION('ref_axis',(0.0809379838741612,0.,0.996719139359923)); #169832=DIRECTION('center_axis',(0.172175058610768,0.,0.985066367912527)); #169833=DIRECTION('ref_axis',(-0.985066367912527,0.,0.172175058610768)); #169834=DIRECTION('',(-0.985066367912527,0.,0.172175058610768)); #169835=DIRECTION('',(0.,-1.,0.)); #169836=DIRECTION('',(-0.985066367912527,0.,0.172175058610768)); #169837=DIRECTION('center_axis',(0.250700064985131,0.,0.968064810545478)); #169838=DIRECTION('ref_axis',(-0.968064810545478,0.,0.250700064985131)); #169839=DIRECTION('',(-0.968064810545478,0.,0.250700064985131)); #169840=DIRECTION('',(0.,-1.,0.)); #169841=DIRECTION('',(-0.968064810545478,0.,0.250700064985131)); #169842=DIRECTION('center_axis',(0.339426696272007,0.,0.94063250946258)); #169843=DIRECTION('ref_axis',(-0.94063250946258,0.,0.339426696272007)); #169844=DIRECTION('',(-0.94063250946258,0.,0.339426696272007)); #169845=DIRECTION('',(0.,-1.,0.)); #169846=DIRECTION('',(-0.94063250946258,0.,0.339426696272007)); #169847=DIRECTION('center_axis',(0.437332172446309,0.,0.899300045003553)); #169848=DIRECTION('ref_axis',(-0.899300045003553,0.,0.437332172446309)); #169849=DIRECTION('',(-0.899300045003553,0.,0.437332172446309)); #169850=DIRECTION('',(0.,-1.,0.)); #169851=DIRECTION('',(-0.899300045003553,0.,0.437332172446309)); #169852=DIRECTION('center_axis',(0.539686668386453,0.,0.841865963182935)); #169853=DIRECTION('ref_axis',(-0.841865963182935,0.,0.539686668386453)); #169854=DIRECTION('',(-0.841865963182935,0.,0.539686668386453)); #169855=DIRECTION('',(0.,-1.,0.)); #169856=DIRECTION('',(-0.841865963182935,0.,0.539686668386453)); #169857=DIRECTION('center_axis',(0.654295335875984,0.,0.756239124517459)); #169858=DIRECTION('ref_axis',(-0.756239124517459,0.,0.654295335875984)); #169859=DIRECTION('',(-0.756239124517459,0.,0.654295335875984)); #169860=DIRECTION('',(0.,-1.,0.)); #169861=DIRECTION('',(-0.756239124517459,0.,0.654295335875984)); #169862=DIRECTION('center_axis',(0.746540468052738,0.,0.665340010490576)); #169863=DIRECTION('ref_axis',(-0.665340010490576,0.,0.746540468052738)); #169864=DIRECTION('',(-0.665340010490576,0.,0.746540468052738)); #169865=DIRECTION('',(0.,-1.,0.)); #169866=DIRECTION('',(-0.665340010490576,0.,0.746540468052738)); #169867=DIRECTION('center_axis',(0.806630717883494,0.,0.591055737614278)); #169868=DIRECTION('ref_axis',(-0.591055737614278,0.,0.806630717883494)); #169869=DIRECTION('',(-0.591055737614278,0.,0.806630717883494)); #169870=DIRECTION('',(0.,-1.,0.)); #169871=DIRECTION('',(-0.591055737614278,0.,0.806630717883494)); #169872=DIRECTION('center_axis',(0.862354163391685,0.,0.50630553708312)); #169873=DIRECTION('ref_axis',(-0.50630553708312,0.,0.862354163391685)); #169874=DIRECTION('',(-0.50630553708312,0.,0.862354163391685)); #169875=DIRECTION('',(0.,-1.,0.)); #169876=DIRECTION('',(-0.50630553708312,0.,0.862354163391685)); #169877=DIRECTION('center_axis',(0.911133775285877,0.,0.412110717566657)); #169878=DIRECTION('ref_axis',(-0.412110717566657,0.,0.911133775285877)); #169879=DIRECTION('',(-0.412110717566657,0.,0.911133775285877)); #169880=DIRECTION('',(0.,-1.,0.)); #169881=DIRECTION('',(-0.412110717566657,0.,0.911133775285877)); #169882=DIRECTION('center_axis',(0.950179632871122,0.,0.31170284772023)); #169883=DIRECTION('ref_axis',(-0.31170284772023,0.,0.950179632871121)); #169884=DIRECTION('',(-0.31170284772023,0.,0.950179632871121)); #169885=DIRECTION('',(0.,-1.,0.)); #169886=DIRECTION('',(-0.31170284772023,0.,0.950179632871121)); #169887=DIRECTION('center_axis',(0.978049179018905,0.,0.208374190869327)); #169888=DIRECTION('ref_axis',(-0.208374190869327,0.,0.978049179018905)); #169889=DIRECTION('',(-0.208374190869327,0.,0.978049179018905)); #169890=DIRECTION('',(0.,-1.,0.)); #169891=DIRECTION('',(-0.208374190869327,0.,0.978049179018905)); #169892=DIRECTION('center_axis',(0.994270932187343,0.,0.106889257679676)); #169893=DIRECTION('ref_axis',(-0.106889257679676,0.,0.994270932187343)); #169894=DIRECTION('',(-0.106889257679676,0.,0.994270932187343)); #169895=DIRECTION('',(0.,-1.,0.)); #169896=DIRECTION('',(-0.106889257679676,0.,0.994270932187343)); #169897=DIRECTION('center_axis',(0.,-1.,0.)); #169898=DIRECTION('ref_axis',(0.995944470763556,0.,-0.0899700569939864)); #169899=DIRECTION('center_axis',(0.,-1.,0.)); #169900=DIRECTION('ref_axis',(0.995944470763556,0.,-0.0899700569939864)); #169901=DIRECTION('',(0.,-1.,0.)); #169902=DIRECTION('center_axis',(0.,-1.,0.)); #169903=DIRECTION('ref_axis',(0.995944470763556,0.,-0.0899700569939864)); #169904=DIRECTION('center_axis',(0.983448027073027,0.,-0.181190446895445)); #169905=DIRECTION('ref_axis',(0.181190446895445,0.,0.983448027073027)); #169906=DIRECTION('',(0.181190446895445,0.,0.983448027073027)); #169907=DIRECTION('',(0.,-1.,0.)); #169908=DIRECTION('',(0.181190446895445,0.,0.983448027073027)); #169909=DIRECTION('center_axis',(0.959066880100178,0.,-0.283179659394722)); #169910=DIRECTION('ref_axis',(0.283179659394722,0.,0.959066880100178)); #169911=DIRECTION('',(0.283179659394722,0.,0.959066880100178)); #169912=DIRECTION('',(0.,-1.,0.)); #169913=DIRECTION('',(0.283179659394722,0.,0.959066880100178)); #169914=DIRECTION('center_axis',(0.922332472635993,0.,-0.386397217796887)); #169915=DIRECTION('ref_axis',(0.386397217796887,0.,0.922332472635993)); #169916=DIRECTION('',(0.386397217796887,0.,0.922332472635993)); #169917=DIRECTION('',(0.,-1.,0.)); #169918=DIRECTION('',(0.386397217796887,0.,0.922332472635993)); #169919=DIRECTION('center_axis',(0.,-1.,0.)); #169920=DIRECTION('ref_axis',(0.811024100390857,0.,-0.585012742241741)); #169921=DIRECTION('center_axis',(0.,-1.,0.)); #169922=DIRECTION('ref_axis',(0.811024100390857,0.,-0.585012742241741)); #169923=DIRECTION('',(0.,-1.,0.)); #169924=DIRECTION('center_axis',(0.,-1.,0.)); #169925=DIRECTION('ref_axis',(0.811024100390857,0.,-0.585012742241741)); #169926=DIRECTION('center_axis',(0.,-1.,0.)); #169927=DIRECTION('ref_axis',(-0.371420887236493,0.,-0.928464605962153)); #169928=DIRECTION('center_axis',(0.,-1.,0.)); #169929=DIRECTION('ref_axis',(-0.371420887236493,0.,-0.928464605962153)); #169930=DIRECTION('',(0.,-1.,0.)); #169931=DIRECTION('center_axis',(0.,-1.,0.)); #169932=DIRECTION('ref_axis',(-0.371420887236493,0.,-0.928464605962153)); #169933=DIRECTION('center_axis',(0.,-1.,0.)); #169934=DIRECTION('ref_axis',(0.631382612022972,0.,-0.775471467711772)); #169935=DIRECTION('center_axis',(0.,-1.,0.)); #169936=DIRECTION('ref_axis',(0.631382612022972,0.,-0.775471467711772)); #169937=DIRECTION('center_axis',(0.,-1.,0.)); #169938=DIRECTION('ref_axis',(0.631382612022972,0.,-0.775471467711772)); #169939=DIRECTION('center_axis',(0.,1.,0.)); #169940=DIRECTION('ref_axis',(1.,0.,0.)); #169941=DIRECTION('center_axis',(0.,-1.,0.)); #169942=DIRECTION('ref_axis',(-0.194694529103359,0.,0.980863925494878)); #169943=DIRECTION('center_axis',(0.,1.,0.)); #169944=DIRECTION('ref_axis',(-0.194694529103359,0.,0.980863925494878)); #169945=DIRECTION('',(0.,-1.,0.)); #169946=DIRECTION('center_axis',(0.,1.,0.)); #169947=DIRECTION('ref_axis',(-0.194694529103359,0.,0.980863925494878)); #169948=DIRECTION('',(0.,-1.,0.)); #169949=DIRECTION('center_axis',(2.09144202854733E-5,0.,-0.999999999781294)); #169950=DIRECTION('ref_axis',(0.999999999781294,0.,2.09144202854733E-5)); #169951=DIRECTION('',(0.999999999781294,0.,2.09144202854733E-5)); #169952=DIRECTION('',(0.,-1.,0.)); #169953=DIRECTION('',(0.999999999781294,0.,2.09144202854733E-5)); #169954=DIRECTION('center_axis',(-2.02506011917733E-5,0.,-0.999999999794957)); #169955=DIRECTION('ref_axis',(0.999999999794957,0.,-2.02506011917733E-5)); #169956=DIRECTION('',(0.999999999794957,0.,-2.02506011917733E-5)); #169957=DIRECTION('',(0.,-1.,0.)); #169958=DIRECTION('',(0.999999999794957,0.,-2.02506011917733E-5)); #169959=DIRECTION('center_axis',(0.,0.,-1.)); #169960=DIRECTION('ref_axis',(1.,0.,0.)); #169961=DIRECTION('',(1.,0.,0.)); #169962=DIRECTION('',(0.,-1.,0.)); #169963=DIRECTION('',(1.,0.,0.)); #169964=DIRECTION('center_axis',(1.,0.,0.)); #169965=DIRECTION('ref_axis',(0.,0.,1.)); #169966=DIRECTION('',(0.,0.,1.)); #169967=DIRECTION('',(0.,-1.,0.)); #169968=DIRECTION('',(0.,0.,1.)); #169969=DIRECTION('center_axis',(0.999999999814491,0.,1.92618474671274E-5)); #169970=DIRECTION('ref_axis',(-1.92618474671274E-5,0.,0.999999999814491)); #169971=DIRECTION('',(-1.92618474671274E-5,0.,0.999999999814491)); #169972=DIRECTION('',(0.,-1.,0.)); #169973=DIRECTION('',(-1.92618474671274E-5,0.,0.999999999814491)); #169974=DIRECTION('center_axis',(1.,0.,0.)); #169975=DIRECTION('ref_axis',(0.,0.,1.)); #169976=DIRECTION('',(0.,0.,1.)); #169977=DIRECTION('',(0.,-1.,0.)); #169978=DIRECTION('',(0.,0.,1.)); #169979=DIRECTION('center_axis',(0.999999999843906,0.,-1.76688434561237E-5)); #169980=DIRECTION('ref_axis',(1.76688434561237E-5,0.,0.999999999843906)); #169981=DIRECTION('',(1.76688434561237E-5,0.,0.999999999843906)); #169982=DIRECTION('',(0.,-1.,0.)); #169983=DIRECTION('',(1.76688434561237E-5,0.,0.999999999843906)); #169984=DIRECTION('center_axis',(0.,-1.,0.)); #169985=DIRECTION('ref_axis',(0.0963049787737488,0.,-0.99535187298934)); #169986=DIRECTION('center_axis',(0.,1.,0.)); #169987=DIRECTION('ref_axis',(0.0963049787737488,0.,-0.99535187298934)); #169988=DIRECTION('',(0.,-1.,0.)); #169989=DIRECTION('center_axis',(0.,1.,0.)); #169990=DIRECTION('ref_axis',(0.0963049787737488,0.,-0.99535187298934)); #169991=DIRECTION('center_axis',(0.,-1.,0.)); #169992=DIRECTION('ref_axis',(0.985497293040975,0.,-0.169691147114131)); #169993=DIRECTION('center_axis',(0.,1.,0.)); #169994=DIRECTION('ref_axis',(0.985497293040975,0.,-0.169691147114131)); #169995=DIRECTION('',(0.,-1.,0.)); #169996=DIRECTION('center_axis',(0.,1.,0.)); #169997=DIRECTION('ref_axis',(0.985497293040975,0.,-0.169691147114131)); #169998=DIRECTION('center_axis',(0.,-1.,0.)); #169999=DIRECTION('ref_axis',(0.621452431063616,0.,0.783451897646002)); #170000=DIRECTION('center_axis',(0.,1.,0.)); #170001=DIRECTION('ref_axis',(0.621452431063616,0.,0.783451897646002)); #170002=DIRECTION('center_axis',(0.,1.,0.)); #170003=DIRECTION('ref_axis',(0.621452431063616,0.,0.783451897646002)); #170004=DIRECTION('center_axis',(-0.817541778792972,0.,-0.575869290662406)); #170005=DIRECTION('ref_axis',(0.575869290662406,0.,-0.817541778792972)); #170006=DIRECTION('',(0.575869290662406,0.,-0.817541778792972)); #170007=DIRECTION('',(0.,-1.,0.)); #170008=DIRECTION('',(0.575869290662406,0.,-0.817541778792972)); #170009=DIRECTION('',(0.,-1.,0.)); #170010=DIRECTION('center_axis',(-0.880363614998448,0.,-0.474299383709134)); #170011=DIRECTION('ref_axis',(0.474299383709134,0.,-0.880363614998448)); #170012=DIRECTION('',(0.474299383709134,0.,-0.880363614998448)); #170013=DIRECTION('',(0.,-1.,0.)); #170014=DIRECTION('',(0.474299383709134,0.,-0.880363614998448)); #170015=DIRECTION('center_axis',(-0.929888691773827,0.,-0.367841026682942)); #170016=DIRECTION('ref_axis',(0.367841026682942,0.,-0.929888691773827)); #170017=DIRECTION('',(0.367841026682942,0.,-0.929888691773827)); #170018=DIRECTION('',(0.,-1.,0.)); #170019=DIRECTION('',(0.367841026682942,0.,-0.929888691773827)); #170020=DIRECTION('center_axis',(-0.965332051683718,0.,-0.261024960477162)); #170021=DIRECTION('ref_axis',(0.261024960477162,0.,-0.965332051683718)); #170022=DIRECTION('',(0.261024960477162,0.,-0.965332051683718)); #170023=DIRECTION('',(0.,-1.,0.)); #170024=DIRECTION('',(0.261024960477162,0.,-0.965332051683718)); #170025=DIRECTION('center_axis',(-0.987445372441527,0.,-0.157960869976755)); #170026=DIRECTION('ref_axis',(0.157960869976755,0.,-0.987445372441527)); #170027=DIRECTION('',(0.157960869976755,0.,-0.987445372441527)); #170028=DIRECTION('',(0.,-1.,0.)); #170029=DIRECTION('',(0.157960869976755,0.,-0.987445372441527)); #170030=DIRECTION('center_axis',(-0.998103769574628,0.,-0.0615537583005151)); #170031=DIRECTION('ref_axis',(0.0615537583005151,0.,-0.998103769574628)); #170032=DIRECTION('',(0.0615537583005151,0.,-0.998103769574628)); #170033=DIRECTION('',(0.,-1.,0.)); #170034=DIRECTION('',(0.0615537583005151,0.,-0.998103769574628)); #170035=DIRECTION('center_axis',(-0.999609971125315,0.,0.0279267904859508)); #170036=DIRECTION('ref_axis',(-0.0279267904859508,0.,-0.999609971125315)); #170037=DIRECTION('',(-0.0279267904859508,0.,-0.999609971125315)); #170038=DIRECTION('',(0.,-1.,0.)); #170039=DIRECTION('',(-0.0279267904859508,0.,-0.999609971125315)); #170040=DIRECTION('center_axis',(-0.992529208887227,0.,0.122007251856988)); #170041=DIRECTION('ref_axis',(-0.122007251856988,0.,-0.992529208887227)); #170042=DIRECTION('',(-0.122007251856988,0.,-0.992529208887227)); #170043=DIRECTION('',(0.,-1.,0.)); #170044=DIRECTION('',(-0.122007251856988,0.,-0.992529208887227)); #170045=DIRECTION('center_axis',(-0.974817302902268,0.,0.22300499089112)); #170046=DIRECTION('ref_axis',(-0.22300499089112,0.,-0.974817302902268)); #170047=DIRECTION('',(-0.22300499089112,0.,-0.974817302902268)); #170048=DIRECTION('',(0.,-1.,0.)); #170049=DIRECTION('',(-0.22300499089112,0.,-0.974817302902268)); #170050=DIRECTION('center_axis',(-0.94446380683281,0.,0.328615455483878)); #170051=DIRECTION('ref_axis',(-0.328615455483878,0.,-0.94446380683281)); #170052=DIRECTION('',(-0.328615455483878,0.,-0.94446380683281)); #170053=DIRECTION('',(0.,-1.,0.)); #170054=DIRECTION('',(-0.328615455483878,0.,-0.94446380683281)); #170055=DIRECTION('center_axis',(-0.900357578130615,0.,0.435150814664034)); #170056=DIRECTION('ref_axis',(-0.435150814664034,0.,-0.900357578130615)); #170057=DIRECTION('',(-0.435150814664034,0.,-0.900357578130615)); #170058=DIRECTION('',(0.,-1.,0.)); #170059=DIRECTION('',(-0.435150814664034,0.,-0.900357578130615)); #170060=DIRECTION('center_axis',(-0.843244808672561,0.,0.537529713268742)); #170061=DIRECTION('ref_axis',(-0.537529713268742,0.,-0.843244808672561)); #170062=DIRECTION('',(-0.537529713268742,0.,-0.843244808672561)); #170063=DIRECTION('',(0.,-1.,0.)); #170064=DIRECTION('',(-0.537529713268742,0.,-0.843244808672561)); #170065=DIRECTION('center_axis',(-0.774163524514314,0.,0.632985653322075)); #170066=DIRECTION('ref_axis',(-0.632985653322075,0.,-0.774163524514314)); #170067=DIRECTION('',(-0.632985653322075,0.,-0.774163524514314)); #170068=DIRECTION('',(0.,-1.,0.)); #170069=DIRECTION('',(-0.632985653322075,0.,-0.774163524514314)); #170070=DIRECTION('center_axis',(-0.696254817895559,0.,0.717794698055942)); #170071=DIRECTION('ref_axis',(-0.717794698055942,0.,-0.696254817895559)); #170072=DIRECTION('',(-0.717794698055942,0.,-0.696254817895559)); #170073=DIRECTION('',(0.,-1.,0.)); #170074=DIRECTION('',(-0.717794698055942,0.,-0.696254817895559)); #170075=DIRECTION('center_axis',(-0.6153914159541,0.,0.788221672608669)); #170076=DIRECTION('ref_axis',(-0.788221672608669,0.,-0.6153914159541)); #170077=DIRECTION('',(-0.788221672608669,0.,-0.6153914159541)); #170078=DIRECTION('',(0.,-1.,0.)); #170079=DIRECTION('',(-0.788221672608669,0.,-0.6153914159541)); #170080=DIRECTION('center_axis',(-0.504994801847118,0.,0.863122384200172)); #170081=DIRECTION('ref_axis',(-0.863122384200172,0.,-0.504994801847118)); #170082=DIRECTION('',(-0.863122384200172,0.,-0.504994801847118)); #170083=DIRECTION('',(0.,-1.,0.)); #170084=DIRECTION('',(-0.863122384200172,0.,-0.504994801847118)); #170085=DIRECTION('center_axis',(-0.397879410158311,0.,0.917437722666817)); #170086=DIRECTION('ref_axis',(-0.917437722666816,0.,-0.397879410158311)); #170087=DIRECTION('',(-0.917437722666816,0.,-0.397879410158311)); #170088=DIRECTION('',(0.,-1.,0.)); #170089=DIRECTION('',(-0.917437722666816,0.,-0.397879410158311)); #170090=DIRECTION('center_axis',(-0.300480120249556,0.,0.953788077790246)); #170091=DIRECTION('ref_axis',(-0.953788077790246,0.,-0.300480120249556)); #170092=DIRECTION('',(-0.953788077790246,0.,-0.300480120249556)); #170093=DIRECTION('',(0.,-1.,0.)); #170094=DIRECTION('',(-0.953788077790246,0.,-0.300480120249556)); #170095=DIRECTION('center_axis',(-0.215940602166603,0.,0.976406501584215)); #170096=DIRECTION('ref_axis',(-0.976406501584215,0.,-0.215940602166603)); #170097=DIRECTION('',(-0.976406501584215,0.,-0.215940602166603)); #170098=DIRECTION('',(0.,-1.,0.)); #170099=DIRECTION('',(-0.976406501584215,0.,-0.215940602166603)); #170100=DIRECTION('center_axis',(0.,-1.,0.)); #170101=DIRECTION('ref_axis',(-0.0523191947981123,0.,0.998630413043623)); #170102=DIRECTION('center_axis',(0.,-1.,0.)); #170103=DIRECTION('ref_axis',(-0.0523191947981123,0.,0.998630413043623)); #170104=DIRECTION('',(0.,-1.,0.)); #170105=DIRECTION('center_axis',(0.,-1.,0.)); #170106=DIRECTION('ref_axis',(-0.0523191947981123,0.,0.998630413043623)); #170107=DIRECTION('center_axis',(-0.025555247824219,0.,0.99967341132424)); #170108=DIRECTION('ref_axis',(-0.99967341132424,0.,-0.025555247824219)); #170109=DIRECTION('',(-0.99967341132424,0.,-0.025555247824219)); #170110=DIRECTION('',(0.,-1.,0.)); #170111=DIRECTION('',(-0.99967341132424,0.,-0.025555247824219)); #170112=DIRECTION('center_axis',(-0.000572430353342245,0.,0.999999836161732)); #170113=DIRECTION('ref_axis',(-0.999999836161732,0.,-0.000572430353342245)); #170114=DIRECTION('',(-0.999999836161732,0.,-0.000572430353342245)); #170115=DIRECTION('',(0.,-1.,0.)); #170116=DIRECTION('',(-0.999999836161732,0.,-0.000572430353342245)); #170117=DIRECTION('center_axis',(-0.999999997860866,0.,-6.5408472876042E-5)); #170118=DIRECTION('ref_axis',(6.5408472876042E-5,0.,-0.999999997860866)); #170119=DIRECTION('',(6.5408472876042E-5,0.,-0.999999997860866)); #170120=DIRECTION('',(0.,-1.,0.)); #170121=DIRECTION('',(6.5408472876042E-5,0.,-0.999999997860866)); #170122=DIRECTION('center_axis',(0.,-1.,0.)); #170123=DIRECTION('ref_axis',(-0.0539225534888955,0.,-0.99854512077584)); #170124=DIRECTION('center_axis',(0.,-1.,0.)); #170125=DIRECTION('ref_axis',(-0.0539225534888955,0.,-0.99854512077584)); #170126=DIRECTION('',(0.,-1.,0.)); #170127=DIRECTION('center_axis',(0.,-1.,0.)); #170128=DIRECTION('ref_axis',(-0.0539225534888955,0.,-0.99854512077584)); #170129=DIRECTION('center_axis',(0.,-1.,0.)); #170130=DIRECTION('ref_axis',(-0.252251802520201,0.,-0.967661628941289)); #170131=DIRECTION('center_axis',(0.,-1.,0.)); #170132=DIRECTION('ref_axis',(-0.252251802520201,0.,-0.967661628941289)); #170133=DIRECTION('',(0.,-1.,0.)); #170134=DIRECTION('center_axis',(0.,-1.,0.)); #170135=DIRECTION('ref_axis',(-0.252251802520201,0.,-0.967661628941289)); #170136=DIRECTION('center_axis',(-0.317150578962538,0.,-0.948375194880026)); #170137=DIRECTION('ref_axis',(0.948375194880026,0.,-0.317150578962538)); #170138=DIRECTION('',(0.948375194880026,0.,-0.317150578962538)); #170139=DIRECTION('',(0.,-1.,0.)); #170140=DIRECTION('',(0.948375194880026,0.,-0.317150578962538)); #170141=DIRECTION('center_axis',(-0.415531414229963,0.,-0.909578827693371)); #170142=DIRECTION('ref_axis',(0.909578827693371,0.,-0.415531414229963)); #170143=DIRECTION('',(0.909578827693371,0.,-0.415531414229963)); #170144=DIRECTION('',(0.,-1.,0.)); #170145=DIRECTION('',(0.909578827693371,0.,-0.415531414229963)); #170146=DIRECTION('center_axis',(-0.522898696660408,0.,-0.852394834000563)); #170147=DIRECTION('ref_axis',(0.852394834000563,0.,-0.522898696660407)); #170148=DIRECTION('',(0.852394834000563,0.,-0.522898696660407)); #170149=DIRECTION('',(0.,-1.,0.)); #170150=DIRECTION('',(0.852394834000563,0.,-0.522898696660407)); #170151=DIRECTION('center_axis',(-0.629311700249727,0.,-0.777152999047677)); #170152=DIRECTION('ref_axis',(0.777152999047677,0.,-0.629311700249727)); #170153=DIRECTION('',(0.777152999047677,0.,-0.629311700249727)); #170154=DIRECTION('',(0.,-1.,0.)); #170155=DIRECTION('',(0.777152999047677,0.,-0.629311700249727)); #170156=DIRECTION('center_axis',(-0.708497836300497,0.,-0.705712984121388)); #170157=DIRECTION('ref_axis',(0.705712984121388,0.,-0.708497836300497)); #170158=DIRECTION('',(0.705712984121388,0.,-0.708497836300497)); #170159=DIRECTION('',(0.,-1.,0.)); #170160=DIRECTION('',(0.705712984121388,0.,-0.708497836300497)); #170161=DIRECTION('center_axis',(-0.784818037102887,0.,-0.619726269120465)); #170162=DIRECTION('ref_axis',(0.619726269120465,0.,-0.784818037102887)); #170163=DIRECTION('',(0.619726269120465,0.,-0.784818037102887)); #170164=DIRECTION('',(0.,-1.,0.)); #170165=DIRECTION('',(0.619726269120465,0.,-0.784818037102887)); #170166=DIRECTION('center_axis',(-0.852263459908979,0.,-0.523112793672623)); #170167=DIRECTION('ref_axis',(0.523112793672623,0.,-0.852263459908979)); #170168=DIRECTION('',(0.523112793672623,0.,-0.852263459908979)); #170169=DIRECTION('',(0.,-1.,0.)); #170170=DIRECTION('',(0.523112793672623,0.,-0.852263459908979)); #170171=DIRECTION('center_axis',(-0.907853704240914,0.,-0.419287075517539)); #170172=DIRECTION('ref_axis',(0.419287075517539,0.,-0.907853704240914)); #170173=DIRECTION('',(0.419287075517539,0.,-0.907853704240914)); #170174=DIRECTION('',(0.,-1.,0.)); #170175=DIRECTION('',(0.419287075517539,0.,-0.907853704240914)); #170176=DIRECTION('center_axis',(-0.949874793856093,0.,-0.31263057431551)); #170177=DIRECTION('ref_axis',(0.31263057431551,0.,-0.949874793856093)); #170178=DIRECTION('',(0.31263057431551,0.,-0.949874793856093)); #170179=DIRECTION('',(0.,-1.,0.)); #170180=DIRECTION('',(0.31263057431551,0.,-0.949874793856093)); #170181=DIRECTION('center_axis',(-0.978176421256523,0.,-0.207776054678544)); #170182=DIRECTION('ref_axis',(0.207776054678544,0.,-0.978176421256523)); #170183=DIRECTION('',(0.207776054678544,0.,-0.978176421256523)); #170184=DIRECTION('',(0.,-1.,0.)); #170185=DIRECTION('',(0.207776054678544,0.,-0.978176421256523)); #170186=DIRECTION('center_axis',(-0.994189065252559,0.,-0.10764804936571)); #170187=DIRECTION('ref_axis',(0.10764804936571,0.,-0.994189065252559)); #170188=DIRECTION('',(0.10764804936571,0.,-0.994189065252559)); #170189=DIRECTION('',(0.,-1.,0.)); #170190=DIRECTION('',(0.10764804936571,0.,-0.994189065252559)); #170191=DIRECTION('center_axis',(-0.999891020764343,0.,-0.0147630144225546)); #170192=DIRECTION('ref_axis',(0.0147630144225546,0.,-0.999891020764343)); #170193=DIRECTION('',(0.0147630144225546,0.,-0.999891020764343)); #170194=DIRECTION('',(0.,-1.,0.)); #170195=DIRECTION('',(0.0147630144225546,0.,-0.999891020764343)); #170196=DIRECTION('center_axis',(-0.996868345790126,0.,0.0790790816945781)); #170197=DIRECTION('ref_axis',(-0.0790790816945781,0.,-0.996868345790126)); #170198=DIRECTION('',(-0.0790790816945781,0.,-0.996868345790126)); #170199=DIRECTION('',(0.,-1.,0.)); #170200=DIRECTION('',(-0.0790790816945781,0.,-0.996868345790126)); #170201=DIRECTION('center_axis',(-0.983609385404637,0.,0.18031244256543)); #170202=DIRECTION('ref_axis',(-0.18031244256543,0.,-0.983609385404637)); #170203=DIRECTION('',(-0.18031244256543,0.,-0.983609385404637)); #170204=DIRECTION('',(0.,-1.,0.)); #170205=DIRECTION('',(-0.18031244256543,0.,-0.983609385404637)); #170206=DIRECTION('center_axis',(-0.958351975314828,0.,0.285589725673329)); #170207=DIRECTION('ref_axis',(-0.285589725673329,0.,-0.958351975314828)); #170208=DIRECTION('',(-0.285589725673329,0.,-0.958351975314828)); #170209=DIRECTION('',(0.,-1.,0.)); #170210=DIRECTION('',(-0.285589725673329,0.,-0.958351975314828)); #170211=DIRECTION('center_axis',(-0.920868010809741,0.,0.389874475526816)); #170212=DIRECTION('ref_axis',(-0.389874475526816,0.,-0.920868010809741)); #170213=DIRECTION('',(-0.389874475526816,0.,-0.920868010809741)); #170214=DIRECTION('',(0.,-1.,0.)); #170215=DIRECTION('',(-0.389874475526816,0.,-0.920868010809741)); #170216=DIRECTION('center_axis',(-0.871934849616834,0.,0.489621913340966)); #170217=DIRECTION('ref_axis',(-0.489621913340966,0.,-0.871934849616834)); #170218=DIRECTION('',(-0.489621913340966,0.,-0.871934849616834)); #170219=DIRECTION('',(0.,-1.,0.)); #170220=DIRECTION('',(-0.489621913340966,0.,-0.871934849616834)); #170221=DIRECTION('center_axis',(-0.813819751484032,0.,0.581117382371641)); #170222=DIRECTION('ref_axis',(-0.581117382371641,0.,-0.813819751484032)); #170223=DIRECTION('',(-0.581117382371641,0.,-0.813819751484032)); #170224=DIRECTION('',(0.,-1.,0.)); #170225=DIRECTION('',(-0.581117382371641,0.,-0.813819751484032)); #170226=DIRECTION('center_axis',(-0.75043225930886,0.,0.660947368697841)); #170227=DIRECTION('ref_axis',(-0.660947368697841,0.,-0.75043225930886)); #170228=DIRECTION('',(-0.660947368697841,0.,-0.75043225930886)); #170229=DIRECTION('',(0.,-1.,0.)); #170230=DIRECTION('',(-0.660947368697841,0.,-0.75043225930886)); #170231=DIRECTION('center_axis',(-0.674786508539193,0.,0.738012986263443)); #170232=DIRECTION('ref_axis',(-0.738012986263443,0.,-0.674786508539193)); #170233=DIRECTION('',(-0.738012986263443,0.,-0.674786508539193)); #170234=DIRECTION('',(0.,-1.,0.)); #170235=DIRECTION('',(-0.738012986263443,0.,-0.674786508539193)); #170236=DIRECTION('center_axis',(-0.562851017366973,0.,0.826558365905859)); #170237=DIRECTION('ref_axis',(-0.826558365905859,0.,-0.562851017366973)); #170238=DIRECTION('',(-0.826558365905859,0.,-0.562851017366973)); #170239=DIRECTION('',(0.,-1.,0.)); #170240=DIRECTION('',(-0.826558365905859,0.,-0.562851017366973)); #170241=DIRECTION('center_axis',(-0.456830484983894,0.,0.889553769026572)); #170242=DIRECTION('ref_axis',(-0.889553769026572,0.,-0.456830484983894)); #170243=DIRECTION('',(-0.889553769026572,0.,-0.456830484983894)); #170244=DIRECTION('',(0.,-1.,0.)); #170245=DIRECTION('',(-0.889553769026572,0.,-0.456830484983894)); #170246=DIRECTION('center_axis',(-0.357381113402108,0.,0.933958639225244)); #170247=DIRECTION('ref_axis',(-0.933958639225244,0.,-0.357381113402108)); #170248=DIRECTION('',(-0.933958639225244,0.,-0.357381113402108)); #170249=DIRECTION('',(0.,-1.,0.)); #170250=DIRECTION('',(-0.933958639225244,0.,-0.357381113402108)); #170251=DIRECTION('center_axis',(-0.267063479833572,0.,0.963678939138541)); #170252=DIRECTION('ref_axis',(-0.963678939138541,0.,-0.267063479833572)); #170253=DIRECTION('',(-0.963678939138541,0.,-0.267063479833572)); #170254=DIRECTION('',(0.,-1.,0.)); #170255=DIRECTION('',(-0.963678939138541,0.,-0.267063479833572)); #170256=DIRECTION('center_axis',(-0.186810139685016,0.,0.98239603608263)); #170257=DIRECTION('ref_axis',(-0.98239603608263,0.,-0.186810139685016)); #170258=DIRECTION('',(-0.98239603608263,0.,-0.186810139685016)); #170259=DIRECTION('',(0.,-1.,0.)); #170260=DIRECTION('',(-0.98239603608263,0.,-0.186810139685016)); #170261=DIRECTION('center_axis',(0.,-1.,0.)); #170262=DIRECTION('ref_axis',(0.0873678031552474,0.,0.996176122466216)); #170263=DIRECTION('center_axis',(0.,-1.,0.)); #170264=DIRECTION('ref_axis',(0.0873678031552474,0.,0.996176122466216)); #170265=DIRECTION('',(0.,-1.,0.)); #170266=DIRECTION('center_axis',(0.,-1.,0.)); #170267=DIRECTION('ref_axis',(0.0873678031552474,0.,0.996176122466216)); #170268=DIRECTION('center_axis',(0.189417008889983,0.,0.981896734256293)); #170269=DIRECTION('ref_axis',(-0.981896734256292,0.,0.189417008889983)); #170270=DIRECTION('',(-0.981896734256292,0.,0.189417008889983)); #170271=DIRECTION('',(0.,-1.,0.)); #170272=DIRECTION('',(-0.981896734256292,0.,0.189417008889983)); #170273=DIRECTION('center_axis',(0.270105601423889,0.,0.962830703747777)); #170274=DIRECTION('ref_axis',(-0.962830703747777,0.,0.270105601423889)); #170275=DIRECTION('',(-0.962830703747777,0.,0.270105601423889)); #170276=DIRECTION('',(0.,-1.,0.)); #170277=DIRECTION('',(-0.962830703747777,0.,0.270105601423889)); #170278=DIRECTION('center_axis',(0.361138636811255,0.,0.932512136651373)); #170279=DIRECTION('ref_axis',(-0.932512136651373,0.,0.361138636811255)); #170280=DIRECTION('',(-0.932512136651373,0.,0.361138636811255)); #170281=DIRECTION('',(0.,-1.,0.)); #170282=DIRECTION('',(-0.932512136651373,0.,0.361138636811255)); #170283=DIRECTION('center_axis',(0.460479384807553,0.,0.887670398384027)); #170284=DIRECTION('ref_axis',(-0.887670398384027,0.,0.460479384807553)); #170285=DIRECTION('',(-0.887670398384027,0.,0.460479384807553)); #170286=DIRECTION('',(0.,-1.,0.)); #170287=DIRECTION('',(-0.887670398384027,0.,0.460479384807553)); #170288=DIRECTION('center_axis',(0.567110017075973,0.,0.823642051216479)); #170289=DIRECTION('ref_axis',(-0.823642051216479,0.,0.567110017075973)); #170290=DIRECTION('',(-0.823642051216479,0.,0.567110017075973)); #170291=DIRECTION('',(0.,-1.,0.)); #170292=DIRECTION('',(-0.823642051216479,0.,0.567110017075973)); #170293=DIRECTION('center_axis',(0.680409087264388,0.,0.732832500622101)); #170294=DIRECTION('ref_axis',(-0.732832500622101,0.,0.680409087264388)); #170295=DIRECTION('',(-0.732832500622101,0.,0.680409087264388)); #170296=DIRECTION('',(0.,-1.,0.)); #170297=DIRECTION('',(-0.732832500622101,0.,0.680409087264388)); #170298=DIRECTION('center_axis',(0.754849379909669,0.,0.655898173232697)); #170299=DIRECTION('ref_axis',(-0.655898173232697,0.,0.754849379909669)); #170300=DIRECTION('',(-0.655898173232697,0.,0.754849379909669)); #170301=DIRECTION('',(0.,-1.,0.)); #170302=DIRECTION('',(-0.655898173232697,0.,0.754849379909669)); #170303=DIRECTION('center_axis',(0.816771600221234,0.,0.576961136535247)); #170304=DIRECTION('ref_axis',(-0.576961136535247,0.,0.816771600221234)); #170305=DIRECTION('',(-0.576961136535247,0.,0.816771600221234)); #170306=DIRECTION('',(0.,-1.,0.)); #170307=DIRECTION('',(-0.576961136535247,0.,0.816771600221234)); #170308=DIRECTION('center_axis',(0.873574330620586,0.,0.486690752820306)); #170309=DIRECTION('ref_axis',(-0.486690752820306,0.,0.873574330620585)); #170310=DIRECTION('',(-0.486690752820306,0.,0.873574330620585)); #170311=DIRECTION('',(0.,-1.,0.)); #170312=DIRECTION('',(-0.486690752820306,0.,0.873574330620585)); #170313=DIRECTION('center_axis',(0.921560045135885,0.,0.388235860282312)); #170314=DIRECTION('ref_axis',(-0.388235860282312,0.,0.921560045135885)); #170315=DIRECTION('',(-0.388235860282312,0.,0.921560045135885)); #170316=DIRECTION('',(0.,-1.,0.)); #170317=DIRECTION('',(-0.388235860282312,0.,0.921560045135885)); #170318=DIRECTION('center_axis',(0.958793427844534,0.,0.28410414062827)); #170319=DIRECTION('ref_axis',(-0.28410414062827,0.,0.958793427844534)); #170320=DIRECTION('',(-0.28410414062827,0.,0.958793427844534)); #170321=DIRECTION('',(0.,-1.,0.)); #170322=DIRECTION('',(-0.28410414062827,0.,0.958793427844534)); #170323=DIRECTION('center_axis',(0.983822935461893,0.,0.179143606246901)); #170324=DIRECTION('ref_axis',(-0.179143606246901,0.,0.983822935461892)); #170325=DIRECTION('',(-0.179143606246901,0.,0.983822935461892)); #170326=DIRECTION('',(0.,-1.,0.)); #170327=DIRECTION('',(-0.179143606246901,0.,0.983822935461892)); #170328=DIRECTION('center_axis',(0.997041129215237,0.,0.0768699333498106)); #170329=DIRECTION('ref_axis',(-0.0768699333498106,0.,0.997041129215237)); #170330=DIRECTION('',(-0.0768699333498106,0.,0.997041129215237)); #170331=DIRECTION('',(0.,-1.,0.)); #170332=DIRECTION('',(-0.0768699333498106,0.,0.997041129215237)); #170333=DIRECTION('center_axis',(0.99982841161802,0.,-0.0185242360540553)); #170334=DIRECTION('ref_axis',(0.0185242360540553,0.,0.99982841161802)); #170335=DIRECTION('',(0.0185242360540553,0.,0.99982841161802)); #170336=DIRECTION('',(0.,-1.,0.)); #170337=DIRECTION('',(0.0185242360540553,0.,0.99982841161802)); #170338=DIRECTION('center_axis',(0.993581922705909,0.,-0.113114821628419)); #170339=DIRECTION('ref_axis',(0.113114821628419,0.,0.993581922705909)); #170340=DIRECTION('',(0.113114821628419,0.,0.993581922705909)); #170341=DIRECTION('',(0.,-1.,0.)); #170342=DIRECTION('',(0.113114821628419,0.,0.993581922705909)); #170343=DIRECTION('center_axis',(0.976816453711942,0.,-0.214078527082062)); #170344=DIRECTION('ref_axis',(0.214078527082062,0.,0.976816453711942)); #170345=DIRECTION('',(0.214078527082062,0.,0.976816453711942)); #170346=DIRECTION('',(0.,-1.,0.)); #170347=DIRECTION('',(0.214078527082062,0.,0.976816453711942)); #170348=DIRECTION('center_axis',(0.9476348000571,0.,-0.319356048511281)); #170349=DIRECTION('ref_axis',(0.319356048511281,0.,0.9476348000571)); #170350=DIRECTION('',(0.319356048511281,0.,0.9476348000571)); #170351=DIRECTION('',(0.,-1.,0.)); #170352=DIRECTION('',(0.319356048511281,0.,0.9476348000571)); #170353=DIRECTION('center_axis',(0.905435052889751,0.,-0.424484823048521)); #170354=DIRECTION('ref_axis',(0.424484823048521,0.,0.905435052889751)); #170355=DIRECTION('',(0.424484823048521,0.,0.905435052889751)); #170356=DIRECTION('',(0.,-1.,0.)); #170357=DIRECTION('',(0.424484823048521,0.,0.905435052889751)); #170358=DIRECTION('center_axis',(0.849986046519399,0.,-0.526805201874774)); #170359=DIRECTION('ref_axis',(0.526805201874774,0.,0.849986046519399)); #170360=DIRECTION('',(0.526805201874774,0.,0.849986046519399)); #170361=DIRECTION('',(0.,-1.,0.)); #170362=DIRECTION('',(0.526805201874774,0.,0.849986046519399)); #170363=DIRECTION('center_axis',(0.783226489922118,0.,-0.621736491999849)); #170364=DIRECTION('ref_axis',(0.621736491999849,0.,0.783226489922118)); #170365=DIRECTION('',(0.621736491999849,0.,0.783226489922118)); #170366=DIRECTION('',(0.,-1.,0.)); #170367=DIRECTION('',(0.621736491999849,0.,0.783226489922118)); #170368=DIRECTION('center_axis',(0.708389419559571,0.,-0.705821811972437)); #170369=DIRECTION('ref_axis',(0.705821811972437,0.,0.708389419559571)); #170370=DIRECTION('',(0.705821811972437,0.,0.708389419559571)); #170371=DIRECTION('',(0.,-1.,0.)); #170372=DIRECTION('',(0.705821811972437,0.,0.708389419559571)); #170373=DIRECTION('center_axis',(0.626871760742055,0.,-0.779122452239797)); #170374=DIRECTION('ref_axis',(0.779122452239797,0.,0.626871760742055)); #170375=DIRECTION('',(0.779122452239797,0.,0.626871760742055)); #170376=DIRECTION('',(0.,-1.,0.)); #170377=DIRECTION('',(0.779122452239797,0.,0.626871760742055)); #170378=DIRECTION('center_axis',(0.518655611338098,0.,-0.854983249442645)); #170379=DIRECTION('ref_axis',(0.854983249442645,0.,0.518655611338098)); #170380=DIRECTION('',(0.854983249442645,0.,0.518655611338098)); #170381=DIRECTION('',(0.,-1.,0.)); #170382=DIRECTION('',(0.854983249442645,0.,0.518655611338098)); #170383=DIRECTION('center_axis',(0.411620405427369,0.,-0.911355387231462)); #170384=DIRECTION('ref_axis',(0.911355387231462,0.,0.411620405427369)); #170385=DIRECTION('',(0.911355387231462,0.,0.411620405427369)); #170386=DIRECTION('',(0.,-1.,0.)); #170387=DIRECTION('',(0.911355387231462,0.,0.411620405427369)); #170388=DIRECTION('center_axis',(0.314194942777487,0.,-0.949358487576243)); #170389=DIRECTION('ref_axis',(0.949358487576243,0.,0.314194942777487)); #170390=DIRECTION('',(0.949358487576243,0.,0.314194942777487)); #170391=DIRECTION('',(0.,-1.,0.)); #170392=DIRECTION('',(0.949358487576243,0.,0.314194942777487)); #170393=DIRECTION('center_axis',(0.,-1.,0.)); #170394=DIRECTION('ref_axis',(0.125087721282825,0.,-0.992145685866884)); #170395=DIRECTION('center_axis',(0.,-1.,0.)); #170396=DIRECTION('ref_axis',(0.125087721282825,0.,-0.992145685866884)); #170397=DIRECTION('',(0.,-1.,0.)); #170398=DIRECTION('center_axis',(0.,-1.,0.)); #170399=DIRECTION('ref_axis',(0.125087721282825,0.,-0.992145685866884)); #170400=DIRECTION('center_axis',(0.,-1.,0.)); #170401=DIRECTION('ref_axis',(0.012869679755237,0.,-0.999917182242108)); #170402=DIRECTION('center_axis',(0.,-1.,0.)); #170403=DIRECTION('ref_axis',(0.012869679755237,0.,-0.999917182242108)); #170404=DIRECTION('',(0.,-1.,0.)); #170405=DIRECTION('center_axis',(0.,-1.,0.)); #170406=DIRECTION('ref_axis',(0.012869679755237,0.,-0.999917182242108)); #170407=DIRECTION('center_axis',(6.72269585486072E-6,0.,-0.999999999977403)); #170408=DIRECTION('ref_axis',(0.999999999977403,0.,6.72269585486072E-6)); #170409=DIRECTION('',(0.999999999977403,0.,6.72269585486072E-6)); #170410=DIRECTION('',(0.,-1.,0.)); #170411=DIRECTION('',(0.999999999977403,0.,6.72269585486072E-6)); #170412=DIRECTION('center_axis',(0.999999981676936,0.,-0.000191431783860155)); #170413=DIRECTION('ref_axis',(0.000191431783860155,0.,0.999999981676936)); #170414=DIRECTION('',(0.000191431783860155,0.,0.999999981676936)); #170415=DIRECTION('',(0.,-1.,0.)); #170416=DIRECTION('',(0.000191431783860155,0.,0.999999981676936)); #170417=DIRECTION('center_axis',(0.,-1.,0.)); #170418=DIRECTION('ref_axis',(0.0355780440846055,0.,0.999366900982374)); #170419=DIRECTION('center_axis',(0.,-1.,0.)); #170420=DIRECTION('ref_axis',(0.0355780440846055,0.,0.999366900982374)); #170421=DIRECTION('',(0.,-1.,0.)); #170422=DIRECTION('center_axis',(0.,-1.,0.)); #170423=DIRECTION('ref_axis',(0.0355780440846055,0.,0.999366900982374)); #170424=DIRECTION('center_axis',(0.,-1.,0.)); #170425=DIRECTION('ref_axis',(0.990247753450679,0.,0.139317575294304)); #170426=DIRECTION('center_axis',(0.,-1.,0.)); #170427=DIRECTION('ref_axis',(0.990247753450679,0.,0.139317575294304)); #170428=DIRECTION('',(0.,-1.,0.)); #170429=DIRECTION('center_axis',(0.,-1.,0.)); #170430=DIRECTION('ref_axis',(0.990247753450679,0.,0.139317575294304)); #170431=DIRECTION('center_axis',(0.,-1.,0.)); #170432=DIRECTION('ref_axis',(0.0568929370787203,0.,-0.998380285117128)); #170433=DIRECTION('center_axis',(0.,-1.,0.)); #170434=DIRECTION('ref_axis',(0.0568929370787203,0.,-0.998380285117128)); #170435=DIRECTION('',(0.,-1.,0.)); #170436=DIRECTION('center_axis',(0.,-1.,0.)); #170437=DIRECTION('ref_axis',(0.0568929370787203,0.,-0.998380285117128)); #170438=DIRECTION('center_axis',(0.,-1.,0.)); #170439=DIRECTION('ref_axis',(-0.0490366227420197,0.,-0.998796981187897)); #170440=DIRECTION('center_axis',(0.,-1.,0.)); #170441=DIRECTION('ref_axis',(-0.0490366227420197,0.,-0.998796981187897)); #170442=DIRECTION('',(0.,-1.,0.)); #170443=DIRECTION('center_axis',(0.,-1.,0.)); #170444=DIRECTION('ref_axis',(-0.0490366227420197,0.,-0.998796981187897)); #170445=DIRECTION('center_axis',(-0.188032585835172,0.,-0.982162790307258)); #170446=DIRECTION('ref_axis',(0.982162790307258,0.,-0.188032585835172)); #170447=DIRECTION('',(0.982162790307258,0.,-0.188032585835172)); #170448=DIRECTION('',(0.,-1.,0.)); #170449=DIRECTION('',(0.982162790307258,0.,-0.188032585835172)); #170450=DIRECTION('center_axis',(-0.269593747177859,0.,-0.962974148917094)); #170451=DIRECTION('ref_axis',(0.962974148917094,0.,-0.269593747177859)); #170452=DIRECTION('',(0.962974148917094,0.,-0.269593747177859)); #170453=DIRECTION('',(0.,-1.,0.)); #170454=DIRECTION('',(0.962974148917093,0.,-0.269593747177859)); #170455=DIRECTION('center_axis',(-0.362899520547211,0.,-0.93182827709112)); #170456=DIRECTION('ref_axis',(0.93182827709112,0.,-0.362899520547211)); #170457=DIRECTION('',(0.93182827709112,0.,-0.362899520547211)); #170458=DIRECTION('',(0.,-1.,0.)); #170459=DIRECTION('',(0.93182827709112,0.,-0.362899520547211)); #170460=DIRECTION('center_axis',(-0.467964621301467,0.,-0.883747199831589)); #170461=DIRECTION('ref_axis',(0.883747199831589,0.,-0.467964621301467)); #170462=DIRECTION('',(0.883747199831589,0.,-0.467964621301467)); #170463=DIRECTION('',(0.,-1.,0.)); #170464=DIRECTION('',(0.883747199831589,0.,-0.467964621301467)); #170465=DIRECTION('center_axis',(-0.579893865451865,0.,-0.814692030654096)); #170466=DIRECTION('ref_axis',(0.814692030654096,0.,-0.579893865451865)); #170467=DIRECTION('',(0.814692030654096,0.,-0.579893865451865)); #170468=DIRECTION('',(0.,-1.,0.)); #170469=DIRECTION('',(0.814692030654096,0.,-0.579893865451865)); #170470=DIRECTION('center_axis',(-0.666888998472973,0.,-0.745157073183711)); #170471=DIRECTION('ref_axis',(0.745157073183711,0.,-0.666888998472973)); #170472=DIRECTION('',(0.745157073183711,0.,-0.666888998472973)); #170473=DIRECTION('',(0.,-1.,0.)); #170474=DIRECTION('',(0.745157073183711,0.,-0.666888998472973)); #170475=DIRECTION('center_axis',(-0.743374794845534,0.,-0.668875111204147)); #170476=DIRECTION('ref_axis',(0.668875111204147,0.,-0.743374794845534)); #170477=DIRECTION('',(0.668875111204147,0.,-0.743374794845534)); #170478=DIRECTION('',(0.668875111204147,0.,-0.743374794845534)); #170479=DIRECTION('center_axis',(0.,1.,0.)); #170480=DIRECTION('ref_axis',(1.,0.,0.)); #170481=DIRECTION('center_axis',(-1.,0.,0.)); #170482=DIRECTION('ref_axis',(0.,0.,-1.)); #170483=DIRECTION('',(0.,0.,-1.)); #170484=DIRECTION('',(0.,-1.,0.)); #170485=DIRECTION('',(0.,0.,-1.)); #170486=DIRECTION('',(0.,-1.,0.)); #170487=DIRECTION('center_axis',(0.,-1.,0.)); #170488=DIRECTION('ref_axis',(0.00301295740489669,0.,0.999995461033537)); #170489=DIRECTION('center_axis',(0.,-1.,0.)); #170490=DIRECTION('ref_axis',(0.00301295740489669,0.,0.999995461033537)); #170491=DIRECTION('',(0.,-1.,0.)); #170492=DIRECTION('center_axis',(0.,-1.,0.)); #170493=DIRECTION('ref_axis',(0.00301295740489669,0.,0.999995461033537)); #170494=DIRECTION('center_axis',(1.,0.,0.)); #170495=DIRECTION('ref_axis',(0.,0.,1.)); #170496=DIRECTION('',(0.,0.,1.)); #170497=DIRECTION('',(0.,-1.,0.)); #170498=DIRECTION('',(0.,0.,1.)); #170499=DIRECTION('center_axis',(0.,0.,-1.)); #170500=DIRECTION('ref_axis',(1.,0.,0.)); #170501=DIRECTION('',(1.,0.,0.)); #170502=DIRECTION('',(0.,-1.,0.)); #170503=DIRECTION('',(1.,0.,0.)); #170504=DIRECTION('center_axis',(-0.0332420119063543,0.,-0.999447331601029)); #170505=DIRECTION('ref_axis',(0.999447331601029,0.,-0.0332420119063543)); #170506=DIRECTION('',(0.999447331601029,0.,-0.0332420119063543)); #170507=DIRECTION('',(0.999447331601029,0.,-0.0332420119063543)); #170508=DIRECTION('center_axis',(0.,1.,0.)); #170509=DIRECTION('ref_axis',(1.,0.,0.)); #170510=DIRECTION('center_axis',(0.823351005090388,0.,0.567532485780901)); #170511=DIRECTION('ref_axis',(-0.567532485780901,0.,0.823351005090388)); #170512=DIRECTION('',(-0.567532485780901,0.,0.823351005090388)); #170513=DIRECTION('',(0.,-1.,0.)); #170514=DIRECTION('',(-0.567532485780901,0.,0.823351005090388)); #170515=DIRECTION('',(0.,-1.,0.)); #170516=DIRECTION('center_axis',(0.87771834710897,0.,0.479176901726593)); #170517=DIRECTION('ref_axis',(-0.479176901726593,0.,0.87771834710897)); #170518=DIRECTION('',(-0.479176901726593,0.,0.87771834710897)); #170519=DIRECTION('',(0.,-1.,0.)); #170520=DIRECTION('',(-0.479176901726593,0.,0.87771834710897)); #170521=DIRECTION('center_axis',(0.924049444438007,0.,0.382272970838654)); #170522=DIRECTION('ref_axis',(-0.382272970838654,0.,0.924049444438007)); #170523=DIRECTION('',(-0.382272970838654,0.,0.924049444438007)); #170524=DIRECTION('',(0.,-1.,0.)); #170525=DIRECTION('',(-0.382272970838654,0.,0.924049444438007)); #170526=DIRECTION('center_axis',(0.959915450184103,0.,0.280289722426369)); #170527=DIRECTION('ref_axis',(-0.280289722426369,0.,0.959915450184103)); #170528=DIRECTION('',(-0.280289722426369,0.,0.959915450184103)); #170529=DIRECTION('',(0.,-1.,0.)); #170530=DIRECTION('',(-0.280289722426369,0.,0.959915450184103)); #170531=DIRECTION('center_axis',(0.,-1.,0.)); #170532=DIRECTION('ref_axis',(0.99832664972956,0.,0.0578264683319998)); #170533=DIRECTION('center_axis',(0.,-1.,0.)); #170534=DIRECTION('ref_axis',(0.99832664972956,0.,0.0578264683319998)); #170535=DIRECTION('',(0.,-1.,0.)); #170536=DIRECTION('center_axis',(0.,-1.,0.)); #170537=DIRECTION('ref_axis',(0.99832664972956,0.,0.0578264683319998)); #170538=DIRECTION('center_axis',(0.999788887293661,0.,-0.0205470397892975)); #170539=DIRECTION('ref_axis',(0.0205470397892975,0.,0.999788887293661)); #170540=DIRECTION('',(0.0205470397892975,0.,0.999788887293661)); #170541=DIRECTION('',(0.,-1.,0.)); #170542=DIRECTION('',(0.0205470397892975,0.,0.999788887293661)); #170543=DIRECTION('center_axis',(0.,-1.,0.)); #170544=DIRECTION('ref_axis',(0.970351681871426,0.,-0.241697359293177)); #170545=DIRECTION('center_axis',(0.,-1.,0.)); #170546=DIRECTION('ref_axis',(0.970351681871426,0.,-0.241697359293177)); #170547=DIRECTION('',(0.,-1.,0.)); #170548=DIRECTION('center_axis',(0.,-1.,0.)); #170549=DIRECTION('ref_axis',(0.970351681871426,0.,-0.241697359293177)); #170550=DIRECTION('center_axis',(0.947856934274658,0.,-0.318696143916815)); #170551=DIRECTION('ref_axis',(0.318696143916815,0.,0.947856934274658)); #170552=DIRECTION('',(0.318696143916815,0.,0.947856934274658)); #170553=DIRECTION('',(0.,-1.,0.)); #170554=DIRECTION('',(0.318696143916815,0.,0.947856934274658)); #170555=DIRECTION('center_axis',(0.906293652406418,0.,-0.422648572229737)); #170556=DIRECTION('ref_axis',(0.422648572229737,0.,0.906293652406418)); #170557=DIRECTION('',(0.422648572229737,0.,0.906293652406418)); #170558=DIRECTION('',(0.,-1.,0.)); #170559=DIRECTION('',(0.422648572229737,0.,0.906293652406418)); #170560=DIRECTION('center_axis',(0.852366864766777,0.,-0.522944287517948)); #170561=DIRECTION('ref_axis',(0.522944287517948,0.,0.852366864766777)); #170562=DIRECTION('',(0.522944287517948,0.,0.852366864766777)); #170563=DIRECTION('',(0.,-1.,0.)); #170564=DIRECTION('',(0.522944287517948,0.,0.852366864766777)); #170565=DIRECTION('center_axis',(0.787587590793661,0.,-0.616202715693331)); #170566=DIRECTION('ref_axis',(0.616202715693331,0.,0.787587590793661)); #170567=DIRECTION('',(0.616202715693331,0.,0.787587590793661)); #170568=DIRECTION('',(0.,-1.,0.)); #170569=DIRECTION('',(0.616202715693331,0.,0.787587590793661)); #170570=DIRECTION('center_axis',(0.714630732196902,0.,-0.699501906072971)); #170571=DIRECTION('ref_axis',(0.699501906072971,0.,0.714630732196902)); #170572=DIRECTION('',(0.699501906072971,0.,0.714630732196902)); #170573=DIRECTION('',(0.,-1.,0.)); #170574=DIRECTION('',(0.699501906072971,0.,0.714630732196902)); #170575=DIRECTION('center_axis',(0.,-1.,0.)); #170576=DIRECTION('ref_axis',(-0.0898977457791667,0.,-0.995951000453247)); #170577=DIRECTION('center_axis',(0.,-1.,0.)); #170578=DIRECTION('ref_axis',(-0.0898977457791667,0.,-0.995951000453247)); #170579=DIRECTION('',(0.,-1.,0.)); #170580=DIRECTION('center_axis',(0.,-1.,0.)); #170581=DIRECTION('ref_axis',(-0.0898977457791667,0.,-0.995951000453247)); #170582=DIRECTION('center_axis',(1.05600523717127E-5,0.,-0.999999999944243)); #170583=DIRECTION('ref_axis',(0.999999999944243,0.,1.05600523717127E-5)); #170584=DIRECTION('',(0.999999999944243,0.,1.05600523717127E-5)); #170585=DIRECTION('',(0.,-1.,0.)); #170586=DIRECTION('',(0.999999999944243,0.,1.05600523717127E-5)); #170587=DIRECTION('center_axis',(0.999999994239086,0.,-0.00010733977735609)); #170588=DIRECTION('ref_axis',(0.00010733977735609,0.,0.999999994239086)); #170589=DIRECTION('',(0.00010733977735609,0.,0.999999994239086)); #170590=DIRECTION('',(0.,-1.,0.)); #170591=DIRECTION('',(0.00010733977735609,0.,0.999999994239086)); #170592=DIRECTION('center_axis',(0.,-1.,0.)); #170593=DIRECTION('ref_axis',(0.00181091957682902,0.,-0.999998360283799)); #170594=DIRECTION('center_axis',(0.,1.,0.)); #170595=DIRECTION('ref_axis',(0.00181091957682902,0.,-0.999998360283799)); #170596=DIRECTION('',(0.,-1.,0.)); #170597=DIRECTION('center_axis',(0.,1.,0.)); #170598=DIRECTION('ref_axis',(0.00181091957682902,0.,-0.999998360283799)); #170599=DIRECTION('center_axis',(-0.999999999665795,0.,2.58536168147635E-5)); #170600=DIRECTION('ref_axis',(-2.58536168147635E-5,0.,-0.999999999665795)); #170601=DIRECTION('',(-2.58536168147635E-5,0.,-0.999999999665795)); #170602=DIRECTION('',(0.,-1.,0.)); #170603=DIRECTION('',(-2.58536168147635E-5,0.,-0.999999999665795)); #170604=DIRECTION('center_axis',(0.,-1.,0.)); #170605=DIRECTION('ref_axis',(-0.945567809381295,0.,0.325425134035249)); #170606=DIRECTION('center_axis',(0.,-1.,0.)); #170607=DIRECTION('ref_axis',(-0.945567809381295,0.,0.325425134035249)); #170608=DIRECTION('',(0.,-1.,0.)); #170609=DIRECTION('center_axis',(0.,-1.,0.)); #170610=DIRECTION('ref_axis',(-0.945567809381295,0.,0.325425134035249)); #170611=DIRECTION('center_axis',(-0.908600292944358,0.,0.417666742345411)); #170612=DIRECTION('ref_axis',(-0.417666742345411,0.,-0.908600292944358)); #170613=DIRECTION('',(-0.417666742345411,0.,-0.908600292944358)); #170614=DIRECTION('',(0.,-1.,0.)); #170615=DIRECTION('',(-0.417666742345411,0.,-0.908600292944358)); #170616=DIRECTION('center_axis',(-0.850723947268138,0.,0.525612752456139)); #170617=DIRECTION('ref_axis',(-0.525612752456139,0.,-0.850723947268138)); #170618=DIRECTION('',(-0.525612752456139,0.,-0.850723947268138)); #170619=DIRECTION('',(0.,-1.,0.)); #170620=DIRECTION('',(-0.525612752456139,0.,-0.850723947268138)); #170621=DIRECTION('center_axis',(-0.772664801161943,0.,0.634814228767263)); #170622=DIRECTION('ref_axis',(-0.634814228767263,0.,-0.772664801161943)); #170623=DIRECTION('',(-0.634814228767263,0.,-0.772664801161943)); #170624=DIRECTION('',(0.,-1.,0.)); #170625=DIRECTION('',(-0.634814228767263,0.,-0.772664801161943)); #170626=DIRECTION('center_axis',(-0.693678774132352,0.,0.720284498179877)); #170627=DIRECTION('ref_axis',(-0.720284498179877,0.,-0.693678774132352)); #170628=DIRECTION('',(-0.720284498179877,0.,-0.693678774132352)); #170629=DIRECTION('',(0.,-1.,0.)); #170630=DIRECTION('',(-0.720284498179877,0.,-0.693678774132352)); #170631=DIRECTION('center_axis',(-0.608790095256616,0.,0.793331343082725)); #170632=DIRECTION('ref_axis',(-0.793331343082725,0.,-0.608790095256616)); #170633=DIRECTION('',(-0.793331343082725,0.,-0.608790095256616)); #170634=DIRECTION('',(0.,-1.,0.)); #170635=DIRECTION('',(-0.793331343082725,0.,-0.608790095256616)); #170636=DIRECTION('center_axis',(-0.513978663983652,0.,0.857802968617841)); #170637=DIRECTION('ref_axis',(-0.857802968617841,0.,-0.513978663983652)); #170638=DIRECTION('',(-0.857802968617841,0.,-0.513978663983652)); #170639=DIRECTION('',(0.,-1.,0.)); #170640=DIRECTION('',(-0.857802968617841,0.,-0.513978663983652)); #170641=DIRECTION('center_axis',(-0.413319598604284,0.,0.910586025265924)); #170642=DIRECTION('ref_axis',(-0.910586025265924,0.,-0.413319598604284)); #170643=DIRECTION('',(-0.910586025265924,0.,-0.413319598604284)); #170644=DIRECTION('',(0.,-1.,0.)); #170645=DIRECTION('',(-0.910586025265924,0.,-0.413319598604284)); #170646=DIRECTION('center_axis',(-0.308417696292898,0.,0.951251031333676)); #170647=DIRECTION('ref_axis',(-0.951251031333676,0.,-0.308417696292898)); #170648=DIRECTION('',(-0.951251031333676,0.,-0.308417696292898)); #170649=DIRECTION('',(0.,-1.,0.)); #170650=DIRECTION('',(-0.951251031333676,0.,-0.308417696292898)); #170651=DIRECTION('center_axis',(-0.205736028125347,0.,0.978607524358569)); #170652=DIRECTION('ref_axis',(-0.978607524358569,0.,-0.205736028125347)); #170653=DIRECTION('',(-0.978607524358569,0.,-0.205736028125347)); #170654=DIRECTION('',(0.,-1.,0.)); #170655=DIRECTION('',(-0.978607524358569,0.,-0.205736028125347)); #170656=DIRECTION('center_axis',(0.,-1.,0.)); #170657=DIRECTION('ref_axis',(0.110364465580687,0.,0.993891183549331)); #170658=DIRECTION('center_axis',(0.,-1.,0.)); #170659=DIRECTION('ref_axis',(0.110364465580687,0.,0.993891183549331)); #170660=DIRECTION('',(0.,-1.,0.)); #170661=DIRECTION('center_axis',(0.,-1.,0.)); #170662=DIRECTION('ref_axis',(0.110364465580687,0.,0.993891183549331)); #170663=DIRECTION('center_axis',(0.174942526545196,0.,0.984578647140991)); #170664=DIRECTION('ref_axis',(-0.984578647140991,0.,0.174942526545196)); #170665=DIRECTION('',(-0.984578647140991,0.,0.174942526545196)); #170666=DIRECTION('',(0.,-1.,0.)); #170667=DIRECTION('',(-0.984578647140991,0.,0.174942526545196)); #170668=DIRECTION('center_axis',(0.277001309734172,0.,0.960869540783531)); #170669=DIRECTION('ref_axis',(-0.960869540783531,0.,0.277001309734172)); #170670=DIRECTION('',(-0.960869540783531,0.,0.277001309734172)); #170671=DIRECTION('',(0.,-1.,0.)); #170672=DIRECTION('',(-0.960869540783531,0.,0.277001309734172)); #170673=DIRECTION('center_axis',(0.381267075394457,0.,0.924464935635829)); #170674=DIRECTION('ref_axis',(-0.924464935635829,0.,0.381267075394457)); #170675=DIRECTION('',(-0.924464935635829,0.,0.381267075394457)); #170676=DIRECTION('',(0.,-1.,0.)); #170677=DIRECTION('',(-0.924464935635829,0.,0.381267075394457)); #170678=DIRECTION('center_axis',(0.48343395208044,0.,0.875380839392711)); #170679=DIRECTION('ref_axis',(-0.87538083939271,0.,0.48343395208044)); #170680=DIRECTION('',(-0.87538083939271,0.,0.48343395208044)); #170681=DIRECTION('',(0.,-1.,0.)); #170682=DIRECTION('',(-0.87538083939271,0.,0.48343395208044)); #170683=DIRECTION('center_axis',(0.580666991060848,0.,0.814141170493386)); #170684=DIRECTION('ref_axis',(-0.814141170493386,0.,0.580666991060848)); #170685=DIRECTION('',(-0.814141170493386,0.,0.580666991060848)); #170686=DIRECTION('',(0.,-1.,0.)); #170687=DIRECTION('',(-0.814141170493386,0.,0.580666991060848)); #170688=DIRECTION('center_axis',(0.668358915518048,0.,0.743838934210585)); #170689=DIRECTION('ref_axis',(-0.743838934210585,0.,0.668358915518048)); #170690=DIRECTION('',(-0.743838934210585,0.,0.668358915518048)); #170691=DIRECTION('',(0.,-1.,0.)); #170692=DIRECTION('',(-0.743838934210585,0.,0.668358915518048)); #170693=DIRECTION('center_axis',(0.746830135906068,0.,0.665014848031624)); #170694=DIRECTION('ref_axis',(-0.665014848031624,0.,0.746830135906068)); #170695=DIRECTION('',(-0.665014848031624,0.,0.746830135906068)); #170696=DIRECTION('',(0.,-1.,0.)); #170697=DIRECTION('',(-0.665014848031624,0.,0.746830135906068)); #170698=DIRECTION('center_axis',(0.827044403000371,0.,0.562136598582373)); #170699=DIRECTION('ref_axis',(-0.562136598582373,0.,0.827044403000371)); #170700=DIRECTION('',(-0.562136598582373,0.,0.827044403000371)); #170701=DIRECTION('',(0.,-1.,0.)); #170702=DIRECTION('',(-0.562136598582373,0.,0.827044403000371)); #170703=DIRECTION('center_axis',(0.,-1.,0.)); #170704=DIRECTION('ref_axis',(0.999640859195363,0.,0.0267983698600715)); #170705=DIRECTION('center_axis',(0.,-1.,0.)); #170706=DIRECTION('ref_axis',(0.999640859195363,0.,0.0267983698600715)); #170707=DIRECTION('',(0.,-1.,0.)); #170708=DIRECTION('center_axis',(0.,-1.,0.)); #170709=DIRECTION('ref_axis',(0.999640859195363,0.,0.0267983698600715)); #170710=DIRECTION('center_axis',(0.,-1.,0.)); #170711=DIRECTION('ref_axis',(0.998821574731239,0.,-0.0485331005748371)); #170712=DIRECTION('center_axis',(0.,-1.,0.)); #170713=DIRECTION('ref_axis',(0.998821574731239,0.,-0.0485331005748371)); #170714=DIRECTION('',(0.,-1.,0.)); #170715=DIRECTION('center_axis',(0.,-1.,0.)); #170716=DIRECTION('ref_axis',(0.998821574731239,0.,-0.0485331005748371)); #170717=DIRECTION('center_axis',(0.985484012970235,0.,-0.169768254335379)); #170718=DIRECTION('ref_axis',(0.169768254335379,0.,0.985484012970235)); #170719=DIRECTION('',(0.169768254335379,0.,0.985484012970235)); #170720=DIRECTION('',(0.,-1.,0.)); #170721=DIRECTION('',(0.169768254335379,0.,0.985484012970235)); #170722=DIRECTION('center_axis',(-6.16113035022299E-5,0.,-0.999999998102024)); #170723=DIRECTION('ref_axis',(0.999999998102024,0.,-6.16113035022299E-5)); #170724=DIRECTION('',(0.999999998102024,0.,-6.16113035022299E-5)); #170725=DIRECTION('',(0.,-1.,0.)); #170726=DIRECTION('',(0.999999998102024,0.,-6.16113035022299E-5)); #170727=DIRECTION('center_axis',(-0.041189656269793,0.,-0.999151346001383)); #170728=DIRECTION('ref_axis',(0.999151346001383,0.,-0.041189656269793)); #170729=DIRECTION('',(0.999151346001383,0.,-0.041189656269793)); #170730=DIRECTION('',(0.,-1.,0.)); #170731=DIRECTION('',(0.999151346001383,0.,-0.041189656269793)); #170732=DIRECTION('center_axis',(-1.,0.,0.)); #170733=DIRECTION('ref_axis',(0.,0.,-1.)); #170734=DIRECTION('',(0.,0.,-1.)); #170735=DIRECTION('',(0.,-1.,0.)); #170736=DIRECTION('',(0.,0.,-1.)); #170737=DIRECTION('center_axis',(0.,-1.,0.)); #170738=DIRECTION('ref_axis',(-0.980642405232208,0.,0.195807234443446)); #170739=DIRECTION('center_axis',(0.,-1.,0.)); #170740=DIRECTION('ref_axis',(-0.980642405232208,0.,0.195807234443446)); #170741=DIRECTION('',(0.,-1.,0.)); #170742=DIRECTION('center_axis',(0.,-1.,0.)); #170743=DIRECTION('ref_axis',(-0.980642405232208,0.,0.195807234443446)); #170744=DIRECTION('center_axis',(0.,-1.,0.)); #170745=DIRECTION('ref_axis',(-0.913910694085771,0.,0.405915315349968)); #170746=DIRECTION('center_axis',(0.,-1.,0.)); #170747=DIRECTION('ref_axis',(-0.913910694085771,0.,0.405915315349968)); #170748=DIRECTION('',(0.,-1.,0.)); #170749=DIRECTION('center_axis',(0.,-1.,0.)); #170750=DIRECTION('ref_axis',(-0.913910694085771,0.,0.405915315349968)); #170751=DIRECTION('center_axis',(-0.879403229899885,0.,0.476077681940301)); #170752=DIRECTION('ref_axis',(-0.476077681940301,0.,-0.879403229899885)); #170753=DIRECTION('',(-0.476077681940301,0.,-0.879403229899885)); #170754=DIRECTION('',(0.,-1.,0.)); #170755=DIRECTION('',(-0.476077681940301,0.,-0.879403229899885)); #170756=DIRECTION('center_axis',(-0.812784507856379,0.,0.582564454621687)); #170757=DIRECTION('ref_axis',(-0.582564454621687,0.,-0.812784507856379)); #170758=DIRECTION('',(-0.582564454621687,0.,-0.812784507856379)); #170759=DIRECTION('',(0.,-1.,0.)); #170760=DIRECTION('',(-0.582564454621687,0.,-0.812784507856379)); #170761=DIRECTION('center_axis',(-0.714085689157817,0.,0.70005830367192)); #170762=DIRECTION('ref_axis',(-0.70005830367192,0.,-0.714085689157817)); #170763=DIRECTION('',(-0.70005830367192,0.,-0.714085689157817)); #170764=DIRECTION('',(0.,-1.,0.)); #170765=DIRECTION('',(-0.70005830367192,0.,-0.714085689157817)); #170766=DIRECTION('center_axis',(-0.637101926729727,0.,0.770779563141933)); #170767=DIRECTION('ref_axis',(-0.770779563141933,0.,-0.637101926729727)); #170768=DIRECTION('',(-0.770779563141933,0.,-0.637101926729727)); #170769=DIRECTION('',(0.,-1.,0.)); #170770=DIRECTION('',(-0.770779563141933,0.,-0.637101926729727)); #170771=DIRECTION('center_axis',(0.,-1.,0.)); #170772=DIRECTION('ref_axis',(-0.44669226445631,0.,0.894687666660771)); #170773=DIRECTION('center_axis',(0.,-1.,0.)); #170774=DIRECTION('ref_axis',(-0.44669226445631,0.,0.894687666660771)); #170775=DIRECTION('',(0.,-1.,0.)); #170776=DIRECTION('center_axis',(0.,-1.,0.)); #170777=DIRECTION('ref_axis',(-0.44669226445631,0.,0.894687666660771)); #170778=DIRECTION('center_axis',(-0.369663520952772,0.,0.929165690970561)); #170779=DIRECTION('ref_axis',(-0.929165690970561,0.,-0.369663520952772)); #170780=DIRECTION('',(-0.929165690970561,0.,-0.369663520952772)); #170781=DIRECTION('',(0.,-1.,0.)); #170782=DIRECTION('',(-0.929165690970561,0.,-0.369663520952772)); #170783=DIRECTION('center_axis',(-0.26780220684195,0.,0.963473911432262)); #170784=DIRECTION('ref_axis',(-0.963473911432262,0.,-0.26780220684195)); #170785=DIRECTION('',(-0.963473911432262,0.,-0.26780220684195)); #170786=DIRECTION('',(0.,-1.,0.)); #170787=DIRECTION('',(-0.963473911432262,0.,-0.26780220684195)); #170788=DIRECTION('center_axis',(-0.1638458889481,0.,0.986485947530327)); #170789=DIRECTION('ref_axis',(-0.986485947530327,0.,-0.1638458889481)); #170790=DIRECTION('',(-0.986485947530327,0.,-0.1638458889481)); #170791=DIRECTION('',(0.,-1.,0.)); #170792=DIRECTION('',(-0.986485947530327,0.,-0.1638458889481)); #170793=DIRECTION('center_axis',(-0.0639965368910349,0.,0.997950120630262)); #170794=DIRECTION('ref_axis',(-0.997950120630262,0.,-0.0639965368910349)); #170795=DIRECTION('',(-0.997950120630262,0.,-0.0639965368910349)); #170796=DIRECTION('',(0.,-1.,0.)); #170797=DIRECTION('',(-0.997950120630262,0.,-0.0639965368910349)); #170798=DIRECTION('center_axis',(0.0296268089105893,0.,0.999561029749447)); #170799=DIRECTION('ref_axis',(-0.999561029749447,0.,0.0296268089105893)); #170800=DIRECTION('',(-0.999561029749447,0.,0.0296268089105893)); #170801=DIRECTION('',(0.,-1.,0.)); #170802=DIRECTION('',(-0.999561029749447,0.,0.0296268089105893)); #170803=DIRECTION('center_axis',(0.12306517333441,0.,0.992398590845519)); #170804=DIRECTION('ref_axis',(-0.992398590845519,0.,0.12306517333441)); #170805=DIRECTION('',(-0.992398590845519,0.,0.12306517333441)); #170806=DIRECTION('',(0.,-1.,0.)); #170807=DIRECTION('',(-0.992398590845519,0.,0.12306517333441)); #170808=DIRECTION('center_axis',(0.223686156681526,0.,0.974661224892551)); #170809=DIRECTION('ref_axis',(-0.974661224892551,0.,0.223686156681526)); #170810=DIRECTION('',(-0.974661224892551,0.,0.223686156681526)); #170811=DIRECTION('',(0.,-1.,0.)); #170812=DIRECTION('',(-0.974661224892551,0.,0.223686156681526)); #170813=DIRECTION('center_axis',(0.327341928736183,0.,0.944905953887092)); #170814=DIRECTION('ref_axis',(-0.944905953887092,0.,0.327341928736183)); #170815=DIRECTION('',(-0.944905953887092,0.,0.327341928736183)); #170816=DIRECTION('',(0.,-1.,0.)); #170817=DIRECTION('',(-0.944905953887092,0.,0.327341928736183)); #170818=DIRECTION('center_axis',(0.430193973298368,0.,0.902736476131192)); #170819=DIRECTION('ref_axis',(-0.902736476131192,0.,0.430193973298368)); #170820=DIRECTION('',(-0.902736476131192,0.,0.430193973298368)); #170821=DIRECTION('',(0.,-1.,0.)); #170822=DIRECTION('',(-0.902736476131192,0.,0.430193973298368)); #170823=DIRECTION('center_axis',(0.530503456791631,0.,0.84768277222799)); #170824=DIRECTION('ref_axis',(-0.84768277222799,0.,0.530503456791631)); #170825=DIRECTION('',(-0.84768277222799,0.,0.530503456791631)); #170826=DIRECTION('',(0.,-1.,0.)); #170827=DIRECTION('',(-0.84768277222799,0.,0.530503456791631)); #170828=DIRECTION('center_axis',(0.,-1.,0.)); #170829=DIRECTION('ref_axis',(0.970614630544124,0.,-0.240639229914189)); #170830=DIRECTION('center_axis',(0.,-1.,0.)); #170831=DIRECTION('ref_axis',(0.970614630544124,0.,-0.240639229914189)); #170832=DIRECTION('',(0.,-1.,0.)); #170833=DIRECTION('center_axis',(0.,-1.,0.)); #170834=DIRECTION('ref_axis',(0.970614630544124,0.,-0.240639229914189)); #170835=DIRECTION('center_axis',(0.999999997342167,0.,7.29086161474403E-5)); #170836=DIRECTION('ref_axis',(-7.29086161474403E-5,0.,0.999999997342167)); #170837=DIRECTION('',(-7.29086161474403E-5,0.,0.999999997342167)); #170838=DIRECTION('',(0.,-1.,0.)); #170839=DIRECTION('',(-7.29086161474403E-5,0.,0.999999997342167)); #170840=DIRECTION('center_axis',(0.000167352131903466,0.,0.999999985996632)); #170841=DIRECTION('ref_axis',(-0.999999985996632,0.,0.000167352131903466)); #170842=DIRECTION('',(-0.999999985996632,0.,0.000167352131903466)); #170843=DIRECTION('',(0.,-1.,0.)); #170844=DIRECTION('',(-0.999999985996632,0.,0.000167352131903466)); #170845=DIRECTION('center_axis',(-0.823655004340822,0.,0.567091204150021)); #170846=DIRECTION('ref_axis',(-0.567091204150021,0.,-0.823655004340822)); #170847=DIRECTION('',(-0.567091204150021,0.,-0.823655004340822)); #170848=DIRECTION('',(0.,-1.,0.)); #170849=DIRECTION('',(-0.567091204150021,0.,-0.823655004340822)); #170850=DIRECTION('center_axis',(0.,-1.,0.)); #170851=DIRECTION('ref_axis',(0.999947233286975,0.,0.0102728107995773)); #170852=DIRECTION('center_axis',(0.,1.,0.)); #170853=DIRECTION('ref_axis',(0.999947233286975,0.,0.0102728107995773)); #170854=DIRECTION('',(0.,-1.,0.)); #170855=DIRECTION('center_axis',(0.,1.,0.)); #170856=DIRECTION('ref_axis',(0.999947233286975,0.,0.0102728107995773)); #170857=DIRECTION('center_axis',(-0.000310730728909551,0.,-0.999999951723206)); #170858=DIRECTION('ref_axis',(0.999999951723206,0.,-0.000310730728909551)); #170859=DIRECTION('',(0.999999951723206,0.,-0.000310730728909551)); #170860=DIRECTION('',(0.,-1.,0.)); #170861=DIRECTION('',(0.999999951723206,0.,-0.000310730728909551)); #170862=DIRECTION('center_axis',(0.,-1.,0.)); #170863=DIRECTION('ref_axis',(-0.343017254109923,0.,-0.939329102808429)); #170864=DIRECTION('center_axis',(0.,-1.,0.)); #170865=DIRECTION('ref_axis',(-0.343017254109923,0.,-0.939329102808429)); #170866=DIRECTION('',(0.,-1.,0.)); #170867=DIRECTION('center_axis',(0.,-1.,0.)); #170868=DIRECTION('ref_axis',(-0.343017254109923,0.,-0.939329102808429)); #170869=DIRECTION('center_axis',(-0.436923270180826,0.,-0.899498780418569)); #170870=DIRECTION('ref_axis',(0.899498780418569,0.,-0.436923270180826)); #170871=DIRECTION('',(0.899498780418569,0.,-0.436923270180826)); #170872=DIRECTION('',(0.,-1.,0.)); #170873=DIRECTION('',(0.899498780418569,0.,-0.436923270180826)); #170874=DIRECTION('center_axis',(-0.545627770819361,0.,-0.838027646149395)); #170875=DIRECTION('ref_axis',(0.838027646149395,0.,-0.545627770819361)); #170876=DIRECTION('',(0.838027646149395,0.,-0.545627770819361)); #170877=DIRECTION('',(0.,-1.,0.)); #170878=DIRECTION('',(0.838027646149395,0.,-0.545627770819361)); #170879=DIRECTION('center_axis',(-0.648802140531491,0.,-0.760957148886687)); #170880=DIRECTION('ref_axis',(0.760957148886687,0.,-0.648802140531491)); #170881=DIRECTION('',(0.760957148886687,0.,-0.648802140531491)); #170882=DIRECTION('',(0.,-1.,0.)); #170883=DIRECTION('',(0.760957148886687,0.,-0.648802140531491)); #170884=DIRECTION('center_axis',(-0.722923334748595,0.,-0.690928253927982)); #170885=DIRECTION('ref_axis',(0.690928253927982,0.,-0.722923334748595)); #170886=DIRECTION('',(0.690928253927982,0.,-0.722923334748595)); #170887=DIRECTION('',(0.,-1.,0.)); #170888=DIRECTION('',(0.690928253927982,0.,-0.722923334748595)); #170889=DIRECTION('center_axis',(-0.79567604834722,0.,-0.605722400185558)); #170890=DIRECTION('ref_axis',(0.605722400185558,0.,-0.79567604834722)); #170891=DIRECTION('',(0.605722400185558,0.,-0.79567604834722)); #170892=DIRECTION('',(0.,-1.,0.)); #170893=DIRECTION('',(0.605722400185558,0.,-0.79567604834722)); #170894=DIRECTION('center_axis',(-0.859509168752183,0.,-0.511120327154899)); #170895=DIRECTION('ref_axis',(0.511120327154899,0.,-0.859509168752183)); #170896=DIRECTION('',(0.511120327154899,0.,-0.859509168752183)); #170897=DIRECTION('',(0.,-1.,0.)); #170898=DIRECTION('',(0.511120327154899,0.,-0.859509168752183)); #170899=DIRECTION('center_axis',(-0.912221626393281,0.,-0.409697088518331)); #170900=DIRECTION('ref_axis',(0.409697088518331,0.,-0.912221626393281)); #170901=DIRECTION('',(0.409697088518331,0.,-0.912221626393281)); #170902=DIRECTION('',(0.,-1.,0.)); #170903=DIRECTION('',(0.409697088518331,0.,-0.912221626393281)); #170904=DIRECTION('center_axis',(-0.952065612291387,0.,-0.305893886653894)); #170905=DIRECTION('ref_axis',(0.305893886653894,0.,-0.952065612291387)); #170906=DIRECTION('',(0.305893886653894,0.,-0.952065612291387)); #170907=DIRECTION('',(0.,-1.,0.)); #170908=DIRECTION('',(0.305893886653894,0.,-0.952065612291387)); #170909=DIRECTION('center_axis',(-0.97926496941381,0.,-0.202583611575493)); #170910=DIRECTION('ref_axis',(0.202583611575493,0.,-0.97926496941381)); #170911=DIRECTION('',(0.202583611575493,0.,-0.97926496941381)); #170912=DIRECTION('',(0.,-1.,0.)); #170913=DIRECTION('',(0.202583611575493,0.,-0.97926496941381)); #170914=DIRECTION('center_axis',(0.,-1.,0.)); #170915=DIRECTION('ref_axis',(-0.999924610488584,0.,0.0122789795689169)); #170916=DIRECTION('center_axis',(0.,-1.,0.)); #170917=DIRECTION('ref_axis',(-0.999924610488584,0.,0.0122789795689169)); #170918=DIRECTION('',(0.,-1.,0.)); #170919=DIRECTION('center_axis',(0.,-1.,0.)); #170920=DIRECTION('ref_axis',(-0.999924610488584,0.,0.0122789795689169)); #170921=DIRECTION('center_axis',(-0.996431147922531,0.,0.0844095222696284)); #170922=DIRECTION('ref_axis',(-0.0844095222696284,0.,-0.996431147922531)); #170923=DIRECTION('',(-0.0844095222696284,0.,-0.996431147922531)); #170924=DIRECTION('',(0.,-1.,0.)); #170925=DIRECTION('',(-0.0844095222696284,0.,-0.996431147922531)); #170926=DIRECTION('center_axis',(-0.982660238356694,0.,0.18541536061709)); #170927=DIRECTION('ref_axis',(-0.18541536061709,0.,-0.982660238356694)); #170928=DIRECTION('',(-0.18541536061709,0.,-0.982660238356694)); #170929=DIRECTION('',(0.,-1.,0.)); #170930=DIRECTION('',(-0.18541536061709,0.,-0.982660238356694)); #170931=DIRECTION('center_axis',(-0.957440167556092,0.,0.288631816593673)); #170932=DIRECTION('ref_axis',(-0.288631816593673,0.,-0.957440167556091)); #170933=DIRECTION('',(-0.288631816593673,0.,-0.957440167556091)); #170934=DIRECTION('',(0.,-1.,0.)); #170935=DIRECTION('',(-0.288631816593673,0.,-0.957440167556091)); #170936=DIRECTION('center_axis',(-0.920598694787702,0.,0.390509978304242)); #170937=DIRECTION('ref_axis',(-0.390509978304242,0.,-0.920598694787702)); #170938=DIRECTION('',(-0.390509978304242,0.,-0.920598694787702)); #170939=DIRECTION('',(0.,-1.,0.)); #170940=DIRECTION('',(-0.390509978304242,0.,-0.920598694787702)); #170941=DIRECTION('center_axis',(-0.873527016128442,0.,0.486775669167781)); #170942=DIRECTION('ref_axis',(-0.486775669167781,0.,-0.873527016128442)); #170943=DIRECTION('',(-0.486775669167781,0.,-0.873527016128442)); #170944=DIRECTION('',(0.,-1.,0.)); #170945=DIRECTION('',(-0.486775669167781,0.,-0.873527016128442)); #170946=DIRECTION('center_axis',(-0.818321727059564,0.,0.57476042924183)); #170947=DIRECTION('ref_axis',(-0.57476042924183,0.,-0.818321727059564)); #170948=DIRECTION('',(-0.57476042924183,0.,-0.818321727059564)); #170949=DIRECTION('',(0.,-1.,0.)); #170950=DIRECTION('',(-0.57476042924183,0.,-0.818321727059564)); #170951=DIRECTION('center_axis',(-0.757800246855806,0.,0.65248661738405)); #170952=DIRECTION('ref_axis',(-0.65248661738405,0.,-0.757800246855806)); #170953=DIRECTION('',(-0.65248661738405,0.,-0.757800246855806)); #170954=DIRECTION('',(0.,-1.,0.)); #170955=DIRECTION('',(-0.65248661738405,0.,-0.757800246855806)); #170956=DIRECTION('center_axis',(-0.686010884721697,0.,0.727591276777941)); #170957=DIRECTION('ref_axis',(-0.727591276777941,0.,-0.686010884721697)); #170958=DIRECTION('',(-0.727591276777941,0.,-0.686010884721697)); #170959=DIRECTION('',(0.,-1.,0.)); #170960=DIRECTION('',(-0.727591276777941,0.,-0.686010884721697)); #170961=DIRECTION('center_axis',(-0.577996160456602,0.,0.81603948341819)); #170962=DIRECTION('ref_axis',(-0.81603948341819,0.,-0.577996160456602)); #170963=DIRECTION('',(-0.81603948341819,0.,-0.577996160456602)); #170964=DIRECTION('',(0.,-1.,0.)); #170965=DIRECTION('',(-0.81603948341819,0.,-0.577996160456602)); #170966=DIRECTION('center_axis',(-0.471251196243453,0.,0.881999041971767)); #170967=DIRECTION('ref_axis',(-0.881999041971767,0.,-0.471251196243453)); #170968=DIRECTION('',(-0.881999041971767,0.,-0.471251196243453)); #170969=DIRECTION('',(0.,-1.,0.)); #170970=DIRECTION('',(-0.881999041971767,0.,-0.471251196243453)); #170971=DIRECTION('center_axis',(0.,-1.,0.)); #170972=DIRECTION('ref_axis',(-0.0608724496954749,0.,0.9981455529471)); #170973=DIRECTION('center_axis',(0.,-1.,0.)); #170974=DIRECTION('ref_axis',(-0.0608724496954749,0.,0.9981455529471)); #170975=DIRECTION('',(0.,-1.,0.)); #170976=DIRECTION('center_axis',(0.,-1.,0.)); #170977=DIRECTION('ref_axis',(-0.0608724496954749,0.,0.9981455529471)); #170978=DIRECTION('center_axis',(0.,-1.,0.)); #170979=DIRECTION('ref_axis',(0.0536279435945098,0.,0.998560986452918)); #170980=DIRECTION('center_axis',(0.,-1.,0.)); #170981=DIRECTION('ref_axis',(0.0536279435945098,0.,0.998560986452918)); #170982=DIRECTION('',(0.,-1.,0.)); #170983=DIRECTION('center_axis',(0.,-1.,0.)); #170984=DIRECTION('ref_axis',(0.0536279435945098,0.,0.998560986452918)); #170985=DIRECTION('center_axis',(0.,-1.,0.)); #170986=DIRECTION('ref_axis',(0.288226538027854,0.,0.957562250079063)); #170987=DIRECTION('center_axis',(0.,-1.,0.)); #170988=DIRECTION('ref_axis',(0.288226538027854,0.,0.957562250079063)); #170989=DIRECTION('',(0.,-1.,0.)); #170990=DIRECTION('center_axis',(0.,-1.,0.)); #170991=DIRECTION('ref_axis',(0.288226538027854,0.,0.957562250079063)); #170992=DIRECTION('center_axis',(0.380011045484254,0.,0.924981948640061)); #170993=DIRECTION('ref_axis',(-0.924981948640061,0.,0.380011045484254)); #170994=DIRECTION('',(-0.924981948640061,0.,0.380011045484254)); #170995=DIRECTION('',(0.,-1.,0.)); #170996=DIRECTION('',(-0.924981948640061,0.,0.380011045484254)); #170997=DIRECTION('center_axis',(0.483061279837169,0.,0.875586546220348)); #170998=DIRECTION('ref_axis',(-0.875586546220348,0.,0.483061279837169)); #170999=DIRECTION('',(-0.875586546220348,0.,0.483061279837169)); #171000=DIRECTION('',(0.,-1.,0.)); #171001=DIRECTION('',(-0.875586546220348,0.,0.483061279837169)); #171002=DIRECTION('center_axis',(0.590974397831311,0.,0.806690313012323)); #171003=DIRECTION('ref_axis',(-0.806690313012323,0.,0.590974397831311)); #171004=DIRECTION('',(-0.806690313012323,0.,0.590974397831311)); #171005=DIRECTION('',(0.,-1.,0.)); #171006=DIRECTION('',(-0.806690313012323,0.,0.590974397831311)); #171007=DIRECTION('center_axis',(0.696102685960555,0.,0.717942233469032)); #171008=DIRECTION('ref_axis',(-0.717942233469032,0.,0.696102685960555)); #171009=DIRECTION('',(-0.717942233469032,0.,0.696102685960555)); #171010=DIRECTION('',(0.,-1.,0.)); #171011=DIRECTION('',(-0.717942233469032,0.,0.696102685960555)); #171012=DIRECTION('center_axis',(0.76356544972958,0.,0.645730442196482)); #171013=DIRECTION('ref_axis',(-0.645730442196482,0.,0.76356544972958)); #171014=DIRECTION('',(-0.645730442196482,0.,0.76356544972958)); #171015=DIRECTION('',(-0.645730442196482,0.,0.76356544972958)); #171016=DIRECTION('center_axis',(0.,1.,0.)); #171017=DIRECTION('ref_axis',(1.,0.,0.)); #171018=DIRECTION('center_axis',(0.,-1.,0.)); #171019=DIRECTION('ref_axis',(-0.986190253549412,0.,-0.165616375410608)); #171020=DIRECTION('center_axis',(0.,-1.,0.)); #171021=DIRECTION('ref_axis',(-0.986190253549412,0.,-0.165616375410608)); #171022=DIRECTION('',(0.,-1.,0.)); #171023=DIRECTION('center_axis',(0.,-1.,0.)); #171024=DIRECTION('ref_axis',(-0.986190253549412,0.,-0.165616375410608)); #171025=DIRECTION('',(0.,-1.,0.)); #171026=DIRECTION('center_axis',(-0.99710412550637,0.,-0.0760484246922849)); #171027=DIRECTION('ref_axis',(0.0760484246922849,0.,-0.99710412550637)); #171028=DIRECTION('',(0.0760484246922849,0.,-0.99710412550637)); #171029=DIRECTION('',(0.,-1.,0.)); #171030=DIRECTION('',(0.0760484246922849,0.,-0.99710412550637)); #171031=DIRECTION('center_axis',(-0.999912718591083,0.,0.0132119339912381)); #171032=DIRECTION('ref_axis',(-0.0132119339912381,0.,-0.999912718591083)); #171033=DIRECTION('',(-0.0132119339912381,0.,-0.999912718591083)); #171034=DIRECTION('',(0.,-1.,0.)); #171035=DIRECTION('',(-0.0132119339912381,0.,-0.999912718591083)); #171036=DIRECTION('center_axis',(-0.994423263184045,0.,0.105462664665729)); #171037=DIRECTION('ref_axis',(-0.105462664665729,0.,-0.994423263184045)); #171038=DIRECTION('',(-0.105462664665729,0.,-0.994423263184045)); #171039=DIRECTION('',(0.,-1.,0.)); #171040=DIRECTION('',(-0.105462664665729,0.,-0.994423263184045)); #171041=DIRECTION('center_axis',(-0.978843427992674,0.,0.204610712025423)); #171042=DIRECTION('ref_axis',(-0.204610712025423,0.,-0.978843427992674)); #171043=DIRECTION('',(-0.204610712025423,0.,-0.978843427992674)); #171044=DIRECTION('',(0.,-1.,0.)); #171045=DIRECTION('',(-0.204610712025423,0.,-0.978843427992674)); #171046=DIRECTION('center_axis',(-0.951680597089609,0.,0.307089630439007)); #171047=DIRECTION('ref_axis',(-0.307089630439007,0.,-0.951680597089609)); #171048=DIRECTION('',(-0.307089630439007,0.,-0.951680597089609)); #171049=DIRECTION('',(0.,-1.,0.)); #171050=DIRECTION('',(-0.307089630439007,0.,-0.951680597089609)); #171051=DIRECTION('center_axis',(-0.911703231874617,0.,0.410849384798589)); #171052=DIRECTION('ref_axis',(-0.410849384798589,0.,-0.911703231874617)); #171053=DIRECTION('',(-0.410849384798589,0.,-0.911703231874617)); #171054=DIRECTION('',(0.,-1.,0.)); #171055=DIRECTION('',(-0.410849384798589,0.,-0.911703231874617)); #171056=DIRECTION('center_axis',(0.,-1.,0.)); #171057=DIRECTION('ref_axis',(-0.794062401418767,0.,0.607836246577202)); #171058=DIRECTION('center_axis',(0.,-1.,0.)); #171059=DIRECTION('ref_axis',(-0.794062401418767,0.,0.607836246577202)); #171060=DIRECTION('',(0.,-1.,0.)); #171061=DIRECTION('center_axis',(0.,-1.,0.)); #171062=DIRECTION('ref_axis',(-0.794062401418767,0.,0.607836246577202)); #171063=DIRECTION('center_axis',(-0.72484414765706,0.,0.688912883902827)); #171064=DIRECTION('ref_axis',(-0.688912883902827,0.,-0.72484414765706)); #171065=DIRECTION('',(-0.688912883902827,0.,-0.72484414765706)); #171066=DIRECTION('',(0.,-1.,0.)); #171067=DIRECTION('',(-0.688912883902827,0.,-0.72484414765706)); #171068=DIRECTION('center_axis',(-0.650153955170987,0.,0.75980249708429)); #171069=DIRECTION('ref_axis',(-0.75980249708429,0.,-0.650153955170987)); #171070=DIRECTION('',(-0.75980249708429,0.,-0.650153955170987)); #171071=DIRECTION('',(0.,-1.,0.)); #171072=DIRECTION('',(-0.75980249708429,0.,-0.650153955170987)); #171073=DIRECTION('center_axis',(-0.54006076923182,0.,0.841626024750147)); #171074=DIRECTION('ref_axis',(-0.841626024750147,0.,-0.54006076923182)); #171075=DIRECTION('',(-0.841626024750147,0.,-0.54006076923182)); #171076=DIRECTION('',(0.,-1.,0.)); #171077=DIRECTION('',(-0.841626024750147,0.,-0.54006076923182)); #171078=DIRECTION('center_axis',(0.,-1.,0.)); #171079=DIRECTION('ref_axis',(0.213341167643152,0.,0.97697776135829)); #171080=DIRECTION('center_axis',(0.,-1.,0.)); #171081=DIRECTION('ref_axis',(0.213341167643152,0.,0.97697776135829)); #171082=DIRECTION('',(0.,-1.,0.)); #171083=DIRECTION('center_axis',(0.,-1.,0.)); #171084=DIRECTION('ref_axis',(0.213341167643152,0.,0.97697776135829)); #171085=DIRECTION('center_axis',(0.,-1.,0.)); #171086=DIRECTION('ref_axis',(0.306906865700969,0.,0.951739552496168)); #171087=DIRECTION('center_axis',(0.,-1.,0.)); #171088=DIRECTION('ref_axis',(0.306906865700969,0.,0.951739552496168)); #171089=DIRECTION('',(0.,-1.,0.)); #171090=DIRECTION('center_axis',(0.,-1.,0.)); #171091=DIRECTION('ref_axis',(0.306906865700969,0.,0.951739552496168)); #171092=DIRECTION('center_axis',(0.391445832242557,0.,0.920201152150948)); #171093=DIRECTION('ref_axis',(-0.920201152150948,0.,0.391445832242557)); #171094=DIRECTION('',(-0.920201152150948,0.,0.391445832242557)); #171095=DIRECTION('',(0.,-1.,0.)); #171096=DIRECTION('',(-0.920201152150948,0.,0.391445832242557)); #171097=DIRECTION('center_axis',(0.495854986683394,0.,0.868405338641588)); #171098=DIRECTION('ref_axis',(-0.868405338641588,0.,0.495854986683394)); #171099=DIRECTION('',(-0.868405338641588,0.,0.495854986683394)); #171100=DIRECTION('',(0.,-1.,0.)); #171101=DIRECTION('',(-0.868405338641588,0.,0.495854986683394)); #171102=DIRECTION('center_axis',(0.606423926573227,0.,0.795141510222872)); #171103=DIRECTION('ref_axis',(-0.795141510222872,0.,0.606423926573227)); #171104=DIRECTION('',(-0.795141510222872,0.,0.606423926573227)); #171105=DIRECTION('',(0.,-1.,0.)); #171106=DIRECTION('',(-0.795141510222872,0.,0.606423926573227)); #171107=DIRECTION('center_axis',(0.707548860944857,0.,0.706664424869142)); #171108=DIRECTION('ref_axis',(-0.706664424869142,0.,0.707548860944857)); #171109=DIRECTION('',(-0.706664424869142,0.,0.707548860944857)); #171110=DIRECTION('',(0.,-1.,0.)); #171111=DIRECTION('',(-0.706664424869142,0.,0.707548860944857)); #171112=DIRECTION('center_axis',(0.77225187416959,0.,0.635316490295629)); #171113=DIRECTION('ref_axis',(-0.635316490295629,0.,0.77225187416959)); #171114=DIRECTION('',(-0.635316490295629,0.,0.77225187416959)); #171115=DIRECTION('',(0.,-1.,0.)); #171116=DIRECTION('',(-0.635316490295629,0.,0.77225187416959)); #171117=DIRECTION('center_axis',(0.831476702075968,0.,0.555559622277277)); #171118=DIRECTION('ref_axis',(-0.555559622277277,0.,0.831476702075969)); #171119=DIRECTION('',(-0.555559622277277,0.,0.831476702075969)); #171120=DIRECTION('',(0.,-1.,0.)); #171121=DIRECTION('',(-0.555559622277277,0.,0.831476702075969)); #171122=DIRECTION('center_axis',(0.885245119825058,0.,0.465124798119729)); #171123=DIRECTION('ref_axis',(-0.465124798119729,0.,0.885245119825058)); #171124=DIRECTION('',(-0.465124798119729,0.,0.885245119825058)); #171125=DIRECTION('',(0.,-1.,0.)); #171126=DIRECTION('',(-0.465124798119729,0.,0.885245119825058)); #171127=DIRECTION('center_axis',(0.,-1.,0.)); #171128=DIRECTION('ref_axis',(0.982483240262277,0.,0.18635096620017)); #171129=DIRECTION('center_axis',(0.,-1.,0.)); #171130=DIRECTION('ref_axis',(0.982483240262277,0.,0.18635096620017)); #171131=DIRECTION('',(0.,-1.,0.)); #171132=DIRECTION('center_axis',(0.,-1.,0.)); #171133=DIRECTION('ref_axis',(0.982483240262277,0.,0.18635096620017)); #171134=DIRECTION('center_axis',(0.998065379946043,0.,0.0621731240421508)); #171135=DIRECTION('ref_axis',(-0.0621731240421508,0.,0.998065379946043)); #171136=DIRECTION('',(-0.0621731240421508,0.,0.998065379946043)); #171137=DIRECTION('',(0.,-1.,0.)); #171138=DIRECTION('',(-0.0621731240421508,0.,0.998065379946043)); #171139=DIRECTION('center_axis',(0.999488125474247,0.,-0.0319919839331171)); #171140=DIRECTION('ref_axis',(0.0319919839331171,0.,0.999488125474246)); #171141=DIRECTION('',(0.0319919839331171,0.,0.999488125474246)); #171142=DIRECTION('',(0.,-1.,0.)); #171143=DIRECTION('',(0.0319919839331171,0.,0.999488125474246)); #171144=DIRECTION('center_axis',(0.991999388411908,0.,-0.12624267658126)); #171145=DIRECTION('ref_axis',(0.12624267658126,0.,0.991999388411908)); #171146=DIRECTION('',(0.12624267658126,0.,0.991999388411908)); #171147=DIRECTION('',(0.,-1.,0.)); #171148=DIRECTION('',(0.12624267658126,0.,0.991999388411908)); #171149=DIRECTION('center_axis',(0.974111285127693,0.,-0.226069025270768)); #171150=DIRECTION('ref_axis',(0.226069025270768,0.,0.974111285127693)); #171151=DIRECTION('',(0.226069025270768,0.,0.974111285127693)); #171152=DIRECTION('',(0.,-1.,0.)); #171153=DIRECTION('',(0.226069025270768,0.,0.974111285127693)); #171154=DIRECTION('center_axis',(0.944183577488115,0.,-0.329419750473078)); #171155=DIRECTION('ref_axis',(0.329419750473078,0.,0.944183577488115)); #171156=DIRECTION('',(0.329419750473078,0.,0.944183577488115)); #171157=DIRECTION('',(0.,-1.,0.)); #171158=DIRECTION('',(0.329419750473078,0.,0.944183577488115)); #171159=DIRECTION('center_axis',(0.901944719851856,0.,-0.431851504954373)); #171160=DIRECTION('ref_axis',(0.431851504954373,0.,0.901944719851856)); #171161=DIRECTION('',(0.431851504954373,0.,0.901944719851856)); #171162=DIRECTION('',(0.,-1.,0.)); #171163=DIRECTION('',(0.431851504954373,0.,0.901944719851856)); #171164=DIRECTION('center_axis',(0.847576649557394,0.,-0.530672990762732)); #171165=DIRECTION('ref_axis',(0.530672990762732,0.,0.847576649557394)); #171166=DIRECTION('',(0.530672990762732,0.,0.847576649557394)); #171167=DIRECTION('',(0.,-1.,0.)); #171168=DIRECTION('',(0.530672990762732,0.,0.847576649557394)); #171169=DIRECTION('center_axis',(0.783000716794392,0.,-0.622020801500615)); #171170=DIRECTION('ref_axis',(0.622020801500615,0.,0.783000716794392)); #171171=DIRECTION('',(0.622020801500615,0.,0.783000716794392)); #171172=DIRECTION('',(0.,-1.,0.)); #171173=DIRECTION('',(0.622020801500615,0.,0.783000716794392)); #171174=DIRECTION('center_axis',(0.709393654469969,0.,-0.704812487827609)); #171175=DIRECTION('ref_axis',(0.704812487827609,0.,0.709393654469969)); #171176=DIRECTION('',(0.704812487827609,0.,0.709393654469969)); #171177=DIRECTION('',(0.,-1.,0.)); #171178=DIRECTION('',(0.704812487827609,0.,0.709393654469969)); #171179=DIRECTION('center_axis',(0.620174040025388,0.,-0.784464250350893)); #171180=DIRECTION('ref_axis',(0.784464250350893,0.,0.620174040025388)); #171181=DIRECTION('',(0.784464250350893,0.,0.620174040025388)); #171182=DIRECTION('',(0.,-1.,0.)); #171183=DIRECTION('',(0.784464250350893,0.,0.620174040025388)); #171184=DIRECTION('center_axis',(0.515069698903431,0.,-0.857148298295884)); #171185=DIRECTION('ref_axis',(0.857148298295884,0.,0.515069698903431)); #171186=DIRECTION('',(0.857148298295884,0.,0.515069698903431)); #171187=DIRECTION('',(0.,-1.,0.)); #171188=DIRECTION('',(0.857148298295884,0.,0.515069698903431)); #171189=DIRECTION('center_axis',(0.409976660749956,0.,-0.912096013389115)); #171190=DIRECTION('ref_axis',(0.912096013389115,0.,0.409976660749956)); #171191=DIRECTION('',(0.912096013389115,0.,0.409976660749956)); #171192=DIRECTION('',(0.,-1.,0.)); #171193=DIRECTION('',(0.912096013389115,0.,0.409976660749956)); #171194=DIRECTION('center_axis',(0.312958343766013,0.,-0.949766853005112)); #171195=DIRECTION('ref_axis',(0.949766853005112,0.,0.312958343766013)); #171196=DIRECTION('',(0.949766853005112,0.,0.312958343766013)); #171197=DIRECTION('',(0.,-1.,0.)); #171198=DIRECTION('',(0.949766853005112,0.,0.312958343766013)); #171199=DIRECTION('center_axis',(0.,-1.,0.)); #171200=DIRECTION('ref_axis',(-0.00691618727545159,0.,-0.999976082890771)); #171201=DIRECTION('center_axis',(0.,-1.,0.)); #171202=DIRECTION('ref_axis',(-0.00691618727545159,0.,-0.999976082890771)); #171203=DIRECTION('',(0.,-1.,0.)); #171204=DIRECTION('center_axis',(0.,-1.,0.)); #171205=DIRECTION('ref_axis',(-0.00691618727545159,0.,-0.999976082890771)); #171206=DIRECTION('center_axis',(7.96812747382009E-5,0.,-0.999999996825447)); #171207=DIRECTION('ref_axis',(0.999999996825447,0.,7.96812747382009E-5)); #171208=DIRECTION('',(0.999999996825447,0.,7.96812747382009E-5)); #171209=DIRECTION('',(0.,-1.,0.)); #171210=DIRECTION('',(0.999999996825447,0.,7.96812747382009E-5)); #171211=DIRECTION('center_axis',(0.,-1.,0.)); #171212=DIRECTION('ref_axis',(0.919053886658703,0.,-0.39413189850294)); #171213=DIRECTION('center_axis',(0.,-1.,0.)); #171214=DIRECTION('ref_axis',(0.919053886658703,0.,-0.39413189850294)); #171215=DIRECTION('',(0.,-1.,0.)); #171216=DIRECTION('center_axis',(0.,-1.,0.)); #171217=DIRECTION('ref_axis',(0.919053886658703,0.,-0.39413189850294)); #171218=DIRECTION('center_axis',(0.,-1.,0.)); #171219=DIRECTION('ref_axis',(-0.201398639283843,0.,-0.979509360901986)); #171220=DIRECTION('center_axis',(0.,-1.,0.)); #171221=DIRECTION('ref_axis',(-0.201398639283843,0.,-0.979509360901986)); #171222=DIRECTION('',(0.,-1.,0.)); #171223=DIRECTION('center_axis',(0.,-1.,0.)); #171224=DIRECTION('ref_axis',(-0.201398639283843,0.,-0.979509360901986)); #171225=DIRECTION('center_axis',(0.,-1.,0.)); #171226=DIRECTION('ref_axis',(-0.997842962717459,0.,0.0656461861439353)); #171227=DIRECTION('center_axis',(0.,-1.,0.)); #171228=DIRECTION('ref_axis',(-0.997842962717459,0.,0.0656461861439353)); #171229=DIRECTION('',(0.,-1.,0.)); #171230=DIRECTION('center_axis',(0.,-1.,0.)); #171231=DIRECTION('ref_axis',(-0.997842962717459,0.,0.0656461861439353)); #171232=DIRECTION('center_axis',(-0.985638505407732,0.,-0.168868992587784)); #171233=DIRECTION('ref_axis',(0.168868992587784,0.,-0.985638505407732)); #171234=DIRECTION('',(0.168868992587784,0.,-0.985638505407732)); #171235=DIRECTION('',(0.,-1.,0.)); #171236=DIRECTION('',(0.168868992587784,0.,-0.985638505407732)); #171237=DIRECTION('center_axis',(0.,-1.,0.)); #171238=DIRECTION('ref_axis',(0.317562628085588,0.,0.948237300069648)); #171239=DIRECTION('center_axis',(0.,1.,0.)); #171240=DIRECTION('ref_axis',(0.317562628085588,0.,0.948237300069648)); #171241=DIRECTION('',(0.,-1.,0.)); #171242=DIRECTION('center_axis',(0.,1.,0.)); #171243=DIRECTION('ref_axis',(0.317562628085588,0.,0.948237300069648)); #171244=DIRECTION('center_axis',(0.,-1.,0.)); #171245=DIRECTION('ref_axis',(-0.72004752995648,0.,0.693924747075338)); #171246=DIRECTION('center_axis',(0.,1.,0.)); #171247=DIRECTION('ref_axis',(-0.72004752995648,0.,0.693924747075338)); #171248=DIRECTION('',(0.,-1.,0.)); #171249=DIRECTION('center_axis',(0.,1.,0.)); #171250=DIRECTION('ref_axis',(-0.72004752995648,0.,0.693924747075338)); #171251=DIRECTION('center_axis',(0.,-1.,0.)); #171252=DIRECTION('ref_axis',(-0.993568591949914,0.,-0.1132318554589)); #171253=DIRECTION('center_axis',(0.,1.,0.)); #171254=DIRECTION('ref_axis',(-0.993568591949914,0.,-0.1132318554589)); #171255=DIRECTION('',(0.,-1.,0.)); #171256=DIRECTION('center_axis',(0.,1.,0.)); #171257=DIRECTION('ref_axis',(-0.993568591949914,0.,-0.1132318554589)); #171258=DIRECTION('center_axis',(0.,-1.,0.)); #171259=DIRECTION('ref_axis',(-0.341672790705624,0.,-0.939818974106945)); #171260=DIRECTION('center_axis',(0.,1.,0.)); #171261=DIRECTION('ref_axis',(-0.341672790705624,0.,-0.939818974106945)); #171262=DIRECTION('',(0.,-1.,0.)); #171263=DIRECTION('center_axis',(0.,1.,0.)); #171264=DIRECTION('ref_axis',(-0.341672790705624,0.,-0.939818974106945)); #171265=DIRECTION('center_axis',(0.167205064417629,0.,0.985922140147535)); #171266=DIRECTION('ref_axis',(-0.985922140147535,0.,0.167205064417629)); #171267=DIRECTION('',(-0.985922140147535,0.,0.167205064417629)); #171268=DIRECTION('',(0.,-1.,0.)); #171269=DIRECTION('',(-0.985922140147535,0.,0.167205064417629)); #171270=DIRECTION('center_axis',(0.,-1.,0.)); #171271=DIRECTION('ref_axis',(0.173285947206167,0.,0.984871555331385)); #171272=DIRECTION('center_axis',(0.,-1.,0.)); #171273=DIRECTION('ref_axis',(0.173285947206167,0.,0.984871555331385)); #171274=DIRECTION('',(0.,-1.,0.)); #171275=DIRECTION('center_axis',(0.,-1.,0.)); #171276=DIRECTION('ref_axis',(0.173285947206167,0.,0.984871555331385)); #171277=DIRECTION('center_axis',(0.,-1.,0.)); #171278=DIRECTION('ref_axis',(0.332575865504103,0.,0.943076504682519)); #171279=DIRECTION('center_axis',(0.,-1.,0.)); #171280=DIRECTION('ref_axis',(0.332575865504103,0.,0.943076504682519)); #171281=DIRECTION('',(0.,-1.,0.)); #171282=DIRECTION('center_axis',(0.,-1.,0.)); #171283=DIRECTION('ref_axis',(0.332575865504103,0.,0.943076504682519)); #171284=DIRECTION('center_axis',(0.408432760743619,0.,0.912788409189855)); #171285=DIRECTION('ref_axis',(-0.912788409189855,0.,0.408432760743619)); #171286=DIRECTION('',(-0.912788409189855,0.,0.408432760743619)); #171287=DIRECTION('',(0.,-1.,0.)); #171288=DIRECTION('',(-0.912788409189855,0.,0.408432760743619)); #171289=DIRECTION('center_axis',(0.509584211380215,0.,0.860420787470877)); #171290=DIRECTION('ref_axis',(-0.860420787470877,0.,0.509584211380215)); #171291=DIRECTION('',(-0.860420787470877,0.,0.509584211380215)); #171292=DIRECTION('',(0.,-1.,0.)); #171293=DIRECTION('',(-0.860420787470877,0.,0.509584211380215)); #171294=DIRECTION('center_axis',(0.615530358867441,0.,0.788113175446597)); #171295=DIRECTION('ref_axis',(-0.788113175446597,0.,0.615530358867441)); #171296=DIRECTION('',(-0.788113175446597,0.,0.615530358867441)); #171297=DIRECTION('',(0.,-1.,0.)); #171298=DIRECTION('',(-0.788113175446597,0.,0.615530358867441)); #171299=DIRECTION('center_axis',(0.,-1.,0.)); #171300=DIRECTION('ref_axis',(0.771796568287179,0.,0.635869528425552)); #171301=DIRECTION('center_axis',(0.,-1.,0.)); #171302=DIRECTION('ref_axis',(0.771796568287179,0.,0.635869528425552)); #171303=DIRECTION('',(0.,-1.,0.)); #171304=DIRECTION('center_axis',(0.,-1.,0.)); #171305=DIRECTION('ref_axis',(0.771796568287179,0.,0.635869528425552)); #171306=DIRECTION('center_axis',(0.833624695964808,0.,0.552331301193026)); #171307=DIRECTION('ref_axis',(-0.552331301193026,0.,0.833624695964808)); #171308=DIRECTION('',(-0.552331301193026,0.,0.833624695964808)); #171309=DIRECTION('',(0.,-1.,0.)); #171310=DIRECTION('',(-0.552331301193026,0.,0.833624695964808)); #171311=DIRECTION('center_axis',(0.887680537797194,0.,0.460459838439883)); #171312=DIRECTION('ref_axis',(-0.460459838439883,0.,0.887680537797194)); #171313=DIRECTION('',(-0.460459838439883,0.,0.887680537797194)); #171314=DIRECTION('',(0.,-1.,0.)); #171315=DIRECTION('',(-0.460459838439883,0.,0.887680537797194)); #171316=DIRECTION('center_axis',(0.931371381120005,0.,0.364070529472813)); #171317=DIRECTION('ref_axis',(-0.364070529472813,0.,0.931371381120005)); #171318=DIRECTION('',(-0.364070529472813,0.,0.931371381120005)); #171319=DIRECTION('',(0.,-1.,0.)); #171320=DIRECTION('',(-0.364070529472813,0.,0.931371381120005)); #171321=DIRECTION('center_axis',(0.964340416652766,0.,0.264664997326752)); #171322=DIRECTION('ref_axis',(-0.264664997326752,0.,0.964340416652766)); #171323=DIRECTION('',(-0.264664997326752,0.,0.964340416652766)); #171324=DIRECTION('',(0.,-1.,0.)); #171325=DIRECTION('',(-0.264664997326752,0.,0.964340416652766)); #171326=DIRECTION('center_axis',(0.98569805017216,0.,0.168521078464395)); #171327=DIRECTION('ref_axis',(-0.168521078464395,0.,0.98569805017216)); #171328=DIRECTION('',(-0.168521078464395,0.,0.98569805017216)); #171329=DIRECTION('',(0.,-1.,0.)); #171330=DIRECTION('',(-0.168521078464395,0.,0.98569805017216)); #171331=DIRECTION('center_axis',(0.997249449879419,0.,0.0741183831123965)); #171332=DIRECTION('ref_axis',(-0.0741183831123965,0.,0.997249449879419)); #171333=DIRECTION('',(-0.0741183831123965,0.,0.997249449879419)); #171334=DIRECTION('',(0.,-1.,0.)); #171335=DIRECTION('',(-0.0741183831123965,0.,0.997249449879419)); #171336=DIRECTION('center_axis',(0.,-1.,0.)); #171337=DIRECTION('ref_axis',(0.993184138651188,0.,-0.116555852412899)); #171338=DIRECTION('center_axis',(0.,-1.,0.)); #171339=DIRECTION('ref_axis',(0.993184138651188,0.,-0.116555852412899)); #171340=DIRECTION('',(0.,-1.,0.)); #171341=DIRECTION('center_axis',(0.,-1.,0.)); #171342=DIRECTION('ref_axis',(0.993184138651188,0.,-0.116555852412899)); #171343=DIRECTION('center_axis',(0.978599110301767,0.,-0.20577604650831)); #171344=DIRECTION('ref_axis',(0.20577604650831,0.,0.978599110301767)); #171345=DIRECTION('',(0.20577604650831,0.,0.978599110301767)); #171346=DIRECTION('',(0.,-1.,0.)); #171347=DIRECTION('',(0.20577604650831,0.,0.978599110301767)); #171348=DIRECTION('center_axis',(0.95100889597556,0.,-0.309163516242372)); #171349=DIRECTION('ref_axis',(0.309163516242372,0.,0.95100889597556)); #171350=DIRECTION('',(0.309163516242372,0.,0.95100889597556)); #171351=DIRECTION('',(0.,-1.,0.)); #171352=DIRECTION('',(0.309163516242372,0.,0.95100889597556)); #171353=DIRECTION('center_axis',(0.910828614248973,0.,-0.412784732597143)); #171354=DIRECTION('ref_axis',(0.412784732597143,0.,0.910828614248973)); #171355=DIRECTION('',(0.412784732597143,0.,0.910828614248973)); #171356=DIRECTION('',(0.,-1.,0.)); #171357=DIRECTION('',(0.412784732597143,0.,0.910828614248973)); #171358=DIRECTION('center_axis',(0.858424061194086,0.,-0.512940670217378)); #171359=DIRECTION('ref_axis',(0.512940670217378,0.,0.858424061194086)); #171360=DIRECTION('',(0.512940670217378,0.,0.858424061194086)); #171361=DIRECTION('',(0.,-1.,0.)); #171362=DIRECTION('',(0.512940670217378,0.,0.858424061194086)); #171363=DIRECTION('center_axis',(0.794258097513844,0.,-0.607580508684807)); #171364=DIRECTION('ref_axis',(0.607580508684807,0.,0.794258097513844)); #171365=DIRECTION('',(0.607580508684807,0.,0.794258097513844)); #171366=DIRECTION('',(0.,-1.,0.)); #171367=DIRECTION('',(0.607580508684807,0.,0.794258097513844)); #171368=DIRECTION('center_axis',(0.720384363232041,0.,-0.693575063861704)); #171369=DIRECTION('ref_axis',(0.693575063861704,0.,0.720384363232041)); #171370=DIRECTION('',(0.693575063861704,0.,0.720384363232041)); #171371=DIRECTION('',(0.,-1.,0.)); #171372=DIRECTION('',(0.693575063861704,0.,0.720384363232041)); #171373=DIRECTION('center_axis',(0.650827734702213,0.,-0.759225434072375)); #171374=DIRECTION('ref_axis',(0.759225434072375,0.,0.650827734702213)); #171375=DIRECTION('',(0.759225434072375,0.,0.650827734702213)); #171376=DIRECTION('',(0.,-1.,0.)); #171377=DIRECTION('',(0.759225434072375,0.,0.650827734702213)); #171378=DIRECTION('center_axis',(0.544007575661055,0.,-0.839080304633222)); #171379=DIRECTION('ref_axis',(0.839080304633222,0.,0.544007575661055)); #171380=DIRECTION('',(0.839080304633222,0.,0.544007575661055)); #171381=DIRECTION('',(0.,-1.,0.)); #171382=DIRECTION('',(0.839080304633222,0.,0.544007575661055)); #171383=DIRECTION('center_axis',(0.432153614161588,0.,-0.90180000763311)); #171384=DIRECTION('ref_axis',(0.90180000763311,0.,0.432153614161588)); #171385=DIRECTION('',(0.90180000763311,0.,0.432153614161588)); #171386=DIRECTION('',(0.,-1.,0.)); #171387=DIRECTION('',(0.90180000763311,0.,0.432153614161588)); #171388=DIRECTION('center_axis',(0.,-1.,0.)); #171389=DIRECTION('ref_axis',(-0.172988890119486,0.,-0.984923775677706)); #171390=DIRECTION('center_axis',(0.,-1.,0.)); #171391=DIRECTION('ref_axis',(-0.172988890119486,0.,-0.984923775677706)); #171392=DIRECTION('',(0.,-1.,0.)); #171393=DIRECTION('center_axis',(0.,-1.,0.)); #171394=DIRECTION('ref_axis',(-0.172988890119486,0.,-0.984923775677706)); #171395=DIRECTION('center_axis',(0.,-1.,0.)); #171396=DIRECTION('ref_axis',(-0.209784287757781,0.,-0.977747693737991)); #171397=DIRECTION('center_axis',(0.,-1.,0.)); #171398=DIRECTION('ref_axis',(-0.209784287757781,0.,-0.977747693737991)); #171399=DIRECTION('',(0.,-1.,0.)); #171400=DIRECTION('center_axis',(0.,-1.,0.)); #171401=DIRECTION('ref_axis',(-0.209784287757781,0.,-0.977747693737991)); #171402=DIRECTION('center_axis',(-0.28557364351978,0.,-0.958356767663712)); #171403=DIRECTION('ref_axis',(0.958356767663712,0.,-0.28557364351978)); #171404=DIRECTION('',(0.958356767663712,0.,-0.28557364351978)); #171405=DIRECTION('',(0.,-1.,0.)); #171406=DIRECTION('',(0.958356767663712,0.,-0.28557364351978)); #171407=DIRECTION('center_axis',(-0.382593596712408,0.,-0.923916738540147)); #171408=DIRECTION('ref_axis',(0.923916738540147,0.,-0.382593596712408)); #171409=DIRECTION('',(0.923916738540147,0.,-0.382593596712408)); #171410=DIRECTION('',(0.,-1.,0.)); #171411=DIRECTION('',(0.923916738540147,0.,-0.382593596712408)); #171412=DIRECTION('center_axis',(0.,-1.,0.)); #171413=DIRECTION('ref_axis',(-0.603390107675206,0.,-0.79744615991282)); #171414=DIRECTION('center_axis',(0.,-1.,0.)); #171415=DIRECTION('ref_axis',(-0.603390107675206,0.,-0.79744615991282)); #171416=DIRECTION('',(0.,-1.,0.)); #171417=DIRECTION('center_axis',(0.,-1.,0.)); #171418=DIRECTION('ref_axis',(-0.603390107675206,0.,-0.79744615991282)); #171419=DIRECTION('center_axis',(-0.687725704674651,0.,-0.725970629660563)); #171420=DIRECTION('ref_axis',(0.725970629660563,0.,-0.687725704674651)); #171421=DIRECTION('',(0.725970629660563,0.,-0.687725704674651)); #171422=DIRECTION('',(0.,-1.,0.)); #171423=DIRECTION('',(0.725970629660563,0.,-0.687725704674651)); #171424=DIRECTION('center_axis',(-0.760915145104161,0.,-0.64885140205683)); #171425=DIRECTION('ref_axis',(0.64885140205683,0.,-0.760915145104161)); #171426=DIRECTION('',(0.64885140205683,0.,-0.760915145104161)); #171427=DIRECTION('',(0.,-1.,0.)); #171428=DIRECTION('',(0.64885140205683,0.,-0.760915145104161)); #171429=DIRECTION('center_axis',(-0.829327997682314,0.,-0.558762089140131)); #171430=DIRECTION('ref_axis',(0.558762089140131,0.,-0.829327997682314)); #171431=DIRECTION('',(0.558762089140131,0.,-0.829327997682314)); #171432=DIRECTION('',(0.,-1.,0.)); #171433=DIRECTION('',(0.558762089140131,0.,-0.829327997682314)); #171434=DIRECTION('center_axis',(-0.887775790955067,0.,-0.460276161661785)); #171435=DIRECTION('ref_axis',(0.460276161661785,0.,-0.887775790955067)); #171436=DIRECTION('',(0.460276161661785,0.,-0.887775790955067)); #171437=DIRECTION('',(0.,-1.,0.)); #171438=DIRECTION('',(0.460276161661785,0.,-0.887775790955067)); #171439=DIRECTION('center_axis',(0.,-1.,0.)); #171440=DIRECTION('ref_axis',(-0.967489554594446,0.,-0.252910975939441)); #171441=DIRECTION('center_axis',(0.,-1.,0.)); #171442=DIRECTION('ref_axis',(-0.967489554594446,0.,-0.252910975939441)); #171443=DIRECTION('',(0.,-1.,0.)); #171444=DIRECTION('center_axis',(0.,-1.,0.)); #171445=DIRECTION('ref_axis',(-0.967489554594446,0.,-0.252910975939441)); #171446=DIRECTION('center_axis',(0.,-1.,0.)); #171447=DIRECTION('ref_axis',(-0.998753370586324,0.,-0.0499169784988713)); #171448=DIRECTION('center_axis',(0.,-1.,0.)); #171449=DIRECTION('ref_axis',(-0.998753370586324,0.,-0.0499169784988713)); #171450=DIRECTION('',(0.,-1.,0.)); #171451=DIRECTION('center_axis',(0.,-1.,0.)); #171452=DIRECTION('ref_axis',(-0.998753370586324,0.,-0.0499169784988713)); #171453=DIRECTION('center_axis',(0.,-1.,0.)); #171454=DIRECTION('ref_axis',(-0.976189258157019,0.,0.216920566703225)); #171455=DIRECTION('center_axis',(0.,-1.,0.)); #171456=DIRECTION('ref_axis',(-0.976189258157019,0.,0.216920566703225)); #171457=DIRECTION('',(0.,-1.,0.)); #171458=DIRECTION('center_axis',(0.,-1.,0.)); #171459=DIRECTION('ref_axis',(-0.976189258157019,0.,0.216920566703225)); #171460=DIRECTION('center_axis',(-0.943481507464605,0.,0.331425172659404)); #171461=DIRECTION('ref_axis',(-0.331425172659404,0.,-0.943481507464605)); #171462=DIRECTION('',(-0.331425172659404,0.,-0.943481507464605)); #171463=DIRECTION('',(0.,-1.,0.)); #171464=DIRECTION('',(-0.331425172659404,0.,-0.943481507464605)); #171465=DIRECTION('center_axis',(-0.900866480992823,0.,0.434096283586496)); #171466=DIRECTION('ref_axis',(-0.434096283586496,0.,-0.900866480992823)); #171467=DIRECTION('',(-0.434096283586496,0.,-0.900866480992823)); #171468=DIRECTION('',(0.,-1.,0.)); #171469=DIRECTION('',(-0.434096283586496,0.,-0.900866480992823)); #171470=DIRECTION('center_axis',(-0.846428394121839,0.,0.532502557387591)); #171471=DIRECTION('ref_axis',(-0.532502557387591,0.,-0.846428394121839)); #171472=DIRECTION('',(-0.532502557387591,0.,-0.846428394121839)); #171473=DIRECTION('',(0.,-1.,0.)); #171474=DIRECTION('',(-0.532502557387591,0.,-0.846428394121839)); #171475=DIRECTION('center_axis',(-0.781141477963423,0.,0.624354059332618)); #171476=DIRECTION('ref_axis',(-0.624354059332618,0.,-0.781141477963423)); #171477=DIRECTION('',(-0.624354059332618,0.,-0.781141477963423)); #171478=DIRECTION('',(0.,-1.,0.)); #171479=DIRECTION('',(-0.624354059332618,0.,-0.781141477963423)); #171480=DIRECTION('center_axis',(-0.708706305644908,0.,0.705503630280629)); #171481=DIRECTION('ref_axis',(-0.705503630280629,0.,-0.708706305644908)); #171482=DIRECTION('',(-0.705503630280629,0.,-0.708706305644908)); #171483=DIRECTION('',(0.,-1.,0.)); #171484=DIRECTION('',(-0.705503630280629,0.,-0.708706305644908)); #171485=DIRECTION('center_axis',(-0.61953966086959,0.,0.784965355037784)); #171486=DIRECTION('ref_axis',(-0.784965355037784,0.,-0.61953966086959)); #171487=DIRECTION('',(-0.784965355037784,0.,-0.61953966086959)); #171488=DIRECTION('',(0.,-1.,0.)); #171489=DIRECTION('',(-0.784965355037784,0.,-0.61953966086959)); #171490=DIRECTION('center_axis',(-0.509135531501748,0.,0.860686360158236)); #171491=DIRECTION('ref_axis',(-0.860686360158236,0.,-0.509135531501748)); #171492=DIRECTION('',(-0.860686360158236,0.,-0.509135531501748)); #171493=DIRECTION('',(0.,-1.,0.)); #171494=DIRECTION('',(-0.860686360158236,0.,-0.509135531501748)); #171495=DIRECTION('center_axis',(-0.404373149879393,0.,0.914594093386032)); #171496=DIRECTION('ref_axis',(-0.914594093386032,0.,-0.404373149879393)); #171497=DIRECTION('',(-0.914594093386032,0.,-0.404373149879393)); #171498=DIRECTION('',(0.,-1.,0.)); #171499=DIRECTION('',(-0.914594093386032,0.,-0.404373149879393)); #171500=DIRECTION('center_axis',(-0.306809149662877,0.,0.951771057388878)); #171501=DIRECTION('ref_axis',(-0.951771057388878,0.,-0.306809149662877)); #171502=DIRECTION('',(-0.951771057388878,0.,-0.306809149662877)); #171503=DIRECTION('',(0.,-1.,0.)); #171504=DIRECTION('',(-0.951771057388878,0.,-0.306809149662877)); #171505=DIRECTION('center_axis',(-0.22116860859669,0.,0.975235585164633)); #171506=DIRECTION('ref_axis',(-0.975235585164633,0.,-0.22116860859669)); #171507=DIRECTION('',(-0.975235585164633,0.,-0.22116860859669)); #171508=DIRECTION('',(0.,-1.,0.)); #171509=DIRECTION('',(-0.975235585164633,0.,-0.22116860859669)); #171510=DIRECTION('center_axis',(0.,-1.,0.)); #171511=DIRECTION('ref_axis',(-0.0083541400593054,0.,0.999965103563054)); #171512=DIRECTION('center_axis',(0.,-1.,0.)); #171513=DIRECTION('ref_axis',(-0.0083541400593054,0.,0.999965103563054)); #171514=DIRECTION('',(0.,-1.,0.)); #171515=DIRECTION('center_axis',(0.,-1.,0.)); #171516=DIRECTION('ref_axis',(-0.0083541400593054,0.,0.999965103563054)); #171517=DIRECTION('center_axis',(0.,0.,1.)); #171518=DIRECTION('ref_axis',(-1.,0.,0.)); #171519=DIRECTION('',(-1.,0.,0.)); #171520=DIRECTION('',(0.,-1.,0.)); #171521=DIRECTION('',(-1.,0.,0.)); #171522=DIRECTION('center_axis',(0.,-1.,0.)); #171523=DIRECTION('ref_axis',(-0.913082244458974,0.,0.407775446604823)); #171524=DIRECTION('center_axis',(0.,-1.,0.)); #171525=DIRECTION('ref_axis',(-0.913082244458974,0.,0.407775446604823)); #171526=DIRECTION('',(0.,-1.,0.)); #171527=DIRECTION('center_axis',(0.,-1.,0.)); #171528=DIRECTION('ref_axis',(-0.913082244458974,0.,0.407775446604823)); #171529=DIRECTION('center_axis',(0.,-1.,0.)); #171530=DIRECTION('ref_axis',(0.203742467028842,0.,0.979024518144975)); #171531=DIRECTION('center_axis',(0.,-1.,0.)); #171532=DIRECTION('ref_axis',(0.203742467028842,0.,0.979024518144975)); #171533=DIRECTION('',(0.,-1.,0.)); #171534=DIRECTION('center_axis',(0.,-1.,0.)); #171535=DIRECTION('ref_axis',(0.203742467028842,0.,0.979024518144975)); #171536=DIRECTION('center_axis',(0.,-1.,0.)); #171537=DIRECTION('ref_axis',(0.999601069797596,0.,-0.0282436056391827)); #171538=DIRECTION('center_axis',(0.,-1.,0.)); #171539=DIRECTION('ref_axis',(0.999601069797596,0.,-0.0282436056391827)); #171540=DIRECTION('',(0.,-1.,0.)); #171541=DIRECTION('center_axis',(0.,-1.,0.)); #171542=DIRECTION('ref_axis',(0.999601069797596,0.,-0.0282436056391827)); #171543=DIRECTION('center_axis',(0.984256577302241,0.,0.176745551676071)); #171544=DIRECTION('ref_axis',(-0.176745551676071,0.,0.984256577302241)); #171545=DIRECTION('',(-0.176745551676071,0.,0.984256577302241)); #171546=DIRECTION('',(0.,-1.,0.)); #171547=DIRECTION('',(-0.176745551676071,0.,0.984256577302241)); #171548=DIRECTION('center_axis',(0.,-1.,0.)); #171549=DIRECTION('ref_axis',(-0.306535642409921,0.,-0.951859180725982)); #171550=DIRECTION('center_axis',(0.,1.,0.)); #171551=DIRECTION('ref_axis',(-0.306535642409921,0.,-0.951859180725982)); #171552=DIRECTION('',(0.,-1.,0.)); #171553=DIRECTION('center_axis',(0.,1.,0.)); #171554=DIRECTION('ref_axis',(-0.306535642409921,0.,-0.951859180725982)); #171555=DIRECTION('center_axis',(0.,-1.,0.)); #171556=DIRECTION('ref_axis',(0.715303435896042,0.,-0.698813991413535)); #171557=DIRECTION('center_axis',(0.,1.,0.)); #171558=DIRECTION('ref_axis',(0.715303435896042,0.,-0.698813991413535)); #171559=DIRECTION('',(0.,-1.,0.)); #171560=DIRECTION('center_axis',(0.,1.,0.)); #171561=DIRECTION('ref_axis',(0.715303435896042,0.,-0.698813991413535)); #171562=DIRECTION('center_axis',(0.,-1.,0.)); #171563=DIRECTION('ref_axis',(0.993247305026871,0.,0.116016339611532)); #171564=DIRECTION('center_axis',(0.,1.,0.)); #171565=DIRECTION('ref_axis',(0.993247305026871,0.,0.116016339611532)); #171566=DIRECTION('',(0.,-1.,0.)); #171567=DIRECTION('center_axis',(0.,1.,0.)); #171568=DIRECTION('ref_axis',(0.993247305026871,0.,0.116016339611532)); #171569=DIRECTION('center_axis',(0.,-1.,0.)); #171570=DIRECTION('ref_axis',(0.366535078215942,0.,0.930404232813476)); #171571=DIRECTION('center_axis',(0.,1.,0.)); #171572=DIRECTION('ref_axis',(0.366535078215942,0.,0.930404232813476)); #171573=DIRECTION('',(0.,-1.,0.)); #171574=DIRECTION('center_axis',(0.,1.,0.)); #171575=DIRECTION('ref_axis',(0.366535078215942,0.,0.930404232813476)); #171576=DIRECTION('center_axis',(-0.201693501843081,0.,-0.979448687433025)); #171577=DIRECTION('ref_axis',(0.979448687433025,0.,-0.201693501843081)); #171578=DIRECTION('',(0.979448687433025,0.,-0.201693501843081)); #171579=DIRECTION('',(0.,-1.,0.)); #171580=DIRECTION('',(0.979448687433025,0.,-0.201693501843081)); #171581=DIRECTION('center_axis',(0.,-1.,0.)); #171582=DIRECTION('ref_axis',(-0.199183533824035,0.,-0.979962203277948)); #171583=DIRECTION('center_axis',(0.,-1.,0.)); #171584=DIRECTION('ref_axis',(-0.199183533824035,0.,-0.979962203277948)); #171585=DIRECTION('',(0.,-1.,0.)); #171586=DIRECTION('center_axis',(0.,-1.,0.)); #171587=DIRECTION('ref_axis',(-0.199183533824035,0.,-0.979962203277948)); #171588=DIRECTION('center_axis',(-0.314242978643438,0.,-0.949342588517601)); #171589=DIRECTION('ref_axis',(0.949342588517601,0.,-0.314242978643438)); #171590=DIRECTION('',(0.949342588517601,0.,-0.314242978643438)); #171591=DIRECTION('',(0.,-1.,0.)); #171592=DIRECTION('',(0.949342588517601,0.,-0.314242978643438)); #171593=DIRECTION('center_axis',(-0.407074074677554,0.,-0.913395148731048)); #171594=DIRECTION('ref_axis',(0.913395148731048,0.,-0.407074074677554)); #171595=DIRECTION('',(0.913395148731048,0.,-0.407074074677554)); #171596=DIRECTION('',(0.,-1.,0.)); #171597=DIRECTION('',(0.913395148731048,0.,-0.407074074677554)); #171598=DIRECTION('center_axis',(-0.507216275061309,0.,-0.861818803643162)); #171599=DIRECTION('ref_axis',(0.861818803643162,0.,-0.507216275061309)); #171600=DIRECTION('',(0.861818803643162,0.,-0.507216275061309)); #171601=DIRECTION('',(0.,-1.,0.)); #171602=DIRECTION('',(0.861818803643162,0.,-0.507216275061309)); #171603=DIRECTION('center_axis',(-0.611256380840946,0.,-0.79143264835438)); #171604=DIRECTION('ref_axis',(0.79143264835438,0.,-0.611256380840946)); #171605=DIRECTION('',(0.79143264835438,0.,-0.611256380840946)); #171606=DIRECTION('',(0.,-1.,0.)); #171607=DIRECTION('',(0.79143264835438,0.,-0.611256380840946)); #171608=DIRECTION('center_axis',(-0.698954796844053,0.,-0.71516584927462)); #171609=DIRECTION('ref_axis',(0.71516584927462,0.,-0.698954796844053)); #171610=DIRECTION('',(0.71516584927462,0.,-0.698954796844053)); #171611=DIRECTION('',(0.,-1.,0.)); #171612=DIRECTION('',(0.71516584927462,0.,-0.698954796844053)); #171613=DIRECTION('center_axis',(-0.767804330160154,0.,-0.640684407947717)); #171614=DIRECTION('ref_axis',(0.640684407947717,0.,-0.767804330160154)); #171615=DIRECTION('',(0.640684407947717,0.,-0.767804330160154)); #171616=DIRECTION('',(0.,-1.,0.)); #171617=DIRECTION('',(0.640684407947717,0.,-0.767804330160154)); #171618=DIRECTION('center_axis',(-0.831711632433524,0.,-0.555207853397953)); #171619=DIRECTION('ref_axis',(0.555207853397953,0.,-0.831711632433524)); #171620=DIRECTION('',(0.555207853397953,0.,-0.831711632433524)); #171621=DIRECTION('',(0.,-1.,0.)); #171622=DIRECTION('',(0.555207853397953,0.,-0.831711632433524)); #171623=DIRECTION('center_axis',(0.,-1.,0.)); #171624=DIRECTION('ref_axis',(-0.929809187001407,0.,-0.368041948380593)); #171625=DIRECTION('center_axis',(0.,-1.,0.)); #171626=DIRECTION('ref_axis',(-0.929809187001407,0.,-0.368041948380593)); #171627=DIRECTION('center_axis',(0.,-1.,0.)); #171628=DIRECTION('ref_axis',(-0.929809187001407,0.,-0.368041948380593)); #171629=DIRECTION('center_axis',(0.,1.,0.)); #171630=DIRECTION('ref_axis',(1.,0.,0.)); #171631=DIRECTION('center_axis',(0.027325249297553,0.,-0.999626595660013)); #171632=DIRECTION('ref_axis',(0.999626595660013,0.,0.027325249297553)); #171633=DIRECTION('',(0.999626595660013,0.,0.027325249297553)); #171634=DIRECTION('',(0.,-1.,0.)); #171635=DIRECTION('',(0.999626595660013,0.,0.027325249297553)); #171636=DIRECTION('',(0.,-1.,0.)); #171637=DIRECTION('center_axis',(7.96781002234515E-5,0.,-0.9999999968257)); #171638=DIRECTION('ref_axis',(0.9999999968257,0.,7.96781002234515E-5)); #171639=DIRECTION('',(0.9999999968257,0.,7.96781002234515E-5)); #171640=DIRECTION('',(0.,-1.,0.)); #171641=DIRECTION('',(0.9999999968257,0.,7.96781002234515E-5)); #171642=DIRECTION('center_axis',(0.,-1.,0.)); #171643=DIRECTION('ref_axis',(0.918935932582733,0.,-0.394406835397541)); #171644=DIRECTION('center_axis',(0.,-1.,0.)); #171645=DIRECTION('ref_axis',(0.918935932582733,0.,-0.394406835397541)); #171646=DIRECTION('',(0.,-1.,0.)); #171647=DIRECTION('center_axis',(0.,-1.,0.)); #171648=DIRECTION('ref_axis',(0.918935932582733,0.,-0.394406835397541)); #171649=DIRECTION('center_axis',(0.,-1.,0.)); #171650=DIRECTION('ref_axis',(-0.201667351288782,0.,-0.979454072136191)); #171651=DIRECTION('center_axis',(0.,-1.,0.)); #171652=DIRECTION('ref_axis',(-0.201667351288782,0.,-0.979454072136191)); #171653=DIRECTION('',(0.,-1.,0.)); #171654=DIRECTION('center_axis',(0.,-1.,0.)); #171655=DIRECTION('ref_axis',(-0.201667351288782,0.,-0.979454072136191)); #171656=DIRECTION('center_axis',(0.,-1.,0.)); #171657=DIRECTION('ref_axis',(-0.997804103740661,0.,0.0662342098790077)); #171658=DIRECTION('center_axis',(0.,-1.,0.)); #171659=DIRECTION('ref_axis',(-0.997804103740661,0.,0.0662342098790077)); #171660=DIRECTION('',(0.,-1.,0.)); #171661=DIRECTION('center_axis',(0.,-1.,0.)); #171662=DIRECTION('ref_axis',(-0.997804103740661,0.,0.0662342098790077)); #171663=DIRECTION('center_axis',(-0.985634644514298,0.,-0.16889152593654)); #171664=DIRECTION('ref_axis',(0.16889152593654,0.,-0.985634644514298)); #171665=DIRECTION('',(0.16889152593654,0.,-0.985634644514298)); #171666=DIRECTION('',(0.,-1.,0.)); #171667=DIRECTION('',(0.16889152593654,0.,-0.985634644514298)); #171668=DIRECTION('center_axis',(0.,-1.,0.)); #171669=DIRECTION('ref_axis',(0.434543724407952,0.,0.900650737843292)); #171670=DIRECTION('center_axis',(0.,1.,0.)); #171671=DIRECTION('ref_axis',(0.434543724407952,0.,0.900650737843292)); #171672=DIRECTION('',(0.,-1.,0.)); #171673=DIRECTION('center_axis',(0.,1.,0.)); #171674=DIRECTION('ref_axis',(0.434543724407952,0.,0.900650737843292)); #171675=DIRECTION('center_axis',(0.,-1.,0.)); #171676=DIRECTION('ref_axis',(-0.593419794593098,0.,0.804893127927606)); #171677=DIRECTION('center_axis',(0.,1.,0.)); #171678=DIRECTION('ref_axis',(-0.593419794593098,0.,0.804893127927606)); #171679=DIRECTION('',(0.,-1.,0.)); #171680=DIRECTION('center_axis',(0.,1.,0.)); #171681=DIRECTION('ref_axis',(-0.593419794593098,0.,0.804893127927606)); #171682=DIRECTION('center_axis',(0.,-1.,0.)); #171683=DIRECTION('ref_axis',(-0.997273355857127,0.,0.0737960276536857)); #171684=DIRECTION('center_axis',(0.,1.,0.)); #171685=DIRECTION('ref_axis',(-0.997273355857127,0.,0.0737960276536857)); #171686=DIRECTION('',(0.,-1.,0.)); #171687=DIRECTION('center_axis',(0.,1.,0.)); #171688=DIRECTION('ref_axis',(-0.997273355857127,0.,0.0737960276536857)); #171689=DIRECTION('center_axis',(0.,-1.,0.)); #171690=DIRECTION('ref_axis',(-0.450687512082415,0.,-0.892681783421709)); #171691=DIRECTION('center_axis',(0.,1.,0.)); #171692=DIRECTION('ref_axis',(-0.450687512082415,0.,-0.892681783421709)); #171693=DIRECTION('',(0.,-1.,0.)); #171694=DIRECTION('center_axis',(0.,1.,0.)); #171695=DIRECTION('ref_axis',(-0.450687512082415,0.,-0.892681783421709)); #171696=DIRECTION('center_axis',(0.28193830551743,0.,0.959432536389068)); #171697=DIRECTION('ref_axis',(-0.959432536389068,0.,0.28193830551743)); #171698=DIRECTION('',(-0.959432536389068,0.,0.28193830551743)); #171699=DIRECTION('',(0.,-1.,0.)); #171700=DIRECTION('',(-0.959432536389068,0.,0.28193830551743)); #171701=DIRECTION('center_axis',(0.,-1.,0.)); #171702=DIRECTION('ref_axis',(0.196575682894045,0.,0.98048865413871)); #171703=DIRECTION('center_axis',(0.,-1.,0.)); #171704=DIRECTION('ref_axis',(0.196575682894045,0.,0.98048865413871)); #171705=DIRECTION('',(0.,-1.,0.)); #171706=DIRECTION('center_axis',(0.,-1.,0.)); #171707=DIRECTION('ref_axis',(0.196575682894045,0.,0.98048865413871)); #171708=DIRECTION('center_axis',(0.315431015758156,0.,0.94894850982431)); #171709=DIRECTION('ref_axis',(-0.94894850982431,0.,0.315431015758156)); #171710=DIRECTION('',(-0.94894850982431,0.,0.315431015758156)); #171711=DIRECTION('',(0.,-1.,0.)); #171712=DIRECTION('',(-0.94894850982431,0.,0.315431015758156)); #171713=DIRECTION('center_axis',(0.407850135194222,0.,0.913048885450311)); #171714=DIRECTION('ref_axis',(-0.913048885450311,0.,0.407850135194222)); #171715=DIRECTION('',(-0.913048885450311,0.,0.407850135194222)); #171716=DIRECTION('',(0.,-1.,0.)); #171717=DIRECTION('',(-0.913048885450311,0.,0.407850135194222)); #171718=DIRECTION('center_axis',(0.509584211379024,0.,0.860420787471583)); #171719=DIRECTION('ref_axis',(-0.860420787471583,0.,0.509584211379024)); #171720=DIRECTION('',(-0.860420787471583,0.,0.509584211379024)); #171721=DIRECTION('',(0.,-1.,0.)); #171722=DIRECTION('',(-0.860420787471583,0.,0.509584211379024)); #171723=DIRECTION('center_axis',(0.615609046639039,0.,0.788051712577401)); #171724=DIRECTION('ref_axis',(-0.788051712577401,0.,0.615609046639038)); #171725=DIRECTION('',(-0.788051712577401,0.,0.615609046639038)); #171726=DIRECTION('',(0.,-1.,0.)); #171727=DIRECTION('',(-0.788051712577401,0.,0.615609046639039)); #171728=DIRECTION('center_axis',(0.704399618847995,0.,0.709803618592354)); #171729=DIRECTION('ref_axis',(-0.709803618592354,0.,0.704399618847995)); #171730=DIRECTION('',(-0.709803618592354,0.,0.704399618847995)); #171731=DIRECTION('',(0.,-1.,0.)); #171732=DIRECTION('',(-0.709803618592354,0.,0.704399618847995)); #171733=DIRECTION('center_axis',(0.770925669668088,0.,0.636925122637512)); #171734=DIRECTION('ref_axis',(-0.636925122637512,0.,0.770925669668088)); #171735=DIRECTION('',(-0.636925122637512,0.,0.770925669668088)); #171736=DIRECTION('',(0.,-1.,0.)); #171737=DIRECTION('',(-0.636925122637512,0.,0.770925669668088)); #171738=DIRECTION('center_axis',(0.833399830126581,0.,0.552670537612587)); #171739=DIRECTION('ref_axis',(-0.552670537612587,0.,0.833399830126581)); #171740=DIRECTION('',(-0.552670537612587,0.,0.833399830126581)); #171741=DIRECTION('',(0.,-1.,0.)); #171742=DIRECTION('',(-0.552670537612587,0.,0.833399830126581)); #171743=DIRECTION('center_axis',(0.887680537797753,0.,0.460459838438806)); #171744=DIRECTION('ref_axis',(-0.460459838438806,0.,0.887680537797753)); #171745=DIRECTION('',(-0.460459838438806,0.,0.887680537797753)); #171746=DIRECTION('',(0.,-1.,0.)); #171747=DIRECTION('',(-0.460459838438806,0.,0.887680537797753)); #171748=DIRECTION('center_axis',(0.931663568005798,0.,0.363322165647386)); #171749=DIRECTION('ref_axis',(-0.363322165647386,0.,0.931663568005798)); #171750=DIRECTION('',(-0.363322165647386,0.,0.931663568005798)); #171751=DIRECTION('',(0.,-1.,0.)); #171752=DIRECTION('',(-0.363322165647386,0.,0.931663568005798)); #171753=DIRECTION('center_axis',(0.964152182840481,0.,0.26534989791582)); #171754=DIRECTION('ref_axis',(-0.26534989791582,0.,0.964152182840481)); #171755=DIRECTION('',(-0.26534989791582,0.,0.964152182840481)); #171756=DIRECTION('',(0.,-1.,0.)); #171757=DIRECTION('',(-0.26534989791582,0.,0.964152182840481)); #171758=DIRECTION('center_axis',(0.985781280971274,0.,0.168033526674395)); #171759=DIRECTION('ref_axis',(-0.168033526674395,0.,0.985781280971274)); #171760=DIRECTION('',(-0.168033526674395,0.,0.985781280971274)); #171761=DIRECTION('',(0.,-1.,0.)); #171762=DIRECTION('',(-0.168033526674395,0.,0.985781280971274)); #171763=DIRECTION('center_axis',(0.997249449879492,0.,0.0741183831114105)); #171764=DIRECTION('ref_axis',(-0.0741183831114105,0.,0.997249449879492)); #171765=DIRECTION('',(-0.0741183831114105,0.,0.997249449879492)); #171766=DIRECTION('',(0.,-1.,0.)); #171767=DIRECTION('',(-0.0741183831114105,0.,0.997249449879492)); #171768=DIRECTION('center_axis',(0.999889519383452,0.,-0.0148643542452881)); #171769=DIRECTION('ref_axis',(0.0148643542452881,0.,0.999889519383452)); #171770=DIRECTION('',(0.0148643542452881,0.,0.999889519383452)); #171771=DIRECTION('',(0.,-1.,0.)); #171772=DIRECTION('',(0.0148643542452881,0.,0.999889519383452)); #171773=DIRECTION('center_axis',(0.994275216728615,0.,-0.106849395876937)); #171774=DIRECTION('ref_axis',(0.106849395876937,0.,0.994275216728615)); #171775=DIRECTION('',(0.106849395876937,0.,0.994275216728615)); #171776=DIRECTION('',(0.,-1.,0.)); #171777=DIRECTION('',(0.106849395876937,0.,0.994275216728615)); #171778=DIRECTION('center_axis',(0.978462655275095,0.,-0.20642391390537)); #171779=DIRECTION('ref_axis',(0.20642391390537,0.,0.978462655275094)); #171780=DIRECTION('',(0.20642391390537,0.,0.978462655275094)); #171781=DIRECTION('',(0.,-1.,0.)); #171782=DIRECTION('',(0.20642391390537,0.,0.978462655275094)); #171783=DIRECTION('center_axis',(0.951030713456801,0.,-0.309096396067387)); #171784=DIRECTION('ref_axis',(0.309096396067387,0.,0.951030713456801)); #171785=DIRECTION('',(0.309096396067387,0.,0.951030713456801)); #171786=DIRECTION('',(0.,-1.,0.)); #171787=DIRECTION('',(0.309096396067387,0.,0.951030713456801)); #171788=DIRECTION('center_axis',(0.911074619592661,0.,-0.412241479637953)); #171789=DIRECTION('ref_axis',(0.412241479637953,0.,0.911074619592661)); #171790=DIRECTION('',(0.412241479637953,0.,0.911074619592661)); #171791=DIRECTION('',(0.,-1.,0.)); #171792=DIRECTION('',(0.412241479637953,0.,0.911074619592661)); #171793=DIRECTION('center_axis',(0.858011030306378,0.,-0.513631260606856)); #171794=DIRECTION('ref_axis',(0.513631260606856,0.,0.858011030306378)); #171795=DIRECTION('',(0.513631260606856,0.,0.858011030306378)); #171796=DIRECTION('',(0.,-1.,0.)); #171797=DIRECTION('',(0.513631260606856,0.,0.858011030306378)); #171798=DIRECTION('center_axis',(0.79434453862554,0.,-0.607467492097954)); #171799=DIRECTION('ref_axis',(0.607467492097954,0.,0.79434453862554)); #171800=DIRECTION('',(0.607467492097954,0.,0.79434453862554)); #171801=DIRECTION('',(0.,-1.,0.)); #171802=DIRECTION('',(0.607467492097954,0.,0.79434453862554)); #171803=DIRECTION('center_axis',(0.720504182520724,0.,-0.693450591585401)); #171804=DIRECTION('ref_axis',(0.693450591585401,0.,0.720504182520724)); #171805=DIRECTION('',(0.693450591585401,0.,0.720504182520724)); #171806=DIRECTION('',(0.,-1.,0.)); #171807=DIRECTION('',(0.693450591585401,0.,0.720504182520724)); #171808=DIRECTION('center_axis',(0.650954963807247,0.,-0.759116351486849)); #171809=DIRECTION('ref_axis',(0.759116351486849,0.,0.650954963807247)); #171810=DIRECTION('',(0.759116351486849,0.,0.650954963807247)); #171811=DIRECTION('',(0.,-1.,0.)); #171812=DIRECTION('',(0.759116351486849,0.,0.650954963807247)); #171813=DIRECTION('center_axis',(0.544007575661383,0.,-0.83908030463301)); #171814=DIRECTION('ref_axis',(0.83908030463301,0.,0.544007575661383)); #171815=DIRECTION('',(0.83908030463301,0.,0.544007575661383)); #171816=DIRECTION('',(0.,-1.,0.)); #171817=DIRECTION('',(0.83908030463301,0.,0.544007575661383)); #171818=DIRECTION('center_axis',(0.,-1.,0.)); #171819=DIRECTION('ref_axis',(-0.226256521352584,0.,-0.974067752543645)); #171820=DIRECTION('center_axis',(0.,-1.,0.)); #171821=DIRECTION('ref_axis',(-0.226256521352584,0.,-0.974067752543645)); #171822=DIRECTION('',(0.,-1.,0.)); #171823=DIRECTION('center_axis',(0.,-1.,0.)); #171824=DIRECTION('ref_axis',(-0.226256521352584,0.,-0.974067752543645)); #171825=DIRECTION('center_axis',(-0.285476586600265,0.,-0.958385683586238)); #171826=DIRECTION('ref_axis',(0.958385683586238,0.,-0.285476586600265)); #171827=DIRECTION('',(0.958385683586238,0.,-0.285476586600265)); #171828=DIRECTION('',(0.,-1.,0.)); #171829=DIRECTION('',(0.958385683586238,0.,-0.285476586600265)); #171830=DIRECTION('center_axis',(-0.383217782926614,0.,-0.923658016177422)); #171831=DIRECTION('ref_axis',(0.923658016177422,0.,-0.383217782926614)); #171832=DIRECTION('',(0.923658016177422,0.,-0.383217782926614)); #171833=DIRECTION('',(0.,-1.,0.)); #171834=DIRECTION('',(0.923658016177422,0.,-0.383217782926614)); #171835=DIRECTION('center_axis',(-0.490852465667938,0.,-0.871242708404326)); #171836=DIRECTION('ref_axis',(0.871242708404326,0.,-0.490852465667938)); #171837=DIRECTION('',(0.871242708404326,0.,-0.490852465667938)); #171838=DIRECTION('',(0.,-1.,0.)); #171839=DIRECTION('',(0.871242708404326,0.,-0.490852465667938)); #171840=DIRECTION('center_axis',(-0.60549221707821,0.,-0.795851226711195)); #171841=DIRECTION('ref_axis',(0.795851226711195,0.,-0.60549221707821)); #171842=DIRECTION('',(0.795851226711195,0.,-0.60549221707821)); #171843=DIRECTION('',(0.,-1.,0.)); #171844=DIRECTION('',(0.795851226711195,0.,-0.60549221707821)); #171845=DIRECTION('center_axis',(-0.687839372722795,0.,-0.725862932882175)); #171846=DIRECTION('ref_axis',(0.725862932882175,0.,-0.687839372722795)); #171847=DIRECTION('',(0.725862932882175,0.,-0.687839372722795)); #171848=DIRECTION('',(0.,-1.,0.)); #171849=DIRECTION('',(0.725862932882175,0.,-0.687839372722795)); #171850=DIRECTION('center_axis',(-0.760932091074946,0.,-0.648831528805676)); #171851=DIRECTION('ref_axis',(0.648831528805676,0.,-0.760932091074946)); #171852=DIRECTION('',(0.648831528805676,0.,-0.760932091074946)); #171853=DIRECTION('',(0.,-1.,0.)); #171854=DIRECTION('',(0.648831528805676,0.,-0.760932091074946)); #171855=DIRECTION('center_axis',(-0.829694424197366,0.,-0.55821784498151)); #171856=DIRECTION('ref_axis',(0.55821784498151,0.,-0.829694424197366)); #171857=DIRECTION('',(0.55821784498151,0.,-0.829694424197366)); #171858=DIRECTION('',(0.,-1.,0.)); #171859=DIRECTION('',(0.55821784498151,0.,-0.829694424197366)); #171860=DIRECTION('center_axis',(-0.887775790955507,0.,-0.460276161660935)); #171861=DIRECTION('ref_axis',(0.460276161660935,0.,-0.887775790955507)); #171862=DIRECTION('',(0.460276161660935,0.,-0.887775790955507)); #171863=DIRECTION('',(0.,-1.,0.)); #171864=DIRECTION('',(0.460276161660935,0.,-0.887775790955507)); #171865=DIRECTION('center_axis',(-0.933809145317331,0.,-0.357771547389835)); #171866=DIRECTION('ref_axis',(0.357771547389835,0.,-0.93380914531733)); #171867=DIRECTION('',(0.357771547389835,0.,-0.93380914531733)); #171868=DIRECTION('',(0.,-1.,0.)); #171869=DIRECTION('',(0.357771547389835,0.,-0.93380914531733)); #171870=DIRECTION('center_axis',(0.,-1.,0.)); #171871=DIRECTION('ref_axis',(-0.998045517955307,0.,-0.0624911520882975)); #171872=DIRECTION('center_axis',(0.,-1.,0.)); #171873=DIRECTION('ref_axis',(-0.998045517955307,0.,-0.0624911520882975)); #171874=DIRECTION('',(0.,-1.,0.)); #171875=DIRECTION('center_axis',(0.,-1.,0.)); #171876=DIRECTION('ref_axis',(-0.998045517955307,0.,-0.0624911520882975)); #171877=DIRECTION('center_axis',(-0.999420214027107,0.,0.0340475519532826)); #171878=DIRECTION('ref_axis',(-0.0340475519532826,0.,-0.999420214027107)); #171879=DIRECTION('',(-0.0340475519532826,0.,-0.999420214027107)); #171880=DIRECTION('',(0.,-1.,0.)); #171881=DIRECTION('',(-0.0340475519532826,0.,-0.999420214027107)); #171882=DIRECTION('center_axis',(-0.99176723605759,0.,0.128053697653324)); #171883=DIRECTION('ref_axis',(-0.128053697653324,0.,-0.99176723605759)); #171884=DIRECTION('',(-0.128053697653324,0.,-0.99176723605759)); #171885=DIRECTION('',(0.,-1.,0.)); #171886=DIRECTION('',(-0.128053697653324,0.,-0.99176723605759)); #171887=DIRECTION('center_axis',(-0.97358132859468,0.,0.228340527747085)); #171888=DIRECTION('ref_axis',(-0.228340527747085,0.,-0.97358132859468)); #171889=DIRECTION('',(-0.228340527747085,0.,-0.97358132859468)); #171890=DIRECTION('',(0.,-1.,0.)); #171891=DIRECTION('',(-0.228340527747085,0.,-0.97358132859468)); #171892=DIRECTION('center_axis',(-0.943413542777442,0.,0.331618587090826)); #171893=DIRECTION('ref_axis',(-0.331618587090826,0.,-0.943413542777442)); #171894=DIRECTION('',(-0.331618587090826,0.,-0.943413542777442)); #171895=DIRECTION('',(0.,-1.,0.)); #171896=DIRECTION('',(-0.331618587090826,0.,-0.943413542777442)); #171897=DIRECTION('center_axis',(-0.900910446117394,0.,0.43400503231709)); #171898=DIRECTION('ref_axis',(-0.43400503231709,0.,-0.900910446117394)); #171899=DIRECTION('',(-0.43400503231709,0.,-0.900910446117394)); #171900=DIRECTION('',(0.,-1.,0.)); #171901=DIRECTION('',(-0.43400503231709,0.,-0.900910446117394)); #171902=DIRECTION('center_axis',(-0.846573182989422,0.,0.53227234179803)); #171903=DIRECTION('ref_axis',(-0.53227234179803,0.,-0.846573182989422)); #171904=DIRECTION('',(-0.53227234179803,0.,-0.846573182989422)); #171905=DIRECTION('',(0.,-1.,0.)); #171906=DIRECTION('',(-0.53227234179803,0.,-0.846573182989422)); #171907=DIRECTION('center_axis',(-0.78091425131152,0.,0.624638240983185)); #171908=DIRECTION('ref_axis',(-0.624638240983185,0.,-0.78091425131152)); #171909=DIRECTION('',(-0.624638240983185,0.,-0.78091425131152)); #171910=DIRECTION('',(0.,-1.,0.)); #171911=DIRECTION('',(-0.624638240983185,0.,-0.78091425131152)); #171912=DIRECTION('center_axis',(-0.708479355977846,0.,0.7057315368844)); #171913=DIRECTION('ref_axis',(-0.7057315368844,0.,-0.708479355977846)); #171914=DIRECTION('',(-0.7057315368844,0.,-0.708479355977846)); #171915=DIRECTION('',(0.,-1.,0.)); #171916=DIRECTION('',(-0.7057315368844,0.,-0.708479355977846)); #171917=DIRECTION('center_axis',(-0.619330478426815,0.,0.785130408589307)); #171918=DIRECTION('ref_axis',(-0.785130408589307,0.,-0.619330478426815)); #171919=DIRECTION('',(-0.785130408589307,0.,-0.619330478426815)); #171920=DIRECTION('',(0.,-1.,0.)); #171921=DIRECTION('',(-0.785130408589307,0.,-0.619330478426815)); #171922=DIRECTION('center_axis',(-0.509920031856185,0.,0.86022180925142)); #171923=DIRECTION('ref_axis',(-0.86022180925142,0.,-0.509920031856185)); #171924=DIRECTION('',(-0.86022180925142,0.,-0.509920031856185)); #171925=DIRECTION('',(0.,-1.,0.)); #171926=DIRECTION('',(-0.86022180925142,0.,-0.509920031856185)); #171927=DIRECTION('center_axis',(-0.403748969381913,0.,0.914869810258838)); #171928=DIRECTION('ref_axis',(-0.914869810258838,0.,-0.403748969381913)); #171929=DIRECTION('',(-0.914869810258838,0.,-0.403748969381913)); #171930=DIRECTION('',(0.,-1.,0.)); #171931=DIRECTION('',(-0.914869810258838,0.,-0.403748969381913)); #171932=DIRECTION('center_axis',(-0.307457922487439,0.,0.95156167740179)); #171933=DIRECTION('ref_axis',(-0.95156167740179,0.,-0.307457922487439)); #171934=DIRECTION('',(-0.95156167740179,0.,-0.307457922487439)); #171935=DIRECTION('',(0.,-1.,0.)); #171936=DIRECTION('',(-0.95156167740179,0.,-0.307457922487439)); #171937=DIRECTION('center_axis',(-0.22116860859669,0.,0.975235585164633)); #171938=DIRECTION('ref_axis',(-0.975235585164633,0.,-0.22116860859669)); #171939=DIRECTION('',(-0.975235585164633,0.,-0.22116860859669)); #171940=DIRECTION('',(0.,-1.,0.)); #171941=DIRECTION('',(-0.975235585164633,0.,-0.22116860859669)); #171942=DIRECTION('center_axis',(-0.146291934737049,0.,0.989241461843816)); #171943=DIRECTION('ref_axis',(-0.989241461843817,0.,-0.146291934737049)); #171944=DIRECTION('',(-0.989241461843817,0.,-0.146291934737049)); #171945=DIRECTION('',(0.,-1.,0.)); #171946=DIRECTION('',(-0.989241461843817,0.,-0.146291934737049)); #171947=DIRECTION('center_axis',(-0.0822302384957224,0.,0.996613359270754)); #171948=DIRECTION('ref_axis',(-0.996613359270754,0.,-0.0822302384957224)); #171949=DIRECTION('',(-0.996613359270754,0.,-0.0822302384957224)); #171950=DIRECTION('',(0.,-1.,0.)); #171951=DIRECTION('',(-0.996613359270754,0.,-0.0822302384957224)); #171952=DIRECTION('center_axis',(-0.0262543770125863,0.,0.999655294432876)); #171953=DIRECTION('ref_axis',(-0.999655294432877,0.,-0.0262543770125863)); #171954=DIRECTION('',(-0.999655294432877,0.,-0.0262543770125863)); #171955=DIRECTION('',(0.,-1.,0.)); #171956=DIRECTION('',(-0.999655294432876,0.,-0.0262543770125863)); #171957=DIRECTION('center_axis',(-0.00013683634253173,0.,0.999999990637908)); #171958=DIRECTION('ref_axis',(-0.999999990637908,0.,-0.00013683634253173)); #171959=DIRECTION('',(-0.999999990637908,0.,-0.00013683634253173)); #171960=DIRECTION('',(0.,-1.,0.)); #171961=DIRECTION('',(-0.999999990637908,0.,-0.00013683634253173)); #171962=DIRECTION('center_axis',(0.,-1.,0.)); #171963=DIRECTION('ref_axis',(-0.993344068625221,0.,-0.115184900603734)); #171964=DIRECTION('center_axis',(0.,-1.,0.)); #171965=DIRECTION('ref_axis',(-0.993344068625221,0.,-0.115184900603734)); #171966=DIRECTION('',(0.,-1.,0.)); #171967=DIRECTION('center_axis',(0.,-1.,0.)); #171968=DIRECTION('ref_axis',(-0.993344068625221,0.,-0.115184900603734)); #171969=DIRECTION('center_axis',(0.,-1.,0.)); #171970=DIRECTION('ref_axis',(-0.288324894985896,0.,0.957532639094549)); #171971=DIRECTION('center_axis',(0.,-1.,0.)); #171972=DIRECTION('ref_axis',(-0.288324894985896,0.,0.957532639094549)); #171973=DIRECTION('',(0.,-1.,0.)); #171974=DIRECTION('center_axis',(0.,-1.,0.)); #171975=DIRECTION('ref_axis',(-0.288324894985896,0.,0.957532639094549)); #171976=DIRECTION('center_axis',(0.,-1.,0.)); #171977=DIRECTION('ref_axis',(0.545540846290704,0.,0.838084235043484)); #171978=DIRECTION('center_axis',(0.,-1.,0.)); #171979=DIRECTION('ref_axis',(0.545540846290704,0.,0.838084235043484)); #171980=DIRECTION('',(0.,-1.,0.)); #171981=DIRECTION('center_axis',(0.,-1.,0.)); #171982=DIRECTION('ref_axis',(0.545540846290704,0.,0.838084235043484)); #171983=DIRECTION('center_axis',(0.,-1.,0.)); #171984=DIRECTION('ref_axis',(0.981608928604136,0.,0.190902884432481)); #171985=DIRECTION('center_axis',(0.,-1.,0.)); #171986=DIRECTION('ref_axis',(0.981608928604136,0.,0.190902884432481)); #171987=DIRECTION('',(0.,-1.,0.)); #171988=DIRECTION('center_axis',(0.,-1.,0.)); #171989=DIRECTION('ref_axis',(0.981608928604136,0.,0.190902884432481)); #171990=DIRECTION('center_axis',(0.954911151146804,0.,0.296891720018402)); #171991=DIRECTION('ref_axis',(-0.296891720018402,0.,0.954911151146804)); #171992=DIRECTION('',(-0.296891720018402,0.,0.954911151146804)); #171993=DIRECTION('',(0.,-1.,0.)); #171994=DIRECTION('',(-0.296891720018402,0.,0.954911151146804)); #171995=DIRECTION('center_axis',(0.,-1.,0.)); #171996=DIRECTION('ref_axis',(0.979673505029248,0.,0.2005986628662)); #171997=DIRECTION('center_axis',(0.,-1.,0.)); #171998=DIRECTION('ref_axis',(0.979673505029248,0.,0.2005986628662)); #171999=DIRECTION('',(0.,-1.,0.)); #172000=DIRECTION('center_axis',(0.,-1.,0.)); #172001=DIRECTION('ref_axis',(0.979673505029248,0.,0.2005986628662)); #172002=DIRECTION('center_axis',(0.,-1.,0.)); #172003=DIRECTION('ref_axis',(-0.0793522019561327,0.,-0.996846642189616)); #172004=DIRECTION('center_axis',(0.,1.,0.)); #172005=DIRECTION('ref_axis',(-0.0793522019561327,0.,-0.996846642189616)); #172006=DIRECTION('',(0.,-1.,0.)); #172007=DIRECTION('center_axis',(0.,1.,0.)); #172008=DIRECTION('ref_axis',(-0.0793522019561327,0.,-0.996846642189616)); #172009=DIRECTION('center_axis',(0.,-1.,0.)); #172010=DIRECTION('ref_axis',(0.821318401502289,0.,-0.570470054738831)); #172011=DIRECTION('center_axis',(0.,1.,0.)); #172012=DIRECTION('ref_axis',(0.821318401502289,0.,-0.570470054738831)); #172013=DIRECTION('',(0.,-1.,0.)); #172014=DIRECTION('center_axis',(0.,1.,0.)); #172015=DIRECTION('ref_axis',(0.821318401502289,0.,-0.570470054738831)); #172016=DIRECTION('center_axis',(0.,-1.,0.)); #172017=DIRECTION('ref_axis',(0.962668625359427,0.,0.27068268830605)); #172018=DIRECTION('center_axis',(0.,1.,0.)); #172019=DIRECTION('ref_axis',(0.962668625359427,0.,0.27068268830605)); #172020=DIRECTION('',(0.,-1.,0.)); #172021=DIRECTION('center_axis',(0.,1.,0.)); #172022=DIRECTION('ref_axis',(0.962668625359427,0.,0.27068268830605)); #172023=DIRECTION('center_axis',(0.,-1.,0.)); #172024=DIRECTION('ref_axis',(0.287878937899112,0.,0.957666809028108)); #172025=DIRECTION('center_axis',(0.,1.,0.)); #172026=DIRECTION('ref_axis',(0.287878937899112,0.,0.957666809028108)); #172027=DIRECTION('',(0.,-1.,0.)); #172028=DIRECTION('center_axis',(0.,1.,0.)); #172029=DIRECTION('ref_axis',(0.287878937899112,0.,0.957666809028108)); #172030=DIRECTION('center_axis',(-0.140616338023237,0.,-0.990064162305118)); #172031=DIRECTION('ref_axis',(0.990064162305118,0.,-0.140616338023237)); #172032=DIRECTION('',(0.990064162305118,0.,-0.140616338023237)); #172033=DIRECTION('',(0.,-1.,0.)); #172034=DIRECTION('',(0.990064162305118,0.,-0.140616338023237)); #172035=DIRECTION('center_axis',(0.,-1.,0.)); #172036=DIRECTION('ref_axis',(-0.143951329562349,0.,-0.989584768838543)); #172037=DIRECTION('center_axis',(0.,-1.,0.)); #172038=DIRECTION('ref_axis',(-0.143951329562349,0.,-0.989584768838543)); #172039=DIRECTION('',(0.,-1.,0.)); #172040=DIRECTION('center_axis',(0.,-1.,0.)); #172041=DIRECTION('ref_axis',(-0.143951329562349,0.,-0.989584768838543)); #172042=DIRECTION('center_axis',(-0.231826924477212,0.,-0.972757049364042)); #172043=DIRECTION('ref_axis',(0.972757049364042,0.,-0.231826924477212)); #172044=DIRECTION('',(0.972757049364042,0.,-0.231826924477212)); #172045=DIRECTION('',(0.,-1.,0.)); #172046=DIRECTION('',(0.972757049364042,0.,-0.231826924477212)); #172047=DIRECTION('center_axis',(-0.314923943082686,0.,-0.949116910645498)); #172048=DIRECTION('ref_axis',(0.949116910645498,0.,-0.314923943082686)); #172049=DIRECTION('',(0.949116910645498,0.,-0.314923943082686)); #172050=DIRECTION('',(0.,-1.,0.)); #172051=DIRECTION('',(0.949116910645498,0.,-0.314923943082686)); #172052=DIRECTION('center_axis',(-0.40707407467718,0.,-0.913395148731215)); #172053=DIRECTION('ref_axis',(0.913395148731215,0.,-0.40707407467718)); #172054=DIRECTION('',(0.913395148731215,0.,-0.40707407467718)); #172055=DIRECTION('',(0.,-1.,0.)); #172056=DIRECTION('',(0.913395148731215,0.,-0.40707407467718)); #172057=DIRECTION('center_axis',(-0.507216275061246,0.,-0.861818803643199)); #172058=DIRECTION('ref_axis',(0.861818803643199,0.,-0.507216275061246)); #172059=DIRECTION('',(0.861818803643199,0.,-0.507216275061246)); #172060=DIRECTION('',(0.,-1.,0.)); #172061=DIRECTION('',(0.861818803643199,0.,-0.507216275061246)); #172062=DIRECTION('center_axis',(-0.610619009545829,0.,-0.79192450724881)); #172063=DIRECTION('ref_axis',(0.79192450724881,0.,-0.610619009545829)); #172064=DIRECTION('',(0.79192450724881,0.,-0.610619009545829)); #172065=DIRECTION('',(0.,-1.,0.)); #172066=DIRECTION('',(0.79192450724881,0.,-0.610619009545829)); #172067=DIRECTION('center_axis',(-0.699669755851218,0.,-0.714466397213401)); #172068=DIRECTION('ref_axis',(0.714466397213401,0.,-0.699669755851218)); #172069=DIRECTION('',(0.714466397213401,0.,-0.699669755851218)); #172070=DIRECTION('',(0.,-1.,0.)); #172071=DIRECTION('',(0.714466397213401,0.,-0.699669755851218)); #172072=DIRECTION('center_axis',(-0.767068198600958,0.,-0.641565568508069)); #172073=DIRECTION('ref_axis',(0.641565568508069,0.,-0.767068198600958)); #172074=DIRECTION('',(0.641565568508069,0.,-0.767068198600958)); #172075=DIRECTION('',(0.,-1.,0.)); #172076=DIRECTION('',(0.641565568508069,0.,-0.767068198600958)); #172077=DIRECTION('center_axis',(-0.832087866106034,0.,-0.554643834437117)); #172078=DIRECTION('ref_axis',(0.554643834437117,0.,-0.832087866106034)); #172079=DIRECTION('',(0.554643834437117,0.,-0.832087866106034)); #172080=DIRECTION('',(0.,-1.,0.)); #172081=DIRECTION('',(0.554643834437117,0.,-0.832087866106034)); #172082=DIRECTION('center_axis',(-0.886828598152684,0.,-0.462098514927872)); #172083=DIRECTION('ref_axis',(0.462098514927872,0.,-0.886828598152684)); #172084=DIRECTION('',(0.462098514927872,0.,-0.886828598152684)); #172085=DIRECTION('',(0.,-1.,0.)); #172086=DIRECTION('',(0.462098514927872,0.,-0.886828598152684)); #172087=DIRECTION('center_axis',(-0.930842588823247,0.,-0.365420408342003)); #172088=DIRECTION('ref_axis',(0.365420408342003,0.,-0.930842588823247)); #172089=DIRECTION('',(0.365420408342003,0.,-0.930842588823247)); #172090=DIRECTION('',(0.,-1.,0.)); #172091=DIRECTION('',(0.365420408342003,0.,-0.930842588823247)); #172092=DIRECTION('center_axis',(-0.963927640320886,0.,-0.266164430804359)); #172093=DIRECTION('ref_axis',(0.266164430804359,0.,-0.963927640320886)); #172094=DIRECTION('',(0.266164430804359,0.,-0.963927640320886)); #172095=DIRECTION('',(0.,-1.,0.)); #172096=DIRECTION('',(0.266164430804359,0.,-0.963927640320886)); #172097=DIRECTION('center_axis',(-0.985519991953718,0.,-0.169559268279688)); #172098=DIRECTION('ref_axis',(0.169559268279688,0.,-0.985519991953718)); #172099=DIRECTION('',(0.169559268279688,0.,-0.985519991953718)); #172100=DIRECTION('',(0.,-1.,0.)); #172101=DIRECTION('',(0.169559268279688,0.,-0.985519991953718)); #172102=DIRECTION('center_axis',(-0.997104125506442,0.,-0.0760484246913378)); #172103=DIRECTION('ref_axis',(0.0760484246913378,0.,-0.997104125506442)); #172104=DIRECTION('',(0.0760484246913378,0.,-0.997104125506442)); #172105=DIRECTION('',(0.,-1.,0.)); #172106=DIRECTION('',(0.0760484246913378,0.,-0.997104125506442)); #172107=DIRECTION('center_axis',(-0.999918171635336,0.,0.0127925772792731)); #172108=DIRECTION('ref_axis',(-0.0127925772792731,0.,-0.999918171635336)); #172109=DIRECTION('',(-0.0127925772792731,0.,-0.999918171635336)); #172110=DIRECTION('',(0.,-1.,0.)); #172111=DIRECTION('',(-0.0127925772792731,0.,-0.999918171635336)); #172112=DIRECTION('center_axis',(-0.994413753135463,0.,0.10555229781981)); #172113=DIRECTION('ref_axis',(-0.10555229781981,0.,-0.994413753135463)); #172114=DIRECTION('',(-0.10555229781981,0.,-0.994413753135463)); #172115=DIRECTION('',(0.,-1.,0.)); #172116=DIRECTION('',(-0.10555229781981,0.,-0.994413753135463)); #172117=DIRECTION('center_axis',(-0.978880583148793,0.,0.20443288369115)); #172118=DIRECTION('ref_axis',(-0.20443288369115,0.,-0.978880583148793)); #172119=DIRECTION('',(-0.20443288369115,0.,-0.978880583148793)); #172120=DIRECTION('',(0.,-1.,0.)); #172121=DIRECTION('',(-0.20443288369115,0.,-0.978880583148793)); #172122=DIRECTION('center_axis',(-0.951546873749618,0.,0.307503735029884)); #172123=DIRECTION('ref_axis',(-0.307503735029884,0.,-0.951546873749618)); #172124=DIRECTION('',(-0.307503735029884,0.,-0.951546873749618)); #172125=DIRECTION('',(0.,-1.,0.)); #172126=DIRECTION('',(-0.307503735029883,0.,-0.951546873749618)); #172127=DIRECTION('center_axis',(-0.911545595587585,0.,0.411199011629254)); #172128=DIRECTION('ref_axis',(-0.411199011629254,0.,-0.911545595587585)); #172129=DIRECTION('',(-0.411199011629254,0.,-0.911545595587585)); #172130=DIRECTION('',(0.,-1.,0.)); #172131=DIRECTION('',(-0.411199011629254,0.,-0.911545595587585)); #172132=DIRECTION('center_axis',(-0.85949684125432,0.,0.511141056728812)); #172133=DIRECTION('ref_axis',(-0.511141056728812,0.,-0.85949684125432)); #172134=DIRECTION('',(-0.511141056728812,0.,-0.85949684125432)); #172135=DIRECTION('',(0.,-1.,0.)); #172136=DIRECTION('',(-0.511141056728812,0.,-0.85949684125432)); #172137=DIRECTION('center_axis',(-0.796563109582215,0.,0.604555384106958)); #172138=DIRECTION('ref_axis',(-0.604555384106958,0.,-0.796563109582215)); #172139=DIRECTION('',(-0.604555384106958,0.,-0.796563109582215)); #172140=DIRECTION('',(0.,-1.,0.)); #172141=DIRECTION('',(-0.604555384106958,0.,-0.796563109582215)); #172142=DIRECTION('center_axis',(-0.724844147656792,0.,0.688912883903109)); #172143=DIRECTION('ref_axis',(-0.688912883903109,0.,-0.724844147656792)); #172144=DIRECTION('',(-0.688912883903109,0.,-0.724844147656792)); #172145=DIRECTION('',(0.,-1.,0.)); #172146=DIRECTION('',(-0.688912883903109,0.,-0.724844147656792)); #172147=DIRECTION('center_axis',(-0.650153955171755,0.,0.759802497083633)); #172148=DIRECTION('ref_axis',(-0.759802497083633,0.,-0.650153955171755)); #172149=DIRECTION('',(-0.759802497083633,0.,-0.650153955171755)); #172150=DIRECTION('',(0.,-1.,0.)); #172151=DIRECTION('',(-0.759802497083633,0.,-0.650153955171755)); #172152=DIRECTION('center_axis',(-0.540208672899979,0.,0.841531098488727)); #172153=DIRECTION('ref_axis',(-0.841531098488727,0.,-0.540208672899979)); #172154=DIRECTION('',(-0.841531098488727,0.,-0.540208672899979)); #172155=DIRECTION('',(0.,-1.,0.)); #172156=DIRECTION('',(-0.841531098488727,0.,-0.540208672899979)); #172157=DIRECTION('center_axis',(-0.42813669719733,0.,0.903713986011593)); #172158=DIRECTION('ref_axis',(-0.903713986011593,0.,-0.42813669719733)); #172159=DIRECTION('',(-0.903713986011593,0.,-0.42813669719733)); #172160=DIRECTION('',(0.,-1.,0.)); #172161=DIRECTION('',(-0.903713986011593,0.,-0.42813669719733)); #172162=DIRECTION('center_axis',(0.,-1.,0.)); #172163=DIRECTION('ref_axis',(0.19596578066702,0.,0.980610734597356)); #172164=DIRECTION('center_axis',(0.,-1.,0.)); #172165=DIRECTION('ref_axis',(0.19596578066702,0.,0.980610734597356)); #172166=DIRECTION('',(0.,-1.,0.)); #172167=DIRECTION('center_axis',(0.,-1.,0.)); #172168=DIRECTION('ref_axis',(0.19596578066702,0.,0.980610734597356)); #172169=DIRECTION('center_axis',(0.0703248242946405,0.,0.997524144614018)); #172170=DIRECTION('ref_axis',(-0.997524144614018,0.,0.0703248242946405)); #172171=DIRECTION('',(-0.997524144614018,0.,0.0703248242946405)); #172172=DIRECTION('',(0.,-1.,0.)); #172173=DIRECTION('',(-0.997524144614018,0.,0.0703248242946405)); #172174=DIRECTION('center_axis',(0.135066116368724,0.,0.990836588045209)); #172175=DIRECTION('ref_axis',(-0.990836588045209,0.,0.135066116368724)); #172176=DIRECTION('',(-0.990836588045209,0.,0.135066116368724)); #172177=DIRECTION('',(0.,-1.,0.)); #172178=DIRECTION('',(-0.990836588045209,0.,0.135066116368724)); #172179=DIRECTION('center_axis',(0.209640416349865,0.,0.977778551530281)); #172180=DIRECTION('ref_axis',(-0.977778551530281,0.,0.209640416349865)); #172181=DIRECTION('',(-0.977778551530281,0.,0.209640416349865)); #172182=DIRECTION('',(0.,-1.,0.)); #172183=DIRECTION('',(-0.977778551530281,0.,0.209640416349865)); #172184=DIRECTION('center_axis',(0.295013950894528,0.,0.955492945435811)); #172185=DIRECTION('ref_axis',(-0.955492945435811,0.,0.295013950894528)); #172186=DIRECTION('',(-0.955492945435811,0.,0.295013950894528)); #172187=DIRECTION('',(0.,-1.,0.)); #172188=DIRECTION('',(-0.955492945435811,0.,0.295013950894528)); #172189=DIRECTION('center_axis',(0.391285221022098,0.,0.92026945826192)); #172190=DIRECTION('ref_axis',(-0.92026945826192,0.,0.391285221022098)); #172191=DIRECTION('',(-0.92026945826192,0.,0.391285221022098)); #172192=DIRECTION('',(0.,-1.,0.)); #172193=DIRECTION('',(-0.92026945826192,0.,0.391285221022098)); #172194=DIRECTION('center_axis',(0.496641159104618,0.,0.867955966096911)); #172195=DIRECTION('ref_axis',(-0.867955966096911,0.,0.496641159104618)); #172196=DIRECTION('',(-0.867955966096911,0.,0.496641159104618)); #172197=DIRECTION('',(0.,-1.,0.)); #172198=DIRECTION('',(-0.867955966096911,0.,0.496641159104618)); #172199=DIRECTION('center_axis',(0.605881771162779,0.,0.795554699170745)); #172200=DIRECTION('ref_axis',(-0.795554699170745,0.,0.605881771162779)); #172201=DIRECTION('',(-0.795554699170745,0.,0.605881771162779)); #172202=DIRECTION('',(0.,-1.,0.)); #172203=DIRECTION('',(-0.795554699170745,0.,0.605881771162779)); #172204=DIRECTION('center_axis',(0.707438211542791,0.,0.706775195411623)); #172205=DIRECTION('ref_axis',(-0.706775195411623,0.,0.707438211542791)); #172206=DIRECTION('',(-0.706775195411623,0.,0.707438211542791)); #172207=DIRECTION('',(0.,-1.,0.)); #172208=DIRECTION('',(-0.706775195411623,0.,0.707438211542791)); #172209=DIRECTION('center_axis',(0.77225187416959,0.,0.635316490295629)); #172210=DIRECTION('ref_axis',(-0.635316490295629,0.,0.77225187416959)); #172211=DIRECTION('',(-0.635316490295629,0.,0.77225187416959)); #172212=DIRECTION('',(0.,-1.,0.)); #172213=DIRECTION('',(-0.635316490295629,0.,0.77225187416959)); #172214=DIRECTION('center_axis',(0.831692111435632,0.,0.555237095100588)); #172215=DIRECTION('ref_axis',(-0.555237095100588,0.,0.831692111435632)); #172216=DIRECTION('',(-0.555237095100588,0.,0.831692111435632)); #172217=DIRECTION('',(0.,-1.,0.)); #172218=DIRECTION('',(-0.555237095100588,0.,0.831692111435632)); #172219=DIRECTION('center_axis',(0.885296079361681,0.,0.465027796875451)); #172220=DIRECTION('ref_axis',(-0.465027796875451,0.,0.885296079361681)); #172221=DIRECTION('',(-0.465027796875451,0.,0.885296079361681)); #172222=DIRECTION('',(0.,-1.,0.)); #172223=DIRECTION('',(-0.465027796875451,0.,0.885296079361681)); #172224=DIRECTION('center_axis',(0.930061938384453,0.,0.367402763692047)); #172225=DIRECTION('ref_axis',(-0.367402763692047,0.,0.930061938384453)); #172226=DIRECTION('',(-0.367402763692047,0.,0.930061938384453)); #172227=DIRECTION('',(0.,-1.,0.)); #172228=DIRECTION('',(-0.367402763692047,0.,0.930061938384453)); #172229=DIRECTION('center_axis',(0.,-1.,0.)); #172230=DIRECTION('ref_axis',(0.995641718775444,0.,0.093260751840627)); #172231=DIRECTION('center_axis',(0.,-1.,0.)); #172232=DIRECTION('ref_axis',(0.995641718775444,0.,0.093260751840627)); #172233=DIRECTION('',(0.,-1.,0.)); #172234=DIRECTION('center_axis',(0.,-1.,0.)); #172235=DIRECTION('ref_axis',(0.995641718775444,0.,0.093260751840627)); #172236=DIRECTION('center_axis',(0.,-1.,0.)); #172237=DIRECTION('ref_axis',(0.993669166485408,0.,-0.112345839158356)); #172238=DIRECTION('center_axis',(0.,-1.,0.)); #172239=DIRECTION('ref_axis',(0.993669166485408,0.,-0.112345839158356)); #172240=DIRECTION('',(0.,-1.,0.)); #172241=DIRECTION('center_axis',(0.,-1.,0.)); #172242=DIRECTION('ref_axis',(0.993669166485408,0.,-0.112345839158356)); #172243=DIRECTION('center_axis',(0.974122720749888,0.,-0.22601974453316)); #172244=DIRECTION('ref_axis',(0.22601974453316,0.,0.974122720749889)); #172245=DIRECTION('',(0.22601974453316,0.,0.974122720749889)); #172246=DIRECTION('',(0.,-1.,0.)); #172247=DIRECTION('',(0.22601974453316,0.,0.974122720749889)); #172248=DIRECTION('center_axis',(0.944158658051707,0.,-0.329491165930135)); #172249=DIRECTION('ref_axis',(0.329491165930135,0.,0.944158658051707)); #172250=DIRECTION('',(0.329491165930135,0.,0.944158658051707)); #172251=DIRECTION('',(0.,-1.,0.)); #172252=DIRECTION('',(0.329491165930135,0.,0.944158658051707)); #172253=DIRECTION('center_axis',(0.901952429599545,0.,-0.431835402369325)); #172254=DIRECTION('ref_axis',(0.431835402369325,0.,0.901952429599545)); #172255=DIRECTION('',(0.431835402369325,0.,0.901952429599545)); #172256=DIRECTION('',(0.,-1.,0.)); #172257=DIRECTION('',(0.431835402369325,0.,0.901952429599545)); #172258=DIRECTION('center_axis',(0.847642639099502,0.,-0.530567579466019)); #172259=DIRECTION('ref_axis',(0.530567579466019,0.,0.847642639099502)); #172260=DIRECTION('',(0.530567579466019,0.,0.847642639099502)); #172261=DIRECTION('',(0.,-1.,0.)); #172262=DIRECTION('',(0.530567579466019,0.,0.847642639099502)); #172263=DIRECTION('center_axis',(0.,-1.,0.)); #172264=DIRECTION('ref_axis',(0.744189959056606,0.,-0.667968041779939)); #172265=DIRECTION('center_axis',(0.,-1.,0.)); #172266=DIRECTION('ref_axis',(0.744189959056606,0.,-0.667968041779939)); #172267=DIRECTION('',(0.,-1.,0.)); #172268=DIRECTION('center_axis',(0.,-1.,0.)); #172269=DIRECTION('ref_axis',(0.744189959056606,0.,-0.667968041779939)); #172270=DIRECTION('center_axis',(0.6201740400248,0.,-0.784464250351358)); #172271=DIRECTION('ref_axis',(0.784464250351358,0.,0.6201740400248)); #172272=DIRECTION('',(0.784464250351358,0.,0.6201740400248)); #172273=DIRECTION('',(0.,-1.,0.)); #172274=DIRECTION('',(0.784464250351358,0.,0.6201740400248)); #172275=DIRECTION('center_axis',(0.,-1.,0.)); #172276=DIRECTION('ref_axis',(0.413633951289431,0.,-0.910443273543548)); #172277=DIRECTION('center_axis',(0.,-1.,0.)); #172278=DIRECTION('ref_axis',(0.413633951289431,0.,-0.910443273543548)); #172279=DIRECTION('',(0.,-1.,0.)); #172280=DIRECTION('center_axis',(0.,-1.,0.)); #172281=DIRECTION('ref_axis',(0.413633951289431,0.,-0.910443273543548)); #172282=DIRECTION('center_axis',(0.312574783666085,0.,-0.949893154315842)); #172283=DIRECTION('ref_axis',(0.949893154315842,0.,0.312574783666085)); #172284=DIRECTION('',(0.949893154315842,0.,0.312574783666085)); #172285=DIRECTION('',(0.,-1.,0.)); #172286=DIRECTION('',(0.949893154315842,0.,0.312574783666085)); #172287=DIRECTION('center_axis',(0.226212472980044,0.,-0.974077983052822)); #172288=DIRECTION('ref_axis',(0.974077983052821,0.,0.226212472980044)); #172289=DIRECTION('',(0.974077983052821,0.,0.226212472980044)); #172290=DIRECTION('',(0.,-1.,0.)); #172291=DIRECTION('',(0.974077983052821,0.,0.226212472980044)); #172292=DIRECTION('center_axis',(0.14900280152512,0.,-0.988836773758777)); #172293=DIRECTION('ref_axis',(0.988836773758777,0.,0.14900280152512)); #172294=DIRECTION('',(0.988836773758777,0.,0.14900280152512)); #172295=DIRECTION('',(0.,-1.,0.)); #172296=DIRECTION('',(0.988836773758777,0.,0.14900280152512)); #172297=DIRECTION('center_axis',(0.0839135192356003,0.,-0.996473040924589)); #172298=DIRECTION('ref_axis',(0.996473040924588,0.,0.0839135192356003)); #172299=DIRECTION('',(0.996473040924588,0.,0.0839135192356003)); #172300=DIRECTION('',(0.996473040924588,0.,0.0839135192356003)); #172301=DIRECTION('center_axis',(0.,1.,0.)); #172302=DIRECTION('ref_axis',(1.,0.,0.)); #172303=DIRECTION('center_axis',(0.,-1.,0.)); #172304=DIRECTION('ref_axis',(-0.819591874325259,0.,0.57294778081428)); #172305=DIRECTION('center_axis',(0.,-1.,0.)); #172306=DIRECTION('ref_axis',(-0.819591874325259,0.,0.57294778081428)); #172307=DIRECTION('',(0.,-1.,0.)); #172308=DIRECTION('center_axis',(0.,-1.,0.)); #172309=DIRECTION('ref_axis',(-0.819591874325259,0.,0.57294778081428)); #172310=DIRECTION('',(0.,-1.,0.)); #172311=DIRECTION('center_axis',(0.,-1.,0.)); #172312=DIRECTION('ref_axis',(-0.575232040443781,0.,0.817990280900015)); #172313=DIRECTION('center_axis',(0.,-1.,0.)); #172314=DIRECTION('ref_axis',(-0.575232040443781,0.,0.817990280900015)); #172315=DIRECTION('',(0.,-1.,0.)); #172316=DIRECTION('center_axis',(0.,-1.,0.)); #172317=DIRECTION('ref_axis',(-0.575232040443781,0.,0.817990280900015)); #172318=DIRECTION('center_axis',(-0.500199575264783,0.,0.865910148286143)); #172319=DIRECTION('ref_axis',(-0.865910148286143,0.,-0.500199575264783)); #172320=DIRECTION('',(-0.865910148286143,0.,-0.500199575264783)); #172321=DIRECTION('',(0.,-1.,0.)); #172322=DIRECTION('',(-0.865910148286143,0.,-0.500199575264783)); #172323=DIRECTION('center_axis',(-0.409842296665578,0.,0.912156396603063)); #172324=DIRECTION('ref_axis',(-0.912156396603063,0.,-0.409842296665578)); #172325=DIRECTION('',(-0.912156396603063,0.,-0.409842296665578)); #172326=DIRECTION('',(0.,-1.,0.)); #172327=DIRECTION('',(-0.912156396603063,0.,-0.409842296665578)); #172328=DIRECTION('center_axis',(-0.310227542153829,0.,0.950662333370369)); #172329=DIRECTION('ref_axis',(-0.950662333370369,0.,-0.310227542153829)); #172330=DIRECTION('',(-0.950662333370369,0.,-0.310227542153829)); #172331=DIRECTION('',(0.,-1.,0.)); #172332=DIRECTION('',(-0.950662333370369,0.,-0.310227542153829)); #172333=DIRECTION('center_axis',(-0.205568256189978,0.,0.978642780613545)); #172334=DIRECTION('ref_axis',(-0.978642780613545,0.,-0.205568256189978)); #172335=DIRECTION('',(-0.978642780613545,0.,-0.205568256189978)); #172336=DIRECTION('',(0.,-1.,0.)); #172337=DIRECTION('',(-0.978642780613545,0.,-0.205568256189978)); #172338=DIRECTION('center_axis',(0.,-1.,0.)); #172339=DIRECTION('ref_axis',(-0.00560352812900795,0.,0.999984300113011)); #172340=DIRECTION('center_axis',(0.,-1.,0.)); #172341=DIRECTION('ref_axis',(-0.00560352812900795,0.,0.999984300113011)); #172342=DIRECTION('',(0.,-1.,0.)); #172343=DIRECTION('center_axis',(0.,-1.,0.)); #172344=DIRECTION('ref_axis',(-0.00560352812900795,0.,0.999984300113011)); #172345=DIRECTION('center_axis',(0.0780651675282232,0.,0.996948258245527)); #172346=DIRECTION('ref_axis',(-0.996948258245527,0.,0.0780651675282232)); #172347=DIRECTION('',(-0.996948258245527,0.,0.0780651675282232)); #172348=DIRECTION('',(0.,-1.,0.)); #172349=DIRECTION('',(-0.996948258245527,0.,0.0780651675282232)); #172350=DIRECTION('center_axis',(0.13238863225117,0.,0.991197886423627)); #172351=DIRECTION('ref_axis',(-0.991197886423627,0.,0.13238863225117)); #172352=DIRECTION('',(-0.991197886423627,0.,0.13238863225117)); #172353=DIRECTION('',(0.,-1.,0.)); #172354=DIRECTION('',(-0.991197886423627,0.,0.13238863225117)); #172355=DIRECTION('center_axis',(0.,-1.,0.)); #172356=DIRECTION('ref_axis',(0.38390575900317,0.,0.923372280396266)); #172357=DIRECTION('center_axis',(0.,-1.,0.)); #172358=DIRECTION('ref_axis',(0.38390575900317,0.,0.923372280396266)); #172359=DIRECTION('',(0.,-1.,0.)); #172360=DIRECTION('center_axis',(0.,-1.,0.)); #172361=DIRECTION('ref_axis',(0.38390575900317,0.,0.923372280396266)); #172362=DIRECTION('center_axis',(-0.999999998556004,0.,5.3740034945742E-5)); #172363=DIRECTION('ref_axis',(-5.3740034945742E-5,0.,-0.999999998556004)); #172364=DIRECTION('',(-5.3740034945742E-5,0.,-0.999999998556004)); #172365=DIRECTION('',(0.,-1.,0.)); #172366=DIRECTION('',(-5.3740034945742E-5,0.,-0.999999998556004)); #172367=DIRECTION('center_axis',(-0.988670712410751,0.,-0.150100707597329)); #172368=DIRECTION('ref_axis',(0.150100707597329,0.,-0.988670712410751)); #172369=DIRECTION('',(0.150100707597329,0.,-0.988670712410751)); #172370=DIRECTION('',(0.,-1.,0.)); #172371=DIRECTION('',(0.150100707597329,0.,-0.988670712410751)); #172372=DIRECTION('center_axis',(-8.45927072621122E-6,0.,-0.99999999996422)); #172373=DIRECTION('ref_axis',(0.99999999996422,0.,-8.45927072621122E-6)); #172374=DIRECTION('',(0.99999999996422,0.,-8.45927072621122E-6)); #172375=DIRECTION('',(0.,-1.,0.)); #172376=DIRECTION('',(0.99999999996422,0.,-8.45927072621122E-6)); #172377=DIRECTION('center_axis',(0.,-1.,0.)); #172378=DIRECTION('ref_axis',(-0.2265508457368,0.,-0.973999339987426)); #172379=DIRECTION('center_axis',(0.,-1.,0.)); #172380=DIRECTION('ref_axis',(-0.2265508457368,0.,-0.973999339987426)); #172381=DIRECTION('',(0.,-1.,0.)); #172382=DIRECTION('center_axis',(0.,-1.,0.)); #172383=DIRECTION('ref_axis',(-0.2265508457368,0.,-0.973999339987426)); #172384=DIRECTION('center_axis',(-0.30928813305087,0.,-0.95096837526487)); #172385=DIRECTION('ref_axis',(0.950968375264871,0.,-0.30928813305087)); #172386=DIRECTION('',(0.950968375264871,0.,-0.30928813305087)); #172387=DIRECTION('',(0.,-1.,0.)); #172388=DIRECTION('',(0.950968375264871,0.,-0.30928813305087)); #172389=DIRECTION('center_axis',(-0.408938281139207,0.,-0.912562042942238)); #172390=DIRECTION('ref_axis',(0.912562042942238,0.,-0.408938281139207)); #172391=DIRECTION('',(0.912562042942238,0.,-0.408938281139207)); #172392=DIRECTION('',(0.,-1.,0.)); #172393=DIRECTION('',(0.912562042942238,0.,-0.408938281139207)); #172394=DIRECTION('center_axis',(-0.517258168147621,0.,-0.855829414944688)); #172395=DIRECTION('ref_axis',(0.855829414944688,0.,-0.517258168147621)); #172396=DIRECTION('',(0.855829414944688,0.,-0.517258168147621)); #172397=DIRECTION('',(0.,-1.,0.)); #172398=DIRECTION('',(0.855829414944688,0.,-0.517258168147621)); #172399=DIRECTION('center_axis',(0.,-1.,0.)); #172400=DIRECTION('ref_axis',(-0.716592299860899,0.,-0.697492276502089)); #172401=DIRECTION('center_axis',(0.,-1.,0.)); #172402=DIRECTION('ref_axis',(-0.716592299860899,0.,-0.697492276502089)); #172403=DIRECTION('',(0.,-1.,0.)); #172404=DIRECTION('center_axis',(0.,-1.,0.)); #172405=DIRECTION('ref_axis',(-0.716592299860899,0.,-0.697492276502089)); #172406=DIRECTION('center_axis',(-0.776781492927833,0.,-0.62977020590435)); #172407=DIRECTION('ref_axis',(0.62977020590435,0.,-0.776781492927833)); #172408=DIRECTION('',(0.62977020590435,0.,-0.776781492927833)); #172409=DIRECTION('',(0.,-1.,0.)); #172410=DIRECTION('',(0.62977020590435,0.,-0.776781492927833)); #172411=DIRECTION('center_axis',(-0.84320578012877,0.,-0.537590934035752)); #172412=DIRECTION('ref_axis',(0.537590934035752,0.,-0.84320578012877)); #172413=DIRECTION('',(0.537590934035752,0.,-0.84320578012877)); #172414=DIRECTION('',(0.,-1.,0.)); #172415=DIRECTION('',(0.537590934035752,0.,-0.84320578012877)); #172416=DIRECTION('center_axis',(-0.899198035892068,0.,-0.437541874850678)); #172417=DIRECTION('ref_axis',(0.437541874850678,0.,-0.899198035892068)); #172418=DIRECTION('',(0.437541874850678,0.,-0.899198035892068)); #172419=DIRECTION('',(0.,-1.,0.)); #172420=DIRECTION('',(0.437541874850678,0.,-0.899198035892068)); #172421=DIRECTION('center_axis',(-0.942589439162444,0.,-0.33395381294339)); #172422=DIRECTION('ref_axis',(0.33395381294339,0.,-0.942589439162444)); #172423=DIRECTION('',(0.33395381294339,0.,-0.942589439162444)); #172424=DIRECTION('',(0.,-1.,0.)); #172425=DIRECTION('',(0.33395381294339,0.,-0.942589439162444)); #172426=DIRECTION('center_axis',(-0.97327691180935,0.,-0.229634607450305)); #172427=DIRECTION('ref_axis',(0.229634607450305,0.,-0.97327691180935)); #172428=DIRECTION('',(0.229634607450305,0.,-0.97327691180935)); #172429=DIRECTION('',(0.,-1.,0.)); #172430=DIRECTION('',(0.229634607450305,0.,-0.97327691180935)); #172431=DIRECTION('center_axis',(0.,-1.,0.)); #172432=DIRECTION('ref_axis',(-0.999999952927316,0.,-0.000306831168106818)); #172433=DIRECTION('center_axis',(0.,-1.,0.)); #172434=DIRECTION('ref_axis',(-0.999999952927316,0.,-0.000306831168106818)); #172435=DIRECTION('',(0.,-1.,0.)); #172436=DIRECTION('center_axis',(0.,-1.,0.)); #172437=DIRECTION('ref_axis',(-0.999999952927316,0.,-0.000306831168106818)); #172438=DIRECTION('center_axis',(-0.998067882097453,0.,0.0621329439629623)); #172439=DIRECTION('ref_axis',(-0.0621329439629623,0.,-0.998067882097453)); #172440=DIRECTION('',(-0.0621329439629623,0.,-0.998067882097453)); #172441=DIRECTION('',(0.,-1.,0.)); #172442=DIRECTION('',(-0.0621329439629623,0.,-0.998067882097453)); #172443=DIRECTION('center_axis',(-0.986547741019496,0.,0.163473406673164)); #172444=DIRECTION('ref_axis',(-0.163473406673164,0.,-0.986547741019496)); #172445=DIRECTION('',(-0.163473406673164,0.,-0.986547741019496)); #172446=DIRECTION('',(0.,-1.,0.)); #172447=DIRECTION('',(-0.163473406673164,0.,-0.986547741019496)); #172448=DIRECTION('center_axis',(0.,-1.,0.)); #172449=DIRECTION('ref_axis',(-0.921694536593635,0.,0.387916461642768)); #172450=DIRECTION('center_axis',(0.,-1.,0.)); #172451=DIRECTION('ref_axis',(-0.921694536593635,0.,0.387916461642768)); #172452=DIRECTION('',(0.,-1.,0.)); #172453=DIRECTION('center_axis',(0.,-1.,0.)); #172454=DIRECTION('ref_axis',(-0.921694536593635,0.,0.387916461642768)); #172455=DIRECTION('center_axis',(0.,-1.,0.)); #172456=DIRECTION('ref_axis',(-0.756801687692735,0.,0.653644555936503)); #172457=DIRECTION('center_axis',(0.,-1.,0.)); #172458=DIRECTION('ref_axis',(-0.756801687692735,0.,0.653644555936503)); #172459=DIRECTION('',(0.,-1.,0.)); #172460=DIRECTION('center_axis',(0.,-1.,0.)); #172461=DIRECTION('ref_axis',(-0.756801687692735,0.,0.653644555936503)); #172462=DIRECTION('center_axis',(-0.704936244067621,0.,0.709270675976271)); #172463=DIRECTION('ref_axis',(-0.709270675976271,0.,-0.704936244067621)); #172464=DIRECTION('',(-0.709270675976271,0.,-0.704936244067621)); #172465=DIRECTION('',(0.,-1.,0.)); #172466=DIRECTION('',(-0.709270675976271,0.,-0.704936244067621)); #172467=DIRECTION('center_axis',(0.,-1.,0.)); #172468=DIRECTION('ref_axis',(0.0364315810484341,0.,0.99933614960248)); #172469=DIRECTION('center_axis',(0.,-1.,0.)); #172470=DIRECTION('ref_axis',(0.0364315810484341,0.,0.99933614960248)); #172471=DIRECTION('',(0.,-1.,0.)); #172472=DIRECTION('center_axis',(0.,-1.,0.)); #172473=DIRECTION('ref_axis',(0.0364315810484341,0.,0.99933614960248)); #172474=DIRECTION('center_axis',(0.,-1.,0.)); #172475=DIRECTION('ref_axis',(0.00157782857410646,0.,0.999998755227721)); #172476=DIRECTION('center_axis',(0.,-1.,0.)); #172477=DIRECTION('ref_axis',(0.00157782857410646,0.,0.999998755227721)); #172478=DIRECTION('',(0.,-1.,0.)); #172479=DIRECTION('center_axis',(0.,-1.,0.)); #172480=DIRECTION('ref_axis',(0.00157782857410646,0.,0.999998755227721)); #172481=DIRECTION('center_axis',(0.,-1.,0.)); #172482=DIRECTION('ref_axis',(0.356515389766504,0.,0.934289450255989)); #172483=DIRECTION('center_axis',(0.,-1.,0.)); #172484=DIRECTION('ref_axis',(0.356515389766504,0.,0.934289450255989)); #172485=DIRECTION('',(0.,-1.,0.)); #172486=DIRECTION('center_axis',(0.,-1.,0.)); #172487=DIRECTION('ref_axis',(0.356515389766504,0.,0.934289450255989)); #172488=DIRECTION('center_axis',(0.467237725748886,0.,0.884131725274582)); #172489=DIRECTION('ref_axis',(-0.884131725274582,0.,0.467237725748886)); #172490=DIRECTION('',(-0.884131725274582,0.,0.467237725748886)); #172491=DIRECTION('',(0.,-1.,0.)); #172492=DIRECTION('',(-0.884131725274582,0.,0.467237725748886)); #172493=DIRECTION('center_axis',(0.,-1.,0.)); #172494=DIRECTION('ref_axis',(0.699050915092624,0.,0.715071897160114)); #172495=DIRECTION('center_axis',(0.,-1.,0.)); #172496=DIRECTION('ref_axis',(0.699050915092624,0.,0.715071897160114)); #172497=DIRECTION('',(0.,-1.,0.)); #172498=DIRECTION('center_axis',(0.,-1.,0.)); #172499=DIRECTION('ref_axis',(0.699050915092624,0.,0.715071897160114)); #172500=DIRECTION('center_axis',(0.756400819509637,0.,0.654108400989583)); #172501=DIRECTION('ref_axis',(-0.654108400989583,0.,0.756400819509637)); #172502=DIRECTION('',(-0.654108400989583,0.,0.756400819509637)); #172503=DIRECTION('',(0.,-1.,0.)); #172504=DIRECTION('',(-0.654108400989583,0.,0.756400819509637)); #172505=DIRECTION('center_axis',(0.817116717598773,0.,0.57647226283717)); #172506=DIRECTION('ref_axis',(-0.57647226283717,0.,0.817116717598773)); #172507=DIRECTION('',(-0.57647226283717,0.,0.817116717598773)); #172508=DIRECTION('',(0.,-1.,0.)); #172509=DIRECTION('',(-0.57647226283717,0.,0.817116717598773)); #172510=DIRECTION('center_axis',(0.872534659638863,0.,0.48855221596969)); #172511=DIRECTION('ref_axis',(-0.48855221596969,0.,0.872534659638863)); #172512=DIRECTION('',(-0.48855221596969,0.,0.872534659638863)); #172513=DIRECTION('',(0.,-1.,0.)); #172514=DIRECTION('',(-0.48855221596969,0.,0.872534659638863)); #172515=DIRECTION('center_axis',(0.92000894669468,0.,0.391897356461797)); #172516=DIRECTION('ref_axis',(-0.391897356461797,0.,0.92000894669468)); #172517=DIRECTION('',(-0.391897356461797,0.,0.92000894669468)); #172518=DIRECTION('',(0.,-1.,0.)); #172519=DIRECTION('',(-0.391897356461797,0.,0.92000894669468)); #172520=DIRECTION('center_axis',(0.957051375652307,0.,0.289918375343865)); #172521=DIRECTION('ref_axis',(-0.289918375343865,0.,0.957051375652307)); #172522=DIRECTION('',(-0.289918375343865,0.,0.957051375652307)); #172523=DIRECTION('',(0.,-1.,0.)); #172524=DIRECTION('',(-0.289918375343865,0.,0.957051375652307)); #172525=DIRECTION('center_axis',(0.982543900886145,0.,0.186030865265519)); #172526=DIRECTION('ref_axis',(-0.186030865265519,0.,0.982543900886145)); #172527=DIRECTION('',(-0.186030865265519,0.,0.982543900886145)); #172528=DIRECTION('',(0.,-1.,0.)); #172529=DIRECTION('',(-0.186030865265519,0.,0.982543900886145)); #172530=DIRECTION('center_axis',(0.,-1.,0.)); #172531=DIRECTION('ref_axis',(0.999360787260655,0.,-0.035749362032963)); #172532=DIRECTION('center_axis',(0.,-1.,0.)); #172533=DIRECTION('ref_axis',(0.999360787260655,0.,-0.035749362032963)); #172534=DIRECTION('',(0.,-1.,0.)); #172535=DIRECTION('center_axis',(0.,-1.,0.)); #172536=DIRECTION('ref_axis',(0.999360787260655,0.,-0.035749362032963)); #172537=DIRECTION('center_axis',(0.99461539446675,0.,-0.103635018645977)); #172538=DIRECTION('ref_axis',(0.103635018645977,0.,0.99461539446675)); #172539=DIRECTION('',(0.103635018645977,0.,0.99461539446675)); #172540=DIRECTION('',(0.,-1.,0.)); #172541=DIRECTION('',(0.103635018645977,0.,0.99461539446675)); #172542=DIRECTION('center_axis',(0.,-1.,0.)); #172543=DIRECTION('ref_axis',(0.944231879083107,0.,-0.329281275694784)); #172544=DIRECTION('center_axis',(0.,-1.,0.)); #172545=DIRECTION('ref_axis',(0.944231879083107,0.,-0.329281275694784)); #172546=DIRECTION('',(0.,-1.,0.)); #172547=DIRECTION('center_axis',(0.,-1.,0.)); #172548=DIRECTION('ref_axis',(0.944231879083107,0.,-0.329281275694784)); #172549=DIRECTION('center_axis',(0.911653193659413,0.,-0.410960405015608)); #172550=DIRECTION('ref_axis',(0.410960405015608,0.,0.911653193659413)); #172551=DIRECTION('',(0.410960405015608,0.,0.911653193659413)); #172552=DIRECTION('',(0.,-1.,0.)); #172553=DIRECTION('',(0.410960405015608,0.,0.911653193659413)); #172554=DIRECTION('center_axis',(0.858801112511215,0.,-0.512309134360787)); #172555=DIRECTION('ref_axis',(0.512309134360787,0.,0.858801112511215)); #172556=DIRECTION('',(0.512309134360787,0.,0.858801112511215)); #172557=DIRECTION('',(0.,-1.,0.)); #172558=DIRECTION('',(0.512309134360787,0.,0.858801112511215)); #172559=DIRECTION('center_axis',(0.,-1.,0.)); #172560=DIRECTION('ref_axis',(0.7146333415493,0.,-0.699499240275557)); #172561=DIRECTION('center_axis',(0.,-1.,0.)); #172562=DIRECTION('ref_axis',(0.7146333415493,0.,-0.699499240275557)); #172563=DIRECTION('',(0.,-1.,0.)); #172564=DIRECTION('center_axis',(0.,-1.,0.)); #172565=DIRECTION('ref_axis',(0.7146333415493,0.,-0.699499240275557)); #172566=DIRECTION('center_axis',(0.647277081891922,0.,-0.762254799432236)); #172567=DIRECTION('ref_axis',(0.762254799432236,0.,0.647277081891922)); #172568=DIRECTION('',(0.762254799432236,0.,0.647277081891922)); #172569=DIRECTION('',(0.,-1.,0.)); #172570=DIRECTION('',(0.762254799432236,0.,0.647277081891922)); #172571=DIRECTION('center_axis',(0.,-1.,0.)); #172572=DIRECTION('ref_axis',(-0.0724431918983,0.,-0.997372540201296)); #172573=DIRECTION('center_axis',(0.,-1.,0.)); #172574=DIRECTION('ref_axis',(-0.0724431918983,0.,-0.997372540201296)); #172575=DIRECTION('',(0.,-1.,0.)); #172576=DIRECTION('center_axis',(0.,-1.,0.)); #172577=DIRECTION('ref_axis',(-0.0724431918983,0.,-0.997372540201296)); #172578=DIRECTION('center_axis',(-0.000184319237799592,0.,-0.999999983013209)); #172579=DIRECTION('ref_axis',(0.999999983013209,0.,-0.000184319237799592)); #172580=DIRECTION('',(0.999999983013209,0.,-0.000184319237799592)); #172581=DIRECTION('',(0.,-1.,0.)); #172582=DIRECTION('',(0.999999983013209,0.,-0.000184319237799592)); #172583=DIRECTION('center_axis',(0.999999706679797,0.,-0.000765924487275052)); #172584=DIRECTION('ref_axis',(0.000765924487275052,0.,0.999999706679797)); #172585=DIRECTION('',(0.000765924487275052,0.,0.999999706679797)); #172586=DIRECTION('',(0.,-1.,0.)); #172587=DIRECTION('',(0.000765924487275052,0.,0.999999706679797)); #172588=DIRECTION('center_axis',(0.999999998786837,0.,-4.92577543156386E-5)); #172589=DIRECTION('ref_axis',(4.92577543156386E-5,0.,0.999999998786837)); #172590=DIRECTION('',(4.92577543156386E-5,0.,0.999999998786837)); #172591=DIRECTION('',(0.,-1.,0.)); #172592=DIRECTION('',(4.92577543156386E-5,0.,0.999999998786837)); #172593=DIRECTION('center_axis',(-0.303782610419508,0.,-0.952741373934558)); #172594=DIRECTION('ref_axis',(0.952741373934558,0.,-0.303782610419508)); #172595=DIRECTION('',(0.952741373934558,0.,-0.303782610419508)); #172596=DIRECTION('',(0.,-1.,0.)); #172597=DIRECTION('',(0.952741373934558,0.,-0.303782610419508)); #172598=DIRECTION('center_axis',(-0.30380562294558,0.,-0.952734036059722)); #172599=DIRECTION('ref_axis',(0.952734036059722,0.,-0.30380562294558)); #172600=DIRECTION('',(0.952734036059722,0.,-0.30380562294558)); #172601=DIRECTION('',(0.,-1.,0.)); #172602=DIRECTION('',(0.952734036059722,0.,-0.30380562294558)); #172603=DIRECTION('center_axis',(0.,-1.,0.)); #172604=DIRECTION('ref_axis',(-0.454912767145311,0.,-0.890536003925836)); #172605=DIRECTION('center_axis',(0.,-1.,0.)); #172606=DIRECTION('ref_axis',(-0.454912767145311,0.,-0.890536003925836)); #172607=DIRECTION('',(0.,-1.,0.)); #172608=DIRECTION('center_axis',(0.,-1.,0.)); #172609=DIRECTION('ref_axis',(-0.454912767145311,0.,-0.890536003925836)); #172610=DIRECTION('center_axis',(0.,-1.,0.)); #172611=DIRECTION('ref_axis',(-0.609484915154178,0.,-0.792797665359519)); #172612=DIRECTION('center_axis',(0.,-1.,0.)); #172613=DIRECTION('ref_axis',(-0.609484915154178,0.,-0.792797665359519)); #172614=DIRECTION('',(0.,-1.,0.)); #172615=DIRECTION('center_axis',(0.,-1.,0.)); #172616=DIRECTION('ref_axis',(-0.609484915154178,0.,-0.792797665359519)); #172617=DIRECTION('center_axis',(0.,-1.,0.)); #172618=DIRECTION('ref_axis',(-0.916868878256463,0.,-0.399188501944551)); #172619=DIRECTION('center_axis',(0.,-1.,0.)); #172620=DIRECTION('ref_axis',(-0.916868878256463,0.,-0.399188501944551)); #172621=DIRECTION('',(0.,-1.,0.)); #172622=DIRECTION('center_axis',(0.,-1.,0.)); #172623=DIRECTION('ref_axis',(-0.916868878256463,0.,-0.399188501944551)); #172624=DIRECTION('center_axis',(-0.937536152693141,0.,-0.347887858933514)); #172625=DIRECTION('ref_axis',(0.347887858933514,0.,-0.937536152693141)); #172626=DIRECTION('',(0.347887858933514,0.,-0.937536152693141)); #172627=DIRECTION('',(0.,-1.,0.)); #172628=DIRECTION('',(0.347887858933514,0.,-0.937536152693141)); #172629=DIRECTION('center_axis',(-0.963362974989363,0.,-0.268201003763304)); #172630=DIRECTION('ref_axis',(0.268201003763304,0.,-0.963362974989363)); #172631=DIRECTION('',(0.268201003763304,0.,-0.963362974989363)); #172632=DIRECTION('',(0.,-1.,0.)); #172633=DIRECTION('',(0.268201003763304,0.,-0.963362974989363)); #172634=DIRECTION('center_axis',(0.,-1.,0.)); #172635=DIRECTION('ref_axis',(-0.996772338726847,0.,-0.0802801641067815)); #172636=DIRECTION('center_axis',(0.,-1.,0.)); #172637=DIRECTION('ref_axis',(-0.996772338726847,0.,-0.0802801641067815)); #172638=DIRECTION('',(0.,-1.,0.)); #172639=DIRECTION('center_axis',(0.,-1.,0.)); #172640=DIRECTION('ref_axis',(-0.996772338726847,0.,-0.0802801641067815)); #172641=DIRECTION('center_axis',(0.,-1.,0.)); #172642=DIRECTION('ref_axis',(-0.994747774516058,0.,0.102356558633781)); #172643=DIRECTION('center_axis',(0.,-1.,0.)); #172644=DIRECTION('ref_axis',(-0.994747774516058,0.,0.102356558633781)); #172645=DIRECTION('',(0.,-1.,0.)); #172646=DIRECTION('center_axis',(0.,-1.,0.)); #172647=DIRECTION('ref_axis',(-0.994747774516058,0.,0.102356558633781)); #172648=DIRECTION('center_axis',(-0.984207654771457,0.,0.177017773936031)); #172649=DIRECTION('ref_axis',(-0.177017773936031,0.,-0.984207654771457)); #172650=DIRECTION('',(-0.177017773936031,0.,-0.984207654771457)); #172651=DIRECTION('',(0.,-1.,0.)); #172652=DIRECTION('',(-0.177017773936031,0.,-0.984207654771457)); #172653=DIRECTION('center_axis',(-0.9612769766408,0.,0.275584060098408)); #172654=DIRECTION('ref_axis',(-0.275584060098408,0.,-0.9612769766408)); #172655=DIRECTION('',(-0.275584060098408,0.,-0.9612769766408)); #172656=DIRECTION('',(0.,-1.,0.)); #172657=DIRECTION('',(-0.275584060098408,0.,-0.9612769766408)); #172658=DIRECTION('center_axis',(-0.927158708736162,0.,0.374668825517539)); #172659=DIRECTION('ref_axis',(-0.374668825517539,0.,-0.927158708736162)); #172660=DIRECTION('',(-0.374668825517539,0.,-0.927158708736162)); #172661=DIRECTION('',(-0.374668825517539,0.,-0.927158708736162)); #172662=DIRECTION('center_axis',(0.,1.,0.)); #172663=DIRECTION('ref_axis',(1.,0.,0.)); #172664=DIRECTION('center_axis',(-0.981389904297078,0.,0.192025664284157)); #172665=DIRECTION('ref_axis',(-0.192025664284157,0.,-0.981389904297078)); #172666=DIRECTION('',(-0.192025664284157,0.,-0.981389904297078)); #172667=DIRECTION('',(0.,-1.,0.)); #172668=DIRECTION('',(-0.192025664284157,0.,-0.981389904297078)); #172669=DIRECTION('',(0.,-1.,0.)); #172670=DIRECTION('center_axis',(-0.955951121175006,0.,0.293525899920686)); #172671=DIRECTION('ref_axis',(-0.293525899920686,0.,-0.955951121175006)); #172672=DIRECTION('',(-0.293525899920686,0.,-0.955951121175006)); #172673=DIRECTION('',(0.,-1.,0.)); #172674=DIRECTION('',(-0.293525899920686,0.,-0.955951121175006)); #172675=DIRECTION('center_axis',(-0.918569011153588,0.,0.395260637742136)); #172676=DIRECTION('ref_axis',(-0.395260637742136,0.,-0.918569011153588)); #172677=DIRECTION('',(-0.395260637742136,0.,-0.918569011153588)); #172678=DIRECTION('',(0.,-1.,0.)); #172679=DIRECTION('',(-0.395260637742136,0.,-0.918569011153588)); #172680=DIRECTION('center_axis',(0.,-1.,0.)); #172681=DIRECTION('ref_axis',(-0.811985450884438,0.,0.583677674364881)); #172682=DIRECTION('center_axis',(0.,-1.,0.)); #172683=DIRECTION('ref_axis',(-0.811985450884438,0.,0.583677674364881)); #172684=DIRECTION('',(0.,-1.,0.)); #172685=DIRECTION('center_axis',(0.,-1.,0.)); #172686=DIRECTION('ref_axis',(-0.811985450884438,0.,0.583677674364881)); #172687=DIRECTION('center_axis',(-0.745576857555954,0.,0.666419649678031)); #172688=DIRECTION('ref_axis',(-0.666419649678031,0.,-0.745576857555954)); #172689=DIRECTION('',(-0.666419649678031,0.,-0.745576857555954)); #172690=DIRECTION('',(0.,-1.,0.)); #172691=DIRECTION('',(-0.666419649678031,0.,-0.745576857555954)); #172692=DIRECTION('center_axis',(-0.672201449233445,0.,0.740368294599692)); #172693=DIRECTION('ref_axis',(-0.740368294599692,0.,-0.672201449233445)); #172694=DIRECTION('',(-0.740368294599692,0.,-0.672201449233445)); #172695=DIRECTION('',(0.,-1.,0.)); #172696=DIRECTION('',(-0.740368294599692,0.,-0.672201449233445)); #172697=DIRECTION('center_axis',(-0.570719941014806,0.,0.821144779517021)); #172698=DIRECTION('ref_axis',(-0.821144779517021,0.,-0.570719941014806)); #172699=DIRECTION('',(-0.821144779517021,0.,-0.570719941014806)); #172700=DIRECTION('',(0.,-1.,0.)); #172701=DIRECTION('',(-0.821144779517021,0.,-0.570719941014806)); #172702=DIRECTION('center_axis',(-0.463487855365124,0.,0.886103271593688)); #172703=DIRECTION('ref_axis',(-0.886103271593688,0.,-0.463487855365124)); #172704=DIRECTION('',(-0.886103271593688,0.,-0.463487855365124)); #172705=DIRECTION('',(0.,-1.,0.)); #172706=DIRECTION('',(-0.886103271593688,0.,-0.463487855365124)); #172707=DIRECTION('center_axis',(-0.361836459182054,0.,0.932241587147127)); #172708=DIRECTION('ref_axis',(-0.932241587147126,0.,-0.361836459182054)); #172709=DIRECTION('',(-0.932241587147126,0.,-0.361836459182054)); #172710=DIRECTION('',(0.,-1.,0.)); #172711=DIRECTION('',(-0.932241587147127,0.,-0.361836459182054)); #172712=DIRECTION('center_axis',(-0.269089788636736,0.,0.963115094706462)); #172713=DIRECTION('ref_axis',(-0.963115094706462,0.,-0.269089788636736)); #172714=DIRECTION('',(-0.963115094706462,0.,-0.269089788636736)); #172715=DIRECTION('',(0.,-1.,0.)); #172716=DIRECTION('',(-0.963115094706462,0.,-0.269089788636736)); #172717=DIRECTION('center_axis',(-0.186012786336287,0.,0.982547323704772)); #172718=DIRECTION('ref_axis',(-0.982547323704772,0.,-0.186012786336287)); #172719=DIRECTION('',(-0.982547323704772,0.,-0.186012786336287)); #172720=DIRECTION('',(0.,-1.,0.)); #172721=DIRECTION('',(-0.982547323704772,0.,-0.186012786336287)); #172722=DIRECTION('center_axis',(-0.113751561612187,0.,0.993509226042108)); #172723=DIRECTION('ref_axis',(-0.993509226042108,0.,-0.113751561612187)); #172724=DIRECTION('',(-0.993509226042108,0.,-0.113751561612187)); #172725=DIRECTION('',(0.,-1.,0.)); #172726=DIRECTION('',(-0.993509226042108,0.,-0.113751561612187)); #172727=DIRECTION('center_axis',(-0.050637805633645,0.,0.998717083382781)); #172728=DIRECTION('ref_axis',(-0.998717083382781,0.,-0.050637805633645)); #172729=DIRECTION('',(-0.998717083382781,0.,-0.050637805633645)); #172730=DIRECTION('',(0.,-1.,0.)); #172731=DIRECTION('',(-0.998717083382781,0.,-0.050637805633645)); #172732=DIRECTION('center_axis',(0.,-1.,0.)); #172733=DIRECTION('ref_axis',(0.17730917459833,0.,-0.984155199449385)); #172734=DIRECTION('center_axis',(0.,1.,0.)); #172735=DIRECTION('ref_axis',(0.17730917459833,0.,-0.984155199449385)); #172736=DIRECTION('',(0.,-1.,0.)); #172737=DIRECTION('center_axis',(0.,1.,0.)); #172738=DIRECTION('ref_axis',(0.17730917459833,0.,-0.984155199449385)); #172739=DIRECTION('center_axis',(-1.,0.,0.)); #172740=DIRECTION('ref_axis',(0.,0.,-1.)); #172741=DIRECTION('',(0.,0.,-1.)); #172742=DIRECTION('',(0.,-1.,0.)); #172743=DIRECTION('',(0.,0.,-1.)); #172744=DIRECTION('center_axis',(-0.000148150446484487,0.,-0.999999989025723)); #172745=DIRECTION('ref_axis',(0.999999989025723,0.,-0.000148150446484487)); #172746=DIRECTION('',(0.999999989025723,0.,-0.000148150446484487)); #172747=DIRECTION('',(0.,-1.,0.)); #172748=DIRECTION('',(0.999999989025723,0.,-0.000148150446484487)); #172749=DIRECTION('center_axis',(0.999999999959064,0.,-9.04828754193572E-6)); #172750=DIRECTION('ref_axis',(9.04828754193572E-6,0.,0.999999999959064)); #172751=DIRECTION('',(9.04828754193572E-6,0.,0.999999999959064)); #172752=DIRECTION('',(0.,-1.,0.)); #172753=DIRECTION('',(9.04828754193572E-6,0.,0.999999999959064)); #172754=DIRECTION('center_axis',(0.,-1.,0.)); #172755=DIRECTION('ref_axis',(0.0547721575727169,0.,0.998498878694828)); #172756=DIRECTION('center_axis',(0.,-1.,0.)); #172757=DIRECTION('ref_axis',(0.0547721575727169,0.,0.998498878694828)); #172758=DIRECTION('',(0.,-1.,0.)); #172759=DIRECTION('center_axis',(0.,-1.,0.)); #172760=DIRECTION('ref_axis',(0.0547721575727169,0.,0.998498878694828)); #172761=DIRECTION('center_axis',(0.,-1.,0.)); #172762=DIRECTION('ref_axis',(0.317276091312452,0.,0.948333212474124)); #172763=DIRECTION('center_axis',(0.,-1.,0.)); #172764=DIRECTION('ref_axis',(0.317276091312452,0.,0.948333212474124)); #172765=DIRECTION('',(0.,-1.,0.)); #172766=DIRECTION('center_axis',(0.,-1.,0.)); #172767=DIRECTION('ref_axis',(0.317276091312452,0.,0.948333212474124)); #172768=DIRECTION('center_axis',(0.399966554377099,0.,0.916529735131224)); #172769=DIRECTION('ref_axis',(-0.916529735131224,0.,0.399966554377099)); #172770=DIRECTION('',(-0.916529735131224,0.,0.399966554377099)); #172771=DIRECTION('',(0.,-1.,0.)); #172772=DIRECTION('',(-0.916529735131224,0.,0.399966554377098)); #172773=DIRECTION('center_axis',(0.502669293248135,0.,0.864478791888743)); #172774=DIRECTION('ref_axis',(-0.864478791888743,0.,0.502669293248135)); #172775=DIRECTION('',(-0.864478791888743,0.,0.502669293248135)); #172776=DIRECTION('',(0.,-1.,0.)); #172777=DIRECTION('',(-0.864478791888743,0.,0.502669293248135)); #172778=DIRECTION('center_axis',(0.608381806534449,0.,0.793644490611432)); #172779=DIRECTION('ref_axis',(-0.793644490611432,0.,0.608381806534449)); #172780=DIRECTION('',(-0.793644490611432,0.,0.608381806534449)); #172781=DIRECTION('',(0.,-1.,0.)); #172782=DIRECTION('',(-0.793644490611432,0.,0.608381806534449)); #172783=DIRECTION('center_axis',(0.,-1.,0.)); #172784=DIRECTION('ref_axis',(0.77575818855539,0.,0.631030294747614)); #172785=DIRECTION('center_axis',(0.,-1.,0.)); #172786=DIRECTION('ref_axis',(0.77575818855539,0.,0.631030294747614)); #172787=DIRECTION('',(0.,-1.,0.)); #172788=DIRECTION('center_axis',(0.,-1.,0.)); #172789=DIRECTION('ref_axis',(0.77575818855539,0.,0.631030294747614)); #172790=DIRECTION('center_axis',(0.837052102970398,0.,0.547123182576679)); #172791=DIRECTION('ref_axis',(-0.547123182576679,0.,0.837052102970398)); #172792=DIRECTION('',(-0.547123182576679,0.,0.837052102970398)); #172793=DIRECTION('',(0.,-1.,0.)); #172794=DIRECTION('',(-0.547123182576679,0.,0.837052102970398)); #172795=DIRECTION('center_axis',(0.891150439050231,0.,0.453707940178018)); #172796=DIRECTION('ref_axis',(-0.453707940178018,0.,0.891150439050231)); #172797=DIRECTION('',(-0.453707940178018,0.,0.891150439050231)); #172798=DIRECTION('',(0.,-1.,0.)); #172799=DIRECTION('',(-0.453707940178018,0.,0.891150439050231)); #172800=DIRECTION('center_axis',(0.,-1.,0.)); #172801=DIRECTION('ref_axis',(0.968666056062105,0.,0.248366809040754)); #172802=DIRECTION('center_axis',(0.,-1.,0.)); #172803=DIRECTION('ref_axis',(0.968666056062105,0.,0.248366809040754)); #172804=DIRECTION('',(0.,-1.,0.)); #172805=DIRECTION('center_axis',(0.,-1.,0.)); #172806=DIRECTION('ref_axis',(0.968666056062105,0.,0.248366809040754)); #172807=DIRECTION('center_axis',(0.988548804920338,0.,0.150901492009099)); #172808=DIRECTION('ref_axis',(-0.150901492009099,0.,0.988548804920338)); #172809=DIRECTION('',(-0.150901492009099,0.,0.988548804920338)); #172810=DIRECTION('',(0.,-1.,0.)); #172811=DIRECTION('',(-0.150901492009099,0.,0.988548804920338)); #172812=DIRECTION('center_axis',(0.998594711187113,0.,0.0529962525951336)); #172813=DIRECTION('ref_axis',(-0.0529962525951336,0.,0.998594711187113)); #172814=DIRECTION('',(-0.0529962525951336,0.,0.998594711187113)); #172815=DIRECTION('',(0.,-1.,0.)); #172816=DIRECTION('',(-0.0529962525951336,0.,0.998594711187113)); #172817=DIRECTION('center_axis',(0.,-1.,0.)); #172818=DIRECTION('ref_axis',(0.990184652011049,0.,-0.139765356658071)); #172819=DIRECTION('center_axis',(0.,-1.,0.)); #172820=DIRECTION('ref_axis',(0.990184652011049,0.,-0.139765356658071)); #172821=DIRECTION('',(0.,-1.,0.)); #172822=DIRECTION('center_axis',(0.,-1.,0.)); #172823=DIRECTION('ref_axis',(0.990184652011049,0.,-0.139765356658071)); #172824=DIRECTION('center_axis',(0.970076862037284,0.,-0.242798026639213)); #172825=DIRECTION('ref_axis',(0.242798026639213,0.,0.970076862037284)); #172826=DIRECTION('',(0.242798026639213,0.,0.970076862037284)); #172827=DIRECTION('',(0.,-1.,0.)); #172828=DIRECTION('',(0.242798026639213,0.,0.970076862037284)); #172829=DIRECTION('center_axis',(0.937885437513116,0.,-0.346945105313262)); #172830=DIRECTION('ref_axis',(0.346945105313262,0.,0.937885437513116)); #172831=DIRECTION('',(0.346945105313262,0.,0.937885437513116)); #172832=DIRECTION('',(0.,-1.,0.)); #172833=DIRECTION('',(0.346945105313262,0.,0.937885437513116)); #172834=DIRECTION('center_axis',(0.894379700972656,0.,-0.44730856294963)); #172835=DIRECTION('ref_axis',(0.44730856294963,0.,0.894379700972656)); #172836=DIRECTION('',(0.44730856294963,0.,0.894379700972656)); #172837=DIRECTION('',(0.,-1.,0.)); #172838=DIRECTION('',(0.44730856294963,0.,0.894379700972656)); #172839=DIRECTION('center_axis',(0.839918653348172,0.,-0.542712313991302)); #172840=DIRECTION('ref_axis',(0.542712313991302,0.,0.839918653348172)); #172841=DIRECTION('',(0.542712313991302,0.,0.839918653348172)); #172842=DIRECTION('',(0.,-1.,0.)); #172843=DIRECTION('',(0.542712313991302,0.,0.839918653348172)); #172844=DIRECTION('center_axis',(0.779066333363903,0.,-0.626941503027933)); #172845=DIRECTION('ref_axis',(0.626941503027933,0.,0.779066333363903)); #172846=DIRECTION('',(0.626941503027933,0.,0.779066333363903)); #172847=DIRECTION('',(0.,-1.,0.)); #172848=DIRECTION('',(0.626941503027933,0.,0.779066333363903)); #172849=DIRECTION('center_axis',(0.713101835551099,0.,-0.701060462537757)); #172850=DIRECTION('ref_axis',(0.701060462537757,0.,0.713101835551098)); #172851=DIRECTION('',(0.701060462537757,0.,0.713101835551098)); #172852=DIRECTION('',(0.,-1.,0.)); #172853=DIRECTION('',(0.701060462537757,0.,0.713101835551098)); #172854=DIRECTION('center_axis',(0.627978464841163,0.,-0.778230716237631)); #172855=DIRECTION('ref_axis',(0.778230716237631,0.,0.627978464841163)); #172856=DIRECTION('',(0.778230716237631,0.,0.627978464841163)); #172857=DIRECTION('',(0.,-1.,0.)); #172858=DIRECTION('',(0.778230716237631,0.,0.627978464841163)); #172859=DIRECTION('center_axis',(0.521890308611,0.,-0.853012605872806)); #172860=DIRECTION('ref_axis',(0.853012605872806,0.,0.521890308611)); #172861=DIRECTION('',(0.853012605872806,0.,0.521890308611)); #172862=DIRECTION('',(0.,-1.,0.)); #172863=DIRECTION('',(0.853012605872806,0.,0.521890308611)); #172864=DIRECTION('center_axis',(0.,-1.,0.)); #172865=DIRECTION('ref_axis',(0.317212344690537,0.,-0.948354537278085)); #172866=DIRECTION('center_axis',(0.,-1.,0.)); #172867=DIRECTION('ref_axis',(0.317212344690537,0.,-0.948354537278085)); #172868=DIRECTION('',(0.,-1.,0.)); #172869=DIRECTION('center_axis',(0.,-1.,0.)); #172870=DIRECTION('ref_axis',(0.317212344690537,0.,-0.948354537278085)); #172871=DIRECTION('center_axis',(0.,-1.,0.)); #172872=DIRECTION('ref_axis',(0.137788617343765,0.,-0.990461658485826)); #172873=DIRECTION('center_axis',(0.,-1.,0.)); #172874=DIRECTION('ref_axis',(0.137788617343765,0.,-0.990461658485826)); #172875=DIRECTION('',(0.,-1.,0.)); #172876=DIRECTION('center_axis',(0.,-1.,0.)); #172877=DIRECTION('ref_axis',(0.137788617343765,0.,-0.990461658485826)); #172878=DIRECTION('center_axis',(0.0846730545248237,0.,-0.996408788518767)); #172879=DIRECTION('ref_axis',(0.996408788518767,0.,0.0846730545248237)); #172880=DIRECTION('',(0.996408788518767,0.,0.0846730545248237)); #172881=DIRECTION('',(0.,-1.,0.)); #172882=DIRECTION('',(0.996408788518767,0.,0.0846730545248237)); #172883=DIRECTION('center_axis',(0.,-1.,0.)); #172884=DIRECTION('ref_axis',(-0.0083717146394499,0.,-0.999964956582977)); #172885=DIRECTION('center_axis',(0.,-1.,0.)); #172886=DIRECTION('ref_axis',(-0.0083717146394499,0.,-0.999964956582977)); #172887=DIRECTION('',(0.,-1.,0.)); #172888=DIRECTION('center_axis',(0.,-1.,0.)); #172889=DIRECTION('ref_axis',(-0.0083717146394499,0.,-0.999964956582977)); #172890=DIRECTION('center_axis',(0.,-1.,0.)); #172891=DIRECTION('ref_axis',(-0.999830962765473,0.,0.018386024460649)); #172892=DIRECTION('center_axis',(0.,-1.,0.)); #172893=DIRECTION('ref_axis',(-0.999830962765473,0.,0.018386024460649)); #172894=DIRECTION('',(0.,-1.,0.)); #172895=DIRECTION('center_axis',(0.,-1.,0.)); #172896=DIRECTION('ref_axis',(-0.999830962765473,0.,0.018386024460649)); #172897=DIRECTION('center_axis',(0.,-1.,0.)); #172898=DIRECTION('ref_axis',(-0.976711599259604,0.,0.214556407202738)); #172899=DIRECTION('center_axis',(0.,-1.,0.)); #172900=DIRECTION('ref_axis',(-0.976711599259604,0.,0.214556407202738)); #172901=DIRECTION('',(0.,-1.,0.)); #172902=DIRECTION('center_axis',(0.,-1.,0.)); #172903=DIRECTION('ref_axis',(-0.976711599259604,0.,0.214556407202738)); #172904=DIRECTION('center_axis',(0.,-1.,0.)); #172905=DIRECTION('ref_axis',(-0.922618475772121,0.,0.38571381640269)); #172906=DIRECTION('center_axis',(0.,-1.,0.)); #172907=DIRECTION('ref_axis',(-0.922618475772121,0.,0.38571381640269)); #172908=DIRECTION('',(0.,-1.,0.)); #172909=DIRECTION('center_axis',(0.,-1.,0.)); #172910=DIRECTION('ref_axis',(-0.922618475772121,0.,0.38571381640269)); #172911=DIRECTION('center_axis',(-0.866356060179296,0.,0.499426848487953)); #172912=DIRECTION('ref_axis',(-0.499426848487953,0.,-0.866356060179296)); #172913=DIRECTION('',(-0.499426848487953,0.,-0.866356060179296)); #172914=DIRECTION('',(0.,-1.,0.)); #172915=DIRECTION('',(-0.499426848487953,0.,-0.866356060179296)); #172916=DIRECTION('center_axis',(-0.783707961506493,0.,0.621129480117743)); #172917=DIRECTION('ref_axis',(-0.621129480117743,0.,-0.783707961506493)); #172918=DIRECTION('',(-0.621129480117743,0.,-0.783707961506493)); #172919=DIRECTION('',(0.,-1.,0.)); #172920=DIRECTION('',(-0.621129480117743,0.,-0.783707961506493)); #172921=DIRECTION('center_axis',(-0.703504494383484,0.,0.710690809270979)); #172922=DIRECTION('ref_axis',(-0.710690809270979,0.,-0.703504494383483)); #172923=DIRECTION('',(-0.710690809270979,0.,-0.703504494383483)); #172924=DIRECTION('',(0.,-1.,0.)); #172925=DIRECTION('',(-0.710690809270979,0.,-0.703504494383483)); #172926=DIRECTION('center_axis',(-0.629534332896393,0.,0.776972665995847)); #172927=DIRECTION('ref_axis',(-0.776972665995847,0.,-0.629534332896393)); #172928=DIRECTION('',(-0.776972665995847,0.,-0.629534332896393)); #172929=DIRECTION('',(0.,-1.,0.)); #172930=DIRECTION('',(-0.776972665995847,0.,-0.629534332896393)); #172931=DIRECTION('center_axis',(-0.543197159119922,0.,0.839605172878327)); #172932=DIRECTION('ref_axis',(-0.839605172878328,0.,-0.543197159119922)); #172933=DIRECTION('',(-0.839605172878328,0.,-0.543197159119922)); #172934=DIRECTION('',(0.,-1.,0.)); #172935=DIRECTION('',(-0.839605172878327,0.,-0.543197159119922)); #172936=DIRECTION('center_axis',(-0.446271349902708,0.,0.894897693737119)); #172937=DIRECTION('ref_axis',(-0.894897693737119,0.,-0.446271349902708)); #172938=DIRECTION('',(-0.894897693737119,0.,-0.446271349902708)); #172939=DIRECTION('',(0.,-1.,0.)); #172940=DIRECTION('',(-0.894897693737119,0.,-0.446271349902708)); #172941=DIRECTION('center_axis',(-0.341414081400711,0.,0.939912988005437)); #172942=DIRECTION('ref_axis',(-0.939912988005437,0.,-0.341414081400711)); #172943=DIRECTION('',(-0.939912988005437,0.,-0.341414081400711)); #172944=DIRECTION('',(0.,-1.,0.)); #172945=DIRECTION('',(-0.939912988005437,0.,-0.341414081400711)); #172946=DIRECTION('center_axis',(-0.233377055227841,0.,0.97238631731076)); #172947=DIRECTION('ref_axis',(-0.97238631731076,0.,-0.233377055227841)); #172948=DIRECTION('',(-0.97238631731076,0.,-0.233377055227841)); #172949=DIRECTION('',(0.,-1.,0.)); #172950=DIRECTION('',(-0.97238631731076,0.,-0.233377055227841)); #172951=DIRECTION('center_axis',(0.,-1.,0.)); #172952=DIRECTION('ref_axis',(-0.0277686696454125,0.,0.999614376140181)); #172953=DIRECTION('center_axis',(0.,-1.,0.)); #172954=DIRECTION('ref_axis',(-0.0277686696454125,0.,0.999614376140181)); #172955=DIRECTION('',(0.,-1.,0.)); #172956=DIRECTION('center_axis',(0.,-1.,0.)); #172957=DIRECTION('ref_axis',(-0.0277686696454125,0.,0.999614376140181)); #172958=DIRECTION('center_axis',(0.0658760111787343,0.,0.99782781638476)); #172959=DIRECTION('ref_axis',(-0.99782781638476,0.,0.0658760111787343)); #172960=DIRECTION('',(-0.99782781638476,0.,0.0658760111787343)); #172961=DIRECTION('',(0.,-1.,0.)); #172962=DIRECTION('',(-0.99782781638476,0.,0.0658760111787343)); #172963=DIRECTION('center_axis',(0.162850995490575,0.,0.986650674386699)); #172964=DIRECTION('ref_axis',(-0.986650674386699,0.,0.162850995490575)); #172965=DIRECTION('',(-0.986650674386699,0.,0.162850995490575)); #172966=DIRECTION('',(0.,-1.,0.)); #172967=DIRECTION('',(-0.986650674386699,0.,0.162850995490575)); #172968=DIRECTION('center_axis',(0.,-1.,0.)); #172969=DIRECTION('ref_axis',(0.363532832429286,0.,0.931581386539008)); #172970=DIRECTION('center_axis',(0.,-1.,0.)); #172971=DIRECTION('ref_axis',(0.363532832429286,0.,0.931581386539008)); #172972=DIRECTION('',(0.,-1.,0.)); #172973=DIRECTION('center_axis',(0.,-1.,0.)); #172974=DIRECTION('ref_axis',(0.363532832429286,0.,0.931581386539008)); #172975=DIRECTION('center_axis',(0.,-1.,0.)); #172976=DIRECTION('ref_axis',(0.567353033730132,0.,0.82347467181281)); #172977=DIRECTION('center_axis',(0.,-1.,0.)); #172978=DIRECTION('ref_axis',(0.567353033730132,0.,0.82347467181281)); #172979=DIRECTION('',(0.,-1.,0.)); #172980=DIRECTION('center_axis',(0.,-1.,0.)); #172981=DIRECTION('ref_axis',(0.567353033730132,0.,0.82347467181281)); #172982=DIRECTION('center_axis',(0.65430903710894,0.,0.756227270043584)); #172983=DIRECTION('ref_axis',(-0.756227270043584,0.,0.65430903710894)); #172984=DIRECTION('',(-0.756227270043584,0.,0.65430903710894)); #172985=DIRECTION('',(0.,-1.,0.)); #172986=DIRECTION('',(-0.756227270043584,0.,0.65430903710894)); #172987=DIRECTION('center_axis',(0.729744827694322,0.,0.683719596364901)); #172988=DIRECTION('ref_axis',(-0.683719596364901,0.,0.729744827694322)); #172989=DIRECTION('',(-0.683719596364901,0.,0.729744827694322)); #172990=DIRECTION('',(0.,-1.,0.)); #172991=DIRECTION('',(-0.683719596364901,0.,0.729744827694322)); #172992=DIRECTION('center_axis',(0.805900714669584,0.,0.592050705679044)); #172993=DIRECTION('ref_axis',(-0.592050705679044,0.,0.805900714669584)); #172994=DIRECTION('',(-0.592050705679044,0.,0.805900714669584)); #172995=DIRECTION('',(0.,-1.,0.)); #172996=DIRECTION('',(-0.592050705679044,0.,0.805900714669584)); #172997=DIRECTION('center_axis',(0.877084551396538,0.,0.480336017493519)); #172998=DIRECTION('ref_axis',(-0.480336017493519,0.,0.877084551396538)); #172999=DIRECTION('',(-0.480336017493519,0.,0.877084551396538)); #173000=DIRECTION('',(0.,-1.,0.)); #173001=DIRECTION('',(-0.480336017493519,0.,0.877084551396538)); #173002=DIRECTION('center_axis',(0.927279668714431,0.,0.374369357705534)); #173003=DIRECTION('ref_axis',(-0.374369357705534,0.,0.927279668714431)); #173004=DIRECTION('',(-0.374369357705534,0.,0.927279668714431)); #173005=DIRECTION('',(0.,-1.,0.)); #173006=DIRECTION('',(-0.374369357705534,0.,0.927279668714431)); #173007=DIRECTION('center_axis',(0.960367983943843,0.,0.278735242507363)); #173008=DIRECTION('ref_axis',(-0.278735242507363,0.,0.960367983943843)); #173009=DIRECTION('',(-0.278735242507363,0.,0.960367983943843)); #173010=DIRECTION('',(0.,-1.,0.)); #173011=DIRECTION('',(-0.278735242507363,0.,0.960367983943843)); #173012=DIRECTION('center_axis',(0.980790737855928,0.,0.195062883542779)); #173013=DIRECTION('ref_axis',(-0.195062883542779,0.,0.980790737855928)); #173014=DIRECTION('',(-0.195062883542779,0.,0.980790737855928)); #173015=DIRECTION('',(0.,-1.,0.)); #173016=DIRECTION('',(-0.195062883542779,0.,0.980790737855928)); #173017=DIRECTION('center_axis',(0.992407406223534,0.,0.12299406519291)); #173018=DIRECTION('ref_axis',(-0.12299406519291,0.,0.992407406223534)); #173019=DIRECTION('',(-0.12299406519291,0.,0.992407406223534)); #173020=DIRECTION('',(0.,-1.,0.)); #173021=DIRECTION('',(-0.12299406519291,0.,0.992407406223534)); #173022=DIRECTION('center_axis',(0.998130203748864,0.,0.0611236154383255)); #173023=DIRECTION('ref_axis',(-0.0611236154383255,0.,0.998130203748864)); #173024=DIRECTION('',(-0.0611236154383255,0.,0.998130203748864)); #173025=DIRECTION('',(0.,-1.,0.)); #173026=DIRECTION('',(-0.0611236154383255,0.,0.998130203748864)); #173027=DIRECTION('center_axis',(0.999999769156728,0.,0.000679475158781732)); #173028=DIRECTION('ref_axis',(-0.000679475158781732,0.,0.999999769156728)); #173029=DIRECTION('',(-0.000679475158781732,0.,0.999999769156728)); #173030=DIRECTION('',(0.,-1.,0.)); #173031=DIRECTION('',(-0.000679475158781732,0.,0.999999769156728)); #173032=DIRECTION('center_axis',(9.58691227434977E-5,0.,0.999999995404556)); #173033=DIRECTION('ref_axis',(-0.999999995404556,0.,9.58691227434977E-5)); #173034=DIRECTION('',(-0.999999995404556,0.,9.58691227434977E-5)); #173035=DIRECTION('',(0.,-1.,0.)); #173036=DIRECTION('',(-0.999999995404556,0.,9.58691227434977E-5)); #173037=DIRECTION('center_axis',(0.,-1.,0.)); #173038=DIRECTION('ref_axis',(-0.996109709476583,0.,0.0881217719208865)); #173039=DIRECTION('center_axis',(0.,-1.,0.)); #173040=DIRECTION('ref_axis',(-0.996109709476583,0.,0.0881217719208865)); #173041=DIRECTION('',(0.,-1.,0.)); #173042=DIRECTION('center_axis',(0.,-1.,0.)); #173043=DIRECTION('ref_axis',(-0.996109709476583,0.,0.0881217719208865)); #173044=DIRECTION('center_axis',(0.,-1.,0.)); #173045=DIRECTION('ref_axis',(-0.937992563601483,0.,0.346655377324972)); #173046=DIRECTION('center_axis',(0.,-1.,0.)); #173047=DIRECTION('ref_axis',(-0.937992563601483,0.,0.346655377324972)); #173048=DIRECTION('',(0.,-1.,0.)); #173049=DIRECTION('center_axis',(0.,-1.,0.)); #173050=DIRECTION('ref_axis',(-0.937992563601483,0.,0.346655377324972)); #173051=DIRECTION('center_axis',(-0.888708797182719,0.,0.458472107995726)); #173052=DIRECTION('ref_axis',(-0.458472107995726,0.,-0.888708797182719)); #173053=DIRECTION('',(-0.458472107995726,0.,-0.888708797182719)); #173054=DIRECTION('',(0.,-1.,0.)); #173055=DIRECTION('',(-0.458472107995726,0.,-0.888708797182719)); #173056=DIRECTION('center_axis',(-0.822793099030087,0.,0.568341021032677)); #173057=DIRECTION('ref_axis',(-0.568341021032677,0.,-0.822793099030087)); #173058=DIRECTION('',(-0.568341021032677,0.,-0.822793099030087)); #173059=DIRECTION('',(0.,-1.,0.)); #173060=DIRECTION('',(-0.568341021032677,0.,-0.822793099030087)); #173061=DIRECTION('center_axis',(-0.744836030059843,0.,0.667247546510807)); #173062=DIRECTION('ref_axis',(-0.667247546510807,0.,-0.744836030059843)); #173063=DIRECTION('',(-0.667247546510807,0.,-0.744836030059843)); #173064=DIRECTION('',(0.,-1.,0.)); #173065=DIRECTION('',(-0.667247546510807,0.,-0.744836030059843)); #173066=DIRECTION('center_axis',(-0.672207723386745,0.,0.740362598068817)); #173067=DIRECTION('ref_axis',(-0.740362598068817,0.,-0.672207723386745)); #173068=DIRECTION('',(-0.740362598068817,0.,-0.672207723386745)); #173069=DIRECTION('',(0.,-1.,0.)); #173070=DIRECTION('',(-0.740362598068817,0.,-0.672207723386745)); #173071=DIRECTION('center_axis',(-0.586017635494527,0.,0.810298297474087)); #173072=DIRECTION('ref_axis',(-0.810298297474087,0.,-0.586017635494527)); #173073=DIRECTION('',(-0.810298297474087,0.,-0.586017635494527)); #173074=DIRECTION('',(0.,-1.,0.)); #173075=DIRECTION('',(-0.810298297474087,0.,-0.586017635494527)); #173076=DIRECTION('center_axis',(-0.49081920136119,0.,0.871261448461461)); #173077=DIRECTION('ref_axis',(-0.871261448461461,0.,-0.49081920136119)); #173078=DIRECTION('',(-0.871261448461461,0.,-0.49081920136119)); #173079=DIRECTION('',(0.,-1.,0.)); #173080=DIRECTION('',(-0.871261448461461,0.,-0.49081920136119)); #173081=DIRECTION('center_axis',(-0.39062489502529,0.,0.920549939648296)); #173082=DIRECTION('ref_axis',(-0.920549939648296,0.,-0.39062489502529)); #173083=DIRECTION('',(-0.920549939648296,0.,-0.39062489502529)); #173084=DIRECTION('',(0.,-1.,0.)); #173085=DIRECTION('',(-0.920549939648296,0.,-0.39062489502529)); #173086=DIRECTION('center_axis',(0.,-1.,0.)); #173087=DIRECTION('ref_axis',(-0.191995201918064,0.,0.981395864287415)); #173088=DIRECTION('center_axis',(0.,-1.,0.)); #173089=DIRECTION('ref_axis',(-0.191995201918064,0.,0.981395864287415)); #173090=DIRECTION('',(0.,-1.,0.)); #173091=DIRECTION('center_axis',(0.,-1.,0.)); #173092=DIRECTION('ref_axis',(-0.191995201918064,0.,0.981395864287415)); #173093=DIRECTION('center_axis',(0.,-1.,0.)); #173094=DIRECTION('ref_axis',(0.0146997855594684,0.,0.999891952315102)); #173095=DIRECTION('center_axis',(0.,-1.,0.)); #173096=DIRECTION('ref_axis',(0.0146997855594684,0.,0.999891952315102)); #173097=DIRECTION('',(0.,-1.,0.)); #173098=DIRECTION('center_axis',(0.,-1.,0.)); #173099=DIRECTION('ref_axis',(0.0146997855594684,0.,0.999891952315102)); #173100=DIRECTION('center_axis',(0.102948620883749,0.,0.994686675017884)); #173101=DIRECTION('ref_axis',(-0.994686675017884,0.,0.102948620883749)); #173102=DIRECTION('',(-0.994686675017884,0.,0.102948620883749)); #173103=DIRECTION('',(0.,-1.,0.)); #173104=DIRECTION('',(-0.994686675017884,0.,0.102948620883749)); #173105=DIRECTION('center_axis',(0.203798273801634,0.,0.97901290267058)); #173106=DIRECTION('ref_axis',(-0.97901290267058,0.,0.203798273801634)); #173107=DIRECTION('',(-0.97901290267058,0.,0.203798273801634)); #173108=DIRECTION('',(0.,-1.,0.)); #173109=DIRECTION('',(-0.97901290267058,0.,0.203798273801634)); #173110=DIRECTION('center_axis',(0.307324599483582,0.,0.951604744918948)); #173111=DIRECTION('ref_axis',(-0.951604744918948,0.,0.307324599483582)); #173112=DIRECTION('',(-0.951604744918948,0.,0.307324599483582)); #173113=DIRECTION('',(0.,-1.,0.)); #173114=DIRECTION('',(-0.951604744918948,0.,0.307324599483582)); #173115=DIRECTION('center_axis',(0.408063616938316,0.,0.912953495273018)); #173116=DIRECTION('ref_axis',(-0.912953495273018,0.,0.408063616938316)); #173117=DIRECTION('',(-0.912953495273018,0.,0.408063616938316)); #173118=DIRECTION('',(0.,-1.,0.)); #173119=DIRECTION('',(-0.912953495273018,0.,0.408063616938316)); #173120=DIRECTION('center_axis',(0.502116904812236,0.,0.864799753643455)); #173121=DIRECTION('ref_axis',(-0.864799753643455,0.,0.502116904812236)); #173122=DIRECTION('',(-0.864799753643455,0.,0.502116904812236)); #173123=DIRECTION('',(0.,-1.,0.)); #173124=DIRECTION('',(-0.864799753643455,0.,0.502116904812236)); #173125=DIRECTION('center_axis',(0.587607386299802,0.,0.809146191714399)); #173126=DIRECTION('ref_axis',(-0.809146191714399,0.,0.587607386299802)); #173127=DIRECTION('',(-0.809146191714399,0.,0.587607386299802)); #173128=DIRECTION('',(0.,-1.,0.)); #173129=DIRECTION('',(-0.809146191714399,0.,0.587607386299802)); #173130=DIRECTION('center_axis',(0.662197796471694,0.,0.749329085481161)); #173131=DIRECTION('ref_axis',(-0.749329085481161,0.,0.662197796471694)); #173132=DIRECTION('',(-0.749329085481161,0.,0.662197796471694)); #173133=DIRECTION('',(0.,-1.,0.)); #173134=DIRECTION('',(-0.749329085481161,0.,0.662197796471694)); #173135=DIRECTION('center_axis',(0.,-1.,0.)); #173136=DIRECTION('ref_axis',(0.829254617781424,0.,0.558870985906573)); #173137=DIRECTION('center_axis',(0.,-1.,0.)); #173138=DIRECTION('ref_axis',(0.829254617781424,0.,0.558870985906573)); #173139=DIRECTION('',(0.,-1.,0.)); #173140=DIRECTION('center_axis',(0.,-1.,0.)); #173141=DIRECTION('ref_axis',(0.829254617781424,0.,0.558870985906573)); #173142=DIRECTION('center_axis',(0.891736181369426,0.,0.452555612976653)); #173143=DIRECTION('ref_axis',(-0.452555612976653,0.,0.891736181369426)); #173144=DIRECTION('',(-0.452555612976653,0.,0.891736181369426)); #173145=DIRECTION('',(0.,-1.,0.)); #173146=DIRECTION('',(-0.452555612976653,0.,0.891736181369426)); #173147=DIRECTION('center_axis',(0.935802783265187,0.,0.352523971997834)); #173148=DIRECTION('ref_axis',(-0.352523971997834,0.,0.935802783265187)); #173149=DIRECTION('',(-0.352523971997834,0.,0.935802783265187)); #173150=DIRECTION('',(0.,-1.,0.)); #173151=DIRECTION('',(-0.352523971997834,0.,0.935802783265187)); #173152=DIRECTION('center_axis',(0.965394097236458,0.,0.26079539302105)); #173153=DIRECTION('ref_axis',(-0.26079539302105,0.,0.965394097236458)); #173154=DIRECTION('',(-0.26079539302105,0.,0.965394097236458)); #173155=DIRECTION('',(0.,-1.,0.)); #173156=DIRECTION('',(-0.26079539302105,0.,0.965394097236458)); #173157=DIRECTION('center_axis',(0.983665424823221,0.,0.180006477681645)); #173158=DIRECTION('ref_axis',(-0.180006477681645,0.,0.983665424823221)); #173159=DIRECTION('',(-0.180006477681645,0.,0.983665424823221)); #173160=DIRECTION('',(0.,-1.,0.)); #173161=DIRECTION('',(-0.180006477681645,0.,0.983665424823221)); #173162=DIRECTION('center_axis',(0.,-1.,0.)); #173163=DIRECTION('ref_axis',(0.997061157131747,0.,-0.0766097183071493)); #173164=DIRECTION('center_axis',(0.,-1.,0.)); #173165=DIRECTION('ref_axis',(0.997061157131747,0.,-0.0766097183071493)); #173166=DIRECTION('',(0.,-1.,0.)); #173167=DIRECTION('center_axis',(0.,-1.,0.)); #173168=DIRECTION('ref_axis',(0.997061157131747,0.,-0.0766097183071493)); #173169=DIRECTION('center_axis',(0.,-1.,0.)); #173170=DIRECTION('ref_axis',(0.954311962605682,0.,-0.298812111581326)); #173171=DIRECTION('center_axis',(0.,-1.,0.)); #173172=DIRECTION('ref_axis',(0.954311962605682,0.,-0.298812111581326)); #173173=DIRECTION('',(0.,-1.,0.)); #173174=DIRECTION('center_axis',(0.,-1.,0.)); #173175=DIRECTION('ref_axis',(0.954311962605682,0.,-0.298812111581326)); #173176=DIRECTION('center_axis',(0.921689403102976,0.,-0.387928658657335)); #173177=DIRECTION('ref_axis',(0.387928658657335,0.,0.921689403102976)); #173178=DIRECTION('',(0.387928658657335,0.,0.921689403102976)); #173179=DIRECTION('',(0.,-1.,0.)); #173180=DIRECTION('',(0.387928658657335,0.,0.921689403102976)); #173181=DIRECTION('center_axis',(0.,-1.,0.)); #173182=DIRECTION('ref_axis',(0.803519966277538,0.,-0.595277803880964)); #173183=DIRECTION('center_axis',(0.,-1.,0.)); #173184=DIRECTION('ref_axis',(0.803519966277538,0.,-0.595277803880964)); #173185=DIRECTION('',(0.,-1.,0.)); #173186=DIRECTION('center_axis',(0.,-1.,0.)); #173187=DIRECTION('ref_axis',(0.803519966277538,0.,-0.595277803880964)); #173188=DIRECTION('center_axis',(0.,-1.,0.)); #173189=DIRECTION('ref_axis',(0.63627344705674,0.,-0.771463609362447)); #173190=DIRECTION('center_axis',(0.,-1.,0.)); #173191=DIRECTION('ref_axis',(0.63627344705674,0.,-0.771463609362447)); #173192=DIRECTION('',(0.,-1.,0.)); #173193=DIRECTION('center_axis',(0.,-1.,0.)); #173194=DIRECTION('ref_axis',(0.63627344705674,0.,-0.771463609362447)); #173195=DIRECTION('center_axis',(0.557404567558324,0.,-0.830241018057478)); #173196=DIRECTION('ref_axis',(0.830241018057478,0.,0.557404567558324)); #173197=DIRECTION('',(0.830241018057478,0.,0.557404567558324)); #173198=DIRECTION('',(0.,-1.,0.)); #173199=DIRECTION('',(0.830241018057478,0.,0.557404567558324)); #173200=DIRECTION('center_axis',(0.468771877603824,0.,-0.8833192666119)); #173201=DIRECTION('ref_axis',(0.8833192666119,0.,0.468771877603824)); #173202=DIRECTION('',(0.8833192666119,0.,0.468771877603824)); #173203=DIRECTION('',(0.,-1.,0.)); #173204=DIRECTION('',(0.8833192666119,0.,0.468771877603824)); #173205=DIRECTION('center_axis',(0.371796046761176,0.,-0.928314440053995)); #173206=DIRECTION('ref_axis',(0.928314440053995,0.,0.371796046761175)); #173207=DIRECTION('',(0.928314440053995,0.,0.371796046761175)); #173208=DIRECTION('',(0.,-1.,0.)); #173209=DIRECTION('',(0.928314440053995,0.,0.371796046761175)); #173210=DIRECTION('center_axis',(0.269919123100494,0.,-0.962882997557159)); #173211=DIRECTION('ref_axis',(0.962882997557159,0.,0.269919123100494)); #173212=DIRECTION('',(0.962882997557159,0.,0.269919123100494)); #173213=DIRECTION('',(0.,-1.,0.)); #173214=DIRECTION('',(0.962882997557159,0.,0.269919123100494)); #173215=DIRECTION('center_axis',(0.,-1.,0.)); #173216=DIRECTION('ref_axis',(0.0685563505213487,0.,-0.997647245675141)); #173217=DIRECTION('center_axis',(0.,-1.,0.)); #173218=DIRECTION('ref_axis',(0.0685563505213487,0.,-0.997647245675141)); #173219=DIRECTION('',(0.,-1.,0.)); #173220=DIRECTION('center_axis',(0.,-1.,0.)); #173221=DIRECTION('ref_axis',(0.0685563505213487,0.,-0.997647245675141)); #173222=DIRECTION('center_axis',(0.,-1.,0.)); #173223=DIRECTION('ref_axis',(-0.12902136246589,0.,-0.991641814380296)); #173224=DIRECTION('center_axis',(0.,-1.,0.)); #173225=DIRECTION('ref_axis',(-0.12902136246589,0.,-0.991641814380296)); #173226=DIRECTION('',(0.,-1.,0.)); #173227=DIRECTION('center_axis',(0.,-1.,0.)); #173228=DIRECTION('ref_axis',(-0.12902136246589,0.,-0.991641814380296)); #173229=DIRECTION('center_axis',(-0.221827579062812,0.,-0.975085906557536)); #173230=DIRECTION('ref_axis',(0.975085906557536,0.,-0.221827579062812)); #173231=DIRECTION('',(0.975085906557536,0.,-0.221827579062812)); #173232=DIRECTION('',(0.,-1.,0.)); #173233=DIRECTION('',(0.975085906557536,0.,-0.221827579062812)); #173234=DIRECTION('center_axis',(-0.324462057013679,0.,-0.945898712103179)); #173235=DIRECTION('ref_axis',(0.945898712103179,0.,-0.324462057013679)); #173236=DIRECTION('',(0.945898712103179,0.,-0.324462057013679)); #173237=DIRECTION('',(0.,-1.,0.)); #173238=DIRECTION('',(0.945898712103179,0.,-0.324462057013679)); #173239=DIRECTION('center_axis',(-0.427968155925268,0.,-0.903793813606802)); #173240=DIRECTION('ref_axis',(0.903793813606801,0.,-0.427968155925268)); #173241=DIRECTION('',(0.903793813606801,0.,-0.427968155925268)); #173242=DIRECTION('',(0.,-1.,0.)); #173243=DIRECTION('',(0.903793813606801,0.,-0.427968155925268)); #173244=DIRECTION('center_axis',(-0.526296551913783,0.,-0.85030108752351)); #173245=DIRECTION('ref_axis',(0.85030108752351,0.,-0.526296551913783)); #173246=DIRECTION('',(0.85030108752351,0.,-0.526296551913783)); #173247=DIRECTION('',(0.,-1.,0.)); #173248=DIRECTION('',(0.85030108752351,0.,-0.526296551913783)); #173249=DIRECTION('center_axis',(-0.618957965113861,0.,-0.785424113089297)); #173250=DIRECTION('ref_axis',(0.785424113089297,0.,-0.618957965113861)); #173251=DIRECTION('',(0.785424113089297,0.,-0.618957965113861)); #173252=DIRECTION('',(0.,-1.,0.)); #173253=DIRECTION('',(0.785424113089297,0.,-0.618957965113861)); #173254=DIRECTION('center_axis',(0.,-1.,0.)); #173255=DIRECTION('ref_axis',(-0.774155334912007,0.,-0.632995669359024)); #173256=DIRECTION('center_axis',(0.,-1.,0.)); #173257=DIRECTION('ref_axis',(-0.774155334912007,0.,-0.632995669359024)); #173258=DIRECTION('',(0.,-1.,0.)); #173259=DIRECTION('center_axis',(0.,-1.,0.)); #173260=DIRECTION('ref_axis',(-0.774155334912007,0.,-0.632995669359024)); #173261=DIRECTION('center_axis',(-0.850161017893212,0.,-0.526522785503892)); #173262=DIRECTION('ref_axis',(0.526522785503892,0.,-0.850161017893212)); #173263=DIRECTION('',(0.526522785503892,0.,-0.850161017893212)); #173264=DIRECTION('',(0.,-1.,0.)); #173265=DIRECTION('',(0.526522785503892,0.,-0.850161017893212)); #173266=DIRECTION('center_axis',(0.,-1.,0.)); #173267=DIRECTION('ref_axis',(-0.949949857328455,0.,-0.312402414461936)); #173268=DIRECTION('center_axis',(0.,-1.,0.)); #173269=DIRECTION('ref_axis',(-0.949949857328455,0.,-0.312402414461936)); #173270=DIRECTION('',(0.,-1.,0.)); #173271=DIRECTION('center_axis',(0.,-1.,0.)); #173272=DIRECTION('ref_axis',(-0.949949857328455,0.,-0.312402414461936)); #173273=DIRECTION('center_axis',(0.,-1.,0.)); #173274=DIRECTION('ref_axis',(-0.991974789149384,0.,-0.126435824401295)); #173275=DIRECTION('center_axis',(0.,-1.,0.)); #173276=DIRECTION('ref_axis',(-0.991974789149384,0.,-0.126435824401295)); #173277=DIRECTION('',(0.,-1.,0.)); #173278=DIRECTION('center_axis',(0.,-1.,0.)); #173279=DIRECTION('ref_axis',(-0.991974789149384,0.,-0.126435824401295)); #173280=DIRECTION('center_axis',(-0.996214558177765,0.,-0.0869284422653501)); #173281=DIRECTION('ref_axis',(0.0869284422653501,0.,-0.996214558177765)); #173282=DIRECTION('',(0.0869284422653501,0.,-0.996214558177765)); #173283=DIRECTION('',(0.,-1.,0.)); #173284=DIRECTION('',(0.0869284422653501,0.,-0.996214558177765)); #173285=DIRECTION('center_axis',(-0.999545140852572,0.,-0.030158106671536)); #173286=DIRECTION('ref_axis',(0.030158106671536,0.,-0.999545140852572)); #173287=DIRECTION('',(0.030158106671536,0.,-0.999545140852572)); #173288=DIRECTION('',(0.,-1.,0.)); #173289=DIRECTION('',(0.030158106671536,0.,-0.999545140852572)); #173290=DIRECTION('center_axis',(-0.999999999977647,0.,-6.68632446433524E-6)); #173291=DIRECTION('ref_axis',(6.68632446433524E-6,0.,-0.999999999977647)); #173292=DIRECTION('',(6.68632446433524E-6,0.,-0.999999999977647)); #173293=DIRECTION('',(0.,-1.,0.)); #173294=DIRECTION('',(6.68632446433524E-6,0.,-0.999999999977647)); #173295=DIRECTION('center_axis',(-6.91596299114266E-5,0.,-0.999999997608473)); #173296=DIRECTION('ref_axis',(0.999999997608473,0.,-6.91596299114266E-5)); #173297=DIRECTION('',(0.999999997608473,0.,-6.91596299114266E-5)); #173298=DIRECTION('',(0.,-1.,0.)); #173299=DIRECTION('',(0.999999997608473,0.,-6.91596299114266E-5)); #173300=DIRECTION('center_axis',(0.999999989069828,0.,0.0001478524417319)); #173301=DIRECTION('ref_axis',(-0.0001478524417319,0.,0.999999989069828)); #173302=DIRECTION('',(-0.0001478524417319,0.,0.999999989069828)); #173303=DIRECTION('',(0.,-1.,0.)); #173304=DIRECTION('',(-0.0001478524417319,0.,0.999999989069828)); #173305=DIRECTION('center_axis',(0.999999989068292,0.,0.000147862826035181)); #173306=DIRECTION('ref_axis',(-0.000147862826035181,0.,0.999999989068292)); #173307=DIRECTION('',(-0.000147862826035181,0.,0.999999989068292)); #173308=DIRECTION('',(0.,-1.,0.)); #173309=DIRECTION('',(-0.000147862826035181,0.,0.999999989068292)); #173310=DIRECTION('center_axis',(0.,-1.,0.)); #173311=DIRECTION('ref_axis',(0.0587011752678514,0.,0.998275599232082)); #173312=DIRECTION('center_axis',(0.,-1.,0.)); #173313=DIRECTION('ref_axis',(0.0587011752678514,0.,0.998275599232082)); #173314=DIRECTION('',(0.,-1.,0.)); #173315=DIRECTION('center_axis',(0.,-1.,0.)); #173316=DIRECTION('ref_axis',(0.0587011752678514,0.,0.998275599232082)); #173317=DIRECTION('center_axis',(0.165611645754414,0.,0.986191047815034)); #173318=DIRECTION('ref_axis',(-0.986191047815034,0.,0.165611645754414)); #173319=DIRECTION('',(-0.986191047815034,0.,0.165611645754414)); #173320=DIRECTION('',(0.,-1.,0.)); #173321=DIRECTION('',(-0.986191047815034,0.,0.165611645754414)); #173322=DIRECTION('center_axis',(0.245742599924935,0.,0.969335119853879)); #173323=DIRECTION('ref_axis',(-0.969335119853879,0.,0.245742599924935)); #173324=DIRECTION('',(-0.969335119853879,0.,0.245742599924935)); #173325=DIRECTION('',(0.,-1.,0.)); #173326=DIRECTION('',(-0.969335119853879,0.,0.245742599924935)); #173327=DIRECTION('center_axis',(0.336054430257186,0.,0.941842566411456)); #173328=DIRECTION('ref_axis',(-0.941842566411456,0.,0.336054430257186)); #173329=DIRECTION('',(-0.941842566411456,0.,0.336054430257186)); #173330=DIRECTION('',(0.,-1.,0.)); #173331=DIRECTION('',(-0.941842566411456,0.,0.336054430257186)); #173332=DIRECTION('center_axis',(0.435445177507309,0.,0.900215250584897)); #173333=DIRECTION('ref_axis',(-0.900215250584897,0.,0.435445177507309)); #173334=DIRECTION('',(-0.900215250584897,0.,0.435445177507309)); #173335=DIRECTION('',(0.,-1.,0.)); #173336=DIRECTION('',(-0.900215250584897,0.,0.435445177507309)); #173337=DIRECTION('center_axis',(0.,-1.,0.)); #173338=DIRECTION('ref_axis',(0.714366520685115,0.,0.699771730012183)); #173339=DIRECTION('center_axis',(0.,-1.,0.)); #173340=DIRECTION('ref_axis',(0.714366520685115,0.,0.699771730012183)); #173341=DIRECTION('',(0.,-1.,0.)); #173342=DIRECTION('center_axis',(0.,-1.,0.)); #173343=DIRECTION('ref_axis',(0.714366520685115,0.,0.699771730012183)); #173344=DIRECTION('center_axis',(0.794579245775569,0.,0.607160458349131)); #173345=DIRECTION('ref_axis',(-0.607160458349131,0.,0.794579245775569)); #173346=DIRECTION('',(-0.607160458349131,0.,0.794579245775569)); #173347=DIRECTION('',(0.,-1.,0.)); #173348=DIRECTION('',(-0.607160458349131,0.,0.794579245775569)); #173349=DIRECTION('center_axis',(0.855369623952422,0.,0.518018152596501)); #173350=DIRECTION('ref_axis',(-0.518018152596501,0.,0.855369623952422)); #173351=DIRECTION('',(-0.518018152596501,0.,0.855369623952422)); #173352=DIRECTION('',(0.,-1.,0.)); #173353=DIRECTION('',(-0.518018152596501,0.,0.855369623952422)); #173354=DIRECTION('center_axis',(0.906659058457582,0.,0.421864138931969)); #173355=DIRECTION('ref_axis',(-0.421864138931969,0.,0.906659058457582)); #173356=DIRECTION('',(-0.421864138931969,0.,0.906659058457582)); #173357=DIRECTION('',(0.,-1.,0.)); #173358=DIRECTION('',(-0.421864138931969,0.,0.906659058457582)); #173359=DIRECTION('center_axis',(0.946991194061481,0.,0.321259518722808)); #173360=DIRECTION('ref_axis',(-0.321259518722808,0.,0.946991194061481)); #173361=DIRECTION('',(-0.321259518722808,0.,0.946991194061481)); #173362=DIRECTION('',(0.,-1.,0.)); #173363=DIRECTION('',(-0.321259518722808,0.,0.946991194061481)); #173364=DIRECTION('center_axis',(0.,-1.,0.)); #173365=DIRECTION('ref_axis',(0.99284140048466,0.,0.119440166960948)); #173366=DIRECTION('center_axis',(0.,-1.,0.)); #173367=DIRECTION('ref_axis',(0.99284140048466,0.,0.119440166960948)); #173368=DIRECTION('',(0.,-1.,0.)); #173369=DIRECTION('center_axis',(0.,-1.,0.)); #173370=DIRECTION('ref_axis',(0.99284140048466,0.,0.119440166960948)); #173371=DIRECTION('center_axis',(0.999715223271532,0.,0.0238636199925784)); #173372=DIRECTION('ref_axis',(-0.0238636199925784,0.,0.999715223271532)); #173373=DIRECTION('',(-0.0238636199925784,0.,0.999715223271532)); #173374=DIRECTION('',(0.,-1.,0.)); #173375=DIRECTION('',(-0.0238636199925784,0.,0.999715223271532)); #173376=DIRECTION('center_axis',(0.99744267555313,0.,-0.0714710359895038)); #173377=DIRECTION('ref_axis',(0.0714710359895038,0.,0.99744267555313)); #173378=DIRECTION('',(0.0714710359895038,0.,0.99744267555313)); #173379=DIRECTION('',(0.,-1.,0.)); #173380=DIRECTION('',(0.0714710359895038,0.,0.99744267555313)); #173381=DIRECTION('center_axis',(0.984887763947045,0.,-0.173193800199052)); #173382=DIRECTION('ref_axis',(0.173193800199052,0.,0.984887763947045)); #173383=DIRECTION('',(0.173193800199052,0.,0.984887763947045)); #173384=DIRECTION('',(0.,-1.,0.)); #173385=DIRECTION('',(0.173193800199052,0.,0.984887763947045)); #173386=DIRECTION('center_axis',(0.960931722120258,0.,-0.276785522423039)); #173387=DIRECTION('ref_axis',(0.276785522423039,0.,0.960931722120258)); #173388=DIRECTION('',(0.276785522423039,0.,0.960931722120258)); #173389=DIRECTION('',(0.,-1.,0.)); #173390=DIRECTION('',(0.276785522423039,0.,0.960931722120258)); #173391=DIRECTION('center_axis',(0.92505571816369,0.,-0.379831434050238)); #173392=DIRECTION('ref_axis',(0.379831434050238,0.,0.92505571816369)); #173393=DIRECTION('',(0.379831434050238,0.,0.92505571816369)); #173394=DIRECTION('',(0.,-1.,0.)); #173395=DIRECTION('',(0.379831434050238,0.,0.92505571816369)); #173396=DIRECTION('center_axis',(0.877510221117295,0.,-0.479557933762622)); #173397=DIRECTION('ref_axis',(0.479557933762622,0.,0.877510221117295)); #173398=DIRECTION('',(0.479557933762622,0.,0.877510221117295)); #173399=DIRECTION('',(0.,-1.,0.)); #173400=DIRECTION('',(0.479557933762622,0.,0.877510221117295)); #173401=DIRECTION('center_axis',(0.,-1.,0.)); #173402=DIRECTION('ref_axis',(0.694021045539285,0.,-0.71995471270668)); #173403=DIRECTION('center_axis',(0.,-1.,0.)); #173404=DIRECTION('ref_axis',(0.694021045539285,0.,-0.71995471270668)); #173405=DIRECTION('',(0.,-1.,0.)); #173406=DIRECTION('center_axis',(0.,-1.,0.)); #173407=DIRECTION('ref_axis',(0.694021045539285,0.,-0.71995471270668)); #173408=DIRECTION('center_axis',(0.596983552487928,0.,-0.802253474943483)); #173409=DIRECTION('ref_axis',(0.802253474943483,0.,0.596983552487927)); #173410=DIRECTION('',(0.802253474943483,0.,0.596983552487927)); #173411=DIRECTION('',(0.,-1.,0.)); #173412=DIRECTION('',(0.802253474943483,0.,0.596983552487927)); #173413=DIRECTION('center_axis',(0.489657482602145,0.,-0.87191487527839)); #173414=DIRECTION('ref_axis',(0.87191487527839,0.,0.489657482602145)); #173415=DIRECTION('',(0.87191487527839,0.,0.489657482602145)); #173416=DIRECTION('',(0.,-1.,0.)); #173417=DIRECTION('',(0.87191487527839,0.,0.489657482602145)); #173418=DIRECTION('center_axis',(0.,-1.,0.)); #173419=DIRECTION('ref_axis',(0.282077076989081,0.,-0.959391746179472)); #173420=DIRECTION('center_axis',(0.,-1.,0.)); #173421=DIRECTION('ref_axis',(0.282077076989081,0.,-0.959391746179472)); #173422=DIRECTION('',(0.,-1.,0.)); #173423=DIRECTION('center_axis',(0.,-1.,0.)); #173424=DIRECTION('ref_axis',(0.282077076989081,0.,-0.959391746179472)); #173425=DIRECTION('center_axis',(0.,-1.,0.)); #173426=DIRECTION('ref_axis',(-0.131229702880669,0.,-0.991351988489382)); #173427=DIRECTION('center_axis',(0.,-1.,0.)); #173428=DIRECTION('ref_axis',(-0.131229702880669,0.,-0.991351988489382)); #173429=DIRECTION('',(0.,-1.,0.)); #173430=DIRECTION('center_axis',(0.,-1.,0.)); #173431=DIRECTION('ref_axis',(-0.131229702880669,0.,-0.991351988489382)); #173432=DIRECTION('center_axis',(-0.184385976054139,0.,-0.982853911746076)); #173433=DIRECTION('ref_axis',(0.982853911746076,0.,-0.184385976054139)); #173434=DIRECTION('',(0.982853911746076,0.,-0.184385976054139)); #173435=DIRECTION('',(0.,-1.,0.)); #173436=DIRECTION('',(0.982853911746076,0.,-0.184385976054139)); #173437=DIRECTION('center_axis',(-0.266952460835225,0.,-0.963709698848163)); #173438=DIRECTION('ref_axis',(0.963709698848163,0.,-0.266952460835225)); #173439=DIRECTION('',(0.963709698848163,0.,-0.266952460835225)); #173440=DIRECTION('',(0.,-1.,0.)); #173441=DIRECTION('',(0.963709698848163,0.,-0.266952460835225)); #173442=DIRECTION('center_axis',(-0.359406626721098,0.,-0.933181052459254)); #173443=DIRECTION('ref_axis',(0.933181052459254,0.,-0.359406626721098)); #173444=DIRECTION('',(0.933181052459254,0.,-0.359406626721098)); #173445=DIRECTION('',(0.,-1.,0.)); #173446=DIRECTION('',(0.933181052459254,0.,-0.359406626721098)); #173447=DIRECTION('center_axis',(-0.460531265473898,0.,-0.887643483342839)); #173448=DIRECTION('ref_axis',(0.887643483342839,0.,-0.460531265473898)); #173449=DIRECTION('',(0.887643483342839,0.,-0.460531265473898)); #173450=DIRECTION('',(0.,-1.,0.)); #173451=DIRECTION('',(0.887643483342839,0.,-0.460531265473898)); #173452=DIRECTION('center_axis',(-0.568348696188322,0.,-0.822787797394343)); #173453=DIRECTION('ref_axis',(0.822787797394343,0.,-0.568348696188322)); #173454=DIRECTION('',(0.822787797394343,0.,-0.568348696188322)); #173455=DIRECTION('',(0.,-1.,0.)); #173456=DIRECTION('',(0.822787797394343,0.,-0.568348696188322)); #173457=DIRECTION('center_axis',(-0.66971988355048,0.,-0.742613814561197)); #173458=DIRECTION('ref_axis',(0.742613814561197,0.,-0.66971988355048)); #173459=DIRECTION('',(0.742613814561197,0.,-0.66971988355048)); #173460=DIRECTION('',(0.,-1.,0.)); #173461=DIRECTION('',(0.742613814561197,0.,-0.66971988355048)); #173462=DIRECTION('center_axis',(-0.7404771693892,0.,-0.672081514113696)); #173463=DIRECTION('ref_axis',(0.672081514113696,0.,-0.7404771693892)); #173464=DIRECTION('',(0.672081514113696,0.,-0.7404771693892)); #173465=DIRECTION('',(0.,-1.,0.)); #173466=DIRECTION('',(0.672081514113696,0.,-0.7404771693892)); #173467=DIRECTION('center_axis',(-0.804810166984148,0.,-0.593532303349151)); #173468=DIRECTION('ref_axis',(0.593532303349151,0.,-0.804810166984148)); #173469=DIRECTION('',(0.593532303349151,0.,-0.804810166984148)); #173470=DIRECTION('',(0.,-1.,0.)); #173471=DIRECTION('',(0.593532303349151,0.,-0.804810166984148)); #173472=DIRECTION('center_axis',(-0.863477861702987,0.,-0.504386738870915)); #173473=DIRECTION('ref_axis',(0.504386738870915,0.,-0.863477861702987)); #173474=DIRECTION('',(0.504386738870915,0.,-0.863477861702987)); #173475=DIRECTION('',(0.,-1.,0.)); #173476=DIRECTION('',(0.504386738870915,0.,-0.863477861702987)); #173477=DIRECTION('center_axis',(-0.913408881407257,0.,-0.407043259821784)); #173478=DIRECTION('ref_axis',(0.407043259821784,0.,-0.913408881407257)); #173479=DIRECTION('',(0.407043259821784,0.,-0.913408881407257)); #173480=DIRECTION('',(0.,-1.,0.)); #173481=DIRECTION('',(0.407043259821784,0.,-0.913408881407257)); #173482=DIRECTION('center_axis',(-0.952310819034162,0.,-0.305129651050964)); #173483=DIRECTION('ref_axis',(0.305129651050964,0.,-0.952310819034162)); #173484=DIRECTION('',(0.305129651050964,0.,-0.952310819034162)); #173485=DIRECTION('',(0.,-1.,0.)); #173486=DIRECTION('',(0.305129651050964,0.,-0.952310819034162)); #173487=DIRECTION('center_axis',(-0.97968192721926,0.,-0.200557526610087)); #173488=DIRECTION('ref_axis',(0.200557526610087,0.,-0.97968192721926)); #173489=DIRECTION('',(0.200557526610087,0.,-0.97968192721926)); #173490=DIRECTION('',(0.,-1.,0.)); #173491=DIRECTION('',(0.200557526610087,0.,-0.97968192721926)); #173492=DIRECTION('center_axis',(0.,-1.,0.)); #173493=DIRECTION('ref_axis',(-0.995946512543237,0.,0.0899474521760548)); #173494=DIRECTION('center_axis',(0.,-1.,0.)); #173495=DIRECTION('ref_axis',(-0.995946512543237,0.,0.0899474521760548)); #173496=DIRECTION('center_axis',(0.,-1.,0.)); #173497=DIRECTION('ref_axis',(-0.995946512543237,0.,0.0899474521760548)); #173498=DIRECTION('center_axis',(0.,1.,0.)); #173499=DIRECTION('ref_axis',(1.,0.,0.)); #173500=DIRECTION('center_axis',(0.,0.,-1.)); #173501=DIRECTION('ref_axis',(1.,0.,0.)); #173502=DIRECTION('',(1.,0.,0.)); #173503=DIRECTION('',(0.,-1.,0.)); #173504=DIRECTION('',(1.,0.,0.)); #173505=DIRECTION('',(0.,-1.,0.)); #173506=DIRECTION('center_axis',(0.,-1.,0.)); #173507=DIRECTION('ref_axis',(-0.990349582190919,0.,-0.138591865036419)); #173508=DIRECTION('center_axis',(0.,1.,0.)); #173509=DIRECTION('ref_axis',(-0.990349582190919,0.,-0.138591865036419)); #173510=DIRECTION('',(0.,-1.,0.)); #173511=DIRECTION('center_axis',(0.,1.,0.)); #173512=DIRECTION('ref_axis',(-0.990349582190919,0.,-0.138591865036419)); #173513=DIRECTION('center_axis',(0.,-1.,0.)); #173514=DIRECTION('ref_axis',(-0.697952111947553,0.,-0.716144433356813)); #173515=DIRECTION('center_axis',(0.,1.,0.)); #173516=DIRECTION('ref_axis',(-0.697952111947553,0.,-0.716144433356813)); #173517=DIRECTION('',(0.,-1.,0.)); #173518=DIRECTION('center_axis',(0.,1.,0.)); #173519=DIRECTION('ref_axis',(-0.697952111947553,0.,-0.716144433356813)); #173520=DIRECTION('center_axis',(0.610295201719599,0.,0.792174076045179)); #173521=DIRECTION('ref_axis',(-0.792174076045179,0.,0.610295201719599)); #173522=DIRECTION('',(-0.792174076045179,0.,0.610295201719599)); #173523=DIRECTION('',(0.,-1.,0.)); #173524=DIRECTION('',(-0.792174076045179,0.,0.610295201719599)); #173525=DIRECTION('center_axis',(0.,-1.,0.)); #173526=DIRECTION('ref_axis',(0.772934953574732,0.,-0.634485269760006)); #173527=DIRECTION('center_axis',(0.,1.,0.)); #173528=DIRECTION('ref_axis',(0.772934953574732,0.,-0.634485269760006)); #173529=DIRECTION('',(0.,-1.,0.)); #173530=DIRECTION('center_axis',(0.,1.,0.)); #173531=DIRECTION('ref_axis',(0.772934953574732,0.,-0.634485269760006)); #173532=DIRECTION('center_axis',(0.,-1.,0.)); #173533=DIRECTION('ref_axis',(0.973103642644403,0.,0.230367750937916)); #173534=DIRECTION('center_axis',(0.,1.,0.)); #173535=DIRECTION('ref_axis',(0.973103642644403,0.,0.230367750937916)); #173536=DIRECTION('',(0.,-1.,0.)); #173537=DIRECTION('center_axis',(0.,1.,0.)); #173538=DIRECTION('ref_axis',(0.973103642644403,0.,0.230367750937916)); #173539=DIRECTION('center_axis',(-1.,0.,0.)); #173540=DIRECTION('ref_axis',(0.,0.,-1.)); #173541=DIRECTION('',(0.,0.,-1.)); #173542=DIRECTION('',(0.,0.,-1.)); #173543=DIRECTION('center_axis',(0.,-1.,0.)); #173544=DIRECTION('ref_axis',(-0.000333000271019515,0.,0.999999944555408)); #173545=DIRECTION('center_axis',(0.,1.,0.)); #173546=DIRECTION('ref_axis',(-0.000333000271019515,0.,0.999999944555408)); #173547=DIRECTION('',(0.,-1.,0.)); #173548=DIRECTION('center_axis',(0.,1.,0.)); #173549=DIRECTION('ref_axis',(-0.000333000271019515,0.,0.999999944555408)); #173550=DIRECTION('',(0.,-1.,0.)); #173551=DIRECTION('center_axis',(0.,0.,-1.)); #173552=DIRECTION('ref_axis',(1.,0.,0.)); #173553=DIRECTION('',(1.,0.,0.)); #173554=DIRECTION('',(0.,-1.,0.)); #173555=DIRECTION('',(1.,0.,0.)); #173556=DIRECTION('center_axis',(0.999673901684852,0.,-0.02553605862669)); #173557=DIRECTION('ref_axis',(0.02553605862669,0.,0.999673901684851)); #173558=DIRECTION('',(0.02553605862669,0.,0.999673901684851)); #173559=DIRECTION('',(0.,-1.,0.)); #173560=DIRECTION('',(0.02553605862669,0.,0.999673901684851)); #173561=DIRECTION('center_axis',(1.,0.,-4.44075887573447E-14)); #173562=DIRECTION('ref_axis',(4.44075887573447E-14,0.,1.)); #173563=DIRECTION('',(4.44075887573447E-14,0.,1.)); #173564=DIRECTION('',(0.,-1.,0.)); #173565=DIRECTION('',(4.44075887573447E-14,0.,1.)); #173566=DIRECTION('center_axis',(0.,-1.,0.)); #173567=DIRECTION('ref_axis',(-0.543038010236656,0.,-0.839708115620073)); #173568=DIRECTION('center_axis',(0.,1.,0.)); #173569=DIRECTION('ref_axis',(-0.543038010236656,0.,-0.839708115620073)); #173570=DIRECTION('',(0.,-1.,0.)); #173571=DIRECTION('center_axis',(0.,1.,0.)); #173572=DIRECTION('ref_axis',(-0.543038010236656,0.,-0.839708115620073)); #173573=DIRECTION('center_axis',(0.,-1.,0.)); #173574=DIRECTION('ref_axis',(0.911535683853804,0.,-0.411220983245234)); #173575=DIRECTION('center_axis',(0.,1.,0.)); #173576=DIRECTION('ref_axis',(0.911535683853804,0.,-0.411220983245234)); #173577=DIRECTION('',(0.,-1.,0.)); #173578=DIRECTION('center_axis',(0.,1.,0.)); #173579=DIRECTION('ref_axis',(0.911535683853804,0.,-0.411220983245234)); #173580=DIRECTION('center_axis',(0.,-1.,0.)); #173581=DIRECTION('ref_axis',(0.977561145853147,0.,0.210651859992457)); #173582=DIRECTION('center_axis',(0.,1.,0.)); #173583=DIRECTION('ref_axis',(0.977561145853147,0.,0.210651859992457)); #173584=DIRECTION('',(0.,-1.,0.)); #173585=DIRECTION('center_axis',(0.,1.,0.)); #173586=DIRECTION('ref_axis',(0.977561145853147,0.,0.210651859992457)); #173587=DIRECTION('center_axis',(-1.,0.,0.)); #173588=DIRECTION('ref_axis',(0.,0.,-1.)); #173589=DIRECTION('',(0.,0.,-1.)); #173590=DIRECTION('',(0.,-1.,0.)); #173591=DIRECTION('',(0.,0.,-1.)); #173592=DIRECTION('center_axis',(0.,0.,-1.)); #173593=DIRECTION('ref_axis',(1.,0.,0.)); #173594=DIRECTION('',(1.,0.,0.)); #173595=DIRECTION('',(1.,0.,0.)); #173596=DIRECTION('center_axis',(0.28886003967874,0.,0.957371337296452)); #173597=DIRECTION('ref_axis',(-0.957371337296452,0.,0.28886003967874)); #173598=DIRECTION('',(-0.957371337296452,0.,0.28886003967874)); #173599=DIRECTION('',(0.,-1.,0.)); #173600=DIRECTION('',(-0.957371337296452,0.,0.28886003967874)); #173601=DIRECTION('',(0.,-1.,0.)); #173602=DIRECTION('center_axis',(0.390243219120562,0.,0.920711806120906)); #173603=DIRECTION('ref_axis',(-0.920711806120906,0.,0.390243219120562)); #173604=DIRECTION('',(-0.920711806120906,0.,0.390243219120562)); #173605=DIRECTION('',(0.,-1.,0.)); #173606=DIRECTION('',(-0.920711806120906,0.,0.390243219120562)); #173607=DIRECTION('center_axis',(0.,-1.,0.)); #173608=DIRECTION('ref_axis',(0.642318312185103,0.,0.766437985639857)); #173609=DIRECTION('center_axis',(0.,-1.,0.)); #173610=DIRECTION('ref_axis',(0.642318312185103,0.,0.766437985639857)); #173611=DIRECTION('',(0.,-1.,0.)); #173612=DIRECTION('center_axis',(0.,-1.,0.)); #173613=DIRECTION('ref_axis',(0.642318312185103,0.,0.766437985639857)); #173614=DIRECTION('center_axis',(0.,-1.,0.)); #173615=DIRECTION('ref_axis',(0.812198344239844,0.,0.583381392927522)); #173616=DIRECTION('center_axis',(0.,-1.,0.)); #173617=DIRECTION('ref_axis',(0.812198344239844,0.,0.583381392927522)); #173618=DIRECTION('',(0.,-1.,0.)); #173619=DIRECTION('center_axis',(0.,-1.,0.)); #173620=DIRECTION('ref_axis',(0.812198344239844,0.,0.583381392927522)); #173621=DIRECTION('center_axis',(0.,-1.,0.)); #173622=DIRECTION('ref_axis',(0.928477197084777,0.,0.371389410852808)); #173623=DIRECTION('center_axis',(0.,-1.,0.)); #173624=DIRECTION('ref_axis',(0.928477197084777,0.,0.371389410852808)); #173625=DIRECTION('',(0.,-1.,0.)); #173626=DIRECTION('center_axis',(0.,-1.,0.)); #173627=DIRECTION('ref_axis',(0.928477197084777,0.,0.371389410852808)); #173628=DIRECTION('center_axis',(0.962217234306448,0.,0.272282930062922)); #173629=DIRECTION('ref_axis',(-0.272282930062922,0.,0.962217234306448)); #173630=DIRECTION('',(-0.272282930062922,0.,0.962217234306448)); #173631=DIRECTION('',(0.,-1.,0.)); #173632=DIRECTION('',(-0.272282930062922,0.,0.962217234306448)); #173633=DIRECTION('center_axis',(0.981962731843773,0.,0.189074570659076)); #173634=DIRECTION('ref_axis',(-0.189074570659076,0.,0.981962731843773)); #173635=DIRECTION('',(-0.189074570659076,0.,0.981962731843773)); #173636=DIRECTION('',(0.,-1.,0.)); #173637=DIRECTION('',(-0.189074570659076,0.,0.981962731843773)); #173638=DIRECTION('center_axis',(0.993205488489138,0.,0.116373784139956)); #173639=DIRECTION('ref_axis',(-0.116373784139956,0.,0.993205488489138)); #173640=DIRECTION('',(-0.116373784139956,0.,0.993205488489138)); #173641=DIRECTION('',(0.,-1.,0.)); #173642=DIRECTION('',(-0.116373784139956,0.,0.993205488489138)); #173643=DIRECTION('center_axis',(0.,-1.,0.)); #173644=DIRECTION('ref_axis',(0.998652456282589,0.,-0.0518967394038587)); #173645=DIRECTION('center_axis',(0.,-1.,0.)); #173646=DIRECTION('ref_axis',(0.998652456282589,0.,-0.0518967394038587)); #173647=DIRECTION('',(0.,-1.,0.)); #173648=DIRECTION('center_axis',(0.,-1.,0.)); #173649=DIRECTION('ref_axis',(0.998652456282589,0.,-0.0518967394038587)); #173650=DIRECTION('center_axis',(0.,-1.,0.)); #173651=DIRECTION('ref_axis',(0.378390015407639,0.,-0.925646258697029)); #173652=DIRECTION('center_axis',(0.,-1.,0.)); #173653=DIRECTION('ref_axis',(0.378390015407639,0.,-0.925646258697029)); #173654=DIRECTION('',(0.,-1.,0.)); #173655=DIRECTION('center_axis',(0.,-1.,0.)); #173656=DIRECTION('ref_axis',(0.378390015407639,0.,-0.925646258697029)); #173657=DIRECTION('center_axis',(0.,-1.,0.)); #173658=DIRECTION('ref_axis',(-0.475308611678431,0.,-0.879819142588022)); #173659=DIRECTION('center_axis',(0.,-1.,0.)); #173660=DIRECTION('ref_axis',(-0.475308611678431,0.,-0.879819142588022)); #173661=DIRECTION('',(0.,-1.,0.)); #173662=DIRECTION('center_axis',(0.,-1.,0.)); #173663=DIRECTION('ref_axis',(-0.475308611678431,0.,-0.879819142588022)); #173664=DIRECTION('center_axis',(0.,-1.,0.)); #173665=DIRECTION('ref_axis',(-0.966264069028098,0.,-0.257553390397533)); #173666=DIRECTION('center_axis',(0.,-1.,0.)); #173667=DIRECTION('ref_axis',(-0.966264069028098,0.,-0.257553390397533)); #173668=DIRECTION('',(0.,-1.,0.)); #173669=DIRECTION('center_axis',(0.,-1.,0.)); #173670=DIRECTION('ref_axis',(-0.966264069028098,0.,-0.257553390397533)); #173671=DIRECTION('center_axis',(-0.934883066566494,0.,-0.354955844926138)); #173672=DIRECTION('ref_axis',(0.354955844926138,0.,-0.934883066566494)); #173673=DIRECTION('',(0.354955844926138,0.,-0.934883066566494)); #173674=DIRECTION('',(0.,-1.,0.)); #173675=DIRECTION('',(0.354955844926138,0.,-0.934883066566494)); #173676=DIRECTION('center_axis',(0.,-1.,0.)); #173677=DIRECTION('ref_axis',(0.181790839552018,0.,-0.983337221229306)); #173678=DIRECTION('center_axis',(0.,-1.,0.)); #173679=DIRECTION('ref_axis',(0.181790839552018,0.,-0.983337221229306)); #173680=DIRECTION('',(0.,-1.,0.)); #173681=DIRECTION('center_axis',(0.,-1.,0.)); #173682=DIRECTION('ref_axis',(0.181790839552018,0.,-0.983337221229306)); #173683=DIRECTION('center_axis',(0.,-1.,0.)); #173684=DIRECTION('ref_axis',(-0.987180177824514,0.,-0.159609825857811)); #173685=DIRECTION('center_axis',(0.,-1.,0.)); #173686=DIRECTION('ref_axis',(-0.987180177824514,0.,-0.159609825857811)); #173687=DIRECTION('',(0.,-1.,0.)); #173688=DIRECTION('center_axis',(0.,-1.,0.)); #173689=DIRECTION('ref_axis',(-0.987180177824514,0.,-0.159609825857811)); #173690=DIRECTION('center_axis',(0.,-1.,0.)); #173691=DIRECTION('ref_axis',(-0.997923621408633,0.,0.0644084298417438)); #173692=DIRECTION('center_axis',(0.,-1.,0.)); #173693=DIRECTION('ref_axis',(-0.997923621408633,0.,0.0644084298417438)); #173694=DIRECTION('',(0.,-1.,0.)); #173695=DIRECTION('center_axis',(0.,-1.,0.)); #173696=DIRECTION('ref_axis',(-0.997923621408633,0.,0.0644084298417438)); #173697=DIRECTION('center_axis',(-0.983802660497862,0.,0.179254916800985)); #173698=DIRECTION('ref_axis',(-0.179254916800985,0.,-0.983802660497862)); #173699=DIRECTION('',(-0.179254916800985,0.,-0.983802660497862)); #173700=DIRECTION('',(0.,-1.,0.)); #173701=DIRECTION('',(-0.179254916800985,0.,-0.983802660497862)); #173702=DIRECTION('center_axis',(-0.965133598655077,0.,0.261757782591275)); #173703=DIRECTION('ref_axis',(-0.261757782591275,0.,-0.965133598655077)); #173704=DIRECTION('',(-0.261757782591275,0.,-0.965133598655077)); #173705=DIRECTION('',(0.,-1.,0.)); #173706=DIRECTION('',(-0.261757782591275,0.,-0.965133598655077)); #173707=DIRECTION('center_axis',(-0.934469865938862,0.,0.356042230152835)); #173708=DIRECTION('ref_axis',(-0.356042230152835,0.,-0.934469865938862)); #173709=DIRECTION('',(-0.356042230152835,0.,-0.934469865938862)); #173710=DIRECTION('',(0.,-1.,0.)); #173711=DIRECTION('',(-0.356042230152835,0.,-0.934469865938862)); #173712=DIRECTION('center_axis',(-0.886745985118469,0.,0.462257025772757)); #173713=DIRECTION('ref_axis',(-0.462257025772757,0.,-0.886745985118469)); #173714=DIRECTION('',(-0.462257025772757,0.,-0.886745985118469)); #173715=DIRECTION('',(0.,-1.,0.)); #173716=DIRECTION('',(-0.462257025772757,0.,-0.886745985118469)); #173717=DIRECTION('center_axis',(-0.818713967809698,0.,0.57420156644971)); #173718=DIRECTION('ref_axis',(-0.57420156644971,0.,-0.818713967809698)); #173719=DIRECTION('',(-0.57420156644971,0.,-0.818713967809698)); #173720=DIRECTION('',(0.,-1.,0.)); #173721=DIRECTION('',(-0.57420156644971,0.,-0.818713967809698)); #173722=DIRECTION('center_axis',(0.,-1.,0.)); #173723=DIRECTION('ref_axis',(-0.613610879690415,0.,0.789608566522398)); #173724=DIRECTION('center_axis',(0.,-1.,0.)); #173725=DIRECTION('ref_axis',(-0.613610879690415,0.,0.789608566522398)); #173726=DIRECTION('',(0.,-1.,0.)); #173727=DIRECTION('center_axis',(0.,-1.,0.)); #173728=DIRECTION('ref_axis',(-0.613610879690415,0.,0.789608566522398)); #173729=DIRECTION('center_axis',(-0.486513995236216,0.,0.87367278339164)); #173730=DIRECTION('ref_axis',(-0.87367278339164,0.,-0.486513995236216)); #173731=DIRECTION('',(-0.87367278339164,0.,-0.486513995236216)); #173732=DIRECTION('',(0.,-1.,0.)); #173733=DIRECTION('',(-0.87367278339164,0.,-0.486513995236216)); #173734=DIRECTION('center_axis',(-0.384189864695188,0.,0.923254107960259)); #173735=DIRECTION('ref_axis',(-0.923254107960259,0.,-0.384189864695188)); #173736=DIRECTION('',(-0.923254107960259,0.,-0.384189864695188)); #173737=DIRECTION('',(0.,-1.,0.)); #173738=DIRECTION('',(-0.923254107960259,0.,-0.384189864695188)); #173739=DIRECTION('center_axis',(-0.280129703801937,0.,0.959962160216661)); #173740=DIRECTION('ref_axis',(-0.959962160216661,0.,-0.280129703801937)); #173741=DIRECTION('',(-0.959962160216661,0.,-0.280129703801937)); #173742=DIRECTION('',(0.,-1.,0.)); #173743=DIRECTION('',(-0.959962160216661,0.,-0.280129703801937)); #173744=DIRECTION('center_axis',(-0.177330465087446,0.,0.984151363435458)); #173745=DIRECTION('ref_axis',(-0.984151363435458,0.,-0.177330465087446)); #173746=DIRECTION('',(-0.984151363435458,0.,-0.177330465087446)); #173747=DIRECTION('',(0.,-1.,0.)); #173748=DIRECTION('',(-0.984151363435458,0.,-0.177330465087446)); #173749=DIRECTION('center_axis',(-0.0797701869526823,0.,0.996813281047927)); #173750=DIRECTION('ref_axis',(-0.996813281047927,0.,-0.0797701869526823)); #173751=DIRECTION('',(-0.996813281047927,0.,-0.0797701869526823)); #173752=DIRECTION('',(0.,-1.,0.)); #173753=DIRECTION('',(-0.996813281047927,0.,-0.0797701869526823)); #173754=DIRECTION('center_axis',(0.0124913456457795,0.,0.999921980098426)); #173755=DIRECTION('ref_axis',(-0.999921980098426,0.,0.0124913456457795)); #173756=DIRECTION('',(-0.999921980098426,0.,0.0124913456457795)); #173757=DIRECTION('',(0.,-1.,0.)); #173758=DIRECTION('',(-0.999921980098426,0.,0.0124913456457795)); #173759=DIRECTION('center_axis',(0.106570752575051,0.,0.994305121527385)); #173760=DIRECTION('ref_axis',(-0.994305121527385,0.,0.106570752575051)); #173761=DIRECTION('',(-0.994305121527385,0.,0.106570752575051)); #173762=DIRECTION('',(0.,-1.,0.)); #173763=DIRECTION('',(-0.994305121527385,0.,0.106570752575051)); #173764=DIRECTION('center_axis',(0.204951818992098,0.,0.978772063297594)); #173765=DIRECTION('ref_axis',(-0.978772063297594,0.,0.204951818992098)); #173766=DIRECTION('',(-0.978772063297594,0.,0.204951818992098)); #173767=DIRECTION('',(0.,-1.,0.)); #173768=DIRECTION('',(-0.978772063297594,0.,0.204951818992098)); #173769=DIRECTION('center_axis',(0.309471380501933,0.,0.95090875726866)); #173770=DIRECTION('ref_axis',(-0.950908757268661,0.,0.309471380501933)); #173771=DIRECTION('',(-0.950908757268661,0.,0.309471380501933)); #173772=DIRECTION('',(0.,-1.,0.)); #173773=DIRECTION('',(-0.950908757268661,0.,0.309471380501933)); #173774=DIRECTION('center_axis',(0.412757355314196,0.,0.910841021053636)); #173775=DIRECTION('ref_axis',(-0.910841021053636,0.,0.412757355314196)); #173776=DIRECTION('',(-0.910841021053636,0.,0.412757355314196)); #173777=DIRECTION('',(0.,-1.,0.)); #173778=DIRECTION('',(-0.910841021053636,0.,0.412757355314196)); #173779=DIRECTION('center_axis',(0.512986860340515,0.,0.858396459171391)); #173780=DIRECTION('ref_axis',(-0.858396459171391,0.,0.512986860340514)); #173781=DIRECTION('',(-0.858396459171391,0.,0.512986860340514)); #173782=DIRECTION('',(0.,-1.,0.)); #173783=DIRECTION('',(-0.858396459171391,0.,0.512986860340514)); #173784=DIRECTION('center_axis',(0.606329817955256,0.,0.795213274448022)); #173785=DIRECTION('ref_axis',(-0.795213274448022,0.,0.606329817955256)); #173786=DIRECTION('',(-0.795213274448022,0.,0.606329817955256)); #173787=DIRECTION('',(0.,-1.,0.)); #173788=DIRECTION('',(-0.795213274448022,0.,0.606329817955256)); #173789=DIRECTION('center_axis',(0.69093736266492,0.,0.722914629035577)); #173790=DIRECTION('ref_axis',(-0.722914629035577,0.,0.69093736266492)); #173791=DIRECTION('',(-0.722914629035577,0.,0.69093736266492)); #173792=DIRECTION('',(0.,-1.,0.)); #173793=DIRECTION('',(-0.722914629035577,0.,0.69093736266492)); #173794=DIRECTION('center_axis',(0.762735755857894,0.,0.646710264906849)); #173795=DIRECTION('ref_axis',(-0.646710264906849,0.,0.762735755857894)); #173796=DIRECTION('',(-0.646710264906849,0.,0.762735755857894)); #173797=DIRECTION('',(0.,-1.,0.)); #173798=DIRECTION('',(-0.646710264906849,0.,0.762735755857894)); #173799=DIRECTION('center_axis',(0.84183266877247,0.,0.539738601350154)); #173800=DIRECTION('ref_axis',(-0.539738601350154,0.,0.84183266877247)); #173801=DIRECTION('',(-0.539738601350154,0.,0.84183266877247)); #173802=DIRECTION('',(0.,-1.,0.)); #173803=DIRECTION('',(-0.539738601350154,0.,0.84183266877247)); #173804=DIRECTION('center_axis',(0.903342667507939,0.,0.428919602093028)); #173805=DIRECTION('ref_axis',(-0.428919602093027,0.,0.903342667507939)); #173806=DIRECTION('',(-0.428919602093027,0.,0.903342667507939)); #173807=DIRECTION('',(0.,-1.,0.)); #173808=DIRECTION('',(-0.428919602093027,0.,0.903342667507939)); #173809=DIRECTION('center_axis',(0.944869705784218,0.,0.327446543868224)); #173810=DIRECTION('ref_axis',(-0.327446543868224,0.,0.944869705784218)); #173811=DIRECTION('',(-0.327446543868224,0.,0.944869705784218)); #173812=DIRECTION('',(0.,-1.,0.)); #173813=DIRECTION('',(-0.327446543868224,0.,0.944869705784218)); #173814=DIRECTION('center_axis',(0.971651685385062,0.,0.236417009304254)); #173815=DIRECTION('ref_axis',(-0.236417009304254,0.,0.971651685385062)); #173816=DIRECTION('',(-0.236417009304254,0.,0.971651685385062)); #173817=DIRECTION('',(0.,-1.,0.)); #173818=DIRECTION('',(-0.236417009304254,0.,0.971651685385062)); #173819=DIRECTION('center_axis',(0.,-1.,0.)); #173820=DIRECTION('ref_axis',(0.995694547255121,0.,0.0926950298905987)); #173821=DIRECTION('center_axis',(0.,-1.,0.)); #173822=DIRECTION('ref_axis',(0.995694547255121,0.,0.0926950298905987)); #173823=DIRECTION('',(0.,-1.,0.)); #173824=DIRECTION('center_axis',(0.,-1.,0.)); #173825=DIRECTION('ref_axis',(0.995694547255121,0.,0.0926950298905987)); #173826=DIRECTION('center_axis',(0.999427499398899,0.,0.0338330229991775)); #173827=DIRECTION('ref_axis',(-0.0338330229991775,0.,0.9994274993989)); #173828=DIRECTION('',(-0.0338330229991775,0.,0.9994274993989)); #173829=DIRECTION('',(0.,-1.,0.)); #173830=DIRECTION('',(-0.0338330229991775,0.,0.9994274993989)); #173831=DIRECTION('center_axis',(0.999999997772509,0.,6.67456488712502E-5)); #173832=DIRECTION('ref_axis',(-6.67456488712502E-5,0.,0.999999997772509)); #173833=DIRECTION('',(-6.67456488712502E-5,0.,0.999999997772509)); #173834=DIRECTION('',(0.,-1.,0.)); #173835=DIRECTION('',(-6.67456488712502E-5,0.,0.999999997772509)); #173836=DIRECTION('center_axis',(4.44401935996355E-5,0.,0.999999999012535)); #173837=DIRECTION('ref_axis',(-0.999999999012535,0.,4.44401935996355E-5)); #173838=DIRECTION('',(-0.999999999012535,0.,4.44401935996355E-5)); #173839=DIRECTION('',(0.,-1.,0.)); #173840=DIRECTION('',(-0.999999999012535,0.,4.44401935996355E-5)); #173841=DIRECTION('center_axis',(0.,-1.,0.)); #173842=DIRECTION('ref_axis',(-0.999524018212432,0.,0.0308502352741937)); #173843=DIRECTION('center_axis',(0.,-1.,0.)); #173844=DIRECTION('ref_axis',(-0.999524018212432,0.,0.0308502352741937)); #173845=DIRECTION('',(0.,-1.,0.)); #173846=DIRECTION('center_axis',(0.,-1.,0.)); #173847=DIRECTION('ref_axis',(-0.999524018212432,0.,0.0308502352741937)); #173848=DIRECTION('center_axis',(-0.995711553824337,0.,0.0925121699060466)); #173849=DIRECTION('ref_axis',(-0.0925121699060466,0.,-0.995711553824337)); #173850=DIRECTION('',(-0.0925121699060466,0.,-0.995711553824337)); #173851=DIRECTION('',(0.,-1.,0.)); #173852=DIRECTION('',(-0.0925121699060466,0.,-0.995711553824337)); #173853=DIRECTION('center_axis',(-0.986997942048638,0.,0.160732891443392)); #173854=DIRECTION('ref_axis',(-0.160732891443392,0.,-0.986997942048638)); #173855=DIRECTION('',(-0.160732891443392,0.,-0.986997942048638)); #173856=DIRECTION('',(0.,-1.,0.)); #173857=DIRECTION('',(-0.160732891443392,0.,-0.986997942048638)); #173858=DIRECTION('center_axis',(-0.970901326226107,0.,0.239479883773954)); #173859=DIRECTION('ref_axis',(-0.239479883773954,0.,-0.970901326226107)); #173860=DIRECTION('',(-0.239479883773954,0.,-0.970901326226107)); #173861=DIRECTION('',(0.,-1.,0.)); #173862=DIRECTION('',(-0.239479883773954,0.,-0.970901326226107)); #173863=DIRECTION('center_axis',(-0.943895721259537,0.,0.330243648520209)); #173864=DIRECTION('ref_axis',(-0.330243648520209,0.,-0.943895721259537)); #173865=DIRECTION('',(-0.330243648520209,0.,-0.943895721259537)); #173866=DIRECTION('',(0.,-1.,0.)); #173867=DIRECTION('',(-0.330243648520209,0.,-0.943895721259537)); #173868=DIRECTION('center_axis',(-0.902114159494492,0.,0.431497442911944)); #173869=DIRECTION('ref_axis',(-0.431497442911944,0.,-0.902114159494492)); #173870=DIRECTION('',(-0.431497442911944,0.,-0.902114159494492)); #173871=DIRECTION('',(0.,-1.,0.)); #173872=DIRECTION('',(-0.431497442911943,0.,-0.902114159494492)); #173873=DIRECTION('center_axis',(-0.840783129609748,0.,0.54137207996316)); #173874=DIRECTION('ref_axis',(-0.54137207996316,0.,-0.840783129609748)); #173875=DIRECTION('',(-0.54137207996316,0.,-0.840783129609748)); #173876=DIRECTION('',(0.,-1.,0.)); #173877=DIRECTION('',(-0.54137207996316,0.,-0.840783129609748)); #173878=DIRECTION('center_axis',(-0.762735755857894,0.,0.646710264906849)); #173879=DIRECTION('ref_axis',(-0.646710264906849,0.,-0.762735755857894)); #173880=DIRECTION('',(-0.646710264906849,0.,-0.762735755857894)); #173881=DIRECTION('',(0.,-1.,0.)); #173882=DIRECTION('',(-0.646710264906849,0.,-0.762735755857894)); #173883=DIRECTION('center_axis',(-0.690345296344776,0.,0.723480042443911)); #173884=DIRECTION('ref_axis',(-0.723480042443911,0.,-0.690345296344776)); #173885=DIRECTION('',(-0.723480042443911,0.,-0.690345296344776)); #173886=DIRECTION('',(0.,-1.,0.)); #173887=DIRECTION('',(-0.723480042443911,0.,-0.690345296344776)); #173888=DIRECTION('center_axis',(-0.607028146087935,0.,0.794680331867503)); #173889=DIRECTION('ref_axis',(-0.794680331867503,0.,-0.607028146087935)); #173890=DIRECTION('',(-0.794680331867503,0.,-0.607028146087935)); #173891=DIRECTION('',(0.,-1.,0.)); #173892=DIRECTION('',(-0.794680331867503,0.,-0.607028146087935)); #173893=DIRECTION('center_axis',(-0.513468039156277,0.,0.85810871849959)); #173894=DIRECTION('ref_axis',(-0.85810871849959,0.,-0.513468039156277)); #173895=DIRECTION('',(-0.85810871849959,0.,-0.513468039156277)); #173896=DIRECTION('',(0.,-1.,0.)); #173897=DIRECTION('',(-0.85810871849959,0.,-0.513468039156277)); #173898=DIRECTION('center_axis',(-0.412163295854228,0.,0.911109992015552)); #173899=DIRECTION('ref_axis',(-0.911109992015552,0.,-0.412163295854228)); #173900=DIRECTION('',(-0.911109992015552,0.,-0.412163295854228)); #173901=DIRECTION('',(0.,-1.,0.)); #173902=DIRECTION('',(-0.911109992015552,0.,-0.412163295854228)); #173903=DIRECTION('center_axis',(-0.30858249391519,0.,0.951197584337283)); #173904=DIRECTION('ref_axis',(-0.951197584337283,0.,-0.30858249391519)); #173905=DIRECTION('',(-0.951197584337283,0.,-0.30858249391519)); #173906=DIRECTION('',(0.,-1.,0.)); #173907=DIRECTION('',(-0.951197584337283,0.,-0.30858249391519)); #173908=DIRECTION('center_axis',(-0.205798806371127,0.,0.978594324169224)); #173909=DIRECTION('ref_axis',(-0.978594324169224,0.,-0.205798806371127)); #173910=DIRECTION('',(-0.978594324169224,0.,-0.205798806371127)); #173911=DIRECTION('',(0.,-1.,0.)); #173912=DIRECTION('',(-0.978594324169224,0.,-0.205798806371127)); #173913=DIRECTION('center_axis',(-0.106503039498365,0.,0.994312376759743)); #173914=DIRECTION('ref_axis',(-0.994312376759743,0.,-0.106503039498365)); #173915=DIRECTION('',(-0.994312376759743,0.,-0.106503039498365)); #173916=DIRECTION('',(0.,-1.,0.)); #173917=DIRECTION('',(-0.994312376759743,0.,-0.106503039498365)); #173918=DIRECTION('center_axis',(-0.0127471356054913,0.,0.999918751966306)); #173919=DIRECTION('ref_axis',(-0.999918751966306,0.,-0.0127471356054913)); #173920=DIRECTION('',(-0.999918751966306,0.,-0.0127471356054913)); #173921=DIRECTION('',(0.,-1.,0.)); #173922=DIRECTION('',(-0.999918751966306,0.,-0.0127471356054913)); #173923=DIRECTION('center_axis',(0.0832642344630122,0.,0.996527504517205)); #173924=DIRECTION('ref_axis',(-0.996527504517205,0.,0.0832642344630122)); #173925=DIRECTION('',(-0.996527504517205,0.,0.0832642344630122)); #173926=DIRECTION('',(0.,-1.,0.)); #173927=DIRECTION('',(-0.996527504517205,0.,0.0832642344630122)); #173928=DIRECTION('center_axis',(0.185482403439846,0.,0.982647585869002)); #173929=DIRECTION('ref_axis',(-0.982647585869002,0.,0.185482403439846)); #173930=DIRECTION('',(-0.982647585869002,0.,0.185482403439846)); #173931=DIRECTION('',(-0.982647585869002,0.,0.185482403439846)); #173932=DIRECTION('center_axis',(0.,1.,0.)); #173933=DIRECTION('ref_axis',(1.,0.,0.)); #173934=DIRECTION('center_axis',(0.,0.,1.)); #173935=DIRECTION('ref_axis',(-1.,0.,0.)); #173936=DIRECTION('',(-1.,0.,0.)); #173937=DIRECTION('',(0.,-1.,0.)); #173938=DIRECTION('',(-1.,0.,0.)); #173939=DIRECTION('',(0.,-1.,0.)); #173940=DIRECTION('center_axis',(0.997802370627581,0.,0.0662603136876049)); #173941=DIRECTION('ref_axis',(-0.0662603136876048,0.,0.997802370627581)); #173942=DIRECTION('',(-0.0662603136876048,0.,0.997802370627581)); #173943=DIRECTION('',(0.,-1.,0.)); #173944=DIRECTION('',(-0.0662603136876048,0.,0.997802370627581)); #173945=DIRECTION('center_axis',(1.,0.,0.)); #173946=DIRECTION('ref_axis',(0.,0.,1.)); #173947=DIRECTION('',(0.,0.,1.)); #173948=DIRECTION('',(0.,-1.,0.)); #173949=DIRECTION('',(0.,0.,1.)); #173950=DIRECTION('center_axis',(0.999958272753707,0.,-0.00913524774830596)); #173951=DIRECTION('ref_axis',(0.00913524774830596,0.,0.999958272753707)); #173952=DIRECTION('',(0.00913524774830596,0.,0.999958272753707)); #173953=DIRECTION('',(0.,-1.,0.)); #173954=DIRECTION('',(0.00913524774830596,0.,0.999958272753707)); #173955=DIRECTION('center_axis',(0.,0.,-1.)); #173956=DIRECTION('ref_axis',(1.,0.,0.)); #173957=DIRECTION('',(1.,0.,0.)); #173958=DIRECTION('',(0.,-1.,0.)); #173959=DIRECTION('',(1.,0.,0.)); #173960=DIRECTION('center_axis',(-0.00277391443350095,0.,-0.999996152691957)); #173961=DIRECTION('ref_axis',(0.999996152691957,0.,-0.00277391443350095)); #173962=DIRECTION('',(0.999996152691957,0.,-0.00277391443350095)); #173963=DIRECTION('',(0.,-1.,0.)); #173964=DIRECTION('',(0.999996152691957,0.,-0.00277391443350095)); #173965=DIRECTION('center_axis',(0.,0.,-1.)); #173966=DIRECTION('ref_axis',(1.,0.,0.)); #173967=DIRECTION('',(1.,0.,0.)); #173968=DIRECTION('',(0.,-1.,0.)); #173969=DIRECTION('',(1.,0.,0.)); #173970=DIRECTION('center_axis',(0.000186942091528768,0.,-0.999999982526327)); #173971=DIRECTION('ref_axis',(0.999999982526327,0.,0.000186942091528768)); #173972=DIRECTION('',(0.999999982526327,0.,0.000186942091528768)); #173973=DIRECTION('',(0.,-1.,0.)); #173974=DIRECTION('',(0.999999982526327,0.,0.000186942091528768)); #173975=DIRECTION('center_axis',(-0.99999999886767,0.,4.75884549090389E-5)); #173976=DIRECTION('ref_axis',(-4.75884549090389E-5,0.,-0.99999999886767)); #173977=DIRECTION('',(-4.75884549090389E-5,0.,-0.99999999886767)); #173978=DIRECTION('',(0.,-1.,0.)); #173979=DIRECTION('',(-4.75884549090389E-5,0.,-0.99999999886767)); #173980=DIRECTION('center_axis',(-0.999999999834437,0.,-1.81968719249165E-5)); #173981=DIRECTION('ref_axis',(1.81968719249165E-5,0.,-0.999999999834437)); #173982=DIRECTION('',(1.81968719249165E-5,0.,-0.999999999834437)); #173983=DIRECTION('',(0.,-1.,0.)); #173984=DIRECTION('',(1.81968719249165E-5,0.,-0.999999999834437)); #173985=DIRECTION('center_axis',(-1.,0.,0.)); #173986=DIRECTION('ref_axis',(0.,0.,-1.)); #173987=DIRECTION('',(0.,0.,-1.)); #173988=DIRECTION('',(0.,-1.,0.)); #173989=DIRECTION('',(0.,0.,-1.)); #173990=DIRECTION('center_axis',(0.,-1.,0.)); #173991=DIRECTION('ref_axis',(0.999999980214065,0.,0.000198926797165331)); #173992=DIRECTION('center_axis',(0.,1.,0.)); #173993=DIRECTION('ref_axis',(0.999999980214065,0.,0.000198926797165331)); #173994=DIRECTION('center_axis',(0.,1.,0.)); #173995=DIRECTION('ref_axis',(0.999999980214065,0.,0.000198926797165331)); #173996=DIRECTION('center_axis',(0.,1.,0.)); #173997=DIRECTION('ref_axis',(1.,0.,0.)); #173998=DIRECTION('center_axis',(1.,0.,0.)); #173999=DIRECTION('ref_axis',(0.,0.,1.)); #174000=DIRECTION('',(0.,0.,1.)); #174001=DIRECTION('',(0.,-1.,0.)); #174002=DIRECTION('',(0.,0.,1.)); #174003=DIRECTION('',(0.,-1.,0.)); #174004=DIRECTION('center_axis',(0.,0.,-1.)); #174005=DIRECTION('ref_axis',(1.,0.,0.)); #174006=DIRECTION('',(1.,0.,0.)); #174007=DIRECTION('',(0.,-1.,0.)); #174008=DIRECTION('',(1.,0.,0.)); #174009=DIRECTION('center_axis',(-1.,0.,0.)); #174010=DIRECTION('ref_axis',(0.,0.,-1.)); #174011=DIRECTION('',(0.,0.,-1.)); #174012=DIRECTION('',(0.,-1.,0.)); #174013=DIRECTION('',(0.,0.,-1.)); #174014=DIRECTION('center_axis',(0.,0.,1.)); #174015=DIRECTION('ref_axis',(-1.,0.,0.)); #174016=DIRECTION('',(-1.,0.,0.)); #174017=DIRECTION('',(-1.,0.,0.)); #174018=DIRECTION('center_axis',(0.,-1.,0.)); #174019=DIRECTION('ref_axis',(0.,0.,-1.)); #174020=DIRECTION('center_axis',(0.,-1.,0.)); #174021=DIRECTION('ref_axis',(-0.994084297693079,0.,0.108611275105571)); #174022=DIRECTION('center_axis',(0.,1.,0.)); #174023=DIRECTION('ref_axis',(-0.994084297693079,0.,0.108611275105571)); #174024=DIRECTION('',(0.,-1.,0.)); #174025=DIRECTION('center_axis',(0.,1.,0.)); #174026=DIRECTION('ref_axis',(-0.994084297693079,0.,0.108611275105571)); #174027=DIRECTION('',(0.,-1.,0.)); #174028=DIRECTION('center_axis',(1.,0.,0.)); #174029=DIRECTION('ref_axis',(0.,0.,1.)); #174030=DIRECTION('',(0.,0.,1.)); #174031=DIRECTION('',(0.,-1.,0.)); #174032=DIRECTION('',(0.,0.,1.)); #174033=DIRECTION('center_axis',(0.,-1.,0.)); #174034=DIRECTION('ref_axis',(-0.974888394961137,0.,0.222693999402986)); #174035=DIRECTION('center_axis',(0.,1.,0.)); #174036=DIRECTION('ref_axis',(-0.974888394961137,0.,0.222693999402986)); #174037=DIRECTION('',(0.,-1.,0.)); #174038=DIRECTION('center_axis',(0.,1.,0.)); #174039=DIRECTION('ref_axis',(-0.974888394961137,0.,0.222693999402986)); #174040=DIRECTION('center_axis',(0.,-1.,0.)); #174041=DIRECTION('ref_axis',(-0.510217420037665,0.,-0.860045454781379)); #174042=DIRECTION('center_axis',(0.,1.,0.)); #174043=DIRECTION('ref_axis',(-0.510217420037665,0.,-0.860045454781379)); #174044=DIRECTION('',(0.,-1.,0.)); #174045=DIRECTION('center_axis',(0.,1.,0.)); #174046=DIRECTION('ref_axis',(-0.510217420037665,0.,-0.860045454781379)); #174047=DIRECTION('center_axis',(0.,-1.,0.)); #174048=DIRECTION('ref_axis',(-0.0816430969743655,0.,-0.996661630001092)); #174049=DIRECTION('center_axis',(0.,1.,0.)); #174050=DIRECTION('ref_axis',(-0.0816430969743655,0.,-0.996661630001092)); #174051=DIRECTION('',(0.,-1.,0.)); #174052=DIRECTION('center_axis',(0.,1.,0.)); #174053=DIRECTION('ref_axis',(-0.0816430969743655,0.,-0.996661630001092)); #174054=DIRECTION('center_axis',(0.,-1.,0.)); #174055=DIRECTION('ref_axis',(0.108648370459156,0.,-0.99408024404299)); #174056=DIRECTION('center_axis',(0.,1.,0.)); #174057=DIRECTION('ref_axis',(0.108648370459156,0.,-0.99408024404299)); #174058=DIRECTION('',(0.,-1.,0.)); #174059=DIRECTION('center_axis',(0.,1.,0.)); #174060=DIRECTION('ref_axis',(0.108648370459156,0.,-0.99408024404299)); #174061=DIRECTION('center_axis',(0.,-1.,0.)); #174062=DIRECTION('ref_axis',(0.777074208822485,0.,-0.629408987847257)); #174063=DIRECTION('center_axis',(0.,1.,0.)); #174064=DIRECTION('ref_axis',(0.777074208822485,0.,-0.629408987847257)); #174065=DIRECTION('',(0.,-1.,0.)); #174066=DIRECTION('center_axis',(0.,1.,0.)); #174067=DIRECTION('ref_axis',(0.777074208822485,0.,-0.629408987847257)); #174068=DIRECTION('center_axis',(0.,-1.,0.)); #174069=DIRECTION('ref_axis',(0.997253896865481,0.,-0.0740585254147911)); #174070=DIRECTION('center_axis',(0.,1.,0.)); #174071=DIRECTION('ref_axis',(0.997253896865481,0.,-0.0740585254147911)); #174072=DIRECTION('',(0.,-1.,0.)); #174073=DIRECTION('center_axis',(0.,1.,0.)); #174074=DIRECTION('ref_axis',(0.997253896865481,0.,-0.0740585254147911)); #174075=DIRECTION('center_axis',(0.,-1.,0.)); #174076=DIRECTION('ref_axis',(0.993548163246663,0.,0.113410966441442)); #174077=DIRECTION('center_axis',(0.,1.,0.)); #174078=DIRECTION('ref_axis',(0.993548163246663,0.,0.113410966441442)); #174079=DIRECTION('',(0.,-1.,0.)); #174080=DIRECTION('center_axis',(0.,1.,0.)); #174081=DIRECTION('ref_axis',(0.993548163246663,0.,0.113410966441442)); #174082=DIRECTION('center_axis',(0.,-1.,0.)); #174083=DIRECTION('ref_axis',(0.0407385831540686,0.,0.999169839337937)); #174084=DIRECTION('center_axis',(0.,1.,0.)); #174085=DIRECTION('ref_axis',(0.0407385831540686,0.,0.999169839337937)); #174086=DIRECTION('',(0.,-1.,0.)); #174087=DIRECTION('center_axis',(0.,1.,0.)); #174088=DIRECTION('ref_axis',(0.0407385831540686,0.,0.999169839337937)); #174089=DIRECTION('center_axis',(0.,-1.,0.)); #174090=DIRECTION('ref_axis',(-0.0632816737873942,0.,0.997995706284684)); #174091=DIRECTION('center_axis',(0.,1.,0.)); #174092=DIRECTION('ref_axis',(-0.0632816737873942,0.,0.997995706284684)); #174093=DIRECTION('center_axis',(0.,1.,0.)); #174094=DIRECTION('ref_axis',(-0.0632816737873942,0.,0.997995706284684)); #174095=DIRECTION('center_axis',(0.985490099838641,0.,-0.169732917019729)); #174096=DIRECTION('ref_axis',(0.169732917019729,0.,0.985490099838641)); #174097=DIRECTION('',(0.169732917019729,0.,0.985490099838641)); #174098=DIRECTION('',(0.,-1.,0.)); #174099=DIRECTION('',(0.169732917019729,0.,0.985490099838641)); #174100=DIRECTION('',(0.,-1.,0.)); #174101=DIRECTION('center_axis',(0.93649928652505,0.,-0.350669483043608)); #174102=DIRECTION('ref_axis',(0.350669483043608,0.,0.936499286525051)); #174103=DIRECTION('',(0.350669483043608,0.,0.936499286525051)); #174104=DIRECTION('',(0.,-1.,0.)); #174105=DIRECTION('',(0.350669483043608,0.,0.93649928652505)); #174106=DIRECTION('center_axis',(0.799659618735493,0.,-0.60045357369559)); #174107=DIRECTION('ref_axis',(0.60045357369559,0.,0.799659618735493)); #174108=DIRECTION('',(0.60045357369559,0.,0.799659618735493)); #174109=DIRECTION('',(0.,-1.,0.)); #174110=DIRECTION('',(0.60045357369559,0.,0.799659618735493)); #174111=DIRECTION('center_axis',(0.563012371585013,0.,-0.826448467505518)); #174112=DIRECTION('ref_axis',(0.826448467505518,0.,0.563012371585013)); #174113=DIRECTION('',(0.826448467505518,0.,0.563012371585013)); #174114=DIRECTION('',(0.,-1.,0.)); #174115=DIRECTION('',(0.826448467505518,0.,0.563012371585013)); #174116=DIRECTION('center_axis',(0.,-1.,0.)); #174117=DIRECTION('ref_axis',(0.276122527211522,0.,-0.961122442754471)); #174118=DIRECTION('center_axis',(0.,-1.,0.)); #174119=DIRECTION('ref_axis',(0.276122527211522,0.,-0.961122442754471)); #174120=DIRECTION('',(0.,-1.,0.)); #174121=DIRECTION('center_axis',(0.,-1.,0.)); #174122=DIRECTION('ref_axis',(0.276122527211522,0.,-0.961122442754471)); #174123=DIRECTION('center_axis',(-0.550223428320878,0.,-0.835017472228468)); #174124=DIRECTION('ref_axis',(0.835017472228468,0.,-0.550223428320878)); #174125=DIRECTION('',(0.835017472228468,0.,-0.550223428320878)); #174126=DIRECTION('',(0.,-1.,0.)); #174127=DIRECTION('',(0.835017472228468,0.,-0.550223428320878)); #174128=DIRECTION('center_axis',(-0.649279157263827,0.,-0.760550179766447)); #174129=DIRECTION('ref_axis',(0.760550179766447,0.,-0.649279157263827)); #174130=DIRECTION('',(0.760550179766447,0.,-0.649279157263827)); #174131=DIRECTION('',(0.,-1.,0.)); #174132=DIRECTION('',(0.760550179766447,0.,-0.649279157263827)); #174133=DIRECTION('center_axis',(-0.740248070182214,0.,-0.672333841622976)); #174134=DIRECTION('ref_axis',(0.672333841622976,0.,-0.740248070182214)); #174135=DIRECTION('',(0.672333841622976,0.,-0.740248070182214)); #174136=DIRECTION('',(0.,-1.,0.)); #174137=DIRECTION('',(0.672333841622976,0.,-0.740248070182214)); #174138=DIRECTION('center_axis',(-0.818624506761222,0.,-0.574329101587188)); #174139=DIRECTION('ref_axis',(0.574329101587188,0.,-0.818624506761222)); #174140=DIRECTION('',(0.574329101587188,0.,-0.818624506761222)); #174141=DIRECTION('',(0.,-1.,0.)); #174142=DIRECTION('',(0.574329101587188,0.,-0.818624506761222)); #174143=DIRECTION('center_axis',(-0.881098924430704,0.,-0.47293200924346)); #174144=DIRECTION('ref_axis',(0.47293200924346,0.,-0.881098924430704)); #174145=DIRECTION('',(0.47293200924346,0.,-0.881098924430704)); #174146=DIRECTION('',(0.,-1.,0.)); #174147=DIRECTION('',(0.47293200924346,0.,-0.881098924430704)); #174148=DIRECTION('center_axis',(-0.928659996418927,0.,-0.370932084149104)); #174149=DIRECTION('ref_axis',(0.370932084149104,0.,-0.928659996418927)); #174150=DIRECTION('',(0.370932084149104,0.,-0.928659996418927)); #174151=DIRECTION('',(0.,-1.,0.)); #174152=DIRECTION('',(0.370932084149104,0.,-0.928659996418927)); #174153=DIRECTION('center_axis',(0.,-1.,0.)); #174154=DIRECTION('ref_axis',(-0.957871509233807,0.,-0.287197095734181)); #174155=DIRECTION('center_axis',(0.,-1.,0.)); #174156=DIRECTION('ref_axis',(-0.957871509233807,0.,-0.287197095734181)); #174157=DIRECTION('',(0.,-1.,0.)); #174158=DIRECTION('center_axis',(0.,-1.,0.)); #174159=DIRECTION('ref_axis',(-0.957871509233807,0.,-0.287197095734181)); #174160=DIRECTION('center_axis',(0.,-1.,0.)); #174161=DIRECTION('ref_axis',(-0.992046914739003,0.,-0.125868657563454)); #174162=DIRECTION('center_axis',(0.,-1.,0.)); #174163=DIRECTION('ref_axis',(-0.992046914739003,0.,-0.125868657563454)); #174164=DIRECTION('',(0.,-1.,0.)); #174165=DIRECTION('center_axis',(0.,-1.,0.)); #174166=DIRECTION('ref_axis',(-0.992046914739003,0.,-0.125868657563454)); #174167=DIRECTION('center_axis',(-0.999999999343992,0.,3.6221767219525E-5)); #174168=DIRECTION('ref_axis',(-3.6221767219525E-5,0.,-0.999999999343992)); #174169=DIRECTION('',(-3.6221767219525E-5,0.,-0.999999999343992)); #174170=DIRECTION('',(0.,-1.,0.)); #174171=DIRECTION('',(-3.6221767219525E-5,0.,-0.999999999343992)); #174172=DIRECTION('center_axis',(-0.990045086668729,0.,0.140750582105752)); #174173=DIRECTION('ref_axis',(-0.140750582105752,0.,-0.99004508666873)); #174174=DIRECTION('',(-0.140750582105752,0.,-0.99004508666873)); #174175=DIRECTION('',(0.,-1.,0.)); #174176=DIRECTION('',(-0.140750582105752,0.,-0.99004508666873)); #174177=DIRECTION('center_axis',(-0.933454185573604,0.,0.358696645419384)); #174178=DIRECTION('ref_axis',(-0.358696645419384,0.,-0.933454185573604)); #174179=DIRECTION('',(-0.358696645419384,0.,-0.933454185573604)); #174180=DIRECTION('',(0.,-1.,0.)); #174181=DIRECTION('',(-0.358696645419384,0.,-0.933454185573604)); #174182=DIRECTION('center_axis',(-0.815268273018122,0.,0.579083450816934)); #174183=DIRECTION('ref_axis',(-0.579083450816934,0.,-0.815268273018122)); #174184=DIRECTION('',(-0.579083450816934,0.,-0.815268273018122)); #174185=DIRECTION('',(0.,-1.,0.)); #174186=DIRECTION('',(-0.579083450816934,0.,-0.815268273018122)); #174187=DIRECTION('center_axis',(-0.65144580145951,0.,0.758695174467834)); #174188=DIRECTION('ref_axis',(-0.758695174467834,0.,-0.651445801459509)); #174189=DIRECTION('',(-0.758695174467834,0.,-0.651445801459509)); #174190=DIRECTION('',(0.,-1.,0.)); #174191=DIRECTION('',(-0.758695174467834,0.,-0.651445801459509)); #174192=DIRECTION('center_axis',(-0.48068355140975,0.,0.876894134661711)); #174193=DIRECTION('ref_axis',(-0.876894134661711,0.,-0.48068355140975)); #174194=DIRECTION('',(-0.876894134661711,0.,-0.48068355140975)); #174195=DIRECTION('',(0.,-1.,0.)); #174196=DIRECTION('',(-0.876894134661711,0.,-0.48068355140975)); #174197=DIRECTION('center_axis',(0.,-1.,0.)); #174198=DIRECTION('ref_axis',(-0.312294636307269,0.,0.949985294693402)); #174199=DIRECTION('center_axis',(0.,-1.,0.)); #174200=DIRECTION('ref_axis',(-0.312294636307269,0.,0.949985294693402)); #174201=DIRECTION('',(0.,-1.,0.)); #174202=DIRECTION('center_axis',(0.,-1.,0.)); #174203=DIRECTION('ref_axis',(-0.312294636307269,0.,0.949985294693402)); #174204=DIRECTION('center_axis',(0.,-1.,0.)); #174205=DIRECTION('ref_axis',(0.962149801700623,0.,0.272521116773455)); #174206=DIRECTION('center_axis',(0.,-1.,0.)); #174207=DIRECTION('ref_axis',(0.962149801700623,0.,0.272521116773455)); #174208=DIRECTION('',(0.,-1.,0.)); #174209=DIRECTION('center_axis',(0.,-1.,0.)); #174210=DIRECTION('ref_axis',(0.962149801700623,0.,0.272521116773455)); #174211=DIRECTION('center_axis',(0.,-1.,0.)); #174212=DIRECTION('ref_axis',(0.778469165454133,0.,-0.627682848608393)); #174213=DIRECTION('center_axis',(0.,1.,0.)); #174214=DIRECTION('ref_axis',(0.778469165454133,0.,-0.627682848608393)); #174215=DIRECTION('',(0.,-1.,0.)); #174216=DIRECTION('center_axis',(0.,1.,0.)); #174217=DIRECTION('ref_axis',(0.778469165454133,0.,-0.627682848608393)); #174218=DIRECTION('center_axis',(-0.729856778094466,0.,0.683600090308336)); #174219=DIRECTION('ref_axis',(-0.683600090308336,0.,-0.729856778094466)); #174220=DIRECTION('',(-0.683600090308336,0.,-0.729856778094466)); #174221=DIRECTION('',(0.,-1.,0.)); #174222=DIRECTION('',(-0.683600090308336,0.,-0.729856778094466)); #174223=DIRECTION('center_axis',(0.,-1.,0.)); #174224=DIRECTION('ref_axis',(-0.592045454616441,0.,0.805904572308665)); #174225=DIRECTION('center_axis',(0.,-1.,0.)); #174226=DIRECTION('ref_axis',(-0.592045454616441,0.,0.805904572308665)); #174227=DIRECTION('',(0.,-1.,0.)); #174228=DIRECTION('center_axis',(0.,-1.,0.)); #174229=DIRECTION('ref_axis',(-0.592045454616441,0.,0.805904572308665)); #174230=DIRECTION('center_axis',(0.,-1.,0.)); #174231=DIRECTION('ref_axis',(-0.102170743429993,0.,0.994766876804291)); #174232=DIRECTION('center_axis',(0.,-1.,0.)); #174233=DIRECTION('ref_axis',(-0.102170743429993,0.,0.994766876804291)); #174234=DIRECTION('',(0.,-1.,0.)); #174235=DIRECTION('center_axis',(0.,-1.,0.)); #174236=DIRECTION('ref_axis',(-0.102170743429993,0.,0.994766876804291)); #174237=DIRECTION('center_axis',(0.,-1.,0.)); #174238=DIRECTION('ref_axis',(0.291720257812086,0.,0.95650368069446)); #174239=DIRECTION('center_axis',(0.,-1.,0.)); #174240=DIRECTION('ref_axis',(0.291720257812086,0.,0.95650368069446)); #174241=DIRECTION('',(0.,-1.,0.)); #174242=DIRECTION('center_axis',(0.,-1.,0.)); #174243=DIRECTION('ref_axis',(0.291720257812086,0.,0.95650368069446)); #174244=DIRECTION('center_axis',(0.999951674309213,0.,0.00983102467708569)); #174245=DIRECTION('ref_axis',(-0.00983102467708569,0.,0.999951674309213)); #174246=DIRECTION('',(-0.00983102467708569,0.,0.999951674309213)); #174247=DIRECTION('',(0.,-1.,0.)); #174248=DIRECTION('',(-0.00983102467708569,0.,0.999951674309213)); #174249=DIRECTION('center_axis',(0.999891226684724,0.,0.014749060950364)); #174250=DIRECTION('ref_axis',(-0.014749060950364,0.,0.999891226684724)); #174251=DIRECTION('',(-0.014749060950364,0.,0.999891226684724)); #174252=DIRECTION('',(0.,-1.,0.)); #174253=DIRECTION('',(-0.014749060950364,0.,0.999891226684724)); #174254=DIRECTION('center_axis',(0.,-1.,0.)); #174255=DIRECTION('ref_axis',(0.993637616172814,0.,0.112624543179572)); #174256=DIRECTION('center_axis',(0.,-1.,0.)); #174257=DIRECTION('ref_axis',(0.993637616172814,0.,0.112624543179572)); #174258=DIRECTION('',(0.,-1.,0.)); #174259=DIRECTION('center_axis',(0.,-1.,0.)); #174260=DIRECTION('ref_axis',(0.993637616172814,0.,0.112624543179572)); #174261=DIRECTION('center_axis',(0.,-1.,0.)); #174262=DIRECTION('ref_axis',(0.861572144136933,0.,-0.507635145007995)); #174263=DIRECTION('center_axis',(0.,-1.,0.)); #174264=DIRECTION('ref_axis',(0.861572144136933,0.,-0.507635145007995)); #174265=DIRECTION('',(0.,-1.,0.)); #174266=DIRECTION('center_axis',(0.,-1.,0.)); #174267=DIRECTION('ref_axis',(0.861572144136933,0.,-0.507635145007995)); #174268=DIRECTION('center_axis',(0.,-1.,0.)); #174269=DIRECTION('ref_axis',(0.0282242257603325,0.,-0.999601617185682)); #174270=DIRECTION('center_axis',(0.,-1.,0.)); #174271=DIRECTION('ref_axis',(0.0282242257603325,0.,-0.999601617185682)); #174272=DIRECTION('',(0.,-1.,0.)); #174273=DIRECTION('center_axis',(0.,-1.,0.)); #174274=DIRECTION('ref_axis',(0.0282242257603325,0.,-0.999601617185682)); #174275=DIRECTION('center_axis',(0.,-1.,0.)); #174276=DIRECTION('ref_axis',(0.113719499980787,0.,-0.993512896405537)); #174277=DIRECTION('center_axis',(0.,-1.,0.)); #174278=DIRECTION('ref_axis',(0.113719499980787,0.,-0.993512896405537)); #174279=DIRECTION('',(0.,-1.,0.)); #174280=DIRECTION('center_axis',(0.,-1.,0.)); #174281=DIRECTION('ref_axis',(0.113719499980787,0.,-0.993512896405537)); #174282=DIRECTION('center_axis',(0.,-1.,0.)); #174283=DIRECTION('ref_axis',(-0.364934724298414,0.,-0.931033107360442)); #174284=DIRECTION('center_axis',(0.,-1.,0.)); #174285=DIRECTION('ref_axis',(-0.364934724298414,0.,-0.931033107360442)); #174286=DIRECTION('',(0.,-1.,0.)); #174287=DIRECTION('center_axis',(0.,-1.,0.)); #174288=DIRECTION('ref_axis',(-0.364934724298414,0.,-0.931033107360442)); #174289=DIRECTION('center_axis',(0.,-1.,0.)); #174290=DIRECTION('ref_axis',(-0.999998593597022,0.,0.00167714160939529)); #174291=DIRECTION('center_axis',(0.,1.,0.)); #174292=DIRECTION('ref_axis',(-0.999998593597022,0.,0.00167714160939529)); #174293=DIRECTION('',(0.,-1.,0.)); #174294=DIRECTION('center_axis',(0.,1.,0.)); #174295=DIRECTION('ref_axis',(-0.999998593597022,0.,0.00167714160939529)); #174296=DIRECTION('center_axis',(0.997901588913454,0.,-0.0647488906777927)); #174297=DIRECTION('ref_axis',(0.0647488906777927,0.,0.997901588913453)); #174298=DIRECTION('',(0.0647488906777927,0.,0.997901588913453)); #174299=DIRECTION('',(0.0647488906777927,0.,0.997901588913453)); #174300=DIRECTION('center_axis',(0.,1.,0.)); #174301=DIRECTION('ref_axis',(1.,0.,0.)); #174302=DIRECTION('center_axis',(0.,0.,1.)); #174303=DIRECTION('ref_axis',(-1.,0.,0.)); #174304=DIRECTION('',(-1.,0.,0.)); #174305=DIRECTION('',(0.,-1.,0.)); #174306=DIRECTION('',(-1.,0.,0.)); #174307=DIRECTION('',(0.,-1.,0.)); #174308=DIRECTION('center_axis',(1.,0.,0.)); #174309=DIRECTION('ref_axis',(0.,0.,1.)); #174310=DIRECTION('',(0.,0.,1.)); #174311=DIRECTION('',(0.,-1.,0.)); #174312=DIRECTION('',(0.,0.,1.)); #174313=DIRECTION('center_axis',(0.,0.,-1.)); #174314=DIRECTION('ref_axis',(1.,0.,0.)); #174315=DIRECTION('',(1.,0.,0.)); #174316=DIRECTION('',(0.,-1.,0.)); #174317=DIRECTION('',(1.,0.,0.)); #174318=DIRECTION('center_axis',(1.,0.,0.)); #174319=DIRECTION('ref_axis',(0.,0.,1.)); #174320=DIRECTION('',(0.,0.,1.)); #174321=DIRECTION('',(0.,-1.,0.)); #174322=DIRECTION('',(0.,0.,1.)); #174323=DIRECTION('center_axis',(0.,0.,-1.)); #174324=DIRECTION('ref_axis',(1.,0.,0.)); #174325=DIRECTION('',(1.,0.,0.)); #174326=DIRECTION('',(0.,-1.,0.)); #174327=DIRECTION('',(1.,0.,0.)); #174328=DIRECTION('center_axis',(-1.,0.,0.)); #174329=DIRECTION('ref_axis',(0.,0.,-1.)); #174330=DIRECTION('',(0.,0.,-1.)); #174331=DIRECTION('',(0.,-1.,0.)); #174332=DIRECTION('',(0.,0.,-1.)); #174333=DIRECTION('center_axis',(0.,0.,1.)); #174334=DIRECTION('ref_axis',(-1.,0.,0.)); #174335=DIRECTION('',(-1.,0.,0.)); #174336=DIRECTION('',(0.,-1.,0.)); #174337=DIRECTION('',(-1.,0.,0.)); #174338=DIRECTION('center_axis',(1.,0.,0.)); #174339=DIRECTION('ref_axis',(0.,0.,1.)); #174340=DIRECTION('',(0.,0.,1.)); #174341=DIRECTION('',(0.,0.,1.)); #174342=DIRECTION('center_axis',(0.,-1.,0.)); #174343=DIRECTION('ref_axis',(0.,0.,-1.)); #174344=DIRECTION('center_axis',(-0.723907643535184,0.,-0.689896893478538)); #174345=DIRECTION('ref_axis',(0.689896893478538,0.,-0.723907643535184)); #174346=DIRECTION('',(0.689896893478538,0.,-0.723907643535184)); #174347=DIRECTION('',(0.,-1.,0.)); #174348=DIRECTION('',(0.689896893478538,0.,-0.723907643535184)); #174349=DIRECTION('',(0.,-1.,0.)); #174350=DIRECTION('center_axis',(-0.796387993161063,0.,-0.604786048407943)); #174351=DIRECTION('ref_axis',(0.604786048407943,0.,-0.796387993161063)); #174352=DIRECTION('',(0.604786048407943,0.,-0.796387993161063)); #174353=DIRECTION('',(0.,-1.,0.)); #174354=DIRECTION('',(0.604786048407943,0.,-0.796387993161063)); #174355=DIRECTION('center_axis',(0.,-1.,0.)); #174356=DIRECTION('ref_axis',(-0.844177398575174,0.,-0.536063913852491)); #174357=DIRECTION('center_axis',(0.,-1.,0.)); #174358=DIRECTION('ref_axis',(-0.844177398575174,0.,-0.536063913852491)); #174359=DIRECTION('',(0.,-1.,0.)); #174360=DIRECTION('center_axis',(0.,-1.,0.)); #174361=DIRECTION('ref_axis',(-0.844177398575174,0.,-0.536063913852491)); #174362=DIRECTION('center_axis',(0.,-1.,0.)); #174363=DIRECTION('ref_axis',(-0.968089571388335,0.,-0.250604432860134)); #174364=DIRECTION('center_axis',(0.,-1.,0.)); #174365=DIRECTION('ref_axis',(-0.968089571388335,0.,-0.250604432860134)); #174366=DIRECTION('',(0.,-1.,0.)); #174367=DIRECTION('center_axis',(0.,-1.,0.)); #174368=DIRECTION('ref_axis',(-0.968089571388335,0.,-0.250604432860134)); #174369=DIRECTION('center_axis',(-1.,0.,0.)); #174370=DIRECTION('ref_axis',(0.,0.,-1.)); #174371=DIRECTION('',(0.,0.,-1.)); #174372=DIRECTION('',(0.,-1.,0.)); #174373=DIRECTION('',(0.,0.,-1.)); #174374=DIRECTION('center_axis',(0.,-1.,0.)); #174375=DIRECTION('ref_axis',(-0.953669612356535,0.,-0.300855896514821)); #174376=DIRECTION('center_axis',(0.,-1.,0.)); #174377=DIRECTION('ref_axis',(-0.953669612356535,0.,-0.300855896514821)); #174378=DIRECTION('',(0.,-1.,0.)); #174379=DIRECTION('center_axis',(0.,-1.,0.)); #174380=DIRECTION('ref_axis',(-0.953669612356535,0.,-0.300855896514821)); #174381=DIRECTION('center_axis',(0.,-1.,0.)); #174382=DIRECTION('ref_axis',(-0.418262619392576,0.,0.908326142538494)); #174383=DIRECTION('center_axis',(0.,-1.,0.)); #174384=DIRECTION('ref_axis',(-0.418262619392576,0.,0.908326142538494)); #174385=DIRECTION('',(0.,-1.,0.)); #174386=DIRECTION('center_axis',(0.,-1.,0.)); #174387=DIRECTION('ref_axis',(-0.418262619392576,0.,0.908326142538494)); #174388=DIRECTION('center_axis',(0.93774733713304,0.,0.347318199494198)); #174389=DIRECTION('ref_axis',(-0.347318199494198,0.,0.93774733713304)); #174390=DIRECTION('',(-0.347318199494198,0.,0.93774733713304)); #174391=DIRECTION('',(0.,-1.,0.)); #174392=DIRECTION('',(-0.347318199494198,0.,0.93774733713304)); #174393=DIRECTION('center_axis',(0.,-1.,0.)); #174394=DIRECTION('ref_axis',(0.976309933693231,0.,0.216376785658531)); #174395=DIRECTION('center_axis',(0.,-1.,0.)); #174396=DIRECTION('ref_axis',(0.976309933693231,0.,0.216376785658531)); #174397=DIRECTION('',(0.,-1.,0.)); #174398=DIRECTION('center_axis',(0.,-1.,0.)); #174399=DIRECTION('ref_axis',(0.976309933693231,0.,0.216376785658531)); #174400=DIRECTION('center_axis',(0.,-1.,0.)); #174401=DIRECTION('ref_axis',(0.99999642979642,0.,0.0026721516447957)); #174402=DIRECTION('center_axis',(0.,-1.,0.)); #174403=DIRECTION('ref_axis',(0.99999642979642,0.,0.0026721516447957)); #174404=DIRECTION('',(0.,-1.,0.)); #174405=DIRECTION('center_axis',(0.,-1.,0.)); #174406=DIRECTION('ref_axis',(0.99999642979642,0.,0.0026721516447957)); #174407=DIRECTION('center_axis',(0.960878424387575,0.,-0.276970492194479)); #174408=DIRECTION('ref_axis',(0.276970492194479,0.,0.960878424387575)); #174409=DIRECTION('',(0.276970492194479,0.,0.960878424387575)); #174410=DIRECTION('',(0.,-1.,0.)); #174411=DIRECTION('',(0.276970492194479,0.,0.960878424387575)); #174412=DIRECTION('center_axis',(0.799670475880412,0.,-0.600439114319841)); #174413=DIRECTION('ref_axis',(0.600439114319841,0.,0.799670475880412)); #174414=DIRECTION('',(0.600439114319841,0.,0.799670475880412)); #174415=DIRECTION('',(0.,-1.,0.)); #174416=DIRECTION('',(0.600439114319841,0.,0.799670475880412)); #174417=DIRECTION('center_axis',(0.591315132953564,0.,-0.806440582771049)); #174418=DIRECTION('ref_axis',(0.806440582771049,0.,0.591315132953564)); #174419=DIRECTION('',(0.806440582771049,0.,0.591315132953564)); #174420=DIRECTION('',(0.,-1.,0.)); #174421=DIRECTION('',(0.806440582771049,0.,0.591315132953564)); #174422=DIRECTION('center_axis',(0.,-1.,0.)); #174423=DIRECTION('ref_axis',(0.283613939803232,0.,-0.958938545032626)); #174424=DIRECTION('center_axis',(0.,-1.,0.)); #174425=DIRECTION('ref_axis',(0.283613939803232,0.,-0.958938545032626)); #174426=DIRECTION('',(0.,-1.,0.)); #174427=DIRECTION('center_axis',(0.,-1.,0.)); #174428=DIRECTION('ref_axis',(0.283613939803232,0.,-0.958938545032626)); #174429=DIRECTION('center_axis',(-0.6419976107486,0.,-0.766706637373833)); #174430=DIRECTION('ref_axis',(0.766706637373832,0.,-0.6419976107486)); #174431=DIRECTION('',(0.766706637373832,0.,-0.6419976107486)); #174432=DIRECTION('',(0.766706637373832,0.,-0.6419976107486)); #174433=DIRECTION('center_axis',(0.,1.,0.)); #174434=DIRECTION('ref_axis',(1.,0.,0.)); #174435=DIRECTION('center_axis',(0.905728864852288,0.,-0.423857550803789)); #174436=DIRECTION('ref_axis',(0.423857550803789,0.,0.905728864852288)); #174437=DIRECTION('',(0.423857550803789,0.,0.905728864852288)); #174438=DIRECTION('',(0.,-1.,0.)); #174439=DIRECTION('',(0.423857550803789,0.,0.905728864852288)); #174440=DIRECTION('',(0.,-1.,0.)); #174441=DIRECTION('center_axis',(0.84988081943543,0.,-0.526974945092991)); #174442=DIRECTION('ref_axis',(0.526974945092991,0.,0.84988081943543)); #174443=DIRECTION('',(0.526974945092991,0.,0.84988081943543)); #174444=DIRECTION('',(0.,-1.,0.)); #174445=DIRECTION('',(0.526974945092991,0.,0.84988081943543)); #174446=DIRECTION('center_axis',(0.783239085370357,0.,-0.621720624676556)); #174447=DIRECTION('ref_axis',(0.621720624676556,0.,0.783239085370357)); #174448=DIRECTION('',(0.621720624676556,0.,0.783239085370357)); #174449=DIRECTION('',(0.,-1.,0.)); #174450=DIRECTION('',(0.621720624676556,0.,0.783239085370357)); #174451=DIRECTION('center_axis',(0.707275723189138,0.,-0.706937798810674)); #174452=DIRECTION('ref_axis',(0.706937798810674,0.,0.707275723189138)); #174453=DIRECTION('',(0.706937798810674,0.,0.707275723189138)); #174454=DIRECTION('',(0.,-1.,0.)); #174455=DIRECTION('',(0.706937798810674,0.,0.707275723189138)); #174456=DIRECTION('center_axis',(0.630076874868955,0.,-0.776532762834493)); #174457=DIRECTION('ref_axis',(0.776532762834493,0.,0.630076874868955)); #174458=DIRECTION('',(0.776532762834493,0.,0.630076874868955)); #174459=DIRECTION('',(0.,-1.,0.)); #174460=DIRECTION('',(0.776532762834493,0.,0.630076874868955)); #174461=DIRECTION('center_axis',(0.524251454968241,0.,-0.851563510234957)); #174462=DIRECTION('ref_axis',(0.851563510234957,0.,0.524251454968241)); #174463=DIRECTION('',(0.851563510234957,0.,0.524251454968241)); #174464=DIRECTION('',(0.,-1.,0.)); #174465=DIRECTION('',(0.851563510234957,0.,0.524251454968241)); #174466=DIRECTION('center_axis',(0.417210605055393,0.,-0.908809832159244)); #174467=DIRECTION('ref_axis',(0.908809832159244,0.,0.417210605055393)); #174468=DIRECTION('',(0.908809832159244,0.,0.417210605055393)); #174469=DIRECTION('',(0.,-1.,0.)); #174470=DIRECTION('',(0.908809832159244,0.,0.417210605055393)); #174471=DIRECTION('center_axis',(0.319658086046509,0.,-0.947532958806754)); #174472=DIRECTION('ref_axis',(0.947532958806754,0.,0.319658086046509)); #174473=DIRECTION('',(0.947532958806754,0.,0.319658086046509)); #174474=DIRECTION('',(0.,-1.,0.)); #174475=DIRECTION('',(0.947532958806754,0.,0.319658086046509)); #174476=DIRECTION('center_axis',(0.,-1.,0.)); #174477=DIRECTION('ref_axis',(-0.00711356911156348,0.,-0.999974698247158)); #174478=DIRECTION('center_axis',(0.,-1.,0.)); #174479=DIRECTION('ref_axis',(-0.00711356911156348,0.,-0.999974698247158)); #174480=DIRECTION('',(0.,-1.,0.)); #174481=DIRECTION('center_axis',(0.,-1.,0.)); #174482=DIRECTION('ref_axis',(-0.00711356911156348,0.,-0.999974698247158)); #174483=DIRECTION('center_axis',(4.7414960898465E-5,0.,-0.999999998875911)); #174484=DIRECTION('ref_axis',(0.999999998875911,0.,4.7414960898465E-5)); #174485=DIRECTION('',(0.999999998875911,0.,4.7414960898465E-5)); #174486=DIRECTION('',(0.,-1.,0.)); #174487=DIRECTION('',(0.999999998875911,0.,4.7414960898465E-5)); #174488=DIRECTION('center_axis',(0.,-1.,0.)); #174489=DIRECTION('ref_axis',(-0.99999913301839,0.,-0.00131680008700653)); #174490=DIRECTION('center_axis',(0.,1.,0.)); #174491=DIRECTION('ref_axis',(-0.99999913301839,0.,-0.00131680008700653)); #174492=DIRECTION('',(0.,-1.,0.)); #174493=DIRECTION('center_axis',(0.,1.,0.)); #174494=DIRECTION('ref_axis',(-0.99999913301839,0.,-0.00131680008700653)); #174495=DIRECTION('center_axis',(0.826480672062035,0.,0.562965095461421)); #174496=DIRECTION('ref_axis',(-0.562965095461421,0.,0.826480672062035)); #174497=DIRECTION('',(-0.562965095461421,0.,0.826480672062035)); #174498=DIRECTION('',(0.,-1.,0.)); #174499=DIRECTION('',(-0.562965095461421,0.,0.826480672062035)); #174500=DIRECTION('center_axis',(-0.000243471902083963,0.,0.999999970360716)); #174501=DIRECTION('ref_axis',(-0.999999970360716,0.,-0.000243471902083963)); #174502=DIRECTION('',(-0.999999970360716,0.,-0.000243471902083963)); #174503=DIRECTION('',(0.,-1.,0.)); #174504=DIRECTION('',(-0.999999970360716,0.,-0.000243471902083963)); #174505=DIRECTION('center_axis',(-0.916223191077388,0.,0.400668271930744)); #174506=DIRECTION('ref_axis',(-0.400668271930744,0.,-0.916223191077388)); #174507=DIRECTION('',(-0.400668271930744,0.,-0.916223191077388)); #174508=DIRECTION('',(0.,-1.,0.)); #174509=DIRECTION('',(-0.400668271930744,0.,-0.916223191077388)); #174510=DIRECTION('center_axis',(-0.000673998414539652,0.,0.999999772863043)); #174511=DIRECTION('ref_axis',(-0.999999772863043,0.,-0.000673998414539652)); #174512=DIRECTION('',(-0.999999772863043,0.,-0.000673998414539652)); #174513=DIRECTION('',(0.,-1.,0.)); #174514=DIRECTION('',(-0.999999772863043,0.,-0.000673998414539652)); #174515=DIRECTION('center_axis',(0.919175576502167,0.,0.393848015815631)); #174516=DIRECTION('ref_axis',(-0.393848015815631,0.,0.919175576502167)); #174517=DIRECTION('',(-0.393848015815631,0.,0.919175576502167)); #174518=DIRECTION('',(0.,-1.,0.)); #174519=DIRECTION('',(-0.393848015815631,0.,0.919175576502167)); #174520=DIRECTION('center_axis',(0.919172942662407,0.,0.393854162701539)); #174521=DIRECTION('ref_axis',(-0.393854162701539,0.,0.919172942662408)); #174522=DIRECTION('',(-0.393854162701539,0.,0.919172942662408)); #174523=DIRECTION('',(0.,-1.,0.)); #174524=DIRECTION('',(-0.393854162701539,0.,0.919172942662408)); #174525=DIRECTION('center_axis',(0.,0.,1.)); #174526=DIRECTION('ref_axis',(-1.,0.,0.)); #174527=DIRECTION('',(-1.,0.,0.)); #174528=DIRECTION('',(0.,-1.,0.)); #174529=DIRECTION('',(-1.,0.,0.)); #174530=DIRECTION('center_axis',(-0.792552471704233,0.,0.60980372218896)); #174531=DIRECTION('ref_axis',(-0.60980372218896,0.,-0.792552471704233)); #174532=DIRECTION('',(-0.60980372218896,0.,-0.792552471704233)); #174533=DIRECTION('',(0.,-1.,0.)); #174534=DIRECTION('',(-0.60980372218896,0.,-0.792552471704233)); #174535=DIRECTION('center_axis',(0.,-1.,0.)); #174536=DIRECTION('ref_axis',(0.999998181991664,0.,0.00190683333486903)); #174537=DIRECTION('center_axis',(0.,1.,0.)); #174538=DIRECTION('ref_axis',(0.999998181991664,0.,0.00190683333486903)); #174539=DIRECTION('',(0.,-1.,0.)); #174540=DIRECTION('center_axis',(0.,1.,0.)); #174541=DIRECTION('ref_axis',(0.999998181991664,0.,0.00190683333486903)); #174542=DIRECTION('center_axis',(0.,-1.,0.)); #174543=DIRECTION('ref_axis',(-0.0667486991301518,0.,-0.997769818727963)); #174544=DIRECTION('center_axis',(0.,-1.,0.)); #174545=DIRECTION('ref_axis',(-0.0667486991301518,0.,-0.997769818727963)); #174546=DIRECTION('',(0.,-1.,0.)); #174547=DIRECTION('center_axis',(0.,-1.,0.)); #174548=DIRECTION('ref_axis',(-0.0667486991301518,0.,-0.997769818727963)); #174549=DIRECTION('center_axis',(0.,-1.,0.)); #174550=DIRECTION('ref_axis',(-0.248360589906744,0.,-0.968667650632132)); #174551=DIRECTION('center_axis',(0.,-1.,0.)); #174552=DIRECTION('ref_axis',(-0.248360589906744,0.,-0.968667650632132)); #174553=DIRECTION('',(0.,-1.,0.)); #174554=DIRECTION('center_axis',(0.,-1.,0.)); #174555=DIRECTION('ref_axis',(-0.248360589906744,0.,-0.968667650632132)); #174556=DIRECTION('center_axis',(-0.318307692594707,0.,-0.947987453943897)); #174557=DIRECTION('ref_axis',(0.947987453943898,0.,-0.318307692594708)); #174558=DIRECTION('',(0.947987453943898,0.,-0.318307692594708)); #174559=DIRECTION('',(0.,-1.,0.)); #174560=DIRECTION('',(0.947987453943898,0.,-0.318307692594708)); #174561=DIRECTION('center_axis',(-0.416992059702617,0.,-0.908910128750345)); #174562=DIRECTION('ref_axis',(0.908910128750345,0.,-0.416992059702617)); #174563=DIRECTION('',(0.908910128750345,0.,-0.416992059702617)); #174564=DIRECTION('',(0.,-1.,0.)); #174565=DIRECTION('',(0.908910128750345,0.,-0.416992059702617)); #174566=DIRECTION('center_axis',(-0.525623833989064,0.,-0.850717100534976)); #174567=DIRECTION('ref_axis',(0.850717100534976,0.,-0.525623833989064)); #174568=DIRECTION('',(0.850717100534976,0.,-0.525623833989064)); #174569=DIRECTION('',(0.,-1.,0.)); #174570=DIRECTION('',(0.850717100534976,0.,-0.525623833989064)); #174571=DIRECTION('center_axis',(-0.635374565718318,0.,-0.772204092995019)); #174572=DIRECTION('ref_axis',(0.772204092995019,0.,-0.635374565718318)); #174573=DIRECTION('',(0.772204092995019,0.,-0.635374565718318)); #174574=DIRECTION('',(0.,-1.,0.)); #174575=DIRECTION('',(0.772204092995019,0.,-0.635374565718318)); #174576=DIRECTION('center_axis',(-0.718513591638965,0.,-0.695512917658669)); #174577=DIRECTION('ref_axis',(0.695512917658669,0.,-0.718513591638965)); #174578=DIRECTION('',(0.695512917658669,0.,-0.718513591638965)); #174579=DIRECTION('',(0.,-1.,0.)); #174580=DIRECTION('',(0.695512917658669,0.,-0.718513591638965)); #174581=DIRECTION('center_axis',(-0.792450805598739,0.,-0.609935833269296)); #174582=DIRECTION('ref_axis',(0.609935833269296,0.,-0.792450805598739)); #174583=DIRECTION('',(0.609935833269296,0.,-0.792450805598739)); #174584=DIRECTION('',(0.,-1.,0.)); #174585=DIRECTION('',(0.609935833269296,0.,-0.792450805598738)); #174586=DIRECTION('center_axis',(-0.856840572045668,0.,-0.515581452436424)); #174587=DIRECTION('ref_axis',(0.515581452436424,0.,-0.856840572045668)); #174588=DIRECTION('',(0.515581452436424,0.,-0.856840572045668)); #174589=DIRECTION('',(0.,-1.,0.)); #174590=DIRECTION('',(0.515581452436424,0.,-0.856840572045668)); #174591=DIRECTION('center_axis',(-0.910063041093719,0.,-0.414469855641218)); #174592=DIRECTION('ref_axis',(0.414469855641218,0.,-0.910063041093719)); #174593=DIRECTION('',(0.414469855641218,0.,-0.910063041093719)); #174594=DIRECTION('',(0.,-1.,0.)); #174595=DIRECTION('',(0.414469855641218,0.,-0.910063041093719)); #174596=DIRECTION('center_axis',(-0.950418296345437,0.,-0.310974375104827)); #174597=DIRECTION('ref_axis',(0.310974375104827,0.,-0.950418296345437)); #174598=DIRECTION('',(0.310974375104827,0.,-0.950418296345437)); #174599=DIRECTION('',(0.,-1.,0.)); #174600=DIRECTION('',(0.310974375104827,0.,-0.950418296345437)); #174601=DIRECTION('center_axis',(-0.978205199856225,0.,-0.207640523439532)); #174602=DIRECTION('ref_axis',(0.207640523439532,0.,-0.978205199856225)); #174603=DIRECTION('',(0.207640523439532,0.,-0.978205199856225)); #174604=DIRECTION('',(0.,-1.,0.)); #174605=DIRECTION('',(0.207640523439532,0.,-0.978205199856225)); #174606=DIRECTION('center_axis',(-0.9940041691545,0.,-0.109342177148034)); #174607=DIRECTION('ref_axis',(0.109342177148034,0.,-0.9940041691545)); #174608=DIRECTION('',(0.109342177148034,0.,-0.9940041691545)); #174609=DIRECTION('',(0.,-1.,0.)); #174610=DIRECTION('',(0.109342177148034,0.,-0.9940041691545)); #174611=DIRECTION('center_axis',(-0.999844874871691,0.,-0.0176132391345926)); #174612=DIRECTION('ref_axis',(0.0176132391345926,0.,-0.999844874871691)); #174613=DIRECTION('',(0.0176132391345926,0.,-0.999844874871691)); #174614=DIRECTION('',(0.,-1.,0.)); #174615=DIRECTION('',(0.0176132391345926,0.,-0.999844874871691)); #174616=DIRECTION('center_axis',(-0.997068776470973,0.,0.0765104893898625)); #174617=DIRECTION('ref_axis',(-0.0765104893898625,0.,-0.997068776470973)); #174618=DIRECTION('',(-0.0765104893898625,0.,-0.997068776470973)); #174619=DIRECTION('',(0.,-1.,0.)); #174620=DIRECTION('',(-0.0765104893898625,0.,-0.997068776470973)); #174621=DIRECTION('center_axis',(-0.98391228380795,0.,0.178652225767895)); #174622=DIRECTION('ref_axis',(-0.178652225767895,0.,-0.98391228380795)); #174623=DIRECTION('',(-0.178652225767895,0.,-0.98391228380795)); #174624=DIRECTION('',(0.,-1.,0.)); #174625=DIRECTION('',(-0.178652225767895,0.,-0.98391228380795)); #174626=DIRECTION('center_axis',(-0.958515723182402,0.,0.285039661121251)); #174627=DIRECTION('ref_axis',(-0.285039661121251,0.,-0.958515723182402)); #174628=DIRECTION('',(-0.285039661121251,0.,-0.958515723182402)); #174629=DIRECTION('',(0.,-1.,0.)); #174630=DIRECTION('',(-0.285039661121251,0.,-0.958515723182402)); #174631=DIRECTION('center_axis',(-0.919933518982685,0.,0.392074381530003)); #174632=DIRECTION('ref_axis',(-0.392074381530003,0.,-0.919933518982685)); #174633=DIRECTION('',(-0.392074381530003,0.,-0.919933518982685)); #174634=DIRECTION('',(0.,-1.,0.)); #174635=DIRECTION('',(-0.392074381530003,0.,-0.919933518982685)); #174636=DIRECTION('center_axis',(-0.868782663628594,0.,0.495193581721739)); #174637=DIRECTION('ref_axis',(-0.495193581721739,0.,-0.868782663628594)); #174638=DIRECTION('',(-0.495193581721739,0.,-0.868782663628594)); #174639=DIRECTION('',(0.,-1.,0.)); #174640=DIRECTION('',(-0.495193581721739,0.,-0.868782663628594)); #174641=DIRECTION('center_axis',(-0.808516450082728,0.,0.588473576250984)); #174642=DIRECTION('ref_axis',(-0.588473576250984,0.,-0.808516450082728)); #174643=DIRECTION('',(-0.588473576250984,0.,-0.808516450082728)); #174644=DIRECTION('',(0.,-1.,0.)); #174645=DIRECTION('',(-0.588473576250984,0.,-0.808516450082728)); #174646=DIRECTION('center_axis',(0.,-1.,0.)); #174647=DIRECTION('ref_axis',(-0.666045736863747,0.,0.74591090379859)); #174648=DIRECTION('center_axis',(0.,-1.,0.)); #174649=DIRECTION('ref_axis',(-0.666045736863747,0.,0.74591090379859)); #174650=DIRECTION('',(0.,-1.,0.)); #174651=DIRECTION('center_axis',(0.,-1.,0.)); #174652=DIRECTION('ref_axis',(-0.666045736863747,0.,0.74591090379859)); #174653=DIRECTION('center_axis',(-0.57284455332846,0.,0.81966402734408)); #174654=DIRECTION('ref_axis',(-0.81966402734408,0.,-0.57284455332846)); #174655=DIRECTION('',(-0.81966402734408,0.,-0.57284455332846)); #174656=DIRECTION('',(0.,-1.,0.)); #174657=DIRECTION('',(-0.81966402734408,0.,-0.57284455332846)); #174658=DIRECTION('center_axis',(-0.466127350741981,0.,0.884717634553682)); #174659=DIRECTION('ref_axis',(-0.884717634553682,0.,-0.466127350741981)); #174660=DIRECTION('',(-0.884717634553682,0.,-0.466127350741981)); #174661=DIRECTION('',(0.,-1.,0.)); #174662=DIRECTION('',(-0.884717634553682,0.,-0.466127350741981)); #174663=DIRECTION('center_axis',(-0.365971385311611,0.,0.93062610383177)); #174664=DIRECTION('ref_axis',(-0.93062610383177,0.,-0.365971385311611)); #174665=DIRECTION('',(-0.93062610383177,0.,-0.365971385311611)); #174666=DIRECTION('',(0.,-1.,0.)); #174667=DIRECTION('',(-0.93062610383177,0.,-0.365971385311611)); #174668=DIRECTION('center_axis',(0.,-1.,0.)); #174669=DIRECTION('ref_axis',(0.159873552692723,0.,0.987137501642708)); #174670=DIRECTION('center_axis',(0.,-1.,0.)); #174671=DIRECTION('ref_axis',(0.159873552692723,0.,0.987137501642708)); #174672=DIRECTION('',(0.,-1.,0.)); #174673=DIRECTION('center_axis',(0.,-1.,0.)); #174674=DIRECTION('ref_axis',(0.159873552692723,0.,0.987137501642708)); #174675=DIRECTION('center_axis',(0.258210098860809,0.,0.96608878724799)); #174676=DIRECTION('ref_axis',(-0.96608878724799,0.,0.258210098860809)); #174677=DIRECTION('',(-0.96608878724799,0.,0.258210098860809)); #174678=DIRECTION('',(0.,-1.,0.)); #174679=DIRECTION('',(-0.96608878724799,0.,0.258210098860809)); #174680=DIRECTION('center_axis',(0.347005700416349,0.,0.937863019784104)); #174681=DIRECTION('ref_axis',(-0.937863019784104,0.,0.347005700416349)); #174682=DIRECTION('',(-0.937863019784104,0.,0.347005700416349)); #174683=DIRECTION('',(0.,-1.,0.)); #174684=DIRECTION('',(-0.937863019784104,0.,0.347005700416349)); #174685=DIRECTION('center_axis',(0.446406105849854,0.,0.894830480403953)); #174686=DIRECTION('ref_axis',(-0.894830480403953,0.,0.446406105849854)); #174687=DIRECTION('',(-0.894830480403953,0.,0.446406105849854)); #174688=DIRECTION('',(0.,-1.,0.)); #174689=DIRECTION('',(-0.894830480403953,0.,0.446406105849854)); #174690=DIRECTION('center_axis',(0.551569007496921,0.,0.834129264544088)); #174691=DIRECTION('ref_axis',(-0.834129264544088,0.,0.551569007496921)); #174692=DIRECTION('',(-0.834129264544088,0.,0.551569007496921)); #174693=DIRECTION('',(0.,-1.,0.)); #174694=DIRECTION('',(-0.834129264544088,0.,0.551569007496921)); #174695=DIRECTION('center_axis',(0.670088838498794,0.,0.742280909440178)); #174696=DIRECTION('ref_axis',(-0.742280909440178,0.,0.670088838498794)); #174697=DIRECTION('',(-0.742280909440178,0.,0.670088838498794)); #174698=DIRECTION('',(0.,-1.,0.)); #174699=DIRECTION('',(-0.742280909440178,0.,0.670088838498794)); #174700=DIRECTION('center_axis',(0.754576552301806,0.,0.656212028780576)); #174701=DIRECTION('ref_axis',(-0.656212028780576,0.,0.754576552301806)); #174702=DIRECTION('',(-0.656212028780576,0.,0.754576552301806)); #174703=DIRECTION('',(0.,-1.,0.)); #174704=DIRECTION('',(-0.656212028780576,0.,0.754576552301806)); #174705=DIRECTION('center_axis',(0.814153280130082,0.,0.580650012015352)); #174706=DIRECTION('ref_axis',(-0.580650012015352,0.,0.814153280130082)); #174707=DIRECTION('',(-0.580650012015352,0.,0.814153280130082)); #174708=DIRECTION('',(0.,-1.,0.)); #174709=DIRECTION('',(-0.580650012015352,0.,0.814153280130082)); #174710=DIRECTION('center_axis',(0.869247298556814,0.,0.494377521689326)); #174711=DIRECTION('ref_axis',(-0.494377521689326,0.,0.869247298556814)); #174712=DIRECTION('',(-0.494377521689326,0.,0.869247298556814)); #174713=DIRECTION('',(0.,-1.,0.)); #174714=DIRECTION('',(-0.494377521689326,0.,0.869247298556814)); #174715=DIRECTION('center_axis',(0.916759180801809,0.,0.39944036402897)); #174716=DIRECTION('ref_axis',(-0.39944036402897,0.,0.916759180801809)); #174717=DIRECTION('',(-0.39944036402897,0.,0.916759180801809)); #174718=DIRECTION('',(0.,-1.,0.)); #174719=DIRECTION('',(-0.39944036402897,0.,0.916759180801809)); #174720=DIRECTION('center_axis',(0.954382155145425,0.,0.298587846269694)); #174721=DIRECTION('ref_axis',(-0.298587846269694,0.,0.954382155145425)); #174722=DIRECTION('',(-0.298587846269694,0.,0.954382155145425)); #174723=DIRECTION('',(0.,-1.,0.)); #174724=DIRECTION('',(-0.298587846269694,0.,0.954382155145425)); #174725=DIRECTION('center_axis',(0.980690874108512,0.,0.195564335808661)); #174726=DIRECTION('ref_axis',(-0.195564335808661,0.,0.980690874108512)); #174727=DIRECTION('',(-0.195564335808661,0.,0.980690874108512)); #174728=DIRECTION('',(0.,-1.,0.)); #174729=DIRECTION('',(-0.195564335808661,0.,0.980690874108512)); #174730=DIRECTION('center_axis',(0.995519638252728,0.,0.0945550096671653)); #174731=DIRECTION('ref_axis',(-0.0945550096671653,0.,0.995519638252728)); #174732=DIRECTION('',(-0.0945550096671653,0.,0.995519638252728)); #174733=DIRECTION('',(0.,-1.,0.)); #174734=DIRECTION('',(-0.0945550096671653,0.,0.995519638252728)); #174735=DIRECTION('center_axis',(0.999997776176021,0.,-0.00210894357739653)); #174736=DIRECTION('ref_axis',(0.00210894357739653,0.,0.999997776176021)); #174737=DIRECTION('',(0.00210894357739653,0.,0.999997776176021)); #174738=DIRECTION('',(0.,-1.,0.)); #174739=DIRECTION('',(0.00210894357739653,0.,0.999997776176021)); #174740=DIRECTION('center_axis',(0.995542152888901,0.,-0.0943176644183511)); #174741=DIRECTION('ref_axis',(0.0943176644183511,0.,0.995542152888901)); #174742=DIRECTION('',(0.0943176644183511,0.,0.995542152888901)); #174743=DIRECTION('',(0.,-1.,0.)); #174744=DIRECTION('',(0.0943176644183511,0.,0.995542152888901)); #174745=DIRECTION('center_axis',(0.981193906670194,0.,-0.193024655195349)); #174746=DIRECTION('ref_axis',(0.193024655195349,0.,0.981193906670194)); #174747=DIRECTION('',(0.193024655195349,0.,0.981193906670194)); #174748=DIRECTION('',(0.,-1.,0.)); #174749=DIRECTION('',(0.193024655195349,0.,0.981193906670194)); #174750=DIRECTION('center_axis',(0.955456169172288,0.,-0.295133035749333)); #174751=DIRECTION('ref_axis',(0.295133035749333,0.,0.955456169172288)); #174752=DIRECTION('',(0.295133035749333,0.,0.955456169172288)); #174753=DIRECTION('',(0.,-1.,0.)); #174754=DIRECTION('',(0.295133035749333,0.,0.955456169172288)); #174755=DIRECTION('center_axis',(0.917066359897196,0.,-0.398734612925572)); #174756=DIRECTION('ref_axis',(0.398734612925572,0.,0.917066359897196)); #174757=DIRECTION('',(0.398734612925572,0.,0.917066359897196)); #174758=DIRECTION('',(0.,-1.,0.)); #174759=DIRECTION('',(0.398734612925572,0.,0.917066359897196)); #174760=DIRECTION('center_axis',(0.866766071794628,0.,-0.498714925368902)); #174761=DIRECTION('ref_axis',(0.498714925368902,0.,0.866766071794628)); #174762=DIRECTION('',(0.498714925368902,0.,0.866766071794628)); #174763=DIRECTION('',(0.,-1.,0.)); #174764=DIRECTION('',(0.498714925368902,0.,0.866766071794628)); #174765=DIRECTION('center_axis',(0.805278815172136,0.,-0.592896306140425)); #174766=DIRECTION('ref_axis',(0.592896306140425,0.,0.805278815172136)); #174767=DIRECTION('',(0.592896306140425,0.,0.805278815172136)); #174768=DIRECTION('',(0.,-1.,0.)); #174769=DIRECTION('',(0.592896306140425,0.,0.805278815172136)); #174770=DIRECTION('center_axis',(0.734375489287206,0.,-0.678743427764997)); #174771=DIRECTION('ref_axis',(0.678743427764997,0.,0.734375489287206)); #174772=DIRECTION('',(0.678743427764997,0.,0.734375489287206)); #174773=DIRECTION('',(0.,-1.,0.)); #174774=DIRECTION('',(0.678743427764997,0.,0.734375489287206)); #174775=DIRECTION('center_axis',(0.651705954747176,0.,-0.758471719015991)); #174776=DIRECTION('ref_axis',(0.758471719015991,0.,0.651705954747176)); #174777=DIRECTION('',(0.758471719015991,0.,0.651705954747176)); #174778=DIRECTION('',(0.,-1.,0.)); #174779=DIRECTION('',(0.758471719015991,0.,0.651705954747176)); #174780=DIRECTION('center_axis',(0.542598874167241,0.,-0.839991941480656)); #174781=DIRECTION('ref_axis',(0.839991941480656,0.,0.542598874167241)); #174782=DIRECTION('',(0.839991941480656,0.,0.542598874167241)); #174783=DIRECTION('',(0.,-1.,0.)); #174784=DIRECTION('',(0.839991941480656,0.,0.542598874167241)); #174785=DIRECTION('center_axis',(0.435525400705724,0.,-0.900176441227007)); #174786=DIRECTION('ref_axis',(0.900176441227007,0.,0.435525400705724)); #174787=DIRECTION('',(0.900176441227007,0.,0.435525400705724)); #174788=DIRECTION('',(0.,-1.,0.)); #174789=DIRECTION('',(0.900176441227007,0.,0.435525400705724)); #174790=DIRECTION('center_axis',(0.334576157962693,0.,-0.942368714741169)); #174791=DIRECTION('ref_axis',(0.942368714741169,0.,0.334576157962693)); #174792=DIRECTION('',(0.942368714741169,0.,0.334576157962693)); #174793=DIRECTION('',(0.,-1.,0.)); #174794=DIRECTION('',(0.942368714741169,0.,0.334576157962693)); #174795=DIRECTION('center_axis',(0.245197061133827,0.,-0.969473259668019)); #174796=DIRECTION('ref_axis',(0.969473259668019,0.,0.245197061133827)); #174797=DIRECTION('',(0.969473259668019,0.,0.245197061133827)); #174798=DIRECTION('',(0.,-1.,0.)); #174799=DIRECTION('',(0.969473259668019,0.,0.245197061133827)); #174800=DIRECTION('center_axis',(0.,-1.,0.)); #174801=DIRECTION('ref_axis',(0.00942356548717824,0.,-0.999955597220951)); #174802=DIRECTION('center_axis',(0.,-1.,0.)); #174803=DIRECTION('ref_axis',(0.00942356548717824,0.,-0.999955597220951)); #174804=DIRECTION('',(0.,-1.,0.)); #174805=DIRECTION('center_axis',(0.,-1.,0.)); #174806=DIRECTION('ref_axis',(0.00942356548717824,0.,-0.999955597220951)); #174807=DIRECTION('center_axis',(0.000357310114343414,0.,-0.999999936164739)); #174808=DIRECTION('ref_axis',(0.999999936164739,0.,0.000357310114343414)); #174809=DIRECTION('',(0.999999936164739,0.,0.000357310114343414)); #174810=DIRECTION('',(0.,-1.,0.)); #174811=DIRECTION('',(0.999999936164739,0.,0.000357310114343414)); #174812=DIRECTION('center_axis',(0.,-1.,0.)); #174813=DIRECTION('ref_axis',(-0.999797960178631,0.,-0.0201007169685275)); #174814=DIRECTION('center_axis',(0.,1.,0.)); #174815=DIRECTION('ref_axis',(-0.999797960178631,0.,-0.0201007169685275)); #174816=DIRECTION('',(0.,-1.,0.)); #174817=DIRECTION('center_axis',(0.,1.,0.)); #174818=DIRECTION('ref_axis',(-0.999797960178631,0.,-0.0201007169685275)); #174819=DIRECTION('center_axis',(0.,-1.,0.)); #174820=DIRECTION('ref_axis',(0.108916907808146,0.,0.994050857448205)); #174821=DIRECTION('center_axis',(0.,-1.,0.)); #174822=DIRECTION('ref_axis',(0.108916907808146,0.,0.994050857448205)); #174823=DIRECTION('',(0.,-1.,0.)); #174824=DIRECTION('center_axis',(0.,-1.,0.)); #174825=DIRECTION('ref_axis',(0.108916907808146,0.,0.994050857448205)); #174826=DIRECTION('center_axis',(0.189952884715233,0.,0.981793207141077)); #174827=DIRECTION('ref_axis',(-0.981793207141077,0.,0.189952884715233)); #174828=DIRECTION('',(-0.981793207141077,0.,0.189952884715233)); #174829=DIRECTION('',(0.,-1.,0.)); #174830=DIRECTION('',(-0.981793207141077,0.,0.189952884715233)); #174831=DIRECTION('center_axis',(0.271182945829112,0.,0.962527822918093)); #174832=DIRECTION('ref_axis',(-0.962527822918094,0.,0.271182945829112)); #174833=DIRECTION('',(-0.962527822918094,0.,0.271182945829112)); #174834=DIRECTION('',(0.,-1.,0.)); #174835=DIRECTION('',(-0.962527822918094,0.,0.271182945829112)); #174836=DIRECTION('center_axis',(0.365188234694724,0.,0.930933699701838)); #174837=DIRECTION('ref_axis',(-0.930933699701838,0.,0.365188234694724)); #174838=DIRECTION('',(-0.930933699701838,0.,0.365188234694724)); #174839=DIRECTION('',(0.,-1.,0.)); #174840=DIRECTION('',(-0.930933699701838,0.,0.365188234694724)); #174841=DIRECTION('center_axis',(0.468598859437869,0.,0.883411064529717)); #174842=DIRECTION('ref_axis',(-0.883411064529717,0.,0.468598859437869)); #174843=DIRECTION('',(-0.883411064529717,0.,0.468598859437869)); #174844=DIRECTION('',(0.,-1.,0.)); #174845=DIRECTION('',(-0.883411064529717,0.,0.468598859437869)); #174846=DIRECTION('center_axis',(0.580125016542125,0.,0.814527449004636)); #174847=DIRECTION('ref_axis',(-0.814527449004636,0.,0.580125016542125)); #174848=DIRECTION('',(-0.814527449004636,0.,0.580125016542125)); #174849=DIRECTION('',(0.,-1.,0.)); #174850=DIRECTION('',(-0.814527449004636,0.,0.580125016542125)); #174851=DIRECTION('center_axis',(0.683903711212017,0.,0.729572281402213)); #174852=DIRECTION('ref_axis',(-0.729572281402213,0.,0.683903711212017)); #174853=DIRECTION('',(-0.729572281402213,0.,0.683903711212017)); #174854=DIRECTION('',(0.,-1.,0.)); #174855=DIRECTION('',(-0.729572281402213,0.,0.683903711212017)); #174856=DIRECTION('center_axis',(0.760041889770189,0.,0.649874084569127)); #174857=DIRECTION('ref_axis',(-0.649874084569127,0.,0.760041889770189)); #174858=DIRECTION('',(-0.649874084569127,0.,0.760041889770189)); #174859=DIRECTION('',(0.,-1.,0.)); #174860=DIRECTION('',(-0.649874084569127,0.,0.760041889770189)); #174861=DIRECTION('center_axis',(0.827444673409998,0.,0.561547248631334)); #174862=DIRECTION('ref_axis',(-0.561547248631334,0.,0.827444673409998)); #174863=DIRECTION('',(-0.561547248631334,0.,0.827444673409998)); #174864=DIRECTION('',(0.,-1.,0.)); #174865=DIRECTION('',(-0.561547248631334,0.,0.827444673409998)); #174866=DIRECTION('center_axis',(0.885657574569006,0.,0.46433895013077)); #174867=DIRECTION('ref_axis',(-0.46433895013077,0.,0.885657574569006)); #174868=DIRECTION('',(-0.46433895013077,0.,0.885657574569006)); #174869=DIRECTION('',(0.,-1.,0.)); #174870=DIRECTION('',(-0.46433895013077,0.,0.885657574569006)); #174871=DIRECTION('center_axis',(0.931956960047974,0.,0.362568923955349)); #174872=DIRECTION('ref_axis',(-0.362568923955349,0.,0.931956960047973)); #174873=DIRECTION('',(-0.362568923955349,0.,0.931956960047973)); #174874=DIRECTION('',(0.,-1.,0.)); #174875=DIRECTION('',(-0.362568923955349,0.,0.931956960047973)); #174876=DIRECTION('center_axis',(0.96574212123365,0.,0.25950367102438)); #174877=DIRECTION('ref_axis',(-0.25950367102438,0.,0.96574212123365)); #174878=DIRECTION('',(-0.25950367102438,0.,0.96574212123365)); #174879=DIRECTION('',(0.,-1.,0.)); #174880=DIRECTION('',(-0.25950367102438,0.,0.96574212123365)); #174881=DIRECTION('center_axis',(0.,-1.,0.)); #174882=DIRECTION('ref_axis',(0.998341391068382,0.,0.0575714067888511)); #174883=DIRECTION('center_axis',(0.,-1.,0.)); #174884=DIRECTION('ref_axis',(0.998341391068382,0.,0.0575714067888511)); #174885=DIRECTION('',(0.,-1.,0.)); #174886=DIRECTION('center_axis',(0.,-1.,0.)); #174887=DIRECTION('ref_axis',(0.998341391068382,0.,0.0575714067888511)); #174888=DIRECTION('center_axis',(0.999577323998217,0.,-0.0290718652405293)); #174889=DIRECTION('ref_axis',(0.0290718652405293,0.,0.999577323998217)); #174890=DIRECTION('',(0.0290718652405293,0.,0.999577323998217)); #174891=DIRECTION('',(0.,-1.,0.)); #174892=DIRECTION('',(0.0290718652405293,0.,0.999577323998217)); #174893=DIRECTION('center_axis',(0.992343826017745,0.,-0.123505995662008)); #174894=DIRECTION('ref_axis',(0.123505995662008,0.,0.992343826017745)); #174895=DIRECTION('',(0.123505995662008,0.,0.992343826017745)); #174896=DIRECTION('',(0.,-1.,0.)); #174897=DIRECTION('',(0.123505995662008,0.,0.992343826017745)); #174898=DIRECTION('center_axis',(0.974858506571883,0.,-0.222824801502074)); #174899=DIRECTION('ref_axis',(0.222824801502074,0.,0.974858506571883)); #174900=DIRECTION('',(0.222824801502074,0.,0.974858506571883)); #174901=DIRECTION('',(0.,-1.,0.)); #174902=DIRECTION('',(0.222824801502074,0.,0.974858506571883)); #174903=DIRECTION('center_axis',(0.94516576797702,0.,-0.326590984328119)); #174904=DIRECTION('ref_axis',(0.326590984328119,0.,0.94516576797702)); #174905=DIRECTION('',(0.326590984328119,0.,0.94516576797702)); #174906=DIRECTION('',(0.,-1.,0.)); #174907=DIRECTION('',(0.326590984328119,0.,0.94516576797702)); #174908=DIRECTION('center_axis',(0.903033061603081,0.,-0.429571053088736)); #174909=DIRECTION('ref_axis',(0.429571053088736,0.,0.903033061603081)); #174910=DIRECTION('',(0.429571053088736,0.,0.903033061603081)); #174911=DIRECTION('',(0.,-1.,0.)); #174912=DIRECTION('',(0.429571053088736,0.,0.903033061603081)); #174913=DIRECTION('center_axis',(0.848327913389268,0.,-0.529471199749912)); #174914=DIRECTION('ref_axis',(0.529471199749912,0.,0.848327913389268)); #174915=DIRECTION('',(0.529471199749912,0.,0.848327913389268)); #174916=DIRECTION('',(0.,-1.,0.)); #174917=DIRECTION('',(0.529471199749912,0.,0.848327913389268)); #174918=DIRECTION('center_axis',(0.781986466410601,0.,-0.623295408574989)); #174919=DIRECTION('ref_axis',(0.623295408574989,0.,0.781986466410601)); #174920=DIRECTION('',(0.623295408574989,0.,0.781986466410601)); #174921=DIRECTION('',(0.,-1.,0.)); #174922=DIRECTION('',(0.623295408574989,0.,0.781986466410601)); #174923=DIRECTION('center_axis',(0.708601108962141,0.,-0.705609288755203)); #174924=DIRECTION('ref_axis',(0.705609288755203,0.,0.708601108962141)); #174925=DIRECTION('',(0.705609288755203,0.,0.708601108962141)); #174926=DIRECTION('',(0.,-1.,0.)); #174927=DIRECTION('',(0.705609288755203,0.,0.708601108962141)); #174928=DIRECTION('center_axis',(0.622475940377352,0.,-0.782638935685755)); #174929=DIRECTION('ref_axis',(0.782638935685755,0.,0.622475940377352)); #174930=DIRECTION('',(0.782638935685755,0.,0.622475940377352)); #174931=DIRECTION('',(0.,-1.,0.)); #174932=DIRECTION('',(0.782638935685755,0.,0.622475940377352)); #174933=DIRECTION('center_axis',(0.511281255070222,0.,-0.859413450100601)); #174934=DIRECTION('ref_axis',(0.859413450100601,0.,0.511281255070222)); #174935=DIRECTION('',(0.859413450100601,0.,0.511281255070222)); #174936=DIRECTION('',(0.,-1.,0.)); #174937=DIRECTION('',(0.859413450100601,0.,0.511281255070222)); #174938=DIRECTION('center_axis',(0.402757678078481,0.,-0.915306644108318)); #174939=DIRECTION('ref_axis',(0.915306644108318,0.,0.402757678078481)); #174940=DIRECTION('',(0.915306644108318,0.,0.402757678078481)); #174941=DIRECTION('',(0.,-1.,0.)); #174942=DIRECTION('',(0.915306644108318,0.,0.402757678078481)); #174943=DIRECTION('center_axis',(0.,-1.,0.)); #174944=DIRECTION('ref_axis',(-0.19289453502652,0.,-0.981219495503887)); #174945=DIRECTION('center_axis',(0.,-1.,0.)); #174946=DIRECTION('ref_axis',(-0.19289453502652,0.,-0.981219495503887)); #174947=DIRECTION('',(0.,-1.,0.)); #174948=DIRECTION('center_axis',(0.,-1.,0.)); #174949=DIRECTION('ref_axis',(-0.19289453502652,0.,-0.981219495503887)); #174950=DIRECTION('center_axis',(-0.919161988756034,0.,-0.39387972583779)); #174951=DIRECTION('ref_axis',(0.39387972583779,0.,-0.919161988756034)); #174952=DIRECTION('',(0.39387972583779,0.,-0.919161988756034)); #174953=DIRECTION('',(0.,-1.,0.)); #174954=DIRECTION('',(0.39387972583779,0.,-0.919161988756034)); #174955=DIRECTION('center_axis',(0.916160448889351,0.,-0.400811716259471)); #174956=DIRECTION('ref_axis',(0.400811716259471,0.,0.916160448889351)); #174957=DIRECTION('',(0.400811716259471,0.,0.916160448889351)); #174958=DIRECTION('',(0.,-1.,0.)); #174959=DIRECTION('',(0.400811716259471,0.,0.916160448889351)); #174960=DIRECTION('center_axis',(0.,-1.,0.)); #174961=DIRECTION('ref_axis',(-0.118518907533937,0.,-0.992951795686458)); #174962=DIRECTION('center_axis',(0.,-1.,0.)); #174963=DIRECTION('ref_axis',(-0.118518907533937,0.,-0.992951795686458)); #174964=DIRECTION('',(0.,-1.,0.)); #174965=DIRECTION('center_axis',(0.,-1.,0.)); #174966=DIRECTION('ref_axis',(-0.118518907533937,0.,-0.992951795686458)); #174967=DIRECTION('center_axis',(-0.219242546351915,0.,-0.975670387922647)); #174968=DIRECTION('ref_axis',(0.975670387922647,0.,-0.219242546351915)); #174969=DIRECTION('',(0.975670387922647,0.,-0.219242546351915)); #174970=DIRECTION('',(0.,-1.,0.)); #174971=DIRECTION('',(0.975670387922647,0.,-0.219242546351915)); #174972=DIRECTION('center_axis',(-0.306066480925076,0.,-0.952010141360973)); #174973=DIRECTION('ref_axis',(0.952010141360973,0.,-0.306066480925076)); #174974=DIRECTION('',(0.952010141360973,0.,-0.306066480925076)); #174975=DIRECTION('',(0.,-1.,0.)); #174976=DIRECTION('',(0.952010141360973,0.,-0.306066480925076)); #174977=DIRECTION('center_axis',(-0.403738480856802,0.,-0.914874438967141)); #174978=DIRECTION('ref_axis',(0.914874438967141,0.,-0.403738480856802)); #174979=DIRECTION('',(0.914874438967141,0.,-0.403738480856802)); #174980=DIRECTION('',(0.,-1.,0.)); #174981=DIRECTION('',(0.914874438967141,0.,-0.403738480856802)); #174982=DIRECTION('center_axis',(-0.511636789358526,0.,-0.859201836459222)); #174983=DIRECTION('ref_axis',(0.859201836459222,0.,-0.511636789358526)); #174984=DIRECTION('',(0.859201836459222,0.,-0.511636789358526)); #174985=DIRECTION('',(0.,-1.,0.)); #174986=DIRECTION('',(0.859201836459222,0.,-0.511636789358526)); #174987=DIRECTION('center_axis',(-0.620397202912928,0.,-0.784287772834573)); #174988=DIRECTION('ref_axis',(0.784287772834573,0.,-0.620397202912928)); #174989=DIRECTION('',(0.784287772834573,0.,-0.620397202912928)); #174990=DIRECTION('',(0.,-1.,0.)); #174991=DIRECTION('',(0.784287772834573,0.,-0.620397202912928)); #174992=DIRECTION('center_axis',(-0.694703222909306,0.,-0.719296484128362)); #174993=DIRECTION('ref_axis',(0.719296484128362,0.,-0.694703222909306)); #174994=DIRECTION('',(0.719296484128362,0.,-0.694703222909306)); #174995=DIRECTION('',(0.,-1.,0.)); #174996=DIRECTION('',(0.719296484128362,0.,-0.694703222909306)); #174997=DIRECTION('center_axis',(-0.772543949663734,0.,-0.634961294755797)); #174998=DIRECTION('ref_axis',(0.634961294755797,0.,-0.772543949663734)); #174999=DIRECTION('',(0.634961294755797,0.,-0.772543949663734)); #175000=DIRECTION('',(0.,-1.,0.)); #175001=DIRECTION('',(0.634961294755797,0.,-0.772543949663734)); #175002=DIRECTION('center_axis',(-0.842940219338365,0.,-0.538007236588681)); #175003=DIRECTION('ref_axis',(0.538007236588681,0.,-0.842940219338365)); #175004=DIRECTION('',(0.538007236588681,0.,-0.842940219338365)); #175005=DIRECTION('',(0.,-1.,0.)); #175006=DIRECTION('',(0.538007236588681,0.,-0.842940219338365)); #175007=DIRECTION('center_axis',(-0.900579924108888,0.,-0.434690464919613)); #175008=DIRECTION('ref_axis',(0.434690464919613,0.,-0.900579924108888)); #175009=DIRECTION('',(0.434690464919613,0.,-0.900579924108888)); #175010=DIRECTION('',(0.,-1.,0.)); #175011=DIRECTION('',(0.434690464919613,0.,-0.900579924108888)); #175012=DIRECTION('center_axis',(-0.944598722501154,0.,-0.328227441645558)); #175013=DIRECTION('ref_axis',(0.328227441645558,0.,-0.944598722501154)); #175014=DIRECTION('',(0.328227441645558,0.,-0.944598722501154)); #175015=DIRECTION('',(0.,-1.,0.)); #175016=DIRECTION('',(0.328227441645558,0.,-0.944598722501154)); #175017=DIRECTION('center_axis',(-0.974955229348868,0.,-0.222401215745994)); #175018=DIRECTION('ref_axis',(0.222401215745994,0.,-0.974955229348868)); #175019=DIRECTION('',(0.222401215745994,0.,-0.974955229348868)); #175020=DIRECTION('',(0.,-1.,0.)); #175021=DIRECTION('',(0.222401215745994,0.,-0.974955229348868)); #175022=DIRECTION('center_axis',(-0.992527030921726,0.,-0.122024968304453)); #175023=DIRECTION('ref_axis',(0.122024968304453,0.,-0.992527030921726)); #175024=DIRECTION('',(0.122024968304453,0.,-0.992527030921726)); #175025=DIRECTION('',(0.,-1.,0.)); #175026=DIRECTION('',(0.122024968304453,0.,-0.992527030921726)); #175027=DIRECTION('center_axis',(-0.999595108062383,0.,-0.0284538211450036)); #175028=DIRECTION('ref_axis',(0.0284538211450036,0.,-0.999595108062383)); #175029=DIRECTION('',(0.0284538211450036,0.,-0.999595108062383)); #175030=DIRECTION('',(0.,-1.,0.)); #175031=DIRECTION('',(0.0284538211450036,0.,-0.999595108062383)); #175032=DIRECTION('center_axis',(-0.998166188298412,0.,0.0605331358663953)); #175033=DIRECTION('ref_axis',(-0.0605331358663953,0.,-0.998166188298412)); #175034=DIRECTION('',(-0.0605331358663953,0.,-0.998166188298412)); #175035=DIRECTION('',(0.,-1.,0.)); #175036=DIRECTION('',(-0.0605331358663953,0.,-0.998166188298412)); #175037=DIRECTION('center_axis',(-0.987647358016424,0.,0.156692999853781)); #175038=DIRECTION('ref_axis',(-0.156692999853781,0.,-0.987647358016424)); #175039=DIRECTION('',(-0.156692999853781,0.,-0.987647358016424)); #175040=DIRECTION('',(0.,-1.,0.)); #175041=DIRECTION('',(-0.156692999853781,0.,-0.987647358016424)); #175042=DIRECTION('center_axis',(-0.965742121233776,0.,0.259503671023912)); #175043=DIRECTION('ref_axis',(-0.259503671023912,0.,-0.965742121233776)); #175044=DIRECTION('',(-0.259503671023912,0.,-0.965742121233776)); #175045=DIRECTION('',(0.,-1.,0.)); #175046=DIRECTION('',(-0.259503671023912,0.,-0.965742121233776)); #175047=DIRECTION('center_axis',(-0.930782347339708,0.,0.36557382548643)); #175048=DIRECTION('ref_axis',(-0.36557382548643,0.,-0.930782347339708)); #175049=DIRECTION('',(-0.36557382548643,0.,-0.930782347339708)); #175050=DIRECTION('',(0.,-1.,0.)); #175051=DIRECTION('',(-0.36557382548643,0.,-0.930782347339708)); #175052=DIRECTION('center_axis',(-0.882475440129941,0.,0.470358477724669)); #175053=DIRECTION('ref_axis',(-0.470358477724669,0.,-0.882475440129941)); #175054=DIRECTION('',(-0.470358477724669,0.,-0.882475440129941)); #175055=DIRECTION('',(0.,-1.,0.)); #175056=DIRECTION('',(-0.470358477724669,0.,-0.882475440129941)); #175057=DIRECTION('center_axis',(-0.820800982729343,0.,0.571214273938025)); #175058=DIRECTION('ref_axis',(-0.571214273938025,0.,-0.820800982729343)); #175059=DIRECTION('',(-0.571214273938025,0.,-0.820800982729343)); #175060=DIRECTION('',(0.,-1.,0.)); #175061=DIRECTION('',(-0.571214273938025,0.,-0.820800982729343)); #175062=DIRECTION('center_axis',(-0.748870055165737,0.,0.662716862978502)); #175063=DIRECTION('ref_axis',(-0.662716862978502,0.,-0.748870055165737)); #175064=DIRECTION('',(-0.662716862978502,0.,-0.748870055165737)); #175065=DIRECTION('',(0.,-1.,0.)); #175066=DIRECTION('',(-0.662716862978502,0.,-0.748870055165737)); #175067=DIRECTION('center_axis',(-0.672452563620627,0.,0.740140222984839)); #175068=DIRECTION('ref_axis',(-0.740140222984839,0.,-0.672452563620627)); #175069=DIRECTION('',(-0.740140222984839,0.,-0.672452563620627)); #175070=DIRECTION('',(0.,-1.,0.)); #175071=DIRECTION('',(-0.740140222984839,0.,-0.672452563620627)); #175072=DIRECTION('center_axis',(-0.580323564827704,0.,0.814386001909209)); #175073=DIRECTION('ref_axis',(-0.814386001909209,0.,-0.580323564827704)); #175074=DIRECTION('',(-0.814386001909209,0.,-0.580323564827704)); #175075=DIRECTION('',(0.,-1.,0.)); #175076=DIRECTION('',(-0.814386001909209,0.,-0.580323564827704)); #175077=DIRECTION('center_axis',(-0.469876549145041,0.,0.882732138626179)); #175078=DIRECTION('ref_axis',(-0.882732138626179,0.,-0.469876549145041)); #175079=DIRECTION('',(-0.882732138626179,0.,-0.469876549145041)); #175080=DIRECTION('',(0.,-1.,0.)); #175081=DIRECTION('',(-0.882732138626179,0.,-0.469876549145041)); #175082=DIRECTION('center_axis',(-0.36555467242096,0.,0.930789869665116)); #175083=DIRECTION('ref_axis',(-0.930789869665116,0.,-0.36555467242096)); #175084=DIRECTION('',(-0.930789869665116,0.,-0.36555467242096)); #175085=DIRECTION('',(0.,-1.,0.)); #175086=DIRECTION('',(-0.930789869665116,0.,-0.36555467242096)); #175087=DIRECTION('center_axis',(-0.272714414849331,0.,0.962095030614641)); #175088=DIRECTION('ref_axis',(-0.962095030614641,0.,-0.272714414849331)); #175089=DIRECTION('',(-0.962095030614641,0.,-0.272714414849331)); #175090=DIRECTION('',(0.,-1.,0.)); #175091=DIRECTION('',(-0.962095030614641,0.,-0.272714414849331)); #175092=DIRECTION('center_axis',(0.,-1.,0.)); #175093=DIRECTION('ref_axis',(-0.0288249827674933,0.,0.999584473853238)); #175094=DIRECTION('center_axis',(0.,-1.,0.)); #175095=DIRECTION('ref_axis',(-0.0288249827674933,0.,0.999584473853238)); #175096=DIRECTION('',(0.,-1.,0.)); #175097=DIRECTION('center_axis',(0.,-1.,0.)); #175098=DIRECTION('ref_axis',(-0.0288249827674933,0.,0.999584473853238)); #175099=DIRECTION('center_axis',(-0.00169132228109653,0.,0.999998569713448)); #175100=DIRECTION('ref_axis',(-0.999998569713448,0.,-0.00169132228109653)); #175101=DIRECTION('',(-0.999998569713448,0.,-0.00169132228109653)); #175102=DIRECTION('',(0.,-1.,0.)); #175103=DIRECTION('',(-0.999998569713448,0.,-0.00169132228109653)); #175104=DIRECTION('center_axis',(0.,-1.,0.)); #175105=DIRECTION('ref_axis',(0.999786271870358,0.,0.0206739106017707)); #175106=DIRECTION('center_axis',(0.,1.,0.)); #175107=DIRECTION('ref_axis',(0.999786271870358,0.,0.0206739106017707)); #175108=DIRECTION('',(0.,-1.,0.)); #175109=DIRECTION('center_axis',(0.,1.,0.)); #175110=DIRECTION('ref_axis',(0.999786271870358,0.,0.0206739106017707)); #175111=DIRECTION('center_axis',(0.,-1.,0.)); #175112=DIRECTION('ref_axis',(-0.150350451291092,0.,-0.988632763869661)); #175113=DIRECTION('center_axis',(0.,-1.,0.)); #175114=DIRECTION('ref_axis',(-0.150350451291092,0.,-0.988632763869661)); #175115=DIRECTION('',(0.,-1.,0.)); #175116=DIRECTION('center_axis',(0.,-1.,0.)); #175117=DIRECTION('ref_axis',(-0.150350451291092,0.,-0.988632763869661)); #175118=DIRECTION('center_axis',(-0.246035386267149,0.,-0.969260846575562)); #175119=DIRECTION('ref_axis',(0.969260846575562,0.,-0.246035386267149)); #175120=DIRECTION('',(0.969260846575562,0.,-0.246035386267149)); #175121=DIRECTION('',(0.,-1.,0.)); #175122=DIRECTION('',(0.969260846575562,0.,-0.246035386267149)); #175123=DIRECTION('center_axis',(0.,-1.,0.)); #175124=DIRECTION('ref_axis',(-0.445543199954118,0.,-0.895260440863241)); #175125=DIRECTION('center_axis',(0.,-1.,0.)); #175126=DIRECTION('ref_axis',(-0.445543199954118,0.,-0.895260440863241)); #175127=DIRECTION('',(0.,-1.,0.)); #175128=DIRECTION('center_axis',(0.,-1.,0.)); #175129=DIRECTION('ref_axis',(-0.445543199954118,0.,-0.895260440863241)); #175130=DIRECTION('center_axis',(-0.544675104998475,0.,-0.838647142721479)); #175131=DIRECTION('ref_axis',(0.838647142721479,0.,-0.544675104998475)); #175132=DIRECTION('',(0.838647142721479,0.,-0.544675104998475)); #175133=DIRECTION('',(0.,-1.,0.)); #175134=DIRECTION('',(0.838647142721479,0.,-0.544675104998475)); #175135=DIRECTION('center_axis',(-0.647059649698232,0.,-0.762439381021469)); #175136=DIRECTION('ref_axis',(0.762439381021469,0.,-0.647059649698232)); #175137=DIRECTION('',(0.762439381021469,0.,-0.647059649698232)); #175138=DIRECTION('',(0.,-1.,0.)); #175139=DIRECTION('',(0.762439381021469,0.,-0.647059649698232)); #175140=DIRECTION('center_axis',(-0.720922215772699,0.,-0.693015987409657)); #175141=DIRECTION('ref_axis',(0.693015987409657,0.,-0.720922215772699)); #175142=DIRECTION('',(0.693015987409657,0.,-0.720922215772699)); #175143=DIRECTION('',(0.,-1.,0.)); #175144=DIRECTION('',(0.693015987409657,0.,-0.720922215772699)); #175145=DIRECTION('center_axis',(-0.795590572195984,0.,-0.60583466509673)); #175146=DIRECTION('ref_axis',(0.60583466509673,0.,-0.795590572195984)); #175147=DIRECTION('',(0.60583466509673,0.,-0.795590572195984)); #175148=DIRECTION('',(0.,-1.,0.)); #175149=DIRECTION('',(0.60583466509673,0.,-0.795590572195984)); #175150=DIRECTION('center_axis',(-0.860669880965655,0.,-0.509163388313187)); #175151=DIRECTION('ref_axis',(0.509163388313187,0.,-0.860669880965655)); #175152=DIRECTION('',(0.509163388313187,0.,-0.860669880965655)); #175153=DIRECTION('',(0.,-1.,0.)); #175154=DIRECTION('',(0.509163388313187,0.,-0.860669880965655)); #175155=DIRECTION('center_axis',(-0.913817280684419,0.,-0.406125568663847)); #175156=DIRECTION('ref_axis',(0.406125568663847,0.,-0.913817280684419)); #175157=DIRECTION('',(0.406125568663847,0.,-0.913817280684419)); #175158=DIRECTION('',(0.,-1.,0.)); #175159=DIRECTION('',(0.406125568663847,0.,-0.913817280684419)); #175160=DIRECTION('center_axis',(-0.953968422983845,0.,-0.299907065521499)); #175161=DIRECTION('ref_axis',(0.299907065521499,0.,-0.953968422983845)); #175162=DIRECTION('',(0.299907065521499,0.,-0.953968422983845)); #175163=DIRECTION('',(0.,-1.,0.)); #175164=DIRECTION('',(0.299907065521499,0.,-0.953968422983845)); #175165=DIRECTION('center_axis',(-0.980814862205991,0.,-0.194941545279201)); #175166=DIRECTION('ref_axis',(0.194941545279201,0.,-0.980814862205991)); #175167=DIRECTION('',(0.194941545279201,0.,-0.980814862205991)); #175168=DIRECTION('',(0.,-1.,0.)); #175169=DIRECTION('',(0.194941545279201,0.,-0.980814862205991)); #175170=DIRECTION('center_axis',(-0.995461653705688,0.,-0.0951635224313288)); #175171=DIRECTION('ref_axis',(0.0951635224313288,0.,-0.995461653705687)); #175172=DIRECTION('',(0.0951635224313288,0.,-0.995461653705687)); #175173=DIRECTION('',(0.,-1.,0.)); #175174=DIRECTION('',(0.0951635224313288,0.,-0.995461653705688)); #175175=DIRECTION('center_axis',(-0.999998198701436,0.,-0.00189805002149221)); #175176=DIRECTION('ref_axis',(0.00189805002149221,0.,-0.999998198701436)); #175177=DIRECTION('',(0.00189805002149221,0.,-0.999998198701436)); #175178=DIRECTION('',(0.,-1.,0.)); #175179=DIRECTION('',(0.00189805002149221,0.,-0.999998198701436)); #175180=DIRECTION('center_axis',(-0.995498671706265,0.,0.0947754959420545)); #175181=DIRECTION('ref_axis',(-0.0947754959420545,0.,-0.995498671706265)); #175182=DIRECTION('',(-0.0947754959420545,0.,-0.995498671706265)); #175183=DIRECTION('',(0.,-1.,0.)); #175184=DIRECTION('',(-0.0947754959420545,0.,-0.995498671706265)); #175185=DIRECTION('center_axis',(-0.980248368213078,0.,0.197770413903591)); #175186=DIRECTION('ref_axis',(-0.197770413903591,0.,-0.980248368213078)); #175187=DIRECTION('',(-0.197770413903591,0.,-0.980248368213078)); #175188=DIRECTION('',(0.,-1.,0.)); #175189=DIRECTION('',(-0.197770413903591,0.,-0.980248368213078)); #175190=DIRECTION('center_axis',(-0.952839591432096,0.,0.303474402544131)); #175191=DIRECTION('ref_axis',(-0.303474402544131,0.,-0.952839591432096)); #175192=DIRECTION('',(-0.303474402544131,0.,-0.952839591432096)); #175193=DIRECTION('',(0.,-1.,0.)); #175194=DIRECTION('',(-0.303474402544131,0.,-0.952839591432096)); #175195=DIRECTION('center_axis',(-0.913639280486352,0.,0.406525848074119)); #175196=DIRECTION('ref_axis',(-0.406525848074119,0.,-0.913639280486352)); #175197=DIRECTION('',(-0.406525848074119,0.,-0.913639280486352)); #175198=DIRECTION('',(0.,-1.,0.)); #175199=DIRECTION('',(-0.406525848074119,0.,-0.913639280486352)); #175200=DIRECTION('center_axis',(-0.863288375126393,0.,0.504710987964035)); #175201=DIRECTION('ref_axis',(-0.504710987964035,0.,-0.863288375126393)); #175202=DIRECTION('',(-0.504710987964035,0.,-0.863288375126393)); #175203=DIRECTION('',(0.,-1.,0.)); #175204=DIRECTION('',(-0.504710987964035,0.,-0.863288375126393)); #175205=DIRECTION('center_axis',(-0.804905918279028,0.,0.593402445831995)); #175206=DIRECTION('ref_axis',(-0.593402445831995,0.,-0.804905918279028)); #175207=DIRECTION('',(-0.593402445831995,0.,-0.804905918279028)); #175208=DIRECTION('',(0.,-1.,0.)); #175209=DIRECTION('',(-0.593402445831995,0.,-0.804905918279028)); #175210=DIRECTION('center_axis',(-0.741772855209125,0.,0.670651199413601)); #175211=DIRECTION('ref_axis',(-0.670651199413601,0.,-0.741772855209125)); #175212=DIRECTION('',(-0.670651199413601,0.,-0.741772855209125)); #175213=DIRECTION('',(0.,-1.,0.)); #175214=DIRECTION('',(-0.670651199413601,0.,-0.741772855209125)); #175215=DIRECTION('center_axis',(-0.664514478824755,0.,0.747275389285814)); #175216=DIRECTION('ref_axis',(-0.747275389285814,0.,-0.664514478824755)); #175217=DIRECTION('',(-0.747275389285814,0.,-0.664514478824755)); #175218=DIRECTION('',(0.,-1.,0.)); #175219=DIRECTION('',(-0.747275389285814,0.,-0.664514478824755)); #175220=DIRECTION('center_axis',(-0.553758413789802,0.,0.832677379996)); #175221=DIRECTION('ref_axis',(-0.832677379996,0.,-0.553758413789802)); #175222=DIRECTION('',(-0.832677379996,0.,-0.553758413789802)); #175223=DIRECTION('',(0.,-1.,0.)); #175224=DIRECTION('',(-0.832677379996,0.,-0.553758413789802)); #175225=DIRECTION('center_axis',(0.,-1.,0.)); #175226=DIRECTION('ref_axis',(-0.336578616831317,0.,0.941655369385168)); #175227=DIRECTION('center_axis',(0.,-1.,0.)); #175228=DIRECTION('ref_axis',(-0.336578616831317,0.,0.941655369385168)); #175229=DIRECTION('',(0.,-1.,0.)); #175230=DIRECTION('center_axis',(0.,-1.,0.)); #175231=DIRECTION('ref_axis',(-0.336578616831317,0.,0.941655369385168)); #175232=DIRECTION('center_axis',(-0.259048632250716,0.,0.965864279352453)); #175233=DIRECTION('ref_axis',(-0.965864279352453,0.,-0.259048632250716)); #175234=DIRECTION('',(-0.965864279352453,0.,-0.259048632250716)); #175235=DIRECTION('',(0.,-1.,0.)); #175236=DIRECTION('',(-0.965864279352453,0.,-0.259048632250716)); #175237=DIRECTION('center_axis',(0.,-1.,0.)); #175238=DIRECTION('ref_axis',(0.11253953076526,0.,0.993647248280362)); #175239=DIRECTION('center_axis',(0.,-1.,0.)); #175240=DIRECTION('ref_axis',(0.11253953076526,0.,0.993647248280362)); #175241=DIRECTION('',(0.,-1.,0.)); #175242=DIRECTION('center_axis',(0.,-1.,0.)); #175243=DIRECTION('ref_axis',(0.11253953076526,0.,0.993647248280362)); #175244=DIRECTION('center_axis',(0.192968718008357,0.,0.981204909216322)); #175245=DIRECTION('ref_axis',(-0.981204909216322,0.,0.192968718008357)); #175246=DIRECTION('',(-0.981204909216322,0.,0.192968718008357)); #175247=DIRECTION('',(0.,-1.,0.)); #175248=DIRECTION('',(-0.981204909216322,0.,0.192968718008357)); #175249=DIRECTION('center_axis',(0.273910093099943,0.,0.961755301985895)); #175250=DIRECTION('ref_axis',(-0.961755301985895,0.,0.273910093099943)); #175251=DIRECTION('',(-0.961755301985895,0.,0.273910093099943)); #175252=DIRECTION('',(0.,-1.,0.)); #175253=DIRECTION('',(-0.961755301985895,0.,0.273910093099943)); #175254=DIRECTION('center_axis',(0.364908111312386,0.,0.93104353834739)); #175255=DIRECTION('ref_axis',(-0.93104353834739,0.,0.364908111312386)); #175256=DIRECTION('',(-0.93104353834739,0.,0.364908111312386)); #175257=DIRECTION('',(0.,-1.,0.)); #175258=DIRECTION('',(-0.93104353834739,0.,0.364908111312386)); #175259=DIRECTION('center_axis',(0.464749172406351,0.,0.885442379123347)); #175260=DIRECTION('ref_axis',(-0.885442379123347,0.,0.464749172406351)); #175261=DIRECTION('',(-0.885442379123347,0.,0.464749172406351)); #175262=DIRECTION('',(0.,-1.,0.)); #175263=DIRECTION('',(-0.885442379123347,0.,0.464749172406351)); #175264=DIRECTION('center_axis',(0.570397370615093,0.,0.82136888156016)); #175265=DIRECTION('ref_axis',(-0.82136888156016,0.,0.570397370615093)); #175266=DIRECTION('',(-0.82136888156016,0.,0.570397370615093)); #175267=DIRECTION('',(0.,-1.,0.)); #175268=DIRECTION('',(-0.82136888156016,0.,0.570397370615093)); #175269=DIRECTION('center_axis',(0.680424721835921,0.,0.732817984164219)); #175270=DIRECTION('ref_axis',(-0.732817984164219,0.,0.680424721835921)); #175271=DIRECTION('',(-0.732817984164219,0.,0.680424721835921)); #175272=DIRECTION('',(0.,-1.,0.)); #175273=DIRECTION('',(-0.732817984164219,0.,0.680424721835921)); #175274=DIRECTION('center_axis',(0.752619310873132,0.,0.658455900498166)); #175275=DIRECTION('ref_axis',(-0.658455900498166,0.,0.752619310873132)); #175276=DIRECTION('',(-0.658455900498166,0.,0.752619310873132)); #175277=DIRECTION('',(0.,-1.,0.)); #175278=DIRECTION('',(-0.658455900498166,0.,0.752619310873132)); #175279=DIRECTION('center_axis',(0.815637337875856,0.,0.57856350823638)); #175280=DIRECTION('ref_axis',(-0.57856350823638,0.,0.815637337875856)); #175281=DIRECTION('',(-0.57856350823638,0.,0.815637337875856)); #175282=DIRECTION('',(0.,-1.,0.)); #175283=DIRECTION('',(-0.57856350823638,0.,0.815637337875856)); #175284=DIRECTION('center_axis',(0.872668211309672,0.,0.488313621527782)); #175285=DIRECTION('ref_axis',(-0.488313621527782,0.,0.872668211309672)); #175286=DIRECTION('',(-0.488313621527782,0.,0.872668211309672)); #175287=DIRECTION('',(0.,-1.,0.)); #175288=DIRECTION('',(-0.488313621527782,0.,0.872668211309672)); #175289=DIRECTION('center_axis',(0.921030063154879,0.,0.389491492544985)); #175290=DIRECTION('ref_axis',(-0.389491492544985,0.,0.921030063154879)); #175291=DIRECTION('',(-0.389491492544985,0.,0.921030063154879)); #175292=DIRECTION('',(0.,-1.,0.)); #175293=DIRECTION('',(-0.389491492544985,0.,0.921030063154879)); #175294=DIRECTION('center_axis',(0.958642894704062,0.,0.28461166601778)); #175295=DIRECTION('ref_axis',(-0.28461166601778,0.,0.958642894704062)); #175296=DIRECTION('',(-0.28461166601778,0.,0.958642894704062)); #175297=DIRECTION('',(0.,-1.,0.)); #175298=DIRECTION('',(-0.28461166601778,0.,0.958642894704062)); #175299=DIRECTION('center_axis',(0.983751282581123,0.,0.179536664834777)); #175300=DIRECTION('ref_axis',(-0.179536664834777,0.,0.983751282581123)); #175301=DIRECTION('',(-0.179536664834777,0.,0.983751282581123)); #175302=DIRECTION('',(0.,-1.,0.)); #175303=DIRECTION('',(-0.179536664834777,0.,0.983751282581123)); #175304=DIRECTION('center_axis',(0.996983832577417,0.,0.0776095199008847)); #175305=DIRECTION('ref_axis',(-0.0776095199008847,0.,0.996983832577417)); #175306=DIRECTION('',(-0.0776095199008847,0.,0.996983832577417)); #175307=DIRECTION('',(0.,-1.,0.)); #175308=DIRECTION('',(-0.0776095199008847,0.,0.996983832577417)); #175309=DIRECTION('center_axis',(0.,-1.,0.)); #175310=DIRECTION('ref_axis',(0.99304773850414,0.,-0.117712314784022)); #175311=DIRECTION('center_axis',(0.,-1.,0.)); #175312=DIRECTION('ref_axis',(0.99304773850414,0.,-0.117712314784022)); #175313=DIRECTION('',(0.,-1.,0.)); #175314=DIRECTION('center_axis',(0.,-1.,0.)); #175315=DIRECTION('ref_axis',(0.99304773850414,0.,-0.117712314784022)); #175316=DIRECTION('center_axis',(0.977014413215804,0.,-0.213173254346268)); #175317=DIRECTION('ref_axis',(0.213173254346268,0.,0.977014413215804)); #175318=DIRECTION('',(0.213173254346268,0.,0.977014413215804)); #175319=DIRECTION('',(0.,-1.,0.)); #175320=DIRECTION('',(0.213173254346268,0.,0.977014413215804)); #175321=DIRECTION('center_axis',(0.948027996887933,0.,-0.318186921661863)); #175322=DIRECTION('ref_axis',(0.318186921661863,0.,0.948027996887933)); #175323=DIRECTION('',(0.318186921661863,0.,0.948027996887933)); #175324=DIRECTION('',(0.318186921661863,0.,0.948027996887933)); #175325=DIRECTION('center_axis',(0.,1.,0.)); #175326=DIRECTION('ref_axis',(1.,0.,0.)); #175327=DIRECTION('center_axis',(-0.220209656779258,0.,0.975452565254283)); #175328=DIRECTION('ref_axis',(-0.975452565254283,0.,-0.220209656779258)); #175329=DIRECTION('',(-0.975452565254283,0.,-0.220209656779258)); #175330=DIRECTION('',(0.,-1.,0.)); #175331=DIRECTION('',(-0.975452565254283,0.,-0.220209656779258)); #175332=DIRECTION('',(0.,-1.,0.)); #175333=DIRECTION('center_axis',(-0.120245725819604,0.,0.992744159097457)); #175334=DIRECTION('ref_axis',(-0.992744159097457,0.,-0.120245725819604)); #175335=DIRECTION('',(-0.992744159097457,0.,-0.120245725819604)); #175336=DIRECTION('',(0.,-1.,0.)); #175337=DIRECTION('',(-0.992744159097457,0.,-0.120245725819604)); #175338=DIRECTION('center_axis',(-0.0248058372008539,0.,0.999692287877007)); #175339=DIRECTION('ref_axis',(-0.999692287877007,0.,-0.0248058372008539)); #175340=DIRECTION('',(-0.999692287877007,0.,-0.0248058372008539)); #175341=DIRECTION('',(0.,-1.,0.)); #175342=DIRECTION('',(-0.999692287877007,0.,-0.0248058372008539)); #175343=DIRECTION('center_axis',(0.0706388360965845,0.,0.997501957308816)); #175344=DIRECTION('ref_axis',(-0.997501957308817,0.,0.0706388360965845)); #175345=DIRECTION('',(-0.997501957308817,0.,0.0706388360965845)); #175346=DIRECTION('',(0.,-1.,0.)); #175347=DIRECTION('',(-0.997501957308817,0.,0.0706388360965845)); #175348=DIRECTION('center_axis',(0.17102065044855,0.,0.985267444463763)); #175349=DIRECTION('ref_axis',(-0.985267444463763,0.,0.17102065044855)); #175350=DIRECTION('',(-0.985267444463763,0.,0.17102065044855)); #175351=DIRECTION('',(0.,-1.,0.)); #175352=DIRECTION('',(-0.985267444463763,0.,0.17102065044855)); #175353=DIRECTION('center_axis',(0.27475201116113,0.,0.961515123314716)); #175354=DIRECTION('ref_axis',(-0.961515123314716,0.,0.27475201116113)); #175355=DIRECTION('',(-0.961515123314716,0.,0.27475201116113)); #175356=DIRECTION('',(0.,-1.,0.)); #175357=DIRECTION('',(-0.961515123314716,0.,0.27475201116113)); #175358=DIRECTION('center_axis',(0.377195442415305,0.,0.926133682694417)); #175359=DIRECTION('ref_axis',(-0.926133682694416,0.,0.377195442415305)); #175360=DIRECTION('',(-0.926133682694416,0.,0.377195442415305)); #175361=DIRECTION('',(0.,-1.,0.)); #175362=DIRECTION('',(-0.926133682694416,0.,0.377195442415305)); #175363=DIRECTION('center_axis',(0.476284673501233,0.,0.879291140514804)); #175364=DIRECTION('ref_axis',(-0.879291140514803,0.,0.476284673501233)); #175365=DIRECTION('',(-0.879291140514803,0.,0.476284673501233)); #175366=DIRECTION('',(0.,-1.,0.)); #175367=DIRECTION('',(-0.879291140514803,0.,0.476284673501233)); #175368=DIRECTION('center_axis',(0.567660118885691,0.,0.823263013517967)); #175369=DIRECTION('ref_axis',(-0.823263013517966,0.,0.567660118885691)); #175370=DIRECTION('',(-0.823263013517966,0.,0.567660118885691)); #175371=DIRECTION('',(0.,-1.,0.)); #175372=DIRECTION('',(-0.823263013517966,0.,0.567660118885691)); #175373=DIRECTION('center_axis',(0.649886485856249,0.,0.760031285870138)); #175374=DIRECTION('ref_axis',(-0.760031285870138,0.,0.649886485856249)); #175375=DIRECTION('',(-0.760031285870138,0.,0.649886485856249)); #175376=DIRECTION('',(0.,-1.,0.)); #175377=DIRECTION('',(-0.760031285870138,0.,0.649886485856249)); #175378=DIRECTION('center_axis',(0.722761142767428,0.,0.691097916727812)); #175379=DIRECTION('ref_axis',(-0.691097916727812,0.,0.722761142767428)); #175380=DIRECTION('',(-0.691097916727812,0.,0.722761142767428)); #175381=DIRECTION('',(0.,-1.,0.)); #175382=DIRECTION('',(-0.691097916727812,0.,0.722761142767428)); #175383=DIRECTION('center_axis',(0.804276181169378,0.,0.594255689416266)); #175384=DIRECTION('ref_axis',(-0.594255689416266,0.,0.804276181169378)); #175385=DIRECTION('',(-0.594255689416266,0.,0.804276181169378)); #175386=DIRECTION('',(0.,-1.,0.)); #175387=DIRECTION('',(-0.594255689416266,0.,0.804276181169378)); #175388=DIRECTION('center_axis',(0.873112162981534,0.,0.487519385105563)); #175389=DIRECTION('ref_axis',(-0.487519385105563,0.,0.873112162981534)); #175390=DIRECTION('',(-0.487519385105563,0.,0.873112162981534)); #175391=DIRECTION('',(0.,-1.,0.)); #175392=DIRECTION('',(-0.487519385105563,0.,0.873112162981534)); #175393=DIRECTION('center_axis',(0.923011908235705,0.,0.384771383103114)); #175394=DIRECTION('ref_axis',(-0.384771383103114,0.,0.923011908235705)); #175395=DIRECTION('',(-0.384771383103114,0.,0.923011908235705)); #175396=DIRECTION('',(0.,-1.,0.)); #175397=DIRECTION('',(-0.384771383103114,0.,0.923011908235705)); #175398=DIRECTION('center_axis',(0.,-1.,0.)); #175399=DIRECTION('ref_axis',(0.985600764162584,0.,-0.169089129402602)); #175400=DIRECTION('center_axis',(0.,-1.,0.)); #175401=DIRECTION('ref_axis',(0.985600764162584,0.,-0.169089129402602)); #175402=DIRECTION('',(0.,-1.,0.)); #175403=DIRECTION('center_axis',(0.,-1.,0.)); #175404=DIRECTION('ref_axis',(0.985600764162584,0.,-0.169089129402602)); #175405=DIRECTION('center_axis',(0.964618391046569,0.,-0.263650070462212)); #175406=DIRECTION('ref_axis',(0.263650070462212,0.,0.964618391046569)); #175407=DIRECTION('',(0.263650070462212,0.,0.964618391046569)); #175408=DIRECTION('',(0.,-1.,0.)); #175409=DIRECTION('',(0.263650070462212,0.,0.964618391046569)); #175410=DIRECTION('center_axis',(0.934796877592542,0.,-0.355182766534687)); #175411=DIRECTION('ref_axis',(0.355182766534686,0.,0.934796877592542)); #175412=DIRECTION('',(0.355182766534686,0.,0.934796877592542)); #175413=DIRECTION('',(0.,-1.,0.)); #175414=DIRECTION('',(0.355182766534686,0.,0.934796877592542)); #175415=DIRECTION('center_axis',(0.890137973463292,0.,-0.455691110510907)); #175416=DIRECTION('ref_axis',(0.455691110510907,0.,0.890137973463292)); #175417=DIRECTION('',(0.455691110510907,0.,0.890137973463292)); #175418=DIRECTION('',(0.,-1.,0.)); #175419=DIRECTION('',(0.455691110510907,0.,0.890137973463292)); #175420=DIRECTION('center_axis',(0.827249748133881,0.,-0.561834365460525)); #175421=DIRECTION('ref_axis',(0.561834365460525,0.,0.827249748133881)); #175422=DIRECTION('',(0.561834365460525,0.,0.827249748133881)); #175423=DIRECTION('',(0.,-1.,0.)); #175424=DIRECTION('',(0.561834365460525,0.,0.827249748133881)); #175425=DIRECTION('center_axis',(0.746408218697096,0.,-0.665488370342734)); #175426=DIRECTION('ref_axis',(0.665488370342734,0.,0.746408218697096)); #175427=DIRECTION('',(0.665488370342734,0.,0.746408218697096)); #175428=DIRECTION('',(0.,-1.,0.)); #175429=DIRECTION('',(0.665488370342734,0.,0.746408218697096)); #175430=DIRECTION('center_axis',(0.672533243479802,0.,-0.74006691347103)); #175431=DIRECTION('ref_axis',(0.74006691347103,0.,0.672533243479802)); #175432=DIRECTION('',(0.74006691347103,0.,0.672533243479802)); #175433=DIRECTION('',(0.,-1.,0.)); #175434=DIRECTION('',(0.74006691347103,0.,0.672533243479802)); #175435=DIRECTION('center_axis',(0.593721474045243,0.,-0.804670622837409)); #175436=DIRECTION('ref_axis',(0.804670622837409,0.,0.593721474045243)); #175437=DIRECTION('',(0.804670622837409,0.,0.593721474045243)); #175438=DIRECTION('',(0.,-1.,0.)); #175439=DIRECTION('',(0.804670622837409,0.,0.593721474045243)); #175440=DIRECTION('center_axis',(0.504699296258166,0.,-0.863295210432974)); #175441=DIRECTION('ref_axis',(0.863295210432973,0.,0.504699296258166)); #175442=DIRECTION('',(0.863295210432973,0.,0.504699296258166)); #175443=DIRECTION('',(0.,-1.,0.)); #175444=DIRECTION('',(0.863295210432973,0.,0.504699296258166)); #175445=DIRECTION('center_axis',(0.407624028234106,0.,-0.913149851670689)); #175446=DIRECTION('ref_axis',(0.913149851670689,0.,0.407624028234106)); #175447=DIRECTION('',(0.913149851670689,0.,0.407624028234106)); #175448=DIRECTION('',(0.,-1.,0.)); #175449=DIRECTION('',(0.913149851670689,0.,0.407624028234106)); #175450=DIRECTION('center_axis',(0.306019825298416,0.,-0.95202513964933)); #175451=DIRECTION('ref_axis',(0.95202513964933,0.,0.306019825298416)); #175452=DIRECTION('',(0.95202513964933,0.,0.306019825298416)); #175453=DIRECTION('',(0.,-1.,0.)); #175454=DIRECTION('',(0.95202513964933,0.,0.306019825298416)); #175455=DIRECTION('center_axis',(0.202252630911849,0.,-0.97933338209684)); #175456=DIRECTION('ref_axis',(0.97933338209684,0.,0.202252630911849)); #175457=DIRECTION('',(0.97933338209684,0.,0.202252630911849)); #175458=DIRECTION('',(0.,-1.,0.)); #175459=DIRECTION('',(0.97933338209684,0.,0.202252630911849)); #175460=DIRECTION('center_axis',(0.100856657388511,0.,-0.994900967262781)); #175461=DIRECTION('ref_axis',(0.994900967262781,0.,0.100856657388511)); #175462=DIRECTION('',(0.994900967262781,0.,0.100856657388511)); #175463=DIRECTION('',(0.,-1.,0.)); #175464=DIRECTION('',(0.994900967262781,0.,0.100856657388511)); #175465=DIRECTION('center_axis',(0.,-1.,0.)); #175466=DIRECTION('ref_axis',(-0.0917174621895538,0.,-0.995785070750465)); #175467=DIRECTION('center_axis',(0.,-1.,0.)); #175468=DIRECTION('ref_axis',(-0.0917174621895538,0.,-0.995785070750465)); #175469=DIRECTION('',(0.,-1.,0.)); #175470=DIRECTION('center_axis',(0.,-1.,0.)); #175471=DIRECTION('ref_axis',(-0.0917174621895538,0.,-0.995785070750465)); #175472=DIRECTION('center_axis',(-0.189874448048722,0.,-0.981808379460164)); #175473=DIRECTION('ref_axis',(0.981808379460164,0.,-0.189874448048722)); #175474=DIRECTION('',(0.981808379460164,0.,-0.189874448048722)); #175475=DIRECTION('',(0.,-1.,0.)); #175476=DIRECTION('',(0.981808379460164,0.,-0.189874448048722)); #175477=DIRECTION('center_axis',(-0.291230845217484,0.,-0.956652807863914)); #175478=DIRECTION('ref_axis',(0.956652807863914,0.,-0.291230845217484)); #175479=DIRECTION('',(0.956652807863914,0.,-0.291230845217484)); #175480=DIRECTION('',(0.,-1.,0.)); #175481=DIRECTION('',(0.956652807863913,0.,-0.291230845217484)); #175482=DIRECTION('center_axis',(-0.392619301976412,0.,-0.919701083893868)); #175483=DIRECTION('ref_axis',(0.919701083893868,0.,-0.392619301976412)); #175484=DIRECTION('',(0.919701083893868,0.,-0.392619301976412)); #175485=DIRECTION('',(0.,-1.,0.)); #175486=DIRECTION('',(0.919701083893868,0.,-0.392619301976412)); #175487=DIRECTION('center_axis',(-0.490743159779246,0.,-0.871304281597354)); #175488=DIRECTION('ref_axis',(0.871304281597354,0.,-0.490743159779246)); #175489=DIRECTION('',(0.871304281597354,0.,-0.490743159779246)); #175490=DIRECTION('',(0.,-1.,0.)); #175491=DIRECTION('',(0.871304281597354,0.,-0.490743159779246)); #175492=DIRECTION('center_axis',(-0.582088624288577,0.,-0.813125349176763)); #175493=DIRECTION('ref_axis',(0.813125349176763,0.,-0.582088624288577)); #175494=DIRECTION('',(0.813125349176763,0.,-0.582088624288577)); #175495=DIRECTION('',(0.,-1.,0.)); #175496=DIRECTION('',(0.813125349176763,0.,-0.582088624288577)); #175497=DIRECTION('center_axis',(-0.664533097639748,0.,-0.747258832093218)); #175498=DIRECTION('ref_axis',(0.747258832093218,0.,-0.664533097639748)); #175499=DIRECTION('',(0.747258832093218,0.,-0.664533097639748)); #175500=DIRECTION('',(0.,-1.,0.)); #175501=DIRECTION('',(0.747258832093218,0.,-0.664533097639748)); #175502=DIRECTION('center_axis',(-0.740250732044656,0.,-0.672330910867075)); #175503=DIRECTION('ref_axis',(0.672330910867075,0.,-0.740250732044656)); #175504=DIRECTION('',(0.672330910867075,0.,-0.740250732044656)); #175505=DIRECTION('',(0.,-1.,0.)); #175506=DIRECTION('',(0.672330910867075,0.,-0.740250732044656)); #175507=DIRECTION('center_axis',(-0.821057536531426,0.,-0.570845444673903)); #175508=DIRECTION('ref_axis',(0.570845444673903,0.,-0.821057536531426)); #175509=DIRECTION('',(0.570845444673903,0.,-0.821057536531426)); #175510=DIRECTION('',(0.,-1.,0.)); #175511=DIRECTION('',(0.570845444673903,0.,-0.821057536531426)); #175512=DIRECTION('center_axis',(-0.885326894624072,0.,-0.464969127636769)); #175513=DIRECTION('ref_axis',(0.464969127636769,0.,-0.885326894624072)); #175514=DIRECTION('',(0.464969127636769,0.,-0.885326894624072)); #175515=DIRECTION('',(0.,-1.,0.)); #175516=DIRECTION('',(0.464969127636769,0.,-0.885326894624072)); #175517=DIRECTION('center_axis',(-0.931100822014925,0.,-0.364761921317359)); #175518=DIRECTION('ref_axis',(0.364761921317359,0.,-0.931100822014925)); #175519=DIRECTION('',(0.364761921317359,0.,-0.931100822014925)); #175520=DIRECTION('',(0.,-1.,0.)); #175521=DIRECTION('',(0.364761921317359,0.,-0.931100822014925)); #175522=DIRECTION('center_axis',(-0.962134745643616,0.,-0.272574267357164)); #175523=DIRECTION('ref_axis',(0.272574267357164,0.,-0.962134745643616)); #175524=DIRECTION('',(0.272574267357164,0.,-0.962134745643616)); #175525=DIRECTION('',(0.,-1.,0.)); #175526=DIRECTION('',(0.272574267357164,0.,-0.962134745643616)); #175527=DIRECTION('center_axis',(0.,-1.,0.)); #175528=DIRECTION('ref_axis',(-0.99602365131319,0.,-0.0890892026271469)); #175529=DIRECTION('center_axis',(0.,-1.,0.)); #175530=DIRECTION('ref_axis',(-0.99602365131319,0.,-0.0890892026271469)); #175531=DIRECTION('',(0.,-1.,0.)); #175532=DIRECTION('center_axis',(0.,-1.,0.)); #175533=DIRECTION('ref_axis',(-0.99602365131319,0.,-0.0890892026271469)); #175534=DIRECTION('center_axis',(-0.998605022573992,0.,-0.0528015993128673)); #175535=DIRECTION('ref_axis',(0.0528015993128673,0.,-0.998605022573992)); #175536=DIRECTION('',(0.0528015993128673,0.,-0.998605022573992)); #175537=DIRECTION('',(0.,-1.,0.)); #175538=DIRECTION('',(0.0528015993128673,0.,-0.998605022573992)); #175539=DIRECTION('center_axis',(0.,-1.,0.)); #175540=DIRECTION('ref_axis',(0.951667459972668,0.,0.307130339805708)); #175541=DIRECTION('center_axis',(0.,1.,0.)); #175542=DIRECTION('ref_axis',(0.951667459972668,0.,0.307130339805708)); #175543=DIRECTION('',(0.,-1.,0.)); #175544=DIRECTION('center_axis',(0.,1.,0.)); #175545=DIRECTION('ref_axis',(0.951667459972668,0.,0.307130339805708)); #175546=DIRECTION('center_axis',(-6.11333479219373E-6,0.,-0.999999999981314)); #175547=DIRECTION('ref_axis',(0.999999999981314,0.,-6.11333479219373E-6)); #175548=DIRECTION('',(0.999999999981314,0.,-6.11333479219373E-6)); #175549=DIRECTION('',(0.,-1.,0.)); #175550=DIRECTION('',(0.999999999981314,0.,-6.11333479219373E-6)); #175551=DIRECTION('center_axis',(0.958235260410678,0.,-0.285981093266112)); #175552=DIRECTION('ref_axis',(0.285981093266112,0.,0.958235260410678)); #175553=DIRECTION('',(0.285981093266112,0.,0.958235260410678)); #175554=DIRECTION('',(0.,-1.,0.)); #175555=DIRECTION('',(0.285981093266112,0.,0.958235260410678)); #175556=DIRECTION('center_axis',(1.,0.,0.)); #175557=DIRECTION('ref_axis',(0.,0.,1.)); #175558=DIRECTION('',(0.,0.,1.)); #175559=DIRECTION('',(0.,-1.,0.)); #175560=DIRECTION('',(0.,0.,1.)); #175561=DIRECTION('center_axis',(9.52595859702942E-5,0.,0.999999995462806)); #175562=DIRECTION('ref_axis',(-0.999999995462806,0.,9.52595859702942E-5)); #175563=DIRECTION('',(-0.999999995462806,0.,9.52595859702942E-5)); #175564=DIRECTION('',(0.,-1.,0.)); #175565=DIRECTION('',(-0.999999995462806,0.,9.52595859702942E-5)); #175566=DIRECTION('center_axis',(-0.295817631232993,0.,0.955244434190381)); #175567=DIRECTION('ref_axis',(-0.955244434190381,0.,-0.295817631232993)); #175568=DIRECTION('',(-0.955244434190381,0.,-0.295817631232993)); #175569=DIRECTION('',(0.,-1.,0.)); #175570=DIRECTION('',(-0.955244434190381,0.,-0.295817631232993)); #175571=DIRECTION('center_axis',(0.,-1.,0.)); #175572=DIRECTION('ref_axis',(-0.995652542326585,0.,0.093145128474913)); #175573=DIRECTION('center_axis',(0.,-1.,0.)); #175574=DIRECTION('ref_axis',(-0.995652542326585,0.,0.093145128474913)); #175575=DIRECTION('',(0.,-1.,0.)); #175576=DIRECTION('center_axis',(0.,-1.,0.)); #175577=DIRECTION('ref_axis',(-0.995652542326585,0.,0.093145128474913)); #175578=DIRECTION('center_axis',(-0.97848780721013,0.,0.20630465613047)); #175579=DIRECTION('ref_axis',(-0.20630465613047,0.,-0.97848780721013)); #175580=DIRECTION('',(-0.20630465613047,0.,-0.97848780721013)); #175581=DIRECTION('',(0.,-1.,0.)); #175582=DIRECTION('',(-0.20630465613047,0.,-0.97848780721013)); #175583=DIRECTION('center_axis',(-0.956566157721137,0.,0.291515327045801)); #175584=DIRECTION('ref_axis',(-0.291515327045801,0.,-0.956566157721137)); #175585=DIRECTION('',(-0.291515327045801,0.,-0.956566157721137)); #175586=DIRECTION('',(0.,-1.,0.)); #175587=DIRECTION('',(-0.291515327045801,0.,-0.956566157721137)); #175588=DIRECTION('center_axis',(-0.921728421983661,0.,0.387835939680054)); #175589=DIRECTION('ref_axis',(-0.387835939680054,0.,-0.921728421983661)); #175590=DIRECTION('',(-0.387835939680054,0.,-0.921728421983661)); #175591=DIRECTION('',(0.,-1.,0.)); #175592=DIRECTION('',(-0.387835939680054,0.,-0.921728421983661)); #175593=DIRECTION('center_axis',(-0.870236906257663,0.,0.492633461091602)); #175594=DIRECTION('ref_axis',(-0.492633461091602,0.,-0.870236906257663)); #175595=DIRECTION('',(-0.492633461091602,0.,-0.870236906257663)); #175596=DIRECTION('',(0.,-1.,0.)); #175597=DIRECTION('',(-0.492633461091602,0.,-0.870236906257663)); #175598=DIRECTION('center_axis',(-0.798601466128886,0.,0.601860198299234)); #175599=DIRECTION('ref_axis',(-0.601860198299234,0.,-0.798601466128886)); #175600=DIRECTION('',(-0.601860198299234,0.,-0.798601466128886)); #175601=DIRECTION('',(0.,-1.,0.)); #175602=DIRECTION('',(-0.601860198299234,0.,-0.798601466128886)); #175603=DIRECTION('center_axis',(-0.726413127039124,0.,0.687258298505913)); #175604=DIRECTION('ref_axis',(-0.687258298505913,0.,-0.726413127039124)); #175605=DIRECTION('',(-0.687258298505913,0.,-0.726413127039124)); #175606=DIRECTION('',(0.,-1.,0.)); #175607=DIRECTION('',(-0.687258298505912,0.,-0.726413127039124)); #175608=DIRECTION('center_axis',(-0.648439448939553,0.,0.761266235333585)); #175609=DIRECTION('ref_axis',(-0.761266235333585,0.,-0.648439448939553)); #175610=DIRECTION('',(-0.761266235333585,0.,-0.648439448939553)); #175611=DIRECTION('',(0.,-1.,0.)); #175612=DIRECTION('',(-0.761266235333585,0.,-0.648439448939553)); #175613=DIRECTION('center_axis',(-0.557612216904079,0.,0.830101569423477)); #175614=DIRECTION('ref_axis',(-0.830101569423477,0.,-0.557612216904078)); #175615=DIRECTION('',(-0.830101569423477,0.,-0.557612216904078)); #175616=DIRECTION('',(0.,-1.,0.)); #175617=DIRECTION('',(-0.830101569423477,0.,-0.557612216904078)); #175618=DIRECTION('center_axis',(-0.459284770391416,0.,0.888289085650896)); #175619=DIRECTION('ref_axis',(-0.888289085650896,0.,-0.459284770391416)); #175620=DIRECTION('',(-0.888289085650896,0.,-0.459284770391416)); #175621=DIRECTION('',(0.,-1.,0.)); #175622=DIRECTION('',(-0.888289085650896,0.,-0.459284770391416)); #175623=DIRECTION('center_axis',(-0.35673267068491,0.,0.934206509111348)); #175624=DIRECTION('ref_axis',(-0.934206509111348,0.,-0.35673267068491)); #175625=DIRECTION('',(-0.934206509111348,0.,-0.35673267068491)); #175626=DIRECTION('',(0.,-1.,0.)); #175627=DIRECTION('',(-0.934206509111348,0.,-0.35673267068491)); #175628=DIRECTION('center_axis',(-0.252896678610527,0.,0.967493291939414)); #175629=DIRECTION('ref_axis',(-0.967493291939414,0.,-0.252896678610527)); #175630=DIRECTION('',(-0.967493291939414,0.,-0.252896678610527)); #175631=DIRECTION('',(0.,-1.,0.)); #175632=DIRECTION('',(-0.967493291939414,0.,-0.252896678610527)); #175633=DIRECTION('center_axis',(-0.151588862178687,0.,0.988443633629845)); #175634=DIRECTION('ref_axis',(-0.988443633629845,0.,-0.151588862178687)); #175635=DIRECTION('',(-0.988443633629845,0.,-0.151588862178687)); #175636=DIRECTION('',(0.,-1.,0.)); #175637=DIRECTION('',(-0.988443633629845,0.,-0.151588862178687)); #175638=DIRECTION('center_axis',(-0.0556200055285212,0.,0.998452009354985)); #175639=DIRECTION('ref_axis',(-0.998452009354985,0.,-0.0556200055285212)); #175640=DIRECTION('',(-0.998452009354985,0.,-0.0556200055285212)); #175641=DIRECTION('',(0.,-1.,0.)); #175642=DIRECTION('',(-0.998452009354985,0.,-0.0556200055285212)); #175643=DIRECTION('center_axis',(0.0344201154932726,0.,0.999407452268308)); #175644=DIRECTION('ref_axis',(-0.999407452268308,0.,0.0344201154932726)); #175645=DIRECTION('',(-0.999407452268308,0.,0.0344201154932726)); #175646=DIRECTION('',(0.,-1.,0.)); #175647=DIRECTION('',(-0.999407452268308,0.,0.0344201154932726)); #175648=DIRECTION('center_axis',(0.129521319118011,0.,0.991576637428459)); #175649=DIRECTION('ref_axis',(-0.991576637428459,0.,0.129521319118011)); #175650=DIRECTION('',(-0.991576637428459,0.,0.129521319118011)); #175651=DIRECTION('',(0.,-1.,0.)); #175652=DIRECTION('',(-0.991576637428459,0.,0.129521319118011)); #175653=DIRECTION('center_axis',(0.229805646224967,0.,0.973236541115841)); #175654=DIRECTION('ref_axis',(-0.973236541115841,0.,0.229805646224967)); #175655=DIRECTION('',(-0.973236541115841,0.,0.229805646224967)); #175656=DIRECTION('',(0.,-1.,0.)); #175657=DIRECTION('',(-0.973236541115841,0.,0.229805646224967)); #175658=DIRECTION('center_axis',(0.332906607608691,0.,0.942959803284569)); #175659=DIRECTION('ref_axis',(-0.942959803284569,0.,0.332906607608691)); #175660=DIRECTION('',(-0.942959803284569,0.,0.332906607608691)); #175661=DIRECTION('',(0.,-1.,0.)); #175662=DIRECTION('',(-0.942959803284569,0.,0.332906607608691)); #175663=DIRECTION('center_axis',(0.435118237195225,0.,0.900373322383621)); #175664=DIRECTION('ref_axis',(-0.900373322383621,0.,0.435118237195225)); #175665=DIRECTION('',(-0.900373322383621,0.,0.435118237195225)); #175666=DIRECTION('',(0.,-1.,0.)); #175667=DIRECTION('',(-0.900373322383621,0.,0.435118237195225)); #175668=DIRECTION('center_axis',(0.534070571164457,0.,0.845439900298106)); #175669=DIRECTION('ref_axis',(-0.845439900298106,0.,0.534070571164457)); #175670=DIRECTION('',(-0.845439900298106,0.,0.534070571164457)); #175671=DIRECTION('',(0.,-1.,0.)); #175672=DIRECTION('',(-0.845439900298106,0.,0.534070571164457)); #175673=DIRECTION('center_axis',(0.625687558581951,0.,0.780073765124657)); #175674=DIRECTION('ref_axis',(-0.780073765124657,0.,0.625687558581951)); #175675=DIRECTION('',(-0.780073765124657,0.,0.625687558581951)); #175676=DIRECTION('',(0.,-1.,0.)); #175677=DIRECTION('',(-0.780073765124657,0.,0.625687558581951)); #175678=DIRECTION('center_axis',(0.706969572151556,0.,0.707243963602268)); #175679=DIRECTION('ref_axis',(-0.707243963602268,0.,0.706969572151556)); #175680=DIRECTION('',(-0.707243963602268,0.,0.706969572151556)); #175681=DIRECTION('',(0.,-1.,0.)); #175682=DIRECTION('',(-0.707243963602268,0.,0.706969572151556)); #175683=DIRECTION('center_axis',(0.779545025324611,0.,0.626346193004836)); #175684=DIRECTION('ref_axis',(-0.626346193004836,0.,0.779545025324611)); #175685=DIRECTION('',(-0.626346193004836,0.,0.779545025324611)); #175686=DIRECTION('',(0.,-1.,0.)); #175687=DIRECTION('',(-0.626346193004836,0.,0.779545025324611)); #175688=DIRECTION('center_axis',(0.855298434892726,0.,0.518135684227649)); #175689=DIRECTION('ref_axis',(-0.518135684227649,0.,0.855298434892726)); #175690=DIRECTION('',(-0.518135684227649,0.,0.855298434892726)); #175691=DIRECTION('',(0.,-1.,0.)); #175692=DIRECTION('',(-0.518135684227649,0.,0.855298434892726)); #175693=DIRECTION('center_axis',(0.911394808046532,0.,0.411533113935957)); #175694=DIRECTION('ref_axis',(-0.411533113935957,0.,0.911394808046532)); #175695=DIRECTION('',(-0.411533113935957,0.,0.911394808046532)); #175696=DIRECTION('',(0.,-1.,0.)); #175697=DIRECTION('',(-0.411533113935957,0.,0.911394808046532)); #175698=DIRECTION('center_axis',(0.949773793632431,0.,0.312937279545057)); #175699=DIRECTION('ref_axis',(-0.312937279545057,0.,0.949773793632431)); #175700=DIRECTION('',(-0.312937279545057,0.,0.949773793632431)); #175701=DIRECTION('',(0.,-1.,0.)); #175702=DIRECTION('',(-0.312937279545057,0.,0.949773793632431)); #175703=DIRECTION('center_axis',(0.974332793176581,0.,0.225112434442708)); #175704=DIRECTION('ref_axis',(-0.225112434442708,0.,0.974332793176581)); #175705=DIRECTION('',(-0.225112434442708,0.,0.974332793176581)); #175706=DIRECTION('',(0.,-1.,0.)); #175707=DIRECTION('',(-0.225112434442708,0.,0.974332793176581)); #175708=DIRECTION('center_axis',(0.,-1.,0.)); #175709=DIRECTION('ref_axis',(0.994164727858864,0.,-0.107872581693919)); #175710=DIRECTION('center_axis',(0.,-1.,0.)); #175711=DIRECTION('ref_axis',(0.994164727858864,0.,-0.107872581693919)); #175712=DIRECTION('',(0.,-1.,0.)); #175713=DIRECTION('center_axis',(0.,-1.,0.)); #175714=DIRECTION('ref_axis',(0.994164727858864,0.,-0.107872581693919)); #175715=DIRECTION('center_axis',(0.,0.,-1.)); #175716=DIRECTION('ref_axis',(1.,0.,0.)); #175717=DIRECTION('',(1.,0.,0.)); #175718=DIRECTION('',(0.,-1.,0.)); #175719=DIRECTION('',(1.,0.,0.)); #175720=DIRECTION('center_axis',(0.,-1.,0.)); #175721=DIRECTION('ref_axis',(-0.142094959743992,0.,-0.98985303071484)); #175722=DIRECTION('center_axis',(0.,-1.,0.)); #175723=DIRECTION('ref_axis',(-0.142094959743992,0.,-0.98985303071484)); #175724=DIRECTION('',(0.,-1.,0.)); #175725=DIRECTION('center_axis',(0.,-1.,0.)); #175726=DIRECTION('ref_axis',(-0.142094959743992,0.,-0.98985303071484)); #175727=DIRECTION('center_axis',(-0.226551787162225,0.,-0.973999121012746)); #175728=DIRECTION('ref_axis',(0.973999121012746,0.,-0.226551787162225)); #175729=DIRECTION('',(0.973999121012746,0.,-0.226551787162225)); #175730=DIRECTION('',(0.,-1.,0.)); #175731=DIRECTION('',(0.973999121012746,0.,-0.226551787162225)); #175732=DIRECTION('center_axis',(-0.316112133032192,0.,-0.948721834548904)); #175733=DIRECTION('ref_axis',(0.948721834548904,0.,-0.316112133032192)); #175734=DIRECTION('',(0.948721834548904,0.,-0.316112133032192)); #175735=DIRECTION('',(0.,-1.,0.)); #175736=DIRECTION('',(0.948721834548904,0.,-0.316112133032192)); #175737=DIRECTION('center_axis',(-0.41698940017939,0.,-0.908911348888346)); #175738=DIRECTION('ref_axis',(0.908911348888346,0.,-0.41698940017939)); #175739=DIRECTION('',(0.908911348888346,0.,-0.41698940017939)); #175740=DIRECTION('',(0.,-1.,0.)); #175741=DIRECTION('',(0.908911348888346,0.,-0.41698940017939)); #175742=DIRECTION('center_axis',(-0.526846162182695,0.,-0.849960658732724)); #175743=DIRECTION('ref_axis',(0.849960658732723,0.,-0.526846162182695)); #175744=DIRECTION('',(0.849960658732723,0.,-0.526846162182695)); #175745=DIRECTION('',(0.,-1.,0.)); #175746=DIRECTION('',(0.849960658732723,0.,-0.526846162182695)); #175747=DIRECTION('center_axis',(-0.63638295479565,0.,-0.771373278540006)); #175748=DIRECTION('ref_axis',(0.771373278540006,0.,-0.63638295479565)); #175749=DIRECTION('',(0.771373278540006,0.,-0.63638295479565)); #175750=DIRECTION('',(0.,-1.,0.)); #175751=DIRECTION('',(0.771373278540006,0.,-0.63638295479565)); #175752=DIRECTION('center_axis',(-0.706968633924478,0.,-0.707244901464095)); #175753=DIRECTION('ref_axis',(0.707244901464095,0.,-0.706968633924478)); #175754=DIRECTION('',(0.707244901464095,0.,-0.706968633924478)); #175755=DIRECTION('',(0.,-1.,0.)); #175756=DIRECTION('',(0.707244901464095,0.,-0.706968633924478)); #175757=DIRECTION('center_axis',(-0.781424837947324,0.,-0.623999377114272)); #175758=DIRECTION('ref_axis',(0.623999377114272,0.,-0.781424837947324)); #175759=DIRECTION('',(0.623999377114272,0.,-0.781424837947324)); #175760=DIRECTION('',(0.,-1.,0.)); #175761=DIRECTION('',(0.623999377114272,0.,-0.781424837947323)); #175762=DIRECTION('center_axis',(-0.847516372008898,0.,-0.530769252290367)); #175763=DIRECTION('ref_axis',(0.530769252290367,0.,-0.847516372008898)); #175764=DIRECTION('',(0.530769252290367,0.,-0.847516372008898)); #175765=DIRECTION('',(0.,-1.,0.)); #175766=DIRECTION('',(0.530769252290367,0.,-0.847516372008898)); #175767=DIRECTION('center_axis',(-0.902320559726241,0.,-0.431065664945984)); #175768=DIRECTION('ref_axis',(0.431065664945984,0.,-0.902320559726241)); #175769=DIRECTION('',(0.431065664945984,0.,-0.902320559726241)); #175770=DIRECTION('',(0.,-1.,0.)); #175771=DIRECTION('',(0.431065664945984,0.,-0.902320559726241)); #175772=DIRECTION('center_axis',(-0.944804239258543,0.,-0.327635391066176)); #175773=DIRECTION('ref_axis',(0.327635391066176,0.,-0.944804239258543)); #175774=DIRECTION('',(0.327635391066176,0.,-0.944804239258543)); #175775=DIRECTION('',(0.,-1.,0.)); #175776=DIRECTION('',(0.327635391066176,0.,-0.944804239258543)); #175777=DIRECTION('center_axis',(-0.974551681891274,0.,-0.224162930304922)); #175778=DIRECTION('ref_axis',(0.224162930304922,0.,-0.974551681891274)); #175779=DIRECTION('',(0.224162930304922,0.,-0.974551681891274)); #175780=DIRECTION('',(0.,-1.,0.)); #175781=DIRECTION('',(0.224162930304922,0.,-0.974551681891274)); #175782=DIRECTION('center_axis',(-0.992236847536476,0.,-0.124362528081722)); #175783=DIRECTION('ref_axis',(0.124362528081722,0.,-0.992236847536476)); #175784=DIRECTION('',(0.124362528081722,0.,-0.992236847536476)); #175785=DIRECTION('',(0.,-1.,0.)); #175786=DIRECTION('',(0.124362528081722,0.,-0.992236847536476)); #175787=DIRECTION('center_axis',(-0.999541065703177,0.,-0.0302928700019794)); #175788=DIRECTION('ref_axis',(0.0302928700019794,0.,-0.999541065703177)); #175789=DIRECTION('',(0.0302928700019794,0.,-0.999541065703177)); #175790=DIRECTION('',(0.,-1.,0.)); #175791=DIRECTION('',(0.0302928700019794,0.,-0.999541065703177)); #175792=DIRECTION('center_axis',(-0.998167881501715,0.,0.0605052091838162)); #175793=DIRECTION('ref_axis',(-0.0605052091838162,0.,-0.998167881501715)); #175794=DIRECTION('',(-0.0605052091838162,0.,-0.998167881501715)); #175795=DIRECTION('',(0.,-1.,0.)); #175796=DIRECTION('',(-0.0605052091838162,0.,-0.998167881501715)); #175797=DIRECTION('center_axis',(-0.987565034564021,0.,0.157211012675845)); #175798=DIRECTION('ref_axis',(-0.157211012675845,0.,-0.987565034564021)); #175799=DIRECTION('',(-0.157211012675845,0.,-0.987565034564021)); #175800=DIRECTION('',(0.,-1.,0.)); #175801=DIRECTION('',(-0.157211012675845,0.,-0.987565034564021)); #175802=DIRECTION('center_axis',(-0.966028590529885,0.,0.258435218727719)); #175803=DIRECTION('ref_axis',(-0.258435218727719,0.,-0.966028590529885)); #175804=DIRECTION('',(-0.258435218727719,0.,-0.966028590529885)); #175805=DIRECTION('',(0.,-1.,0.)); #175806=DIRECTION('',(-0.258435218727719,0.,-0.966028590529885)); #175807=DIRECTION('center_axis',(-0.932339229038752,0.,0.361584792262376)); #175808=DIRECTION('ref_axis',(-0.361584792262376,0.,-0.932339229038752)); #175809=DIRECTION('',(-0.361584792262376,0.,-0.932339229038752)); #175810=DIRECTION('',(0.,-1.,0.)); #175811=DIRECTION('',(-0.361584792262376,0.,-0.932339229038752)); #175812=DIRECTION('center_axis',(-0.886137507667474,0.,0.463422396421318)); #175813=DIRECTION('ref_axis',(-0.463422396421318,0.,-0.886137507667474)); #175814=DIRECTION('',(-0.463422396421318,0.,-0.886137507667474)); #175815=DIRECTION('',(0.,-1.,0.)); #175816=DIRECTION('',(-0.463422396421318,0.,-0.886137507667474)); #175817=DIRECTION('center_axis',(-0.828329108330715,0.,0.560241812338246)); #175818=DIRECTION('ref_axis',(-0.560241812338246,0.,-0.828329108330715)); #175819=DIRECTION('',(-0.560241812338246,0.,-0.828329108330715)); #175820=DIRECTION('',(0.,-1.,0.)); #175821=DIRECTION('',(-0.560241812338246,0.,-0.828329108330715)); #175822=DIRECTION('center_axis',(-0.760403963031085,0.,0.649450393029845)); #175823=DIRECTION('ref_axis',(-0.649450393029845,0.,-0.760403963031085)); #175824=DIRECTION('',(-0.649450393029845,0.,-0.760403963031085)); #175825=DIRECTION('',(0.,-1.,0.)); #175826=DIRECTION('',(-0.649450393029845,0.,-0.760403963031085)); #175827=DIRECTION('center_axis',(-0.68810678715089,0.,0.725609433150424)); #175828=DIRECTION('ref_axis',(-0.725609433150424,0.,-0.68810678715089)); #175829=DIRECTION('',(-0.725609433150424,0.,-0.68810678715089)); #175830=DIRECTION('',(0.,-1.,0.)); #175831=DIRECTION('',(-0.725609433150424,0.,-0.68810678715089)); #175832=DIRECTION('center_axis',(-0.598559509896761,0.,0.801078343929075)); #175833=DIRECTION('ref_axis',(-0.801078343929075,0.,-0.598559509896761)); #175834=DIRECTION('',(-0.801078343929075,0.,-0.598559509896761)); #175835=DIRECTION('',(0.,-1.,0.)); #175836=DIRECTION('',(-0.801078343929075,0.,-0.598559509896761)); #175837=DIRECTION('center_axis',(-0.4867425100521,0.,0.873545493324865)); #175838=DIRECTION('ref_axis',(-0.873545493324865,0.,-0.4867425100521)); #175839=DIRECTION('',(-0.873545493324865,0.,-0.4867425100521)); #175840=DIRECTION('',(0.,-1.,0.)); #175841=DIRECTION('',(-0.873545493324865,0.,-0.4867425100521)); #175842=DIRECTION('center_axis',(-0.380181574306444,0.,0.924911871779076)); #175843=DIRECTION('ref_axis',(-0.924911871779076,0.,-0.380181574306444)); #175844=DIRECTION('',(-0.924911871779076,0.,-0.380181574306444)); #175845=DIRECTION('',(0.,-1.,0.)); #175846=DIRECTION('',(-0.924911871779076,0.,-0.380181574306444)); #175847=DIRECTION('center_axis',(0.,-1.,0.)); #175848=DIRECTION('ref_axis',(-0.17857521634531,0.,0.983926263551911)); #175849=DIRECTION('center_axis',(0.,-1.,0.)); #175850=DIRECTION('ref_axis',(-0.17857521634531,0.,0.983926263551911)); #175851=DIRECTION('',(0.,-1.,0.)); #175852=DIRECTION('center_axis',(0.,-1.,0.)); #175853=DIRECTION('ref_axis',(-0.17857521634531,0.,0.983926263551911)); #175854=DIRECTION('center_axis',(-0.126432722663295,0.,0.991975184487972)); #175855=DIRECTION('ref_axis',(-0.991975184487972,0.,-0.126432722663295)); #175856=DIRECTION('',(-0.991975184487972,0.,-0.126432722663295)); #175857=DIRECTION('',(0.,-1.,0.)); #175858=DIRECTION('',(-0.991975184487972,0.,-0.126432722663295)); #175859=DIRECTION('center_axis',(-0.0641815713372587,0.,0.997938237518074)); #175860=DIRECTION('ref_axis',(-0.997938237518074,0.,-0.0641815713372587)); #175861=DIRECTION('',(-0.997938237518074,0.,-0.0641815713372587)); #175862=DIRECTION('',(0.,-1.,0.)); #175863=DIRECTION('',(-0.997938237518074,0.,-0.0641815713372587)); #175864=DIRECTION('center_axis',(-0.000816105507158853,0.,0.999999666985845)); #175865=DIRECTION('ref_axis',(-0.999999666985845,0.,-0.000816105507158853)); #175866=DIRECTION('',(-0.999999666985845,0.,-0.000816105507158853)); #175867=DIRECTION('',(0.,-1.,0.)); #175868=DIRECTION('',(-0.999999666985845,0.,-0.000816105507158853)); #175869=DIRECTION('center_axis',(-0.998782677059751,0.,0.0493271122746673)); #175870=DIRECTION('ref_axis',(-0.0493271122746673,0.,-0.998782677059751)); #175871=DIRECTION('',(-0.0493271122746673,0.,-0.998782677059751)); #175872=DIRECTION('',(0.,-1.,0.)); #175873=DIRECTION('',(-0.0493271122746673,0.,-0.998782677059751)); #175874=DIRECTION('center_axis',(-0.999999998576335,0.,-5.33603795305552E-5)); #175875=DIRECTION('ref_axis',(5.33603795305552E-5,0.,-0.999999998576335)); #175876=DIRECTION('',(5.33603795305552E-5,0.,-0.999999998576335)); #175877=DIRECTION('',(0.,-1.,0.)); #175878=DIRECTION('',(5.33603795305552E-5,0.,-0.999999998576335)); #175879=DIRECTION('center_axis',(0.,-1.,0.)); #175880=DIRECTION('ref_axis',(-0.0485088847061724,0.,-0.998822751094789)); #175881=DIRECTION('center_axis',(0.,-1.,0.)); #175882=DIRECTION('ref_axis',(-0.0485088847061724,0.,-0.998822751094789)); #175883=DIRECTION('',(0.,-1.,0.)); #175884=DIRECTION('center_axis',(0.,-1.,0.)); #175885=DIRECTION('ref_axis',(-0.0485088847061724,0.,-0.998822751094789)); #175886=DIRECTION('center_axis',(0.,-1.,0.)); #175887=DIRECTION('ref_axis',(-0.183366302657135,0.,-0.983044657708821)); #175888=DIRECTION('center_axis',(0.,-1.,0.)); #175889=DIRECTION('ref_axis',(-0.183366302657135,0.,-0.983044657708821)); #175890=DIRECTION('',(0.,-1.,0.)); #175891=DIRECTION('center_axis',(0.,-1.,0.)); #175892=DIRECTION('ref_axis',(-0.183366302657135,0.,-0.983044657708821)); #175893=DIRECTION('center_axis',(-0.256436028888929,0.,-0.966561205039638)); #175894=DIRECTION('ref_axis',(0.966561205039638,0.,-0.256436028888929)); #175895=DIRECTION('',(0.966561205039638,0.,-0.256436028888929)); #175896=DIRECTION('',(0.,-1.,0.)); #175897=DIRECTION('',(0.966561205039638,0.,-0.256436028888929)); #175898=DIRECTION('center_axis',(-0.348011957358476,0.,-0.937490094633283)); #175899=DIRECTION('ref_axis',(0.937490094633283,0.,-0.348011957358476)); #175900=DIRECTION('',(0.937490094633283,0.,-0.348011957358476)); #175901=DIRECTION('',(0.,-1.,0.)); #175902=DIRECTION('',(0.937490094633283,0.,-0.348011957358476)); #175903=DIRECTION('center_axis',(-0.450924725658064,0.,-0.892561982043936)); #175904=DIRECTION('ref_axis',(0.892561982043936,0.,-0.450924725658064)); #175905=DIRECTION('',(0.892561982043936,0.,-0.450924725658064)); #175906=DIRECTION('',(0.,-1.,0.)); #175907=DIRECTION('',(0.892561982043936,0.,-0.450924725658064)); #175908=DIRECTION('center_axis',(-0.560936540167723,0.,-0.827858803120837)); #175909=DIRECTION('ref_axis',(0.827858803120837,0.,-0.560936540167723)); #175910=DIRECTION('',(0.827858803120837,0.,-0.560936540167723)); #175911=DIRECTION('',(0.,-1.,0.)); #175912=DIRECTION('',(0.827858803120837,0.,-0.560936540167723)); #175913=DIRECTION('center_axis',(-0.661469036785233,0.,-0.749972475077863)); #175914=DIRECTION('ref_axis',(0.749972475077863,0.,-0.661469036785233)); #175915=DIRECTION('',(0.749972475077863,0.,-0.661469036785233)); #175916=DIRECTION('',(0.,-1.,0.)); #175917=DIRECTION('',(0.749972475077863,0.,-0.661469036785233)); #175918=DIRECTION('center_axis',(-0.735209643004009,0.,-0.677839789945912)); #175919=DIRECTION('ref_axis',(0.677839789945912,0.,-0.735209643004009)); #175920=DIRECTION('',(0.677839789945912,0.,-0.735209643004009)); #175921=DIRECTION('',(0.,-1.,0.)); #175922=DIRECTION('',(0.677839789945912,0.,-0.735209643004009)); #175923=DIRECTION('center_axis',(-0.805501802578211,0.,-0.592593322644841)); #175924=DIRECTION('ref_axis',(0.592593322644841,0.,-0.805501802578211)); #175925=DIRECTION('',(0.592593322644841,0.,-0.805501802578211)); #175926=DIRECTION('',(0.,-1.,0.)); #175927=DIRECTION('',(0.592593322644841,0.,-0.805501802578211)); #175928=DIRECTION('center_axis',(-0.867069792471096,0.,-0.498186686879658)); #175929=DIRECTION('ref_axis',(0.498186686879658,0.,-0.867069792471096)); #175930=DIRECTION('',(0.498186686879658,0.,-0.867069792471096)); #175931=DIRECTION('',(0.,-1.,0.)); #175932=DIRECTION('',(0.498186686879658,0.,-0.867069792471096)); #175933=DIRECTION('center_axis',(-0.917758736474444,0.,-0.397138391023623)); #175934=DIRECTION('ref_axis',(0.397138391023623,0.,-0.917758736474444)); #175935=DIRECTION('',(0.397138391023623,0.,-0.917758736474444)); #175936=DIRECTION('',(0.,-1.,0.)); #175937=DIRECTION('',(0.397138391023623,0.,-0.917758736474444)); #175938=DIRECTION('center_axis',(-0.95559367791784,0.,-0.294687500114028)); #175939=DIRECTION('ref_axis',(0.294687500114028,0.,-0.95559367791784)); #175940=DIRECTION('',(0.294687500114028,0.,-0.95559367791784)); #175941=DIRECTION('',(0.,-1.,0.)); #175942=DIRECTION('',(0.294687500114028,0.,-0.95559367791784)); #175943=DIRECTION('center_axis',(-0.98146095271402,0.,-0.191662198405653)); #175944=DIRECTION('ref_axis',(0.191662198405653,0.,-0.98146095271402)); #175945=DIRECTION('',(0.191662198405653,0.,-0.98146095271402)); #175946=DIRECTION('',(0.,-1.,0.)); #175947=DIRECTION('',(0.191662198405653,0.,-0.98146095271402)); #175948=DIRECTION('center_axis',(-0.995592842038536,0.,-0.0937810902134922)); #175949=DIRECTION('ref_axis',(0.0937810902134922,0.,-0.995592842038536)); #175950=DIRECTION('',(0.0937810902134922,0.,-0.995592842038536)); #175951=DIRECTION('',(0.,-1.,0.)); #175952=DIRECTION('',(0.0937810902134922,0.,-0.995592842038536)); #175953=DIRECTION('center_axis',(-0.999999643616183,0.,-0.000844255593106646)); #175954=DIRECTION('ref_axis',(0.000844255593106646,0.,-0.999999643616183)); #175955=DIRECTION('',(0.000844255593106646,0.,-0.999999643616183)); #175956=DIRECTION('',(0.,-1.,0.)); #175957=DIRECTION('',(0.000844255593106646,0.,-0.999999643616183)); #175958=DIRECTION('center_axis',(-0.995456594785107,0.,0.0952164266229277)); #175959=DIRECTION('ref_axis',(-0.0952164266229277,0.,-0.995456594785107)); #175960=DIRECTION('',(-0.0952164266229277,0.,-0.995456594785107)); #175961=DIRECTION('',(0.,-1.,0.)); #175962=DIRECTION('',(-0.0952164266229277,0.,-0.995456594785107)); #175963=DIRECTION('center_axis',(-0.980442808918849,0.,0.196804213469423)); #175964=DIRECTION('ref_axis',(-0.196804213469423,0.,-0.980442808918849)); #175965=DIRECTION('',(-0.196804213469423,0.,-0.980442808918849)); #175966=DIRECTION('',(0.,-1.,0.)); #175967=DIRECTION('',(-0.196804213469423,0.,-0.980442808918849)); #175968=DIRECTION('center_axis',(-0.954008621534794,0.,0.299779168784761)); #175969=DIRECTION('ref_axis',(-0.299779168784761,0.,-0.954008621534794)); #175970=DIRECTION('',(-0.299779168784761,0.,-0.954008621534794)); #175971=DIRECTION('',(0.,-1.,0.)); #175972=DIRECTION('',(-0.299779168784761,0.,-0.954008621534794)); #175973=DIRECTION('center_axis',(-0.91616975752671,0.,0.400790438251026)); #175974=DIRECTION('ref_axis',(-0.400790438251026,0.,-0.91616975752671)); #175975=DIRECTION('',(-0.400790438251026,0.,-0.91616975752671)); #175976=DIRECTION('',(0.,-1.,0.)); #175977=DIRECTION('',(-0.400790438251026,0.,-0.91616975752671)); #175978=DIRECTION('center_axis',(-0.868534020460603,0.,0.495629554508748)); #175979=DIRECTION('ref_axis',(-0.495629554508748,0.,-0.868534020460603)); #175980=DIRECTION('',(-0.495629554508748,0.,-0.868534020460603)); #175981=DIRECTION('',(0.,-1.,0.)); #175982=DIRECTION('',(-0.495629554508748,0.,-0.868534020460603)); #175983=DIRECTION('center_axis',(-0.813717922886667,0.,0.581259960751649)); #175984=DIRECTION('ref_axis',(-0.581259960751649,0.,-0.813717922886667)); #175985=DIRECTION('',(-0.581259960751649,0.,-0.813717922886667)); #175986=DIRECTION('',(0.,-1.,0.)); #175987=DIRECTION('',(-0.581259960751649,0.,-0.813717922886667)); #175988=DIRECTION('center_axis',(-0.753799476635092,0.,0.657104519102298)); #175989=DIRECTION('ref_axis',(-0.657104519102298,0.,-0.753799476635092)); #175990=DIRECTION('',(-0.657104519102298,0.,-0.753799476635092)); #175991=DIRECTION('',(0.,-1.,0.)); #175992=DIRECTION('',(-0.657104519102298,0.,-0.753799476635092)); #175993=DIRECTION('center_axis',(-0.677557547694915,0.,0.735469761147019)); #175994=DIRECTION('ref_axis',(-0.735469761147019,0.,-0.677557547694915)); #175995=DIRECTION('',(-0.735469761147019,0.,-0.677557547694915)); #175996=DIRECTION('',(0.,-1.,0.)); #175997=DIRECTION('',(-0.735469761147019,0.,-0.677557547694915)); #175998=DIRECTION('center_axis',(-0.56693242622609,0.,0.823764301298253)); #175999=DIRECTION('ref_axis',(-0.823764301298253,0.,-0.56693242622609)); #176000=DIRECTION('',(-0.823764301298253,0.,-0.56693242622609)); #176001=DIRECTION('',(0.,-1.,0.)); #176002=DIRECTION('',(-0.823764301298253,0.,-0.56693242622609)); #176003=DIRECTION('center_axis',(-0.460162029273551,0.,0.887834954715598)); #176004=DIRECTION('ref_axis',(-0.887834954715598,0.,-0.460162029273551)); #176005=DIRECTION('',(-0.887834954715598,0.,-0.460162029273551)); #176006=DIRECTION('',(0.,-1.,0.)); #176007=DIRECTION('',(-0.887834954715598,0.,-0.460162029273551)); #176008=DIRECTION('center_axis',(-0.359415021446581,0.,0.933177819259842)); #176009=DIRECTION('ref_axis',(-0.933177819259842,0.,-0.359415021446581)); #176010=DIRECTION('',(-0.933177819259842,0.,-0.359415021446581)); #176011=DIRECTION('',(0.,-1.,0.)); #176012=DIRECTION('',(-0.933177819259842,0.,-0.359415021446581)); #176013=DIRECTION('center_axis',(-0.267221429769822,0.,0.963635152675416)); #176014=DIRECTION('ref_axis',(-0.963635152675416,0.,-0.267221429769822)); #176015=DIRECTION('',(-0.963635152675416,0.,-0.267221429769822)); #176016=DIRECTION('',(0.,-1.,0.)); #176017=DIRECTION('',(-0.963635152675416,0.,-0.267221429769822)); #176018=DIRECTION('center_axis',(-0.185362563261626,0.,0.98267019907041)); #176019=DIRECTION('ref_axis',(-0.98267019907041,0.,-0.185362563261626)); #176020=DIRECTION('',(-0.98267019907041,0.,-0.185362563261626)); #176021=DIRECTION('',(0.,-1.,0.)); #176022=DIRECTION('',(-0.98267019907041,0.,-0.185362563261626)); #176023=DIRECTION('center_axis',(-0.113184032463682,0.,0.993574040922598)); #176024=DIRECTION('ref_axis',(-0.993574040922598,0.,-0.113184032463682)); #176025=DIRECTION('',(-0.993574040922598,0.,-0.113184032463682)); #176026=DIRECTION('',(0.,-1.,0.)); #176027=DIRECTION('',(-0.993574040922598,0.,-0.113184032463682)); #176028=DIRECTION('center_axis',(0.,-1.,0.)); #176029=DIRECTION('ref_axis',(0.0500726311117789,0.,0.998745579020775)); #176030=DIRECTION('center_axis',(0.,-1.,0.)); #176031=DIRECTION('ref_axis',(0.0500726311117789,0.,0.998745579020775)); #176032=DIRECTION('',(0.,-1.,0.)); #176033=DIRECTION('center_axis',(0.,-1.,0.)); #176034=DIRECTION('ref_axis',(0.0500726311117789,0.,0.998745579020775)); #176035=DIRECTION('center_axis',(0.363345276492287,0.,0.931654555106529)); #176036=DIRECTION('ref_axis',(-0.931654555106529,0.,0.363345276492287)); #176037=DIRECTION('',(-0.931654555106529,0.,0.363345276492287)); #176038=DIRECTION('',(0.,-1.,0.)); #176039=DIRECTION('',(-0.931654555106528,0.,0.363345276492287)); #176040=DIRECTION('center_axis',(0.,-1.,0.)); #176041=DIRECTION('ref_axis',(0.997988896414404,0.,-0.0633889788019909)); #176042=DIRECTION('center_axis',(0.,-1.,0.)); #176043=DIRECTION('ref_axis',(0.997988896414404,0.,-0.0633889788019909)); #176044=DIRECTION('',(0.,-1.,0.)); #176045=DIRECTION('center_axis',(0.,-1.,0.)); #176046=DIRECTION('ref_axis',(0.997988896414404,0.,-0.0633889788019909)); #176047=DIRECTION('center_axis',(0.,-1.,0.)); #176048=DIRECTION('ref_axis',(0.975801160967134,0.,-0.218659768259259)); #176049=DIRECTION('center_axis',(0.,-1.,0.)); #176050=DIRECTION('ref_axis',(0.975801160967134,0.,-0.218659768259259)); #176051=DIRECTION('',(0.,-1.,0.)); #176052=DIRECTION('center_axis',(0.,-1.,0.)); #176053=DIRECTION('ref_axis',(0.975801160967134,0.,-0.218659768259259)); #176054=DIRECTION('center_axis',(0.,-1.,0.)); #176055=DIRECTION('ref_axis',(0.922707747260405,0.,-0.385500211602574)); #176056=DIRECTION('center_axis',(0.,-1.,0.)); #176057=DIRECTION('ref_axis',(0.922707747260405,0.,-0.385500211602574)); #176058=DIRECTION('',(0.,-1.,0.)); #176059=DIRECTION('center_axis',(0.,-1.,0.)); #176060=DIRECTION('ref_axis',(0.922707747260405,0.,-0.385500211602574)); #176061=DIRECTION('center_axis',(0.877377180305492,0.,-0.479801295828996)); #176062=DIRECTION('ref_axis',(0.479801295828996,0.,0.877377180305492)); #176063=DIRECTION('',(0.479801295828996,0.,0.877377180305492)); #176064=DIRECTION('',(0.,-1.,0.)); #176065=DIRECTION('',(0.479801295828996,0.,0.877377180305492)); #176066=DIRECTION('center_axis',(0.80780310280556,0.,-0.589452412920425)); #176067=DIRECTION('ref_axis',(0.589452412920424,0.,0.807803102805559)); #176068=DIRECTION('',(0.589452412920424,0.,0.807803102805559)); #176069=DIRECTION('',(0.,-1.,0.)); #176070=DIRECTION('',(0.589452412920424,0.,0.807803102805559)); #176071=DIRECTION('center_axis',(0.734192723915138,0.,-0.678941119796164)); #176072=DIRECTION('ref_axis',(0.678941119796164,0.,0.734192723915138)); #176073=DIRECTION('',(0.678941119796164,0.,0.734192723915138)); #176074=DIRECTION('',(0.,-1.,0.)); #176075=DIRECTION('',(0.678941119796164,0.,0.734192723915138)); #176076=DIRECTION('center_axis',(0.658257607342713,0.,-0.752792748620393)); #176077=DIRECTION('ref_axis',(0.752792748620393,0.,0.658257607342713)); #176078=DIRECTION('',(0.752792748620393,0.,0.658257607342713)); #176079=DIRECTION('',(0.,-1.,0.)); #176080=DIRECTION('',(0.752792748620393,0.,0.658257607342713)); #176081=DIRECTION('center_axis',(0.568772799877825,0.,-0.822494682122104)); #176082=DIRECTION('ref_axis',(0.822494682122104,0.,0.568772799877825)); #176083=DIRECTION('',(0.822494682122104,0.,0.568772799877825)); #176084=DIRECTION('',(0.,-1.,0.)); #176085=DIRECTION('',(0.822494682122104,0.,0.568772799877825)); #176086=DIRECTION('center_axis',(0.470910397363531,0.,-0.882181045848822)); #176087=DIRECTION('ref_axis',(0.882181045848822,0.,0.470910397363531)); #176088=DIRECTION('',(0.882181045848822,0.,0.470910397363531)); #176089=DIRECTION('',(0.,-1.,0.)); #176090=DIRECTION('',(0.882181045848822,0.,0.470910397363531)); #176091=DIRECTION('center_axis',(0.368859778125661,0.,-0.929485053177881)); #176092=DIRECTION('ref_axis',(0.929485053177881,0.,0.368859778125661)); #176093=DIRECTION('',(0.929485053177881,0.,0.368859778125661)); #176094=DIRECTION('',(0.,-1.,0.)); #176095=DIRECTION('',(0.929485053177881,0.,0.368859778125661)); #176096=DIRECTION('center_axis',(0.,-1.,0.)); #176097=DIRECTION('ref_axis',(0.157972617611689,0.,-0.987443493109814)); #176098=DIRECTION('center_axis',(0.,-1.,0.)); #176099=DIRECTION('ref_axis',(0.157972617611689,0.,-0.987443493109814)); #176100=DIRECTION('',(0.,-1.,0.)); #176101=DIRECTION('center_axis',(0.,-1.,0.)); #176102=DIRECTION('ref_axis',(0.157972617611689,0.,-0.987443493109814)); #176103=DIRECTION('center_axis',(0.0666788031375346,0.,-0.997774492163508)); #176104=DIRECTION('ref_axis',(0.997774492163508,0.,0.0666788031375346)); #176105=DIRECTION('',(0.997774492163508,0.,0.0666788031375346)); #176106=DIRECTION('',(0.,-1.,0.)); #176107=DIRECTION('',(0.997774492163508,0.,0.0666788031375346)); #176108=DIRECTION('center_axis',(-0.0244398974828424,0.,-0.999701301094996)); #176109=DIRECTION('ref_axis',(0.999701301094996,0.,-0.0244398974828424)); #176110=DIRECTION('',(0.999701301094996,0.,-0.0244398974828424)); #176111=DIRECTION('',(0.,-1.,0.)); #176112=DIRECTION('',(0.999701301094996,0.,-0.0244398974828424)); #176113=DIRECTION('center_axis',(-0.117888289943837,0.,-0.993026863228844)); #176114=DIRECTION('ref_axis',(0.993026863228844,0.,-0.117888289943837)); #176115=DIRECTION('',(0.993026863228844,0.,-0.117888289943837)); #176116=DIRECTION('',(0.,-1.,0.)); #176117=DIRECTION('',(0.993026863228844,0.,-0.117888289943837)); #176118=DIRECTION('center_axis',(-0.217887190827055,0.,-0.975973960755867)); #176119=DIRECTION('ref_axis',(0.975973960755867,0.,-0.217887190827055)); #176120=DIRECTION('',(0.975973960755867,0.,-0.217887190827055)); #176121=DIRECTION('',(0.,-1.,0.)); #176122=DIRECTION('',(0.975973960755867,0.,-0.217887190827055)); #176123=DIRECTION('center_axis',(-0.321151069637588,0.,-0.947027977659917)); #176124=DIRECTION('ref_axis',(0.947027977659917,0.,-0.321151069637588)); #176125=DIRECTION('',(0.947027977659917,0.,-0.321151069637588)); #176126=DIRECTION('',(0.,-1.,0.)); #176127=DIRECTION('',(0.947027977659917,0.,-0.321151069637588)); #176128=DIRECTION('center_axis',(-0.423064026429637,0.,-0.906099790056892)); #176129=DIRECTION('ref_axis',(0.906099790056892,0.,-0.423064026429637)); #176130=DIRECTION('',(0.906099790056892,0.,-0.423064026429637)); #176131=DIRECTION('',(0.,-1.,0.)); #176132=DIRECTION('',(0.906099790056892,0.,-0.423064026429637)); #176133=DIRECTION('center_axis',(-0.52320185106102,0.,-0.852208790758651)); #176134=DIRECTION('ref_axis',(0.852208790758651,0.,-0.52320185106102)); #176135=DIRECTION('',(0.852208790758651,0.,-0.52320185106102)); #176136=DIRECTION('',(0.,-1.,0.)); #176137=DIRECTION('',(0.852208790758651,0.,-0.52320185106102)); #176138=DIRECTION('center_axis',(-0.615185371310592,0.,-0.788382495319023)); #176139=DIRECTION('ref_axis',(0.788382495319023,0.,-0.615185371310592)); #176140=DIRECTION('',(0.788382495319023,0.,-0.615185371310592)); #176141=DIRECTION('',(0.,-1.,0.)); #176142=DIRECTION('',(0.788382495319023,0.,-0.615185371310592)); #176143=DIRECTION('center_axis',(-0.698003150518553,0.,-0.716094687779608)); #176144=DIRECTION('ref_axis',(0.716094687779608,0.,-0.698003150518553)); #176145=DIRECTION('',(0.716094687779608,0.,-0.698003150518553)); #176146=DIRECTION('',(0.,-1.,0.)); #176147=DIRECTION('',(0.716094687779608,0.,-0.698003150518553)); #176148=DIRECTION('center_axis',(-0.770801721648889,0.,-0.637075117943801)); #176149=DIRECTION('ref_axis',(0.637075117943801,0.,-0.770801721648889)); #176150=DIRECTION('',(0.637075117943801,0.,-0.770801721648889)); #176151=DIRECTION('',(0.,-1.,0.)); #176152=DIRECTION('',(0.637075117943801,0.,-0.770801721648889)); #176153=DIRECTION('center_axis',(-0.847604122645395,0.,-0.530629108958913)); #176154=DIRECTION('ref_axis',(0.530629108958913,0.,-0.847604122645395)); #176155=DIRECTION('',(0.530629108958913,0.,-0.847604122645395)); #176156=DIRECTION('',(0.,-1.,0.)); #176157=DIRECTION('',(0.530629108958913,0.,-0.847604122645395)); #176158=DIRECTION('center_axis',(-0.905870337896021,0.,-0.423555109661244)); #176159=DIRECTION('ref_axis',(0.423555109661244,0.,-0.905870337896021)); #176160=DIRECTION('',(0.423555109661244,0.,-0.905870337896021)); #176161=DIRECTION('',(0.,-1.,0.)); #176162=DIRECTION('',(0.423555109661244,0.,-0.905870337896021)); #176163=DIRECTION('center_axis',(-0.946069174818216,0.,-0.323964683968454)); #176164=DIRECTION('ref_axis',(0.323964683968454,0.,-0.946069174818216)); #176165=DIRECTION('',(0.323964683968454,0.,-0.946069174818216)); #176166=DIRECTION('',(0.,-1.,0.)); #176167=DIRECTION('',(0.323964683968454,0.,-0.946069174818216)); #176168=DIRECTION('center_axis',(-0.972069811450855,0.,-0.234691886663981)); #176169=DIRECTION('ref_axis',(0.234691886663981,0.,-0.972069811450855)); #176170=DIRECTION('',(0.234691886663981,0.,-0.972069811450855)); #176171=DIRECTION('',(0.,-1.,0.)); #176172=DIRECTION('',(0.234691886663981,0.,-0.972069811450855)); #176173=DIRECTION('center_axis',(0.,-1.,0.)); #176174=DIRECTION('ref_axis',(-0.999982341806266,0.,0.00594273301237385)); #176175=DIRECTION('center_axis',(0.,-1.,0.)); #176176=DIRECTION('ref_axis',(-0.999982341806266,0.,0.00594273301237385)); #176177=DIRECTION('',(0.,-1.,0.)); #176178=DIRECTION('center_axis',(0.,-1.,0.)); #176179=DIRECTION('ref_axis',(-0.999982341806266,0.,0.00594273301237385)); #176180=DIRECTION('center_axis',(-0.999999999931905,0.,-1.1670036711317E-5)); #176181=DIRECTION('ref_axis',(1.1670036711317E-5,0.,-0.999999999931905)); #176182=DIRECTION('',(1.1670036711317E-5,0.,-0.999999999931905)); #176183=DIRECTION('',(0.,-1.,0.)); #176184=DIRECTION('',(1.1670036711317E-5,0.,-0.999999999931905)); #176185=DIRECTION('center_axis',(-0.000154508032573805,0.,-0.999999988063634)); #176186=DIRECTION('ref_axis',(0.999999988063634,0.,-0.000154508032573805)); #176187=DIRECTION('',(0.999999988063634,0.,-0.000154508032573805)); #176188=DIRECTION('',(0.,-1.,0.)); #176189=DIRECTION('',(0.999999988063634,0.,-0.000154508032573805)); #176190=DIRECTION('center_axis',(0.999999990378128,0.,-0.000138721823815301)); #176191=DIRECTION('ref_axis',(0.000138721823815301,0.,0.999999990378128)); #176192=DIRECTION('',(0.000138721823815301,0.,0.999999990378128)); #176193=DIRECTION('',(0.,-1.,0.)); #176194=DIRECTION('',(0.000138721823815301,0.,0.999999990378128)); #176195=DIRECTION('center_axis',(0.,-1.,0.)); #176196=DIRECTION('ref_axis',(0.00024576600168742,0.,-0.999999969799536)); #176197=DIRECTION('center_axis',(0.,1.,0.)); #176198=DIRECTION('ref_axis',(0.00024576600168742,0.,-0.999999969799536)); #176199=DIRECTION('',(0.,-1.,0.)); #176200=DIRECTION('center_axis',(0.,1.,0.)); #176201=DIRECTION('ref_axis',(0.00024576600168742,0.,-0.999999969799536)); #176202=DIRECTION('center_axis',(0.,-1.,0.)); #176203=DIRECTION('ref_axis',(-0.998181792110902,0.,0.0602752843068128)); #176204=DIRECTION('center_axis',(0.,-1.,0.)); #176205=DIRECTION('ref_axis',(-0.998181792110902,0.,0.0602752843068128)); #176206=DIRECTION('',(0.,-1.,0.)); #176207=DIRECTION('center_axis',(0.,-1.,0.)); #176208=DIRECTION('ref_axis',(-0.998181792110902,0.,0.0602752843068128)); #176209=DIRECTION('center_axis',(-0.985977879791647,0.,0.166876063476967)); #176210=DIRECTION('ref_axis',(-0.166876063476967,0.,-0.985977879791647)); #176211=DIRECTION('',(-0.166876063476967,0.,-0.985977879791647)); #176212=DIRECTION('',(0.,-1.,0.)); #176213=DIRECTION('',(-0.166876063476967,0.,-0.985977879791647)); #176214=DIRECTION('center_axis',(-0.969052606712401,0.,0.246854299990706)); #176215=DIRECTION('ref_axis',(-0.246854299990706,0.,-0.969052606712401)); #176216=DIRECTION('',(-0.246854299990706,0.,-0.969052606712401)); #176217=DIRECTION('',(0.,-1.,0.)); #176218=DIRECTION('',(-0.246854299990706,0.,-0.969052606712401)); #176219=DIRECTION('center_axis',(-0.941879045492411,0.,0.33595217466524)); #176220=DIRECTION('ref_axis',(-0.33595217466524,0.,-0.941879045492411)); #176221=DIRECTION('',(-0.33595217466524,0.,-0.941879045492411)); #176222=DIRECTION('',(0.,-1.,0.)); #176223=DIRECTION('',(-0.33595217466524,0.,-0.941879045492411)); #176224=DIRECTION('center_axis',(-0.900856547614847,0.,0.434116897412966)); #176225=DIRECTION('ref_axis',(-0.434116897412966,0.,-0.900856547614846)); #176226=DIRECTION('',(-0.434116897412966,0.,-0.900856547614846)); #176227=DIRECTION('',(0.,-1.,0.)); #176228=DIRECTION('',(-0.434116897412966,0.,-0.900856547614846)); #176229=DIRECTION('center_axis',(-0.842823411384866,0.,0.538190205430735)); #176230=DIRECTION('ref_axis',(-0.538190205430735,0.,-0.842823411384866)); #176231=DIRECTION('',(-0.538190205430735,0.,-0.842823411384866)); #176232=DIRECTION('',(0.,-1.,0.)); #176233=DIRECTION('',(-0.538190205430735,0.,-0.842823411384866)); #176234=DIRECTION('center_axis',(0.,-1.,0.)); #176235=DIRECTION('ref_axis',(-0.685990749352964,0.,0.727610260924184)); #176236=DIRECTION('center_axis',(0.,-1.,0.)); #176237=DIRECTION('ref_axis',(-0.685990749352964,0.,0.727610260924184)); #176238=DIRECTION('',(0.,-1.,0.)); #176239=DIRECTION('center_axis',(0.,-1.,0.)); #176240=DIRECTION('ref_axis',(-0.685990749352964,0.,0.727610260924184)); #176241=DIRECTION('center_axis',(-0.608049715257796,0.,0.793898950607011)); #176242=DIRECTION('ref_axis',(-0.793898950607011,0.,-0.608049715257796)); #176243=DIRECTION('',(-0.793898950607011,0.,-0.608049715257796)); #176244=DIRECTION('',(0.,-1.,0.)); #176245=DIRECTION('',(-0.793898950607011,0.,-0.608049715257796)); #176246=DIRECTION('center_axis',(-0.51914081524112,0.,0.854688723425543)); #176247=DIRECTION('ref_axis',(-0.854688723425543,0.,-0.51914081524112)); #176248=DIRECTION('',(-0.854688723425543,0.,-0.51914081524112)); #176249=DIRECTION('',(0.,-1.,0.)); #176250=DIRECTION('',(-0.854688723425543,0.,-0.51914081524112)); #176251=DIRECTION('center_axis',(-0.422713588362549,0.,0.906263329399164)); #176252=DIRECTION('ref_axis',(-0.906263329399164,0.,-0.422713588362549)); #176253=DIRECTION('',(-0.906263329399164,0.,-0.422713588362549)); #176254=DIRECTION('',(0.,-1.,0.)); #176255=DIRECTION('',(-0.906263329399164,0.,-0.422713588362549)); #176256=DIRECTION('center_axis',(-0.322158494511952,0.,0.946685747444099)); #176257=DIRECTION('ref_axis',(-0.946685747444099,0.,-0.322158494511952)); #176258=DIRECTION('',(-0.946685747444099,0.,-0.322158494511952)); #176259=DIRECTION('',(-0.946685747444099,0.,-0.322158494511952)); #176260=DIRECTION('center_axis',(0.,1.,0.)); #176261=DIRECTION('ref_axis',(1.,0.,0.)); #176262=DIRECTION('center_axis',(0.,-1.,0.)); #176263=DIRECTION('ref_axis',(-0.993364725077839,0.,-0.115006621422548)); #176264=DIRECTION('center_axis',(0.,-1.,0.)); #176265=DIRECTION('ref_axis',(-0.993364725077839,0.,-0.115006621422548)); #176266=DIRECTION('',(0.,-1.,0.)); #176267=DIRECTION('center_axis',(0.,-1.,0.)); #176268=DIRECTION('ref_axis',(-0.993364725077839,0.,-0.115006621422548)); #176269=DIRECTION('',(0.,-1.,0.)); #176270=DIRECTION('center_axis',(0.,-1.,0.)); #176271=DIRECTION('ref_axis',(-0.288403122153719,0.,0.95750908044362)); #176272=DIRECTION('center_axis',(0.,-1.,0.)); #176273=DIRECTION('ref_axis',(-0.288403122153719,0.,0.95750908044362)); #176274=DIRECTION('',(0.,-1.,0.)); #176275=DIRECTION('center_axis',(0.,-1.,0.)); #176276=DIRECTION('ref_axis',(-0.288403122153719,0.,0.95750908044362)); #176277=DIRECTION('center_axis',(0.,-1.,0.)); #176278=DIRECTION('ref_axis',(0.595740993052759,0.,0.80317661146009)); #176279=DIRECTION('center_axis',(0.,-1.,0.)); #176280=DIRECTION('ref_axis',(0.595740993052759,0.,0.80317661146009)); #176281=DIRECTION('',(0.,-1.,0.)); #176282=DIRECTION('center_axis',(0.,-1.,0.)); #176283=DIRECTION('ref_axis',(0.595740993052759,0.,0.80317661146009)); #176284=DIRECTION('center_axis',(0.,-1.,0.)); #176285=DIRECTION('ref_axis',(0.995828219199352,0.,0.0912477826922209)); #176286=DIRECTION('center_axis',(0.,-1.,0.)); #176287=DIRECTION('ref_axis',(0.995828219199352,0.,0.0912477826922209)); #176288=DIRECTION('',(0.,-1.,0.)); #176289=DIRECTION('center_axis',(0.,-1.,0.)); #176290=DIRECTION('ref_axis',(0.995828219199352,0.,0.0912477826922209)); #176291=DIRECTION('center_axis',(0.968216887237337,0.,0.250112093407022)); #176292=DIRECTION('ref_axis',(-0.250112093407022,0.,0.968216887237337)); #176293=DIRECTION('',(-0.250112093407022,0.,0.968216887237337)); #176294=DIRECTION('',(0.,-1.,0.)); #176295=DIRECTION('',(-0.250112093407022,0.,0.968216887237337)); #176296=DIRECTION('center_axis',(0.,-1.,0.)); #176297=DIRECTION('ref_axis',(-0.980274631635174,0.,-0.19764019472902)); #176298=DIRECTION('center_axis',(0.,1.,0.)); #176299=DIRECTION('ref_axis',(-0.980274631635174,0.,-0.19764019472902)); #176300=DIRECTION('',(0.,-1.,0.)); #176301=DIRECTION('center_axis',(0.,1.,0.)); #176302=DIRECTION('ref_axis',(-0.980274631635174,0.,-0.19764019472902)); #176303=DIRECTION('center_axis',(0.,-1.,0.)); #176304=DIRECTION('ref_axis',(-0.179892547372208,0.,-0.983686266753754)); #176305=DIRECTION('center_axis',(0.,1.,0.)); #176306=DIRECTION('ref_axis',(-0.179892547372208,0.,-0.983686266753754)); #176307=DIRECTION('',(0.,-1.,0.)); #176308=DIRECTION('center_axis',(0.,1.,0.)); #176309=DIRECTION('ref_axis',(-0.179892547372208,0.,-0.983686266753754)); #176310=DIRECTION('center_axis',(0.,-1.,0.)); #176311=DIRECTION('ref_axis',(0.773191170200807,0.,-0.634173016079608)); #176312=DIRECTION('center_axis',(0.,1.,0.)); #176313=DIRECTION('ref_axis',(0.773191170200807,0.,-0.634173016079608)); #176314=DIRECTION('',(0.,-1.,0.)); #176315=DIRECTION('center_axis',(0.,1.,0.)); #176316=DIRECTION('ref_axis',(0.773191170200807,0.,-0.634173016079608)); #176317=DIRECTION('center_axis',(0.,-1.,0.)); #176318=DIRECTION('ref_axis',(0.979892802673736,0.,0.199524673331924)); #176319=DIRECTION('center_axis',(0.,1.,0.)); #176320=DIRECTION('ref_axis',(0.979892802673736,0.,0.199524673331924)); #176321=DIRECTION('',(0.,-1.,0.)); #176322=DIRECTION('center_axis',(0.,1.,0.)); #176323=DIRECTION('ref_axis',(0.979892802673736,0.,0.199524673331924)); #176324=DIRECTION('center_axis',(0.,-1.,0.)); #176325=DIRECTION('ref_axis',(0.303256715760256,0.,0.952908896141862)); #176326=DIRECTION('center_axis',(0.,1.,0.)); #176327=DIRECTION('ref_axis',(0.303256715760256,0.,0.952908896141862)); #176328=DIRECTION('',(0.,-1.,0.)); #176329=DIRECTION('center_axis',(0.,1.,0.)); #176330=DIRECTION('ref_axis',(0.303256715760256,0.,0.952908896141862)); #176331=DIRECTION('center_axis',(-0.140624674035364,0.,-0.990062978326353)); #176332=DIRECTION('ref_axis',(0.990062978326353,0.,-0.140624674035364)); #176333=DIRECTION('',(0.990062978326353,0.,-0.140624674035364)); #176334=DIRECTION('',(0.,-1.,0.)); #176335=DIRECTION('',(0.990062978326353,0.,-0.140624674035364)); #176336=DIRECTION('center_axis',(0.,-1.,0.)); #176337=DIRECTION('ref_axis',(-0.176122988853123,0.,-0.984368169333732)); #176338=DIRECTION('center_axis',(0.,-1.,0.)); #176339=DIRECTION('ref_axis',(-0.176122988853123,0.,-0.984368169333732)); #176340=DIRECTION('',(0.,-1.,0.)); #176341=DIRECTION('center_axis',(0.,-1.,0.)); #176342=DIRECTION('ref_axis',(-0.176122988853123,0.,-0.984368169333732)); #176343=DIRECTION('center_axis',(-0.232140382433726,0.,-0.97268229286007)); #176344=DIRECTION('ref_axis',(0.97268229286007,0.,-0.232140382433726)); #176345=DIRECTION('',(0.97268229286007,0.,-0.232140382433726)); #176346=DIRECTION('',(0.,-1.,0.)); #176347=DIRECTION('',(0.97268229286007,0.,-0.232140382433726)); #176348=DIRECTION('center_axis',(-0.314589261544805,0.,-0.949227894933927)); #176349=DIRECTION('ref_axis',(0.949227894933927,0.,-0.314589261544805)); #176350=DIRECTION('',(0.949227894933927,0.,-0.314589261544805)); #176351=DIRECTION('',(0.,-1.,0.)); #176352=DIRECTION('',(0.949227894933927,0.,-0.314589261544805)); #176353=DIRECTION('center_axis',(-0.407126583577573,0.,-0.91337174520808)); #176354=DIRECTION('ref_axis',(0.91337174520808,0.,-0.407126583577573)); #176355=DIRECTION('',(0.91337174520808,0.,-0.407126583577573)); #176356=DIRECTION('',(0.,-1.,0.)); #176357=DIRECTION('',(0.91337174520808,0.,-0.407126583577573)); #176358=DIRECTION('center_axis',(-0.507149960565485,0.,-0.861857829052116)); #176359=DIRECTION('ref_axis',(0.861857829052116,0.,-0.507149960565485)); #176360=DIRECTION('',(0.861857829052116,0.,-0.507149960565485)); #176361=DIRECTION('',(0.,-1.,0.)); #176362=DIRECTION('',(0.861857829052116,0.,-0.507149960565485)); #176363=DIRECTION('center_axis',(-0.610894452569318,0.,-0.791712048550503)); #176364=DIRECTION('ref_axis',(0.791712048550503,0.,-0.610894452569318)); #176365=DIRECTION('',(0.791712048550503,0.,-0.610894452569318)); #176366=DIRECTION('',(0.,-1.,0.)); #176367=DIRECTION('',(0.791712048550503,0.,-0.610894452569318)); #176368=DIRECTION('center_axis',(-0.699505912289872,0.,-0.714626810770148)); #176369=DIRECTION('ref_axis',(0.714626810770148,0.,-0.699505912289872)); #176370=DIRECTION('',(0.714626810770148,0.,-0.699505912289872)); #176371=DIRECTION('',(0.,-1.,0.)); #176372=DIRECTION('',(0.714626810770148,0.,-0.699505912289872)); #176373=DIRECTION('center_axis',(-0.767266995942263,0.,-0.641327807706586)); #176374=DIRECTION('ref_axis',(0.641327807706586,0.,-0.767266995942263)); #176375=DIRECTION('',(0.641327807706586,0.,-0.767266995942263)); #176376=DIRECTION('',(0.,-1.,0.)); #176377=DIRECTION('',(0.641327807706586,0.,-0.767266995942263)); #176378=DIRECTION('center_axis',(-0.831862296720938,0.,-0.554982089165196)); #176379=DIRECTION('ref_axis',(0.554982089165196,0.,-0.831862296720938)); #176380=DIRECTION('',(0.554982089165196,0.,-0.831862296720938)); #176381=DIRECTION('',(0.,-1.,0.)); #176382=DIRECTION('',(0.554982089165196,0.,-0.831862296720938)); #176383=DIRECTION('center_axis',(-0.886829863185415,0.,-0.46209608715346)); #176384=DIRECTION('ref_axis',(0.46209608715346,0.,-0.886829863185415)); #176385=DIRECTION('',(0.46209608715346,0.,-0.886829863185415)); #176386=DIRECTION('',(0.,-1.,0.)); #176387=DIRECTION('',(0.46209608715346,0.,-0.886829863185415)); #176388=DIRECTION('center_axis',(-0.93097287626303,0.,-0.365088350488674)); #176389=DIRECTION('ref_axis',(0.365088350488674,0.,-0.93097287626303)); #176390=DIRECTION('',(0.365088350488674,0.,-0.93097287626303)); #176391=DIRECTION('',(0.,-1.,0.)); #176392=DIRECTION('',(0.365088350488674,0.,-0.93097287626303)); #176393=DIRECTION('center_axis',(-0.963818638656235,0.,-0.266558871131391)); #176394=DIRECTION('ref_axis',(0.26655887113139,0.,-0.963818638656235)); #176395=DIRECTION('',(0.26655887113139,0.,-0.963818638656235)); #176396=DIRECTION('',(0.,-1.,0.)); #176397=DIRECTION('',(0.26655887113139,0.,-0.963818638656235)); #176398=DIRECTION('center_axis',(-0.9855387202377,0.,-0.169450378908509)); #176399=DIRECTION('ref_axis',(0.169450378908509,0.,-0.9855387202377)); #176400=DIRECTION('',(0.169450378908509,0.,-0.9855387202377)); #176401=DIRECTION('',(0.,-1.,0.)); #176402=DIRECTION('',(0.169450378908509,0.,-0.9855387202377)); #176403=DIRECTION('center_axis',(-0.997097098676268,0.,-0.0761405004670233)); #176404=DIRECTION('ref_axis',(0.0761405004670233,0.,-0.997097098676268)); #176405=DIRECTION('',(0.0761405004670233,0.,-0.997097098676268)); #176406=DIRECTION('',(0.,-1.,0.)); #176407=DIRECTION('',(0.0761405004670233,0.,-0.997097098676268)); #176408=DIRECTION('center_axis',(-0.999913562257592,0.,0.0131479281003813)); #176409=DIRECTION('ref_axis',(-0.0131479281003813,0.,-0.999913562257592)); #176410=DIRECTION('',(-0.0131479281003813,0.,-0.999913562257592)); #176411=DIRECTION('',(0.,-1.,0.)); #176412=DIRECTION('',(-0.0131479281003813,0.,-0.999913562257592)); #176413=DIRECTION('center_axis',(-0.994444896295505,0.,0.105258482944709)); #176414=DIRECTION('ref_axis',(-0.105258482944709,0.,-0.994444896295505)); #176415=DIRECTION('',(-0.105258482944709,0.,-0.994444896295505)); #176416=DIRECTION('',(0.,-1.,0.)); #176417=DIRECTION('',(-0.105258482944709,0.,-0.994444896295505)); #176418=DIRECTION('center_axis',(-0.978861088776569,0.,0.204526205849397)); #176419=DIRECTION('ref_axis',(-0.204526205849397,0.,-0.978861088776569)); #176420=DIRECTION('',(-0.204526205849397,0.,-0.978861088776569)); #176421=DIRECTION('',(0.,-1.,0.)); #176422=DIRECTION('',(-0.204526205849397,0.,-0.978861088776569)); #176423=DIRECTION('center_axis',(-0.951598220468624,0.,0.307344801161411)); #176424=DIRECTION('ref_axis',(-0.307344801161411,0.,-0.951598220468624)); #176425=DIRECTION('',(-0.307344801161411,0.,-0.951598220468624)); #176426=DIRECTION('',(0.,-1.,0.)); #176427=DIRECTION('',(-0.307344801161411,0.,-0.951598220468624)); #176428=DIRECTION('center_axis',(-0.911549731868547,0.,0.411189842202334)); #176429=DIRECTION('ref_axis',(-0.411189842202334,0.,-0.911549731868547)); #176430=DIRECTION('',(-0.411189842202334,0.,-0.911549731868547)); #176431=DIRECTION('',(0.,-1.,0.)); #176432=DIRECTION('',(-0.411189842202334,0.,-0.911549731868547)); #176433=DIRECTION('center_axis',(-0.859285506485916,0.,0.51149625447626)); #176434=DIRECTION('ref_axis',(-0.51149625447626,0.,-0.859285506485916)); #176435=DIRECTION('',(-0.51149625447626,0.,-0.859285506485916)); #176436=DIRECTION('',(0.,-1.,0.)); #176437=DIRECTION('',(-0.51149625447626,0.,-0.859285506485916)); #176438=DIRECTION('center_axis',(-0.79652701648512,0.,0.604602937479892)); #176439=DIRECTION('ref_axis',(-0.604602937479892,0.,-0.79652701648512)); #176440=DIRECTION('',(-0.604602937479892,0.,-0.79652701648512)); #176441=DIRECTION('',(0.,-1.,0.)); #176442=DIRECTION('',(-0.604602937479892,0.,-0.79652701648512)); #176443=DIRECTION('center_axis',(-0.724993106751349,0.,0.688756121688241)); #176444=DIRECTION('ref_axis',(-0.688756121688241,0.,-0.724993106751349)); #176445=DIRECTION('',(-0.688756121688241,0.,-0.724993106751349)); #176446=DIRECTION('',(0.,-1.,0.)); #176447=DIRECTION('',(-0.688756121688241,0.,-0.724993106751349)); #176448=DIRECTION('center_axis',(-0.650124880255647,0.,0.759827375179771)); #176449=DIRECTION('ref_axis',(-0.759827375179771,0.,-0.650124880255647)); #176450=DIRECTION('',(-0.759827375179771,0.,-0.650124880255647)); #176451=DIRECTION('',(0.,-1.,0.)); #176452=DIRECTION('',(-0.759827375179771,0.,-0.650124880255647)); #176453=DIRECTION('center_axis',(-0.540086161048174,0.,0.841609730602163)); #176454=DIRECTION('ref_axis',(-0.841609730602163,0.,-0.540086161048174)); #176455=DIRECTION('',(-0.841609730602163,0.,-0.540086161048174)); #176456=DIRECTION('',(0.,-1.,0.)); #176457=DIRECTION('',(-0.841609730602163,0.,-0.540086161048174)); #176458=DIRECTION('center_axis',(-0.428239078129267,0.,0.903665475694959)); #176459=DIRECTION('ref_axis',(-0.903665475694958,0.,-0.428239078129267)); #176460=DIRECTION('',(-0.903665475694958,0.,-0.428239078129267)); #176461=DIRECTION('',(0.,-1.,0.)); #176462=DIRECTION('',(-0.903665475694958,0.,-0.428239078129267)); #176463=DIRECTION('center_axis',(0.,-1.,0.)); #176464=DIRECTION('ref_axis',(0.178121004374034,0.,0.984008591324682)); #176465=DIRECTION('center_axis',(0.,-1.,0.)); #176466=DIRECTION('ref_axis',(0.178121004374034,0.,0.984008591324682)); #176467=DIRECTION('',(0.,-1.,0.)); #176468=DIRECTION('center_axis',(0.,-1.,0.)); #176469=DIRECTION('ref_axis',(0.178121004374034,0.,0.984008591324682)); #176470=DIRECTION('center_axis',(0.209565828358782,0.,0.97779454057808)); #176471=DIRECTION('ref_axis',(-0.97779454057808,0.,0.209565828358782)); #176472=DIRECTION('',(-0.97779454057808,0.,0.209565828358782)); #176473=DIRECTION('',(0.,-1.,0.)); #176474=DIRECTION('',(-0.97779454057808,0.,0.209565828358782)); #176475=DIRECTION('center_axis',(0.295286102319686,0.,0.955408874658828)); #176476=DIRECTION('ref_axis',(-0.955408874658828,0.,0.295286102319686)); #176477=DIRECTION('',(-0.955408874658828,0.,0.295286102319686)); #176478=DIRECTION('',(0.,-1.,0.)); #176479=DIRECTION('',(-0.955408874658828,0.,0.295286102319686)); #176480=DIRECTION('center_axis',(0.391390821770732,0.,0.920224551201299)); #176481=DIRECTION('ref_axis',(-0.920224551201299,0.,0.391390821770732)); #176482=DIRECTION('',(-0.920224551201299,0.,0.391390821770732)); #176483=DIRECTION('',(0.,-1.,0.)); #176484=DIRECTION('',(-0.920224551201299,0.,0.391390821770732)); #176485=DIRECTION('center_axis',(0.496278704138342,0.,0.868163261039516)); #176486=DIRECTION('ref_axis',(-0.868163261039516,0.,0.496278704138342)); #176487=DIRECTION('',(-0.868163261039516,0.,0.496278704138342)); #176488=DIRECTION('',(0.,-1.,0.)); #176489=DIRECTION('',(-0.868163261039516,0.,0.496278704138342)); #176490=DIRECTION('center_axis',(0.606181381714832,0.,0.795326431386696)); #176491=DIRECTION('ref_axis',(-0.795326431386696,0.,0.606181381714832)); #176492=DIRECTION('',(-0.795326431386696,0.,0.606181381714832)); #176493=DIRECTION('',(0.,-1.,0.)); #176494=DIRECTION('',(-0.795326431386696,0.,0.606181381714832)); #176495=DIRECTION('center_axis',(0.707438263332629,0.,0.706775143573198)); #176496=DIRECTION('ref_axis',(-0.706775143573198,0.,0.707438263332629)); #176497=DIRECTION('',(-0.706775143573198,0.,0.707438263332629)); #176498=DIRECTION('',(0.,-1.,0.)); #176499=DIRECTION('',(-0.706775143573198,0.,0.707438263332629)); #176500=DIRECTION('center_axis',(0.77228337498749,0.,0.635278197892807)); #176501=DIRECTION('ref_axis',(-0.635278197892807,0.,0.77228337498749)); #176502=DIRECTION('',(-0.635278197892807,0.,0.77228337498749)); #176503=DIRECTION('',(0.,-1.,0.)); #176504=DIRECTION('',(-0.635278197892807,0.,0.77228337498749)); #176505=DIRECTION('center_axis',(0.831595204554065,0.,0.555382224925036)); #176506=DIRECTION('ref_axis',(-0.555382224925036,0.,0.831595204554065)); #176507=DIRECTION('',(-0.555382224925036,0.,0.831595204554065)); #176508=DIRECTION('',(0.,-1.,0.)); #176509=DIRECTION('',(-0.555382224925036,0.,0.831595204554065)); #176510=DIRECTION('center_axis',(0.885187898163017,0.,0.4652336885327)); #176511=DIRECTION('ref_axis',(-0.4652336885327,0.,0.885187898163016)); #176512=DIRECTION('',(-0.4652336885327,0.,0.885187898163016)); #176513=DIRECTION('',(0.,-1.,0.)); #176514=DIRECTION('',(-0.4652336885327,0.,0.885187898163016)); #176515=DIRECTION('center_axis',(0.930110942903764,0.,0.367278686954024)); #176516=DIRECTION('ref_axis',(-0.367278686954024,0.,0.930110942903763)); #176517=DIRECTION('',(-0.367278686954024,0.,0.930110942903763)); #176518=DIRECTION('',(0.,-1.,0.)); #176519=DIRECTION('',(-0.367278686954024,0.,0.930110942903763)); #176520=DIRECTION('center_axis',(0.964236655482786,0.,0.265042774327787)); #176521=DIRECTION('ref_axis',(-0.265042774327787,0.,0.964236655482786)); #176522=DIRECTION('',(-0.265042774327787,0.,0.964236655482786)); #176523=DIRECTION('',(0.,-1.,0.)); #176524=DIRECTION('',(-0.265042774327787,0.,0.964236655482786)); #176525=DIRECTION('center_axis',(0.98678523705104,0.,0.162033625948821)); #176526=DIRECTION('ref_axis',(-0.162033625948821,0.,0.98678523705104)); #176527=DIRECTION('',(-0.162033625948821,0.,0.98678523705104)); #176528=DIRECTION('',(0.,-1.,0.)); #176529=DIRECTION('',(-0.162033625948821,0.,0.98678523705104)); #176530=DIRECTION('center_axis',(0.998084430061329,0.,0.0618665537196957)); #176531=DIRECTION('ref_axis',(-0.0618665537196957,0.,0.998084430061329)); #176532=DIRECTION('',(-0.0618665537196957,0.,0.998084430061329)); #176533=DIRECTION('',(0.,-1.,0.)); #176534=DIRECTION('',(-0.0618665537196957,0.,0.998084430061329)); #176535=DIRECTION('center_axis',(0.999492227595259,0.,-0.0318635681722458)); #176536=DIRECTION('ref_axis',(0.0318635681722458,0.,0.999492227595259)); #176537=DIRECTION('',(0.0318635681722458,0.,0.999492227595259)); #176538=DIRECTION('',(0.,-1.,0.)); #176539=DIRECTION('',(0.0318635681722458,0.,0.999492227595259)); #176540=DIRECTION('center_axis',(0.991994317978629,0.,-0.126282513033733)); #176541=DIRECTION('ref_axis',(0.126282513033733,0.,0.991994317978629)); #176542=DIRECTION('',(0.126282513033733,0.,0.991994317978629)); #176543=DIRECTION('',(0.,-1.,0.)); #176544=DIRECTION('',(0.126282513033733,0.,0.991994317978629)); #176545=DIRECTION('center_axis',(0.974054050258211,0.,-0.226315503612933)); #176546=DIRECTION('ref_axis',(0.226315503612933,0.,0.97405405025821)); #176547=DIRECTION('',(0.226315503612933,0.,0.97405405025821)); #176548=DIRECTION('',(0.,-1.,0.)); #176549=DIRECTION('',(0.226315503612933,0.,0.97405405025821)); #176550=DIRECTION('center_axis',(0.944242189521349,0.,-0.329251708466226)); #176551=DIRECTION('ref_axis',(0.329251708466226,0.,0.944242189521349)); #176552=DIRECTION('',(0.329251708466226,0.,0.944242189521349)); #176553=DIRECTION('',(0.,-1.,0.)); #176554=DIRECTION('',(0.329251708466226,0.,0.944242189521349)); #176555=DIRECTION('center_axis',(0.901948187283064,0.,-0.43184426296617)); #176556=DIRECTION('ref_axis',(0.43184426296617,0.,0.901948187283064)); #176557=DIRECTION('',(0.43184426296617,0.,0.901948187283064)); #176558=DIRECTION('',(0.,-1.,0.)); #176559=DIRECTION('',(0.43184426296617,0.,0.901948187283064)); #176560=DIRECTION('center_axis',(0.84759645054991,0.,-0.53064136383738)); #176561=DIRECTION('ref_axis',(0.53064136383738,0.,0.84759645054991)); #176562=DIRECTION('',(0.53064136383738,0.,0.84759645054991)); #176563=DIRECTION('',(0.,-1.,0.)); #176564=DIRECTION('',(0.53064136383738,0.,0.84759645054991)); #176565=DIRECTION('center_axis',(0.782733070191436,0.,-0.622357566699954)); #176566=DIRECTION('ref_axis',(0.622357566699954,0.,0.782733070191436)); #176567=DIRECTION('',(0.622357566699954,0.,0.782733070191436)); #176568=DIRECTION('',(0.,-1.,0.)); #176569=DIRECTION('',(0.622357566699954,0.,0.782733070191436)); #176570=DIRECTION('center_axis',(0.709884131661365,0.,-0.70431847882573)); #176571=DIRECTION('ref_axis',(0.70431847882573,0.,0.709884131661365)); #176572=DIRECTION('',(0.70431847882573,0.,0.709884131661365)); #176573=DIRECTION('',(0.,-1.,0.)); #176574=DIRECTION('',(0.70431847882573,0.,0.709884131661365)); #176575=DIRECTION('center_axis',(0.620077119746367,0.,-0.784540862904572)); #176576=DIRECTION('ref_axis',(0.784540862904572,0.,0.620077119746367)); #176577=DIRECTION('',(0.784540862904572,0.,0.620077119746367)); #176578=DIRECTION('',(0.,-1.,0.)); #176579=DIRECTION('',(0.784540862904572,0.,0.620077119746367)); #176580=DIRECTION('center_axis',(0.514776846226619,0.,-0.857324208563468)); #176581=DIRECTION('ref_axis',(0.857324208563468,0.,0.514776846226619)); #176582=DIRECTION('',(0.857324208563468,0.,0.514776846226619)); #176583=DIRECTION('',(0.,-1.,0.)); #176584=DIRECTION('',(0.857324208563468,0.,0.514776846226619)); #176585=DIRECTION('center_axis',(0.410306498961592,0.,-0.911947683208791)); #176586=DIRECTION('ref_axis',(0.911947683208791,0.,0.410306498961592)); #176587=DIRECTION('',(0.911947683208791,0.,0.410306498961592)); #176588=DIRECTION('',(0.,-1.,0.)); #176589=DIRECTION('',(0.911947683208791,0.,0.410306498961592)); #176590=DIRECTION('center_axis',(0.312793395394133,0.,-0.949821189381354)); #176591=DIRECTION('ref_axis',(0.949821189381354,0.,0.312793395394133)); #176592=DIRECTION('',(0.949821189381354,0.,0.312793395394133)); #176593=DIRECTION('',(0.,-1.,0.)); #176594=DIRECTION('',(0.949821189381354,0.,0.312793395394133)); #176595=DIRECTION('center_axis',(0.,-1.,0.)); #176596=DIRECTION('ref_axis',(-0.0177437806721465,0.,-0.999842566731112)); #176597=DIRECTION('center_axis',(0.,-1.,0.)); #176598=DIRECTION('ref_axis',(-0.0177437806721465,0.,-0.999842566731112)); #176599=DIRECTION('',(0.,-1.,0.)); #176600=DIRECTION('center_axis',(0.,-1.,0.)); #176601=DIRECTION('ref_axis',(-0.0177437806721465,0.,-0.999842566731112)); #176602=DIRECTION('center_axis',(3.78482285783863E-5,0.,-0.999999999283756)); #176603=DIRECTION('ref_axis',(0.999999999283756,0.,3.78482285783863E-5)); #176604=DIRECTION('',(0.999999999283756,0.,3.78482285783863E-5)); #176605=DIRECTION('',(0.,-1.,0.)); #176606=DIRECTION('',(0.999999999283756,0.,3.78482285783863E-5)); #176607=DIRECTION('center_axis',(0.,-1.,0.)); #176608=DIRECTION('ref_axis',(0.919021874429095,0.,-0.394206537643444)); #176609=DIRECTION('center_axis',(0.,-1.,0.)); #176610=DIRECTION('ref_axis',(0.919021874429095,0.,-0.394206537643444)); #176611=DIRECTION('',(0.,-1.,0.)); #176612=DIRECTION('center_axis',(0.,-1.,0.)); #176613=DIRECTION('ref_axis',(0.919021874429095,0.,-0.394206537643444)); #176614=DIRECTION('center_axis',(0.,-1.,0.)); #176615=DIRECTION('ref_axis',(-0.201916931939653,0.,-0.97940265090313)); #176616=DIRECTION('center_axis',(0.,-1.,0.)); #176617=DIRECTION('ref_axis',(-0.201916931939653,0.,-0.97940265090313)); #176618=DIRECTION('',(0.,-1.,0.)); #176619=DIRECTION('center_axis',(0.,-1.,0.)); #176620=DIRECTION('ref_axis',(-0.201916931939653,0.,-0.97940265090313)); #176621=DIRECTION('center_axis',(0.,-1.,0.)); #176622=DIRECTION('ref_axis',(-0.997824797226504,0.,0.0659217266148674)); #176623=DIRECTION('center_axis',(0.,-1.,0.)); #176624=DIRECTION('ref_axis',(-0.997824797226504,0.,0.0659217266148674)); #176625=DIRECTION('',(0.,-1.,0.)); #176626=DIRECTION('center_axis',(0.,-1.,0.)); #176627=DIRECTION('ref_axis',(-0.997824797226504,0.,0.0659217266148674)); #176628=DIRECTION('center_axis',(-0.985634855844316,0.,-0.168890292630318)); #176629=DIRECTION('ref_axis',(0.168890292630318,0.,-0.985634855844316)); #176630=DIRECTION('',(0.168890292630318,0.,-0.985634855844316)); #176631=DIRECTION('',(0.,-1.,0.)); #176632=DIRECTION('',(0.168890292630318,0.,-0.985634855844316)); #176633=DIRECTION('center_axis',(0.,-1.,0.)); #176634=DIRECTION('ref_axis',(0.317455388471902,0.,0.948273207641212)); #176635=DIRECTION('center_axis',(0.,1.,0.)); #176636=DIRECTION('ref_axis',(0.317455388471902,0.,0.948273207641212)); #176637=DIRECTION('',(0.,-1.,0.)); #176638=DIRECTION('center_axis',(0.,1.,0.)); #176639=DIRECTION('ref_axis',(0.317455388471902,0.,0.948273207641212)); #176640=DIRECTION('center_axis',(0.,-1.,0.)); #176641=DIRECTION('ref_axis',(-0.720051553752348,0.,0.69392057177953)); #176642=DIRECTION('center_axis',(0.,1.,0.)); #176643=DIRECTION('ref_axis',(-0.720051553752348,0.,0.69392057177953)); #176644=DIRECTION('',(0.,-1.,0.)); #176645=DIRECTION('center_axis',(0.,1.,0.)); #176646=DIRECTION('ref_axis',(-0.720051553752348,0.,0.69392057177953)); #176647=DIRECTION('center_axis',(0.,-1.,0.)); #176648=DIRECTION('ref_axis',(-0.993571836773018,0.,-0.113203379682282)); #176649=DIRECTION('center_axis',(0.,1.,0.)); #176650=DIRECTION('ref_axis',(-0.993571836773018,0.,-0.113203379682282)); #176651=DIRECTION('',(0.,-1.,0.)); #176652=DIRECTION('center_axis',(0.,1.,0.)); #176653=DIRECTION('ref_axis',(-0.993571836773018,0.,-0.113203379682282)); #176654=DIRECTION('center_axis',(0.,-1.,0.)); #176655=DIRECTION('ref_axis',(-0.341908794327303,0.,-0.93973314103614)); #176656=DIRECTION('center_axis',(0.,1.,0.)); #176657=DIRECTION('ref_axis',(-0.341908794327303,0.,-0.93973314103614)); #176658=DIRECTION('',(0.,-1.,0.)); #176659=DIRECTION('center_axis',(0.,1.,0.)); #176660=DIRECTION('ref_axis',(-0.341908794327303,0.,-0.93973314103614)); #176661=DIRECTION('center_axis',(0.167200118368452,0.,0.985922978947938)); #176662=DIRECTION('ref_axis',(-0.985922978947938,0.,0.167200118368452)); #176663=DIRECTION('',(-0.985922978947938,0.,0.167200118368452)); #176664=DIRECTION('',(0.,-1.,0.)); #176665=DIRECTION('',(-0.985922978947938,0.,0.167200118368452)); #176666=DIRECTION('center_axis',(0.,-1.,0.)); #176667=DIRECTION('ref_axis',(0.180313730727137,0.,0.983609149261668)); #176668=DIRECTION('center_axis',(0.,-1.,0.)); #176669=DIRECTION('ref_axis',(0.180313730727137,0.,0.983609149261668)); #176670=DIRECTION('',(0.,-1.,0.)); #176671=DIRECTION('center_axis',(0.,-1.,0.)); #176672=DIRECTION('ref_axis',(0.180313730727137,0.,0.983609149261668)); #176673=DIRECTION('center_axis',(0.233111764927573,0.,0.972449949895804)); #176674=DIRECTION('ref_axis',(-0.972449949895804,0.,0.233111764927573)); #176675=DIRECTION('',(-0.972449949895804,0.,0.233111764927573)); #176676=DIRECTION('',(0.,-1.,0.)); #176677=DIRECTION('',(-0.972449949895804,0.,0.233111764927573)); #176678=DIRECTION('center_axis',(0.315744181811105,0.,0.948844355862665)); #176679=DIRECTION('ref_axis',(-0.948844355862665,0.,0.315744181811105)); #176680=DIRECTION('',(-0.948844355862665,0.,0.315744181811105)); #176681=DIRECTION('',(0.,-1.,0.)); #176682=DIRECTION('',(-0.948844355862665,0.,0.315744181811105)); #176683=DIRECTION('center_axis',(0.40810767303708,0.,0.912933802204881)); #176684=DIRECTION('ref_axis',(-0.91293380220488,0.,0.40810767303708)); #176685=DIRECTION('',(-0.91293380220488,0.,0.40810767303708)); #176686=DIRECTION('',(0.,-1.,0.)); #176687=DIRECTION('',(-0.91293380220488,0.,0.40810767303708)); #176688=DIRECTION('center_axis',(0.509624879628109,0.,0.860396700402806)); #176689=DIRECTION('ref_axis',(-0.860396700402806,0.,0.509624879628109)); #176690=DIRECTION('',(-0.860396700402806,0.,0.509624879628109)); #176691=DIRECTION('',(0.,-1.,0.)); #176692=DIRECTION('',(-0.860396700402806,0.,0.509624879628109)); #176693=DIRECTION('center_axis',(0.615253771882766,0.,0.788329116666402)); #176694=DIRECTION('ref_axis',(-0.788329116666402,0.,0.615253771882766)); #176695=DIRECTION('',(-0.788329116666402,0.,0.615253771882766)); #176696=DIRECTION('',(0.,-1.,0.)); #176697=DIRECTION('',(-0.788329116666402,0.,0.615253771882766)); #176698=DIRECTION('center_axis',(0.70477742412343,0.,0.709428490015691)); #176699=DIRECTION('ref_axis',(-0.709428490015691,0.,0.70477742412343)); #176700=DIRECTION('',(-0.709428490015691,0.,0.70477742412343)); #176701=DIRECTION('',(0.,-1.,0.)); #176702=DIRECTION('',(-0.709428490015691,0.,0.70477742412343)); #176703=DIRECTION('center_axis',(0.770844742608944,0.,0.637023062998626)); #176704=DIRECTION('ref_axis',(-0.637023062998626,0.,0.770844742608944)); #176705=DIRECTION('',(-0.637023062998626,0.,0.770844742608944)); #176706=DIRECTION('',(0.,-1.,0.)); #176707=DIRECTION('',(-0.637023062998626,0.,0.770844742608944)); #176708=DIRECTION('center_axis',(0.833497231671979,0.,0.552523632793338)); #176709=DIRECTION('ref_axis',(-0.552523632793338,0.,0.833497231671979)); #176710=DIRECTION('',(-0.552523632793338,0.,0.833497231671979)); #176711=DIRECTION('',(0.,-1.,0.)); #176712=DIRECTION('',(-0.552523632793337,0.,0.833497231671979)); #176713=DIRECTION('center_axis',(0.887619840266106,0.,0.460576833075625)); #176714=DIRECTION('ref_axis',(-0.460576833075625,0.,0.887619840266106)); #176715=DIRECTION('',(-0.460576833075625,0.,0.887619840266106)); #176716=DIRECTION('',(0.,-1.,0.)); #176717=DIRECTION('',(-0.460576833075625,0.,0.887619840266106)); #176718=DIRECTION('center_axis',(0.931537211211077,0.,0.363646014867604)); #176719=DIRECTION('ref_axis',(-0.363646014867604,0.,0.931537211211077)); #176720=DIRECTION('',(-0.363646014867604,0.,0.931537211211077)); #176721=DIRECTION('',(0.,-1.,0.)); #176722=DIRECTION('',(-0.363646014867604,0.,0.931537211211077)); #176723=DIRECTION('center_axis',(0.964191720130839,0.,0.26520619681888)); #176724=DIRECTION('ref_axis',(-0.26520619681888,0.,0.964191720130839)); #176725=DIRECTION('',(-0.26520619681888,0.,0.964191720130839)); #176726=DIRECTION('',(0.,-1.,0.)); #176727=DIRECTION('',(-0.26520619681888,0.,0.964191720130839)); #176728=DIRECTION('center_axis',(0.985806766338164,0.,0.167883946349531)); #176729=DIRECTION('ref_axis',(-0.167883946349531,0.,0.985806766338164)); #176730=DIRECTION('',(-0.167883946349531,0.,0.985806766338164)); #176731=DIRECTION('',(0.,-1.,0.)); #176732=DIRECTION('',(-0.167883946349531,0.,0.985806766338164)); #176733=DIRECTION('center_axis',(0.997232924160407,0.,0.0743403993161449)); #176734=DIRECTION('ref_axis',(-0.0743403993161449,0.,0.997232924160407)); #176735=DIRECTION('',(-0.0743403993161449,0.,0.997232924160407)); #176736=DIRECTION('',(0.,-1.,0.)); #176737=DIRECTION('',(-0.0743403993161449,0.,0.997232924160407)); #176738=DIRECTION('center_axis',(0.999887868917967,0.,-0.0149749654652701)); #176739=DIRECTION('ref_axis',(0.0149749654652701,0.,0.999887868917967)); #176740=DIRECTION('',(0.0149749654652701,0.,0.999887868917967)); #176741=DIRECTION('',(0.,-1.,0.)); #176742=DIRECTION('',(0.0149749654652701,0.,0.999887868917967)); #176743=DIRECTION('center_axis',(0.99425155943437,0.,-0.107069307284221)); #176744=DIRECTION('ref_axis',(0.107069307284221,0.,0.99425155943437)); #176745=DIRECTION('',(0.107069307284221,0.,0.99425155943437)); #176746=DIRECTION('',(0.,-1.,0.)); #176747=DIRECTION('',(0.107069307284221,0.,0.99425155943437)); #176748=DIRECTION('center_axis',(0.978526500692925,0.,-0.206121050457394)); #176749=DIRECTION('ref_axis',(0.206121050457394,0.,0.978526500692925)); #176750=DIRECTION('',(0.206121050457394,0.,0.978526500692925)); #176751=DIRECTION('',(0.,-1.,0.)); #176752=DIRECTION('',(0.206121050457394,0.,0.978526500692925)); #176753=DIRECTION('center_axis',(0.951075670782691,0.,-0.308958036706047)); #176754=DIRECTION('ref_axis',(0.308958036706047,0.,0.951075670782691)); #176755=DIRECTION('',(0.308958036706047,0.,0.951075670782691)); #176756=DIRECTION('',(0.,-1.,0.)); #176757=DIRECTION('',(0.308958036706047,0.,0.951075670782691)); #176758=DIRECTION('center_axis',(0.910942953140619,0.,-0.412532345548138)); #176759=DIRECTION('ref_axis',(0.412532345548138,0.,0.910942953140619)); #176760=DIRECTION('',(0.412532345548138,0.,0.910942953140619)); #176761=DIRECTION('',(0.,-1.,0.)); #176762=DIRECTION('',(0.412532345548138,0.,0.910942953140619)); #176763=DIRECTION('center_axis',(0.858141092239478,0.,-0.513413932232108)); #176764=DIRECTION('ref_axis',(0.513413932232108,0.,0.858141092239478)); #176765=DIRECTION('',(0.513413932232108,0.,0.858141092239478)); #176766=DIRECTION('',(0.,-1.,0.)); #176767=DIRECTION('',(0.513413932232108,0.,0.858141092239478)); #176768=DIRECTION('center_axis',(0.794077112457019,0.,-0.607817027954896)); #176769=DIRECTION('ref_axis',(0.607817027954896,0.,0.794077112457019)); #176770=DIRECTION('',(0.607817027954896,0.,0.794077112457019)); #176771=DIRECTION('',(0.,-1.,0.)); #176772=DIRECTION('',(0.607817027954896,0.,0.794077112457019)); #176773=DIRECTION('center_axis',(0.721062151763682,0.,-0.692870387081111)); #176774=DIRECTION('ref_axis',(0.692870387081111,0.,0.721062151763682)); #176775=DIRECTION('',(0.692870387081111,0.,0.721062151763682)); #176776=DIRECTION('',(0.,-1.,0.)); #176777=DIRECTION('',(0.692870387081111,0.,0.721062151763682)); #176778=DIRECTION('center_axis',(0.65056772487024,0.,-0.759448244027965)); #176779=DIRECTION('ref_axis',(0.759448244027965,0.,0.65056772487024)); #176780=DIRECTION('',(0.759448244027965,0.,0.65056772487024)); #176781=DIRECTION('',(0.,-1.,0.)); #176782=DIRECTION('',(0.759448244027965,0.,0.65056772487024)); #176783=DIRECTION('center_axis',(0.543998002895666,0.,-0.839086510942422)); #176784=DIRECTION('ref_axis',(0.839086510942422,0.,0.543998002895666)); #176785=DIRECTION('',(0.839086510942422,0.,0.543998002895666)); #176786=DIRECTION('',(0.,-1.,0.)); #176787=DIRECTION('',(0.839086510942422,0.,0.543998002895666)); #176788=DIRECTION('center_axis',(0.,-1.,0.)); #176789=DIRECTION('ref_axis',(-0.216106962468079,0.,-0.97636969472266)); #176790=DIRECTION('center_axis',(0.,-1.,0.)); #176791=DIRECTION('ref_axis',(-0.216106962468079,0.,-0.97636969472266)); #176792=DIRECTION('',(0.,-1.,0.)); #176793=DIRECTION('center_axis',(0.,-1.,0.)); #176794=DIRECTION('ref_axis',(-0.216106962468079,0.,-0.97636969472266)); #176795=DIRECTION('center_axis',(-0.200240572851049,0.,-0.97974675961918)); #176796=DIRECTION('ref_axis',(0.97974675961918,0.,-0.200240572851049)); #176797=DIRECTION('',(0.97974675961918,0.,-0.200240572851049)); #176798=DIRECTION('',(0.,-1.,0.)); #176799=DIRECTION('',(0.97974675961918,0.,-0.200240572851049)); #176800=DIRECTION('center_axis',(-0.285473121017074,0.,-0.958386715880793)); #176801=DIRECTION('ref_axis',(0.958386715880793,0.,-0.285473121017074)); #176802=DIRECTION('',(0.958386715880793,0.,-0.285473121017074)); #176803=DIRECTION('',(0.,-1.,0.)); #176804=DIRECTION('',(0.958386715880793,0.,-0.285473121017074)); #176805=DIRECTION('center_axis',(-0.382958566210111,0.,-0.92376552033852)); #176806=DIRECTION('ref_axis',(0.92376552033852,0.,-0.382958566210111)); #176807=DIRECTION('',(0.92376552033852,0.,-0.382958566210111)); #176808=DIRECTION('',(0.,-1.,0.)); #176809=DIRECTION('',(0.92376552033852,0.,-0.382958566210111)); #176810=DIRECTION('center_axis',(-0.491005457595492,0.,-0.871156496050762)); #176811=DIRECTION('ref_axis',(0.871156496050762,0.,-0.491005457595492)); #176812=DIRECTION('',(0.871156496050762,0.,-0.491005457595492)); #176813=DIRECTION('',(0.,-1.,0.)); #176814=DIRECTION('',(0.871156496050762,0.,-0.491005457595492)); #176815=DIRECTION('center_axis',(-0.605667850955098,0.,-0.795717571955926)); #176816=DIRECTION('ref_axis',(0.795717571955925,0.,-0.605667850955098)); #176817=DIRECTION('',(0.795717571955925,0.,-0.605667850955098)); #176818=DIRECTION('',(0.,-1.,0.)); #176819=DIRECTION('',(0.795717571955925,0.,-0.605667850955098)); #176820=DIRECTION('center_axis',(-0.687817895655157,0.,-0.725883284293358)); #176821=DIRECTION('ref_axis',(0.725883284293358,0.,-0.687817895655157)); #176822=DIRECTION('',(0.725883284293358,0.,-0.687817895655157)); #176823=DIRECTION('',(0.,-1.,0.)); #176824=DIRECTION('',(0.725883284293358,0.,-0.687817895655157)); #176825=DIRECTION('center_axis',(-0.760971332103644,0.,-0.648785505168238)); #176826=DIRECTION('ref_axis',(0.648785505168238,0.,-0.760971332103644)); #176827=DIRECTION('',(0.648785505168238,0.,-0.760971332103644)); #176828=DIRECTION('',(0.,-1.,0.)); #176829=DIRECTION('',(0.648785505168238,0.,-0.760971332103644)); #176830=DIRECTION('center_axis',(-0.829467805079984,0.,-0.55855452762984)); #176831=DIRECTION('ref_axis',(0.55855452762984,0.,-0.829467805079984)); #176832=DIRECTION('',(0.55855452762984,0.,-0.829467805079984)); #176833=DIRECTION('',(0.,-1.,0.)); #176834=DIRECTION('',(0.55855452762984,0.,-0.829467805079984)); #176835=DIRECTION('center_axis',(-0.887681138786096,0.,-0.460458679843719)); #176836=DIRECTION('ref_axis',(0.460458679843719,0.,-0.887681138786096)); #176837=DIRECTION('',(0.460458679843719,0.,-0.887681138786096)); #176838=DIRECTION('',(0.,-1.,0.)); #176839=DIRECTION('',(0.460458679843719,0.,-0.887681138786096)); #176840=DIRECTION('center_axis',(-0.9338928198334,0.,-0.357553074470938)); #176841=DIRECTION('ref_axis',(0.357553074470938,0.,-0.9338928198334)); #176842=DIRECTION('',(0.357553074470938,0.,-0.9338928198334)); #176843=DIRECTION('',(0.,-1.,0.)); #176844=DIRECTION('',(0.357553074470938,0.,-0.9338928198334)); #176845=DIRECTION('center_axis',(-0.967249670783252,0.,-0.253826859039172)); #176846=DIRECTION('ref_axis',(0.253826859039172,0.,-0.967249670783252)); #176847=DIRECTION('',(0.253826859039172,0.,-0.967249670783252)); #176848=DIRECTION('',(0.,-1.,0.)); #176849=DIRECTION('',(0.253826859039172,0.,-0.967249670783252)); #176850=DIRECTION('center_axis',(-0.988262144677893,0.,-0.152767579664836)); #176851=DIRECTION('ref_axis',(0.152767579664836,0.,-0.988262144677893)); #176852=DIRECTION('',(0.152767579664836,0.,-0.988262144677893)); #176853=DIRECTION('',(0.,-1.,0.)); #176854=DIRECTION('',(0.152767579664836,0.,-0.988262144677893)); #176855=DIRECTION('center_axis',(-0.998383968422529,0.,-0.0568282640671284)); #176856=DIRECTION('ref_axis',(0.0568282640671284,0.,-0.998383968422529)); #176857=DIRECTION('',(0.0568282640671284,0.,-0.998383968422529)); #176858=DIRECTION('',(0.,-1.,0.)); #176859=DIRECTION('',(0.0568282640671284,0.,-0.998383968422529)); #176860=DIRECTION('center_axis',(-0.999436839655878,0.,0.0335559761990304)); #176861=DIRECTION('ref_axis',(-0.0335559761990304,0.,-0.999436839655878)); #176862=DIRECTION('',(-0.0335559761990304,0.,-0.999436839655878)); #176863=DIRECTION('',(0.,-1.,0.)); #176864=DIRECTION('',(-0.0335559761990304,0.,-0.999436839655878)); #176865=DIRECTION('center_axis',(-0.991724295808267,0.,0.128385829060678)); #176866=DIRECTION('ref_axis',(-0.128385829060678,0.,-0.991724295808267)); #176867=DIRECTION('',(-0.128385829060678,0.,-0.991724295808267)); #176868=DIRECTION('',(0.,-1.,0.)); #176869=DIRECTION('',(-0.128385829060678,0.,-0.991724295808267)); #176870=DIRECTION('center_axis',(-0.973557914153894,0.,0.22844033748075)); #176871=DIRECTION('ref_axis',(-0.22844033748075,0.,-0.973557914153894)); #176872=DIRECTION('',(-0.22844033748075,0.,-0.973557914153894)); #176873=DIRECTION('',(0.,-1.,0.)); #176874=DIRECTION('',(-0.22844033748075,0.,-0.973557914153894)); #176875=DIRECTION('center_axis',(-0.943469072377573,0.,0.331460570003434)); #176876=DIRECTION('ref_axis',(-0.331460570003434,0.,-0.943469072377573)); #176877=DIRECTION('',(-0.331460570003434,0.,-0.943469072377573)); #176878=DIRECTION('',(0.,-1.,0.)); #176879=DIRECTION('',(-0.331460570003434,0.,-0.943469072377573)); #176880=DIRECTION('center_axis',(-0.900888467020934,0.,0.434050653712987)); #176881=DIRECTION('ref_axis',(-0.434050653712987,0.,-0.900888467020934)); #176882=DIRECTION('',(-0.434050653712987,0.,-0.900888467020934)); #176883=DIRECTION('',(0.,-1.,0.)); #176884=DIRECTION('',(-0.434050653712987,0.,-0.900888467020934)); #176885=DIRECTION('center_axis',(-0.846300549441738,0.,0.532705716145991)); #176886=DIRECTION('ref_axis',(-0.532705716145991,0.,-0.846300549441738)); #176887=DIRECTION('',(-0.532705716145991,0.,-0.846300549441738)); #176888=DIRECTION('',(0.,-1.,0.)); #176889=DIRECTION('',(-0.532705716145991,0.,-0.846300549441738)); #176890=DIRECTION('center_axis',(-0.781243515813031,0.,0.624226376405302)); #176891=DIRECTION('ref_axis',(-0.624226376405302,0.,-0.781243515813031)); #176892=DIRECTION('',(-0.624226376405302,0.,-0.781243515813031)); #176893=DIRECTION('',(0.,-1.,0.)); #176894=DIRECTION('',(-0.624226376405302,0.,-0.781243515813031)); #176895=DIRECTION('center_axis',(-0.708478601502564,0.,0.705732294296478)); #176896=DIRECTION('ref_axis',(-0.705732294296478,0.,-0.708478601502564)); #176897=DIRECTION('',(-0.705732294296478,0.,-0.708478601502564)); #176898=DIRECTION('',(0.,-1.,0.)); #176899=DIRECTION('',(-0.705732294296478,0.,-0.708478601502564)); #176900=DIRECTION('center_axis',(-0.619458771893823,0.,0.785029190491536)); #176901=DIRECTION('ref_axis',(-0.785029190491536,0.,-0.619458771893823)); #176902=DIRECTION('',(-0.785029190491536,0.,-0.619458771893823)); #176903=DIRECTION('',(0.,-1.,0.)); #176904=DIRECTION('',(-0.785029190491536,0.,-0.619458771893823)); #176905=DIRECTION('center_axis',(-0.509548559443314,0.,0.860441901332823)); #176906=DIRECTION('ref_axis',(-0.860441901332823,0.,-0.509548559443314)); #176907=DIRECTION('',(-0.860441901332823,0.,-0.509548559443314)); #176908=DIRECTION('',(0.,-1.,0.)); #176909=DIRECTION('',(-0.860441901332823,0.,-0.509548559443314)); #176910=DIRECTION('center_axis',(-0.404151887318538,0.,0.914691889095374)); #176911=DIRECTION('ref_axis',(-0.914691889095374,0.,-0.404151887318538)); #176912=DIRECTION('',(-0.914691889095374,0.,-0.404151887318538)); #176913=DIRECTION('',(0.,-1.,0.)); #176914=DIRECTION('',(-0.914691889095374,0.,-0.404151887318538)); #176915=DIRECTION('center_axis',(-0.307058763328586,0.,0.951690556779418)); #176916=DIRECTION('ref_axis',(-0.951690556779418,0.,-0.307058763328586)); #176917=DIRECTION('',(-0.951690556779418,0.,-0.307058763328586)); #176918=DIRECTION('',(0.,-1.,0.)); #176919=DIRECTION('',(-0.951690556779418,0.,-0.307058763328586)); #176920=DIRECTION('center_axis',(0.,-1.,0.)); #176921=DIRECTION('ref_axis',(0.0167711380341972,0.,0.999859354573951)); #176922=DIRECTION('center_axis',(0.,-1.,0.)); #176923=DIRECTION('ref_axis',(0.0167711380341972,0.,0.999859354573951)); #176924=DIRECTION('',(0.,-1.,0.)); #176925=DIRECTION('center_axis',(0.,-1.,0.)); #176926=DIRECTION('ref_axis',(0.0167711380341972,0.,0.999859354573951)); #176927=DIRECTION('center_axis',(-6.49948174229647E-5,0.,0.999999997887837)); #176928=DIRECTION('ref_axis',(-0.999999997887837,0.,-6.49948174229647E-5)); #176929=DIRECTION('',(-0.999999997887837,0.,-6.49948174229647E-5)); #176930=DIRECTION('',(-0.999999997887837,0.,-6.49948174229647E-5)); #176931=DIRECTION('center_axis',(0.,1.,0.)); #176932=DIRECTION('ref_axis',(1.,0.,0.)); #176933=DIRECTION('center_axis',(0.,-1.,0.)); #176934=DIRECTION('ref_axis',(0.198189612340399,0.,0.980163699368816)); #176935=DIRECTION('center_axis',(0.,-1.,0.)); #176936=DIRECTION('ref_axis',(0.198189612340399,0.,0.980163699368816)); #176937=DIRECTION('',(0.,-1.,0.)); #176938=DIRECTION('center_axis',(0.,-1.,0.)); #176939=DIRECTION('ref_axis',(0.198189612340399,0.,0.980163699368816)); #176940=DIRECTION('',(0.,-1.,0.)); #176941=DIRECTION('center_axis',(0.275073832773917,0.,0.961423104841499)); #176942=DIRECTION('ref_axis',(-0.961423104841499,0.,0.275073832773917)); #176943=DIRECTION('',(-0.961423104841499,0.,0.275073832773917)); #176944=DIRECTION('',(0.,-1.,0.)); #176945=DIRECTION('',(-0.961423104841499,0.,0.275073832773917)); #176946=DIRECTION('center_axis',(0.378521982462544,0.,0.92559230160618)); #176947=DIRECTION('ref_axis',(-0.92559230160618,0.,0.378521982462544)); #176948=DIRECTION('',(-0.92559230160618,0.,0.378521982462544)); #176949=DIRECTION('',(0.,-1.,0.)); #176950=DIRECTION('',(-0.92559230160618,0.,0.378521982462544)); #176951=DIRECTION('center_axis',(0.477843336825216,0.,0.878445072529719)); #176952=DIRECTION('ref_axis',(-0.878445072529719,0.,0.477843336825216)); #176953=DIRECTION('',(-0.878445072529719,0.,0.477843336825216)); #176954=DIRECTION('',(0.,-1.,0.)); #176955=DIRECTION('',(-0.878445072529719,0.,0.477843336825216)); #176956=DIRECTION('center_axis',(0.569796119511417,0.,0.821786092721051)); #176957=DIRECTION('ref_axis',(-0.821786092721051,0.,0.569796119511417)); #176958=DIRECTION('',(-0.821786092721051,0.,0.569796119511417)); #176959=DIRECTION('',(0.,-1.,0.)); #176960=DIRECTION('',(-0.821786092721051,0.,0.569796119511417)); #176961=DIRECTION('center_axis',(0.652142360923483,0.,0.758096524915624)); #176962=DIRECTION('ref_axis',(-0.758096524915624,0.,0.652142360923483)); #176963=DIRECTION('',(-0.758096524915624,0.,0.652142360923483)); #176964=DIRECTION('',(0.,-1.,0.)); #176965=DIRECTION('',(-0.758096524915624,0.,0.652142360923483)); #176966=DIRECTION('center_axis',(0.724742175102128,0.,0.689020159086972)); #176967=DIRECTION('ref_axis',(-0.689020159086972,0.,0.724742175102128)); #176968=DIRECTION('',(-0.689020159086972,0.,0.724742175102128)); #176969=DIRECTION('',(0.,-1.,0.)); #176970=DIRECTION('',(-0.689020159086972,0.,0.724742175102128)); #176971=DIRECTION('center_axis',(0.805036430616097,0.,0.593225374862619)); #176972=DIRECTION('ref_axis',(-0.593225374862619,0.,0.805036430616097)); #176973=DIRECTION('',(-0.593225374862619,0.,0.805036430616097)); #176974=DIRECTION('',(0.,-1.,0.)); #176975=DIRECTION('',(-0.593225374862619,0.,0.805036430616097)); #176976=DIRECTION('center_axis',(0.,-1.,0.)); #176977=DIRECTION('ref_axis',(0.928211680958199,0.,0.372052516901517)); #176978=DIRECTION('center_axis',(0.,-1.,0.)); #176979=DIRECTION('ref_axis',(0.928211680958199,0.,0.372052516901517)); #176980=DIRECTION('',(0.,-1.,0.)); #176981=DIRECTION('center_axis',(0.,-1.,0.)); #176982=DIRECTION('ref_axis',(0.928211680958199,0.,0.372052516901517)); #176983=DIRECTION('center_axis',(0.,-1.,0.)); #176984=DIRECTION('ref_axis',(0.983471482657362,0.,0.181063090661045)); #176985=DIRECTION('center_axis',(0.,-1.,0.)); #176986=DIRECTION('ref_axis',(0.983471482657362,0.,0.181063090661045)); #176987=DIRECTION('',(0.,-1.,0.)); #176988=DIRECTION('center_axis',(0.,-1.,0.)); #176989=DIRECTION('ref_axis',(0.983471482657362,0.,0.181063090661045)); #176990=DIRECTION('center_axis',(0.,-1.,0.)); #176991=DIRECTION('ref_axis',(0.995693602718005,0.,-0.0927051751869346)); #176992=DIRECTION('center_axis',(0.,-1.,0.)); #176993=DIRECTION('ref_axis',(0.995693602718005,0.,-0.0927051751869346)); #176994=DIRECTION('',(0.,-1.,0.)); #176995=DIRECTION('center_axis',(0.,-1.,0.)); #176996=DIRECTION('ref_axis',(0.995693602718005,0.,-0.0927051751869346)); #176997=DIRECTION('center_axis',(0.,-1.,0.)); #176998=DIRECTION('ref_axis',(0.955093915356729,0.,-0.296303244748606)); #176999=DIRECTION('center_axis',(0.,-1.,0.)); #177000=DIRECTION('ref_axis',(0.955093915356729,0.,-0.296303244748606)); #177001=DIRECTION('',(0.,-1.,0.)); #177002=DIRECTION('center_axis',(0.,-1.,0.)); #177003=DIRECTION('ref_axis',(0.955093915356729,0.,-0.296303244748606)); #177004=DIRECTION('center_axis',(0.93330214889797,0.,-0.359092047896401)); #177005=DIRECTION('ref_axis',(0.359092047896401,0.,0.93330214889797)); #177006=DIRECTION('',(0.359092047896401,0.,0.93330214889797)); #177007=DIRECTION('',(0.,-1.,0.)); #177008=DIRECTION('',(0.359092047896401,0.,0.93330214889797)); #177009=DIRECTION('center_axis',(0.888418563780774,0.,-0.459034264004015)); #177010=DIRECTION('ref_axis',(0.459034264004015,0.,0.888418563780774)); #177011=DIRECTION('',(0.459034264004015,0.,0.888418563780774)); #177012=DIRECTION('',(0.,-1.,0.)); #177013=DIRECTION('',(0.459034264004015,0.,0.888418563780774)); #177014=DIRECTION('center_axis',(0.825344656550975,0.,-0.56462925703753)); #177015=DIRECTION('ref_axis',(0.56462925703753,0.,0.825344656550975)); #177016=DIRECTION('',(0.56462925703753,0.,0.825344656550975)); #177017=DIRECTION('',(0.,-1.,0.)); #177018=DIRECTION('',(0.56462925703753,0.,0.825344656550975)); #177019=DIRECTION('center_axis',(0.,-1.,0.)); #177020=DIRECTION('ref_axis',(0.660304745087802,0.,-0.750997765385846)); #177021=DIRECTION('center_axis',(0.,-1.,0.)); #177022=DIRECTION('ref_axis',(0.660304745087802,0.,-0.750997765385846)); #177023=DIRECTION('',(0.,-1.,0.)); #177024=DIRECTION('center_axis',(0.,-1.,0.)); #177025=DIRECTION('ref_axis',(0.660304745087802,0.,-0.750997765385846)); #177026=DIRECTION('center_axis',(0.592816164810915,0.,-0.805337814050029)); #177027=DIRECTION('ref_axis',(0.805337814050028,0.,0.592816164810915)); #177028=DIRECTION('',(0.805337814050029,0.,0.592816164810915)); #177029=DIRECTION('',(0.,-1.,0.)); #177030=DIRECTION('',(0.805337814050029,0.,0.592816164810915)); #177031=DIRECTION('center_axis',(0.,-1.,0.)); #177032=DIRECTION('ref_axis',(0.386257757037684,0.,-0.922390885215274)); #177033=DIRECTION('center_axis',(0.,-1.,0.)); #177034=DIRECTION('ref_axis',(0.386257757037684,0.,-0.922390885215274)); #177035=DIRECTION('',(0.,-1.,0.)); #177036=DIRECTION('center_axis',(0.,-1.,0.)); #177037=DIRECTION('ref_axis',(0.386257757037684,0.,-0.922390885215274)); #177038=DIRECTION('center_axis',(0.,-1.,0.)); #177039=DIRECTION('ref_axis',(0.183936284125923,0.,-0.982938168646405)); #177040=DIRECTION('center_axis',(0.,-1.,0.)); #177041=DIRECTION('ref_axis',(0.183936284125923,0.,-0.982938168646405)); #177042=DIRECTION('',(0.,-1.,0.)); #177043=DIRECTION('center_axis',(0.,-1.,0.)); #177044=DIRECTION('ref_axis',(0.183936284125923,0.,-0.982938168646405)); #177045=DIRECTION('center_axis',(0.0976859305393778,0.,-0.995217292341052)); #177046=DIRECTION('ref_axis',(0.995217292341052,0.,0.0976859305393778)); #177047=DIRECTION('',(0.995217292341052,0.,0.0976859305393778)); #177048=DIRECTION('',(0.,-1.,0.)); #177049=DIRECTION('',(0.995217292341052,0.,0.0976859305393778)); #177050=DIRECTION('center_axis',(0.,-1.,0.)); #177051=DIRECTION('ref_axis',(-0.114871161095135,0.,-0.993380398613067)); #177052=DIRECTION('center_axis',(0.,-1.,0.)); #177053=DIRECTION('ref_axis',(-0.114871161095135,0.,-0.993380398613067)); #177054=DIRECTION('',(0.,-1.,0.)); #177055=DIRECTION('center_axis',(0.,-1.,0.)); #177056=DIRECTION('ref_axis',(-0.114871161095135,0.,-0.993380398613067)); #177057=DIRECTION('center_axis',(-0.190837262094508,0.,-0.981621688531927)); #177058=DIRECTION('ref_axis',(0.981621688531927,0.,-0.190837262094508)); #177059=DIRECTION('',(0.981621688531927,0.,-0.190837262094508)); #177060=DIRECTION('',(0.,-1.,0.)); #177061=DIRECTION('',(0.981621688531927,0.,-0.190837262094508)); #177062=DIRECTION('center_axis',(0.,-1.,0.)); #177063=DIRECTION('ref_axis',(-0.412152021160153,0.,-0.911115092320175)); #177064=DIRECTION('center_axis',(0.,-1.,0.)); #177065=DIRECTION('ref_axis',(-0.412152021160153,0.,-0.911115092320175)); #177066=DIRECTION('',(0.,-1.,0.)); #177067=DIRECTION('center_axis',(0.,-1.,0.)); #177068=DIRECTION('ref_axis',(-0.412152021160153,0.,-0.911115092320175)); #177069=DIRECTION('center_axis',(0.,-1.,0.)); #177070=DIRECTION('ref_axis',(-0.599196721329353,0.,-0.800601829343496)); #177071=DIRECTION('center_axis',(0.,-1.,0.)); #177072=DIRECTION('ref_axis',(-0.599196721329353,0.,-0.800601829343496)); #177073=DIRECTION('',(0.,-1.,0.)); #177074=DIRECTION('center_axis',(0.,-1.,0.)); #177075=DIRECTION('ref_axis',(-0.599196721329353,0.,-0.800601829343496)); #177076=DIRECTION('center_axis',(-0.666643540632297,0.,-0.745376676408134)); #177077=DIRECTION('ref_axis',(0.745376676408134,0.,-0.666643540632296)); #177078=DIRECTION('',(0.745376676408134,0.,-0.666643540632296)); #177079=DIRECTION('',(0.,-1.,0.)); #177080=DIRECTION('',(0.745376676408134,0.,-0.666643540632296)); #177081=DIRECTION('center_axis',(-0.743069936102898,0.,-0.669213770076524)); #177082=DIRECTION('ref_axis',(0.669213770076524,0.,-0.743069936102898)); #177083=DIRECTION('',(0.669213770076524,0.,-0.743069936102898)); #177084=DIRECTION('',(0.,-1.,0.)); #177085=DIRECTION('',(0.669213770076524,0.,-0.743069936102898)); #177086=DIRECTION('center_axis',(0.,-1.,0.)); #177087=DIRECTION('ref_axis',(-0.998233436382255,0.,0.0594138577141242)); #177088=DIRECTION('center_axis',(0.,-1.,0.)); #177089=DIRECTION('ref_axis',(-0.998233436382255,0.,0.0594138577141242)); #177090=DIRECTION('',(0.,-1.,0.)); #177091=DIRECTION('center_axis',(0.,-1.,0.)); #177092=DIRECTION('ref_axis',(-0.998233436382255,0.,0.0594138577141242)); #177093=DIRECTION('center_axis',(-0.999999944335778,0.,-0.000333659169028648)); #177094=DIRECTION('ref_axis',(0.000333659169028648,0.,-0.999999944335778)); #177095=DIRECTION('',(0.000333659169028648,0.,-0.999999944335778)); #177096=DIRECTION('',(0.,-1.,0.)); #177097=DIRECTION('',(0.000333659169028648,0.,-0.999999944335778)); #177098=DIRECTION('center_axis',(-0.228421245042506,0.,-0.973562393898425)); #177099=DIRECTION('ref_axis',(0.973562393898425,0.,-0.228421245042506)); #177100=DIRECTION('',(0.973562393898425,0.,-0.228421245042506)); #177101=DIRECTION('',(0.,-1.,0.)); #177102=DIRECTION('',(0.973562393898425,0.,-0.228421245042506)); #177103=DIRECTION('center_axis',(-0.000191248540401426,0.,-0.999999981711998)); #177104=DIRECTION('ref_axis',(0.999999981711998,0.,-0.000191248540401426)); #177105=DIRECTION('',(0.999999981711998,0.,-0.000191248540401426)); #177106=DIRECTION('',(0.,-1.,0.)); #177107=DIRECTION('',(0.999999981711998,0.,-0.000191248540401426)); #177108=DIRECTION('center_axis',(0.995616924587404,0.,-0.0935250740449838)); #177109=DIRECTION('ref_axis',(0.0935250740449838,0.,0.995616924587404)); #177110=DIRECTION('',(0.0935250740449838,0.,0.995616924587404)); #177111=DIRECTION('',(0.,-1.,0.)); #177112=DIRECTION('',(0.0935250740449838,0.,0.995616924587404)); #177113=DIRECTION('center_axis',(1.,0.,0.)); #177114=DIRECTION('ref_axis',(0.,0.,1.)); #177115=DIRECTION('',(0.,0.,1.)); #177116=DIRECTION('',(0.,-1.,0.)); #177117=DIRECTION('',(0.,0.,1.)); #177118=DIRECTION('center_axis',(8.67365374387467E-5,0.,0.999999996238387)); #177119=DIRECTION('ref_axis',(-0.999999996238387,0.,8.67365374387467E-5)); #177120=DIRECTION('',(-0.999999996238387,0.,8.67365374387467E-5)); #177121=DIRECTION('',(0.,-1.,0.)); #177122=DIRECTION('',(-0.999999996238387,0.,8.67365374387467E-5)); #177123=DIRECTION('center_axis',(0.,-1.,0.)); #177124=DIRECTION('ref_axis',(-0.988584242546252,0.,0.150669158719535)); #177125=DIRECTION('center_axis',(0.,-1.,0.)); #177126=DIRECTION('ref_axis',(-0.988584242546252,0.,0.150669158719535)); #177127=DIRECTION('',(0.,-1.,0.)); #177128=DIRECTION('center_axis',(0.,-1.,0.)); #177129=DIRECTION('ref_axis',(-0.988584242546252,0.,0.150669158719535)); #177130=DIRECTION('center_axis',(-0.952498074680653,0.,0.304544607126195)); #177131=DIRECTION('ref_axis',(-0.304544607126195,0.,-0.952498074680653)); #177132=DIRECTION('',(-0.304544607126195,0.,-0.952498074680653)); #177133=DIRECTION('',(0.,-1.,0.)); #177134=DIRECTION('',(-0.304544607126195,0.,-0.952498074680653)); #177135=DIRECTION('center_axis',(-0.916476062062048,0.,0.400089524565742)); #177136=DIRECTION('ref_axis',(-0.400089524565742,0.,-0.916476062062048)); #177137=DIRECTION('',(-0.400089524565742,0.,-0.916476062062048)); #177138=DIRECTION('',(0.,-1.,0.)); #177139=DIRECTION('',(-0.400089524565742,0.,-0.916476062062048)); #177140=DIRECTION('center_axis',(-0.863729689751144,0.,0.503955378027056)); #177141=DIRECTION('ref_axis',(-0.503955378027056,0.,-0.863729689751144)); #177142=DIRECTION('',(-0.503955378027056,0.,-0.863729689751144)); #177143=DIRECTION('',(0.,-1.,0.)); #177144=DIRECTION('',(-0.503955378027056,0.,-0.863729689751144)); #177145=DIRECTION('center_axis',(-0.791576005139608,0.,0.611070722655913)); #177146=DIRECTION('ref_axis',(-0.611070722655913,0.,-0.791576005139608)); #177147=DIRECTION('',(-0.611070722655913,0.,-0.791576005139608)); #177148=DIRECTION('',(0.,-1.,0.)); #177149=DIRECTION('',(-0.611070722655913,0.,-0.791576005139608)); #177150=DIRECTION('center_axis',(-0.708921158816722,0.,0.705287736021233)); #177151=DIRECTION('ref_axis',(-0.705287736021233,0.,-0.708921158816721)); #177152=DIRECTION('',(-0.705287736021233,0.,-0.708921158816721)); #177153=DIRECTION('',(0.,-1.,0.)); #177154=DIRECTION('',(-0.705287736021233,0.,-0.708921158816721)); #177155=DIRECTION('center_axis',(0.,-1.,0.)); #177156=DIRECTION('ref_axis',(-0.523875201401471,0.,0.851795030131409)); #177157=DIRECTION('center_axis',(0.,-1.,0.)); #177158=DIRECTION('ref_axis',(-0.523875201401471,0.,0.851795030131409)); #177159=DIRECTION('',(0.,-1.,0.)); #177160=DIRECTION('center_axis',(0.,-1.,0.)); #177161=DIRECTION('ref_axis',(-0.523875201401471,0.,0.851795030131409)); #177162=DIRECTION('center_axis',(0.,-1.,0.)); #177163=DIRECTION('ref_axis',(-0.342094105024317,0.,0.939665697632733)); #177164=DIRECTION('center_axis',(0.,-1.,0.)); #177165=DIRECTION('ref_axis',(-0.342094105024317,0.,0.939665697632733)); #177166=DIRECTION('',(0.,-1.,0.)); #177167=DIRECTION('center_axis',(0.,-1.,0.)); #177168=DIRECTION('ref_axis',(-0.342094105024317,0.,0.939665697632733)); #177169=DIRECTION('center_axis',(0.,-1.,0.)); #177170=DIRECTION('ref_axis',(-0.128445119091701,0.,0.991716618486107)); #177171=DIRECTION('center_axis',(0.,-1.,0.)); #177172=DIRECTION('ref_axis',(-0.128445119091701,0.,0.991716618486107)); #177173=DIRECTION('',(0.,-1.,0.)); #177174=DIRECTION('center_axis',(0.,-1.,0.)); #177175=DIRECTION('ref_axis',(-0.128445119091701,0.,0.991716618486107)); #177176=DIRECTION('center_axis',(-0.053940648265212,0.,0.998544143473251)); #177177=DIRECTION('ref_axis',(-0.998544143473251,0.,-0.053940648265212)); #177178=DIRECTION('',(-0.998544143473251,0.,-0.053940648265212)); #177179=DIRECTION('',(0.,-1.,0.)); #177180=DIRECTION('',(-0.998544143473251,0.,-0.053940648265212)); #177181=DIRECTION('center_axis',(0.0404172682956967,0.,0.999182888376054)); #177182=DIRECTION('ref_axis',(-0.999182888376054,0.,0.0404172682956967)); #177183=DIRECTION('',(-0.999182888376054,0.,0.0404172682956967)); #177184=DIRECTION('',(0.,-1.,0.)); #177185=DIRECTION('',(-0.999182888376054,0.,0.0404172682956967)); #177186=DIRECTION('center_axis',(0.140508129118215,0.,0.990079524912872)); #177187=DIRECTION('ref_axis',(-0.990079524912872,0.,0.140508129118215)); #177188=DIRECTION('',(-0.990079524912872,0.,0.140508129118215)); #177189=DIRECTION('',(0.,-1.,0.)); #177190=DIRECTION('',(-0.990079524912872,0.,0.140508129118215)); #177191=DIRECTION('center_axis',(0.,-1.,0.)); #177192=DIRECTION('ref_axis',(0.360037259576116,0.,0.932937924900109)); #177193=DIRECTION('center_axis',(0.,-1.,0.)); #177194=DIRECTION('ref_axis',(0.360037259576116,0.,0.932937924900109)); #177195=DIRECTION('',(0.,-1.,0.)); #177196=DIRECTION('center_axis',(0.,-1.,0.)); #177197=DIRECTION('ref_axis',(0.360037259576116,0.,0.932937924900109)); #177198=DIRECTION('center_axis',(0.448125577827017,0.,0.893970618363491)); #177199=DIRECTION('ref_axis',(-0.893970618363491,0.,0.448125577827017)); #177200=DIRECTION('',(-0.893970618363491,0.,0.448125577827017)); #177201=DIRECTION('',(0.,-1.,0.)); #177202=DIRECTION('',(-0.893970618363491,0.,0.448125577827017)); #177203=DIRECTION('center_axis',(0.541423273506607,0.,0.840750164380234)); #177204=DIRECTION('ref_axis',(-0.840750164380233,0.,0.541423273506607)); #177205=DIRECTION('',(-0.840750164380233,0.,0.541423273506607)); #177206=DIRECTION('',(0.,-1.,0.)); #177207=DIRECTION('',(-0.840750164380233,0.,0.541423273506607)); #177208=DIRECTION('center_axis',(0.,-1.,0.)); #177209=DIRECTION('ref_axis',(0.711503269017809,0.,0.702682786310417)); #177210=DIRECTION('center_axis',(0.,-1.,0.)); #177211=DIRECTION('ref_axis',(0.711503269017809,0.,0.702682786310417)); #177212=DIRECTION('',(0.,-1.,0.)); #177213=DIRECTION('center_axis',(0.,-1.,0.)); #177214=DIRECTION('ref_axis',(0.711503269017809,0.,0.702682786310417)); #177215=DIRECTION('center_axis',(0.779146936190579,0.,0.626841329065684)); #177216=DIRECTION('ref_axis',(-0.626841329065684,0.,0.779146936190579)); #177217=DIRECTION('',(-0.626841329065684,0.,0.779146936190579)); #177218=DIRECTION('',(0.,-1.,0.)); #177219=DIRECTION('',(-0.626841329065684,0.,0.779146936190579)); #177220=DIRECTION('center_axis',(0.853797523136213,0.,0.520605214617053)); #177221=DIRECTION('ref_axis',(-0.520605214617053,0.,0.853797523136213)); #177222=DIRECTION('',(-0.520605214617053,0.,0.853797523136213)); #177223=DIRECTION('',(0.,-1.,0.)); #177224=DIRECTION('',(-0.520605214617053,0.,0.853797523136213)); #177225=DIRECTION('center_axis',(0.909112801975474,0.,0.416550012944788)); #177226=DIRECTION('ref_axis',(-0.416550012944788,0.,0.909112801975474)); #177227=DIRECTION('',(-0.416550012944788,0.,0.909112801975474)); #177228=DIRECTION('',(0.,-1.,0.)); #177229=DIRECTION('',(-0.416550012944788,0.,0.909112801975474)); #177230=DIRECTION('center_axis',(0.,-1.,0.)); #177231=DIRECTION('ref_axis',(0.993241895342607,0.,0.116062644017037)); #177232=DIRECTION('center_axis',(0.,-1.,0.)); #177233=DIRECTION('ref_axis',(0.993241895342607,0.,0.116062644017037)); #177234=DIRECTION('',(0.,-1.,0.)); #177235=DIRECTION('center_axis',(0.,-1.,0.)); #177236=DIRECTION('ref_axis',(0.993241895342607,0.,0.116062644017037)); #177237=DIRECTION('center_axis',(0.,-1.,0.)); #177238=DIRECTION('ref_axis',(0.999608797494451,0.,-0.0279687677901091)); #177239=DIRECTION('center_axis',(0.,-1.,0.)); #177240=DIRECTION('ref_axis',(0.999608797494451,0.,-0.0279687677901091)); #177241=DIRECTION('',(0.,-1.,0.)); #177242=DIRECTION('center_axis',(0.,-1.,0.)); #177243=DIRECTION('ref_axis',(0.999608797494451,0.,-0.0279687677901091)); #177244=DIRECTION('center_axis',(-6.23900049986606E-5,0.,-0.999999998053744)); #177245=DIRECTION('ref_axis',(0.999999998053744,0.,-6.23900049986606E-5)); #177246=DIRECTION('',(0.999999998053744,0.,-6.23900049986606E-5)); #177247=DIRECTION('',(0.,-1.,0.)); #177248=DIRECTION('',(0.999999998053744,0.,-6.23900049986606E-5)); #177249=DIRECTION('center_axis',(0.,-1.,0.)); #177250=DIRECTION('ref_axis',(-0.262588615248097,0.,-0.96490788116902)); #177251=DIRECTION('center_axis',(0.,-1.,0.)); #177252=DIRECTION('ref_axis',(-0.262588615248097,0.,-0.96490788116902)); #177253=DIRECTION('',(0.,-1.,0.)); #177254=DIRECTION('center_axis',(0.,-1.,0.)); #177255=DIRECTION('ref_axis',(-0.262588615248097,0.,-0.96490788116902)); #177256=DIRECTION('center_axis',(-0.390247540472385,0.,-0.920709974506225)); #177257=DIRECTION('ref_axis',(0.920709974506225,0.,-0.390247540472385)); #177258=DIRECTION('',(0.920709974506225,0.,-0.390247540472385)); #177259=DIRECTION('',(0.,-1.,0.)); #177260=DIRECTION('',(0.920709974506225,0.,-0.390247540472385)); #177261=DIRECTION('center_axis',(-0.499522971806621,0.,-0.866300641023358)); #177262=DIRECTION('ref_axis',(0.866300641023358,0.,-0.499522971806621)); #177263=DIRECTION('',(0.866300641023358,0.,-0.499522971806621)); #177264=DIRECTION('',(0.,-1.,0.)); #177265=DIRECTION('',(0.866300641023358,0.,-0.499522971806621)); #177266=DIRECTION('center_axis',(-0.620864977308899,0.,-0.783917521140598)); #177267=DIRECTION('ref_axis',(0.783917521140598,0.,-0.620864977308899)); #177268=DIRECTION('',(0.783917521140598,0.,-0.620864977308899)); #177269=DIRECTION('',(0.,-1.,0.)); #177270=DIRECTION('',(0.783917521140598,0.,-0.620864977308899)); #177271=DIRECTION('center_axis',(0.,-1.,0.)); #177272=DIRECTION('ref_axis',(-0.789479507546985,0.,-0.613776919705662)); #177273=DIRECTION('center_axis',(0.,-1.,0.)); #177274=DIRECTION('ref_axis',(-0.789479507546985,0.,-0.613776919705662)); #177275=DIRECTION('',(0.,-1.,0.)); #177276=DIRECTION('center_axis',(0.,-1.,0.)); #177277=DIRECTION('ref_axis',(-0.789479507546985,0.,-0.613776919705662)); #177278=DIRECTION('center_axis',(-0.8395080752701,0.,-0.543347210866397)); #177279=DIRECTION('ref_axis',(0.543347210866397,0.,-0.8395080752701)); #177280=DIRECTION('',(0.543347210866397,0.,-0.8395080752701)); #177281=DIRECTION('',(0.,-1.,0.)); #177282=DIRECTION('',(0.543347210866397,0.,-0.8395080752701)); #177283=DIRECTION('center_axis',(-0.894845929769997,0.,-0.446375135927248)); #177284=DIRECTION('ref_axis',(0.446375135927248,0.,-0.894845929769997)); #177285=DIRECTION('',(0.446375135927248,0.,-0.894845929769997)); #177286=DIRECTION('',(0.,-1.,0.)); #177287=DIRECTION('',(0.446375135927248,0.,-0.894845929769997)); #177288=DIRECTION('center_axis',(-0.939678044817457,0.,-0.342060187815011)); #177289=DIRECTION('ref_axis',(0.342060187815011,0.,-0.939678044817457)); #177290=DIRECTION('',(0.342060187815011,0.,-0.939678044817457)); #177291=DIRECTION('',(0.,-1.,0.)); #177292=DIRECTION('',(0.342060187815011,0.,-0.939678044817457)); #177293=DIRECTION('center_axis',(-0.972120168715144,0.,-0.234483213849607)); #177294=DIRECTION('ref_axis',(0.234483213849607,0.,-0.972120168715144)); #177295=DIRECTION('',(0.234483213849607,0.,-0.972120168715144)); #177296=DIRECTION('',(0.,-1.,0.)); #177297=DIRECTION('',(0.234483213849607,0.,-0.972120168715144)); #177298=DIRECTION('center_axis',(-0.991732323435712,0.,-0.128323803921188)); #177299=DIRECTION('ref_axis',(0.128323803921188,0.,-0.991732323435712)); #177300=DIRECTION('',(0.128323803921188,0.,-0.991732323435712)); #177301=DIRECTION('',(0.,-1.,0.)); #177302=DIRECTION('',(0.128323803921188,0.,-0.991732323435712)); #177303=DIRECTION('center_axis',(-0.999632889919134,0.,-0.0270940102590956)); #177304=DIRECTION('ref_axis',(0.0270940102590956,0.,-0.999632889919134)); #177305=DIRECTION('',(0.0270940102590956,0.,-0.999632889919134)); #177306=DIRECTION('',(0.,-1.,0.)); #177307=DIRECTION('',(0.0270940102590956,0.,-0.999632889919134)); #177308=DIRECTION('center_axis',(-0.997871371270156,0.,0.0652129312285458)); #177309=DIRECTION('ref_axis',(-0.0652129312285458,0.,-0.997871371270156)); #177310=DIRECTION('',(-0.0652129312285458,0.,-0.997871371270156)); #177311=DIRECTION('',(0.,-1.,0.)); #177312=DIRECTION('',(-0.0652129312285458,0.,-0.997871371270156)); #177313=DIRECTION('center_axis',(-0.986840714388473,0.,0.1616954063208)); #177314=DIRECTION('ref_axis',(-0.1616954063208,0.,-0.986840714388473)); #177315=DIRECTION('',(-0.1616954063208,0.,-0.986840714388473)); #177316=DIRECTION('',(0.,-1.,0.)); #177317=DIRECTION('',(-0.1616954063208,0.,-0.986840714388473)); #177318=DIRECTION('center_axis',(-0.964876347312506,0.,0.262704462080256)); #177319=DIRECTION('ref_axis',(-0.262704462080256,0.,-0.964876347312506)); #177320=DIRECTION('',(-0.262704462080256,0.,-0.964876347312506)); #177321=DIRECTION('',(0.,-1.,0.)); #177322=DIRECTION('',(-0.262704462080256,0.,-0.964876347312506)); #177323=DIRECTION('center_axis',(-0.93051869650616,0.,0.366244393066264)); #177324=DIRECTION('ref_axis',(-0.366244393066264,0.,-0.93051869650616)); #177325=DIRECTION('',(-0.366244393066264,0.,-0.93051869650616)); #177326=DIRECTION('',(0.,-1.,0.)); #177327=DIRECTION('',(-0.366244393066264,0.,-0.93051869650616)); #177328=DIRECTION('center_axis',(-0.883353766573781,0.,0.468706862633687)); #177329=DIRECTION('ref_axis',(-0.468706862633687,0.,-0.883353766573781)); #177330=DIRECTION('',(-0.468706862633687,0.,-0.883353766573781)); #177331=DIRECTION('',(0.,-1.,0.)); #177332=DIRECTION('',(-0.468706862633687,0.,-0.883353766573781)); #177333=DIRECTION('center_axis',(-0.824177345484458,0.,0.566331796026139)); #177334=DIRECTION('ref_axis',(-0.566331796026139,0.,-0.824177345484458)); #177335=DIRECTION('',(-0.566331796026139,0.,-0.824177345484458)); #177336=DIRECTION('',(0.,-1.,0.)); #177337=DIRECTION('',(-0.566331796026139,0.,-0.824177345484458)); #177338=DIRECTION('center_axis',(-0.754599528620007,0.,0.656185607436237)); #177339=DIRECTION('ref_axis',(-0.656185607436237,0.,-0.754599528620007)); #177340=DIRECTION('',(-0.656185607436237,0.,-0.754599528620007)); #177341=DIRECTION('',(0.,-1.,0.)); #177342=DIRECTION('',(-0.656185607436237,0.,-0.754599528620007)); #177343=DIRECTION('center_axis',(-0.681360908393079,0.,0.73194761596289)); #177344=DIRECTION('ref_axis',(-0.73194761596289,0.,-0.681360908393079)); #177345=DIRECTION('',(-0.73194761596289,0.,-0.681360908393079)); #177346=DIRECTION('',(0.,-1.,0.)); #177347=DIRECTION('',(-0.73194761596289,0.,-0.681360908393079)); #177348=DIRECTION('center_axis',(0.,-1.,0.)); #177349=DIRECTION('ref_axis',(0.0880439418523335,0.,0.996116591721623)); #177350=DIRECTION('center_axis',(0.,-1.,0.)); #177351=DIRECTION('ref_axis',(0.0880439418523335,0.,0.996116591721623)); #177352=DIRECTION('',(0.,-1.,0.)); #177353=DIRECTION('center_axis',(0.,-1.,0.)); #177354=DIRECTION('ref_axis',(0.0880439418523335,0.,0.996116591721623)); #177355=DIRECTION('center_axis',(0.,0.,1.)); #177356=DIRECTION('ref_axis',(-1.,0.,0.)); #177357=DIRECTION('',(-1.,0.,0.)); #177358=DIRECTION('',(0.,-1.,0.)); #177359=DIRECTION('',(-1.,0.,0.)); #177360=DIRECTION('center_axis',(0.,-1.,0.)); #177361=DIRECTION('ref_axis',(0.999878456615066,0.,0.0155907664042744)); #177362=DIRECTION('center_axis',(0.,1.,0.)); #177363=DIRECTION('ref_axis',(0.999878456615066,0.,0.0155907664042744)); #177364=DIRECTION('',(0.,-1.,0.)); #177365=DIRECTION('center_axis',(0.,1.,0.)); #177366=DIRECTION('ref_axis',(0.999878456615066,0.,0.0155907664042744)); #177367=DIRECTION('center_axis',(0.,-1.,0.)); #177368=DIRECTION('ref_axis',(-0.12613179062789,0.,-0.992013493553894)); #177369=DIRECTION('center_axis',(0.,-1.,0.)); #177370=DIRECTION('ref_axis',(-0.12613179062789,0.,-0.992013493553894)); #177371=DIRECTION('',(0.,-1.,0.)); #177372=DIRECTION('center_axis',(0.,-1.,0.)); #177373=DIRECTION('ref_axis',(-0.12613179062789,0.,-0.992013493553894)); #177374=DIRECTION('center_axis',(0.,-1.,0.)); #177375=DIRECTION('ref_axis',(-0.386758035477807,0.,-0.922181230557935)); #177376=DIRECTION('center_axis',(0.,-1.,0.)); #177377=DIRECTION('ref_axis',(-0.386758035477807,0.,-0.922181230557935)); #177378=DIRECTION('',(0.,-1.,0.)); #177379=DIRECTION('center_axis',(0.,-1.,0.)); #177380=DIRECTION('ref_axis',(-0.386758035477807,0.,-0.922181230557935)); #177381=DIRECTION('center_axis',(0.,-1.,0.)); #177382=DIRECTION('ref_axis',(-0.586924680757614,0.,-0.809641537421081)); #177383=DIRECTION('center_axis',(0.,-1.,0.)); #177384=DIRECTION('ref_axis',(-0.586924680757614,0.,-0.809641537421081)); #177385=DIRECTION('',(0.,-1.,0.)); #177386=DIRECTION('center_axis',(0.,-1.,0.)); #177387=DIRECTION('ref_axis',(-0.586924680757614,0.,-0.809641537421081)); #177388=DIRECTION('center_axis',(-0.665055013645574,0.,-0.746794368501052)); #177389=DIRECTION('ref_axis',(0.746794368501052,0.,-0.665055013645574)); #177390=DIRECTION('',(0.746794368501052,0.,-0.665055013645574)); #177391=DIRECTION('',(0.,-1.,0.)); #177392=DIRECTION('',(0.746794368501052,0.,-0.665055013645574)); #177393=DIRECTION('center_axis',(-0.73792605975554,0.,-0.674881567635139)); #177394=DIRECTION('ref_axis',(0.674881567635139,0.,-0.73792605975554)); #177395=DIRECTION('',(0.674881567635139,0.,-0.73792605975554)); #177396=DIRECTION('',(0.,-1.,0.)); #177397=DIRECTION('',(0.674881567635139,0.,-0.73792605975554)); #177398=DIRECTION('center_axis',(-0.808875999436915,0.,-0.587979266245786)); #177399=DIRECTION('ref_axis',(0.587979266245786,0.,-0.808875999436915)); #177400=DIRECTION('',(0.587979266245786,0.,-0.808875999436915)); #177401=DIRECTION('',(0.,-1.,0.)); #177402=DIRECTION('',(0.587979266245786,0.,-0.808875999436915)); #177403=DIRECTION('center_axis',(-0.870867117432357,0.,-0.491518528414808)); #177404=DIRECTION('ref_axis',(0.491518528414808,0.,-0.870867117432357)); #177405=DIRECTION('',(0.491518528414808,0.,-0.870867117432357)); #177406=DIRECTION('',(0.,-1.,0.)); #177407=DIRECTION('',(0.491518528414808,0.,-0.870867117432357)); #177408=DIRECTION('center_axis',(-0.920710939377666,0.,-0.390245264045954)); #177409=DIRECTION('ref_axis',(0.390245264045954,0.,-0.920710939377666)); #177410=DIRECTION('',(0.390245264045954,0.,-0.920710939377666)); #177411=DIRECTION('',(0.,-1.,0.)); #177412=DIRECTION('',(0.390245264045954,0.,-0.920710939377666)); #177413=DIRECTION('center_axis',(0.,-1.,0.)); #177414=DIRECTION('ref_axis',(-0.98690276261205,0.,-0.161316264365076)); #177415=DIRECTION('center_axis',(0.,-1.,0.)); #177416=DIRECTION('ref_axis',(-0.98690276261205,0.,-0.161316264365076)); #177417=DIRECTION('',(0.,-1.,0.)); #177418=DIRECTION('center_axis',(0.,-1.,0.)); #177419=DIRECTION('ref_axis',(-0.98690276261205,0.,-0.161316264365076)); #177420=DIRECTION('center_axis',(-0.996317164623048,0.,-0.0857444311748017)); #177421=DIRECTION('ref_axis',(0.0857444311748017,0.,-0.996317164623048)); #177422=DIRECTION('',(0.0857444311748017,0.,-0.996317164623048)); #177423=DIRECTION('',(0.,-1.,0.)); #177424=DIRECTION('',(0.0857444311748017,0.,-0.996317164623048)); #177425=DIRECTION('center_axis',(-0.999984339736095,0.,0.00559645267710876)); #177426=DIRECTION('ref_axis',(-0.00559645267710876,0.,-0.999984339736094)); #177427=DIRECTION('',(-0.00559645267710876,0.,-0.999984339736094)); #177428=DIRECTION('',(0.,-1.,0.)); #177429=DIRECTION('',(-0.00559645267710876,0.,-0.999984339736094)); #177430=DIRECTION('center_axis',(-0.994743298890198,0.,0.102400045473851)); #177431=DIRECTION('ref_axis',(-0.102400045473851,0.,-0.994743298890198)); #177432=DIRECTION('',(-0.102400045473851,0.,-0.994743298890198)); #177433=DIRECTION('',(0.,-1.,0.)); #177434=DIRECTION('',(-0.102400045473851,0.,-0.994743298890198)); #177435=DIRECTION('center_axis',(0.,-1.,0.)); #177436=DIRECTION('ref_axis',(-0.944247477483298,0.,0.329236543030128)); #177437=DIRECTION('center_axis',(0.,-1.,0.)); #177438=DIRECTION('ref_axis',(-0.944247477483298,0.,0.329236543030128)); #177439=DIRECTION('',(0.,-1.,0.)); #177440=DIRECTION('center_axis',(0.,-1.,0.)); #177441=DIRECTION('ref_axis',(-0.944247477483298,0.,0.329236543030128)); #177442=DIRECTION('center_axis',(-0.913332466517732,0.,0.407214692275016)); #177443=DIRECTION('ref_axis',(-0.407214692275016,0.,-0.913332466517732)); #177444=DIRECTION('',(-0.407214692275016,0.,-0.913332466517732)); #177445=DIRECTION('',(0.,-1.,0.)); #177446=DIRECTION('',(-0.407214692275016,0.,-0.913332466517732)); #177447=DIRECTION('center_axis',(-0.864568275002615,0.,0.502515370768897)); #177448=DIRECTION('ref_axis',(-0.502515370768897,0.,-0.864568275002615)); #177449=DIRECTION('',(-0.502515370768897,0.,-0.864568275002615)); #177450=DIRECTION('',(0.,-1.,0.)); #177451=DIRECTION('',(-0.502515370768897,0.,-0.864568275002615)); #177452=DIRECTION('center_axis',(-0.80859872825375,0.,0.58836051589686)); #177453=DIRECTION('ref_axis',(-0.58836051589686,0.,-0.80859872825375)); #177454=DIRECTION('',(-0.58836051589686,0.,-0.80859872825375)); #177455=DIRECTION('',(0.,-1.,0.)); #177456=DIRECTION('',(-0.58836051589686,0.,-0.80859872825375)); #177457=DIRECTION('center_axis',(0.,-1.,0.)); #177458=DIRECTION('ref_axis',(-0.651813258230722,0.,0.758379506839847)); #177459=DIRECTION('center_axis',(0.,-1.,0.)); #177460=DIRECTION('ref_axis',(-0.651813258230722,0.,0.758379506839847)); #177461=DIRECTION('',(0.,-1.,0.)); #177462=DIRECTION('center_axis',(0.,-1.,0.)); #177463=DIRECTION('ref_axis',(-0.651813258230722,0.,0.758379506839847)); #177464=DIRECTION('center_axis',(0.,-1.,0.)); #177465=DIRECTION('ref_axis',(-0.0302297200240337,0.,0.999542977578888)); #177466=DIRECTION('center_axis',(0.,-1.,0.)); #177467=DIRECTION('ref_axis',(-0.0302297200240337,0.,0.999542977578888)); #177468=DIRECTION('',(0.,-1.,0.)); #177469=DIRECTION('center_axis',(0.,-1.,0.)); #177470=DIRECTION('ref_axis',(-0.0302297200240337,0.,0.999542977578888)); #177471=DIRECTION('center_axis',(0.,-1.,0.)); #177472=DIRECTION('ref_axis',(0.0458071823117361,0.,0.998950300089378)); #177473=DIRECTION('center_axis',(0.,-1.,0.)); #177474=DIRECTION('ref_axis',(0.0458071823117361,0.,0.998950300089378)); #177475=DIRECTION('',(0.,-1.,0.)); #177476=DIRECTION('center_axis',(0.,-1.,0.)); #177477=DIRECTION('ref_axis',(0.0458071823117361,0.,0.998950300089378)); #177478=DIRECTION('center_axis',(0.,-1.,0.)); #177479=DIRECTION('ref_axis',(0.298814921161486,0.,0.954311082871437)); #177480=DIRECTION('center_axis',(0.,-1.,0.)); #177481=DIRECTION('ref_axis',(0.298814921161486,0.,0.954311082871437)); #177482=DIRECTION('',(0.,-1.,0.)); #177483=DIRECTION('center_axis',(0.,-1.,0.)); #177484=DIRECTION('ref_axis',(0.298814921161486,0.,0.954311082871437)); #177485=DIRECTION('center_axis',(0.389703648733938,0.,0.920940316287356)); #177486=DIRECTION('ref_axis',(-0.920940316287356,0.,0.389703648733938)); #177487=DIRECTION('',(-0.920940316287356,0.,0.389703648733938)); #177488=DIRECTION('',(0.,-1.,0.)); #177489=DIRECTION('',(-0.920940316287356,0.,0.389703648733938)); #177490=DIRECTION('center_axis',(0.493185391448615,0.,0.869924232138453)); #177491=DIRECTION('ref_axis',(-0.869924232138453,0.,0.493185391448615)); #177492=DIRECTION('',(-0.869924232138453,0.,0.493185391448615)); #177493=DIRECTION('',(0.,-1.,0.)); #177494=DIRECTION('',(-0.869924232138453,0.,0.493185391448615)); #177495=DIRECTION('center_axis',(0.600247177399097,0.,0.799814557272132)); #177496=DIRECTION('ref_axis',(-0.799814557272132,0.,0.600247177399097)); #177497=DIRECTION('',(-0.799814557272132,0.,0.600247177399097)); #177498=DIRECTION('',(0.,-1.,0.)); #177499=DIRECTION('',(-0.799814557272132,0.,0.600247177399097)); #177500=DIRECTION('center_axis',(0.705716992291364,0.,0.708493843862621)); #177501=DIRECTION('ref_axis',(-0.708493843862621,0.,0.705716992291363)); #177502=DIRECTION('',(-0.708493843862621,0.,0.705716992291363)); #177503=DIRECTION('',(0.,-1.,0.)); #177504=DIRECTION('',(-0.708493843862621,0.,0.705716992291363)); #177505=DIRECTION('center_axis',(0.,-1.,0.)); #177506=DIRECTION('ref_axis',(0.842490868184347,0.,0.538710624571286)); #177507=DIRECTION('center_axis',(0.,-1.,0.)); #177508=DIRECTION('ref_axis',(0.842490868184347,0.,0.538710624571286)); #177509=DIRECTION('',(0.,-1.,0.)); #177510=DIRECTION('center_axis',(0.,-1.,0.)); #177511=DIRECTION('ref_axis',(0.842490868184347,0.,0.538710624571286)); #177512=DIRECTION('center_axis',(0.,-1.,0.)); #177513=DIRECTION('ref_axis',(0.934634013756224,0.,0.355611108276909)); #177514=DIRECTION('center_axis',(0.,-1.,0.)); #177515=DIRECTION('ref_axis',(0.934634013756224,0.,0.355611108276909)); #177516=DIRECTION('',(0.,-1.,0.)); #177517=DIRECTION('center_axis',(0.,-1.,0.)); #177518=DIRECTION('ref_axis',(0.934634013756224,0.,0.355611108276909)); #177519=DIRECTION('center_axis',(0.962634988683565,0.,0.270802286848158)); #177520=DIRECTION('ref_axis',(-0.270802286848158,0.,0.962634988683565)); #177521=DIRECTION('',(-0.270802286848158,0.,0.962634988683565)); #177522=DIRECTION('',(0.,-1.,0.)); #177523=DIRECTION('',(-0.270802286848158,0.,0.962634988683565)); #177524=DIRECTION('center_axis',(0.,-1.,0.)); #177525=DIRECTION('ref_axis',(0.999236928842645,0.,0.0390584182618757)); #177526=DIRECTION('center_axis',(0.,-1.,0.)); #177527=DIRECTION('ref_axis',(0.999236928842645,0.,0.0390584182618757)); #177528=DIRECTION('',(0.,-1.,0.)); #177529=DIRECTION('center_axis',(0.,-1.,0.)); #177530=DIRECTION('ref_axis',(0.999236928842645,0.,0.0390584182618757)); #177531=DIRECTION('center_axis',(0.999609085764175,0.,-0.0279584630784618)); #177532=DIRECTION('ref_axis',(0.0279584630784618,0.,0.999609085764175)); #177533=DIRECTION('',(0.0279584630784618,0.,0.999609085764175)); #177534=DIRECTION('',(0.,-1.,0.)); #177535=DIRECTION('',(0.0279584630784618,0.,0.999609085764175)); #177536=DIRECTION('center_axis',(0.992497248812076,0.,-0.122266966513692)); #177537=DIRECTION('ref_axis',(0.122266966513692,0.,0.992497248812076)); #177538=DIRECTION('',(0.122266966513692,0.,0.992497248812076)); #177539=DIRECTION('',(0.,-1.,0.)); #177540=DIRECTION('',(0.122266966513692,0.,0.992497248812076)); #177541=DIRECTION('center_axis',(0.974944150047253,0.,-0.222449779250599)); #177542=DIRECTION('ref_axis',(0.222449779250599,0.,0.974944150047252)); #177543=DIRECTION('',(0.222449779250599,0.,0.974944150047252)); #177544=DIRECTION('',(0.,-1.,0.)); #177545=DIRECTION('',(0.222449779250599,0.,0.974944150047252)); #177546=DIRECTION('center_axis',(0.945509077567657,0.,-0.325595737437022)); #177547=DIRECTION('ref_axis',(0.325595737437022,0.,0.945509077567657)); #177548=DIRECTION('',(0.325595737437022,0.,0.945509077567657)); #177549=DIRECTION('',(0.,-1.,0.)); #177550=DIRECTION('',(0.325595737437022,0.,0.945509077567657)); #177551=DIRECTION('center_axis',(0.903620792529126,0.,-0.428333355354255)); #177552=DIRECTION('ref_axis',(0.428333355354255,0.,0.903620792529126)); #177553=DIRECTION('',(0.428333355354255,0.,0.903620792529126)); #177554=DIRECTION('',(0.,-1.,0.)); #177555=DIRECTION('',(0.428333355354255,0.,0.903620792529126)); #177556=DIRECTION('center_axis',(0.849452742901548,0.,-0.527664701848661)); #177557=DIRECTION('ref_axis',(0.527664701848661,0.,0.849452742901548)); #177558=DIRECTION('',(0.527664701848661,0.,0.849452742901548)); #177559=DIRECTION('',(0.,-1.,0.)); #177560=DIRECTION('',(0.527664701848661,0.,0.849452742901548)); #177561=DIRECTION('center_axis',(0.784512478418954,0.,-0.620113030991085)); #177562=DIRECTION('ref_axis',(0.620113030991085,0.,0.784512478418954)); #177563=DIRECTION('',(0.620113030991085,0.,0.784512478418954)); #177564=DIRECTION('',(0.,-1.,0.)); #177565=DIRECTION('',(0.620113030991085,0.,0.784512478418954)); #177566=DIRECTION('center_axis',(0.711993884850175,0.,-0.702185664860766)); #177567=DIRECTION('ref_axis',(0.702185664860766,0.,0.711993884850175)); #177568=DIRECTION('',(0.702185664860766,0.,0.711993884850175)); #177569=DIRECTION('',(0.,-1.,0.)); #177570=DIRECTION('',(0.702185664860766,0.,0.711993884850175)); #177571=DIRECTION('center_axis',(0.,-1.,0.)); #177572=DIRECTION('ref_axis',(-0.0874548555883322,0.,-0.996168483859043)); #177573=DIRECTION('center_axis',(0.,-1.,0.)); #177574=DIRECTION('ref_axis',(-0.0874548555883322,0.,-0.996168483859043)); #177575=DIRECTION('',(0.,-1.,0.)); #177576=DIRECTION('center_axis',(0.,-1.,0.)); #177577=DIRECTION('ref_axis',(-0.0874548555883322,0.,-0.996168483859043)); #177578=DIRECTION('center_axis',(-0.000192695240869959,0.,-0.999999981434272)); #177579=DIRECTION('ref_axis',(0.999999981434272,0.,-0.000192695240869959)); #177580=DIRECTION('',(0.999999981434272,0.,-0.000192695240869959)); #177581=DIRECTION('',(0.,-1.,0.)); #177582=DIRECTION('',(0.999999981434272,0.,-0.000192695240869959)); #177583=DIRECTION('center_axis',(0.999999982716366,0.,-0.000185922747092443)); #177584=DIRECTION('ref_axis',(0.000185922747092443,0.,0.999999982716366)); #177585=DIRECTION('',(0.000185922747092443,0.,0.999999982716366)); #177586=DIRECTION('',(0.,-1.,0.)); #177587=DIRECTION('',(0.000185922747092443,0.,0.999999982716366)); #177588=DIRECTION('center_axis',(-7.72576618088656E-5,0.,0.999999997015627)); #177589=DIRECTION('ref_axis',(-0.999999997015627,0.,-7.72576618088656E-5)); #177590=DIRECTION('',(-0.999999997015627,0.,-7.72576618088656E-5)); #177591=DIRECTION('',(0.,-1.,0.)); #177592=DIRECTION('',(-0.999999997015627,0.,-7.72576618088656E-5)); #177593=DIRECTION('center_axis',(0.000165814455209932,0.,0.999999986252783)); #177594=DIRECTION('ref_axis',(-0.999999986252783,0.,0.000165814455209932)); #177595=DIRECTION('',(-0.999999986252783,0.,0.000165814455209932)); #177596=DIRECTION('',(0.,-1.,0.)); #177597=DIRECTION('',(-0.999999986252783,0.,0.000165814455209932)); #177598=DIRECTION('center_axis',(0.,-1.,0.)); #177599=DIRECTION('ref_axis',(-0.962678652939107,0.,0.27064702321538)); #177600=DIRECTION('center_axis',(0.,-1.,0.)); #177601=DIRECTION('ref_axis',(-0.962678652939107,0.,0.27064702321538)); #177602=DIRECTION('',(0.,-1.,0.)); #177603=DIRECTION('center_axis',(0.,-1.,0.)); #177604=DIRECTION('ref_axis',(-0.962678652939107,0.,0.27064702321538)); #177605=DIRECTION('center_axis',(-0.901641164605194,0.,0.432484924927321)); #177606=DIRECTION('ref_axis',(-0.432484924927321,0.,-0.901641164605194)); #177607=DIRECTION('',(-0.432484924927321,0.,-0.901641164605194)); #177608=DIRECTION('',(0.,-1.,0.)); #177609=DIRECTION('',(-0.432484924927321,0.,-0.901641164605194)); #177610=DIRECTION('center_axis',(-0.843232177103235,0.,0.53754952841365)); #177611=DIRECTION('ref_axis',(-0.53754952841365,0.,-0.843232177103235)); #177612=DIRECTION('',(-0.53754952841365,0.,-0.843232177103235)); #177613=DIRECTION('',(0.,-1.,0.)); #177614=DIRECTION('',(-0.53754952841365,0.,-0.843232177103235)); #177615=DIRECTION('center_axis',(-0.76474074162132,0.,0.644338108530354)); #177616=DIRECTION('ref_axis',(-0.644338108530354,0.,-0.76474074162132)); #177617=DIRECTION('',(-0.644338108530354,0.,-0.76474074162132)); #177618=DIRECTION('',(0.,-1.,0.)); #177619=DIRECTION('',(-0.644338108530354,0.,-0.76474074162132)); #177620=DIRECTION('center_axis',(-0.687207576597331,0.,0.726461111600079)); #177621=DIRECTION('ref_axis',(-0.726461111600079,0.,-0.687207576597331)); #177622=DIRECTION('',(-0.726461111600079,0.,-0.687207576597331)); #177623=DIRECTION('',(0.,-1.,0.)); #177624=DIRECTION('',(-0.726461111600079,0.,-0.687207576597331)); #177625=DIRECTION('center_axis',(-0.606804187708015,0.,0.794851355776674)); #177626=DIRECTION('ref_axis',(-0.794851355776674,0.,-0.606804187708015)); #177627=DIRECTION('',(-0.794851355776674,0.,-0.606804187708015)); #177628=DIRECTION('',(0.,-1.,0.)); #177629=DIRECTION('',(-0.794851355776674,0.,-0.606804187708015)); #177630=DIRECTION('center_axis',(-0.517447856367345,0.,0.855714739817447)); #177631=DIRECTION('ref_axis',(-0.855714739817447,0.,-0.517447856367345)); #177632=DIRECTION('',(-0.855714739817447,0.,-0.517447856367345)); #177633=DIRECTION('',(0.,-1.,0.)); #177634=DIRECTION('',(-0.855714739817447,0.,-0.517447856367344)); #177635=DIRECTION('center_axis',(-0.421012903665812,0.,0.907054648269266)); #177636=DIRECTION('ref_axis',(-0.907054648269266,0.,-0.421012903665812)); #177637=DIRECTION('',(-0.907054648269266,0.,-0.421012903665812)); #177638=DIRECTION('',(0.,-1.,0.)); #177639=DIRECTION('',(-0.907054648269266,0.,-0.421012903665812)); #177640=DIRECTION('center_axis',(-0.319829783096105,0.,0.947475018058365)); #177641=DIRECTION('ref_axis',(-0.947475018058365,0.,-0.319829783096105)); #177642=DIRECTION('',(-0.947475018058365,0.,-0.319829783096105)); #177643=DIRECTION('',(0.,-1.,0.)); #177644=DIRECTION('',(-0.947475018058365,0.,-0.319829783096105)); #177645=DIRECTION('center_axis',(-0.218378055937627,0.,0.975864245007933)); #177646=DIRECTION('ref_axis',(-0.975864245007933,0.,-0.218378055937627)); #177647=DIRECTION('',(-0.975864245007933,0.,-0.218378055937627)); #177648=DIRECTION('',(0.,-1.,0.)); #177649=DIRECTION('',(-0.975864245007933,0.,-0.218378055937627)); #177650=DIRECTION('center_axis',(-0.118413663283639,0.,0.992964352002502)); #177651=DIRECTION('ref_axis',(-0.992964352002502,0.,-0.118413663283639)); #177652=DIRECTION('',(-0.992964352002502,0.,-0.118413663283639)); #177653=DIRECTION('',(0.,-1.,0.)); #177654=DIRECTION('',(-0.992964352002502,0.,-0.118413663283639)); #177655=DIRECTION('center_axis',(-0.0239337387400053,0.,0.999713547047316)); #177656=DIRECTION('ref_axis',(-0.999713547047316,0.,-0.0239337387400053)); #177657=DIRECTION('',(-0.999713547047316,0.,-0.0239337387400053)); #177658=DIRECTION('',(-0.999713547047316,0.,-0.0239337387400053)); #177659=DIRECTION('center_axis',(0.,1.,0.)); #177660=DIRECTION('ref_axis',(1.,0.,0.)); #177661=DIRECTION('center_axis',(0.,-1.,0.)); #177662=DIRECTION('ref_axis',(-0.108236171181104,0.,0.994125209039613)); #177663=DIRECTION('center_axis',(0.,-1.,0.)); #177664=DIRECTION('ref_axis',(-0.108236171181104,0.,0.994125209039613)); #177665=DIRECTION('',(0.,-1.,0.)); #177666=DIRECTION('center_axis',(0.,-1.,0.)); #177667=DIRECTION('ref_axis',(-0.108236171181104,0.,0.994125209039613)); #177668=DIRECTION('',(0.,-1.,0.)); #177669=DIRECTION('center_axis',(-0.0175937528913292,0.,0.999845217950858)); #177670=DIRECTION('ref_axis',(-0.999845217950858,0.,-0.0175937528913292)); #177671=DIRECTION('',(-0.999845217950858,0.,-0.0175937528913292)); #177672=DIRECTION('',(0.,-1.,0.)); #177673=DIRECTION('',(-0.999845217950858,0.,-0.0175937528913292)); #177674=DIRECTION('center_axis',(0.0767184715504483,0.,0.997052795052982)); #177675=DIRECTION('ref_axis',(-0.997052795052982,0.,0.0767184715504483)); #177676=DIRECTION('',(-0.997052795052982,0.,0.0767184715504483)); #177677=DIRECTION('',(0.,-1.,0.)); #177678=DIRECTION('',(-0.997052795052982,0.,0.0767184715504483)); #177679=DIRECTION('center_axis',(0.178563922251697,0.,0.983928313278)); #177680=DIRECTION('ref_axis',(-0.983928313278,0.,0.178563922251697)); #177681=DIRECTION('',(-0.983928313278,0.,0.178563922251697)); #177682=DIRECTION('',(0.,-1.,0.)); #177683=DIRECTION('',(-0.983928313278,0.,0.178563922251697)); #177684=DIRECTION('center_axis',(0.285273977088589,0.,0.958446012040355)); #177685=DIRECTION('ref_axis',(-0.958446012040355,0.,0.285273977088589)); #177686=DIRECTION('',(-0.958446012040355,0.,0.285273977088589)); #177687=DIRECTION('',(0.,-1.,0.)); #177688=DIRECTION('',(-0.958446012040355,0.,0.285273977088589)); #177689=DIRECTION('center_axis',(0.392445293296378,0.,0.919775348533282)); #177690=DIRECTION('ref_axis',(-0.919775348533282,0.,0.392445293296378)); #177691=DIRECTION('',(-0.919775348533282,0.,0.392445293296378)); #177692=DIRECTION('',(0.,-1.,0.)); #177693=DIRECTION('',(-0.919775348533282,0.,0.392445293296378)); #177694=DIRECTION('center_axis',(0.494908720780374,0.,0.868944968393013)); #177695=DIRECTION('ref_axis',(-0.868944968393013,0.,0.494908720780374)); #177696=DIRECTION('',(-0.868944968393013,0.,0.494908720780374)); #177697=DIRECTION('',(0.,-1.,0.)); #177698=DIRECTION('',(-0.868944968393013,0.,0.494908720780374)); #177699=DIRECTION('center_axis',(0.588360490572114,0.,0.808598746680788)); #177700=DIRECTION('ref_axis',(-0.808598746680788,0.,0.588360490572114)); #177701=DIRECTION('',(-0.808598746680788,0.,0.588360490572114)); #177702=DIRECTION('',(0.,-1.,0.)); #177703=DIRECTION('',(-0.808598746680788,0.,0.588360490572114)); #177704=DIRECTION('center_axis',(0.670809214224086,0.,0.741629960365723)); #177705=DIRECTION('ref_axis',(-0.741629960365723,0.,0.670809214224086)); #177706=DIRECTION('',(-0.741629960365723,0.,0.670809214224086)); #177707=DIRECTION('',(0.,-1.,0.)); #177708=DIRECTION('',(-0.741629960365723,0.,0.670809214224086)); #177709=DIRECTION('center_axis',(0.740065055563969,0.,0.672535287946365)); #177710=DIRECTION('ref_axis',(-0.672535287946365,0.,0.740065055563969)); #177711=DIRECTION('',(-0.672535287946365,0.,0.740065055563969)); #177712=DIRECTION('',(0.,-1.,0.)); #177713=DIRECTION('',(-0.672535287946365,0.,0.740065055563969)); #177714=DIRECTION('center_axis',(0.819825685934511,0.,0.572613171942463)); #177715=DIRECTION('ref_axis',(-0.572613171942463,0.,0.819825685934511)); #177716=DIRECTION('',(-0.572613171942463,0.,0.819825685934511)); #177717=DIRECTION('',(0.,-1.,0.)); #177718=DIRECTION('',(-0.572613171942463,0.,0.819825685934511)); #177719=DIRECTION('center_axis',(0.88476429042547,0.,0.466038786355723)); #177720=DIRECTION('ref_axis',(-0.466038786355723,0.,0.88476429042547)); #177721=DIRECTION('',(-0.466038786355723,0.,0.88476429042547)); #177722=DIRECTION('',(0.,-1.,0.)); #177723=DIRECTION('',(-0.466038786355723,0.,0.88476429042547)); #177724=DIRECTION('center_axis',(0.,-1.,0.)); #177725=DIRECTION('ref_axis',(0.966524733002241,0.,0.256573460231074)); #177726=DIRECTION('center_axis',(0.,-1.,0.)); #177727=DIRECTION('ref_axis',(0.966524733002241,0.,0.256573460231074)); #177728=DIRECTION('',(0.,-1.,0.)); #177729=DIRECTION('center_axis',(0.,-1.,0.)); #177730=DIRECTION('ref_axis',(0.966524733002241,0.,0.256573460231074)); #177731=DIRECTION('center_axis',(0.,-1.,0.)); #177732=DIRECTION('ref_axis',(0.99250016453731,0.,-0.122243295903753)); #177733=DIRECTION('center_axis',(0.,-1.,0.)); #177734=DIRECTION('ref_axis',(0.99250016453731,0.,-0.122243295903753)); #177735=DIRECTION('',(0.,-1.,0.)); #177736=DIRECTION('center_axis',(0.,-1.,0.)); #177737=DIRECTION('ref_axis',(0.99250016453731,0.,-0.122243295903753)); #177738=DIRECTION('center_axis',(0.98394665749138,0.,-0.178462811844765)); #177739=DIRECTION('ref_axis',(0.178462811844765,0.,0.98394665749138)); #177740=DIRECTION('',(0.178462811844765,0.,0.98394665749138)); #177741=DIRECTION('',(0.,-1.,0.)); #177742=DIRECTION('',(0.178462811844765,0.,0.98394665749138)); #177743=DIRECTION('center_axis',(0.966154822552014,0.,-0.257962902099287)); #177744=DIRECTION('ref_axis',(0.257962902099287,0.,0.966154822552014)); #177745=DIRECTION('',(0.257962902099287,0.,0.966154822552014)); #177746=DIRECTION('',(0.,-1.,0.)); #177747=DIRECTION('',(0.257962902099287,0.,0.966154822552014)); #177748=DIRECTION('center_axis',(0.937753124624664,0.,-0.347302573063717)); #177749=DIRECTION('ref_axis',(0.347302573063717,0.,0.937753124624664)); #177750=DIRECTION('',(0.347302573063717,0.,0.937753124624664)); #177751=DIRECTION('',(0.,-1.,0.)); #177752=DIRECTION('',(0.347302573063717,0.,0.937753124624664)); #177753=DIRECTION('center_axis',(0.894966015395851,0.,-0.44613431978102)); #177754=DIRECTION('ref_axis',(0.44613431978102,0.,0.894966015395851)); #177755=DIRECTION('',(0.44613431978102,0.,0.894966015395851)); #177756=DIRECTION('',(0.,-1.,0.)); #177757=DIRECTION('',(0.44613431978102,0.,0.894966015395851)); #177758=DIRECTION('center_axis',(0.834113652248611,0.,-0.551592617003241)); #177759=DIRECTION('ref_axis',(0.551592617003241,0.,0.83411365224861)); #177760=DIRECTION('',(0.551592617003241,0.,0.83411365224861)); #177761=DIRECTION('',(0.,-1.,0.)); #177762=DIRECTION('',(0.551592617003241,0.,0.83411365224861)); #177763=DIRECTION('center_axis',(0.742374209535204,0.,-0.669985472243228)); #177764=DIRECTION('ref_axis',(0.669985472243228,0.,0.742374209535204)); #177765=DIRECTION('',(0.669985472243228,0.,0.742374209535204)); #177766=DIRECTION('',(0.,-1.,0.)); #177767=DIRECTION('',(0.669985472243228,0.,0.742374209535204)); #177768=DIRECTION('center_axis',(0.655932804329502,0.,-0.75481928711741)); #177769=DIRECTION('ref_axis',(0.75481928711741,0.,0.655932804329502)); #177770=DIRECTION('',(0.75481928711741,0.,0.655932804329502)); #177771=DIRECTION('',(0.,-1.,0.)); #177772=DIRECTION('',(0.75481928711741,0.,0.655932804329502)); #177773=DIRECTION('center_axis',(0.580813222582195,0.,-0.814036854493509)); #177774=DIRECTION('ref_axis',(0.814036854493509,0.,0.580813222582195)); #177775=DIRECTION('',(0.814036854493509,0.,0.580813222582195)); #177776=DIRECTION('',(0.,-1.,0.)); #177777=DIRECTION('',(0.814036854493509,0.,0.580813222582195)); #177778=DIRECTION('center_axis',(0.,-1.,0.)); #177779=DIRECTION('ref_axis',(0.406168794318478,0.,-0.913798068788654)); #177780=DIRECTION('center_axis',(0.,-1.,0.)); #177781=DIRECTION('ref_axis',(0.406168794318478,0.,-0.913798068788654)); #177782=DIRECTION('',(0.,-1.,0.)); #177783=DIRECTION('center_axis',(0.,-1.,0.)); #177784=DIRECTION('ref_axis',(0.406168794318478,0.,-0.913798068788654)); #177785=DIRECTION('center_axis',(0.298759506163934,0.,-0.95432843270893)); #177786=DIRECTION('ref_axis',(0.95432843270893,0.,0.298759506163934)); #177787=DIRECTION('',(0.95432843270893,0.,0.298759506163934)); #177788=DIRECTION('',(0.,-1.,0.)); #177789=DIRECTION('',(0.95432843270893,0.,0.298759506163934)); #177790=DIRECTION('center_axis',(0.19521140442218,0.,-0.980761187845196)); #177791=DIRECTION('ref_axis',(0.980761187845196,0.,0.19521140442218)); #177792=DIRECTION('',(0.980761187845196,0.,0.19521140442218)); #177793=DIRECTION('',(0.,-1.,0.)); #177794=DIRECTION('',(0.980761187845196,0.,0.19521140442218)); #177795=DIRECTION('center_axis',(0.0941938570985295,0.,-0.995553874627035)); #177796=DIRECTION('ref_axis',(0.995553874627035,0.,0.0941938570985295)); #177797=DIRECTION('',(0.995553874627035,0.,0.0941938570985295)); #177798=DIRECTION('',(0.,-1.,0.)); #177799=DIRECTION('',(0.995553874627035,0.,0.0941938570985295)); #177800=DIRECTION('center_axis',(-0.00160438889993605,0.,-0.999998712967301)); #177801=DIRECTION('ref_axis',(0.999998712967301,0.,-0.00160438889993605)); #177802=DIRECTION('',(0.999998712967301,0.,-0.00160438889993605)); #177803=DIRECTION('',(0.,-1.,0.)); #177804=DIRECTION('',(0.999998712967301,0.,-0.00160438889993605)); #177805=DIRECTION('center_axis',(-0.094371284091761,0.,-0.995537071504056)); #177806=DIRECTION('ref_axis',(0.995537071504056,0.,-0.094371284091761)); #177807=DIRECTION('',(0.995537071504056,0.,-0.094371284091761)); #177808=DIRECTION('',(0.,-1.,0.)); #177809=DIRECTION('',(0.995537071504056,0.,-0.094371284091761)); #177810=DIRECTION('center_axis',(-0.192664563410821,0.,-0.981264676835826)); #177811=DIRECTION('ref_axis',(0.981264676835826,0.,-0.192664563410821)); #177812=DIRECTION('',(0.981264676835826,0.,-0.192664563410821)); #177813=DIRECTION('',(0.,-1.,0.)); #177814=DIRECTION('',(0.981264676835826,0.,-0.192664563410821)); #177815=DIRECTION('center_axis',(-0.295510455210034,0.,-0.955339505548451)); #177816=DIRECTION('ref_axis',(0.955339505548451,0.,-0.295510455210034)); #177817=DIRECTION('',(0.955339505548451,0.,-0.295510455210034)); #177818=DIRECTION('',(0.,-1.,0.)); #177819=DIRECTION('',(0.955339505548451,0.,-0.295510455210034)); #177820=DIRECTION('center_axis',(-0.398741400107889,0.,-0.917063408843685)); #177821=DIRECTION('ref_axis',(0.917063408843685,0.,-0.398741400107889)); #177822=DIRECTION('',(0.917063408843685,0.,-0.398741400107889)); #177823=DIRECTION('',(0.,-1.,0.)); #177824=DIRECTION('',(0.917063408843685,0.,-0.398741400107889)); #177825=DIRECTION('center_axis',(-0.498664777042946,0.,-0.866794923921863)); #177826=DIRECTION('ref_axis',(0.866794923921863,0.,-0.498664777042946)); #177827=DIRECTION('',(0.866794923921863,0.,-0.498664777042946)); #177828=DIRECTION('',(0.,-1.,0.)); #177829=DIRECTION('',(0.866794923921863,0.,-0.498664777042946)); #177830=DIRECTION('center_axis',(-0.592953435829631,0.,-0.805236749619536)); #177831=DIRECTION('ref_axis',(0.805236749619536,0.,-0.592953435829631)); #177832=DIRECTION('',(0.805236749619536,0.,-0.592953435829631)); #177833=DIRECTION('',(0.,-1.,0.)); #177834=DIRECTION('',(0.805236749619536,0.,-0.592953435829631)); #177835=DIRECTION('center_axis',(-0.678889781063728,0.,-0.734240195826436)); #177836=DIRECTION('ref_axis',(0.734240195826436,0.,-0.678889781063728)); #177837=DIRECTION('',(0.734240195826436,0.,-0.678889781063728)); #177838=DIRECTION('',(0.,-1.,0.)); #177839=DIRECTION('',(0.734240195826436,0.,-0.678889781063728)); #177840=DIRECTION('center_axis',(-0.758291384050741,0.,-0.651915774371515)); #177841=DIRECTION('ref_axis',(0.651915774371514,0.,-0.758291384050741)); #177842=DIRECTION('',(0.651915774371514,0.,-0.758291384050741)); #177843=DIRECTION('',(0.,-1.,0.)); #177844=DIRECTION('',(0.651915774371515,0.,-0.758291384050741)); #177845=DIRECTION('center_axis',(-0.83973229734664,0.,-0.543000615831082)); #177846=DIRECTION('ref_axis',(0.543000615831082,0.,-0.83973229734664)); #177847=DIRECTION('',(0.543000615831082,0.,-0.83973229734664)); #177848=DIRECTION('',(0.,-1.,0.)); #177849=DIRECTION('',(0.543000615831082,0.,-0.83973229734664)); #177850=DIRECTION('center_axis',(0.,-1.,0.)); #177851=DIRECTION('ref_axis',(-0.941167653425116,0.,-0.337940006726431)); #177852=DIRECTION('center_axis',(0.,-1.,0.)); #177853=DIRECTION('ref_axis',(-0.941167653425116,0.,-0.337940006726431)); #177854=DIRECTION('',(0.,-1.,0.)); #177855=DIRECTION('center_axis',(0.,-1.,0.)); #177856=DIRECTION('ref_axis',(-0.941167653425116,0.,-0.337940006726431)); #177857=DIRECTION('center_axis',(-0.969495431820494,0.,-0.245109379011073)); #177858=DIRECTION('ref_axis',(0.245109379011073,0.,-0.969495431820494)); #177859=DIRECTION('',(0.245109379011073,0.,-0.969495431820494)); #177860=DIRECTION('',(0.,-1.,0.)); #177861=DIRECTION('',(0.245109379011073,0.,-0.969495431820494)); #177862=DIRECTION('center_axis',(-0.985862920046954,0.,-0.167553880517559)); #177863=DIRECTION('ref_axis',(0.167553880517559,0.,-0.985862920046954)); #177864=DIRECTION('',(0.167553880517559,0.,-0.985862920046954)); #177865=DIRECTION('',(0.,-1.,0.)); #177866=DIRECTION('',(0.167553880517559,0.,-0.985862920046954)); #177867=DIRECTION('center_axis',(0.,-1.,0.)); #177868=DIRECTION('ref_axis',(-0.999547713816915,0.,-0.0300727086139274)); #177869=DIRECTION('center_axis',(0.,-1.,0.)); #177870=DIRECTION('ref_axis',(-0.999547713816915,0.,-0.0300727086139274)); #177871=DIRECTION('',(0.,-1.,0.)); #177872=DIRECTION('center_axis',(0.,-1.,0.)); #177873=DIRECTION('ref_axis',(-0.999547713816915,0.,-0.0300727086139274)); #177874=DIRECTION('center_axis',(-0.999999924695433,0.,-0.000388083920043414)); #177875=DIRECTION('ref_axis',(0.000388083920043414,0.,-0.999999924695433)); #177876=DIRECTION('',(0.000388083920043414,0.,-0.999999924695433)); #177877=DIRECTION('',(0.,-1.,0.)); #177878=DIRECTION('',(0.000388083920043414,0.,-0.999999924695433)); #177879=DIRECTION('center_axis',(0.,-1.,0.)); #177880=DIRECTION('ref_axis',(-0.0200707271457103,0.,0.999798562667422)); #177881=DIRECTION('center_axis',(0.,1.,0.)); #177882=DIRECTION('ref_axis',(-0.0200707271457103,0.,0.999798562667422)); #177883=DIRECTION('',(0.,-1.,0.)); #177884=DIRECTION('center_axis',(0.,1.,0.)); #177885=DIRECTION('ref_axis',(-0.0200707271457103,0.,0.999798562667422)); #177886=DIRECTION('center_axis',(0.,-1.,0.)); #177887=DIRECTION('ref_axis',(0.993588811758101,0.,-0.113054292926563)); #177888=DIRECTION('center_axis',(0.,-1.,0.)); #177889=DIRECTION('ref_axis',(0.993588811758101,0.,-0.113054292926563)); #177890=DIRECTION('',(0.,-1.,0.)); #177891=DIRECTION('center_axis',(0.,-1.,0.)); #177892=DIRECTION('ref_axis',(0.993588811758101,0.,-0.113054292926563)); #177893=DIRECTION('center_axis',(0.981789201704304,0.,-0.189973586102976)); #177894=DIRECTION('ref_axis',(0.189973586102976,0.,0.981789201704304)); #177895=DIRECTION('',(0.189973586102976,0.,0.981789201704304)); #177896=DIRECTION('',(0.,-1.,0.)); #177897=DIRECTION('',(0.189973586102976,0.,0.981789201704304)); #177898=DIRECTION('center_axis',(0.962477956557668,0.,-0.271359877543782)); #177899=DIRECTION('ref_axis',(0.271359877543782,0.,0.962477956557668)); #177900=DIRECTION('',(0.271359877543782,0.,0.962477956557668)); #177901=DIRECTION('',(0.,-1.,0.)); #177902=DIRECTION('',(0.271359877543782,0.,0.962477956557668)); #177903=DIRECTION('center_axis',(0.931139727717451,0.,-0.364662594004747)); #177904=DIRECTION('ref_axis',(0.364662594004747,0.,0.931139727717451)); #177905=DIRECTION('',(0.364662594004747,0.,0.931139727717451)); #177906=DIRECTION('',(0.,-1.,0.)); #177907=DIRECTION('',(0.364662594004747,0.,0.931139727717451)); #177908=DIRECTION('center_axis',(0.882951176474459,0.,-0.469464822923261)); #177909=DIRECTION('ref_axis',(0.469464822923261,0.,0.882951176474459)); #177910=DIRECTION('',(0.469464822923261,0.,0.882951176474459)); #177911=DIRECTION('',(0.,-1.,0.)); #177912=DIRECTION('',(0.469464822923261,0.,0.882951176474459)); #177913=DIRECTION('center_axis',(0.814633439081952,0.,-0.579976171863562)); #177914=DIRECTION('ref_axis',(0.579976171863562,0.,0.814633439081952)); #177915=DIRECTION('',(0.579976171863562,0.,0.814633439081952)); #177916=DIRECTION('',(0.,-1.,0.)); #177917=DIRECTION('',(0.579976171863562,0.,0.814633439081952)); #177918=DIRECTION('center_axis',(0.729968821972003,0.,-0.683480445183918)); #177919=DIRECTION('ref_axis',(0.683480445183918,0.,0.729968821972002)); #177920=DIRECTION('',(0.683480445183918,0.,0.729968821972002)); #177921=DIRECTION('',(0.,-1.,0.)); #177922=DIRECTION('',(0.683480445183918,0.,0.729968821972002)); #177923=DIRECTION('center_axis',(0.649886121580616,0.,-0.760031597354284)); #177924=DIRECTION('ref_axis',(0.760031597354284,0.,0.649886121580616)); #177925=DIRECTION('',(0.760031597354284,0.,0.649886121580616)); #177926=DIRECTION('',(0.,-1.,0.)); #177927=DIRECTION('',(0.760031597354284,0.,0.649886121580616)); #177928=DIRECTION('center_axis',(0.561322630808918,0.,-0.827597066296006)); #177929=DIRECTION('ref_axis',(0.827597066296006,0.,0.561322630808918)); #177930=DIRECTION('',(0.827597066296006,0.,0.561322630808918)); #177931=DIRECTION('',(0.,-1.,0.)); #177932=DIRECTION('',(0.827597066296006,0.,0.561322630808918)); #177933=DIRECTION('center_axis',(0.464806398733945,0.,-0.885412339927551)); #177934=DIRECTION('ref_axis',(0.885412339927551,0.,0.464806398733945)); #177935=DIRECTION('',(0.885412339927551,0.,0.464806398733945)); #177936=DIRECTION('',(0.,-1.,0.)); #177937=DIRECTION('',(0.885412339927551,0.,0.464806398733945)); #177938=DIRECTION('center_axis',(0.362250010160262,0.,-0.932080967587522)); #177939=DIRECTION('ref_axis',(0.932080967587522,0.,0.362250010160262)); #177940=DIRECTION('',(0.932080967587522,0.,0.362250010160262)); #177941=DIRECTION('',(0.,-1.,0.)); #177942=DIRECTION('',(0.932080967587522,0.,0.362250010160262)); #177943=DIRECTION('center_axis',(0.259299650148266,0.,-0.965796920389057)); #177944=DIRECTION('ref_axis',(0.965796920389057,0.,0.259299650148266)); #177945=DIRECTION('',(0.965796920389057,0.,0.259299650148266)); #177946=DIRECTION('',(0.,-1.,0.)); #177947=DIRECTION('',(0.965796920389057,0.,0.259299650148266)); #177948=DIRECTION('center_axis',(0.157704672048747,0.,-0.987486322140209)); #177949=DIRECTION('ref_axis',(0.987486322140209,0.,0.157704672048747)); #177950=DIRECTION('',(0.987486322140209,0.,0.157704672048747)); #177951=DIRECTION('',(0.,-1.,0.)); #177952=DIRECTION('',(0.987486322140209,0.,0.157704672048747)); #177953=DIRECTION('center_axis',(0.,-1.,0.)); #177954=DIRECTION('ref_axis',(-0.0264219518936527,0.,-0.999650879286428)); #177955=DIRECTION('center_axis',(0.,-1.,0.)); #177956=DIRECTION('ref_axis',(-0.0264219518936527,0.,-0.999650879286428)); #177957=DIRECTION('',(0.,-1.,0.)); #177958=DIRECTION('center_axis',(0.,-1.,0.)); #177959=DIRECTION('ref_axis',(-0.0264219518936527,0.,-0.999650879286428)); #177960=DIRECTION('center_axis',(-0.122861299831846,0.,-0.992423851488682)); #177961=DIRECTION('ref_axis',(0.992423851488682,0.,-0.122861299831846)); #177962=DIRECTION('',(0.992423851488682,0.,-0.122861299831846)); #177963=DIRECTION('',(0.,-1.,0.)); #177964=DIRECTION('',(0.992423851488682,0.,-0.122861299831846)); #177965=DIRECTION('center_axis',(0.,-1.,0.)); #177966=DIRECTION('ref_axis',(-0.317447223981979,0.,-0.948275940845351)); #177967=DIRECTION('center_axis',(0.,-1.,0.)); #177968=DIRECTION('ref_axis',(-0.317447223981979,0.,-0.948275940845351)); #177969=DIRECTION('',(0.,-1.,0.)); #177970=DIRECTION('center_axis',(0.,-1.,0.)); #177971=DIRECTION('ref_axis',(-0.317447223981979,0.,-0.948275940845351)); #177972=DIRECTION('center_axis',(-0.430046827552973,0.,-0.902806582891166)); #177973=DIRECTION('ref_axis',(0.902806582891166,0.,-0.430046827552973)); #177974=DIRECTION('',(0.902806582891166,0.,-0.430046827552973)); #177975=DIRECTION('',(0.,-1.,0.)); #177976=DIRECTION('',(0.902806582891166,0.,-0.430046827552973)); #177977=DIRECTION('center_axis',(-0.529597691313151,0.,-0.84824895246371)); #177978=DIRECTION('ref_axis',(0.84824895246371,0.,-0.529597691313151)); #177979=DIRECTION('',(0.84824895246371,0.,-0.529597691313151)); #177980=DIRECTION('',(0.,-1.,0.)); #177981=DIRECTION('',(0.84824895246371,0.,-0.529597691313151)); #177982=DIRECTION('center_axis',(-0.622796360443701,0.,-0.782383980803595)); #177983=DIRECTION('ref_axis',(0.782383980803595,0.,-0.622796360443701)); #177984=DIRECTION('',(0.782383980803595,0.,-0.622796360443701)); #177985=DIRECTION('',(0.,-1.,0.)); #177986=DIRECTION('',(0.782383980803595,0.,-0.622796360443701)); #177987=DIRECTION('center_axis',(-0.706185170393328,0.,-0.708027192356725)); #177988=DIRECTION('ref_axis',(0.708027192356725,0.,-0.706185170393328)); #177989=DIRECTION('',(0.708027192356725,0.,-0.706185170393328)); #177990=DIRECTION('',(0.,-1.,0.)); #177991=DIRECTION('',(0.708027192356725,0.,-0.706185170393328)); #177992=DIRECTION('center_axis',(-0.782393807038191,0.,-0.622784016098909)); #177993=DIRECTION('ref_axis',(0.622784016098909,0.,-0.782393807038191)); #177994=DIRECTION('',(0.622784016098909,0.,-0.782393807038191)); #177995=DIRECTION('',(0.,-1.,0.)); #177996=DIRECTION('',(0.622784016098909,0.,-0.782393807038191)); #177997=DIRECTION('center_axis',(-0.859628974643006,0.,-0.510918805637662)); #177998=DIRECTION('ref_axis',(0.510918805637662,0.,-0.859628974643006)); #177999=DIRECTION('',(0.510918805637662,0.,-0.859628974643006)); #178000=DIRECTION('',(0.,-1.,0.)); #178001=DIRECTION('',(0.510918805637662,0.,-0.859628974643006)); #178002=DIRECTION('center_axis',(-0.915403400176466,0.,-0.402537718661698)); #178003=DIRECTION('ref_axis',(0.402537718661698,0.,-0.915403400176466)); #178004=DIRECTION('',(0.402537718661698,0.,-0.915403400176466)); #178005=DIRECTION('',(0.,-1.,0.)); #178006=DIRECTION('',(0.402537718661698,0.,-0.915403400176466)); #178007=DIRECTION('center_axis',(0.,-1.,0.)); #178008=DIRECTION('ref_axis',(-0.980859013816954,0.,0.194719272323087)); #178009=DIRECTION('center_axis',(0.,-1.,0.)); #178010=DIRECTION('ref_axis',(-0.980859013816954,0.,0.194719272323087)); #178011=DIRECTION('',(0.,-1.,0.)); #178012=DIRECTION('center_axis',(0.,-1.,0.)); #178013=DIRECTION('ref_axis',(-0.980859013816954,0.,0.194719272323087)); #178014=DIRECTION('center_axis',(-0.393879874345978,0.,0.919161925117221)); #178015=DIRECTION('ref_axis',(-0.919161925117221,0.,-0.393879874345978)); #178016=DIRECTION('',(-0.919161925117221,0.,-0.393879874345978)); #178017=DIRECTION('',(0.,-1.,0.)); #178018=DIRECTION('',(-0.919161925117221,0.,-0.393879874345978)); #178019=DIRECTION('center_axis',(-0.400811355856608,0.,-0.916160606562183)); #178020=DIRECTION('ref_axis',(0.916160606562183,0.,-0.400811355856608)); #178021=DIRECTION('',(0.916160606562183,0.,-0.400811355856608)); #178022=DIRECTION('',(0.,-1.,0.)); #178023=DIRECTION('',(0.916160606562183,0.,-0.400811355856608)); #178024=DIRECTION('center_axis',(0.,-1.,0.)); #178025=DIRECTION('ref_axis',(-0.992963233321518,0.,0.118423043668356)); #178026=DIRECTION('center_axis',(0.,-1.,0.)); #178027=DIRECTION('ref_axis',(-0.992963233321518,0.,0.118423043668356)); #178028=DIRECTION('',(0.,-1.,0.)); #178029=DIRECTION('center_axis',(0.,-1.,0.)); #178030=DIRECTION('ref_axis',(-0.992963233321518,0.,0.118423043668356)); #178031=DIRECTION('center_axis',(0.,-1.,0.)); #178032=DIRECTION('ref_axis',(-0.949015808415215,0.,0.315228481229117)); #178033=DIRECTION('center_axis',(0.,-1.,0.)); #178034=DIRECTION('ref_axis',(-0.949015808415215,0.,0.315228481229117)); #178035=DIRECTION('',(0.,-1.,0.)); #178036=DIRECTION('center_axis',(0.,-1.,0.)); #178037=DIRECTION('ref_axis',(-0.949015808415215,0.,0.315228481229117)); #178038=DIRECTION('center_axis',(-0.915051944843125,0.,0.403336011581925)); #178039=DIRECTION('ref_axis',(-0.403336011581925,0.,-0.915051944843125)); #178040=DIRECTION('',(-0.403336011581925,0.,-0.915051944843125)); #178041=DIRECTION('',(0.,-1.,0.)); #178042=DIRECTION('',(-0.403336011581925,0.,-0.915051944843125)); #178043=DIRECTION('center_axis',(-0.859221930666099,0.,0.511603043249765)); #178044=DIRECTION('ref_axis',(-0.511603043249765,0.,-0.859221930666099)); #178045=DIRECTION('',(-0.511603043249765,0.,-0.859221930666099)); #178046=DIRECTION('',(0.,-1.,0.)); #178047=DIRECTION('',(-0.511603043249765,0.,-0.859221930666099)); #178048=DIRECTION('center_axis',(-0.784282510726257,0.,0.620403855056461)); #178049=DIRECTION('ref_axis',(-0.620403855056461,0.,-0.784282510726257)); #178050=DIRECTION('',(-0.620403855056461,0.,-0.784282510726257)); #178051=DIRECTION('',(0.,-1.,0.)); #178052=DIRECTION('',(-0.620403855056461,0.,-0.784282510726257)); #178053=DIRECTION('center_axis',(-0.719867255665979,0.,0.69411175917134)); #178054=DIRECTION('ref_axis',(-0.69411175917134,0.,-0.719867255665979)); #178055=DIRECTION('',(-0.69411175917134,0.,-0.719867255665979)); #178056=DIRECTION('',(0.,-1.,0.)); #178057=DIRECTION('',(-0.69411175917134,0.,-0.719867255665979)); #178058=DIRECTION('center_axis',(-0.634328298791819,0.,0.773063780908067)); #178059=DIRECTION('ref_axis',(-0.773063780908067,0.,-0.634328298791819)); #178060=DIRECTION('',(-0.773063780908067,0.,-0.634328298791819)); #178061=DIRECTION('',(0.,-1.,0.)); #178062=DIRECTION('',(-0.773063780908067,0.,-0.634328298791819)); #178063=DIRECTION('center_axis',(-0.538218916544281,0.,0.842805077033771)); #178064=DIRECTION('ref_axis',(-0.842805077033771,0.,-0.53821891654428)); #178065=DIRECTION('',(-0.842805077033771,0.,-0.53821891654428)); #178066=DIRECTION('',(0.,-1.,0.)); #178067=DIRECTION('',(-0.842805077033771,0.,-0.53821891654428)); #178068=DIRECTION('center_axis',(-0.434564875155839,0.,0.900640532776973)); #178069=DIRECTION('ref_axis',(-0.900640532776973,0.,-0.434564875155839)); #178070=DIRECTION('',(-0.900640532776973,0.,-0.434564875155839)); #178071=DIRECTION('',(0.,-1.,0.)); #178072=DIRECTION('',(-0.900640532776973,0.,-0.434564875155839)); #178073=DIRECTION('center_axis',(-0.328104809581322,0.,0.944641325546159)); #178074=DIRECTION('ref_axis',(-0.944641325546159,0.,-0.328104809581322)); #178075=DIRECTION('',(-0.944641325546159,0.,-0.328104809581322)); #178076=DIRECTION('',(0.,-1.,0.)); #178077=DIRECTION('',(-0.944641325546159,0.,-0.328104809581322)); #178078=DIRECTION('center_axis',(-0.222697739014465,0.,0.974887540713207)); #178079=DIRECTION('ref_axis',(-0.974887540713207,0.,-0.222697739014465)); #178080=DIRECTION('',(-0.974887540713207,0.,-0.222697739014465)); #178081=DIRECTION('',(0.,-1.,0.)); #178082=DIRECTION('',(-0.974887540713207,0.,-0.222697739014465)); #178083=DIRECTION('center_axis',(-0.121655614050694,0.,0.99257237094831)); #178084=DIRECTION('ref_axis',(-0.99257237094831,0.,-0.121655614050694)); #178085=DIRECTION('',(-0.99257237094831,0.,-0.121655614050694)); #178086=DIRECTION('',(0.,-1.,0.)); #178087=DIRECTION('',(-0.99257237094831,0.,-0.121655614050694)); #178088=DIRECTION('center_axis',(0.,-1.,0.)); #178089=DIRECTION('ref_axis',(0.0524545022971022,0.,0.998623314963537)); #178090=DIRECTION('center_axis',(0.,-1.,0.)); #178091=DIRECTION('ref_axis',(0.0524545022971022,0.,0.998623314963537)); #178092=DIRECTION('',(0.,-1.,0.)); #178093=DIRECTION('center_axis',(0.,-1.,0.)); #178094=DIRECTION('ref_axis',(0.0524545022971022,0.,0.998623314963537)); #178095=DIRECTION('center_axis',(0.156893896633402,0.,0.987615464236556)); #178096=DIRECTION('ref_axis',(-0.987615464236556,0.,0.156893896633402)); #178097=DIRECTION('',(-0.987615464236556,0.,0.156893896633402)); #178098=DIRECTION('',(0.,-1.,0.)); #178099=DIRECTION('',(-0.987615464236556,0.,0.156893896633402)); #178100=DIRECTION('center_axis',(0.259278587061354,0.,0.965802575214763)); #178101=DIRECTION('ref_axis',(-0.965802575214763,0.,0.259278587061354)); #178102=DIRECTION('',(-0.965802575214763,0.,0.259278587061354)); #178103=DIRECTION('',(0.,-1.,0.)); #178104=DIRECTION('',(-0.965802575214763,0.,0.259278587061354)); #178105=DIRECTION('center_axis',(0.365472372345528,0.,0.930822187666437)); #178106=DIRECTION('ref_axis',(-0.930822187666437,0.,0.365472372345528)); #178107=DIRECTION('',(-0.930822187666437,0.,0.365472372345528)); #178108=DIRECTION('',(0.,-1.,0.)); #178109=DIRECTION('',(-0.930822187666437,0.,0.365472372345528)); #178110=DIRECTION('center_axis',(0.,-1.,0.)); #178111=DIRECTION('ref_axis',(0.57246225604948,0.,0.819931073565784)); #178112=DIRECTION('center_axis',(0.,-1.,0.)); #178113=DIRECTION('ref_axis',(0.57246225604948,0.,0.819931073565784)); #178114=DIRECTION('',(0.,-1.,0.)); #178115=DIRECTION('center_axis',(0.,-1.,0.)); #178116=DIRECTION('ref_axis',(0.57246225604948,0.,0.819931073565784)); #178117=DIRECTION('center_axis',(0.662387519134403,0.,0.749161380808548)); #178118=DIRECTION('ref_axis',(-0.749161380808548,0.,0.662387519134403)); #178119=DIRECTION('',(-0.749161380808548,0.,0.662387519134403)); #178120=DIRECTION('',(0.,-1.,0.)); #178121=DIRECTION('',(-0.749161380808548,0.,0.662387519134403)); #178122=DIRECTION('center_axis',(0.,-1.,0.)); #178123=DIRECTION('ref_axis',(0.817976557595482,0.,0.575251554734313)); #178124=DIRECTION('center_axis',(0.,-1.,0.)); #178125=DIRECTION('ref_axis',(0.817976557595482,0.,0.575251554734313)); #178126=DIRECTION('',(0.,-1.,0.)); #178127=DIRECTION('center_axis',(0.,-1.,0.)); #178128=DIRECTION('ref_axis',(0.817976557595482,0.,0.575251554734313)); #178129=DIRECTION('center_axis',(0.,-1.,0.)); #178130=DIRECTION('ref_axis',(0.930657712300768,0.,0.365890998160793)); #178131=DIRECTION('center_axis',(0.,-1.,0.)); #178132=DIRECTION('ref_axis',(0.930657712300768,0.,0.365890998160793)); #178133=DIRECTION('',(0.,-1.,0.)); #178134=DIRECTION('center_axis',(0.,-1.,0.)); #178135=DIRECTION('ref_axis',(0.930657712300768,0.,0.365890998160793)); #178136=DIRECTION('center_axis',(0.962040734463836,0.,0.272905890798062)); #178137=DIRECTION('ref_axis',(-0.272905890798062,0.,0.962040734463836)); #178138=DIRECTION('',(-0.272905890798062,0.,0.962040734463836)); #178139=DIRECTION('',(0.,-1.,0.)); #178140=DIRECTION('',(-0.272905890798062,0.,0.962040734463836)); #178141=DIRECTION('center_axis',(0.98147915392408,0.,0.19156897038005)); #178142=DIRECTION('ref_axis',(-0.19156897038005,0.,0.98147915392408)); #178143=DIRECTION('',(-0.19156897038005,0.,0.98147915392408)); #178144=DIRECTION('',(0.,-1.,0.)); #178145=DIRECTION('',(-0.19156897038005,0.,0.98147915392408)); #178146=DIRECTION('center_axis',(0.,-1.,0.)); #178147=DIRECTION('ref_axis',(0.999207786018631,0.,0.0397969893301589)); #178148=DIRECTION('center_axis',(0.,-1.,0.)); #178149=DIRECTION('ref_axis',(0.999207786018631,0.,0.0397969893301589)); #178150=DIRECTION('',(0.,-1.,0.)); #178151=DIRECTION('center_axis',(0.,-1.,0.)); #178152=DIRECTION('ref_axis',(0.999207786018631,0.,0.0397969893301589)); #178153=DIRECTION('center_axis',(0.999998569654802,0.,0.00169135695538429)); #178154=DIRECTION('ref_axis',(-0.00169135695538429,0.,0.999998569654802)); #178155=DIRECTION('',(-0.00169135695538429,0.,0.999998569654802)); #178156=DIRECTION('',(0.,-1.,0.)); #178157=DIRECTION('',(-0.00169135695538429,0.,0.999998569654802)); #178158=DIRECTION('center_axis',(0.,-1.,0.)); #178159=DIRECTION('ref_axis',(0.0207239943631862,0.,-0.999785234966808)); #178160=DIRECTION('center_axis',(0.,1.,0.)); #178161=DIRECTION('ref_axis',(0.0207239943631862,0.,-0.999785234966808)); #178162=DIRECTION('',(0.,-1.,0.)); #178163=DIRECTION('center_axis',(0.,1.,0.)); #178164=DIRECTION('ref_axis',(0.0207239943631862,0.,-0.999785234966808)); #178165=DIRECTION('center_axis',(0.,-1.,0.)); #178166=DIRECTION('ref_axis',(-0.996074945037442,0.,0.0885138625790156)); #178167=DIRECTION('center_axis',(0.,-1.,0.)); #178168=DIRECTION('ref_axis',(-0.996074945037442,0.,0.0885138625790156)); #178169=DIRECTION('',(0.,-1.,0.)); #178170=DIRECTION('center_axis',(0.,-1.,0.)); #178171=DIRECTION('ref_axis',(-0.996074945037442,0.,0.0885138625790156)); #178172=DIRECTION('center_axis',(0.,-1.,0.)); #178173=DIRECTION('ref_axis',(-0.963057796620052,0.,0.269294783405344)); #178174=DIRECTION('center_axis',(0.,-1.,0.)); #178175=DIRECTION('ref_axis',(-0.963057796620052,0.,0.269294783405344)); #178176=DIRECTION('',(0.,-1.,0.)); #178177=DIRECTION('center_axis',(0.,-1.,0.)); #178178=DIRECTION('ref_axis',(-0.963057796620052,0.,0.269294783405344)); #178179=DIRECTION('center_axis',(-0.94198768018508,0.,0.33564744953527)); #178180=DIRECTION('ref_axis',(-0.33564744953527,0.,-0.94198768018508)); #178181=DIRECTION('',(-0.33564744953527,0.,-0.94198768018508)); #178182=DIRECTION('',(0.,-1.,0.)); #178183=DIRECTION('',(-0.33564744953527,0.,-0.94198768018508)); #178184=DIRECTION('center_axis',(-0.9000164045801,0.,0.435856021510212)); #178185=DIRECTION('ref_axis',(-0.435856021510212,0.,-0.9000164045801)); #178186=DIRECTION('',(-0.435856021510212,0.,-0.9000164045801)); #178187=DIRECTION('',(0.,-1.,0.)); #178188=DIRECTION('',(-0.435856021510212,0.,-0.9000164045801)); #178189=DIRECTION('center_axis',(-0.838213950558869,0.,0.545341519681469)); #178190=DIRECTION('ref_axis',(-0.545341519681469,0.,-0.838213950558869)); #178191=DIRECTION('',(-0.545341519681469,0.,-0.838213950558869)); #178192=DIRECTION('',(0.,-1.,0.)); #178193=DIRECTION('',(-0.545341519681469,0.,-0.838213950558869)); #178194=DIRECTION('center_axis',(-0.762509135372647,0.,0.646977448195266)); #178195=DIRECTION('ref_axis',(-0.646977448195266,0.,-0.762509135372647)); #178196=DIRECTION('',(-0.646977448195266,0.,-0.762509135372647)); #178197=DIRECTION('',(0.,-1.,0.)); #178198=DIRECTION('',(-0.646977448195266,0.,-0.762509135372647)); #178199=DIRECTION('center_axis',(-0.692967560512878,0.,0.720968764980031)); #178200=DIRECTION('ref_axis',(-0.720968764980031,0.,-0.692967560512878)); #178201=DIRECTION('',(-0.720968764980031,0.,-0.692967560512878)); #178202=DIRECTION('',(0.,-1.,0.)); #178203=DIRECTION('',(-0.720968764980031,0.,-0.692967560512878)); #178204=DIRECTION('center_axis',(-0.606297040394459,0.,0.795238265433021)); #178205=DIRECTION('ref_axis',(-0.795238265433021,0.,-0.606297040394459)); #178206=DIRECTION('',(-0.795238265433021,0.,-0.606297040394459)); #178207=DIRECTION('',(0.,-1.,0.)); #178208=DIRECTION('',(-0.795238265433021,0.,-0.606297040394459)); #178209=DIRECTION('center_axis',(-0.50928714519862,0.,0.860596655661315)); #178210=DIRECTION('ref_axis',(-0.860596655661315,0.,-0.50928714519862)); #178211=DIRECTION('',(-0.860596655661315,0.,-0.50928714519862)); #178212=DIRECTION('',(0.,-1.,0.)); #178213=DIRECTION('',(-0.860596655661315,0.,-0.50928714519862)); #178214=DIRECTION('center_axis',(-0.405777576886532,0.,0.913971858481482)); #178215=DIRECTION('ref_axis',(-0.913971858481482,0.,-0.405777576886532)); #178216=DIRECTION('',(-0.913971858481482,0.,-0.405777576886532)); #178217=DIRECTION('',(0.,-1.,0.)); #178218=DIRECTION('',(-0.913971858481482,0.,-0.405777576886532)); #178219=DIRECTION('center_axis',(-0.299474520981197,0.,0.9541042979062)); #178220=DIRECTION('ref_axis',(-0.9541042979062,0.,-0.299474520981197)); #178221=DIRECTION('',(-0.9541042979062,0.,-0.299474520981197)); #178222=DIRECTION('',(0.,-1.,0.)); #178223=DIRECTION('',(-0.9541042979062,0.,-0.299474520981197)); #178224=DIRECTION('center_axis',(-0.195498792614895,0.,0.98070394211817)); #178225=DIRECTION('ref_axis',(-0.98070394211817,0.,-0.195498792614895)); #178226=DIRECTION('',(-0.98070394211817,0.,-0.195498792614895)); #178227=DIRECTION('',(0.,-1.,0.)); #178228=DIRECTION('',(-0.98070394211817,0.,-0.195498792614895)); #178229=DIRECTION('center_axis',(-0.0947728622821185,0.,0.995498922437817)); #178230=DIRECTION('ref_axis',(-0.995498922437817,0.,-0.0947728622821185)); #178231=DIRECTION('',(-0.995498922437817,0.,-0.0947728622821185)); #178232=DIRECTION('',(0.,-1.,0.)); #178233=DIRECTION('',(-0.995498922437817,0.,-0.0947728622821185)); #178234=DIRECTION('center_axis',(-0.00202659495128682,0.,0.999997946454343)); #178235=DIRECTION('ref_axis',(-0.999997946454343,0.,-0.00202659495128682)); #178236=DIRECTION('',(-0.999997946454343,0.,-0.00202659495128682)); #178237=DIRECTION('',(0.,-1.,0.)); #178238=DIRECTION('',(-0.999997946454343,0.,-0.00202659495128682)); #178239=DIRECTION('center_axis',(0.0946348218878836,0.,0.995512054415339)); #178240=DIRECTION('ref_axis',(-0.995512054415339,0.,0.0946348218878836)); #178241=DIRECTION('',(-0.995512054415339,0.,0.0946348218878836)); #178242=DIRECTION('',(0.,-1.,0.)); #178243=DIRECTION('',(-0.995512054415339,0.,0.0946348218878836)); #178244=DIRECTION('center_axis',(0.19814443784687,0.,0.980172832591451)); #178245=DIRECTION('ref_axis',(-0.980172832591451,0.,0.19814443784687)); #178246=DIRECTION('',(-0.980172832591451,0.,0.19814443784687)); #178247=DIRECTION('',(0.,-1.,0.)); #178248=DIRECTION('',(-0.980172832591451,0.,0.19814443784687)); #178249=DIRECTION('center_axis',(0.30322100131758,0.,0.95292026128106)); #178250=DIRECTION('ref_axis',(-0.95292026128106,0.,0.30322100131758)); #178251=DIRECTION('',(-0.95292026128106,0.,0.30322100131758)); #178252=DIRECTION('',(0.,-1.,0.)); #178253=DIRECTION('',(-0.95292026128106,0.,0.30322100131758)); #178254=DIRECTION('center_axis',(0.406839399213504,0.,0.913499700737551)); #178255=DIRECTION('ref_axis',(-0.913499700737551,0.,0.406839399213504)); #178256=DIRECTION('',(-0.913499700737551,0.,0.406839399213504)); #178257=DIRECTION('',(0.,-1.,0.)); #178258=DIRECTION('',(-0.913499700737551,0.,0.406839399213504)); #178259=DIRECTION('center_axis',(0.504767247351835,0.,0.863255481303682)); #178260=DIRECTION('ref_axis',(-0.863255481303682,0.,0.504767247351835)); #178261=DIRECTION('',(-0.863255481303682,0.,0.504767247351835)); #178262=DIRECTION('',(0.,-1.,0.)); #178263=DIRECTION('',(-0.863255481303682,0.,0.504767247351835)); #178264=DIRECTION('center_axis',(0.593202921161103,0.,0.805052976099048)); #178265=DIRECTION('ref_axis',(-0.805052976099048,0.,0.593202921161103)); #178266=DIRECTION('',(-0.805052976099048,0.,0.593202921161103)); #178267=DIRECTION('',(0.,-1.,0.)); #178268=DIRECTION('',(-0.805052976099048,0.,0.593202921161103)); #178269=DIRECTION('center_axis',(0.67063159233196,0.,0.74179058188029)); #178270=DIRECTION('ref_axis',(-0.74179058188029,0.,0.67063159233196)); #178271=DIRECTION('',(-0.74179058188029,0.,0.67063159233196)); #178272=DIRECTION('',(0.,-1.,0.)); #178273=DIRECTION('',(-0.74179058188029,0.,0.67063159233196)); #178274=DIRECTION('center_axis',(0.747136737622391,0.,0.664670365891973)); #178275=DIRECTION('ref_axis',(-0.664670365891973,0.,0.747136737622391)); #178276=DIRECTION('',(-0.664670365891973,0.,0.747136737622391)); #178277=DIRECTION('',(0.,-1.,0.)); #178278=DIRECTION('',(-0.664670365891973,0.,0.747136737622391)); #178279=DIRECTION('center_axis',(0.,-1.,0.)); #178280=DIRECTION('ref_axis',(0.895728043519279,0.,0.44460237522209)); #178281=DIRECTION('center_axis',(0.,-1.,0.)); #178282=DIRECTION('ref_axis',(0.895728043519279,0.,0.44460237522209)); #178283=DIRECTION('',(0.,-1.,0.)); #178284=DIRECTION('center_axis',(0.,-1.,0.)); #178285=DIRECTION('ref_axis',(0.895728043519279,0.,0.44460237522209)); #178286=DIRECTION('center_axis',(0.937501477064753,0.,0.347981293321073)); #178287=DIRECTION('ref_axis',(-0.347981293321073,0.,0.937501477064753)); #178288=DIRECTION('',(-0.347981293321073,0.,0.937501477064753)); #178289=DIRECTION('',(0.,-1.,0.)); #178290=DIRECTION('',(-0.347981293321073,0.,0.937501477064753)); #178291=DIRECTION('center_axis',(0.965920666726446,0.,0.258838300084703)); #178292=DIRECTION('ref_axis',(-0.258838300084703,0.,0.965920666726446)); #178293=DIRECTION('',(-0.258838300084703,0.,0.965920666726446)); #178294=DIRECTION('',(0.,-1.,0.)); #178295=DIRECTION('',(-0.258838300084703,0.,0.965920666726446)); #178296=DIRECTION('center_axis',(0.,-1.,0.)); #178297=DIRECTION('ref_axis',(0.990565136080747,0.,-0.137042734872489)); #178298=DIRECTION('center_axis',(0.,-1.,0.)); #178299=DIRECTION('ref_axis',(0.990565136080747,0.,-0.137042734872489)); #178300=DIRECTION('',(0.,-1.,0.)); #178301=DIRECTION('center_axis',(0.,-1.,0.)); #178302=DIRECTION('ref_axis',(0.990565136080747,0.,-0.137042734872489)); #178303=DIRECTION('center_axis',(0.992587181797538,0.,-0.121534713276587)); #178304=DIRECTION('ref_axis',(0.121534713276587,0.,0.992587181797538)); #178305=DIRECTION('',(0.121534713276587,0.,0.992587181797538)); #178306=DIRECTION('',(0.,-1.,0.)); #178307=DIRECTION('',(0.121534713276587,0.,0.992587181797538)); #178308=DIRECTION('center_axis',(0.981193572983612,0.,-0.193026351402222)); #178309=DIRECTION('ref_axis',(0.193026351402222,0.,0.981193572983612)); #178310=DIRECTION('',(0.193026351402222,0.,0.981193572983612)); #178311=DIRECTION('',(0.,-1.,0.)); #178312=DIRECTION('',(0.193026351402222,0.,0.981193572983612)); #178313=DIRECTION('center_axis',(0.,-1.,0.)); #178314=DIRECTION('ref_axis',(0.925323362495678,0.,-0.379178948281272)); #178315=DIRECTION('center_axis',(0.,-1.,0.)); #178316=DIRECTION('ref_axis',(0.925323362495678,0.,-0.379178948281272)); #178317=DIRECTION('',(0.,-1.,0.)); #178318=DIRECTION('center_axis',(0.,-1.,0.)); #178319=DIRECTION('ref_axis',(0.925323362495678,0.,-0.379178948281272)); #178320=DIRECTION('center_axis',(0.885416505757072,0.,-0.4647984631353)); #178321=DIRECTION('ref_axis',(0.4647984631353,0.,0.885416505757072)); #178322=DIRECTION('',(0.4647984631353,0.,0.885416505757072)); #178323=DIRECTION('',(0.,-1.,0.)); #178324=DIRECTION('',(0.4647984631353,0.,0.885416505757072)); #178325=DIRECTION('center_axis',(0.821386225707247,0.,-0.57037239433409)); #178326=DIRECTION('ref_axis',(0.57037239433409,0.,0.821386225707247)); #178327=DIRECTION('',(0.57037239433409,0.,0.821386225707247)); #178328=DIRECTION('',(0.,-1.,0.)); #178329=DIRECTION('',(0.57037239433409,0.,0.821386225707247)); #178330=DIRECTION('center_axis',(0.732976420781037,0.,-0.680254045617533)); #178331=DIRECTION('ref_axis',(0.680254045617533,0.,0.732976420781037)); #178332=DIRECTION('',(0.680254045617533,0.,0.732976420781037)); #178333=DIRECTION('',(0.,-1.,0.)); #178334=DIRECTION('',(0.680254045617533,0.,0.732976420781037)); #178335=DIRECTION('center_axis',(0.658162283224114,0.,-0.752876091359807)); #178336=DIRECTION('ref_axis',(0.752876091359807,0.,0.658162283224114)); #178337=DIRECTION('',(0.752876091359807,0.,0.658162283224114)); #178338=DIRECTION('',(0.,-1.,0.)); #178339=DIRECTION('',(0.752876091359807,0.,0.658162283224114)); #178340=DIRECTION('center_axis',(0.578502111115977,0.,-0.815680885784605)); #178341=DIRECTION('ref_axis',(0.815680885784605,0.,0.578502111115977)); #178342=DIRECTION('',(0.815680885784605,0.,0.578502111115977)); #178343=DIRECTION('',(0.,-1.,0.)); #178344=DIRECTION('',(0.815680885784605,0.,0.578502111115977)); #178345=DIRECTION('center_axis',(0.488287404990869,0.,-0.872682880620036)); #178346=DIRECTION('ref_axis',(0.872682880620036,0.,0.488287404990869)); #178347=DIRECTION('',(0.872682880620036,0.,0.488287404990869)); #178348=DIRECTION('',(0.,-1.,0.)); #178349=DIRECTION('',(0.872682880620036,0.,0.488287404990869)); #178350=DIRECTION('center_axis',(0.389405723863465,0.,-0.921066328894055)); #178351=DIRECTION('ref_axis',(0.921066328894055,0.,0.389405723863465)); #178352=DIRECTION('',(0.921066328894055,0.,0.389405723863465)); #178353=DIRECTION('',(0.,-1.,0.)); #178354=DIRECTION('',(0.921066328894054,0.,0.389405723863465)); #178355=DIRECTION('center_axis',(0.284460422566961,0.,-0.958687784418382)); #178356=DIRECTION('ref_axis',(0.958687784418382,0.,0.284460422566961)); #178357=DIRECTION('',(0.958687784418382,0.,0.284460422566961)); #178358=DIRECTION('',(0.,-1.,0.)); #178359=DIRECTION('',(0.958687784418382,0.,0.284460422566961)); #178360=DIRECTION('center_axis',(0.,-1.,0.)); #178361=DIRECTION('ref_axis',(0.0826897099470636,0.,-0.996575341792516)); #178362=DIRECTION('center_axis',(0.,-1.,0.)); #178363=DIRECTION('ref_axis',(0.0826897099470636,0.,-0.996575341792516)); #178364=DIRECTION('',(0.,-1.,0.)); #178365=DIRECTION('center_axis',(0.,-1.,0.)); #178366=DIRECTION('ref_axis',(0.0826897099470636,0.,-0.996575341792516)); #178367=DIRECTION('center_axis',(-0.0176011336315798,0.,-0.999845088048585)); #178368=DIRECTION('ref_axis',(0.999845088048585,0.,-0.0176011336315798)); #178369=DIRECTION('',(0.999845088048585,0.,-0.0176011336315798)); #178370=DIRECTION('',(0.,-1.,0.)); #178371=DIRECTION('',(0.999845088048585,0.,-0.0176011336315798)); #178372=DIRECTION('center_axis',(0.,-1.,0.)); #178373=DIRECTION('ref_axis',(-0.213839429401405,0.,-0.976868823554771)); #178374=DIRECTION('center_axis',(0.,-1.,0.)); #178375=DIRECTION('ref_axis',(-0.213839429401405,0.,-0.976868823554771)); #178376=DIRECTION('',(0.,-1.,0.)); #178377=DIRECTION('center_axis',(0.,-1.,0.)); #178378=DIRECTION('ref_axis',(-0.213839429401405,0.,-0.976868823554771)); #178379=DIRECTION('center_axis',(0.,-1.,0.)); #178380=DIRECTION('ref_axis',(-0.422303292644564,0.,-0.906454592917681)); #178381=DIRECTION('center_axis',(0.,-1.,0.)); #178382=DIRECTION('ref_axis',(-0.422303292644564,0.,-0.906454592917681)); #178383=DIRECTION('',(0.,-1.,0.)); #178384=DIRECTION('center_axis',(0.,-1.,0.)); #178385=DIRECTION('ref_axis',(-0.422303292644564,0.,-0.906454592917681)); #178386=DIRECTION('center_axis',(-0.526801954030562,0.,-0.849988059462944)); #178387=DIRECTION('ref_axis',(0.849988059462944,0.,-0.526801954030562)); #178388=DIRECTION('',(0.849988059462944,0.,-0.526801954030562)); #178389=DIRECTION('',(0.,-1.,0.)); #178390=DIRECTION('',(0.849988059462944,0.,-0.526801954030562)); #178391=DIRECTION('center_axis',(-0.622107303029248,0.,-0.782931991629973)); #178392=DIRECTION('ref_axis',(0.782931991629973,0.,-0.622107303029248)); #178393=DIRECTION('',(0.782931991629973,0.,-0.622107303029248)); #178394=DIRECTION('',(0.,-1.,0.)); #178395=DIRECTION('',(0.782931991629973,0.,-0.622107303029248)); #178396=DIRECTION('center_axis',(-0.70671274016312,0.,-0.707500602749662)); #178397=DIRECTION('ref_axis',(0.707500602749662,0.,-0.70671274016312)); #178398=DIRECTION('',(0.707500602749662,0.,-0.70671274016312)); #178399=DIRECTION('',(0.,-1.,0.)); #178400=DIRECTION('',(0.707500602749662,0.,-0.70671274016312)); #178401=DIRECTION('center_axis',(-0.776638947811205,0.,-0.629945985575513)); #178402=DIRECTION('ref_axis',(0.629945985575513,0.,-0.776638947811205)); #178403=DIRECTION('',(0.629945985575513,0.,-0.776638947811205)); #178404=DIRECTION('',(0.,-1.,0.)); #178405=DIRECTION('',(0.629945985575513,0.,-0.776638947811205)); #178406=DIRECTION('center_axis',(-0.851394106782926,0.,-0.524526524529793)); #178407=DIRECTION('ref_axis',(0.524526524529793,0.,-0.851394106782926)); #178408=DIRECTION('',(0.524526524529793,0.,-0.851394106782926)); #178409=DIRECTION('',(0.,-1.,0.)); #178410=DIRECTION('',(0.524526524529793,0.,-0.851394106782926)); #178411=DIRECTION('center_axis',(-0.908777476912911,0.,-0.417281077279817)); #178412=DIRECTION('ref_axis',(0.417281077279817,0.,-0.908777476912911)); #178413=DIRECTION('',(0.417281077279817,0.,-0.908777476912911)); #178414=DIRECTION('',(0.,-1.,0.)); #178415=DIRECTION('',(0.417281077279817,0.,-0.908777476912911)); #178416=DIRECTION('center_axis',(-0.947583336482063,0.,-0.31950871728565)); #178417=DIRECTION('ref_axis',(0.31950871728565,0.,-0.947583336482063)); #178418=DIRECTION('',(0.31950871728565,0.,-0.947583336482063)); #178419=DIRECTION('',(0.,-1.,0.)); #178420=DIRECTION('',(0.31950871728565,0.,-0.947583336482063)); #178421=DIRECTION('center_axis',(0.,-1.,0.)); #178422=DIRECTION('ref_axis',(-0.996845210568621,0.,-0.0793701843666891)); #178423=DIRECTION('center_axis',(0.,-1.,0.)); #178424=DIRECTION('ref_axis',(-0.996845210568621,0.,-0.0793701843666891)); #178425=DIRECTION('',(0.,-1.,0.)); #178426=DIRECTION('center_axis',(0.,-1.,0.)); #178427=DIRECTION('ref_axis',(-0.996845210568621,0.,-0.0793701843666891)); #178428=DIRECTION('center_axis',(-0.999389411873361,0.,-0.0349399976447845)); #178429=DIRECTION('ref_axis',(0.0349399976447845,0.,-0.999389411873361)); #178430=DIRECTION('',(0.0349399976447845,0.,-0.999389411873361)); #178431=DIRECTION('',(0.,-1.,0.)); #178432=DIRECTION('',(0.0349399976447845,0.,-0.999389411873361)); #178433=DIRECTION('center_axis',(-0.999999998104071,0.,-6.15780608602983E-5)); #178434=DIRECTION('ref_axis',(6.15780608602983E-5,0.,-0.999999998104071)); #178435=DIRECTION('',(6.15780608602983E-5,0.,-0.999999998104071)); #178436=DIRECTION('',(0.,-1.,0.)); #178437=DIRECTION('',(6.15780608602983E-5,0.,-0.999999998104071)); #178438=DIRECTION('center_axis',(0.,-1.,0.)); #178439=DIRECTION('ref_axis',(-0.00143762565741564,0.,0.999998966615701)); #178440=DIRECTION('center_axis',(0.,1.,0.)); #178441=DIRECTION('ref_axis',(-0.00143762565741564,0.,0.999998966615701)); #178442=DIRECTION('',(0.,-1.,0.)); #178443=DIRECTION('center_axis',(0.,1.,0.)); #178444=DIRECTION('ref_axis',(-0.00143762565741564,0.,0.999998966615701)); #178445=DIRECTION('center_axis',(0.566528822893662,0.,-0.824041924194832)); #178446=DIRECTION('ref_axis',(0.824041924194832,0.,0.566528822893662)); #178447=DIRECTION('',(0.824041924194832,0.,0.566528822893662)); #178448=DIRECTION('',(0.,-1.,0.)); #178449=DIRECTION('',(0.824041924194832,0.,0.566528822893662)); #178450=DIRECTION('center_axis',(1.,0.,0.)); #178451=DIRECTION('ref_axis',(0.,0.,1.)); #178452=DIRECTION('',(0.,0.,1.)); #178453=DIRECTION('',(0.,-1.,0.)); #178454=DIRECTION('',(0.,0.,1.)); #178455=DIRECTION('center_axis',(0.400667823083137,0.,0.916223387360211)); #178456=DIRECTION('ref_axis',(-0.916223387360211,0.,0.400667823083137)); #178457=DIRECTION('',(-0.916223387360211,0.,0.400667823083137)); #178458=DIRECTION('',(0.,-1.,0.)); #178459=DIRECTION('',(-0.916223387360211,0.,0.400667823083137)); #178460=DIRECTION('center_axis',(0.999999783963816,0.,0.000657322084230909)); #178461=DIRECTION('ref_axis',(-0.000657322084230909,0.,0.999999783963816)); #178462=DIRECTION('',(-0.000657322084230909,0.,0.999999783963816)); #178463=DIRECTION('',(0.,-1.,0.)); #178464=DIRECTION('',(-0.000657322084230909,0.,0.999999783963816)); #178465=DIRECTION('center_axis',(0.393859111454764,0.,-0.919170822167492)); #178466=DIRECTION('ref_axis',(0.919170822167492,0.,0.393859111454764)); #178467=DIRECTION('',(0.919170822167492,0.,0.393859111454764)); #178468=DIRECTION('',(0.,-1.,0.)); #178469=DIRECTION('',(0.919170822167492,0.,0.393859111454764)); #178470=DIRECTION('center_axis',(0.393853979591694,0.,-0.919173021122675)); #178471=DIRECTION('ref_axis',(0.919173021122675,0.,0.393853979591694)); #178472=DIRECTION('',(0.919173021122675,0.,0.393853979591694)); #178473=DIRECTION('',(0.,-1.,0.)); #178474=DIRECTION('',(0.919173021122675,0.,0.393853979591694)); #178475=DIRECTION('center_axis',(1.,0.,0.)); #178476=DIRECTION('ref_axis',(0.,0.,1.)); #178477=DIRECTION('',(0.,0.,1.)); #178478=DIRECTION('',(0.,-1.,0.)); #178479=DIRECTION('',(0.,0.,1.)); #178480=DIRECTION('center_axis',(0.609380544911019,0.,0.792877891912714)); #178481=DIRECTION('ref_axis',(-0.792877891912714,0.,0.609380544911019)); #178482=DIRECTION('',(-0.792877891912714,0.,0.609380544911019)); #178483=DIRECTION('',(0.,-1.,0.)); #178484=DIRECTION('',(-0.792877891912714,0.,0.609380544911019)); #178485=DIRECTION('center_axis',(0.,-1.,0.)); #178486=DIRECTION('ref_axis',(0.00196249962399231,0.,-0.999998074295759)); #178487=DIRECTION('center_axis',(0.,1.,0.)); #178488=DIRECTION('ref_axis',(0.00196249962399231,0.,-0.999998074295759)); #178489=DIRECTION('',(0.,-1.,0.)); #178490=DIRECTION('center_axis',(0.,1.,0.)); #178491=DIRECTION('ref_axis',(0.00196249962399231,0.,-0.999998074295759)); #178492=DIRECTION('center_axis',(0.,-1.,0.)); #178493=DIRECTION('ref_axis',(-0.99167808304145,0.,0.128742299246341)); #178494=DIRECTION('center_axis',(0.,-1.,0.)); #178495=DIRECTION('ref_axis',(-0.99167808304145,0.,0.128742299246341)); #178496=DIRECTION('',(0.,-1.,0.)); #178497=DIRECTION('center_axis',(0.,-1.,0.)); #178498=DIRECTION('ref_axis',(-0.99167808304145,0.,0.128742299246341)); #178499=DIRECTION('center_axis',(-0.973101581770249,0.,0.230376456167377)); #178500=DIRECTION('ref_axis',(-0.230376456167377,0.,-0.973101581770249)); #178501=DIRECTION('',(-0.230376456167377,0.,-0.973101581770249)); #178502=DIRECTION('',(0.,-1.,0.)); #178503=DIRECTION('',(-0.230376456167377,0.,-0.973101581770249)); #178504=DIRECTION('center_axis',(-0.948070004176523,0.,0.318061734857759)); #178505=DIRECTION('ref_axis',(-0.318061734857759,0.,-0.948070004176523)); #178506=DIRECTION('',(-0.318061734857759,0.,-0.948070004176523)); #178507=DIRECTION('',(0.,-1.,0.)); #178508=DIRECTION('',(-0.318061734857759,0.,-0.948070004176523)); #178509=DIRECTION('center_axis',(0.,-1.,0.)); #178510=DIRECTION('ref_axis',(-0.8520418140079,0.,0.52347373112901)); #178511=DIRECTION('center_axis',(0.,-1.,0.)); #178512=DIRECTION('ref_axis',(-0.8520418140079,0.,0.52347373112901)); #178513=DIRECTION('',(0.,-1.,0.)); #178514=DIRECTION('center_axis',(0.,-1.,0.)); #178515=DIRECTION('ref_axis',(-0.8520418140079,0.,0.52347373112901)); #178516=DIRECTION('center_axis',(-0.772345196599708,0.,0.63520303627215)); #178517=DIRECTION('ref_axis',(-0.63520303627215,0.,-0.772345196599708)); #178518=DIRECTION('',(-0.63520303627215,0.,-0.772345196599708)); #178519=DIRECTION('',(0.,-1.,0.)); #178520=DIRECTION('',(-0.63520303627215,0.,-0.772345196599708)); #178521=DIRECTION('center_axis',(-0.694817035949442,0.,0.71918654503156)); #178522=DIRECTION('ref_axis',(-0.71918654503156,0.,-0.694817035949442)); #178523=DIRECTION('',(-0.71918654503156,0.,-0.694817035949442)); #178524=DIRECTION('',(0.,-1.,0.)); #178525=DIRECTION('',(-0.71918654503156,0.,-0.694817035949442)); #178526=DIRECTION('center_axis',(0.,-1.,0.)); #178527=DIRECTION('ref_axis',(-0.519978227243188,0.,0.854179514617994)); #178528=DIRECTION('center_axis',(0.,-1.,0.)); #178529=DIRECTION('ref_axis',(-0.519978227243188,0.,0.854179514617994)); #178530=DIRECTION('',(0.,-1.,0.)); #178531=DIRECTION('center_axis',(0.,-1.,0.)); #178532=DIRECTION('ref_axis',(-0.519978227243188,0.,0.854179514617994)); #178533=DIRECTION('center_axis',(-0.414393000649858,0.,0.910098039231163)); #178534=DIRECTION('ref_axis',(-0.910098039231163,0.,-0.414393000649858)); #178535=DIRECTION('',(-0.910098039231163,0.,-0.414393000649858)); #178536=DIRECTION('',(0.,-1.,0.)); #178537=DIRECTION('',(-0.910098039231163,0.,-0.414393000649858)); #178538=DIRECTION('center_axis',(-0.310954454365009,0.,0.950424814128166)); #178539=DIRECTION('ref_axis',(-0.950424814128166,0.,-0.310954454365009)); #178540=DIRECTION('',(-0.950424814128166,0.,-0.310954454365009)); #178541=DIRECTION('',(-0.950424814128166,0.,-0.310954454365009)); #178542=DIRECTION('center_axis',(0.,1.,0.)); #178543=DIRECTION('ref_axis',(1.,0.,0.)); #178544=DIRECTION('center_axis',(-0.993352505939883,0.,-0.11511211466633)); #178545=DIRECTION('ref_axis',(0.11511211466633,0.,-0.993352505939883)); #178546=DIRECTION('',(0.11511211466633,0.,-0.993352505939883)); #178547=DIRECTION('',(0.,-1.,0.)); #178548=DIRECTION('',(0.11511211466633,0.,-0.993352505939883)); #178549=DIRECTION('',(0.,-1.,0.)); #178550=DIRECTION('center_axis',(0.,-1.,0.)); #178551=DIRECTION('ref_axis',(-0.998609088066764,0.,0.0527246548634112)); #178552=DIRECTION('center_axis',(0.,-1.,0.)); #178553=DIRECTION('ref_axis',(-0.998609088066764,0.,0.0527246548634112)); #178554=DIRECTION('',(0.,-1.,0.)); #178555=DIRECTION('center_axis',(0.,-1.,0.)); #178556=DIRECTION('ref_axis',(-0.998609088066764,0.,0.0527246548634112)); #178557=DIRECTION('center_axis',(-0.998642230552756,0.,0.0520931411667247)); #178558=DIRECTION('ref_axis',(-0.0520931411667247,0.,-0.998642230552756)); #178559=DIRECTION('',(-0.0520931411667247,0.,-0.998642230552756)); #178560=DIRECTION('',(0.,-1.,0.)); #178561=DIRECTION('',(-0.0520931411667247,0.,-0.998642230552756)); #178562=DIRECTION('center_axis',(-0.993664274378561,0.,0.112389100110857)); #178563=DIRECTION('ref_axis',(-0.112389100110857,0.,-0.993664274378561)); #178564=DIRECTION('',(-0.112389100110857,0.,-0.993664274378561)); #178565=DIRECTION('',(0.,-1.,0.)); #178566=DIRECTION('',(-0.112389100110857,0.,-0.993664274378561)); #178567=DIRECTION('center_axis',(-0.98291516278941,0.,0.184059182761055)); #178568=DIRECTION('ref_axis',(-0.184059182761055,0.,-0.98291516278941)); #178569=DIRECTION('',(-0.184059182761055,0.,-0.98291516278941)); #178570=DIRECTION('',(0.,-1.,0.)); #178571=DIRECTION('',(-0.184059182761055,0.,-0.98291516278941)); #178572=DIRECTION('center_axis',(-0.963539371171582,0.,0.267566590220587)); #178573=DIRECTION('ref_axis',(-0.267566590220587,0.,-0.963539371171582)); #178574=DIRECTION('',(-0.267566590220587,0.,-0.963539371171582)); #178575=DIRECTION('',(0.,-1.,0.)); #178576=DIRECTION('',(-0.267566590220587,0.,-0.963539371171582)); #178577=DIRECTION('center_axis',(-0.931939846469739,0.,0.362612910087272)); #178578=DIRECTION('ref_axis',(-0.362612910087272,0.,-0.931939846469739)); #178579=DIRECTION('',(-0.362612910087272,0.,-0.931939846469739)); #178580=DIRECTION('',(0.,-1.,0.)); #178581=DIRECTION('',(-0.362612910087272,0.,-0.931939846469739)); #178582=DIRECTION('center_axis',(-0.883062505885085,0.,0.46925537897818)); #178583=DIRECTION('ref_axis',(-0.46925537897818,0.,-0.883062505885085)); #178584=DIRECTION('',(-0.46925537897818,0.,-0.883062505885085)); #178585=DIRECTION('',(0.,-1.,0.)); #178586=DIRECTION('',(-0.46925537897818,0.,-0.883062505885085)); #178587=DIRECTION('center_axis',(0.,-1.,0.)); #178588=DIRECTION('ref_axis',(-0.746774761479615,0.,0.665077029837194)); #178589=DIRECTION('center_axis',(0.,-1.,0.)); #178590=DIRECTION('ref_axis',(-0.746774761479615,0.,0.665077029837194)); #178591=DIRECTION('',(0.,-1.,0.)); #178592=DIRECTION('center_axis',(0.,-1.,0.)); #178593=DIRECTION('ref_axis',(-0.746774761479615,0.,0.665077029837194)); #178594=DIRECTION('center_axis',(-0.671014195229032,0.,0.741444502172033)); #178595=DIRECTION('ref_axis',(-0.741444502172033,0.,-0.671014195229032)); #178596=DIRECTION('',(-0.741444502172033,0.,-0.671014195229032)); #178597=DIRECTION('',(0.,-1.,0.)); #178598=DIRECTION('',(-0.741444502172033,0.,-0.671014195229032)); #178599=DIRECTION('center_axis',(-0.581136519466583,0.,0.813806086080871)); #178600=DIRECTION('ref_axis',(-0.813806086080871,0.,-0.581136519466583)); #178601=DIRECTION('',(-0.813806086080871,0.,-0.581136519466583)); #178602=DIRECTION('',(0.,-1.,0.)); #178603=DIRECTION('',(-0.813806086080871,0.,-0.581136519466583)); #178604=DIRECTION('center_axis',(-0.482008485858425,0.,0.876166547843769)); #178605=DIRECTION('ref_axis',(-0.876166547843769,0.,-0.482008485858425)); #178606=DIRECTION('',(-0.876166547843769,0.,-0.482008485858425)); #178607=DIRECTION('',(0.,-1.,0.)); #178608=DIRECTION('',(-0.876166547843769,0.,-0.482008485858425)); #178609=DIRECTION('center_axis',(-0.378469136981323,0.,0.925613911062605)); #178610=DIRECTION('ref_axis',(-0.925613911062605,0.,-0.378469136981323)); #178611=DIRECTION('',(-0.925613911062605,0.,-0.378469136981323)); #178612=DIRECTION('',(0.,-1.,0.)); #178613=DIRECTION('',(-0.925613911062605,0.,-0.378469136981323)); #178614=DIRECTION('center_axis',(-0.27381771140771,0.,0.961781607704912)); #178615=DIRECTION('ref_axis',(-0.961781607704912,0.,-0.27381771140771)); #178616=DIRECTION('',(-0.961781607704912,0.,-0.27381771140771)); #178617=DIRECTION('',(0.,-1.,0.)); #178618=DIRECTION('',(-0.961781607704912,0.,-0.27381771140771)); #178619=DIRECTION('center_axis',(-0.171538320164814,0.,0.98517744833864)); #178620=DIRECTION('ref_axis',(-0.98517744833864,0.,-0.171538320164814)); #178621=DIRECTION('',(-0.98517744833864,0.,-0.171538320164814)); #178622=DIRECTION('',(0.,-1.,0.)); #178623=DIRECTION('',(-0.98517744833864,0.,-0.171538320164814)); #178624=DIRECTION('center_axis',(-0.0751703626474064,0.,0.997170705836998)); #178625=DIRECTION('ref_axis',(-0.997170705836998,0.,-0.0751703626474064)); #178626=DIRECTION('',(-0.997170705836998,0.,-0.0751703626474064)); #178627=DIRECTION('',(0.,-1.,0.)); #178628=DIRECTION('',(-0.997170705836998,0.,-0.0751703626474064)); #178629=DIRECTION('center_axis',(0.0144953968165089,0.,0.999894936216367)); #178630=DIRECTION('ref_axis',(-0.999894936216367,0.,0.0144953968165089)); #178631=DIRECTION('',(-0.999894936216367,0.,0.0144953968165089)); #178632=DIRECTION('',(0.,-1.,0.)); #178633=DIRECTION('',(-0.999894936216367,0.,0.0144953968165089)); #178634=DIRECTION('center_axis',(0.107248791162451,0.,0.994232214723599)); #178635=DIRECTION('ref_axis',(-0.994232214723599,0.,0.107248791162451)); #178636=DIRECTION('',(-0.994232214723599,0.,0.107248791162451)); #178637=DIRECTION('',(0.,-1.,0.)); #178638=DIRECTION('',(-0.994232214723599,0.,0.107248791162451)); #178639=DIRECTION('center_axis',(0.206067500142522,0.,0.978537779232367)); #178640=DIRECTION('ref_axis',(-0.978537779232367,0.,0.206067500142522)); #178641=DIRECTION('',(-0.978537779232367,0.,0.206067500142522)); #178642=DIRECTION('',(0.,-1.,0.)); #178643=DIRECTION('',(-0.978537779232367,0.,0.206067500142522)); #178644=DIRECTION('center_axis',(0.,-1.,0.)); #178645=DIRECTION('ref_axis',(0.412848424408664,0.,0.910799746631104)); #178646=DIRECTION('center_axis',(0.,-1.,0.)); #178647=DIRECTION('ref_axis',(0.412848424408664,0.,0.910799746631104)); #178648=DIRECTION('',(0.,-1.,0.)); #178649=DIRECTION('center_axis',(0.,-1.,0.)); #178650=DIRECTION('ref_axis',(0.412848424408664,0.,0.910799746631104)); #178651=DIRECTION('center_axis',(0.514787568552878,0.,0.857317770294898)); #178652=DIRECTION('ref_axis',(-0.857317770294898,0.,0.514787568552878)); #178653=DIRECTION('',(-0.857317770294898,0.,0.514787568552878)); #178654=DIRECTION('',(0.,-1.,0.)); #178655=DIRECTION('',(-0.857317770294898,0.,0.514787568552878)); #178656=DIRECTION('center_axis',(0.610023657608337,0.,0.792383200956549)); #178657=DIRECTION('ref_axis',(-0.792383200956549,0.,0.610023657608337)); #178658=DIRECTION('',(-0.792383200956549,0.,0.610023657608337)); #178659=DIRECTION('',(0.,-1.,0.)); #178660=DIRECTION('',(-0.792383200956549,0.,0.610023657608337)); #178661=DIRECTION('center_axis',(0.695033884607549,0.,0.718976981027446)); #178662=DIRECTION('ref_axis',(-0.718976981027446,0.,0.695033884607549)); #178663=DIRECTION('',(-0.718976981027446,0.,0.695033884607549)); #178664=DIRECTION('',(0.,-1.,0.)); #178665=DIRECTION('',(-0.718976981027446,0.,0.695033884607549)); #178666=DIRECTION('center_axis',(0.763988012184469,0.,0.645230437315556)); #178667=DIRECTION('ref_axis',(-0.645230437315556,0.,0.763988012184469)); #178668=DIRECTION('',(-0.645230437315556,0.,0.763988012184469)); #178669=DIRECTION('',(0.,-1.,0.)); #178670=DIRECTION('',(-0.645230437315556,0.,0.763988012184469)); #178671=DIRECTION('center_axis',(0.842136711383008,0.,0.539264090535437)); #178672=DIRECTION('ref_axis',(-0.539264090535437,0.,0.842136711383008)); #178673=DIRECTION('',(-0.539264090535437,0.,0.842136711383008)); #178674=DIRECTION('',(0.,-1.,0.)); #178675=DIRECTION('',(-0.539264090535437,0.,0.842136711383008)); #178676=DIRECTION('center_axis',(0.903121120625462,0.,0.429385888776296)); #178677=DIRECTION('ref_axis',(-0.429385888776296,0.,0.903121120625462)); #178678=DIRECTION('',(-0.429385888776296,0.,0.903121120625462)); #178679=DIRECTION('',(0.,-1.,0.)); #178680=DIRECTION('',(-0.429385888776296,0.,0.903121120625462)); #178681=DIRECTION('center_axis',(0.944764578103404,0.,0.327749739833759)); #178682=DIRECTION('ref_axis',(-0.327749739833759,0.,0.944764578103404)); #178683=DIRECTION('',(-0.327749739833759,0.,0.944764578103404)); #178684=DIRECTION('',(0.,-1.,0.)); #178685=DIRECTION('',(-0.327749739833759,0.,0.944764578103404)); #178686=DIRECTION('center_axis',(0.971594593307037,0.,0.236651529165847)); #178687=DIRECTION('ref_axis',(-0.236651529165847,0.,0.971594593307037)); #178688=DIRECTION('',(-0.236651529165847,0.,0.971594593307037)); #178689=DIRECTION('',(0.,-1.,0.)); #178690=DIRECTION('',(-0.236651529165847,0.,0.971594593307037)); #178691=DIRECTION('center_axis',(0.987362825054493,0.,0.158476028787987)); #178692=DIRECTION('ref_axis',(-0.158476028787987,0.,0.987362825054493)); #178693=DIRECTION('',(-0.158476028787987,0.,0.987362825054493)); #178694=DIRECTION('',(0.,-1.,0.)); #178695=DIRECTION('',(-0.158476028787987,0.,0.987362825054493)); #178696=DIRECTION('center_axis',(0.,-1.,0.)); #178697=DIRECTION('ref_axis',(0.999997267828642,0.,-0.00233759176324951)); #178698=DIRECTION('center_axis',(0.,-1.,0.)); #178699=DIRECTION('ref_axis',(0.999997267828642,0.,-0.00233759176324951)); #178700=DIRECTION('',(0.,-1.,0.)); #178701=DIRECTION('center_axis',(0.,-1.,0.)); #178702=DIRECTION('ref_axis',(0.999997267828642,0.,-0.00233759176324951)); #178703=DIRECTION('center_axis',(0.99999999926535,0.,3.83314510723143E-5)); #178704=DIRECTION('ref_axis',(-3.83314510723143E-5,0.,0.99999999926535)); #178705=DIRECTION('',(-3.83314510723143E-5,0.,0.99999999926535)); #178706=DIRECTION('',(0.,-1.,0.)); #178707=DIRECTION('',(-3.83314510723143E-5,0.,0.99999999926535)); #178708=DIRECTION('center_axis',(0.,-1.,0.)); #178709=DIRECTION('ref_axis',(0.0032868339232985,0.,-0.999994598346791)); #178710=DIRECTION('center_axis',(0.,1.,0.)); #178711=DIRECTION('ref_axis',(0.0032868339232985,0.,-0.999994598346791)); #178712=DIRECTION('',(0.,-1.,0.)); #178713=DIRECTION('center_axis',(0.,1.,0.)); #178714=DIRECTION('ref_axis',(0.0032868339232985,0.,-0.999994598346791)); #178715=DIRECTION('center_axis',(0.,-1.,0.)); #178716=DIRECTION('ref_axis',(-0.998326849292835,0.,0.0578230229324052)); #178717=DIRECTION('center_axis',(0.,-1.,0.)); #178718=DIRECTION('ref_axis',(-0.998326849292835,0.,0.0578230229324052)); #178719=DIRECTION('',(0.,-1.,0.)); #178720=DIRECTION('center_axis',(0.,-1.,0.)); #178721=DIRECTION('ref_axis',(-0.998326849292835,0.,0.0578230229324052)); #178722=DIRECTION('center_axis',(-0.988641803468745,0.,0.150290999178484)); #178723=DIRECTION('ref_axis',(-0.150290999178484,0.,-0.988641803468745)); #178724=DIRECTION('',(-0.150290999178484,0.,-0.988641803468745)); #178725=DIRECTION('',(0.,-1.,0.)); #178726=DIRECTION('',(-0.150290999178484,0.,-0.988641803468745)); #178727=DIRECTION('center_axis',(-0.973830065690953,0.,0.227277370532913)); #178728=DIRECTION('ref_axis',(-0.227277370532913,0.,-0.973830065690952)); #178729=DIRECTION('',(-0.227277370532913,0.,-0.973830065690952)); #178730=DIRECTION('',(0.,-1.,0.)); #178731=DIRECTION('',(-0.227277370532913,0.,-0.973830065690952)); #178732=DIRECTION('center_axis',(-0.948547615033707,0.,0.316634524355551)); #178733=DIRECTION('ref_axis',(-0.316634524355551,0.,-0.948547615033707)); #178734=DIRECTION('',(-0.316634524355551,0.,-0.948547615033707)); #178735=DIRECTION('',(0.,-1.,0.)); #178736=DIRECTION('',(-0.316634524355551,0.,-0.948547615033707)); #178737=DIRECTION('center_axis',(-0.909198738704145,0.,0.416362406490777)); #178738=DIRECTION('ref_axis',(-0.416362406490777,0.,-0.909198738704145)); #178739=DIRECTION('',(-0.416362406490777,0.,-0.909198738704145)); #178740=DIRECTION('',(0.,-1.,0.)); #178741=DIRECTION('',(-0.416362406490777,0.,-0.909198738704145)); #178742=DIRECTION('center_axis',(-0.851532164795111,0.,0.524302367264684)); #178743=DIRECTION('ref_axis',(-0.524302367264684,0.,-0.851532164795111)); #178744=DIRECTION('',(-0.524302367264684,0.,-0.851532164795111)); #178745=DIRECTION('',(0.,-1.,0.)); #178746=DIRECTION('',(-0.524302367264684,0.,-0.851532164795111)); #178747=DIRECTION('center_axis',(-0.774444865210173,0.,0.632641407710243)); #178748=DIRECTION('ref_axis',(-0.632641407710243,0.,-0.774444865210173)); #178749=DIRECTION('',(-0.632641407710243,0.,-0.774444865210173)); #178750=DIRECTION('',(0.,-1.,0.)); #178751=DIRECTION('',(-0.632641407710243,0.,-0.774444865210173)); #178752=DIRECTION('center_axis',(0.,-1.,0.)); #178753=DIRECTION('ref_axis',(-0.628952279835792,0.,0.777443907744707)); #178754=DIRECTION('center_axis',(0.,-1.,0.)); #178755=DIRECTION('ref_axis',(-0.628952279835792,0.,0.777443907744707)); #178756=DIRECTION('',(0.,-1.,0.)); #178757=DIRECTION('center_axis',(0.,-1.,0.)); #178758=DIRECTION('ref_axis',(-0.628952279835792,0.,0.777443907744707)); #178759=DIRECTION('center_axis',(-0.529205156456765,0.,0.84849390238208)); #178760=DIRECTION('ref_axis',(-0.84849390238208,0.,-0.529205156456765)); #178761=DIRECTION('',(-0.84849390238208,0.,-0.529205156456765)); #178762=DIRECTION('',(0.,-1.,0.)); #178763=DIRECTION('',(-0.84849390238208,0.,-0.529205156456765)); #178764=DIRECTION('center_axis',(-0.428781757390384,0.,0.903408105193447)); #178765=DIRECTION('ref_axis',(-0.903408105193447,0.,-0.428781757390384)); #178766=DIRECTION('',(-0.903408105193447,0.,-0.428781757390384)); #178767=DIRECTION('',(0.,-1.,0.)); #178768=DIRECTION('',(-0.903408105193447,0.,-0.428781757390384)); #178769=DIRECTION('center_axis',(-0.3242190426548,0.,0.945982035971088)); #178770=DIRECTION('ref_axis',(-0.945982035971088,0.,-0.3242190426548)); #178771=DIRECTION('',(-0.945982035971088,0.,-0.3242190426548)); #178772=DIRECTION('',(0.,-1.,0.)); #178773=DIRECTION('',(-0.945982035971088,0.,-0.3242190426548)); #178774=DIRECTION('center_axis',(-0.220991212560181,0.,0.975275798926222)); #178775=DIRECTION('ref_axis',(-0.975275798926222,0.,-0.220991212560181)); #178776=DIRECTION('',(-0.975275798926222,0.,-0.220991212560181)); #178777=DIRECTION('',(0.,-1.,0.)); #178778=DIRECTION('',(-0.975275798926222,0.,-0.220991212560181)); #178779=DIRECTION('center_axis',(-0.121408885798419,0.,0.9926025803156)); #178780=DIRECTION('ref_axis',(-0.9926025803156,0.,-0.121408885798419)); #178781=DIRECTION('',(-0.9926025803156,0.,-0.121408885798419)); #178782=DIRECTION('',(0.,-1.,0.)); #178783=DIRECTION('',(-0.9926025803156,0.,-0.121408885798419)); #178784=DIRECTION('center_axis',(-0.0282147373851489,0.,0.999601885049387)); #178785=DIRECTION('ref_axis',(-0.999601885049387,0.,-0.0282147373851489)); #178786=DIRECTION('',(-0.999601885049387,0.,-0.0282147373851489)); #178787=DIRECTION('',(0.,-1.,0.)); #178788=DIRECTION('',(-0.999601885049387,0.,-0.0282147373851489)); #178789=DIRECTION('center_axis',(0.0648161763283529,0.,0.99789722080291)); #178790=DIRECTION('ref_axis',(-0.99789722080291,0.,0.0648161763283529)); #178791=DIRECTION('',(-0.99789722080291,0.,0.0648161763283529)); #178792=DIRECTION('',(0.,-1.,0.)); #178793=DIRECTION('',(-0.99789722080291,0.,0.0648161763283529)); #178794=DIRECTION('center_axis',(0.163552868583841,0.,0.986534570695826)); #178795=DIRECTION('ref_axis',(-0.986534570695826,0.,0.163552868583841)); #178796=DIRECTION('',(-0.986534570695826,0.,0.163552868583841)); #178797=DIRECTION('',(0.,-1.,0.)); #178798=DIRECTION('',(-0.986534570695826,0.,0.163552868583841)); #178799=DIRECTION('center_axis',(0.,-1.,0.)); #178800=DIRECTION('ref_axis',(0.36362569283276,0.,0.931545144108376)); #178801=DIRECTION('center_axis',(0.,-1.,0.)); #178802=DIRECTION('ref_axis',(0.36362569283276,0.,0.931545144108376)); #178803=DIRECTION('',(0.,-1.,0.)); #178804=DIRECTION('center_axis',(0.,-1.,0.)); #178805=DIRECTION('ref_axis',(0.36362569283276,0.,0.931545144108376)); #178806=DIRECTION('center_axis',(0.468205942823498,0.,0.883619372300517)); #178807=DIRECTION('ref_axis',(-0.883619372300517,0.,0.468205942823498)); #178808=DIRECTION('',(-0.883619372300517,0.,0.468205942823498)); #178809=DIRECTION('',(0.,-1.,0.)); #178810=DIRECTION('',(-0.883619372300517,0.,0.468205942823498)); #178811=DIRECTION('center_axis',(0.558874830095906,0.,0.829252027001003)); #178812=DIRECTION('ref_axis',(-0.829252027001003,0.,0.558874830095906)); #178813=DIRECTION('',(-0.829252027001003,0.,0.558874830095906)); #178814=DIRECTION('',(0.,-1.,0.)); #178815=DIRECTION('',(-0.829252027001003,0.,0.558874830095906)); #178816=DIRECTION('center_axis',(0.63943757639099,0.,0.768843017721574)); #178817=DIRECTION('ref_axis',(-0.768843017721574,0.,0.63943757639099)); #178818=DIRECTION('',(-0.768843017721574,0.,0.63943757639099)); #178819=DIRECTION('',(0.,-1.,0.)); #178820=DIRECTION('',(-0.768843017721574,0.,0.63943757639099)); #178821=DIRECTION('center_axis',(0.712517726312868,0.,0.70165410972212)); #178822=DIRECTION('ref_axis',(-0.70165410972212,0.,0.712517726312868)); #178823=DIRECTION('',(-0.70165410972212,0.,0.712517726312868)); #178824=DIRECTION('',(0.,-1.,0.)); #178825=DIRECTION('',(-0.70165410972212,0.,0.712517726312868)); #178826=DIRECTION('center_axis',(0.,-1.,0.)); #178827=DIRECTION('ref_axis',(0.874087738178188,0.,0.485768078373353)); #178828=DIRECTION('center_axis',(0.,-1.,0.)); #178829=DIRECTION('ref_axis',(0.874087738178188,0.,0.485768078373353)); #178830=DIRECTION('',(0.,-1.,0.)); #178831=DIRECTION('center_axis',(0.,-1.,0.)); #178832=DIRECTION('ref_axis',(0.874087738178188,0.,0.485768078373353)); #178833=DIRECTION('center_axis',(0.92227828621945,0.,0.386526535658439)); #178834=DIRECTION('ref_axis',(-0.386526535658439,0.,0.92227828621945)); #178835=DIRECTION('',(-0.386526535658439,0.,0.92227828621945)); #178836=DIRECTION('',(0.,-1.,0.)); #178837=DIRECTION('',(-0.386526535658439,0.,0.92227828621945)); #178838=DIRECTION('center_axis',(0.956611030462222,0.,0.291368042856464)); #178839=DIRECTION('ref_axis',(-0.291368042856464,0.,0.956611030462222)); #178840=DIRECTION('',(-0.291368042856464,0.,0.956611030462222)); #178841=DIRECTION('',(0.,-1.,0.)); #178842=DIRECTION('',(-0.291368042856464,0.,0.956611030462222)); #178843=DIRECTION('center_axis',(0.978504008222927,0.,0.206227800966954)); #178844=DIRECTION('ref_axis',(-0.206227800966954,0.,0.978504008222927)); #178845=DIRECTION('',(-0.206227800966954,0.,0.978504008222927)); #178846=DIRECTION('',(0.,-1.,0.)); #178847=DIRECTION('',(-0.206227800966954,0.,0.978504008222927)); #178848=DIRECTION('center_axis',(0.,-1.,0.)); #178849=DIRECTION('ref_axis',(0.998033785483066,0.,0.0626782500899689)); #178850=DIRECTION('center_axis',(0.,-1.,0.)); #178851=DIRECTION('ref_axis',(0.998033785483066,0.,0.0626782500899689)); #178852=DIRECTION('',(0.,-1.,0.)); #178853=DIRECTION('center_axis',(0.,-1.,0.)); #178854=DIRECTION('ref_axis',(0.998033785483066,0.,0.0626782500899689)); #178855=DIRECTION('center_axis',(0.99999999563783,0.,9.34041706484472E-5)); #178856=DIRECTION('ref_axis',(-9.34041706484472E-5,0.,0.99999999563783)); #178857=DIRECTION('',(-9.34041706484472E-5,0.,0.99999999563783)); #178858=DIRECTION('',(0.,-1.,0.)); #178859=DIRECTION('',(-9.34041706484472E-5,0.,0.99999999563783)); #178860=DIRECTION('center_axis',(0.609582043216941,0.,-0.792722986034504)); #178861=DIRECTION('ref_axis',(0.792722986034504,0.,0.609582043216941)); #178862=DIRECTION('',(0.792722986034504,0.,0.609582043216941)); #178863=DIRECTION('',(0.,-1.,0.)); #178864=DIRECTION('',(0.792722986034504,0.,0.609582043216941)); #178865=DIRECTION('center_axis',(0.,-1.,0.)); #178866=DIRECTION('ref_axis',(-0.0557417057306658,0.,-0.99844522245451)); #178867=DIRECTION('center_axis',(0.,-1.,0.)); #178868=DIRECTION('ref_axis',(-0.0557417057306658,0.,-0.99844522245451)); #178869=DIRECTION('',(0.,-1.,0.)); #178870=DIRECTION('center_axis',(0.,-1.,0.)); #178871=DIRECTION('ref_axis',(-0.0557417057306658,0.,-0.99844522245451)); #178872=DIRECTION('center_axis',(0.,-1.,0.)); #178873=DIRECTION('ref_axis',(-0.278894562948924,0.,-0.960321728775065)); #178874=DIRECTION('center_axis',(0.,-1.,0.)); #178875=DIRECTION('ref_axis',(-0.278894562948924,0.,-0.960321728775065)); #178876=DIRECTION('',(0.,-1.,0.)); #178877=DIRECTION('center_axis',(0.,-1.,0.)); #178878=DIRECTION('ref_axis',(-0.278894562948924,0.,-0.960321728775065)); #178879=DIRECTION('center_axis',(-0.366923131494694,0.,-0.93025126475277)); #178880=DIRECTION('ref_axis',(0.93025126475277,0.,-0.366923131494694)); #178881=DIRECTION('',(0.93025126475277,0.,-0.366923131494694)); #178882=DIRECTION('',(0.,-1.,0.)); #178883=DIRECTION('',(0.93025126475277,0.,-0.366923131494694)); #178884=DIRECTION('center_axis',(-0.472010700678262,0.,-0.881592818961915)); #178885=DIRECTION('ref_axis',(0.881592818961915,0.,-0.472010700678262)); #178886=DIRECTION('',(0.881592818961915,0.,-0.472010700678262)); #178887=DIRECTION('',(0.,-1.,0.)); #178888=DIRECTION('',(0.881592818961915,0.,-0.472010700678262)); #178889=DIRECTION('center_axis',(-0.583453925331748,0.,-0.812146241150554)); #178890=DIRECTION('ref_axis',(0.812146241150554,0.,-0.583453925331748)); #178891=DIRECTION('',(0.812146241150554,0.,-0.583453925331748)); #178892=DIRECTION('',(0.,-1.,0.)); #178893=DIRECTION('',(0.812146241150554,0.,-0.583453925331748)); #178894=DIRECTION('center_axis',(-0.691611874616243,0.,-0.722269350650993)); #178895=DIRECTION('ref_axis',(0.722269350650993,0.,-0.691611874616243)); #178896=DIRECTION('',(0.722269350650993,0.,-0.691611874616243)); #178897=DIRECTION('',(0.,-1.,0.)); #178898=DIRECTION('',(0.722269350650993,0.,-0.691611874616243)); #178899=DIRECTION('center_axis',(-0.764728399794984,0.,-0.644352756296582)); #178900=DIRECTION('ref_axis',(0.644352756296582,0.,-0.764728399794984)); #178901=DIRECTION('',(0.644352756296582,0.,-0.764728399794984)); #178902=DIRECTION('',(0.,-1.,0.)); #178903=DIRECTION('',(0.644352756296582,0.,-0.764728399794984)); #178904=DIRECTION('center_axis',(-0.826485955540176,0.,-0.562957338787623)); #178905=DIRECTION('ref_axis',(0.562957338787623,0.,-0.826485955540176)); #178906=DIRECTION('',(0.562957338787623,0.,-0.826485955540176)); #178907=DIRECTION('',(0.,-1.,0.)); #178908=DIRECTION('',(0.562957338787623,0.,-0.826485955540176)); #178909=DIRECTION('center_axis',(-0.881590199163365,0.,-0.472015593745692)); #178910=DIRECTION('ref_axis',(0.472015593745692,0.,-0.881590199163365)); #178911=DIRECTION('',(0.472015593745692,0.,-0.881590199163365)); #178912=DIRECTION('',(0.,-1.,0.)); #178913=DIRECTION('',(0.472015593745692,0.,-0.881590199163365)); #178914=DIRECTION('center_axis',(-0.927208561881275,0.,-0.374545434859454)); #178915=DIRECTION('ref_axis',(0.374545434859454,0.,-0.927208561881275)); #178916=DIRECTION('',(0.374545434859454,0.,-0.927208561881275)); #178917=DIRECTION('',(0.,-1.,0.)); #178918=DIRECTION('',(0.374545434859454,0.,-0.927208561881275)); #178919=DIRECTION('center_axis',(-0.961711598371871,0.,-0.274063499132994)); #178920=DIRECTION('ref_axis',(0.274063499132994,0.,-0.961711598371871)); #178921=DIRECTION('',(0.274063499132994,0.,-0.961711598371871)); #178922=DIRECTION('',(0.,-1.,0.)); #178923=DIRECTION('',(0.274063499132994,0.,-0.961711598371871)); #178924=DIRECTION('center_axis',(0.,-1.,0.)); #178925=DIRECTION('ref_axis',(-0.997496205635529,0.,-0.0707200094932313)); #178926=DIRECTION('center_axis',(0.,-1.,0.)); #178927=DIRECTION('ref_axis',(-0.997496205635529,0.,-0.0707200094932313)); #178928=DIRECTION('',(0.,-1.,0.)); #178929=DIRECTION('center_axis',(0.,-1.,0.)); #178930=DIRECTION('ref_axis',(-0.997496205635529,0.,-0.0707200094932313)); #178931=DIRECTION('center_axis',(-0.999921592092382,0.,0.012522366686742)); #178932=DIRECTION('ref_axis',(-0.012522366686742,0.,-0.999921592092382)); #178933=DIRECTION('',(-0.012522366686742,0.,-0.999921592092382)); #178934=DIRECTION('',(0.,-1.,0.)); #178935=DIRECTION('',(-0.012522366686742,0.,-0.999921592092382)); #178936=DIRECTION('center_axis',(0.,-1.,0.)); #178937=DIRECTION('ref_axis',(-0.978196213725235,0.,0.207682853056323)); #178938=DIRECTION('center_axis',(0.,-1.,0.)); #178939=DIRECTION('ref_axis',(-0.978196213725235,0.,0.207682853056323)); #178940=DIRECTION('',(0.,-1.,0.)); #178941=DIRECTION('center_axis',(0.,-1.,0.)); #178942=DIRECTION('ref_axis',(-0.978196213725235,0.,0.207682853056323)); #178943=DIRECTION('center_axis',(-0.950839774161501,0.,0.309683263791419)); #178944=DIRECTION('ref_axis',(-0.309683263791419,0.,-0.950839774161501)); #178945=DIRECTION('',(-0.309683263791419,0.,-0.950839774161501)); #178946=DIRECTION('',(0.,-1.,0.)); #178947=DIRECTION('',(-0.309683263791419,0.,-0.950839774161501)); #178948=DIRECTION('center_axis',(-0.911957459812873,0.,0.410284768778531)); #178949=DIRECTION('ref_axis',(-0.410284768778531,0.,-0.911957459812873)); #178950=DIRECTION('',(-0.410284768778531,0.,-0.911957459812873)); #178951=DIRECTION('',(0.,-1.,0.)); #178952=DIRECTION('',(-0.410284768778531,0.,-0.911957459812873)); #178953=DIRECTION('center_axis',(-0.861271693630561,0.,0.508144733073899)); #178954=DIRECTION('ref_axis',(-0.508144733073899,0.,-0.861271693630562)); #178955=DIRECTION('',(-0.508144733073899,0.,-0.861271693630562)); #178956=DIRECTION('',(0.,-1.,0.)); #178957=DIRECTION('',(-0.508144733073899,0.,-0.861271693630562)); #178958=DIRECTION('center_axis',(-0.801590892934589,0.,0.597872929947767)); #178959=DIRECTION('ref_axis',(-0.597872929947767,0.,-0.801590892934589)); #178960=DIRECTION('',(-0.597872929947767,0.,-0.801590892934589)); #178961=DIRECTION('',(0.,-1.,0.)); #178962=DIRECTION('',(-0.597872929947767,0.,-0.801590892934589)); #178963=DIRECTION('center_axis',(-0.734083414029503,0.,0.679059306133705)); #178964=DIRECTION('ref_axis',(-0.679059306133705,0.,-0.734083414029503)); #178965=DIRECTION('',(-0.679059306133705,0.,-0.734083414029503)); #178966=DIRECTION('',(0.,-1.,0.)); #178967=DIRECTION('',(-0.679059306133705,0.,-0.734083414029503)); #178968=DIRECTION('center_axis',(-0.651793056824733,0.,0.758396869109486)); #178969=DIRECTION('ref_axis',(-0.758396869109486,0.,-0.651793056824733)); #178970=DIRECTION('',(-0.758396869109486,0.,-0.651793056824733)); #178971=DIRECTION('',(0.,-1.,0.)); #178972=DIRECTION('',(-0.758396869109486,0.,-0.651793056824733)); #178973=DIRECTION('center_axis',(-0.546714122434307,0.,0.837319334740866)); #178974=DIRECTION('ref_axis',(-0.837319334740866,0.,-0.546714122434307)); #178975=DIRECTION('',(-0.837319334740866,0.,-0.546714122434307)); #178976=DIRECTION('',(0.,-1.,0.)); #178977=DIRECTION('',(-0.837319334740866,0.,-0.546714122434307)); #178978=DIRECTION('center_axis',(0.,-1.,0.)); #178979=DIRECTION('ref_axis',(-0.246954609151157,0.,0.969027048651893)); #178980=DIRECTION('center_axis',(0.,-1.,0.)); #178981=DIRECTION('ref_axis',(-0.246954609151157,0.,0.969027048651893)); #178982=DIRECTION('',(0.,-1.,0.)); #178983=DIRECTION('center_axis',(0.,-1.,0.)); #178984=DIRECTION('ref_axis',(-0.246954609151157,0.,0.969027048651893)); #178985=DIRECTION('center_axis',(-0.171505440257454,0.,0.985183172796865)); #178986=DIRECTION('ref_axis',(-0.985183172796865,0.,-0.171505440257454)); #178987=DIRECTION('',(-0.985183172796865,0.,-0.171505440257454)); #178988=DIRECTION('',(0.,-1.,0.)); #178989=DIRECTION('',(-0.985183172796865,0.,-0.171505440257454)); #178990=DIRECTION('center_axis',(-0.100974034644655,0.,0.994889061316678)); #178991=DIRECTION('ref_axis',(-0.994889061316678,0.,-0.100974034644655)); #178992=DIRECTION('',(-0.994889061316678,0.,-0.100974034644655)); #178993=DIRECTION('',(0.,-1.,0.)); #178994=DIRECTION('',(-0.994889061316678,0.,-0.100974034644655)); #178995=DIRECTION('center_axis',(-0.0392015558085683,0.,0.999231323579374)); #178996=DIRECTION('ref_axis',(-0.999231323579374,0.,-0.0392015558085683)); #178997=DIRECTION('',(-0.999231323579374,0.,-0.0392015558085683)); #178998=DIRECTION('',(0.,-1.,0.)); #178999=DIRECTION('',(-0.999231323579374,0.,-0.0392015558085683)); #179000=DIRECTION('center_axis',(-2.74286638095307E-5,0.,0.999999999623834)); #179001=DIRECTION('ref_axis',(-0.999999999623834,0.,-2.74286638095307E-5)); #179002=DIRECTION('',(-0.999999999623834,0.,-2.74286638095307E-5)); #179003=DIRECTION('',(0.,-1.,0.)); #179004=DIRECTION('',(-0.999999999623834,0.,-2.74286638095307E-5)); #179005=DIRECTION('center_axis',(0.,-1.,0.)); #179006=DIRECTION('ref_axis',(0.999992523436703,0.,0.00386692005275666)); #179007=DIRECTION('center_axis',(0.,1.,0.)); #179008=DIRECTION('ref_axis',(0.999992523436703,0.,0.00386692005275666)); #179009=DIRECTION('',(0.,-1.,0.)); #179010=DIRECTION('center_axis',(0.,1.,0.)); #179011=DIRECTION('ref_axis',(0.999992523436703,0.,0.00386692005275666)); #179012=DIRECTION('center_axis',(-0.000980812815746537,0.,-0.999999519002995)); #179013=DIRECTION('ref_axis',(0.999999519002995,0.,-0.000980812815746537)); #179014=DIRECTION('',(0.999999519002995,0.,-0.000980812815746537)); #179015=DIRECTION('',(0.,-1.,0.)); #179016=DIRECTION('',(0.999999519002995,0.,-0.000980812815746537)); #179017=DIRECTION('center_axis',(0.,0.,-1.)); #179018=DIRECTION('ref_axis',(1.,0.,0.)); #179019=DIRECTION('',(1.,0.,0.)); #179020=DIRECTION('',(0.,-1.,0.)); #179021=DIRECTION('',(1.,0.,0.)); #179022=DIRECTION('center_axis',(0.,-1.,0.)); #179023=DIRECTION('ref_axis',(0.999995242980126,0.,-0.00308448004010057)); #179024=DIRECTION('center_axis',(0.,-1.,0.)); #179025=DIRECTION('ref_axis',(0.999995242980126,0.,-0.00308448004010057)); #179026=DIRECTION('',(0.,-1.,0.)); #179027=DIRECTION('center_axis',(0.,-1.,0.)); #179028=DIRECTION('ref_axis',(0.999995242980126,0.,-0.00308448004010057)); #179029=DIRECTION('center_axis',(0.996060739673302,0.,-0.088673574877044)); #179030=DIRECTION('ref_axis',(0.088673574877044,0.,0.996060739673302)); #179031=DIRECTION('',(0.088673574877044,0.,0.996060739673302)); #179032=DIRECTION('',(0.,-1.,0.)); #179033=DIRECTION('',(0.088673574877044,0.,0.996060739673302)); #179034=DIRECTION('center_axis',(0.,-1.,0.)); #179035=DIRECTION('ref_axis',(0.945947516934848,0.,-0.324319742237186)); #179036=DIRECTION('center_axis',(0.,-1.,0.)); #179037=DIRECTION('ref_axis',(0.945947516934848,0.,-0.324319742237186)); #179038=DIRECTION('',(0.,-1.,0.)); #179039=DIRECTION('center_axis',(0.,-1.,0.)); #179040=DIRECTION('ref_axis',(0.945947516934848,0.,-0.324319742237186)); #179041=DIRECTION('center_axis',(0.905296107575139,0.,-0.424781070210646)); #179042=DIRECTION('ref_axis',(0.424781070210646,0.,0.905296107575139)); #179043=DIRECTION('',(0.424781070210646,0.,0.905296107575139)); #179044=DIRECTION('',(0.,-1.,0.)); #179045=DIRECTION('',(0.424781070210646,0.,0.905296107575139)); #179046=DIRECTION('center_axis',(0.845222553592554,0.,-0.534414478563673)); #179047=DIRECTION('ref_axis',(0.534414478563673,0.,0.845222553592553)); #179048=DIRECTION('',(0.534414478563673,0.,0.845222553592553)); #179049=DIRECTION('',(0.,-1.,0.)); #179050=DIRECTION('',(0.534414478563673,0.,0.845222553592553)); #179051=DIRECTION('center_axis',(0.766227143407851,0.,-0.642569813098191)); #179052=DIRECTION('ref_axis',(0.642569813098191,0.,0.766227143407851)); #179053=DIRECTION('',(0.642569813098191,0.,0.766227143407851)); #179054=DIRECTION('',(0.,-1.,0.)); #179055=DIRECTION('',(0.642569813098191,0.,0.766227143407851)); #179056=DIRECTION('center_axis',(0.695266371061725,0.,-0.718752164011115)); #179057=DIRECTION('ref_axis',(0.718752164011115,0.,0.695266371061725)); #179058=DIRECTION('',(0.718752164011115,0.,0.695266371061725)); #179059=DIRECTION('',(0.,-1.,0.)); #179060=DIRECTION('',(0.718752164011115,0.,0.695266371061725)); #179061=DIRECTION('center_axis',(0.611587687405514,0.,-0.791176655756459)); #179062=DIRECTION('ref_axis',(0.791176655756459,0.,0.611587687405514)); #179063=DIRECTION('',(0.791176655756459,0.,0.611587687405514)); #179064=DIRECTION('',(0.,-1.,0.)); #179065=DIRECTION('',(0.791176655756459,0.,0.611587687405514)); #179066=DIRECTION('center_axis',(0.518232394718262,0.,-0.855239840667269)); #179067=DIRECTION('ref_axis',(0.855239840667269,0.,0.518232394718262)); #179068=DIRECTION('',(0.855239840667269,0.,0.518232394718262)); #179069=DIRECTION('',(0.,-1.,0.)); #179070=DIRECTION('',(0.855239840667269,0.,0.518232394718262)); #179071=DIRECTION('center_axis',(0.418732905966329,0.,-0.908109439143209)); #179072=DIRECTION('ref_axis',(0.908109439143209,0.,0.418732905966329)); #179073=DIRECTION('',(0.908109439143209,0.,0.418732905966329)); #179074=DIRECTION('',(0.,-1.,0.)); #179075=DIRECTION('',(0.908109439143209,0.,0.418732905966329)); #179076=DIRECTION('center_axis',(0.315317852561986,0.,-0.948986117841403)); #179077=DIRECTION('ref_axis',(0.948986117841403,0.,0.315317852561986)); #179078=DIRECTION('',(0.948986117841403,0.,0.315317852561986)); #179079=DIRECTION('',(0.,-1.,0.)); #179080=DIRECTION('',(0.948986117841403,0.,0.315317852561986)); #179081=DIRECTION('center_axis',(0.212120877587566,0.,-0.977243436044203)); #179082=DIRECTION('ref_axis',(0.977243436044203,0.,0.212120877587566)); #179083=DIRECTION('',(0.977243436044203,0.,0.212120877587566)); #179084=DIRECTION('',(0.,-1.,0.)); #179085=DIRECTION('',(0.977243436044203,0.,0.212120877587566)); #179086=DIRECTION('center_axis',(0.112272920158885,0.,-0.993677408115429)); #179087=DIRECTION('ref_axis',(0.993677408115429,0.,0.112272920158885)); #179088=DIRECTION('',(0.993677408115429,0.,0.112272920158885)); #179089=DIRECTION('',(0.,-1.,0.)); #179090=DIRECTION('',(0.993677408115429,0.,0.112272920158885)); #179091=DIRECTION('center_axis',(0.,-1.,0.)); #179092=DIRECTION('ref_axis',(-0.0756627167218007,0.,-0.997133468146705)); #179093=DIRECTION('center_axis',(0.,-1.,0.)); #179094=DIRECTION('ref_axis',(-0.0756627167218007,0.,-0.997133468146705)); #179095=DIRECTION('',(0.,-1.,0.)); #179096=DIRECTION('center_axis',(0.,-1.,0.)); #179097=DIRECTION('ref_axis',(-0.0756627167218007,0.,-0.997133468146705)); #179098=DIRECTION('center_axis',(-0.170366517211841,0.,-0.985380763874101)); #179099=DIRECTION('ref_axis',(0.985380763874101,0.,-0.170366517211841)); #179100=DIRECTION('',(0.985380763874101,0.,-0.170366517211841)); #179101=DIRECTION('',(0.,-1.,0.)); #179102=DIRECTION('',(0.985380763874101,0.,-0.170366517211841)); #179103=DIRECTION('center_axis',(-0.272373220598668,0.,-0.962191679812661)); #179104=DIRECTION('ref_axis',(0.962191679812661,0.,-0.272373220598668)); #179105=DIRECTION('',(0.962191679812661,0.,-0.272373220598668)); #179106=DIRECTION('',(0.,-1.,0.)); #179107=DIRECTION('',(0.962191679812661,0.,-0.272373220598668)); #179108=DIRECTION('center_axis',(-0.376534165080048,0.,-0.926402732361834)); #179109=DIRECTION('ref_axis',(0.926402732361834,0.,-0.376534165080048)); #179110=DIRECTION('',(0.926402732361834,0.,-0.376534165080048)); #179111=DIRECTION('',(0.,-1.,0.)); #179112=DIRECTION('',(0.926402732361834,0.,-0.376534165080048)); #179113=DIRECTION('center_axis',(-0.479397032460523,0.,-0.877598134266502)); #179114=DIRECTION('ref_axis',(0.877598134266502,0.,-0.479397032460523)); #179115=DIRECTION('',(0.877598134266502,0.,-0.479397032460523)); #179116=DIRECTION('',(0.,-1.,0.)); #179117=DIRECTION('',(0.877598134266502,0.,-0.479397032460523)); #179118=DIRECTION('center_axis',(-0.576252538209882,0.,-0.817271688122541)); #179119=DIRECTION('ref_axis',(0.817271688122541,0.,-0.576252538209882)); #179120=DIRECTION('',(0.817271688122541,0.,-0.576252538209882)); #179121=DIRECTION('',(0.,-1.,0.)); #179122=DIRECTION('',(0.817271688122541,0.,-0.576252538209882)); #179123=DIRECTION('center_axis',(-0.665593235760419,0.,-0.746314708758963)); #179124=DIRECTION('ref_axis',(0.746314708758962,0.,-0.665593235760419)); #179125=DIRECTION('',(0.746314708758962,0.,-0.665593235760419)); #179126=DIRECTION('',(0.,-1.,0.)); #179127=DIRECTION('',(0.746314708758963,0.,-0.665593235760419)); #179128=DIRECTION('center_axis',(-0.737621403760244,0.,-0.675214532363431)); #179129=DIRECTION('ref_axis',(0.675214532363431,0.,-0.737621403760244)); #179130=DIRECTION('',(0.675214532363431,0.,-0.737621403760244)); #179131=DIRECTION('',(0.,-1.,0.)); #179132=DIRECTION('',(0.675214532363431,0.,-0.737621403760244)); #179133=DIRECTION('center_axis',(-0.81140732413817,0.,-0.584481098355571)); #179134=DIRECTION('ref_axis',(0.584481098355571,0.,-0.81140732413817)); #179135=DIRECTION('',(0.584481098355571,0.,-0.81140732413817)); #179136=DIRECTION('',(0.,-1.,0.)); #179137=DIRECTION('',(0.584481098355571,0.,-0.81140732413817)); #179138=DIRECTION('center_axis',(-0.881713149613628,0.,-0.471785885543873)); #179139=DIRECTION('ref_axis',(0.471785885543873,0.,-0.881713149613628)); #179140=DIRECTION('',(0.471785885543873,0.,-0.881713149613628)); #179141=DIRECTION('',(0.,-1.,0.)); #179142=DIRECTION('',(0.471785885543873,0.,-0.881713149613628)); #179143=DIRECTION('center_axis',(-0.930589479901501,0.,-0.366064502371718)); #179144=DIRECTION('ref_axis',(0.366064502371718,0.,-0.930589479901501)); #179145=DIRECTION('',(0.366064502371718,0.,-0.930589479901501)); #179146=DIRECTION('',(0.,-1.,0.)); #179147=DIRECTION('',(0.366064502371718,0.,-0.930589479901501)); #179148=DIRECTION('center_axis',(-0.962823337824033,0.,-0.270131856953948)); #179149=DIRECTION('ref_axis',(0.270131856953948,0.,-0.962823337824033)); #179150=DIRECTION('',(0.270131856953948,0.,-0.962823337824033)); #179151=DIRECTION('',(0.,-1.,0.)); #179152=DIRECTION('',(0.270131856953948,0.,-0.962823337824033)); #179153=DIRECTION('center_axis',(-0.982391463657999,0.,-0.18683418352083)); #179154=DIRECTION('ref_axis',(0.18683418352083,0.,-0.982391463657999)); #179155=DIRECTION('',(0.18683418352083,0.,-0.982391463657999)); #179156=DIRECTION('',(0.18683418352083,0.,-0.982391463657999)); #179157=DIRECTION('center_axis',(0.,1.,0.)); #179158=DIRECTION('ref_axis',(1.,0.,0.)); #179159=DIRECTION('center_axis',(5.66094344371853E-5,0.,0.999999998397686)); #179160=DIRECTION('ref_axis',(-0.999999998397686,0.,5.66094344371853E-5)); #179161=DIRECTION('',(-0.999999998397686,0.,5.66094344371853E-5)); #179162=DIRECTION('',(0.,-1.,0.)); #179163=DIRECTION('',(-0.999999998397686,0.,5.66094344371853E-5)); #179164=DIRECTION('',(0.,-1.,0.)); #179165=DIRECTION('center_axis',(0.,-1.,0.)); #179166=DIRECTION('ref_axis',(0.577885543202866,0.,-0.816117821737234)); #179167=DIRECTION('center_axis',(0.,1.,0.)); #179168=DIRECTION('ref_axis',(0.577885543202866,0.,-0.816117821737234)); #179169=DIRECTION('',(0.,-1.,0.)); #179170=DIRECTION('center_axis',(0.,1.,0.)); #179171=DIRECTION('ref_axis',(0.577885543202866,0.,-0.816117821737234)); #179172=DIRECTION('center_axis',(0.,-1.,0.)); #179173=DIRECTION('ref_axis',(0.976415352257224,0.,0.215900578684731)); #179174=DIRECTION('center_axis',(0.,1.,0.)); #179175=DIRECTION('ref_axis',(0.976415352257224,0.,0.215900578684731)); #179176=DIRECTION('',(0.,-1.,0.)); #179177=DIRECTION('center_axis',(0.,1.,0.)); #179178=DIRECTION('ref_axis',(0.976415352257224,0.,0.215900578684731)); #179179=DIRECTION('center_axis',(0.,-1.,0.)); #179180=DIRECTION('ref_axis',(-0.0950240669060842,0.,0.995474975430637)); #179181=DIRECTION('center_axis',(0.,1.,0.)); #179182=DIRECTION('ref_axis',(-0.0950240669060842,0.,0.995474975430637)); #179183=DIRECTION('',(0.,-1.,0.)); #179184=DIRECTION('center_axis',(0.,1.,0.)); #179185=DIRECTION('ref_axis',(-0.0950240669060842,0.,0.995474975430637)); #179186=DIRECTION('center_axis',(-0.00014056789289864,0.,-0.999999990120334)); #179187=DIRECTION('ref_axis',(0.999999990120334,0.,-0.00014056789289864)); #179188=DIRECTION('',(0.999999990120334,0.,-0.00014056789289864)); #179189=DIRECTION('',(0.,-1.,0.)); #179190=DIRECTION('',(0.999999990120334,0.,-0.00014056789289864)); #179191=DIRECTION('center_axis',(1.,0.,0.)); #179192=DIRECTION('ref_axis',(0.,0.,1.)); #179193=DIRECTION('',(0.,0.,1.)); #179194=DIRECTION('',(0.,0.,1.)); #179195=DIRECTION('center_axis',(0.655421496264491,0.,0.755263306558988)); #179196=DIRECTION('ref_axis',(-0.755263306558988,0.,0.655421496264491)); #179197=DIRECTION('',(-0.755263306558988,0.,0.655421496264491)); #179198=DIRECTION('',(0.,-1.,0.)); #179199=DIRECTION('',(-0.755263306558988,0.,0.655421496264491)); #179200=DIRECTION('',(0.,-1.,0.)); #179201=DIRECTION('center_axis',(0.745010056572978,0.,0.667053232962054)); #179202=DIRECTION('ref_axis',(-0.667053232962054,0.,0.745010056572978)); #179203=DIRECTION('',(-0.667053232962054,0.,0.745010056572978)); #179204=DIRECTION('',(0.,-1.,0.)); #179205=DIRECTION('',(-0.667053232962054,0.,0.745010056572978)); #179206=DIRECTION('center_axis',(0.,-1.,0.)); #179207=DIRECTION('ref_axis',(0.867511321664689,0.,0.497417437152724)); #179208=DIRECTION('center_axis',(0.,-1.,0.)); #179209=DIRECTION('ref_axis',(0.867511321664689,0.,0.497417437152724)); #179210=DIRECTION('',(0.,-1.,0.)); #179211=DIRECTION('center_axis',(0.,-1.,0.)); #179212=DIRECTION('ref_axis',(0.867511321664689,0.,0.497417437152724)); #179213=DIRECTION('center_axis',(0.911346651252243,0.,0.411639746928455)); #179214=DIRECTION('ref_axis',(-0.411639746928455,0.,0.911346651252243)); #179215=DIRECTION('',(-0.411639746928455,0.,0.911346651252243)); #179216=DIRECTION('',(0.,-1.,0.)); #179217=DIRECTION('',(-0.411639746928455,0.,0.911346651252243)); #179218=DIRECTION('center_axis',(0.,-1.,0.)); #179219=DIRECTION('ref_axis',(0.982225178001956,0.,0.187706418907362)); #179220=DIRECTION('center_axis',(0.,-1.,0.)); #179221=DIRECTION('ref_axis',(0.982225178001956,0.,0.187706418907362)); #179222=DIRECTION('',(0.,-1.,0.)); #179223=DIRECTION('center_axis',(0.,-1.,0.)); #179224=DIRECTION('ref_axis',(0.982225178001956,0.,0.187706418907362)); #179225=DIRECTION('center_axis',(0.,-1.,0.)); #179226=DIRECTION('ref_axis',(0.999956888260856,0.,-0.00928555973898691)); #179227=DIRECTION('center_axis',(0.,-1.,0.)); #179228=DIRECTION('ref_axis',(0.999956888260856,0.,-0.00928555973898691)); #179229=DIRECTION('',(0.,-1.,0.)); #179230=DIRECTION('center_axis',(0.,-1.,0.)); #179231=DIRECTION('ref_axis',(0.999956888260856,0.,-0.00928555973898691)); #179232=DIRECTION('center_axis',(0.996601637862213,0.,-0.0823721761904683)); #179233=DIRECTION('ref_axis',(0.0823721761904683,0.,0.996601637862213)); #179234=DIRECTION('',(0.0823721761904683,0.,0.996601637862213)); #179235=DIRECTION('',(0.,-1.,0.)); #179236=DIRECTION('',(0.0823721761904683,0.,0.996601637862213)); #179237=DIRECTION('center_axis',(0.983638581869727,0.,-0.180153102269466)); #179238=DIRECTION('ref_axis',(0.180153102269466,0.,0.983638581869727)); #179239=DIRECTION('',(0.180153102269466,0.,0.983638581869727)); #179240=DIRECTION('',(0.,-1.,0.)); #179241=DIRECTION('',(0.180153102269466,0.,0.983638581869727)); #179242=DIRECTION('center_axis',(0.959322055946161,0.,-0.282313997129491)); #179243=DIRECTION('ref_axis',(0.282313997129491,0.,0.959322055946161)); #179244=DIRECTION('',(0.282313997129491,0.,0.959322055946161)); #179245=DIRECTION('',(0.,-1.,0.)); #179246=DIRECTION('',(0.282313997129491,0.,0.959322055946161)); #179247=DIRECTION('center_axis',(0.,-1.,0.)); #179248=DIRECTION('ref_axis',(0.865508943788356,0.,-0.500893469933841)); #179249=DIRECTION('center_axis',(0.,-1.,0.)); #179250=DIRECTION('ref_axis',(0.865508943788356,0.,-0.500893469933841)); #179251=DIRECTION('',(0.,-1.,0.)); #179252=DIRECTION('center_axis',(0.,-1.,0.)); #179253=DIRECTION('ref_axis',(0.865508943788356,0.,-0.500893469933841)); #179254=DIRECTION('center_axis',(0.811256999959364,0.,-0.584689729700234)); #179255=DIRECTION('ref_axis',(0.584689729700234,0.,0.811256999959364)); #179256=DIRECTION('',(0.584689729700234,0.,0.811256999959364)); #179257=DIRECTION('',(0.,-1.,0.)); #179258=DIRECTION('',(0.584689729700234,0.,0.811256999959364)); #179259=DIRECTION('center_axis',(0.740297997066336,0.,-0.67227886739029)); #179260=DIRECTION('ref_axis',(0.67227886739029,0.,0.740297997066336)); #179261=DIRECTION('',(0.67227886739029,0.,0.740297997066336)); #179262=DIRECTION('',(0.,-1.,0.)); #179263=DIRECTION('',(0.67227886739029,0.,0.740297997066336)); #179264=DIRECTION('center_axis',(0.,-1.,0.)); #179265=DIRECTION('ref_axis',(-0.337670250560006,0.,-0.941264469682535)); #179266=DIRECTION('center_axis',(0.,-1.,0.)); #179267=DIRECTION('ref_axis',(-0.337670250560006,0.,-0.941264469682535)); #179268=DIRECTION('',(0.,-1.,0.)); #179269=DIRECTION('center_axis',(0.,-1.,0.)); #179270=DIRECTION('ref_axis',(-0.337670250560006,0.,-0.941264469682535)); #179271=DIRECTION('center_axis',(0.,-1.,0.)); #179272=DIRECTION('ref_axis',(0.631531436911132,0.,-0.775350271937117)); #179273=DIRECTION('center_axis',(0.,-1.,0.)); #179274=DIRECTION('ref_axis',(0.631531436911132,0.,-0.775350271937117)); #179275=DIRECTION('',(0.,-1.,0.)); #179276=DIRECTION('center_axis',(0.,-1.,0.)); #179277=DIRECTION('ref_axis',(0.631531436911132,0.,-0.775350271937117)); #179278=DIRECTION('center_axis',(0.570711527650582,0.,-0.821150626990408)); #179279=DIRECTION('ref_axis',(0.821150626990408,0.,0.570711527650582)); #179280=DIRECTION('',(0.821150626990408,0.,0.570711527650582)); #179281=DIRECTION('',(0.,-1.,0.)); #179282=DIRECTION('',(0.821150626990408,0.,0.570711527650582)); #179283=DIRECTION('center_axis',(0.,-1.,0.)); #179284=DIRECTION('ref_axis',(0.97414240152874,0.,0.225934905545424)); #179285=DIRECTION('center_axis',(0.,-1.,0.)); #179286=DIRECTION('ref_axis',(0.97414240152874,0.,0.225934905545424)); #179287=DIRECTION('',(0.,-1.,0.)); #179288=DIRECTION('center_axis',(0.,-1.,0.)); #179289=DIRECTION('ref_axis',(0.97414240152874,0.,0.225934905545424)); #179290=DIRECTION('center_axis',(0.,-1.,0.)); #179291=DIRECTION('ref_axis',(0.709688196922675,0.,-0.704515906952172)); #179292=DIRECTION('center_axis',(0.,-1.,0.)); #179293=DIRECTION('ref_axis',(0.709688196922675,0.,-0.704515906952172)); #179294=DIRECTION('',(0.,-1.,0.)); #179295=DIRECTION('center_axis',(0.,-1.,0.)); #179296=DIRECTION('ref_axis',(0.709688196922675,0.,-0.704515906952172)); #179297=DIRECTION('center_axis',(0.,-1.,0.)); #179298=DIRECTION('ref_axis',(-0.0154505709924835,0.,-0.999880632803739)); #179299=DIRECTION('center_axis',(0.,-1.,0.)); #179300=DIRECTION('ref_axis',(-0.0154505709924835,0.,-0.999880632803739)); #179301=DIRECTION('',(0.,-1.,0.)); #179302=DIRECTION('center_axis',(0.,-1.,0.)); #179303=DIRECTION('ref_axis',(-0.0154505709924835,0.,-0.999880632803739)); #179304=DIRECTION('center_axis',(0.,-1.,0.)); #179305=DIRECTION('ref_axis',(-0.0261737736042474,0.,-0.999657408103053)); #179306=DIRECTION('center_axis',(0.,-1.,0.)); #179307=DIRECTION('ref_axis',(-0.0261737736042474,0.,-0.999657408103053)); #179308=DIRECTION('',(0.,-1.,0.)); #179309=DIRECTION('center_axis',(0.,-1.,0.)); #179310=DIRECTION('ref_axis',(-0.0261737736042474,0.,-0.999657408103053)); #179311=DIRECTION('center_axis',(0.,-1.,0.)); #179312=DIRECTION('ref_axis',(-0.212109636188949,0.,-0.977245876039286)); #179313=DIRECTION('center_axis',(0.,-1.,0.)); #179314=DIRECTION('ref_axis',(-0.212109636188949,0.,-0.977245876039286)); #179315=DIRECTION('',(0.,-1.,0.)); #179316=DIRECTION('center_axis',(0.,-1.,0.)); #179317=DIRECTION('ref_axis',(-0.212109636188949,0.,-0.977245876039286)); #179318=DIRECTION('center_axis',(-0.295557896640788,0.,-0.95532482943409)); #179319=DIRECTION('ref_axis',(0.95532482943409,0.,-0.295557896640788)); #179320=DIRECTION('',(0.95532482943409,0.,-0.295557896640788)); #179321=DIRECTION('',(0.,-1.,0.)); #179322=DIRECTION('',(0.95532482943409,0.,-0.295557896640788)); #179323=DIRECTION('center_axis',(-0.391512319760208,0.,-0.920172866083314)); #179324=DIRECTION('ref_axis',(0.920172866083314,0.,-0.391512319760208)); #179325=DIRECTION('',(0.920172866083314,0.,-0.391512319760208)); #179326=DIRECTION('',(0.,-1.,0.)); #179327=DIRECTION('',(0.920172866083314,0.,-0.391512319760208)); #179328=DIRECTION('center_axis',(-0.497439898189996,0.,-0.86749844247049)); #179329=DIRECTION('ref_axis',(0.86749844247049,0.,-0.497439898189996)); #179330=DIRECTION('',(0.86749844247049,0.,-0.497439898189996)); #179331=DIRECTION('',(0.,-1.,0.)); #179332=DIRECTION('',(0.86749844247049,0.,-0.497439898189996)); #179333=DIRECTION('center_axis',(-0.608391394971105,0.,-0.793637140338778)); #179334=DIRECTION('ref_axis',(0.793637140338778,0.,-0.608391394971105)); #179335=DIRECTION('',(0.793637140338778,0.,-0.608391394971105)); #179336=DIRECTION('',(0.,-1.,0.)); #179337=DIRECTION('',(0.793637140338778,0.,-0.608391394971105)); #179338=DIRECTION('center_axis',(0.,-1.,0.)); #179339=DIRECTION('ref_axis',(-0.780751920322221,0.,-0.624841130939028)); #179340=DIRECTION('center_axis',(0.,-1.,0.)); #179341=DIRECTION('ref_axis',(-0.780751920322221,0.,-0.624841130939028)); #179342=DIRECTION('',(0.,-1.,0.)); #179343=DIRECTION('center_axis',(0.,-1.,0.)); #179344=DIRECTION('ref_axis',(-0.780751920322221,0.,-0.624841130939028)); #179345=DIRECTION('center_axis',(-0.836359691791893,0.,-0.548181052158655)); #179346=DIRECTION('ref_axis',(0.548181052158655,0.,-0.836359691791893)); #179347=DIRECTION('',(0.548181052158655,0.,-0.836359691791893)); #179348=DIRECTION('',(0.,-1.,0.)); #179349=DIRECTION('',(0.548181052158655,0.,-0.836359691791893)); #179350=DIRECTION('center_axis',(-0.89459423831428,0.,-0.446879344761977)); #179351=DIRECTION('ref_axis',(0.446879344761977,0.,-0.89459423831428)); #179352=DIRECTION('',(0.446879344761977,0.,-0.89459423831428)); #179353=DIRECTION('',(0.,-1.,0.)); #179354=DIRECTION('',(0.446879344761977,0.,-0.89459423831428)); #179355=DIRECTION('center_axis',(-0.9398495763063,0.,-0.341588603318185)); #179356=DIRECTION('ref_axis',(0.341588603318185,0.,-0.9398495763063)); #179357=DIRECTION('',(0.341588603318185,0.,-0.9398495763063)); #179358=DIRECTION('',(0.,-1.,0.)); #179359=DIRECTION('',(0.341588603318185,0.,-0.9398495763063)); #179360=DIRECTION('center_axis',(0.,-1.,0.)); #179361=DIRECTION('ref_axis',(-0.992979777511263,0.,-0.118284240090061)); #179362=DIRECTION('center_axis',(0.,-1.,0.)); #179363=DIRECTION('ref_axis',(-0.992979777511263,0.,-0.118284240090061)); #179364=DIRECTION('',(0.,-1.,0.)); #179365=DIRECTION('center_axis',(0.,-1.,0.)); #179366=DIRECTION('ref_axis',(-0.992979777511263,0.,-0.118284240090061)); #179367=DIRECTION('center_axis',(0.,-1.,0.)); #179368=DIRECTION('ref_axis',(-0.984852460201066,0.,0.173394439460751)); #179369=DIRECTION('center_axis',(0.,-1.,0.)); #179370=DIRECTION('ref_axis',(-0.984852460201066,0.,0.173394439460751)); #179371=DIRECTION('',(0.,-1.,0.)); #179372=DIRECTION('center_axis',(0.,-1.,0.)); #179373=DIRECTION('ref_axis',(-0.984852460201066,0.,0.173394439460751)); #179374=DIRECTION('center_axis',(-0.967214006489191,0.,0.2539627249246)); #179375=DIRECTION('ref_axis',(-0.2539627249246,0.,-0.967214006489191)); #179376=DIRECTION('',(-0.2539627249246,0.,-0.967214006489191)); #179377=DIRECTION('',(0.,-1.,0.)); #179378=DIRECTION('',(-0.2539627249246,0.,-0.967214006489191)); #179379=DIRECTION('center_axis',(-0.933032720108088,0.,0.359791527426235)); #179380=DIRECTION('ref_axis',(-0.359791527426235,0.,-0.933032720108088)); #179381=DIRECTION('',(-0.359791527426235,0.,-0.933032720108088)); #179382=DIRECTION('',(0.,-1.,0.)); #179383=DIRECTION('',(-0.359791527426235,0.,-0.933032720108088)); #179384=DIRECTION('center_axis',(0.,-1.,0.)); #179385=DIRECTION('ref_axis',(-0.81772187091211,0.,0.575613535136205)); #179386=DIRECTION('center_axis',(0.,-1.,0.)); #179387=DIRECTION('ref_axis',(-0.81772187091211,0.,0.575613535136205)); #179388=DIRECTION('',(0.,-1.,0.)); #179389=DIRECTION('center_axis',(0.,-1.,0.)); #179390=DIRECTION('ref_axis',(-0.81772187091211,0.,0.575613535136205)); #179391=DIRECTION('center_axis',(-0.754439672995362,0.,0.656369392804579)); #179392=DIRECTION('ref_axis',(-0.656369392804579,0.,-0.754439672995362)); #179393=DIRECTION('',(-0.656369392804579,0.,-0.754439672995362)); #179394=DIRECTION('',(0.,-1.,0.)); #179395=DIRECTION('',(-0.656369392804579,0.,-0.754439672995362)); #179396=DIRECTION('center_axis',(0.,-1.,0.)); #179397=DIRECTION('ref_axis',(0.125881845086655,0.,0.992045241446971)); #179398=DIRECTION('center_axis',(0.,-1.,0.)); #179399=DIRECTION('ref_axis',(0.125881845086655,0.,0.992045241446971)); #179400=DIRECTION('',(0.,-1.,0.)); #179401=DIRECTION('center_axis',(0.,-1.,0.)); #179402=DIRECTION('ref_axis',(0.125881845086655,0.,0.992045241446971)); #179403=DIRECTION('center_axis',(-2.06678826232745E-5,0.,0.999999999786419)); #179404=DIRECTION('ref_axis',(-0.999999999786419,0.,-2.06678826232745E-5)); #179405=DIRECTION('',(-0.999999999786419,0.,-2.06678826232745E-5)); #179406=DIRECTION('',(0.,-1.,0.)); #179407=DIRECTION('',(-0.999999999786419,0.,-2.06678826232745E-5)); #179408=DIRECTION('center_axis',(-0.999999999331916,0.,3.65536308239633E-5)); #179409=DIRECTION('ref_axis',(-3.65536308239633E-5,0.,-0.999999999331916)); #179410=DIRECTION('',(-3.65536308239633E-5,0.,-0.999999999331916)); #179411=DIRECTION('',(0.,-1.,0.)); #179412=DIRECTION('',(-3.65536308239633E-5,0.,-0.999999999331916)); #179413=DIRECTION('center_axis',(-0.000211336699926787,0.,-0.999999977668399)); #179414=DIRECTION('ref_axis',(0.999999977668399,0.,-0.000211336699926787)); #179415=DIRECTION('',(0.999999977668399,0.,-0.000211336699926787)); #179416=DIRECTION('',(0.,-1.,0.)); #179417=DIRECTION('',(0.999999977668399,0.,-0.000211336699926787)); #179418=DIRECTION('center_axis',(0.,-1.,0.)); #179419=DIRECTION('ref_axis',(-0.431343902492413,0.,-0.902187584586829)); #179420=DIRECTION('center_axis',(0.,-1.,0.)); #179421=DIRECTION('ref_axis',(-0.431343902492413,0.,-0.902187584586829)); #179422=DIRECTION('',(0.,-1.,0.)); #179423=DIRECTION('center_axis',(0.,-1.,0.)); #179424=DIRECTION('ref_axis',(-0.431343902492413,0.,-0.902187584586829)); #179425=DIRECTION('center_axis',(-0.542636250609291,0.,-0.839967796718833)); #179426=DIRECTION('ref_axis',(0.839967796718833,0.,-0.542636250609291)); #179427=DIRECTION('',(0.839967796718833,0.,-0.542636250609291)); #179428=DIRECTION('',(0.,-1.,0.)); #179429=DIRECTION('',(0.839967796718833,0.,-0.542636250609291)); #179430=DIRECTION('center_axis',(-0.64444149781186,0.,-0.764653618246855)); #179431=DIRECTION('ref_axis',(0.764653618246854,0.,-0.64444149781186)); #179432=DIRECTION('',(0.764653618246854,0.,-0.64444149781186)); #179433=DIRECTION('',(0.,-1.,0.)); #179434=DIRECTION('',(0.764653618246854,0.,-0.64444149781186)); #179435=DIRECTION('center_axis',(-0.721021828955513,0.,-0.69291234811457)); #179436=DIRECTION('ref_axis',(0.69291234811457,0.,-0.721021828955513)); #179437=DIRECTION('',(0.69291234811457,0.,-0.721021828955513)); #179438=DIRECTION('',(0.,-1.,0.)); #179439=DIRECTION('',(0.69291234811457,0.,-0.721021828955513)); #179440=DIRECTION('center_axis',(-0.795339179234935,0.,-0.606164655827029)); #179441=DIRECTION('ref_axis',(0.606164655827029,0.,-0.795339179234935)); #179442=DIRECTION('',(0.606164655827029,0.,-0.795339179234935)); #179443=DIRECTION('',(0.,-1.,0.)); #179444=DIRECTION('',(0.606164655827029,0.,-0.795339179234935)); #179445=DIRECTION('center_axis',(-0.860466509492447,0.,-0.50950700293704)); #179446=DIRECTION('ref_axis',(0.50950700293704,0.,-0.860466509492447)); #179447=DIRECTION('',(0.50950700293704,0.,-0.860466509492447)); #179448=DIRECTION('',(0.,-1.,0.)); #179449=DIRECTION('',(0.50950700293704,0.,-0.860466509492447)); #179450=DIRECTION('center_axis',(-0.91382874709767,0.,-0.406099767271421)); #179451=DIRECTION('ref_axis',(0.406099767271421,0.,-0.91382874709767)); #179452=DIRECTION('',(0.406099767271421,0.,-0.91382874709767)); #179453=DIRECTION('',(0.,-1.,0.)); #179454=DIRECTION('',(0.406099767271421,0.,-0.91382874709767)); #179455=DIRECTION('center_axis',(-0.95400264201432,0.,-0.299798197175529)); #179456=DIRECTION('ref_axis',(0.299798197175529,0.,-0.95400264201432)); #179457=DIRECTION('',(0.299798197175529,0.,-0.95400264201432)); #179458=DIRECTION('',(0.,-1.,0.)); #179459=DIRECTION('',(0.299798197175529,0.,-0.95400264201432)); #179460=DIRECTION('center_axis',(-0.980819637786264,0.,-0.194917516228847)); #179461=DIRECTION('ref_axis',(0.194917516228847,0.,-0.980819637786264)); #179462=DIRECTION('',(0.194917516228847,0.,-0.980819637786264)); #179463=DIRECTION('',(0.,-1.,0.)); #179464=DIRECTION('',(0.194917516228847,0.,-0.980819637786264)); #179465=DIRECTION('center_axis',(0.,-1.,0.)); #179466=DIRECTION('ref_axis',(-0.999744268748753,0.,0.0226140908289837)); #179467=DIRECTION('center_axis',(0.,-1.,0.)); #179468=DIRECTION('ref_axis',(-0.999744268748753,0.,0.0226140908289837)); #179469=DIRECTION('',(0.,-1.,0.)); #179470=DIRECTION('center_axis',(0.,-1.,0.)); #179471=DIRECTION('ref_axis',(-0.999744268748753,0.,0.0226140908289837)); #179472=DIRECTION('center_axis',(-0.99534587890797,0.,0.0963669099894847)); #179473=DIRECTION('ref_axis',(-0.0963669099894847,0.,-0.99534587890797)); #179474=DIRECTION('',(-0.0963669099894847,0.,-0.99534587890797)); #179475=DIRECTION('',(0.,-1.,0.)); #179476=DIRECTION('',(-0.0963669099894847,0.,-0.99534587890797)); #179477=DIRECTION('center_axis',(-0.979902468863955,0.,0.199477195474384)); #179478=DIRECTION('ref_axis',(-0.199477195474384,0.,-0.979902468863955)); #179479=DIRECTION('',(-0.199477195474384,0.,-0.979902468863955)); #179480=DIRECTION('',(0.,-1.,0.)); #179481=DIRECTION('',(-0.199477195474384,0.,-0.979902468863955)); #179482=DIRECTION('center_axis',(-0.9523459924948,0.,0.305019852762235)); #179483=DIRECTION('ref_axis',(-0.305019852762235,0.,-0.9523459924948)); #179484=DIRECTION('',(-0.305019852762235,0.,-0.9523459924948)); #179485=DIRECTION('',(0.,-1.,0.)); #179486=DIRECTION('',(-0.305019852762235,0.,-0.952345992494799)); #179487=DIRECTION('center_axis',(-0.912932835119293,0.,0.408109836393402)); #179488=DIRECTION('ref_axis',(-0.408109836393402,0.,-0.912932835119293)); #179489=DIRECTION('',(-0.408109836393402,0.,-0.912932835119293)); #179490=DIRECTION('',(0.,-1.,0.)); #179491=DIRECTION('',(-0.408109836393402,0.,-0.912932835119293)); #179492=DIRECTION('center_axis',(-0.862805069339934,0.,0.505536756647143)); #179493=DIRECTION('ref_axis',(-0.505536756647143,0.,-0.862805069339933)); #179494=DIRECTION('',(-0.505536756647143,0.,-0.862805069339933)); #179495=DIRECTION('',(0.,-1.,0.)); #179496=DIRECTION('',(-0.505536756647143,0.,-0.862805069339933)); #179497=DIRECTION('center_axis',(-0.804477536975439,0.,0.59398307425543)); #179498=DIRECTION('ref_axis',(-0.59398307425543,0.,-0.804477536975439)); #179499=DIRECTION('',(-0.59398307425543,0.,-0.804477536975439)); #179500=DIRECTION('',(0.,-1.,0.)); #179501=DIRECTION('',(-0.59398307425543,0.,-0.804477536975439)); #179502=DIRECTION('center_axis',(-0.741868603613016,0.,0.670545281821648)); #179503=DIRECTION('ref_axis',(-0.670545281821648,0.,-0.741868603613016)); #179504=DIRECTION('',(-0.670545281821648,0.,-0.741868603613016)); #179505=DIRECTION('',(0.,-1.,0.)); #179506=DIRECTION('',(-0.670545281821648,0.,-0.741868603613016)); #179507=DIRECTION('center_axis',(-0.662815069661036,0.,0.748783135113389)); #179508=DIRECTION('ref_axis',(-0.748783135113389,0.,-0.662815069661036)); #179509=DIRECTION('',(-0.748783135113389,0.,-0.662815069661036)); #179510=DIRECTION('',(0.,-1.,0.)); #179511=DIRECTION('',(-0.748783135113389,0.,-0.662815069661036)); #179512=DIRECTION('center_axis',(0.,-1.,0.)); #179513=DIRECTION('ref_axis',(-0.0279839057015121,0.,0.999608373825314)); #179514=DIRECTION('center_axis',(0.,-1.,0.)); #179515=DIRECTION('ref_axis',(-0.0279839057015121,0.,0.999608373825314)); #179516=DIRECTION('',(0.,-1.,0.)); #179517=DIRECTION('center_axis',(0.,-1.,0.)); #179518=DIRECTION('ref_axis',(-0.0279839057015121,0.,0.999608373825314)); #179519=DIRECTION('center_axis',(0.,-1.,0.)); #179520=DIRECTION('ref_axis',(0.0471856320802007,0.,0.998886137718004)); #179521=DIRECTION('center_axis',(0.,-1.,0.)); #179522=DIRECTION('ref_axis',(0.0471856320802007,0.,0.998886137718004)); #179523=DIRECTION('',(0.,-1.,0.)); #179524=DIRECTION('center_axis',(0.,-1.,0.)); #179525=DIRECTION('ref_axis',(0.0471856320802007,0.,0.998886137718004)); #179526=DIRECTION('center_axis',(0.,-1.,0.)); #179527=DIRECTION('ref_axis',(0.371514431755684,0.,0.928427179156907)); #179528=DIRECTION('center_axis',(0.,-1.,0.)); #179529=DIRECTION('ref_axis',(0.371514431755684,0.,0.928427179156907)); #179530=DIRECTION('',(0.,-1.,0.)); #179531=DIRECTION('center_axis',(0.,-1.,0.)); #179532=DIRECTION('ref_axis',(0.371514431755684,0.,0.928427179156907)); #179533=DIRECTION('center_axis',(0.472685960453655,0.,0.881230947476316)); #179534=DIRECTION('ref_axis',(-0.881230947476316,0.,0.472685960453655)); #179535=DIRECTION('',(-0.881230947476316,0.,0.472685960453655)); #179536=DIRECTION('',(0.,-1.,0.)); #179537=DIRECTION('',(-0.881230947476316,0.,0.472685960453655)); #179538=DIRECTION('center_axis',(0.579925672115397,0.,0.814669389888626)); #179539=DIRECTION('ref_axis',(-0.814669389888626,0.,0.579925672115397)); #179540=DIRECTION('',(-0.814669389888626,0.,0.579925672115397)); #179541=DIRECTION('',(0.,-1.,0.)); #179542=DIRECTION('',(-0.814669389888626,0.,0.579925672115397)); #179543=DIRECTION('center_axis',(0.,-1.,0.)); #179544=DIRECTION('ref_axis',(0.838335109636511,0.,0.545155247567828)); #179545=DIRECTION('center_axis',(0.,-1.,0.)); #179546=DIRECTION('ref_axis',(0.838335109636511,0.,0.545155247567828)); #179547=DIRECTION('',(0.,-1.,0.)); #179548=DIRECTION('center_axis',(0.,-1.,0.)); #179549=DIRECTION('ref_axis',(0.838335109636511,0.,0.545155247567828)); #179550=DIRECTION('center_axis',(0.,-1.,0.)); #179551=DIRECTION('ref_axis',(0.932046740742258,0.,0.36233806461885)); #179552=DIRECTION('center_axis',(0.,-1.,0.)); #179553=DIRECTION('ref_axis',(0.932046740742258,0.,0.36233806461885)); #179554=DIRECTION('',(0.,-1.,0.)); #179555=DIRECTION('center_axis',(0.,-1.,0.)); #179556=DIRECTION('ref_axis',(0.932046740742258,0.,0.36233806461885)); #179557=DIRECTION('center_axis',(0.962118165220293,0.,0.272632786276958)); #179558=DIRECTION('ref_axis',(-0.272632786276958,0.,0.962118165220293)); #179559=DIRECTION('',(-0.272632786276958,0.,0.962118165220293)); #179560=DIRECTION('',(0.,-1.,0.)); #179561=DIRECTION('',(-0.272632786276958,0.,0.962118165220293)); #179562=DIRECTION('center_axis',(0.985499591689074,0.,0.169677796958437)); #179563=DIRECTION('ref_axis',(-0.169677796958437,0.,0.985499591689074)); #179564=DIRECTION('',(-0.169677796958437,0.,0.985499591689074)); #179565=DIRECTION('',(0.,-1.,0.)); #179566=DIRECTION('',(-0.169677796958437,0.,0.985499591689074)); #179567=DIRECTION('center_axis',(0.,-1.,0.)); #179568=DIRECTION('ref_axis',(0.998989281407892,0.,-0.0449490337175695)); #179569=DIRECTION('center_axis',(0.,-1.,0.)); #179570=DIRECTION('ref_axis',(0.998989281407892,0.,-0.0449490337175695)); #179571=DIRECTION('',(0.,-1.,0.)); #179572=DIRECTION('center_axis',(0.,-1.,0.)); #179573=DIRECTION('ref_axis',(0.998989281407892,0.,-0.0449490337175695)); #179574=DIRECTION('center_axis',(0.,-1.,0.)); #179575=DIRECTION('ref_axis',(0.941880439364048,0.,-0.335948266766459)); #179576=DIRECTION('center_axis',(0.,-1.,0.)); #179577=DIRECTION('ref_axis',(0.941880439364048,0.,-0.335948266766459)); #179578=DIRECTION('',(0.,-1.,0.)); #179579=DIRECTION('center_axis',(0.,-1.,0.)); #179580=DIRECTION('ref_axis',(0.941880439364048,0.,-0.335948266766459)); #179581=DIRECTION('center_axis',(0.905192955253812,0.,-0.425000839715488)); #179582=DIRECTION('ref_axis',(0.425000839715488,0.,0.905192955253812)); #179583=DIRECTION('',(0.425000839715488,0.,0.905192955253812)); #179584=DIRECTION('',(0.,-1.,0.)); #179585=DIRECTION('',(0.425000839715488,0.,0.905192955253812)); #179586=DIRECTION('center_axis',(0.,-1.,0.)); #179587=DIRECTION('ref_axis',(0.775570142258231,0.,-0.631261399451564)); #179588=DIRECTION('center_axis',(0.,-1.,0.)); #179589=DIRECTION('ref_axis',(0.775570142258231,0.,-0.631261399451564)); #179590=DIRECTION('',(0.,-1.,0.)); #179591=DIRECTION('center_axis',(0.,-1.,0.)); #179592=DIRECTION('ref_axis',(0.775570142258231,0.,-0.631261399451564)); #179593=DIRECTION('center_axis',(0.712183318182854,0.,-0.701993533661144)); #179594=DIRECTION('ref_axis',(0.701993533661144,0.,0.712183318182854)); #179595=DIRECTION('',(0.701993533661144,0.,0.712183318182854)); #179596=DIRECTION('',(0.,-1.,0.)); #179597=DIRECTION('',(0.701993533661144,0.,0.712183318182854)); #179598=DIRECTION('center_axis',(0.,-1.,0.)); #179599=DIRECTION('ref_axis',(-0.0969072208448158,0.,-0.995293419323234)); #179600=DIRECTION('center_axis',(0.,-1.,0.)); #179601=DIRECTION('ref_axis',(-0.0969072208448158,0.,-0.995293419323234)); #179602=DIRECTION('',(0.,-1.,0.)); #179603=DIRECTION('center_axis',(0.,-1.,0.)); #179604=DIRECTION('ref_axis',(-0.0969072208448158,0.,-0.995293419323234)); #179605=DIRECTION('center_axis',(2.96960607970502E-5,0.,-0.999999999559072)); #179606=DIRECTION('ref_axis',(0.999999999559072,0.,2.96960607970502E-5)); #179607=DIRECTION('',(0.999999999559072,0.,2.96960607970502E-5)); #179608=DIRECTION('',(0.,-1.,0.)); #179609=DIRECTION('',(0.999999999559072,0.,2.96960607970501E-5)); #179610=DIRECTION('center_axis',(0.999999982279979,0.,-0.000188255254883419)); #179611=DIRECTION('ref_axis',(0.000188255254883419,0.,0.999999982279979)); #179612=DIRECTION('',(0.000188255254883419,0.,0.999999982279979)); #179613=DIRECTION('',(0.,-1.,0.)); #179614=DIRECTION('',(0.000188255254883419,0.,0.999999982279979)); #179615=DIRECTION('center_axis',(0.000176142390773693,0.,0.999999984486929)); #179616=DIRECTION('ref_axis',(-0.999999984486929,0.,0.000176142390773693)); #179617=DIRECTION('',(-0.999999984486929,0.,0.000176142390773693)); #179618=DIRECTION('',(0.,-1.,0.)); #179619=DIRECTION('',(-0.999999984486929,0.,0.000176142390773693)); #179620=DIRECTION('center_axis',(-0.000176214556106267,0.,0.999999984474215)); #179621=DIRECTION('ref_axis',(-0.999999984474215,0.,-0.000176214556106267)); #179622=DIRECTION('',(-0.999999984474215,0.,-0.000176214556106267)); #179623=DIRECTION('',(0.,-1.,0.)); #179624=DIRECTION('',(-0.999999984474215,0.,-0.000176214556106267)); #179625=DIRECTION('center_axis',(0.,-1.,0.)); #179626=DIRECTION('ref_axis',(0.911046309377179,0.,-0.412304040933656)); #179627=DIRECTION('center_axis',(0.,1.,0.)); #179628=DIRECTION('ref_axis',(0.911046309377179,0.,-0.412304040933656)); #179629=DIRECTION('',(0.,-1.,0.)); #179630=DIRECTION('center_axis',(0.,1.,0.)); #179631=DIRECTION('ref_axis',(0.911046309377179,0.,-0.412304040933656)); #179632=DIRECTION('center_axis',(-0.866059693161221,0.,0.499940604353648)); #179633=DIRECTION('ref_axis',(-0.499940604353648,0.,-0.866059693161221)); #179634=DIRECTION('',(-0.499940604353648,0.,-0.866059693161221)); #179635=DIRECTION('',(0.,-1.,0.)); #179636=DIRECTION('',(-0.499940604353648,0.,-0.866059693161221)); #179637=DIRECTION('center_axis',(0.,-1.,0.)); #179638=DIRECTION('ref_axis',(0.147409198654959,0.,0.989075592738949)); #179639=DIRECTION('center_axis',(0.,-1.,0.)); #179640=DIRECTION('ref_axis',(0.147409198654959,0.,0.989075592738949)); #179641=DIRECTION('',(0.,-1.,0.)); #179642=DIRECTION('center_axis',(0.,-1.,0.)); #179643=DIRECTION('ref_axis',(0.147409198654959,0.,0.989075592738949)); #179644=DIRECTION('center_axis',(0.,-1.,0.)); #179645=DIRECTION('ref_axis',(0.383442690738956,0.,0.923564671757679)); #179646=DIRECTION('center_axis',(0.,-1.,0.)); #179647=DIRECTION('ref_axis',(0.383442690738956,0.,0.923564671757679)); #179648=DIRECTION('',(0.,-1.,0.)); #179649=DIRECTION('center_axis',(0.,-1.,0.)); #179650=DIRECTION('ref_axis',(0.383442690738956,0.,0.923564671757679)); #179651=DIRECTION('center_axis',(0.439003521376534,0.,0.898485341126389)); #179652=DIRECTION('ref_axis',(-0.898485341126389,0.,0.439003521376534)); #179653=DIRECTION('',(-0.898485341126389,0.,0.439003521376534)); #179654=DIRECTION('',(0.,-1.,0.)); #179655=DIRECTION('',(-0.898485341126389,0.,0.439003521376534)); #179656=DIRECTION('center_axis',(0.542760842129014,0.,0.839887294969631)); #179657=DIRECTION('ref_axis',(-0.839887294969631,0.,0.542760842129014)); #179658=DIRECTION('',(-0.839887294969631,0.,0.542760842129014)); #179659=DIRECTION('',(-0.839887294969631,0.,0.542760842129014)); #179660=DIRECTION('center_axis',(0.,1.,0.)); #179661=DIRECTION('ref_axis',(1.,0.,0.)); #179662=DIRECTION('center_axis',(0.955721604189141,0.,-0.294272348830358)); #179663=DIRECTION('ref_axis',(0.294272348830358,0.,0.95572160418914)); #179664=DIRECTION('',(0.294272348830358,0.,0.95572160418914)); #179665=DIRECTION('',(0.,-1.,0.)); #179666=DIRECTION('',(0.294272348830358,0.,0.95572160418914)); #179667=DIRECTION('',(0.,-1.,0.)); #179668=DIRECTION('center_axis',(0.,-1.,0.)); #179669=DIRECTION('ref_axis',(0.871647811630884,0.,-0.490132729451004)); #179670=DIRECTION('center_axis',(0.,-1.,0.)); #179671=DIRECTION('ref_axis',(0.871647811630884,0.,-0.490132729451004)); #179672=DIRECTION('',(0.,-1.,0.)); #179673=DIRECTION('center_axis',(0.,-1.,0.)); #179674=DIRECTION('ref_axis',(0.871647811630884,0.,-0.490132729451004)); #179675=DIRECTION('center_axis',(0.,0.,-1.)); #179676=DIRECTION('ref_axis',(1.,0.,0.)); #179677=DIRECTION('',(1.,0.,0.)); #179678=DIRECTION('',(0.,-1.,0.)); #179679=DIRECTION('',(1.,0.,0.)); #179680=DIRECTION('center_axis',(0.011460565342654,0.,-0.999934325564448)); #179681=DIRECTION('ref_axis',(0.999934325564448,0.,0.011460565342654)); #179682=DIRECTION('',(0.999934325564448,0.,0.011460565342654)); #179683=DIRECTION('',(0.,-1.,0.)); #179684=DIRECTION('',(0.999934325564448,0.,0.011460565342654)); #179685=DIRECTION('center_axis',(-0.948542156522673,0.,-0.316650876043816)); #179686=DIRECTION('ref_axis',(0.316650876043816,0.,-0.948542156522673)); #179687=DIRECTION('',(0.316650876043816,0.,-0.948542156522673)); #179688=DIRECTION('',(0.,-1.,0.)); #179689=DIRECTION('',(0.316650876043816,0.,-0.948542156522673)); #179690=DIRECTION('center_axis',(-0.917646828270534,0.,-0.397396903064214)); #179691=DIRECTION('ref_axis',(0.397396903064214,0.,-0.917646828270534)); #179692=DIRECTION('',(0.397396903064214,0.,-0.917646828270534)); #179693=DIRECTION('',(0.,-1.,0.)); #179694=DIRECTION('',(0.397396903064214,0.,-0.917646828270534)); #179695=DIRECTION('center_axis',(0.,-1.,0.)); #179696=DIRECTION('ref_axis',(0.842396407804429,0.,0.538858322862508)); #179697=DIRECTION('center_axis',(0.,1.,0.)); #179698=DIRECTION('ref_axis',(0.842396407804429,0.,0.538858322862508)); #179699=DIRECTION('',(0.,-1.,0.)); #179700=DIRECTION('center_axis',(0.,1.,0.)); #179701=DIRECTION('ref_axis',(0.842396407804429,0.,0.538858322862508)); #179702=DIRECTION('center_axis',(-0.942403862207375,0.,-0.334477144954066)); #179703=DIRECTION('ref_axis',(0.334477144954066,0.,-0.942403862207375)); #179704=DIRECTION('',(0.334477144954066,0.,-0.942403862207375)); #179705=DIRECTION('',(0.,-1.,0.)); #179706=DIRECTION('',(0.334477144954066,0.,-0.942403862207375)); #179707=DIRECTION('center_axis',(-0.963547149563936,0.,-0.267538577717708)); #179708=DIRECTION('ref_axis',(0.267538577717708,0.,-0.963547149563936)); #179709=DIRECTION('',(0.267538577717708,0.,-0.963547149563936)); #179710=DIRECTION('',(0.,-1.,0.)); #179711=DIRECTION('',(0.267538577717708,0.,-0.963547149563936)); #179712=DIRECTION('center_axis',(0.,-1.,0.)); #179713=DIRECTION('ref_axis',(-0.975853084448104,0.,-0.21842792306187)); #179714=DIRECTION('center_axis',(0.,-1.,0.)); #179715=DIRECTION('ref_axis',(-0.975853084448104,0.,-0.21842792306187)); #179716=DIRECTION('',(0.,-1.,0.)); #179717=DIRECTION('center_axis',(0.,-1.,0.)); #179718=DIRECTION('ref_axis',(-0.975853084448104,0.,-0.21842792306187)); #179719=DIRECTION('center_axis',(0.,0.,1.)); #179720=DIRECTION('ref_axis',(-1.,0.,0.)); #179721=DIRECTION('',(-1.,0.,0.)); #179722=DIRECTION('',(0.,-1.,0.)); #179723=DIRECTION('',(-1.,0.,0.)); #179724=DIRECTION('center_axis',(0.997858206669399,0.,0.0654140610460151)); #179725=DIRECTION('ref_axis',(-0.0654140610460151,0.,0.997858206669399)); #179726=DIRECTION('',(-0.0654140610460151,0.,0.997858206669399)); #179727=DIRECTION('',(-0.0654140610460151,0.,0.997858206669399)); #179728=DIRECTION('center_axis',(0.,1.,0.)); #179729=DIRECTION('ref_axis',(1.,0.,0.)); #179730=DIRECTION('center_axis',(0.,-1.,0.)); #179731=DIRECTION('ref_axis',(-0.937202321870997,0.,-0.348786192214675)); #179732=DIRECTION('center_axis',(0.,1.,0.)); #179733=DIRECTION('ref_axis',(-0.937202321870997,0.,-0.348786192214675)); #179734=DIRECTION('',(0.,-1.,0.)); #179735=DIRECTION('center_axis',(0.,1.,0.)); #179736=DIRECTION('ref_axis',(-0.937202321870997,0.,-0.348786192214675)); #179737=DIRECTION('',(0.,-1.,0.)); #179738=DIRECTION('center_axis',(0.,0.,1.)); #179739=DIRECTION('ref_axis',(-1.,0.,0.)); #179740=DIRECTION('',(-1.,0.,0.)); #179741=DIRECTION('',(0.,-1.,0.)); #179742=DIRECTION('',(-1.,0.,0.)); #179743=DIRECTION('center_axis',(-0.897560090940296,0.,0.440892144578748)); #179744=DIRECTION('ref_axis',(-0.440892144578748,0.,-0.897560090940296)); #179745=DIRECTION('',(-0.440892144578748,0.,-0.897560090940296)); #179746=DIRECTION('',(0.,-1.,0.)); #179747=DIRECTION('',(-0.440892144578748,0.,-0.897560090940296)); #179748=DIRECTION('center_axis',(-0.935740216322158,0.,0.352690016242822)); #179749=DIRECTION('ref_axis',(-0.352690016242822,0.,-0.935740216322158)); #179750=DIRECTION('',(-0.352690016242822,0.,-0.935740216322158)); #179751=DIRECTION('',(0.,-1.,0.)); #179752=DIRECTION('',(-0.352690016242822,0.,-0.935740216322158)); #179753=DIRECTION('center_axis',(-0.935698127738764,0.,0.352801663468545)); #179754=DIRECTION('ref_axis',(-0.352801663468545,0.,-0.935698127738764)); #179755=DIRECTION('',(-0.352801663468545,0.,-0.935698127738764)); #179756=DIRECTION('',(0.,-1.,0.)); #179757=DIRECTION('',(-0.352801663468545,0.,-0.935698127738764)); #179758=DIRECTION('center_axis',(-0.935717523734791,0.,0.352750217258093)); #179759=DIRECTION('ref_axis',(-0.352750217258093,0.,-0.935717523734791)); #179760=DIRECTION('',(-0.352750217258093,0.,-0.935717523734791)); #179761=DIRECTION('',(0.,-1.,0.)); #179762=DIRECTION('',(-0.352750217258093,0.,-0.935717523734791)); #179763=DIRECTION('center_axis',(-0.935717505542714,0.,0.352750265515027)); #179764=DIRECTION('ref_axis',(-0.352750265515027,0.,-0.935717505542713)); #179765=DIRECTION('',(-0.352750265515027,0.,-0.935717505542713)); #179766=DIRECTION('',(0.,-1.,0.)); #179767=DIRECTION('',(-0.352750265515027,0.,-0.935717505542713)); #179768=DIRECTION('center_axis',(1.00381828619323E-11,0.,-1.)); #179769=DIRECTION('ref_axis',(1.,0.,1.00381828619323E-11)); #179770=DIRECTION('',(1.,0.,1.00381828619323E-11)); #179771=DIRECTION('',(1.,0.,1.00381828619323E-11)); #179772=DIRECTION('center_axis',(-0.383551544081682,0.,-0.923519470846477)); #179773=DIRECTION('ref_axis',(0.923519470846477,0.,-0.383551544081682)); #179774=DIRECTION('',(0.923519470846477,0.,-0.383551544081682)); #179775=DIRECTION('',(0.,-1.,0.)); #179776=DIRECTION('',(0.923519470846477,0.,-0.383551544081682)); #179777=DIRECTION('',(0.,-1.,0.)); #179778=DIRECTION('center_axis',(-0.490260291430554,0.,-0.871576070487498)); #179779=DIRECTION('ref_axis',(0.871576070487498,0.,-0.490260291430554)); #179780=DIRECTION('',(0.871576070487498,0.,-0.490260291430554)); #179781=DIRECTION('',(0.,-1.,0.)); #179782=DIRECTION('',(0.871576070487498,0.,-0.490260291430554)); #179783=DIRECTION('center_axis',(-0.60262535877894,0.,-0.798024233314098)); #179784=DIRECTION('ref_axis',(0.798024233314098,0.,-0.60262535877894)); #179785=DIRECTION('',(0.798024233314098,0.,-0.60262535877894)); #179786=DIRECTION('',(0.,-1.,0.)); #179787=DIRECTION('',(0.798024233314098,0.,-0.60262535877894)); #179788=DIRECTION('center_axis',(-0.680174056989609,0.,-0.733050647771555)); #179789=DIRECTION('ref_axis',(0.733050647771555,0.,-0.680174056989609)); #179790=DIRECTION('',(0.733050647771555,0.,-0.680174056989609)); #179791=DIRECTION('',(0.,-1.,0.)); #179792=DIRECTION('',(0.733050647771555,0.,-0.680174056989609)); #179793=DIRECTION('center_axis',(-0.758761601888248,0.,-0.651368429922713)); #179794=DIRECTION('ref_axis',(0.651368429922713,0.,-0.758761601888248)); #179795=DIRECTION('',(0.651368429922713,0.,-0.758761601888248)); #179796=DIRECTION('',(0.,-1.,0.)); #179797=DIRECTION('',(0.651368429922713,0.,-0.758761601888248)); #179798=DIRECTION('center_axis',(-0.830754468326424,0.,-0.556639033266335)); #179799=DIRECTION('ref_axis',(0.556639033266335,0.,-0.830754468326424)); #179800=DIRECTION('',(0.556639033266335,0.,-0.830754468326424)); #179801=DIRECTION('',(0.,-1.,0.)); #179802=DIRECTION('',(0.556639033266335,0.,-0.830754468326424)); #179803=DIRECTION('center_axis',(-0.891028311213582,0.,-0.453947737758294)); #179804=DIRECTION('ref_axis',(0.453947737758294,0.,-0.891028311213582)); #179805=DIRECTION('',(0.453947737758294,0.,-0.891028311213582)); #179806=DIRECTION('',(0.,-1.,0.)); #179807=DIRECTION('',(0.453947737758294,0.,-0.891028311213582)); #179808=DIRECTION('center_axis',(-0.93770309250558,0.,-0.347437635131073)); #179809=DIRECTION('ref_axis',(0.347437635131073,0.,-0.937703092505579)); #179810=DIRECTION('',(0.347437635131073,0.,-0.937703092505579)); #179811=DIRECTION('',(0.,-1.,0.)); #179812=DIRECTION('',(0.347437635131073,0.,-0.937703092505579)); #179813=DIRECTION('center_axis',(-0.970520228314032,0.,-0.241019680593266)); #179814=DIRECTION('ref_axis',(0.241019680593266,0.,-0.970520228314032)); #179815=DIRECTION('',(0.241019680593266,0.,-0.970520228314032)); #179816=DIRECTION('',(0.,-1.,0.)); #179817=DIRECTION('',(0.241019680593266,0.,-0.970520228314032)); #179818=DIRECTION('center_axis',(-0.990267738998109,0.,-0.139175447186537)); #179819=DIRECTION('ref_axis',(0.139175447186537,0.,-0.990267738998109)); #179820=DIRECTION('',(0.139175447186537,0.,-0.990267738998109)); #179821=DIRECTION('',(0.,-1.,0.)); #179822=DIRECTION('',(0.139175447186537,0.,-0.990267738998109)); #179823=DIRECTION('center_axis',(-0.999013398455223,0.,-0.0444097929171784)); #179824=DIRECTION('ref_axis',(0.0444097929171784,0.,-0.999013398455223)); #179825=DIRECTION('',(0.0444097929171784,0.,-0.999013398455223)); #179826=DIRECTION('',(0.,-1.,0.)); #179827=DIRECTION('',(0.0444097929171784,0.,-0.999013398455223)); #179828=DIRECTION('center_axis',(-0.999000831525169,0.,0.0446915944224497)); #179829=DIRECTION('ref_axis',(-0.0446915944224497,0.,-0.999000831525169)); #179830=DIRECTION('',(-0.0446915944224497,0.,-0.999000831525169)); #179831=DIRECTION('',(0.,-1.,0.)); #179832=DIRECTION('',(-0.0446915944224497,0.,-0.999000831525169)); #179833=DIRECTION('center_axis',(-0.990162782854145,0.,0.139920203868262)); #179834=DIRECTION('ref_axis',(-0.139920203868262,0.,-0.990162782854145)); #179835=DIRECTION('',(-0.139920203868262,0.,-0.990162782854145)); #179836=DIRECTION('',(0.,-1.,0.)); #179837=DIRECTION('',(-0.139920203868262,0.,-0.990162782854144)); #179838=DIRECTION('center_axis',(-0.970346674017052,0.,0.241717463630672)); #179839=DIRECTION('ref_axis',(-0.241717463630672,0.,-0.970346674017052)); #179840=DIRECTION('',(-0.241717463630672,0.,-0.970346674017052)); #179841=DIRECTION('',(0.,-1.,0.)); #179842=DIRECTION('',(-0.241717463630672,0.,-0.970346674017052)); #179843=DIRECTION('center_axis',(-0.937542175245712,0.,0.347871628097978)); #179844=DIRECTION('ref_axis',(-0.347871628097978,0.,-0.937542175245712)); #179845=DIRECTION('',(-0.347871628097978,0.,-0.937542175245712)); #179846=DIRECTION('',(0.,-1.,0.)); #179847=DIRECTION('',(-0.347871628097978,0.,-0.937542175245712)); #179848=DIRECTION('center_axis',(-0.891305873844008,0.,0.453402513503364)); #179849=DIRECTION('ref_axis',(-0.453402513503364,0.,-0.891305873844008)); #179850=DIRECTION('',(-0.453402513503364,0.,-0.891305873844008)); #179851=DIRECTION('',(0.,-1.,0.)); #179852=DIRECTION('',(-0.453402513503364,0.,-0.891305873844008)); #179853=DIRECTION('center_axis',(-0.831846590728308,0.,0.555005630145939)); #179854=DIRECTION('ref_axis',(-0.555005630145939,0.,-0.831846590728308)); #179855=DIRECTION('',(-0.555005630145939,0.,-0.831846590728308)); #179856=DIRECTION('',(0.,-1.,0.)); #179857=DIRECTION('',(-0.555005630145939,0.,-0.831846590728308)); #179858=DIRECTION('center_axis',(-0.7611641546242,0.,0.648559272322297)); #179859=DIRECTION('ref_axis',(-0.648559272322297,0.,-0.7611641546242)); #179860=DIRECTION('',(-0.648559272322297,0.,-0.7611641546242)); #179861=DIRECTION('',(0.,-1.,0.)); #179862=DIRECTION('',(-0.648559272322297,0.,-0.7611641546242)); #179863=DIRECTION('center_axis',(-0.683374294507475,0.,0.730068197914696)); #179864=DIRECTION('ref_axis',(-0.730068197914696,0.,-0.683374294507475)); #179865=DIRECTION('',(-0.730068197914696,0.,-0.683374294507475)); #179866=DIRECTION('',(0.,-1.,0.)); #179867=DIRECTION('',(-0.730068197914696,0.,-0.683374294507475)); #179868=DIRECTION('center_axis',(-0.598258131342019,0.,0.80130344332416)); #179869=DIRECTION('ref_axis',(-0.80130344332416,0.,-0.598258131342019)); #179870=DIRECTION('',(-0.80130344332416,0.,-0.598258131342019)); #179871=DIRECTION('',(0.,-1.,0.)); #179872=DIRECTION('',(-0.80130344332416,0.,-0.598258131342019)); #179873=DIRECTION('center_axis',(-0.48646882745181,0.,0.873697934023917)); #179874=DIRECTION('ref_axis',(-0.873697934023917,0.,-0.48646882745181)); #179875=DIRECTION('',(-0.873697934023917,0.,-0.48646882745181)); #179876=DIRECTION('',(0.,-1.,0.)); #179877=DIRECTION('',(-0.873697934023917,0.,-0.48646882745181)); #179878=DIRECTION('center_axis',(0.,-1.,0.)); #179879=DIRECTION('ref_axis',(0.11339635999045,0.,0.993549830426696)); #179880=DIRECTION('center_axis',(0.,-1.,0.)); #179881=DIRECTION('ref_axis',(0.11339635999045,0.,0.993549830426696)); #179882=DIRECTION('',(0.,-1.,0.)); #179883=DIRECTION('center_axis',(0.,-1.,0.)); #179884=DIRECTION('ref_axis',(0.11339635999045,0.,0.993549830426696)); #179885=DIRECTION('center_axis',(0.,0.,1.)); #179886=DIRECTION('ref_axis',(-1.,0.,0.)); #179887=DIRECTION('',(-1.,0.,0.)); #179888=DIRECTION('',(0.,-1.,0.)); #179889=DIRECTION('',(-1.,0.,0.)); #179890=DIRECTION('center_axis',(-0.913379676834457,0.,0.407108788833874)); #179891=DIRECTION('ref_axis',(-0.407108788833874,0.,-0.913379676834457)); #179892=DIRECTION('',(-0.407108788833874,0.,-0.913379676834457)); #179893=DIRECTION('',(0.,-1.,0.)); #179894=DIRECTION('',(-0.407108788833874,0.,-0.913379676834457)); #179895=DIRECTION('center_axis',(-0.937828825486816,0.,-0.347098104411447)); #179896=DIRECTION('ref_axis',(0.347098104411447,0.,-0.937828825486816)); #179897=DIRECTION('',(0.347098104411447,0.,-0.937828825486816)); #179898=DIRECTION('',(0.,-1.,0.)); #179899=DIRECTION('',(0.347098104411447,0.,-0.937828825486816)); #179900=DIRECTION('center_axis',(-0.936249628457197,0.,-0.351335499506898)); #179901=DIRECTION('ref_axis',(0.351335499506897,0.,-0.936249628457197)); #179902=DIRECTION('',(0.351335499506897,0.,-0.936249628457197)); #179903=DIRECTION('',(0.,-1.,0.)); #179904=DIRECTION('',(0.351335499506897,0.,-0.936249628457197)); #179905=DIRECTION('center_axis',(0.,-1.,0.)); #179906=DIRECTION('ref_axis',(-0.144300307064873,0.,-0.989533941500231)); #179907=DIRECTION('center_axis',(0.,-1.,0.)); #179908=DIRECTION('ref_axis',(-0.144300307064873,0.,-0.989533941500231)); #179909=DIRECTION('',(0.,-1.,0.)); #179910=DIRECTION('center_axis',(0.,-1.,0.)); #179911=DIRECTION('ref_axis',(-0.144300307064873,0.,-0.989533941500231)); #179912=DIRECTION('center_axis',(-0.238723282952003,0.,-0.97108763465334)); #179913=DIRECTION('ref_axis',(0.97108763465334,0.,-0.238723282952003)); #179914=DIRECTION('',(0.97108763465334,0.,-0.238723282952003)); #179915=DIRECTION('',(0.,-1.,0.)); #179916=DIRECTION('',(0.97108763465334,0.,-0.238723282952003)); #179917=DIRECTION('center_axis',(-0.327000693925638,0.,-0.945024098196523)); #179918=DIRECTION('ref_axis',(0.945024098196523,0.,-0.327000693925638)); #179919=DIRECTION('',(0.945024098196523,0.,-0.327000693925638)); #179920=DIRECTION('',(0.,-1.,0.)); #179921=DIRECTION('',(0.945024098196523,0.,-0.327000693925638)); #179922=DIRECTION('center_axis',(-0.427056325836289,0.,-0.90422502429606)); #179923=DIRECTION('ref_axis',(0.90422502429606,0.,-0.427056325836289)); #179924=DIRECTION('',(0.90422502429606,0.,-0.427056325836289)); #179925=DIRECTION('',(0.,-1.,0.)); #179926=DIRECTION('',(0.90422502429606,0.,-0.427056325836289)); #179927=DIRECTION('center_axis',(-0.536097747873114,0.,-0.844155912569103)); #179928=DIRECTION('ref_axis',(0.844155912569103,0.,-0.536097747873114)); #179929=DIRECTION('',(0.844155912569103,0.,-0.536097747873114)); #179930=DIRECTION('',(0.,-1.,0.)); #179931=DIRECTION('',(0.844155912569103,0.,-0.536097747873114)); #179932=DIRECTION('center_axis',(-0.639885907878933,0.,-0.768469924524021)); #179933=DIRECTION('ref_axis',(0.768469924524021,0.,-0.639885907878933)); #179934=DIRECTION('',(0.768469924524021,0.,-0.639885907878933)); #179935=DIRECTION('',(0.,-1.,0.)); #179936=DIRECTION('',(0.768469924524021,0.,-0.639885907878933)); #179937=DIRECTION('center_axis',(-0.715030456866128,0.,-0.699093302609756)); #179938=DIRECTION('ref_axis',(0.699093302609756,0.,-0.715030456866128)); #179939=DIRECTION('',(0.699093302609756,0.,-0.715030456866128)); #179940=DIRECTION('',(0.,-1.,0.)); #179941=DIRECTION('',(0.699093302609756,0.,-0.715030456866128)); #179942=DIRECTION('center_axis',(-0.789707752511519,0.,-0.613483223587415)); #179943=DIRECTION('ref_axis',(0.613483223587415,0.,-0.789707752511519)); #179944=DIRECTION('',(0.613483223587415,0.,-0.789707752511519)); #179945=DIRECTION('',(0.,-1.,0.)); #179946=DIRECTION('',(0.613483223587415,0.,-0.789707752511519)); #179947=DIRECTION('center_axis',(-0.855987679806191,0.,-0.516996220508442)); #179948=DIRECTION('ref_axis',(0.516996220508442,0.,-0.855987679806191)); #179949=DIRECTION('',(0.516996220508442,0.,-0.855987679806191)); #179950=DIRECTION('',(0.,-1.,0.)); #179951=DIRECTION('',(0.516996220508442,0.,-0.855987679806191)); #179952=DIRECTION('center_axis',(-0.910397361476283,0.,-0.413734992739341)); #179953=DIRECTION('ref_axis',(0.413734992739341,0.,-0.910397361476283)); #179954=DIRECTION('',(0.413734992739341,0.,-0.910397361476283)); #179955=DIRECTION('',(0.,-1.,0.)); #179956=DIRECTION('',(0.413734992739341,0.,-0.910397361476283)); #179957=DIRECTION('center_axis',(-0.951508378066463,0.,-0.307622831515036)); #179958=DIRECTION('ref_axis',(0.307622831515036,0.,-0.951508378066463)); #179959=DIRECTION('',(0.307622831515036,0.,-0.951508378066463)); #179960=DIRECTION('',(0.,-1.,0.)); #179961=DIRECTION('',(0.307622831515036,0.,-0.951508378066463)); #179962=DIRECTION('center_axis',(-0.979344522672417,0.,-0.202198679302155)); #179963=DIRECTION('ref_axis',(0.202198679302155,0.,-0.979344522672417)); #179964=DIRECTION('',(0.202198679302155,0.,-0.979344522672417)); #179965=DIRECTION('',(0.,-1.,0.)); #179966=DIRECTION('',(0.202198679302155,0.,-0.979344522672417)); #179967=DIRECTION('center_axis',(-0.994825074246071,0.,-0.101602517937796)); #179968=DIRECTION('ref_axis',(0.101602517937796,0.,-0.994825074246071)); #179969=DIRECTION('',(0.101602517937796,0.,-0.994825074246071)); #179970=DIRECTION('',(0.,-1.,0.)); #179971=DIRECTION('',(0.101602517937796,0.,-0.994825074246071)); #179972=DIRECTION('center_axis',(-0.999966274381837,0.,-0.00821280091733865)); #179973=DIRECTION('ref_axis',(0.00821280091733865,0.,-0.999966274381837)); #179974=DIRECTION('',(0.00821280091733865,0.,-0.999966274381837)); #179975=DIRECTION('',(0.,-1.,0.)); #179976=DIRECTION('',(0.00821280091733865,0.,-0.999966274381837)); #179977=DIRECTION('center_axis',(-0.996072814383035,0.,0.0885378362456386)); #179978=DIRECTION('ref_axis',(-0.0885378362456386,0.,-0.996072814383035)); #179979=DIRECTION('',(-0.0885378362456386,0.,-0.996072814383035)); #179980=DIRECTION('',(0.,-1.,0.)); #179981=DIRECTION('',(-0.0885378362456386,0.,-0.996072814383035)); #179982=DIRECTION('center_axis',(-0.981564690948021,0.,0.191130210809585)); #179983=DIRECTION('ref_axis',(-0.191130210809585,0.,-0.981564690948021)); #179984=DIRECTION('',(-0.191130210809585,0.,-0.981564690948021)); #179985=DIRECTION('',(0.,-1.,0.)); #179986=DIRECTION('',(-0.191130210809585,0.,-0.981564690948021)); #179987=DIRECTION('center_axis',(-0.954969296673692,0.,0.296704638336771)); #179988=DIRECTION('ref_axis',(-0.296704638336771,0.,-0.954969296673692)); #179989=DIRECTION('',(-0.296704638336771,0.,-0.954969296673692)); #179990=DIRECTION('',(0.,-1.,0.)); #179991=DIRECTION('',(-0.296704638336771,0.,-0.954969296673692)); #179992=DIRECTION('center_axis',(-0.91604551524859,0.,0.401074324774032)); #179993=DIRECTION('ref_axis',(-0.401074324774032,0.,-0.91604551524859)); #179994=DIRECTION('',(-0.401074324774032,0.,-0.91604551524859)); #179995=DIRECTION('',(0.,-1.,0.)); #179996=DIRECTION('',(-0.401074324774032,0.,-0.91604551524859)); #179997=DIRECTION('center_axis',(-0.86634954413494,0.,0.499438151703673)); #179998=DIRECTION('ref_axis',(-0.499438151703673,0.,-0.86634954413494)); #179999=DIRECTION('',(-0.499438151703673,0.,-0.86634954413494)); #180000=DIRECTION('',(0.,-1.,0.)); #180001=DIRECTION('',(-0.499438151703673,0.,-0.86634954413494)); #180002=DIRECTION('center_axis',(-0.808518580522735,0.,0.588470649182695)); #180003=DIRECTION('ref_axis',(-0.588470649182695,0.,-0.808518580522735)); #180004=DIRECTION('',(-0.588470649182695,0.,-0.808518580522735)); #180005=DIRECTION('',(0.,-1.,0.)); #180006=DIRECTION('',(-0.588470649182695,0.,-0.808518580522735)); #180007=DIRECTION('center_axis',(-0.745124252613708,0.,0.666925669146767)); #180008=DIRECTION('ref_axis',(-0.666925669146767,0.,-0.745124252613708)); #180009=DIRECTION('',(-0.666925669146767,0.,-0.745124252613708)); #180010=DIRECTION('',(0.,-1.,0.)); #180011=DIRECTION('',(-0.666925669146767,0.,-0.745124252613708)); #180012=DIRECTION('center_axis',(-0.669543018608086,0.,0.742773280505682)); #180013=DIRECTION('ref_axis',(-0.742773280505682,0.,-0.669543018608086)); #180014=DIRECTION('',(-0.742773280505682,0.,-0.669543018608086)); #180015=DIRECTION('',(0.,-1.,0.)); #180016=DIRECTION('',(-0.742773280505682,0.,-0.669543018608086)); #180017=DIRECTION('center_axis',(-0.559345063913751,0.,0.8289349187212)); #180018=DIRECTION('ref_axis',(-0.8289349187212,0.,-0.559345063913751)); #180019=DIRECTION('',(-0.8289349187212,0.,-0.559345063913751)); #180020=DIRECTION('',(0.,-1.,0.)); #180021=DIRECTION('',(-0.8289349187212,0.,-0.559345063913751)); #180022=DIRECTION('center_axis',(-0.452099520531599,0.,0.89196750138954)); #180023=DIRECTION('ref_axis',(-0.89196750138954,0.,-0.452099520531599)); #180024=DIRECTION('',(-0.89196750138954,0.,-0.452099520531599)); #180025=DIRECTION('',(0.,-1.,0.)); #180026=DIRECTION('',(-0.89196750138954,0.,-0.452099520531599)); #180027=DIRECTION('center_axis',(-0.352457880330345,0.,0.935827677830187)); #180028=DIRECTION('ref_axis',(-0.935827677830187,0.,-0.352457880330345)); #180029=DIRECTION('',(-0.935827677830187,0.,-0.352457880330345)); #180030=DIRECTION('',(0.,-1.,0.)); #180031=DIRECTION('',(-0.935827677830187,0.,-0.352457880330345)); #180032=DIRECTION('center_axis',(0.,-1.,0.)); #180033=DIRECTION('ref_axis',(0.151341959394074,0.,0.988481467366365)); #180034=DIRECTION('center_axis',(0.,-1.,0.)); #180035=DIRECTION('ref_axis',(0.151341959394074,0.,0.988481467366365)); #180036=DIRECTION('',(0.,-1.,0.)); #180037=DIRECTION('center_axis',(0.,-1.,0.)); #180038=DIRECTION('ref_axis',(0.151341959394074,0.,0.988481467366365)); #180039=DIRECTION('center_axis',(0.272840313081819,0.,0.962059334738464)); #180040=DIRECTION('ref_axis',(-0.962059334738464,0.,0.272840313081819)); #180041=DIRECTION('',(-0.962059334738464,0.,0.272840313081819)); #180042=DIRECTION('',(0.,-1.,0.)); #180043=DIRECTION('',(-0.962059334738464,0.,0.272840313081819)); #180044=DIRECTION('center_axis',(0.36391878769166,0.,0.93143068231889)); #180045=DIRECTION('ref_axis',(-0.93143068231889,0.,0.36391878769166)); #180046=DIRECTION('',(-0.93143068231889,0.,0.36391878769166)); #180047=DIRECTION('',(0.,-1.,0.)); #180048=DIRECTION('',(-0.93143068231889,0.,0.36391878769166)); #180049=DIRECTION('center_axis',(0.463579210029581,0.,0.886055481348854)); #180050=DIRECTION('ref_axis',(-0.886055481348854,0.,0.463579210029581)); #180051=DIRECTION('',(-0.886055481348854,0.,0.463579210029581)); #180052=DIRECTION('',(0.,-1.,0.)); #180053=DIRECTION('',(-0.886055481348854,0.,0.463579210029581)); #180054=DIRECTION('center_axis',(0.569795335148604,0.,0.821786636568696)); #180055=DIRECTION('ref_axis',(-0.821786636568696,0.,0.569795335148604)); #180056=DIRECTION('',(-0.821786636568696,0.,0.569795335148604)); #180057=DIRECTION('',(0.,-1.,0.)); #180058=DIRECTION('',(-0.821786636568696,0.,0.569795335148604)); #180059=DIRECTION('center_axis',(0.679787467891431,0.,0.733409161721993)); #180060=DIRECTION('ref_axis',(-0.733409161721993,0.,0.679787467891431)); #180061=DIRECTION('',(-0.733409161721993,0.,0.679787467891431)); #180062=DIRECTION('',(0.,-1.,0.)); #180063=DIRECTION('',(-0.733409161721993,0.,0.679787467891431)); #180064=DIRECTION('center_axis',(0.752817990747792,0.,0.65822873897032)); #180065=DIRECTION('ref_axis',(-0.65822873897032,0.,0.752817990747791)); #180066=DIRECTION('',(-0.65822873897032,0.,0.752817990747791)); #180067=DIRECTION('',(0.,-1.,0.)); #180068=DIRECTION('',(-0.65822873897032,0.,0.752817990747791)); #180069=DIRECTION('center_axis',(0.815448060555257,0.,0.578830251919049)); #180070=DIRECTION('ref_axis',(-0.578830251919049,0.,0.815448060555257)); #180071=DIRECTION('',(-0.578830251919049,0.,0.815448060555257)); #180072=DIRECTION('',(0.,-1.,0.)); #180073=DIRECTION('',(-0.578830251919049,0.,0.815448060555257)); #180074=DIRECTION('center_axis',(0.872688901905682,0.,0.4882766434007)); #180075=DIRECTION('ref_axis',(-0.4882766434007,0.,0.872688901905682)); #180076=DIRECTION('',(-0.4882766434007,0.,0.872688901905682)); #180077=DIRECTION('',(0.,-1.,0.)); #180078=DIRECTION('',(-0.4882766434007,0.,0.872688901905682)); #180079=DIRECTION('center_axis',(0.921330090537566,0.,0.388781255039437)); #180080=DIRECTION('ref_axis',(-0.388781255039437,0.,0.921330090537566)); #180081=DIRECTION('',(-0.388781255039437,0.,0.921330090537566)); #180082=DIRECTION('',(0.,-1.,0.)); #180083=DIRECTION('',(-0.388781255039437,0.,0.921330090537566)); #180084=DIRECTION('center_axis',(0.958714066395469,0.,0.284371832106249)); #180085=DIRECTION('ref_axis',(-0.284371832106249,0.,0.958714066395469)); #180086=DIRECTION('',(-0.284371832106249,0.,0.958714066395469)); #180087=DIRECTION('',(0.,-1.,0.)); #180088=DIRECTION('',(-0.284371832106249,0.,0.958714066395469)); #180089=DIRECTION('center_axis',(0.983843653350939,0.,0.179029790149786)); #180090=DIRECTION('ref_axis',(-0.179029790149786,0.,0.983843653350939)); #180091=DIRECTION('',(-0.179029790149786,0.,0.983843653350939)); #180092=DIRECTION('',(0.,-1.,0.)); #180093=DIRECTION('',(-0.179029790149786,0.,0.983843653350939)); #180094=DIRECTION('center_axis',(0.997050593497018,0.,0.0767470781674782)); #180095=DIRECTION('ref_axis',(-0.0767470781674782,0.,0.997050593497018)); #180096=DIRECTION('',(-0.0767470781674782,0.,0.997050593497018)); #180097=DIRECTION('',(0.,-1.,0.)); #180098=DIRECTION('',(-0.0767470781674782,0.,0.997050593497018)); #180099=DIRECTION('center_axis',(0.99982026395205,0.,-0.0189588974060406)); #180100=DIRECTION('ref_axis',(0.0189588974060406,0.,0.99982026395205)); #180101=DIRECTION('',(0.0189588974060406,0.,0.99982026395205)); #180102=DIRECTION('',(0.,-1.,0.)); #180103=DIRECTION('',(0.0189588974060406,0.,0.99982026395205)); #180104=DIRECTION('center_axis',(0.993582760849127,0.,-0.113107459274035)); #180105=DIRECTION('ref_axis',(0.113107459274035,0.,0.993582760849126)); #180106=DIRECTION('',(0.113107459274035,0.,0.993582760849126)); #180107=DIRECTION('',(0.,-1.,0.)); #180108=DIRECTION('',(0.113107459274035,0.,0.993582760849126)); #180109=DIRECTION('center_axis',(0.976682320696082,0.,-0.214689646792097)); #180110=DIRECTION('ref_axis',(0.214689646792097,0.,0.976682320696082)); #180111=DIRECTION('',(0.214689646792097,0.,0.976682320696082)); #180112=DIRECTION('',(0.,-1.,0.)); #180113=DIRECTION('',(0.214689646792097,0.,0.976682320696082)); #180114=DIRECTION('center_axis',(0.947388621140116,0.,-0.320085614381887)); #180115=DIRECTION('ref_axis',(0.320085614381887,0.,0.947388621140116)); #180116=DIRECTION('',(0.320085614381887,0.,0.947388621140116)); #180117=DIRECTION('',(0.,-1.,0.)); #180118=DIRECTION('',(0.320085614381887,0.,0.947388621140116)); #180119=DIRECTION('center_axis',(0.904765204816448,0.,-0.425910699740511)); #180120=DIRECTION('ref_axis',(0.425910699740511,0.,0.904765204816447)); #180121=DIRECTION('',(0.425910699740511,0.,0.904765204816447)); #180122=DIRECTION('',(0.,-1.,0.)); #180123=DIRECTION('',(0.425910699740511,0.,0.904765204816447)); #180124=DIRECTION('center_axis',(0.848722558627922,0.,-0.528838367061311)); #180125=DIRECTION('ref_axis',(0.528838367061311,0.,0.848722558627921)); #180126=DIRECTION('',(0.528838367061311,0.,0.848722558627921)); #180127=DIRECTION('',(0.,-1.,0.)); #180128=DIRECTION('',(0.528838367061311,0.,0.848722558627921)); #180129=DIRECTION('center_axis',(0.781547317895192,0.,-0.623845966477969)); #180130=DIRECTION('ref_axis',(0.623845966477969,0.,0.781547317895192)); #180131=DIRECTION('',(0.623845966477969,0.,0.781547317895192)); #180132=DIRECTION('',(0.,-1.,0.)); #180133=DIRECTION('',(0.623845966477969,0.,0.781547317895192)); #180134=DIRECTION('center_axis',(0.705397116813987,0.,-0.708812321838803)); #180135=DIRECTION('ref_axis',(0.708812321838803,0.,0.705397116813987)); #180136=DIRECTION('',(0.708812321838803,0.,0.705397116813987)); #180137=DIRECTION('',(0.,-1.,0.)); #180138=DIRECTION('',(0.708812321838803,0.,0.705397116813987)); #180139=DIRECTION('center_axis',(0.62763287118058,0.,-0.778509459809977)); #180140=DIRECTION('ref_axis',(0.778509459809977,0.,0.62763287118058)); #180141=DIRECTION('',(0.778509459809977,0.,0.62763287118058)); #180142=DIRECTION('',(0.,-1.,0.)); #180143=DIRECTION('',(0.778509459809977,0.,0.62763287118058)); #180144=DIRECTION('center_axis',(0.521252975996486,0.,-0.853402211747079)); #180145=DIRECTION('ref_axis',(0.853402211747079,0.,0.521252975996486)); #180146=DIRECTION('',(0.853402211747079,0.,0.521252975996486)); #180147=DIRECTION('',(0.,-1.,0.)); #180148=DIRECTION('',(0.853402211747079,0.,0.521252975996486)); #180149=DIRECTION('center_axis',(0.414794998390827,0.,-0.909914891245304)); #180150=DIRECTION('ref_axis',(0.909914891245304,0.,0.414794998390827)); #180151=DIRECTION('',(0.909914891245304,0.,0.414794998390827)); #180152=DIRECTION('',(0.,-1.,0.)); #180153=DIRECTION('',(0.909914891245304,0.,0.414794998390827)); #180154=DIRECTION('center_axis',(0.316222417791903,0.,-0.948685080775408)); #180155=DIRECTION('ref_axis',(0.948685080775408,0.,0.316222417791903)); #180156=DIRECTION('',(0.948685080775408,0.,0.316222417791903)); #180157=DIRECTION('',(0.,-1.,0.)); #180158=DIRECTION('',(0.948685080775408,0.,0.316222417791903)); #180159=DIRECTION('center_axis',(0.229435319755975,0.,-0.973323910139103)); #180160=DIRECTION('ref_axis',(0.973323910139104,0.,0.229435319755975)); #180161=DIRECTION('',(0.973323910139104,0.,0.229435319755975)); #180162=DIRECTION('',(0.,-1.,0.)); #180163=DIRECTION('',(0.973323910139104,0.,0.229435319755975)); #180164=DIRECTION('center_axis',(0.,-1.,0.)); #180165=DIRECTION('ref_axis',(-0.00254478373667296,0.,-0.999996762032625)); #180166=DIRECTION('center_axis',(0.,-1.,0.)); #180167=DIRECTION('ref_axis',(-0.00254478373667296,0.,-0.999996762032625)); #180168=DIRECTION('',(0.,-1.,0.)); #180169=DIRECTION('center_axis',(0.,-1.,0.)); #180170=DIRECTION('ref_axis',(-0.00254478373667296,0.,-0.999996762032625)); #180171=DIRECTION('center_axis',(3.57585214434573E-5,0.,-0.999999999360664)); #180172=DIRECTION('ref_axis',(0.999999999360664,0.,3.57585214434573E-5)); #180173=DIRECTION('',(0.999999999360664,0.,3.57585214434573E-5)); #180174=DIRECTION('',(0.,-1.,0.)); #180175=DIRECTION('',(0.999999999360664,0.,3.57585214434573E-5)); #180176=DIRECTION('center_axis',(0.937938663259161,0.,0.346801187950125)); #180177=DIRECTION('ref_axis',(-0.346801187950125,0.,0.937938663259161)); #180178=DIRECTION('',(-0.346801187950125,0.,0.937938663259161)); #180179=DIRECTION('',(0.,-1.,0.)); #180180=DIRECTION('',(-0.346801187950125,0.,0.937938663259161)); #180181=DIRECTION('center_axis',(9.06659968309324E-5,0.,0.999999995889839)); #180182=DIRECTION('ref_axis',(-0.999999995889839,0.,9.06659968309324E-5)); #180183=DIRECTION('',(-0.999999995889839,0.,9.06659968309324E-5)); #180184=DIRECTION('',(0.,-1.,0.)); #180185=DIRECTION('',(-0.999999995889839,0.,9.06659968309323E-5)); #180186=DIRECTION('center_axis',(0.,-1.,0.)); #180187=DIRECTION('ref_axis',(0.935976036996537,0.,-0.352063713222844)); #180188=DIRECTION('center_axis',(0.,1.,0.)); #180189=DIRECTION('ref_axis',(0.935976036996537,0.,-0.352063713222844)); #180190=DIRECTION('',(0.,-1.,0.)); #180191=DIRECTION('center_axis',(0.,1.,0.)); #180192=DIRECTION('ref_axis',(0.935976036996537,0.,-0.352063713222844)); #180193=DIRECTION('center_axis',(0.,-1.,0.)); #180194=DIRECTION('ref_axis',(-0.0648150065440453,0.,-0.997897296782938)); #180195=DIRECTION('center_axis',(0.,-1.,0.)); #180196=DIRECTION('ref_axis',(-0.0648150065440453,0.,-0.997897296782938)); #180197=DIRECTION('',(0.,-1.,0.)); #180198=DIRECTION('center_axis',(0.,-1.,0.)); #180199=DIRECTION('ref_axis',(-0.0648150065440453,0.,-0.997897296782938)); #180200=DIRECTION('center_axis',(0.,-1.,0.)); #180201=DIRECTION('ref_axis',(-0.244673614505422,0.,-0.96960549831612)); #180202=DIRECTION('center_axis',(0.,-1.,0.)); #180203=DIRECTION('ref_axis',(-0.244673614505422,0.,-0.96960549831612)); #180204=DIRECTION('',(0.,-1.,0.)); #180205=DIRECTION('center_axis',(0.,-1.,0.)); #180206=DIRECTION('ref_axis',(-0.244673614505422,0.,-0.96960549831612)); #180207=DIRECTION('center_axis',(-0.315205122757311,0.,-0.949023566929478)); #180208=DIRECTION('ref_axis',(0.949023566929478,0.,-0.315205122757311)); #180209=DIRECTION('',(0.949023566929478,0.,-0.315205122757311)); #180210=DIRECTION('',(0.,-1.,0.)); #180211=DIRECTION('',(0.949023566929478,0.,-0.315205122757311)); #180212=DIRECTION('center_axis',(-0.414161810432028,0.,-0.910203271132149)); #180213=DIRECTION('ref_axis',(0.910203271132149,0.,-0.414161810432028)); #180214=DIRECTION('',(0.910203271132149,0.,-0.414161810432028)); #180215=DIRECTION('',(0.,-1.,0.)); #180216=DIRECTION('',(0.910203271132149,0.,-0.414161810432028)); #180217=DIRECTION('center_axis',(-0.522451885742717,0.,-0.852668767508157)); #180218=DIRECTION('ref_axis',(0.852668767508157,0.,-0.522451885742717)); #180219=DIRECTION('',(0.852668767508157,0.,-0.522451885742717)); #180220=DIRECTION('',(0.,-1.,0.)); #180221=DIRECTION('',(0.852668767508157,0.,-0.522451885742717)); #180222=DIRECTION('center_axis',(-0.632768653319379,0.,-0.774340901267898)); #180223=DIRECTION('ref_axis',(0.774340901267898,0.,-0.632768653319379)); #180224=DIRECTION('',(0.774340901267898,0.,-0.632768653319379)); #180225=DIRECTION('',(0.,-1.,0.)); #180226=DIRECTION('',(0.774340901267898,0.,-0.632768653319379)); #180227=DIRECTION('center_axis',(-0.717056395055361,0.,-0.697015155007558)); #180228=DIRECTION('ref_axis',(0.697015155007558,0.,-0.717056395055361)); #180229=DIRECTION('',(0.697015155007558,0.,-0.717056395055361)); #180230=DIRECTION('',(0.,-1.,0.)); #180231=DIRECTION('',(0.697015155007558,0.,-0.717056395055361)); #180232=DIRECTION('center_axis',(-0.790586111014101,0.,-0.612350880681656)); #180233=DIRECTION('ref_axis',(0.612350880681656,0.,-0.790586111014101)); #180234=DIRECTION('',(0.612350880681656,0.,-0.790586111014101)); #180235=DIRECTION('',(0.,-1.,0.)); #180236=DIRECTION('',(0.612350880681656,0.,-0.790586111014101)); #180237=DIRECTION('center_axis',(-0.855560628280658,0.,-0.517702628287713)); #180238=DIRECTION('ref_axis',(0.517702628287713,0.,-0.855560628280658)); #180239=DIRECTION('',(0.517702628287713,0.,-0.855560628280658)); #180240=DIRECTION('',(0.,-1.,0.)); #180241=DIRECTION('',(0.517702628287713,0.,-0.855560628280658)); #180242=DIRECTION('center_axis',(-0.909248234542496,0.,-0.416254306862227)); #180243=DIRECTION('ref_axis',(0.416254306862227,0.,-0.909248234542497)); #180244=DIRECTION('',(0.416254306862227,0.,-0.909248234542497)); #180245=DIRECTION('',(0.,-1.,0.)); #180246=DIRECTION('',(0.416254306862227,0.,-0.909248234542497)); #180247=DIRECTION('center_axis',(-0.949870649594712,0.,-0.312643165667378)); #180248=DIRECTION('ref_axis',(0.312643165667378,0.,-0.949870649594712)); #180249=DIRECTION('',(0.312643165667378,0.,-0.949870649594712)); #180250=DIRECTION('',(0.,-1.,0.)); #180251=DIRECTION('',(0.312643165667378,0.,-0.949870649594712)); #180252=DIRECTION('center_axis',(-0.97782520159718,0.,-0.209422718732794)); #180253=DIRECTION('ref_axis',(0.209422718732794,0.,-0.97782520159718)); #180254=DIRECTION('',(0.209422718732794,0.,-0.97782520159718)); #180255=DIRECTION('',(0.,-1.,0.)); #180256=DIRECTION('',(0.209422718732794,0.,-0.97782520159718)); #180257=DIRECTION('center_axis',(-0.993878239481043,0.,-0.110480971601731)); #180258=DIRECTION('ref_axis',(0.110480971601731,0.,-0.993878239481043)); #180259=DIRECTION('',(0.110480971601731,0.,-0.993878239481043)); #180260=DIRECTION('',(0.,-1.,0.)); #180261=DIRECTION('',(0.11048097160173,0.,-0.993878239481043)); #180262=DIRECTION('center_axis',(-0.99983119902546,0.,-0.0183731721624238)); #180263=DIRECTION('ref_axis',(0.0183731721624238,0.,-0.99983119902546)); #180264=DIRECTION('',(0.0183731721624238,0.,-0.99983119902546)); #180265=DIRECTION('',(0.,-1.,0.)); #180266=DIRECTION('',(0.0183731721624238,0.,-0.99983119902546)); #180267=DIRECTION('center_axis',(-0.997208717139949,0.,0.0746644122731707)); #180268=DIRECTION('ref_axis',(-0.0746644122731707,0.,-0.997208717139949)); #180269=DIRECTION('',(-0.0746644122731707,0.,-0.997208717139949)); #180270=DIRECTION('',(0.,-1.,0.)); #180271=DIRECTION('',(-0.0746644122731707,0.,-0.997208717139949)); #180272=DIRECTION('center_axis',(-0.984597763759065,0.,0.174834903839731)); #180273=DIRECTION('ref_axis',(-0.174834903839731,0.,-0.984597763759065)); #180274=DIRECTION('',(-0.174834903839731,0.,-0.984597763759065)); #180275=DIRECTION('',(0.,-1.,0.)); #180276=DIRECTION('',(-0.174834903839731,0.,-0.984597763759065)); #180277=DIRECTION('center_axis',(-0.960691945131596,0.,0.277616617945091)); #180278=DIRECTION('ref_axis',(-0.277616617945091,0.,-0.960691945131596)); #180279=DIRECTION('',(-0.277616617945091,0.,-0.960691945131596)); #180280=DIRECTION('',(0.,-1.,0.)); #180281=DIRECTION('',(-0.277616617945091,0.,-0.960691945131596)); #180282=DIRECTION('center_axis',(-0.925067613454101,0.,0.379802462522737)); #180283=DIRECTION('ref_axis',(-0.379802462522737,0.,-0.925067613454101)); #180284=DIRECTION('',(-0.379802462522737,0.,-0.925067613454101)); #180285=DIRECTION('',(0.,-1.,0.)); #180286=DIRECTION('',(-0.379802462522737,0.,-0.925067613454101)); #180287=DIRECTION('center_axis',(-0.878525128985147,0.,0.477696135363927)); #180288=DIRECTION('ref_axis',(-0.477696135363927,0.,-0.878525128985147)); #180289=DIRECTION('',(-0.477696135363927,0.,-0.878525128985147)); #180290=DIRECTION('',(0.,-1.,0.)); #180291=DIRECTION('',(-0.477696135363927,0.,-0.878525128985147)); #180292=DIRECTION('center_axis',(-0.823560011962541,0.,0.567229148313324)); #180293=DIRECTION('ref_axis',(-0.567229148313324,0.,-0.823560011962541)); #180294=DIRECTION('',(-0.567229148313324,0.,-0.823560011962541)); #180295=DIRECTION('',(0.,-1.,0.)); #180296=DIRECTION('',(-0.567229148313324,0.,-0.823560011962541)); #180297=DIRECTION('center_axis',(-0.76301000157602,0.,0.646386677999293)); #180298=DIRECTION('ref_axis',(-0.646386677999293,0.,-0.76301000157602)); #180299=DIRECTION('',(-0.646386677999293,0.,-0.76301000157602)); #180300=DIRECTION('',(0.,-1.,0.)); #180301=DIRECTION('',(-0.646386677999293,0.,-0.76301000157602)); #180302=DIRECTION('center_axis',(-0.688417591186488,0.,0.725314566340008)); #180303=DIRECTION('ref_axis',(-0.725314566340008,0.,-0.688417591186488)); #180304=DIRECTION('',(-0.725314566340008,0.,-0.688417591186488)); #180305=DIRECTION('',(0.,-1.,0.)); #180306=DIRECTION('',(-0.725314566340008,0.,-0.688417591186488)); #180307=DIRECTION('center_axis',(-0.57166503877287,0.,0.820487101327506)); #180308=DIRECTION('ref_axis',(-0.820487101327506,0.,-0.57166503877287)); #180309=DIRECTION('',(-0.820487101327506,0.,-0.57166503877287)); #180310=DIRECTION('',(0.,-1.,0.)); #180311=DIRECTION('',(-0.820487101327506,0.,-0.57166503877287)); #180312=DIRECTION('center_axis',(-0.464113228547042,0.,0.885775880845511)); #180313=DIRECTION('ref_axis',(-0.885775880845511,0.,-0.464113228547042)); #180314=DIRECTION('',(-0.885775880845511,0.,-0.464113228547042)); #180315=DIRECTION('',(0.,-1.,0.)); #180316=DIRECTION('',(-0.885775880845511,0.,-0.464113228547042)); #180317=DIRECTION('center_axis',(-0.362915881779619,0.,0.931821905061327)); #180318=DIRECTION('ref_axis',(-0.931821905061327,0.,-0.362915881779619)); #180319=DIRECTION('',(-0.931821905061327,0.,-0.362915881779619)); #180320=DIRECTION('',(0.,-1.,0.)); #180321=DIRECTION('',(-0.931821905061327,0.,-0.362915881779619)); #180322=DIRECTION('center_axis',(0.,-1.,0.)); #180323=DIRECTION('ref_axis',(0.156519661792185,0.,0.987674842988552)); #180324=DIRECTION('center_axis',(0.,-1.,0.)); #180325=DIRECTION('ref_axis',(0.156519661792185,0.,0.987674842988552)); #180326=DIRECTION('',(0.,-1.,0.)); #180327=DIRECTION('center_axis',(0.,-1.,0.)); #180328=DIRECTION('ref_axis',(0.156519661792185,0.,0.987674842988552)); #180329=DIRECTION('center_axis',(0.262316816372182,0.,0.964981807003823)); #180330=DIRECTION('ref_axis',(-0.964981807003823,0.,0.262316816372182)); #180331=DIRECTION('',(-0.964981807003823,0.,0.262316816372182)); #180332=DIRECTION('',(0.,-1.,0.)); #180333=DIRECTION('',(-0.964981807003823,0.,0.262316816372182)); #180334=DIRECTION('center_axis',(0.352392031888999,0.,0.935852475479519)); #180335=DIRECTION('ref_axis',(-0.935852475479519,0.,0.352392031888999)); #180336=DIRECTION('',(-0.935852475479519,0.,0.352392031888999)); #180337=DIRECTION('',(0.,-1.,0.)); #180338=DIRECTION('',(-0.935852475479519,0.,0.352392031888999)); #180339=DIRECTION('center_axis',(0.452185931641721,0.,0.891923698096036)); #180340=DIRECTION('ref_axis',(-0.891923698096036,0.,0.452185931641721)); #180341=DIRECTION('',(-0.891923698096036,0.,0.452185931641721)); #180342=DIRECTION('',(0.,-1.,0.)); #180343=DIRECTION('',(-0.891923698096036,0.,0.452185931641721)); #180344=DIRECTION('center_axis',(0.559248983328959,0.,0.828999743453233)); #180345=DIRECTION('ref_axis',(-0.828999743453233,0.,0.559248983328959)); #180346=DIRECTION('',(-0.828999743453233,0.,0.559248983328959)); #180347=DIRECTION('',(0.,-1.,0.)); #180348=DIRECTION('',(-0.828999743453233,0.,0.559248983328959)); #180349=DIRECTION('center_axis',(0.669639062234497,0.,0.742686694595846)); #180350=DIRECTION('ref_axis',(-0.742686694595846,0.,0.669639062234497)); #180351=DIRECTION('',(-0.742686694595846,0.,0.669639062234497)); #180352=DIRECTION('',(0.,-1.,0.)); #180353=DIRECTION('',(-0.742686694595846,0.,0.669639062234497)); #180354=DIRECTION('center_axis',(0.745310479704005,0.,0.666717548024189)); #180355=DIRECTION('ref_axis',(-0.666717548024189,0.,0.745310479704005)); #180356=DIRECTION('',(-0.666717548024189,0.,0.745310479704005)); #180357=DIRECTION('',(0.,-1.,0.)); #180358=DIRECTION('',(-0.666717548024189,0.,0.745310479704005)); #180359=DIRECTION('center_axis',(0.80826047959235,0.,0.588825098929338)); #180360=DIRECTION('ref_axis',(-0.588825098929338,0.,0.80826047959235)); #180361=DIRECTION('',(-0.588825098929338,0.,0.80826047959235)); #180362=DIRECTION('',(0.,-1.,0.)); #180363=DIRECTION('',(-0.588825098929338,0.,0.80826047959235)); #180364=DIRECTION('center_axis',(0.866349544134717,0.,0.49943815170406)); #180365=DIRECTION('ref_axis',(-0.49943815170406,0.,0.866349544134717)); #180366=DIRECTION('',(-0.49943815170406,0.,0.866349544134717)); #180367=DIRECTION('',(0.,-1.,0.)); #180368=DIRECTION('',(-0.49943815170406,0.,0.866349544134717)); #180369=DIRECTION('center_axis',(0.9161315342688,0.,0.400877801728027)); #180370=DIRECTION('ref_axis',(-0.400877801728027,0.,0.9161315342688)); #180371=DIRECTION('',(-0.400877801728027,0.,0.9161315342688)); #180372=DIRECTION('',(0.,-1.,0.)); #180373=DIRECTION('',(-0.400877801728027,0.,0.9161315342688)); #180374=DIRECTION('center_axis',(0.954903294241901,0.,0.296916989486901)); #180375=DIRECTION('ref_axis',(-0.296916989486901,0.,0.954903294241901)); #180376=DIRECTION('',(-0.296916989486901,0.,0.954903294241901)); #180377=DIRECTION('',(0.,-1.,0.)); #180378=DIRECTION('',(-0.296916989486901,0.,0.954903294241901)); #180379=DIRECTION('center_axis',(0.981568977819565,0.,0.191108193917094)); #180380=DIRECTION('ref_axis',(-0.191108193917094,0.,0.981568977819565)); #180381=DIRECTION('',(-0.191108193917094,0.,0.981568977819565)); #180382=DIRECTION('',(0.,-1.,0.)); #180383=DIRECTION('',(-0.191108193917094,0.,0.981568977819565)); #180384=DIRECTION('center_axis',(0.996090380997403,0.,0.0883399846301097)); #180385=DIRECTION('ref_axis',(-0.0883399846301097,0.,0.996090380997403)); #180386=DIRECTION('',(-0.0883399846301097,0.,0.996090380997403)); #180387=DIRECTION('',(0.,-1.,0.)); #180388=DIRECTION('',(-0.0883399846301097,0.,0.996090380997403)); #180389=DIRECTION('center_axis',(0.999967809025096,0.,-0.00802377177823258)); #180390=DIRECTION('ref_axis',(0.00802377177823258,0.,0.999967809025096)); #180391=DIRECTION('',(0.00802377177823258,0.,0.999967809025096)); #180392=DIRECTION('',(0.,-1.,0.)); #180393=DIRECTION('',(0.00802377177823258,0.,0.999967809025096)); #180394=DIRECTION('center_axis',(0.994825074246047,0.,-0.10160251793803)); #180395=DIRECTION('ref_axis',(0.10160251793803,0.,0.994825074246047)); #180396=DIRECTION('',(0.10160251793803,0.,0.994825074246047)); #180397=DIRECTION('',(0.,-1.,0.)); #180398=DIRECTION('',(0.10160251793803,0.,0.994825074246047)); #180399=DIRECTION('center_axis',(0.979305061847494,0.,-0.202389712781743)); #180400=DIRECTION('ref_axis',(0.202389712781743,0.,0.979305061847494)); #180401=DIRECTION('',(0.202389712781743,0.,0.979305061847494)); #180402=DIRECTION('',(0.,-1.,0.)); #180403=DIRECTION('',(0.202389712781743,0.,0.979305061847494)); #180404=DIRECTION('center_axis',(0.951527410593888,0.,-0.307563955769349)); #180405=DIRECTION('ref_axis',(0.307563955769349,0.,0.951527410593888)); #180406=DIRECTION('',(0.307563955769349,0.,0.951527410593888)); #180407=DIRECTION('',(0.,-1.,0.)); #180408=DIRECTION('',(0.307563955769349,0.,0.951527410593888)); #180409=DIRECTION('center_axis',(0.910472528129944,0.,-0.413569553425622)); #180410=DIRECTION('ref_axis',(0.413569553425622,0.,0.910472528129944)); #180411=DIRECTION('',(0.413569553425622,0.,0.910472528129944)); #180412=DIRECTION('',(0.,-1.,0.)); #180413=DIRECTION('',(0.413569553425622,0.,0.910472528129944)); #180414=DIRECTION('center_axis',(0.855766894282526,0.,-0.517361597579526)); #180415=DIRECTION('ref_axis',(0.517361597579526,0.,0.855766894282526)); #180416=DIRECTION('',(0.517361597579526,0.,0.855766894282526)); #180417=DIRECTION('',(0.,-1.,0.)); #180418=DIRECTION('',(0.517361597579526,0.,0.855766894282526)); #180419=DIRECTION('center_axis',(0.790095147531191,0.,-0.612984223163749)); #180420=DIRECTION('ref_axis',(0.612984223163749,0.,0.790095147531191)); #180421=DIRECTION('',(0.612984223163749,0.,0.790095147531191)); #180422=DIRECTION('',(0.,-1.,0.)); #180423=DIRECTION('',(0.612984223163749,0.,0.790095147531191)); #180424=DIRECTION('center_axis',(0.714816393377139,0.,-0.699312179044022)); #180425=DIRECTION('ref_axis',(0.699312179044022,0.,0.714816393377139)); #180426=DIRECTION('',(0.699312179044022,0.,0.714816393377139)); #180427=DIRECTION('',(0.,-1.,0.)); #180428=DIRECTION('',(0.699312179044022,0.,0.714816393377139)); #180429=DIRECTION('center_axis',(0.639992491215977,0.,-0.768381162696723)); #180430=DIRECTION('ref_axis',(0.768381162696723,0.,0.639992491215977)); #180431=DIRECTION('',(0.768381162696723,0.,0.639992491215977)); #180432=DIRECTION('',(0.,-1.,0.)); #180433=DIRECTION('',(0.768381162696723,0.,0.639992491215977)); #180434=DIRECTION('center_axis',(0.535809768633973,0.,-0.844338730508324)); #180435=DIRECTION('ref_axis',(0.844338730508324,0.,0.535809768633973)); #180436=DIRECTION('',(0.844338730508324,0.,0.535809768633973)); #180437=DIRECTION('',(0.,-1.,0.)); #180438=DIRECTION('',(0.844338730508324,0.,0.535809768633973)); #180439=DIRECTION('center_axis',(0.427356366079453,0.,-0.904083257433388)); #180440=DIRECTION('ref_axis',(0.904083257433388,0.,0.427356366079452)); #180441=DIRECTION('',(0.904083257433388,0.,0.427356366079452)); #180442=DIRECTION('',(0.,-1.,0.)); #180443=DIRECTION('',(0.904083257433388,0.,0.427356366079452)); #180444=DIRECTION('center_axis',(0.327006247482779,0.,-0.945022176516102)); #180445=DIRECTION('ref_axis',(0.945022176516102,0.,0.327006247482779)); #180446=DIRECTION('',(0.945022176516102,0.,0.327006247482779)); #180447=DIRECTION('',(0.,-1.,0.)); #180448=DIRECTION('',(0.945022176516102,0.,0.327006247482779)); #180449=DIRECTION('center_axis',(0.,-1.,0.)); #180450=DIRECTION('ref_axis',(0.0110478441243488,0.,-0.999938970707815)); #180451=DIRECTION('center_axis',(0.,-1.,0.)); #180452=DIRECTION('ref_axis',(0.0110478441243488,0.,-0.999938970707815)); #180453=DIRECTION('',(0.,-1.,0.)); #180454=DIRECTION('center_axis',(0.,-1.,0.)); #180455=DIRECTION('ref_axis',(0.0110478441243488,0.,-0.999938970707815)); #180456=DIRECTION('center_axis',(0.00031218972352225,0.,-0.999999951268787)); #180457=DIRECTION('ref_axis',(0.999999951268787,0.,0.00031218972352225)); #180458=DIRECTION('',(0.999999951268787,0.,0.00031218972352225)); #180459=DIRECTION('',(0.,-1.,0.)); #180460=DIRECTION('',(0.999999951268787,0.,0.00031218972352225)); #180461=DIRECTION('center_axis',(0.934350411909274,0.,-0.356355591740848)); #180462=DIRECTION('ref_axis',(0.356355591740848,0.,0.934350411909274)); #180463=DIRECTION('',(0.356355591740848,0.,0.934350411909274)); #180464=DIRECTION('',(0.,-1.,0.)); #180465=DIRECTION('',(0.356355591740848,0.,0.934350411909274)); #180466=DIRECTION('center_axis',(0.935799914599551,0.,-0.352531587003879)); #180467=DIRECTION('ref_axis',(0.352531587003879,0.,0.935799914599551)); #180468=DIRECTION('',(0.352531587003879,0.,0.935799914599551)); #180469=DIRECTION('',(0.,-1.,0.)); #180470=DIRECTION('',(0.352531587003879,0.,0.935799914599551)); #180471=DIRECTION('center_axis',(0.,-1.,0.)); #180472=DIRECTION('ref_axis',(-0.0416795696101722,0.,-0.999131029183415)); #180473=DIRECTION('center_axis',(0.,-1.,0.)); #180474=DIRECTION('ref_axis',(-0.0416795696101722,0.,-0.999131029183415)); #180475=DIRECTION('',(0.,-1.,0.)); #180476=DIRECTION('center_axis',(0.,-1.,0.)); #180477=DIRECTION('ref_axis',(-0.0416795696101722,0.,-0.999131029183415)); #180478=DIRECTION('center_axis',(-0.204060584179996,0.,-0.978958261614926)); #180479=DIRECTION('ref_axis',(0.978958261614926,0.,-0.204060584179996)); #180480=DIRECTION('',(0.978958261614926,0.,-0.204060584179996)); #180481=DIRECTION('',(0.,-1.,0.)); #180482=DIRECTION('',(0.978958261614926,0.,-0.204060584179996)); #180483=DIRECTION('center_axis',(-0.287948476195574,0.,-0.957645902751454)); #180484=DIRECTION('ref_axis',(0.957645902751454,0.,-0.287948476195574)); #180485=DIRECTION('',(0.957645902751454,0.,-0.287948476195574)); #180486=DIRECTION('',(0.957645902751454,0.,-0.287948476195574)); #180487=DIRECTION('center_axis',(0.,1.,0.)); #180488=DIRECTION('ref_axis',(1.,0.,0.)); #180489=DIRECTION('center_axis',(0.00949842508332851,0.,-0.999954888942964)); #180490=DIRECTION('ref_axis',(0.999954888942965,0.,0.00949842508332851)); #180491=DIRECTION('',(0.999954888942965,0.,0.00949842508332851)); #180492=DIRECTION('',(0.,-1.,0.)); #180493=DIRECTION('',(0.999954888942965,0.,0.00949842508332851)); #180494=DIRECTION('',(0.,-1.,0.)); #180495=DIRECTION('center_axis',(1.,0.,0.)); #180496=DIRECTION('ref_axis',(0.,0.,1.)); #180497=DIRECTION('',(0.,0.,1.)); #180498=DIRECTION('',(0.,-1.,0.)); #180499=DIRECTION('',(0.,0.,1.)); #180500=DIRECTION('center_axis',(0.99969448391663,0.,0.0247171767534903)); #180501=DIRECTION('ref_axis',(-0.0247171767534903,0.,0.99969448391663)); #180502=DIRECTION('',(-0.0247171767534903,0.,0.99969448391663)); #180503=DIRECTION('',(0.,-1.,0.)); #180504=DIRECTION('',(-0.0247171767534903,0.,0.99969448391663)); #180505=DIRECTION('center_axis',(0.,-1.,0.)); #180506=DIRECTION('ref_axis',(-0.991521541976067,0.,0.129942417237033)); #180507=DIRECTION('center_axis',(0.,1.,0.)); #180508=DIRECTION('ref_axis',(-0.991521541976067,0.,0.129942417237033)); #180509=DIRECTION('',(0.,-1.,0.)); #180510=DIRECTION('center_axis',(0.,1.,0.)); #180511=DIRECTION('ref_axis',(-0.991521541976067,0.,0.129942417237033)); #180512=DIRECTION('center_axis',(0.,-1.,0.)); #180513=DIRECTION('ref_axis',(-0.782158718850165,0.,-0.623079239364199)); #180514=DIRECTION('center_axis',(0.,1.,0.)); #180515=DIRECTION('ref_axis',(-0.782158718850165,0.,-0.623079239364199)); #180516=DIRECTION('',(0.,-1.,0.)); #180517=DIRECTION('center_axis',(0.,1.,0.)); #180518=DIRECTION('ref_axis',(-0.782158718850165,0.,-0.623079239364199)); #180519=DIRECTION('center_axis',(0.,-1.,0.)); #180520=DIRECTION('ref_axis',(-0.127469425654352,0.,-0.991842500361499)); #180521=DIRECTION('center_axis',(0.,1.,0.)); #180522=DIRECTION('ref_axis',(-0.127469425654352,0.,-0.991842500361499)); #180523=DIRECTION('',(0.,-1.,0.)); #180524=DIRECTION('center_axis',(0.,1.,0.)); #180525=DIRECTION('ref_axis',(-0.127469425654352,0.,-0.991842500361499)); #180526=DIRECTION('center_axis',(0.,-1.,0.)); #180527=DIRECTION('ref_axis',(0.548621692733063,0.,-0.836070713673615)); #180528=DIRECTION('center_axis',(0.,1.,0.)); #180529=DIRECTION('ref_axis',(0.548621692733063,0.,-0.836070713673615)); #180530=DIRECTION('',(0.,-1.,0.)); #180531=DIRECTION('center_axis',(0.,1.,0.)); #180532=DIRECTION('ref_axis',(0.548621692733063,0.,-0.836070713673615)); #180533=DIRECTION('center_axis',(0.,-1.,0.)); #180534=DIRECTION('ref_axis',(0.999194428110129,0.,-0.040130971003357)); #180535=DIRECTION('center_axis',(0.,1.,0.)); #180536=DIRECTION('ref_axis',(0.999194428110129,0.,-0.040130971003357)); #180537=DIRECTION('',(0.,-1.,0.)); #180538=DIRECTION('center_axis',(0.,1.,0.)); #180539=DIRECTION('ref_axis',(0.999194428110129,0.,-0.040130971003357)); #180540=DIRECTION('center_axis',(0.,0.,-1.)); #180541=DIRECTION('ref_axis',(1.,0.,0.)); #180542=DIRECTION('',(1.,0.,0.)); #180543=DIRECTION('',(1.,0.,0.)); #180544=DIRECTION('center_axis',(0.,-1.,0.)); #180545=DIRECTION('ref_axis',(0.0755753619732946,0.,0.997140092796697)); #180546=DIRECTION('center_axis',(0.,1.,0.)); #180547=DIRECTION('ref_axis',(0.0755753619732946,0.,0.997140092796697)); #180548=DIRECTION('',(0.,-1.,0.)); #180549=DIRECTION('center_axis',(0.,1.,0.)); #180550=DIRECTION('ref_axis',(0.0755753619732946,0.,0.997140092796697)); #180551=DIRECTION('',(0.,-1.,0.)); #180552=DIRECTION('center_axis',(0.,-1.,0.)); #180553=DIRECTION('ref_axis',(-0.669939769616572,0.,0.742415453156852)); #180554=DIRECTION('center_axis',(0.,1.,0.)); #180555=DIRECTION('ref_axis',(-0.669939769616572,0.,0.742415453156852)); #180556=DIRECTION('',(0.,-1.,0.)); #180557=DIRECTION('center_axis',(0.,1.,0.)); #180558=DIRECTION('ref_axis',(-0.669939769616572,0.,0.742415453156852)); #180559=DIRECTION('center_axis',(0.,-1.,0.)); #180560=DIRECTION('ref_axis',(-0.996471050232303,0.,0.0839371553540603)); #180561=DIRECTION('center_axis',(0.,1.,0.)); #180562=DIRECTION('ref_axis',(-0.996471050232303,0.,0.0839371553540603)); #180563=DIRECTION('',(0.,-1.,0.)); #180564=DIRECTION('center_axis',(0.,1.,0.)); #180565=DIRECTION('ref_axis',(-0.996471050232303,0.,0.0839371553540603)); #180566=DIRECTION('center_axis',(5.08127880133356E-5,0.,0.99999999870903)); #180567=DIRECTION('ref_axis',(-0.99999999870903,0.,5.08127880133356E-5)); #180568=DIRECTION('',(-0.99999999870903,0.,5.08127880133356E-5)); #180569=DIRECTION('',(0.,-1.,0.)); #180570=DIRECTION('',(-0.99999999870903,0.,5.08127880133356E-5)); #180571=DIRECTION('center_axis',(0.0851358987490283,0.,0.996369348557148)); #180572=DIRECTION('ref_axis',(-0.996369348557148,0.,0.0851358987490283)); #180573=DIRECTION('',(-0.996369348557148,0.,0.0851358987490283)); #180574=DIRECTION('',(0.,-1.,0.)); #180575=DIRECTION('',(-0.996369348557148,0.,0.0851358987490283)); #180576=DIRECTION('center_axis',(0.174404877611379,0.,0.984674026602388)); #180577=DIRECTION('ref_axis',(-0.984674026602388,0.,0.174404877611379)); #180578=DIRECTION('',(-0.984674026602388,0.,0.174404877611379)); #180579=DIRECTION('',(0.,-1.,0.)); #180580=DIRECTION('',(-0.984674026602388,0.,0.174404877611379)); #180581=DIRECTION('center_axis',(0.314412883828542,0.,0.949286331136512)); #180582=DIRECTION('ref_axis',(-0.949286331136512,0.,0.314412883828542)); #180583=DIRECTION('',(-0.949286331136512,0.,0.314412883828542)); #180584=DIRECTION('',(0.,-1.,0.)); #180585=DIRECTION('',(-0.949286331136512,0.,0.314412883828542)); #180586=DIRECTION('center_axis',(0.502391289883061,0.,0.864640382962556)); #180587=DIRECTION('ref_axis',(-0.864640382962556,0.,0.502391289883061)); #180588=DIRECTION('',(-0.864640382962556,0.,0.502391289883061)); #180589=DIRECTION('',(0.,-1.,0.)); #180590=DIRECTION('',(-0.864640382962556,0.,0.502391289883061)); #180591=DIRECTION('center_axis',(0.67692379338219,0.,0.736053108106383)); #180592=DIRECTION('ref_axis',(-0.736053108106383,0.,0.67692379338219)); #180593=DIRECTION('',(-0.736053108106383,0.,0.67692379338219)); #180594=DIRECTION('',(0.,-1.,0.)); #180595=DIRECTION('',(-0.736053108106383,0.,0.67692379338219)); #180596=DIRECTION('center_axis',(0.820928202813321,0.,0.571031422800611)); #180597=DIRECTION('ref_axis',(-0.571031422800611,0.,0.820928202813321)); #180598=DIRECTION('',(-0.571031422800611,0.,0.820928202813321)); #180599=DIRECTION('',(0.,-1.,0.)); #180600=DIRECTION('',(-0.571031422800611,0.,0.820928202813321)); #180601=DIRECTION('center_axis',(0.922552215871624,0.,0.385872270305028)); #180602=DIRECTION('ref_axis',(-0.385872270305028,0.,0.922552215871624)); #180603=DIRECTION('',(-0.385872270305028,0.,0.922552215871624)); #180604=DIRECTION('',(0.,-1.,0.)); #180605=DIRECTION('',(-0.385872270305028,0.,0.922552215871624)); #180606=DIRECTION('center_axis',(0.,-1.,0.)); #180607=DIRECTION('ref_axis',(0.993066537106999,0.,0.117553617036285)); #180608=DIRECTION('center_axis',(0.,-1.,0.)); #180609=DIRECTION('ref_axis',(0.993066537106999,0.,0.117553617036285)); #180610=DIRECTION('',(0.,-1.,0.)); #180611=DIRECTION('center_axis',(0.,-1.,0.)); #180612=DIRECTION('ref_axis',(0.993066537106999,0.,0.117553617036285)); #180613=DIRECTION('center_axis',(0.,-1.,0.)); #180614=DIRECTION('ref_axis',(0.915379238761179,0.,-0.402592659204071)); #180615=DIRECTION('center_axis',(0.,-1.,0.)); #180616=DIRECTION('ref_axis',(0.915379238761179,0.,-0.402592659204071)); #180617=DIRECTION('',(0.,-1.,0.)); #180618=DIRECTION('center_axis',(0.,-1.,0.)); #180619=DIRECTION('ref_axis',(0.915379238761179,0.,-0.402592659204071)); #180620=DIRECTION('center_axis',(0.,-1.,0.)); #180621=DIRECTION('ref_axis',(0.0910179212013124,0.,-0.995849254666685)); #180622=DIRECTION('center_axis',(0.,-1.,0.)); #180623=DIRECTION('ref_axis',(0.0910179212013124,0.,-0.995849254666685)); #180624=DIRECTION('',(0.,-1.,0.)); #180625=DIRECTION('center_axis',(0.,-1.,0.)); #180626=DIRECTION('ref_axis',(0.0910179212013124,0.,-0.995849254666685)); #180627=DIRECTION('center_axis',(0.,-1.,0.)); #180628=DIRECTION('ref_axis',(-0.367547252135296,0.,-0.930004848077575)); #180629=DIRECTION('center_axis',(0.,-1.,0.)); #180630=DIRECTION('ref_axis',(-0.367547252135296,0.,-0.930004848077575)); #180631=DIRECTION('',(0.,-1.,0.)); #180632=DIRECTION('center_axis',(0.,-1.,0.)); #180633=DIRECTION('ref_axis',(-0.367547252135296,0.,-0.930004848077575)); #180634=DIRECTION('center_axis',(0.,-1.,0.)); #180635=DIRECTION('ref_axis',(-0.997688479056058,0.,-0.0679536515487571)); #180636=DIRECTION('center_axis',(0.,-1.,0.)); #180637=DIRECTION('ref_axis',(-0.997688479056058,0.,-0.0679536515487571)); #180638=DIRECTION('',(0.,-1.,0.)); #180639=DIRECTION('center_axis',(0.,-1.,0.)); #180640=DIRECTION('ref_axis',(-0.997688479056058,0.,-0.0679536515487571)); #180641=DIRECTION('center_axis',(-0.999991843995703,0.,-0.00403880453525584)); #180642=DIRECTION('ref_axis',(0.00403880453525584,0.,-0.999991843995703)); #180643=DIRECTION('',(0.00403880453525584,0.,-0.999991843995703)); #180644=DIRECTION('',(0.,-1.,0.)); #180645=DIRECTION('',(0.00403880453525584,0.,-0.999991843995703)); #180646=DIRECTION('center_axis',(0.,-1.,0.)); #180647=DIRECTION('ref_axis',(-0.994173468931272,0.,-0.107791992620791)); #180648=DIRECTION('center_axis',(0.,-1.,0.)); #180649=DIRECTION('ref_axis',(-0.994173468931272,0.,-0.107791992620791)); #180650=DIRECTION('',(0.,-1.,0.)); #180651=DIRECTION('center_axis',(0.,-1.,0.)); #180652=DIRECTION('ref_axis',(-0.994173468931272,0.,-0.107791992620791)); #180653=DIRECTION('center_axis',(0.,-1.,0.)); #180654=DIRECTION('ref_axis',(-0.83603831705991,0.,0.548671060297181)); #180655=DIRECTION('center_axis',(0.,-1.,0.)); #180656=DIRECTION('ref_axis',(-0.83603831705991,0.,0.548671060297181)); #180657=DIRECTION('',(0.,-1.,0.)); #180658=DIRECTION('center_axis',(0.,-1.,0.)); #180659=DIRECTION('ref_axis',(-0.83603831705991,0.,0.548671060297181)); #180660=DIRECTION('center_axis',(0.,-1.,0.)); #180661=DIRECTION('ref_axis',(-0.0362570912491052,0.,0.999342495511001)); #180662=DIRECTION('center_axis',(0.,-1.,0.)); #180663=DIRECTION('ref_axis',(-0.0362570912491052,0.,0.999342495511001)); #180664=DIRECTION('',(0.,-1.,0.)); #180665=DIRECTION('center_axis',(0.,-1.,0.)); #180666=DIRECTION('ref_axis',(-0.0362570912491052,0.,0.999342495511001)); #180667=DIRECTION('center_axis',(0.117505113151776,0.,0.99307227752223)); #180668=DIRECTION('ref_axis',(-0.99307227752223,0.,0.117505113151776)); #180669=DIRECTION('',(-0.99307227752223,0.,0.117505113151776)); #180670=DIRECTION('',(0.,-1.,0.)); #180671=DIRECTION('',(-0.99307227752223,0.,0.117505113151776)); #180672=DIRECTION('center_axis',(0.257003135619419,0.,0.966410569210512)); #180673=DIRECTION('ref_axis',(-0.966410569210512,0.,0.257003135619419)); #180674=DIRECTION('',(-0.966410569210512,0.,0.257003135619419)); #180675=DIRECTION('',(0.,-1.,0.)); #180676=DIRECTION('',(-0.966410569210512,0.,0.257003135619419)); #180677=DIRECTION('center_axis',(0.465801365254079,0.,0.884889308403846)); #180678=DIRECTION('ref_axis',(-0.884889308403846,0.,0.465801365254079)); #180679=DIRECTION('',(-0.884889308403846,0.,0.465801365254079)); #180680=DIRECTION('',(0.,-1.,0.)); #180681=DIRECTION('',(-0.884889308403846,0.,0.465801365254079)); #180682=DIRECTION('center_axis',(0.666897677406723,0.,0.745149305756583)); #180683=DIRECTION('ref_axis',(-0.745149305756583,0.,0.666897677406723)); #180684=DIRECTION('',(-0.745149305756583,0.,0.666897677406723)); #180685=DIRECTION('',(0.,-1.,0.)); #180686=DIRECTION('',(-0.745149305756583,0.,0.666897677406723)); #180687=DIRECTION('center_axis',(0.820789882481677,0.,0.571230224004049)); #180688=DIRECTION('ref_axis',(-0.571230224004049,0.,0.820789882481677)); #180689=DIRECTION('',(-0.571230224004049,0.,0.820789882481677)); #180690=DIRECTION('',(0.,-1.,0.)); #180691=DIRECTION('',(-0.571230224004049,0.,0.820789882481677)); #180692=DIRECTION('center_axis',(0.,-1.,0.)); #180693=DIRECTION('ref_axis',(0.947358756525224,0.,0.320173994001671)); #180694=DIRECTION('center_axis',(0.,-1.,0.)); #180695=DIRECTION('ref_axis',(0.947358756525224,0.,0.320173994001671)); #180696=DIRECTION('',(0.,-1.,0.)); #180697=DIRECTION('center_axis',(0.,-1.,0.)); #180698=DIRECTION('ref_axis',(0.947358756525224,0.,0.320173994001671)); #180699=DIRECTION('center_axis',(0.,-1.,0.)); #180700=DIRECTION('ref_axis',(0.376441606703059,0.,-0.926440347104345)); #180701=DIRECTION('center_axis',(0.,-1.,0.)); #180702=DIRECTION('ref_axis',(0.376441606703059,0.,-0.926440347104345)); #180703=DIRECTION('',(0.,-1.,0.)); #180704=DIRECTION('center_axis',(0.,-1.,0.)); #180705=DIRECTION('ref_axis',(0.376441606703059,0.,-0.926440347104345)); #180706=DIRECTION('center_axis',(0.,-1.,0.)); #180707=DIRECTION('ref_axis',(0.00385702796312368,0.,-0.999992561639981)); #180708=DIRECTION('center_axis',(0.,-1.,0.)); #180709=DIRECTION('ref_axis',(0.00385702796312368,0.,-0.999992561639981)); #180710=DIRECTION('',(0.,-1.,0.)); #180711=DIRECTION('center_axis',(0.,-1.,0.)); #180712=DIRECTION('ref_axis',(0.00385702796312368,0.,-0.999992561639981)); #180713=DIRECTION('center_axis',(0.0398267657618587,0.,-0.999206599622395)); #180714=DIRECTION('ref_axis',(0.999206599622396,0.,0.0398267657618587)); #180715=DIRECTION('',(0.999206599622396,0.,0.0398267657618587)); #180716=DIRECTION('',(0.999206599622396,0.,0.0398267657618587)); #180717=DIRECTION('center_axis',(0.,1.,0.)); #180718=DIRECTION('ref_axis',(1.,0.,0.)); #180719=DIRECTION('center_axis',(0.,-1.,0.)); #180720=DIRECTION('ref_axis',(0.177430854419206,0.,-0.984133269379748)); #180721=DIRECTION('center_axis',(0.,1.,0.)); #180722=DIRECTION('ref_axis',(0.177430854419206,0.,-0.984133269379748)); #180723=DIRECTION('',(0.,-1.,0.)); #180724=DIRECTION('center_axis',(0.,1.,0.)); #180725=DIRECTION('ref_axis',(0.177430854419206,0.,-0.984133269379748)); #180726=DIRECTION('',(0.,-1.,0.)); #180727=DIRECTION('center_axis',(0.,-1.,0.)); #180728=DIRECTION('ref_axis',(0.974949315685923,0.,0.222427138280269)); #180729=DIRECTION('center_axis',(0.,1.,0.)); #180730=DIRECTION('ref_axis',(0.974949315685923,0.,0.222427138280269)); #180731=DIRECTION('',(0.,-1.,0.)); #180732=DIRECTION('center_axis',(0.,1.,0.)); #180733=DIRECTION('ref_axis',(0.974949315685923,0.,0.222427138280269)); #180734=DIRECTION('center_axis',(0.,-1.,0.)); #180735=DIRECTION('ref_axis',(0.106006092895534,0.,0.994365480227981)); #180736=DIRECTION('center_axis',(0.,1.,0.)); #180737=DIRECTION('ref_axis',(0.106006092895534,0.,0.994365480227981)); #180738=DIRECTION('',(0.,-1.,0.)); #180739=DIRECTION('center_axis',(0.,1.,0.)); #180740=DIRECTION('ref_axis',(0.106006092895534,0.,0.994365480227981)); #180741=DIRECTION('center_axis',(-0.000101455129066739,0.,-0.999999994853428)); #180742=DIRECTION('ref_axis',(0.999999994853428,0.,-0.000101455129066739)); #180743=DIRECTION('',(0.999999994853428,0.,-0.000101455129066739)); #180744=DIRECTION('',(0.,-1.,0.)); #180745=DIRECTION('',(0.999999994853428,0.,-0.000101455129066739)); #180746=DIRECTION('center_axis',(1.,0.,0.)); #180747=DIRECTION('ref_axis',(0.,0.,1.)); #180748=DIRECTION('',(0.,0.,1.)); #180749=DIRECTION('',(0.,0.,1.)); #180750=DIRECTION('center_axis',(-0.631728302483299,0.,-0.775189881152721)); #180751=DIRECTION('ref_axis',(0.775189881152721,0.,-0.631728302483299)); #180752=DIRECTION('',(0.775189881152721,0.,-0.631728302483299)); #180753=DIRECTION('',(0.,-1.,0.)); #180754=DIRECTION('',(0.775189881152721,0.,-0.631728302483299)); #180755=DIRECTION('',(0.,-1.,0.)); #180756=DIRECTION('center_axis',(-0.712200542133036,0.,-0.701976059267985)); #180757=DIRECTION('ref_axis',(0.701976059267985,0.,-0.712200542133036)); #180758=DIRECTION('',(0.701976059267985,0.,-0.712200542133036)); #180759=DIRECTION('',(0.,-1.,0.)); #180760=DIRECTION('',(0.701976059267985,0.,-0.712200542133036)); #180761=DIRECTION('center_axis',(-0.787214116204278,0.,-0.616679767179625)); #180762=DIRECTION('ref_axis',(0.616679767179625,0.,-0.787214116204278)); #180763=DIRECTION('',(0.616679767179625,0.,-0.787214116204278)); #180764=DIRECTION('',(0.,-1.,0.)); #180765=DIRECTION('',(0.616679767179625,0.,-0.787214116204278)); #180766=DIRECTION('center_axis',(-0.853482152171861,0.,-0.521122073917512)); #180767=DIRECTION('ref_axis',(0.521122073917512,0.,-0.853482152171861)); #180768=DIRECTION('',(0.521122073917512,0.,-0.853482152171861)); #180769=DIRECTION('',(0.,-1.,0.)); #180770=DIRECTION('',(0.521122073917512,0.,-0.853482152171861)); #180771=DIRECTION('center_axis',(0.,-1.,0.)); #180772=DIRECTION('ref_axis',(-0.950876598807239,0.,-0.309570175954948)); #180773=DIRECTION('center_axis',(0.,-1.,0.)); #180774=DIRECTION('ref_axis',(-0.950876598807239,0.,-0.309570175954948)); #180775=DIRECTION('',(0.,-1.,0.)); #180776=DIRECTION('center_axis',(0.,-1.,0.)); #180777=DIRECTION('ref_axis',(-0.950876598807239,0.,-0.309570175954948)); #180778=DIRECTION('center_axis',(-0.978236843461632,0.,-0.20749139281961)); #180779=DIRECTION('ref_axis',(0.20749139281961,0.,-0.978236843461633)); #180780=DIRECTION('',(0.20749139281961,0.,-0.978236843461633)); #180781=DIRECTION('',(0.,-1.,0.)); #180782=DIRECTION('',(0.20749139281961,0.,-0.978236843461633)); #180783=DIRECTION('center_axis',(-0.994287857220222,0.,-0.106731705619369)); #180784=DIRECTION('ref_axis',(0.106731705619369,0.,-0.994287857220222)); #180785=DIRECTION('',(0.106731705619369,0.,-0.994287857220222)); #180786=DIRECTION('',(0.,-1.,0.)); #180787=DIRECTION('',(0.106731705619369,0.,-0.994287857220222)); #180788=DIRECTION('center_axis',(-0.99992147575402,0.,-0.0125316529597388)); #180789=DIRECTION('ref_axis',(0.0125316529597388,0.,-0.99992147575402)); #180790=DIRECTION('',(0.0125316529597388,0.,-0.99992147575402)); #180791=DIRECTION('',(0.,-1.,0.)); #180792=DIRECTION('',(0.0125316529597388,0.,-0.99992147575402)); #180793=DIRECTION('center_axis',(-0.996491163645882,0.,0.0836980332843936)); #180794=DIRECTION('ref_axis',(-0.0836980332843936,0.,-0.996491163645882)); #180795=DIRECTION('',(-0.0836980332843936,0.,-0.996491163645882)); #180796=DIRECTION('',(0.,-1.,0.)); #180797=DIRECTION('',(-0.0836980332843936,0.,-0.996491163645882)); #180798=DIRECTION('center_axis',(-0.982459381225972,0.,0.186476712329129)); #180799=DIRECTION('ref_axis',(-0.186476712329129,0.,-0.982459381225972)); #180800=DIRECTION('',(-0.186476712329129,0.,-0.982459381225972)); #180801=DIRECTION('',(0.,-1.,0.)); #180802=DIRECTION('',(-0.186476712329129,0.,-0.982459381225972)); #180803=DIRECTION('center_axis',(-0.95651987553041,0.,0.291667152273425)); #180804=DIRECTION('ref_axis',(-0.291667152273425,0.,-0.95651987553041)); #180805=DIRECTION('',(-0.291667152273425,0.,-0.95651987553041)); #180806=DIRECTION('',(0.,-1.,0.)); #180807=DIRECTION('',(-0.291667152273425,0.,-0.95651987553041)); #180808=DIRECTION('center_axis',(-0.918172583645836,0.,0.396180648998826)); #180809=DIRECTION('ref_axis',(-0.396180648998826,0.,-0.918172583645836)); #180810=DIRECTION('',(-0.396180648998826,0.,-0.918172583645836)); #180811=DIRECTION('',(0.,-1.,0.)); #180812=DIRECTION('',(-0.396180648998826,0.,-0.918172583645836)); #180813=DIRECTION('center_axis',(-0.869518798062287,0.,0.493899847961421)); #180814=DIRECTION('ref_axis',(-0.493899847961421,0.,-0.869518798062287)); #180815=DIRECTION('',(-0.493899847961421,0.,-0.869518798062287)); #180816=DIRECTION('',(0.,-1.,0.)); #180817=DIRECTION('',(-0.493899847961421,0.,-0.869518798062287)); #180818=DIRECTION('center_axis',(-0.811684447222847,0.,0.584096189113181)); #180819=DIRECTION('ref_axis',(-0.584096189113181,0.,-0.811684447222847)); #180820=DIRECTION('',(-0.584096189113181,0.,-0.811684447222847)); #180821=DIRECTION('',(0.,-1.,0.)); #180822=DIRECTION('',(-0.584096189113181,0.,-0.811684447222847)); #180823=DIRECTION('center_axis',(-0.749841074415673,0.,0.661617988811632)); #180824=DIRECTION('ref_axis',(-0.661617988811632,0.,-0.749841074415673)); #180825=DIRECTION('',(-0.661617988811632,0.,-0.749841074415673)); #180826=DIRECTION('',(0.,-1.,0.)); #180827=DIRECTION('',(-0.661617988811632,0.,-0.749841074415673)); #180828=DIRECTION('center_axis',(-0.671771825743048,0.,0.740758134709199)); #180829=DIRECTION('ref_axis',(-0.740758134709199,0.,-0.671771825743048)); #180830=DIRECTION('',(-0.740758134709199,0.,-0.671771825743048)); #180831=DIRECTION('',(0.,-1.,0.)); #180832=DIRECTION('',(-0.740758134709199,0.,-0.671771825743048)); #180833=DIRECTION('center_axis',(-0.557395739702896,0.,0.830246944806822)); #180834=DIRECTION('ref_axis',(-0.830246944806822,0.,-0.557395739702896)); #180835=DIRECTION('',(-0.830246944806822,0.,-0.557395739702896)); #180836=DIRECTION('',(0.,-1.,0.)); #180837=DIRECTION('',(-0.830246944806822,0.,-0.557395739702896)); #180838=DIRECTION('center_axis',(-0.45184886382929,0.,0.892094504106028)); #180839=DIRECTION('ref_axis',(-0.892094504106028,0.,-0.45184886382929)); #180840=DIRECTION('',(-0.892094504106028,0.,-0.45184886382929)); #180841=DIRECTION('',(0.,-1.,0.)); #180842=DIRECTION('',(-0.892094504106028,0.,-0.45184886382929)); #180843=DIRECTION('center_axis',(-0.351605713808255,0.,0.936148183792175)); #180844=DIRECTION('ref_axis',(-0.936148183792175,0.,-0.351605713808255)); #180845=DIRECTION('',(-0.936148183792175,0.,-0.351605713808255)); #180846=DIRECTION('',(0.,-1.,0.)); #180847=DIRECTION('',(-0.936148183792175,0.,-0.351605713808255)); #180848=DIRECTION('center_axis',(0.,-1.,0.)); #180849=DIRECTION('ref_axis',(-0.165633251201266,0.,0.986187419356229)); #180850=DIRECTION('center_axis',(0.,-1.,0.)); #180851=DIRECTION('ref_axis',(-0.165633251201266,0.,0.986187419356229)); #180852=DIRECTION('',(0.,-1.,0.)); #180853=DIRECTION('center_axis',(0.,-1.,0.)); #180854=DIRECTION('ref_axis',(-0.165633251201266,0.,0.986187419356229)); #180855=DIRECTION('center_axis',(0.,-1.,0.)); #180856=DIRECTION('ref_axis',(0.107710468601733,0.,0.994182304687422)); #180857=DIRECTION('center_axis',(0.,-1.,0.)); #180858=DIRECTION('ref_axis',(0.107710468601733,0.,0.994182304687422)); #180859=DIRECTION('',(0.,-1.,0.)); #180860=DIRECTION('center_axis',(0.,-1.,0.)); #180861=DIRECTION('ref_axis',(0.107710468601733,0.,0.994182304687422)); #180862=DIRECTION('center_axis',(0.,-1.,0.)); #180863=DIRECTION('ref_axis',(0.779655155503161,0.,0.626209101257194)); #180864=DIRECTION('center_axis',(0.,-1.,0.)); #180865=DIRECTION('ref_axis',(0.779655155503161,0.,0.626209101257194)); #180866=DIRECTION('',(0.,-1.,0.)); #180867=DIRECTION('center_axis',(0.,-1.,0.)); #180868=DIRECTION('ref_axis',(0.779655155503161,0.,0.626209101257194)); #180869=DIRECTION('center_axis',(0.,-1.,0.)); #180870=DIRECTION('ref_axis',(0.977731057243276,0.,-0.20986181096604)); #180871=DIRECTION('center_axis',(0.,-1.,0.)); #180872=DIRECTION('ref_axis',(0.977731057243276,0.,-0.20986181096604)); #180873=DIRECTION('',(0.,-1.,0.)); #180874=DIRECTION('center_axis',(0.,-1.,0.)); #180875=DIRECTION('ref_axis',(0.977731057243276,0.,-0.20986181096604)); #180876=DIRECTION('center_axis',(0.,-1.,0.)); #180877=DIRECTION('ref_axis',(0.215475297984538,0.,-0.976509291281181)); #180878=DIRECTION('center_axis',(0.,-1.,0.)); #180879=DIRECTION('ref_axis',(0.215475297984538,0.,-0.976509291281181)); #180880=DIRECTION('',(0.,-1.,0.)); #180881=DIRECTION('center_axis',(0.,-1.,0.)); #180882=DIRECTION('ref_axis',(0.215475297984538,0.,-0.976509291281181)); #180883=DIRECTION('center_axis',(0.,-1.,0.)); #180884=DIRECTION('ref_axis',(-0.0757598099991852,0.,-0.997126095932148)); #180885=DIRECTION('center_axis',(0.,-1.,0.)); #180886=DIRECTION('ref_axis',(-0.0757598099991852,0.,-0.997126095932148)); #180887=DIRECTION('',(0.,-1.,0.)); #180888=DIRECTION('center_axis',(0.,-1.,0.)); #180889=DIRECTION('ref_axis',(-0.0757598099991852,0.,-0.997126095932148)); #180890=DIRECTION('center_axis',(0.,-1.,0.)); #180891=DIRECTION('ref_axis',(-0.197832191816547,0.,-0.980235902158792)); #180892=DIRECTION('center_axis',(0.,-1.,0.)); #180893=DIRECTION('ref_axis',(-0.197832191816547,0.,-0.980235902158792)); #180894=DIRECTION('',(0.,-1.,0.)); #180895=DIRECTION('center_axis',(0.,-1.,0.)); #180896=DIRECTION('ref_axis',(-0.197832191816547,0.,-0.980235902158792)); #180897=DIRECTION('center_axis',(-0.251341384934576,0.,-0.967898500990248)); #180898=DIRECTION('ref_axis',(0.967898500990248,0.,-0.251341384934576)); #180899=DIRECTION('',(0.967898500990248,0.,-0.251341384934576)); #180900=DIRECTION('',(0.,-1.,0.)); #180901=DIRECTION('',(0.967898500990248,0.,-0.251341384934576)); #180902=DIRECTION('center_axis',(-0.34312171690362,0.,-0.939290949274564)); #180903=DIRECTION('ref_axis',(0.939290949274564,0.,-0.34312171690362)); #180904=DIRECTION('',(0.939290949274564,0.,-0.34312171690362)); #180905=DIRECTION('',(0.,-1.,0.)); #180906=DIRECTION('',(0.939290949274564,0.,-0.34312171690362)); #180907=DIRECTION('center_axis',(-0.446251111993342,0.,-0.894907785777231)); #180908=DIRECTION('ref_axis',(0.894907785777231,0.,-0.446251111993342)); #180909=DIRECTION('',(0.894907785777231,0.,-0.446251111993342)); #180910=DIRECTION('',(0.,-1.,0.)); #180911=DIRECTION('',(0.894907785777231,0.,-0.446251111993342)); #180912=DIRECTION('center_axis',(-0.557381573679091,0.,-0.830256455152876)); #180913=DIRECTION('ref_axis',(0.830256455152876,0.,-0.557381573679091)); #180914=DIRECTION('',(0.830256455152876,0.,-0.557381573679091)); #180915=DIRECTION('',(0.,-1.,0.)); #180916=DIRECTION('',(0.830256455152876,0.,-0.557381573679091)); #180917=DIRECTION('center_axis',(-0.654938843694254,0.,-0.755681884803675)); #180918=DIRECTION('ref_axis',(0.755681884803675,0.,-0.654938843694253)); #180919=DIRECTION('',(0.755681884803675,0.,-0.654938843694253)); #180920=DIRECTION('',(0.,-1.,0.)); #180921=DIRECTION('',(0.755681884803675,0.,-0.654938843694253)); #180922=DIRECTION('center_axis',(-0.730523482103398,0.,-0.68288757646887)); #180923=DIRECTION('ref_axis',(0.682887576468869,0.,-0.730523482103398)); #180924=DIRECTION('',(0.682887576468869,0.,-0.730523482103398)); #180925=DIRECTION('',(0.,-1.,0.)); #180926=DIRECTION('',(0.682887576468869,0.,-0.730523482103398)); #180927=DIRECTION('center_axis',(-0.804710551432497,0.,-0.593667355017275)); #180928=DIRECTION('ref_axis',(0.593667355017275,0.,-0.804710551432497)); #180929=DIRECTION('',(0.593667355017275,0.,-0.804710551432497)); #180930=DIRECTION('',(0.,-1.,0.)); #180931=DIRECTION('',(0.593667355017275,0.,-0.804710551432497)); #180932=DIRECTION('center_axis',(-0.869190152862668,0.,-0.494477985522684)); #180933=DIRECTION('ref_axis',(0.494477985522684,0.,-0.869190152862668)); #180934=DIRECTION('',(0.494477985522684,0.,-0.869190152862668)); #180935=DIRECTION('',(0.,-1.,0.)); #180936=DIRECTION('',(0.494477985522684,0.,-0.869190152862668)); #180937=DIRECTION('center_axis',(0.,-1.,0.)); #180938=DIRECTION('ref_axis',(-0.960040959498328,0.,-0.279859529202653)); #180939=DIRECTION('center_axis',(0.,-1.,0.)); #180940=DIRECTION('ref_axis',(-0.960040959498328,0.,-0.279859529202653)); #180941=DIRECTION('',(0.,-1.,0.)); #180942=DIRECTION('center_axis',(0.,-1.,0.)); #180943=DIRECTION('ref_axis',(-0.960040959498328,0.,-0.279859529202653)); #180944=DIRECTION('center_axis',(-0.983901974722381,0.,-0.1787089928834)); #180945=DIRECTION('ref_axis',(0.1787089928834,0.,-0.983901974722381)); #180946=DIRECTION('',(0.1787089928834,0.,-0.983901974722381)); #180947=DIRECTION('',(0.,-1.,0.)); #180948=DIRECTION('',(0.1787089928834,0.,-0.983901974722381)); #180949=DIRECTION('center_axis',(-0.996827122822671,0.,-0.0795970301272356)); #180950=DIRECTION('ref_axis',(0.0795970301272356,0.,-0.996827122822671)); #180951=DIRECTION('',(0.0795970301272356,0.,-0.996827122822671)); #180952=DIRECTION('',(0.,-1.,0.)); #180953=DIRECTION('',(0.0795970301272356,0.,-0.996827122822671)); #180954=DIRECTION('center_axis',(-0.999924510690267,0.,0.0122871038422435)); #180955=DIRECTION('ref_axis',(-0.0122871038422435,0.,-0.999924510690267)); #180956=DIRECTION('',(-0.0122871038422435,0.,-0.999924510690267)); #180957=DIRECTION('',(0.,-1.,0.)); #180958=DIRECTION('',(-0.0122871038422435,0.,-0.999924510690267)); #180959=DIRECTION('center_axis',(-0.994283486393769,0.,0.106772415373319)); #180960=DIRECTION('ref_axis',(-0.106772415373319,0.,-0.994283486393769)); #180961=DIRECTION('',(-0.106772415373319,0.,-0.994283486393769)); #180962=DIRECTION('',(0.,-1.,0.)); #180963=DIRECTION('',(-0.106772415373319,0.,-0.994283486393769)); #180964=DIRECTION('center_axis',(-0.978253957549465,0.,0.207410690512348)); #180965=DIRECTION('ref_axis',(-0.207410690512348,0.,-0.978253957549465)); #180966=DIRECTION('',(-0.207410690512348,0.,-0.978253957549465)); #180967=DIRECTION('',(0.,-1.,0.)); #180968=DIRECTION('',(-0.207410690512348,0.,-0.978253957549465)); #180969=DIRECTION('center_axis',(-0.949830006750649,0.,0.312766619504162)); #180970=DIRECTION('ref_axis',(-0.312766619504162,0.,-0.949830006750649)); #180971=DIRECTION('',(-0.312766619504162,0.,-0.949830006750649)); #180972=DIRECTION('',(0.,-1.,0.)); #180973=DIRECTION('',(-0.312766619504162,0.,-0.949830006750649)); #180974=DIRECTION('center_axis',(-0.908129452383482,0.,0.418689500362354)); #180975=DIRECTION('ref_axis',(-0.418689500362354,0.,-0.908129452383482)); #180976=DIRECTION('',(-0.418689500362354,0.,-0.908129452383482)); #180977=DIRECTION('',(0.,-1.,0.)); #180978=DIRECTION('',(-0.418689500362354,0.,-0.908129452383482)); #180979=DIRECTION('center_axis',(-0.853482152171861,0.,0.521122073917512)); #180980=DIRECTION('ref_axis',(-0.521122073917512,0.,-0.853482152171861)); #180981=DIRECTION('',(-0.521122073917512,0.,-0.853482152171861)); #180982=DIRECTION('',(0.,-1.,0.)); #180983=DIRECTION('',(-0.521122073917512,0.,-0.853482152171861)); #180984=DIRECTION('center_axis',(-0.787325617358373,0.,0.616537405395047)); #180985=DIRECTION('ref_axis',(-0.616537405395047,0.,-0.787325617358373)); #180986=DIRECTION('',(-0.616537405395047,0.,-0.787325617358373)); #180987=DIRECTION('',(0.,-1.,0.)); #180988=DIRECTION('',(-0.616537405395047,0.,-0.787325617358373)); #180989=DIRECTION('center_axis',(-0.712298751939242,0.,0.701876405064167)); #180990=DIRECTION('ref_axis',(-0.701876405064167,0.,-0.712298751939242)); #180991=DIRECTION('',(-0.701876405064167,0.,-0.712298751939242)); #180992=DIRECTION('',(0.,-1.,0.)); #180993=DIRECTION('',(-0.701876405064167,0.,-0.712298751939242)); #180994=DIRECTION('center_axis',(-0.630993156436982,0.,0.775788396748556)); #180995=DIRECTION('ref_axis',(-0.775788396748556,0.,-0.630993156436982)); #180996=DIRECTION('',(-0.775788396748556,0.,-0.630993156436982)); #180997=DIRECTION('',(0.,-1.,0.)); #180998=DIRECTION('',(-0.775788396748556,0.,-0.630993156436982)); #180999=DIRECTION('center_axis',(-0.522853162533845,0.,0.852422765081011)); #181000=DIRECTION('ref_axis',(-0.852422765081011,0.,-0.522853162533845)); #181001=DIRECTION('',(-0.852422765081011,0.,-0.522853162533845)); #181002=DIRECTION('',(0.,-1.,0.)); #181003=DIRECTION('',(-0.852422765081011,0.,-0.522853162533845)); #181004=DIRECTION('center_axis',(-0.413725324119483,0.,0.910401755370797)); #181005=DIRECTION('ref_axis',(-0.910401755370797,0.,-0.413725324119483)); #181006=DIRECTION('',(-0.910401755370797,0.,-0.413725324119483)); #181007=DIRECTION('',(0.,-1.,0.)); #181008=DIRECTION('',(-0.910401755370797,0.,-0.413725324119483)); #181009=DIRECTION('center_axis',(-0.314560298200813,0.,0.949237493357598)); #181010=DIRECTION('ref_axis',(-0.949237493357598,0.,-0.314560298200813)); #181011=DIRECTION('',(-0.949237493357598,0.,-0.314560298200813)); #181012=DIRECTION('',(0.,-1.,0.)); #181013=DIRECTION('',(-0.949237493357598,0.,-0.314560298200813)); #181014=DIRECTION('center_axis',(0.,-1.,0.)); #181015=DIRECTION('ref_axis',(0.0144654447834904,0.,0.999895369979887)); #181016=DIRECTION('center_axis',(0.,-1.,0.)); #181017=DIRECTION('ref_axis',(0.0144654447834904,0.,0.999895369979887)); #181018=DIRECTION('',(0.,-1.,0.)); #181019=DIRECTION('center_axis',(0.,-1.,0.)); #181020=DIRECTION('ref_axis',(0.0144654447834904,0.,0.999895369979887)); #181021=DIRECTION('center_axis',(-7.77371663256626E-5,0.,0.999999996978467)); #181022=DIRECTION('ref_axis',(-0.999999996978466,0.,-7.77371663256626E-5)); #181023=DIRECTION('',(-0.999999996978466,0.,-7.77371663256626E-5)); #181024=DIRECTION('',(0.,-1.,0.)); #181025=DIRECTION('',(-0.999999996978466,0.,-7.77371663256626E-5)); #181026=DIRECTION('center_axis',(-0.999999999607535,0.,2.80165923803053E-5)); #181027=DIRECTION('ref_axis',(-2.80165923803053E-5,0.,-0.999999999607535)); #181028=DIRECTION('',(-2.80165923803053E-5,0.,-0.999999999607535)); #181029=DIRECTION('',(0.,-1.,0.)); #181030=DIRECTION('',(-2.80165923803053E-5,0.,-0.999999999607535)); #181031=DIRECTION('center_axis',(0.,-1.,0.)); #181032=DIRECTION('ref_axis',(-0.180656934472711,0.,-0.983546171781947)); #181033=DIRECTION('center_axis',(0.,-1.,0.)); #181034=DIRECTION('ref_axis',(-0.180656934472711,0.,-0.983546171781947)); #181035=DIRECTION('',(0.,-1.,0.)); #181036=DIRECTION('center_axis',(0.,-1.,0.)); #181037=DIRECTION('ref_axis',(-0.180656934472711,0.,-0.983546171781947)); #181038=DIRECTION('center_axis',(-0.317610658880295,0.,-0.948221213307119)); #181039=DIRECTION('ref_axis',(0.948221213307119,0.,-0.317610658880295)); #181040=DIRECTION('',(0.948221213307119,0.,-0.317610658880295)); #181041=DIRECTION('',(0.,-1.,0.)); #181042=DIRECTION('',(0.948221213307119,0.,-0.317610658880295)); #181043=DIRECTION('center_axis',(-0.416140243154774,0.,-0.909300444312597)); #181044=DIRECTION('ref_axis',(0.909300444312597,0.,-0.416140243154774)); #181045=DIRECTION('',(0.909300444312597,0.,-0.416140243154774)); #181046=DIRECTION('',(0.,-1.,0.)); #181047=DIRECTION('',(0.909300444312597,0.,-0.416140243154774)); #181048=DIRECTION('center_axis',(-0.523973943148016,0.,-0.851734293604478)); #181049=DIRECTION('ref_axis',(0.851734293604478,0.,-0.523973943148016)); #181050=DIRECTION('',(0.851734293604478,0.,-0.523973943148016)); #181051=DIRECTION('',(0.851734293604478,0.,-0.523973943148016)); #181052=DIRECTION('center_axis',(0.,1.,0.)); #181053=DIRECTION('ref_axis',(1.,0.,0.)); #181054=DIRECTION('center_axis',(0.,-1.,0.)); #181055=DIRECTION('ref_axis',(-0.95285226139213,0.,0.303434618921281)); #181056=DIRECTION('center_axis',(0.,1.,0.)); #181057=DIRECTION('ref_axis',(-0.95285226139213,0.,0.303434618921281)); #181058=DIRECTION('',(0.,-1.,0.)); #181059=DIRECTION('center_axis',(0.,1.,0.)); #181060=DIRECTION('ref_axis',(-0.95285226139213,0.,0.303434618921281)); #181061=DIRECTION('',(0.,-1.,0.)); #181062=DIRECTION('center_axis',(0.990055901382213,0.,-0.140674490005308)); #181063=DIRECTION('ref_axis',(0.140674490005308,0.,0.990055901382213)); #181064=DIRECTION('',(0.140674490005308,0.,0.990055901382213)); #181065=DIRECTION('',(0.,-1.,0.)); #181066=DIRECTION('',(0.140674490005308,0.,0.990055901382213)); #181067=DIRECTION('center_axis',(0.,-1.,0.)); #181068=DIRECTION('ref_axis',(0.985084642222211,0.,-0.172070472940416)); #181069=DIRECTION('center_axis',(0.,-1.,0.)); #181070=DIRECTION('ref_axis',(0.985084642222211,0.,-0.172070472940416)); #181071=DIRECTION('',(0.,-1.,0.)); #181072=DIRECTION('center_axis',(0.,-1.,0.)); #181073=DIRECTION('ref_axis',(0.985084642222211,0.,-0.172070472940416)); #181074=DIRECTION('center_axis',(0.,-1.,0.)); #181075=DIRECTION('ref_axis',(0.945718638157973,0.,-0.324986549630334)); #181076=DIRECTION('center_axis',(0.,-1.,0.)); #181077=DIRECTION('ref_axis',(0.945718638157973,0.,-0.324986549630334)); #181078=DIRECTION('',(0.,-1.,0.)); #181079=DIRECTION('center_axis',(0.,-1.,0.)); #181080=DIRECTION('ref_axis',(0.945718638157973,0.,-0.324986549630334)); #181081=DIRECTION('center_axis',(0.913259891771873,0.,-0.407377429518164)); #181082=DIRECTION('ref_axis',(0.407377429518164,0.,0.913259891771873)); #181083=DIRECTION('',(0.407377429518164,0.,0.913259891771873)); #181084=DIRECTION('',(0.,-1.,0.)); #181085=DIRECTION('',(0.407377429518164,0.,0.913259891771873)); #181086=DIRECTION('center_axis',(0.861917729048555,0.,-0.507048151906485)); #181087=DIRECTION('ref_axis',(0.507048151906485,0.,0.861917729048555)); #181088=DIRECTION('',(0.507048151906485,0.,0.861917729048555)); #181089=DIRECTION('',(0.,-1.,0.)); #181090=DIRECTION('',(0.507048151906485,0.,0.861917729048555)); #181091=DIRECTION('center_axis',(0.791824619776496,0.,-0.610748533781136)); #181092=DIRECTION('ref_axis',(0.610748533781136,0.,0.791824619776496)); #181093=DIRECTION('',(0.610748533781136,0.,0.791824619776496)); #181094=DIRECTION('',(0.,-1.,0.)); #181095=DIRECTION('',(0.610748533781136,0.,0.791824619776496)); #181096=DIRECTION('center_axis',(0.714719205329562,0.,-0.699411508007324)); #181097=DIRECTION('ref_axis',(0.699411508007324,0.,0.714719205329562)); #181098=DIRECTION('',(0.699411508007324,0.,0.714719205329562)); #181099=DIRECTION('',(0.,-1.,0.)); #181100=DIRECTION('',(0.699411508007324,0.,0.714719205329562)); #181101=DIRECTION('center_axis',(0.64124159994485,0.,-0.767339045337958)); #181102=DIRECTION('ref_axis',(0.767339045337958,0.,0.64124159994485)); #181103=DIRECTION('',(0.767339045337958,0.,0.64124159994485)); #181104=DIRECTION('',(0.,-1.,0.)); #181105=DIRECTION('',(0.767339045337958,0.,0.64124159994485)); #181106=DIRECTION('center_axis',(0.554875074526596,0.,-0.831933682254244)); #181107=DIRECTION('ref_axis',(0.831933682254244,0.,0.554875074526596)); #181108=DIRECTION('',(0.831933682254244,0.,0.554875074526596)); #181109=DIRECTION('',(0.,-1.,0.)); #181110=DIRECTION('',(0.831933682254244,0.,0.554875074526596)); #181111=DIRECTION('center_axis',(0.4622178330262,0.,-0.886766415034176)); #181112=DIRECTION('ref_axis',(0.886766415034176,0.,0.4622178330262)); #181113=DIRECTION('',(0.886766415034176,0.,0.4622178330262)); #181114=DIRECTION('',(0.,-1.,0.)); #181115=DIRECTION('',(0.886766415034176,0.,0.4622178330262)); #181116=DIRECTION('center_axis',(0.365007864115931,0.,-0.931004435614313)); #181117=DIRECTION('ref_axis',(0.931004435614313,0.,0.365007864115931)); #181118=DIRECTION('',(0.931004435614313,0.,0.365007864115931)); #181119=DIRECTION('',(0.,-1.,0.)); #181120=DIRECTION('',(0.931004435614313,0.,0.365007864115931)); #181121=DIRECTION('center_axis',(0.266374220661627,0.,-0.963869687544385)); #181122=DIRECTION('ref_axis',(0.963869687544385,0.,0.266374220661627)); #181123=DIRECTION('',(0.963869687544385,0.,0.266374220661627)); #181124=DIRECTION('',(0.,-1.,0.)); #181125=DIRECTION('',(0.963869687544385,0.,0.266374220661627)); #181126=DIRECTION('center_axis',(0.,-1.,0.)); #181127=DIRECTION('ref_axis',(0.0681248407092411,0.,-0.99767680442032)); #181128=DIRECTION('center_axis',(0.,-1.,0.)); #181129=DIRECTION('ref_axis',(0.0681248407092411,0.,-0.99767680442032)); #181130=DIRECTION('',(0.,-1.,0.)); #181131=DIRECTION('center_axis',(0.,-1.,0.)); #181132=DIRECTION('ref_axis',(0.0681248407092411,0.,-0.99767680442032)); #181133=DIRECTION('center_axis',(-0.0132147050479027,0.,-0.99991268197303)); #181134=DIRECTION('ref_axis',(0.99991268197303,0.,-0.0132147050479027)); #181135=DIRECTION('',(0.99991268197303,0.,-0.0132147050479027)); #181136=DIRECTION('',(0.,-1.,0.)); #181137=DIRECTION('',(0.99991268197303,0.,-0.0132147050479027)); #181138=DIRECTION('center_axis',(-0.105083835666632,0.,-0.994463366586014)); #181139=DIRECTION('ref_axis',(0.994463366586014,0.,-0.105083835666632)); #181140=DIRECTION('',(0.994463366586014,0.,-0.105083835666632)); #181141=DIRECTION('',(0.,-1.,0.)); #181142=DIRECTION('',(0.994463366586014,0.,-0.105083835666632)); #181143=DIRECTION('center_axis',(-0.204115622955525,0.,-0.978946787351324)); #181144=DIRECTION('ref_axis',(0.978946787351324,0.,-0.204115622955525)); #181145=DIRECTION('',(0.978946787351324,0.,-0.204115622955525)); #181146=DIRECTION('',(0.,-1.,0.)); #181147=DIRECTION('',(0.978946787351324,0.,-0.204115622955525)); #181148=DIRECTION('center_axis',(-0.307575458913851,0.,-0.951523692334528)); #181149=DIRECTION('ref_axis',(0.951523692334528,0.,-0.307575458913851)); #181150=DIRECTION('',(0.951523692334528,0.,-0.307575458913851)); #181151=DIRECTION('',(0.,-1.,0.)); #181152=DIRECTION('',(0.951523692334528,0.,-0.307575458913851)); #181153=DIRECTION('center_axis',(-0.411382421016058,0.,-0.911462837245144)); #181154=DIRECTION('ref_axis',(0.911462837245143,0.,-0.411382421016058)); #181155=DIRECTION('',(0.911462837245143,0.,-0.411382421016058)); #181156=DIRECTION('',(0.,-1.,0.)); #181157=DIRECTION('',(0.911462837245143,0.,-0.411382421016058)); #181158=DIRECTION('center_axis',(-0.511399581113755,0.,-0.859343044678128)); #181159=DIRECTION('ref_axis',(0.859343044678128,0.,-0.511399581113755)); #181160=DIRECTION('',(0.859343044678128,0.,-0.511399581113755)); #181161=DIRECTION('',(0.,-1.,0.)); #181162=DIRECTION('',(0.859343044678128,0.,-0.511399581113755)); #181163=DIRECTION('center_axis',(0.,-1.,0.)); #181164=DIRECTION('ref_axis',(-0.688186239229734,0.,-0.725534079237381)); #181165=DIRECTION('center_axis',(0.,-1.,0.)); #181166=DIRECTION('ref_axis',(-0.688186239229734,0.,-0.725534079237381)); #181167=DIRECTION('',(0.,-1.,0.)); #181168=DIRECTION('center_axis',(0.,-1.,0.)); #181169=DIRECTION('ref_axis',(-0.688186239229734,0.,-0.725534079237381)); #181170=DIRECTION('center_axis',(-0.760098454218623,0.,-0.649807925386003)); #181171=DIRECTION('ref_axis',(0.649807925386002,0.,-0.760098454218623)); #181172=DIRECTION('',(0.649807925386002,0.,-0.760098454218623)); #181173=DIRECTION('',(0.,-1.,0.)); #181174=DIRECTION('',(0.649807925386002,0.,-0.760098454218623)); #181175=DIRECTION('center_axis',(-0.841617395493596,0.,-0.54007421675412)); #181176=DIRECTION('ref_axis',(0.54007421675412,0.,-0.841617395493596)); #181177=DIRECTION('',(0.54007421675412,0.,-0.841617395493596)); #181178=DIRECTION('',(0.,-1.,0.)); #181179=DIRECTION('',(0.54007421675412,0.,-0.841617395493596)); #181180=DIRECTION('center_axis',(-0.903566465082991,0.,-0.428447946870362)); #181181=DIRECTION('ref_axis',(0.428447946870362,0.,-0.903566465082991)); #181182=DIRECTION('',(0.428447946870362,0.,-0.903566465082991)); #181183=DIRECTION('',(0.,-1.,0.)); #181184=DIRECTION('',(0.428447946870362,0.,-0.903566465082991)); #181185=DIRECTION('center_axis',(0.,-1.,0.)); #181186=DIRECTION('ref_axis',(-0.985364479414886,0.,0.170460677892089)); #181187=DIRECTION('center_axis',(0.,-1.,0.)); #181188=DIRECTION('ref_axis',(-0.985364479414886,0.,0.170460677892089)); #181189=DIRECTION('',(0.,-1.,0.)); #181190=DIRECTION('center_axis',(0.,-1.,0.)); #181191=DIRECTION('ref_axis',(-0.985364479414886,0.,0.170460677892089)); #181192=DIRECTION('center_axis',(0.,-1.,0.)); #181193=DIRECTION('ref_axis',(-0.974522301380715,0.,0.224290624216964)); #181194=DIRECTION('center_axis',(0.,-1.,0.)); #181195=DIRECTION('ref_axis',(-0.974522301380715,0.,0.224290624216964)); #181196=DIRECTION('',(0.,-1.,0.)); #181197=DIRECTION('center_axis',(0.,-1.,0.)); #181198=DIRECTION('ref_axis',(-0.974522301380715,0.,0.224290624216964)); #181199=DIRECTION('center_axis',(-0.955435613532551,0.,0.295199573837222)); #181200=DIRECTION('ref_axis',(-0.295199573837222,0.,-0.955435613532551)); #181201=DIRECTION('',(-0.295199573837222,0.,-0.955435613532551)); #181202=DIRECTION('',(0.,-1.,0.)); #181203=DIRECTION('',(-0.295199573837222,0.,-0.955435613532551)); #181204=DIRECTION('center_axis',(-0.920278169266952,0.,0.391264732848065)); #181205=DIRECTION('ref_axis',(-0.391264732848065,0.,-0.920278169266952)); #181206=DIRECTION('',(-0.391264732848065,0.,-0.920278169266952)); #181207=DIRECTION('',(0.,-1.,0.)); #181208=DIRECTION('',(-0.391264732848064,0.,-0.920278169266952)); #181209=DIRECTION('center_axis',(-0.868135777543159,0.,0.496326779198479)); #181210=DIRECTION('ref_axis',(-0.496326779198479,0.,-0.868135777543158)); #181211=DIRECTION('',(-0.496326779198479,0.,-0.868135777543158)); #181212=DIRECTION('',(0.,-1.,0.)); #181213=DIRECTION('',(-0.496326779198479,0.,-0.868135777543158)); #181214=DIRECTION('center_axis',(-0.795270279377276,0.,0.606255047598937)); #181215=DIRECTION('ref_axis',(-0.606255047598937,0.,-0.795270279377276)); #181216=DIRECTION('',(-0.606255047598937,0.,-0.795270279377276)); #181217=DIRECTION('',(0.,-1.,0.)); #181218=DIRECTION('',(-0.606255047598937,0.,-0.795270279377276)); #181219=DIRECTION('center_axis',(-0.706742125196207,0.,0.70747124922017)); #181220=DIRECTION('ref_axis',(-0.70747124922017,0.,-0.706742125196207)); #181221=DIRECTION('',(-0.70747124922017,0.,-0.706742125196207)); #181222=DIRECTION('',(0.,-1.,0.)); #181223=DIRECTION('',(-0.70747124922017,0.,-0.706742125196207)); #181224=DIRECTION('center_axis',(-0.635333137018826,0.,0.77223817893563)); #181225=DIRECTION('ref_axis',(-0.77223817893563,0.,-0.635333137018826)); #181226=DIRECTION('',(-0.77223817893563,0.,-0.635333137018826)); #181227=DIRECTION('',(0.,-1.,0.)); #181228=DIRECTION('',(-0.77223817893563,0.,-0.635333137018826)); #181229=DIRECTION('center_axis',(-0.55525319135259,0.,0.831681365363421)); #181230=DIRECTION('ref_axis',(-0.831681365363421,0.,-0.55525319135259)); #181231=DIRECTION('',(-0.831681365363421,0.,-0.55525319135259)); #181232=DIRECTION('',(0.,-1.,0.)); #181233=DIRECTION('',(-0.831681365363421,0.,-0.55525319135259)); #181234=DIRECTION('center_axis',(-0.465493976801748,0.,0.885051047997399)); #181235=DIRECTION('ref_axis',(-0.885051047997399,0.,-0.465493976801748)); #181236=DIRECTION('',(-0.885051047997399,0.,-0.465493976801748)); #181237=DIRECTION('',(0.,-1.,0.)); #181238=DIRECTION('',(-0.885051047997399,0.,-0.465493976801748)); #181239=DIRECTION('center_axis',(-0.367302842826368,0.,0.930101403961777)); #181240=DIRECTION('ref_axis',(-0.930101403961777,0.,-0.367302842826368)); #181241=DIRECTION('',(-0.930101403961777,0.,-0.367302842826368)); #181242=DIRECTION('',(0.,-1.,0.)); #181243=DIRECTION('',(-0.930101403961777,0.,-0.367302842826368)); #181244=DIRECTION('center_axis',(-0.264788332336772,0.,0.964306558651506)); #181245=DIRECTION('ref_axis',(-0.964306558651506,0.,-0.264788332336772)); #181246=DIRECTION('',(-0.964306558651506,0.,-0.264788332336772)); #181247=DIRECTION('',(0.,-1.,0.)); #181248=DIRECTION('',(-0.964306558651506,0.,-0.264788332336772)); #181249=DIRECTION('center_axis',(-0.162273954340832,0.,0.986745744223196)); #181250=DIRECTION('ref_axis',(-0.986745744223196,0.,-0.162273954340832)); #181251=DIRECTION('',(-0.986745744223196,0.,-0.162273954340832)); #181252=DIRECTION('',(0.,-1.,0.)); #181253=DIRECTION('',(-0.986745744223196,0.,-0.162273954340832)); #181254=DIRECTION('center_axis',(-0.0616092330375812,0.,0.998100346861237)); #181255=DIRECTION('ref_axis',(-0.998100346861237,0.,-0.0616092330375812)); #181256=DIRECTION('',(-0.998100346861237,0.,-0.0616092330375812)); #181257=DIRECTION('',(0.,-1.,0.)); #181258=DIRECTION('',(-0.998100346861237,0.,-0.0616092330375812)); #181259=DIRECTION('center_axis',(0.0318742185111544,0.,0.999491888008254)); #181260=DIRECTION('ref_axis',(-0.999491888008254,0.,0.0318742185111544)); #181261=DIRECTION('',(-0.999491888008254,0.,0.0318742185111544)); #181262=DIRECTION('',(0.,-1.,0.)); #181263=DIRECTION('',(-0.999491888008254,0.,0.0318742185111544)); #181264=DIRECTION('center_axis',(0.126178858647156,0.,0.992007507849865)); #181265=DIRECTION('ref_axis',(-0.992007507849866,0.,0.126178858647156)); #181266=DIRECTION('',(-0.992007507849866,0.,0.126178858647156)); #181267=DIRECTION('',(0.,-1.,0.)); #181268=DIRECTION('',(-0.992007507849866,0.,0.126178858647156)); #181269=DIRECTION('center_axis',(0.226614198258045,0.,0.973984602110251)); #181270=DIRECTION('ref_axis',(-0.973984602110251,0.,0.226614198258045)); #181271=DIRECTION('',(-0.973984602110251,0.,0.226614198258045)); #181272=DIRECTION('',(0.,-1.,0.)); #181273=DIRECTION('',(-0.973984602110251,0.,0.226614198258045)); #181274=DIRECTION('center_axis',(0.328958833079451,0.,0.944344262511827)); #181275=DIRECTION('ref_axis',(-0.944344262511827,0.,0.328958833079451)); #181276=DIRECTION('',(-0.944344262511827,0.,0.328958833079451)); #181277=DIRECTION('',(0.,-1.,0.)); #181278=DIRECTION('',(-0.944344262511827,0.,0.328958833079451)); #181279=DIRECTION('center_axis',(0.431942347373267,0.,0.90190121884033)); #181280=DIRECTION('ref_axis',(-0.90190121884033,0.,0.431942347373267)); #181281=DIRECTION('',(-0.90190121884033,0.,0.431942347373267)); #181282=DIRECTION('',(0.,-1.,0.)); #181283=DIRECTION('',(-0.90190121884033,0.,0.431942347373267)); #181284=DIRECTION('center_axis',(0.530900754235241,0.,0.847434002829986)); #181285=DIRECTION('ref_axis',(-0.847434002829986,0.,0.530900754235241)); #181286=DIRECTION('',(-0.847434002829986,0.,0.530900754235241)); #181287=DIRECTION('',(0.,-1.,0.)); #181288=DIRECTION('',(-0.847434002829986,0.,0.530900754235241)); #181289=DIRECTION('center_axis',(0.622221440745141,0.,0.782841285751486)); #181290=DIRECTION('ref_axis',(-0.782841285751486,0.,0.622221440745141)); #181291=DIRECTION('',(-0.782841285751486,0.,0.622221440745141)); #181292=DIRECTION('',(0.,-1.,0.)); #181293=DIRECTION('',(-0.782841285751486,0.,0.622221440745141)); #181294=DIRECTION('center_axis',(0.704192049668041,0.,0.710009547248713)); #181295=DIRECTION('ref_axis',(-0.710009547248713,0.,0.704192049668041)); #181296=DIRECTION('',(-0.710009547248713,0.,0.704192049668041)); #181297=DIRECTION('',(0.,-1.,0.)); #181298=DIRECTION('',(-0.710009547248713,0.,0.704192049668041)); #181299=DIRECTION('center_axis',(0.785417737594277,0.,0.618966055185814)); #181300=DIRECTION('ref_axis',(-0.618966055185814,0.,0.785417737594276)); #181301=DIRECTION('',(-0.618966055185814,0.,0.785417737594276)); #181302=DIRECTION('',(0.,-1.,0.)); #181303=DIRECTION('',(-0.618966055185814,0.,0.785417737594276)); #181304=DIRECTION('center_axis',(0.859710420663325,0.,0.510781746544343)); #181305=DIRECTION('ref_axis',(-0.510781746544343,0.,0.859710420663325)); #181306=DIRECTION('',(-0.510781746544343,0.,0.859710420663325)); #181307=DIRECTION('',(0.,-1.,0.)); #181308=DIRECTION('',(-0.510781746544343,0.,0.859710420663325)); #181309=DIRECTION('center_axis',(0.913483947116937,0.,0.406874769873557)); #181310=DIRECTION('ref_axis',(-0.406874769873557,0.,0.913483947116937)); #181311=DIRECTION('',(-0.406874769873557,0.,0.913483947116937)); #181312=DIRECTION('',(0.,-1.,0.)); #181313=DIRECTION('',(-0.406874769873557,0.,0.913483947116937)); #181314=DIRECTION('center_axis',(0.95053512624886,0.,0.310617085439716)); #181315=DIRECTION('ref_axis',(-0.310617085439716,0.,0.95053512624886)); #181316=DIRECTION('',(-0.310617085439716,0.,0.95053512624886)); #181317=DIRECTION('',(0.,-1.,0.)); #181318=DIRECTION('',(-0.310617085439716,0.,0.95053512624886)); #181319=DIRECTION('center_axis',(0.974433848615084,0.,0.224674597302843)); #181320=DIRECTION('ref_axis',(-0.224674597302843,0.,0.974433848615085)); #181321=DIRECTION('',(-0.224674597302843,0.,0.974433848615085)); #181322=DIRECTION('',(0.,-1.,0.)); #181323=DIRECTION('',(-0.224674597302843,0.,0.974433848615085)); #181324=DIRECTION('center_axis',(0.,-1.,0.)); #181325=DIRECTION('ref_axis',(0.999995383389873,0.,-0.00303861793271969)); #181326=DIRECTION('center_axis',(0.,-1.,0.)); #181327=DIRECTION('ref_axis',(0.999995383389873,0.,-0.00303861793271969)); #181328=DIRECTION('',(0.,-1.,0.)); #181329=DIRECTION('center_axis',(0.,-1.,0.)); #181330=DIRECTION('ref_axis',(0.999995383389873,0.,-0.00303861793271969)); #181331=DIRECTION('center_axis',(0.999999999206106,0.,3.98470669486486E-5)); #181332=DIRECTION('ref_axis',(-3.98470669486486E-5,0.,0.999999999206106)); #181333=DIRECTION('',(-3.98470669486486E-5,0.,0.999999999206106)); #181334=DIRECTION('',(0.,-1.,0.)); #181335=DIRECTION('',(-3.98470669486486E-5,0.,0.999999999206106)); #181336=DIRECTION('center_axis',(0.,-1.,0.)); #181337=DIRECTION('ref_axis',(0.394205774634041,0.,0.919022201715049)); #181338=DIRECTION('center_axis',(0.,-1.,0.)); #181339=DIRECTION('ref_axis',(0.394205774634041,0.,0.919022201715049)); #181340=DIRECTION('',(0.,-1.,0.)); #181341=DIRECTION('center_axis',(0.,-1.,0.)); #181342=DIRECTION('ref_axis',(0.394205774634041,0.,0.919022201715049)); #181343=DIRECTION('center_axis',(0.,-1.,0.)); #181344=DIRECTION('ref_axis',(0.979425673148107,0.,-0.201805229809284)); #181345=DIRECTION('center_axis',(0.,-1.,0.)); #181346=DIRECTION('ref_axis',(0.979425673148107,0.,-0.201805229809284)); #181347=DIRECTION('',(0.,-1.,0.)); #181348=DIRECTION('center_axis',(0.,-1.,0.)); #181349=DIRECTION('ref_axis',(0.979425673148107,0.,-0.201805229809284)); #181350=DIRECTION('center_axis',(0.,-1.,0.)); #181351=DIRECTION('ref_axis',(-0.0659326535338195,0.,-0.99782407527479)); #181352=DIRECTION('center_axis',(0.,-1.,0.)); #181353=DIRECTION('ref_axis',(-0.0659326535338195,0.,-0.99782407527479)); #181354=DIRECTION('',(0.,-1.,0.)); #181355=DIRECTION('center_axis',(0.,-1.,0.)); #181356=DIRECTION('ref_axis',(-0.0659326535338195,0.,-0.99782407527479)); #181357=DIRECTION('center_axis',(0.16889641722013,0.,-0.985633806365327)); #181358=DIRECTION('ref_axis',(0.985633806365328,0.,0.16889641722013)); #181359=DIRECTION('',(0.985633806365328,0.,0.16889641722013)); #181360=DIRECTION('',(0.,-1.,0.)); #181361=DIRECTION('',(0.985633806365328,0.,0.16889641722013)); #181362=DIRECTION('center_axis',(0.,-1.,0.)); #181363=DIRECTION('ref_axis',(-0.900781178340102,0.,0.434273265062699)); #181364=DIRECTION('center_axis',(0.,1.,0.)); #181365=DIRECTION('ref_axis',(-0.900781178340102,0.,0.434273265062699)); #181366=DIRECTION('',(0.,-1.,0.)); #181367=DIRECTION('center_axis',(0.,1.,0.)); #181368=DIRECTION('ref_axis',(-0.900781178340102,0.,0.434273265062699)); #181369=DIRECTION('center_axis',(0.,-1.,0.)); #181370=DIRECTION('ref_axis',(-0.804637884855726,0.,-0.593765841266491)); #181371=DIRECTION('center_axis',(0.,1.,0.)); #181372=DIRECTION('ref_axis',(-0.804637884855726,0.,-0.593765841266491)); #181373=DIRECTION('',(0.,-1.,0.)); #181374=DIRECTION('center_axis',(0.,1.,0.)); #181375=DIRECTION('ref_axis',(-0.804637884855726,0.,-0.593765841266491)); #181376=DIRECTION('center_axis',(0.,-1.,0.)); #181377=DIRECTION('ref_axis',(-0.0746136763004378,0.,-0.997212514617087)); #181378=DIRECTION('center_axis',(0.,1.,0.)); #181379=DIRECTION('ref_axis',(-0.0746136763004378,0.,-0.997212514617087)); #181380=DIRECTION('',(0.,-1.,0.)); #181381=DIRECTION('center_axis',(0.,1.,0.)); #181382=DIRECTION('ref_axis',(-0.0746136763004378,0.,-0.997212514617087)); #181383=DIRECTION('center_axis',(0.,-1.,0.)); #181384=DIRECTION('ref_axis',(0.892817293536871,0.,-0.450419005328925)); #181385=DIRECTION('center_axis',(0.,1.,0.)); #181386=DIRECTION('ref_axis',(0.892817293536871,0.,-0.450419005328925)); #181387=DIRECTION('',(0.,-1.,0.)); #181388=DIRECTION('center_axis',(0.,1.,0.)); #181389=DIRECTION('ref_axis',(0.892817293536871,0.,-0.450419005328925)); #181390=DIRECTION('center_axis',(-0.959464555592671,0.,0.28182932168488)); #181391=DIRECTION('ref_axis',(-0.28182932168488,0.,-0.95946455559267)); #181392=DIRECTION('',(-0.28182932168488,0.,-0.95946455559267)); #181393=DIRECTION('',(0.,-1.,0.)); #181394=DIRECTION('',(-0.28182932168488,0.,-0.95946455559267)); #181395=DIRECTION('center_axis',(0.,-1.,0.)); #181396=DIRECTION('ref_axis',(-0.980253626370006,0.,0.197744350079722)); #181397=DIRECTION('center_axis',(0.,-1.,0.)); #181398=DIRECTION('ref_axis',(-0.980253626370006,0.,0.197744350079722)); #181399=DIRECTION('',(0.,-1.,0.)); #181400=DIRECTION('center_axis',(0.,-1.,0.)); #181401=DIRECTION('ref_axis',(-0.980253626370006,0.,0.197744350079722)); #181402=DIRECTION('center_axis',(-0.948948509824268,0.,0.315431015758282)); #181403=DIRECTION('ref_axis',(-0.315431015758282,0.,-0.948948509824268)); #181404=DIRECTION('',(-0.315431015758282,0.,-0.948948509824268)); #181405=DIRECTION('',(0.,-1.,0.)); #181406=DIRECTION('',(-0.315431015758282,0.,-0.948948509824268)); #181407=DIRECTION('center_axis',(-0.912938330243649,0.,0.408097543697507)); #181408=DIRECTION('ref_axis',(-0.408097543697507,0.,-0.912938330243649)); #181409=DIRECTION('',(-0.408097543697507,0.,-0.912938330243649)); #181410=DIRECTION('',(0.,-1.,0.)); #181411=DIRECTION('',(-0.408097543697507,0.,-0.912938330243649)); #181412=DIRECTION('center_axis',(-0.860404028773865,0.,0.509612506979276)); #181413=DIRECTION('ref_axis',(-0.509612506979276,0.,-0.860404028773864)); #181414=DIRECTION('',(-0.509612506979276,0.,-0.860404028773864)); #181415=DIRECTION('',(0.,-1.,0.)); #181416=DIRECTION('',(-0.509612506979276,0.,-0.860404028773864)); #181417=DIRECTION('center_axis',(-0.788068796993337,0.,0.615587175959241)); #181418=DIRECTION('ref_axis',(-0.615587175959241,0.,-0.788068796993337)); #181419=DIRECTION('',(-0.615587175959241,0.,-0.788068796993337)); #181420=DIRECTION('',(0.,-1.,0.)); #181421=DIRECTION('',(-0.615587175959241,0.,-0.788068796993337)); #181422=DIRECTION('center_axis',(-0.709487848499082,0.,0.704717668880342)); #181423=DIRECTION('ref_axis',(-0.704717668880342,0.,-0.709487848499082)); #181424=DIRECTION('',(-0.704717668880342,0.,-0.709487848499082)); #181425=DIRECTION('',(0.,-1.,0.)); #181426=DIRECTION('',(-0.704717668880342,0.,-0.709487848499082)); #181427=DIRECTION('center_axis',(-0.637183307508764,0.,0.770712289140502)); #181428=DIRECTION('ref_axis',(-0.770712289140502,0.,-0.637183307508763)); #181429=DIRECTION('',(-0.770712289140502,0.,-0.637183307508764)); #181430=DIRECTION('',(0.,-1.,0.)); #181431=DIRECTION('',(-0.770712289140502,0.,-0.637183307508763)); #181432=DIRECTION('center_axis',(-0.552460716543099,0.,0.833538935309375)); #181433=DIRECTION('ref_axis',(-0.833538935309375,0.,-0.552460716543099)); #181434=DIRECTION('',(-0.833538935309375,0.,-0.552460716543099)); #181435=DIRECTION('',(0.,-1.,0.)); #181436=DIRECTION('',(-0.833538935309375,0.,-0.552460716543099)); #181437=DIRECTION('center_axis',(-0.4605561232102,0.,0.88763058609626)); #181438=DIRECTION('ref_axis',(-0.88763058609626,0.,-0.4605561232102)); #181439=DIRECTION('',(-0.88763058609626,0.,-0.4605561232102)); #181440=DIRECTION('',(0.,-1.,0.)); #181441=DIRECTION('',(-0.88763058609626,0.,-0.4605561232102)); #181442=DIRECTION('center_axis',(-0.363906325372371,0.,0.931435551368949)); #181443=DIRECTION('ref_axis',(-0.931435551368949,0.,-0.363906325372371)); #181444=DIRECTION('',(-0.931435551368949,0.,-0.363906325372371)); #181445=DIRECTION('',(0.,-1.,0.)); #181446=DIRECTION('',(-0.931435551368949,0.,-0.363906325372371)); #181447=DIRECTION('center_axis',(-0.265184102058474,0.,0.964197797143014)); #181448=DIRECTION('ref_axis',(-0.964197797143014,0.,-0.265184102058474)); #181449=DIRECTION('',(-0.964197797143014,0.,-0.265184102058474)); #181450=DIRECTION('',(0.,-1.,0.)); #181451=DIRECTION('',(-0.964197797143014,0.,-0.265184102058474)); #181452=DIRECTION('center_axis',(-0.167539328705658,0.,0.985865393112497)); #181453=DIRECTION('ref_axis',(-0.985865393112497,0.,-0.167539328705658)); #181454=DIRECTION('',(-0.985865393112497,0.,-0.167539328705658)); #181455=DIRECTION('',(0.,-1.,0.)); #181456=DIRECTION('',(-0.985865393112497,0.,-0.167539328705658)); #181457=DIRECTION('center_axis',(-0.0744792233952597,0.,0.997222565570214)); #181458=DIRECTION('ref_axis',(-0.997222565570214,0.,-0.0744792233952597)); #181459=DIRECTION('',(-0.997222565570214,0.,-0.0744792233952597)); #181460=DIRECTION('',(0.,-1.,0.)); #181461=DIRECTION('',(-0.997222565570214,0.,-0.0744792233952597)); #181462=DIRECTION('center_axis',(0.015166912266197,0.,0.999884975770868)); #181463=DIRECTION('ref_axis',(-0.999884975770868,0.,0.015166912266197)); #181464=DIRECTION('',(-0.999884975770868,0.,0.015166912266197)); #181465=DIRECTION('',(0.,-1.,0.)); #181466=DIRECTION('',(-0.999884975770868,0.,0.015166912266197)); #181467=DIRECTION('center_axis',(0.106996978497942,0.,0.994259345740492)); #181468=DIRECTION('ref_axis',(-0.994259345740492,0.,0.106996978497942)); #181469=DIRECTION('',(-0.994259345740492,0.,0.106996978497942)); #181470=DIRECTION('',(0.,-1.,0.)); #181471=DIRECTION('',(-0.994259345740492,0.,0.106996978497942)); #181472=DIRECTION('center_axis',(0.205867494735387,0.,0.978579876459441)); #181473=DIRECTION('ref_axis',(-0.978579876459441,0.,0.205867494735387)); #181474=DIRECTION('',(-0.978579876459441,0.,0.205867494735387)); #181475=DIRECTION('',(0.,-1.,0.)); #181476=DIRECTION('',(-0.978579876459441,0.,0.205867494735387)); #181477=DIRECTION('center_axis',(0.308765982501318,0.,0.951138038378234)); #181478=DIRECTION('ref_axis',(-0.951138038378234,0.,0.308765982501318)); #181479=DIRECTION('',(-0.951138038378234,0.,0.308765982501318)); #181480=DIRECTION('',(0.,-1.,0.)); #181481=DIRECTION('',(-0.951138038378234,0.,0.308765982501318)); #181482=DIRECTION('center_axis',(0.412590312061244,0.,0.910916700029814)); #181483=DIRECTION('ref_axis',(-0.910916700029814,0.,0.412590312061244)); #181484=DIRECTION('',(-0.910916700029814,0.,0.412590312061244)); #181485=DIRECTION('',(0.,-1.,0.)); #181486=DIRECTION('',(-0.910916700029814,0.,0.412590312061244)); #181487=DIRECTION('center_axis',(0.513459026660119,0.,0.858114111258662)); #181488=DIRECTION('ref_axis',(-0.858114111258662,0.,0.513459026660119)); #181489=DIRECTION('',(-0.858114111258662,0.,0.513459026660119)); #181490=DIRECTION('',(0.,-1.,0.)); #181491=DIRECTION('',(-0.858114111258662,0.,0.513459026660119)); #181492=DIRECTION('center_axis',(0.608087243510129,0.,0.793870206192582)); #181493=DIRECTION('ref_axis',(-0.793870206192582,0.,0.608087243510129)); #181494=DIRECTION('',(-0.793870206192582,0.,0.608087243510129)); #181495=DIRECTION('',(0.,-1.,0.)); #181496=DIRECTION('',(-0.793870206192582,0.,0.608087243510129)); #181497=DIRECTION('center_axis',(0.692560694944154,0.,0.721359607836806)); #181498=DIRECTION('ref_axis',(-0.721359607836806,0.,0.692560694944154)); #181499=DIRECTION('',(-0.721359607836806,0.,0.692560694944154)); #181500=DIRECTION('',(0.,-1.,0.)); #181501=DIRECTION('',(-0.721359607836806,0.,0.692560694944154)); #181502=DIRECTION('center_axis',(0.75973019917583,0.,0.650238436621716)); #181503=DIRECTION('ref_axis',(-0.650238436621716,0.,0.75973019917583)); #181504=DIRECTION('',(-0.650238436621716,0.,0.75973019917583)); #181505=DIRECTION('',(0.,-1.,0.)); #181506=DIRECTION('',(-0.650238436621716,0.,0.75973019917583)); #181507=DIRECTION('center_axis',(0.839021822485435,0.,0.544097768230323)); #181508=DIRECTION('ref_axis',(-0.544097768230323,0.,0.839021822485435)); #181509=DIRECTION('',(-0.544097768230323,0.,0.839021822485435)); #181510=DIRECTION('',(0.,-1.,0.)); #181511=DIRECTION('',(-0.544097768230323,0.,0.839021822485435)); #181512=DIRECTION('center_axis',(0.,-1.,0.)); #181513=DIRECTION('ref_axis',(0.976314407373589,0.,-0.216356599055256)); #181514=DIRECTION('center_axis',(0.,-1.,0.)); #181515=DIRECTION('ref_axis',(0.976314407373589,0.,-0.216356599055256)); #181516=DIRECTION('',(0.,-1.,0.)); #181517=DIRECTION('center_axis',(0.,-1.,0.)); #181518=DIRECTION('ref_axis',(0.976314407373589,0.,-0.216356599055256)); #181519=DIRECTION('center_axis',(0.97971281008077,0.,-0.200406611077679)); #181520=DIRECTION('ref_axis',(0.200406611077679,0.,0.97971281008077)); #181521=DIRECTION('',(0.200406611077679,0.,0.97971281008077)); #181522=DIRECTION('',(0.,-1.,0.)); #181523=DIRECTION('',(0.200406611077679,0.,0.97971281008077)); #181524=DIRECTION('center_axis',(0.958363999408914,0.,-0.285549373378669)); #181525=DIRECTION('ref_axis',(0.285549373378669,0.,0.958363999408914)); #181526=DIRECTION('',(0.285549373378669,0.,0.958363999408914)); #181527=DIRECTION('',(0.,-1.,0.)); #181528=DIRECTION('',(0.285549373378669,0.,0.958363999408914)); #181529=DIRECTION('center_axis',(0.923796018360575,0.,-0.382884991167254)); #181530=DIRECTION('ref_axis',(0.382884991167254,0.,0.923796018360575)); #181531=DIRECTION('',(0.382884991167254,0.,0.923796018360575)); #181532=DIRECTION('',(0.,-1.,0.)); #181533=DIRECTION('',(0.382884991167254,0.,0.923796018360575)); #181534=DIRECTION('center_axis',(0.871234104552608,0.,-0.49086773683388)); #181535=DIRECTION('ref_axis',(0.49086773683388,0.,0.871234104552608)); #181536=DIRECTION('',(0.49086773683388,0.,0.871234104552608)); #181537=DIRECTION('',(0.,-1.,0.)); #181538=DIRECTION('',(0.49086773683388,0.,0.871234104552608)); #181539=DIRECTION('center_axis',(0.795828694120924,0.,-0.605521832483177)); #181540=DIRECTION('ref_axis',(0.605521832483177,0.,0.795828694120924)); #181541=DIRECTION('',(0.605521832483177,0.,0.795828694120924)); #181542=DIRECTION('',(0.,-1.,0.)); #181543=DIRECTION('',(0.605521832483177,0.,0.795828694120924)); #181544=DIRECTION('center_axis',(0.,-1.,0.)); #181545=DIRECTION('ref_axis',(0.650241481104809,0.,-0.759727593450853)); #181546=DIRECTION('center_axis',(0.,-1.,0.)); #181547=DIRECTION('ref_axis',(0.650241481104809,0.,-0.759727593450853)); #181548=DIRECTION('',(0.,-1.,0.)); #181549=DIRECTION('center_axis',(0.,-1.,0.)); #181550=DIRECTION('ref_axis',(0.650241481104809,0.,-0.759727593450853)); #181551=DIRECTION('center_axis',(0.55847961915645,0.,-0.829518242708903)); #181552=DIRECTION('ref_axis',(0.829518242708903,0.,0.55847961915645)); #181553=DIRECTION('',(0.829518242708903,0.,0.55847961915645)); #181554=DIRECTION('',(0.,-1.,0.)); #181555=DIRECTION('',(0.829518242708903,0.,0.55847961915645)); #181556=DIRECTION('center_axis',(0.46034996456922,0.,-0.887737523213432)); #181557=DIRECTION('ref_axis',(0.887737523213432,0.,0.46034996456922)); #181558=DIRECTION('',(0.887737523213432,0.,0.46034996456922)); #181559=DIRECTION('',(0.,-1.,0.)); #181560=DIRECTION('',(0.887737523213432,0.,0.46034996456922)); #181561=DIRECTION('center_axis',(0.357728165507417,0.,-0.933825765120399)); #181562=DIRECTION('ref_axis',(0.933825765120399,0.,0.357728165507417)); #181563=DIRECTION('',(0.933825765120399,0.,0.357728165507417)); #181564=DIRECTION('',(0.,-1.,0.)); #181565=DIRECTION('',(0.933825765120399,0.,0.357728165507417)); #181566=DIRECTION('center_axis',(0.254048090500134,0.,-0.967191587904504)); #181567=DIRECTION('ref_axis',(0.967191587904504,0.,0.254048090500134)); #181568=DIRECTION('',(0.967191587904504,0.,0.254048090500134)); #181569=DIRECTION('',(0.,-1.,0.)); #181570=DIRECTION('',(0.967191587904504,0.,0.254048090500134)); #181571=DIRECTION('center_axis',(0.152525815691044,0.,-0.988299486768956)); #181572=DIRECTION('ref_axis',(0.988299486768956,0.,0.152525815691044)); #181573=DIRECTION('',(0.988299486768956,0.,0.152525815691044)); #181574=DIRECTION('',(0.,-1.,0.)); #181575=DIRECTION('',(0.988299486768956,0.,0.152525815691044)); #181576=DIRECTION('center_axis',(0.0568627345387627,0.,-0.998382005757703)); #181577=DIRECTION('ref_axis',(0.998382005757703,0.,0.0568627345387627)); #181578=DIRECTION('',(0.998382005757703,0.,0.0568627345387627)); #181579=DIRECTION('',(0.,-1.,0.)); #181580=DIRECTION('',(0.998382005757703,0.,0.0568627345387627)); #181581=DIRECTION('center_axis',(-0.0336395880574619,0.,-0.999434028896017)); #181582=DIRECTION('ref_axis',(0.999434028896017,0.,-0.0336395880574619)); #181583=DIRECTION('',(0.999434028896017,0.,-0.0336395880574619)); #181584=DIRECTION('',(0.,-1.,0.)); #181585=DIRECTION('',(0.999434028896017,0.,-0.0336395880574619)); #181586=DIRECTION('center_axis',(-0.128308996887187,0.,-0.991734239258585)); #181587=DIRECTION('ref_axis',(0.991734239258585,0.,-0.128308996887187)); #181588=DIRECTION('',(0.991734239258585,0.,-0.128308996887187)); #181589=DIRECTION('',(0.,-1.,0.)); #181590=DIRECTION('',(0.991734239258585,0.,-0.128308996887187)); #181591=DIRECTION('center_axis',(-0.228475195235686,0.,-0.973549734303295)); #181592=DIRECTION('ref_axis',(0.973549734303295,0.,-0.228475195235686)); #181593=DIRECTION('',(0.973549734303295,0.,-0.228475195235686)); #181594=DIRECTION('',(0.,-1.,0.)); #181595=DIRECTION('',(0.973549734303295,0.,-0.228475195235686)); #181596=DIRECTION('center_axis',(-0.331598147093068,0.,-0.943420727376945)); #181597=DIRECTION('ref_axis',(0.943420727376945,0.,-0.331598147093068)); #181598=DIRECTION('',(0.943420727376945,0.,-0.331598147093068)); #181599=DIRECTION('',(0.,-1.,0.)); #181600=DIRECTION('',(0.943420727376945,0.,-0.331598147093068)); #181601=DIRECTION('center_axis',(-0.434039459164154,0.,-0.900893860501052)); #181602=DIRECTION('ref_axis',(0.900893860501052,0.,-0.434039459164154)); #181603=DIRECTION('',(0.900893860501052,0.,-0.434039459164154)); #181604=DIRECTION('',(0.,-1.,0.)); #181605=DIRECTION('',(0.900893860501052,0.,-0.434039459164154)); #181606=DIRECTION('center_axis',(-0.532584416285767,0.,-0.846376889765752)); #181607=DIRECTION('ref_axis',(0.846376889765752,0.,-0.532584416285767)); #181608=DIRECTION('',(0.846376889765752,0.,-0.532584416285767)); #181609=DIRECTION('',(0.,-1.,0.)); #181610=DIRECTION('',(0.846376889765752,0.,-0.532584416285767)); #181611=DIRECTION('center_axis',(-0.624368469202127,0.,-0.781129960164243)); #181612=DIRECTION('ref_axis',(0.781129960164243,0.,-0.624368469202127)); #181613=DIRECTION('',(0.781129960164243,0.,-0.624368469202127)); #181614=DIRECTION('',(0.,-1.,0.)); #181615=DIRECTION('',(0.781129960164243,0.,-0.624368469202127)); #181616=DIRECTION('center_axis',(-0.705755399297448,0.,-0.708455585313928)); #181617=DIRECTION('ref_axis',(0.708455585313928,0.,-0.705755399297448)); #181618=DIRECTION('',(0.708455585313928,0.,-0.705755399297448)); #181619=DIRECTION('',(0.,-1.,0.)); #181620=DIRECTION('',(0.708455585313928,0.,-0.705755399297448)); #181621=DIRECTION('center_axis',(-0.785053463646691,0.,-0.619428009712456)); #181622=DIRECTION('ref_axis',(0.619428009712456,0.,-0.785053463646691)); #181623=DIRECTION('',(0.619428009712456,0.,-0.785053463646691)); #181624=DIRECTION('',(0.,-1.,0.)); #181625=DIRECTION('',(0.619428009712456,0.,-0.785053463646691)); #181626=DIRECTION('center_axis',(-0.860338008374838,0.,-0.509723956024845)); #181627=DIRECTION('ref_axis',(0.509723956024845,0.,-0.860338008374838)); #181628=DIRECTION('',(0.509723956024845,0.,-0.860338008374838)); #181629=DIRECTION('',(0.,-1.,0.)); #181630=DIRECTION('',(0.509723956024845,0.,-0.860338008374838)); #181631=DIRECTION('center_axis',(-0.914786317264591,0.,-0.403938106330025)); #181632=DIRECTION('ref_axis',(0.403938106330025,0.,-0.914786317264591)); #181633=DIRECTION('',(0.403938106330025,0.,-0.914786317264591)); #181634=DIRECTION('',(0.,-1.,0.)); #181635=DIRECTION('',(0.403938106330025,0.,-0.914786317264591)); #181636=DIRECTION('center_axis',(-0.951664721778009,0.,-0.307138824187346)); #181637=DIRECTION('ref_axis',(0.307138824187346,0.,-0.951664721778009)); #181638=DIRECTION('',(0.307138824187346,0.,-0.951664721778009)); #181639=DIRECTION('',(0.,-1.,0.)); #181640=DIRECTION('',(0.307138824187346,0.,-0.951664721778009)); #181641=DIRECTION('center_axis',(0.,-1.,0.)); #181642=DIRECTION('ref_axis',(-0.99120811324003,0.,-0.132312041202376)); #181643=DIRECTION('center_axis',(0.,-1.,0.)); #181644=DIRECTION('ref_axis',(-0.99120811324003,0.,-0.132312041202376)); #181645=DIRECTION('',(0.,-1.,0.)); #181646=DIRECTION('center_axis',(0.,-1.,0.)); #181647=DIRECTION('ref_axis',(-0.99120811324003,0.,-0.132312041202376)); #181648=DIRECTION('center_axis',(0.,-1.,0.)); #181649=DIRECTION('ref_axis',(-0.999918289177466,0.,0.0127833864218159)); #181650=DIRECTION('center_axis',(0.,-1.,0.)); #181651=DIRECTION('ref_axis',(-0.999918289177466,0.,0.0127833864218159)); #181652=DIRECTION('',(0.,-1.,0.)); #181653=DIRECTION('center_axis',(0.,-1.,0.)); #181654=DIRECTION('ref_axis',(-0.999918289177466,0.,0.0127833864218159)); #181655=DIRECTION('center_axis',(-0.999999999414949,0.,-3.42067455701857E-5)); #181656=DIRECTION('ref_axis',(3.42067455701857E-5,0.,-0.999999999414949)); #181657=DIRECTION('',(3.42067455701857E-5,0.,-0.999999999414949)); #181658=DIRECTION('',(0.,-1.,0.)); #181659=DIRECTION('',(3.42067455701857E-5,0.,-0.999999999414949)); #181660=DIRECTION('center_axis',(0.,-1.,0.)); #181661=DIRECTION('ref_axis',(0.115153318398242,0.,-0.993347730284754)); #181662=DIRECTION('center_axis',(0.,-1.,0.)); #181663=DIRECTION('ref_axis',(0.115153318398242,0.,-0.993347730284754)); #181664=DIRECTION('',(0.,-1.,0.)); #181665=DIRECTION('center_axis',(0.,-1.,0.)); #181666=DIRECTION('ref_axis',(0.115153318398242,0.,-0.993347730284754)); #181667=DIRECTION('center_axis',(0.,-1.,0.)); #181668=DIRECTION('ref_axis',(-0.957546991585043,0.,-0.28827722578524)); #181669=DIRECTION('center_axis',(0.,-1.,0.)); #181670=DIRECTION('ref_axis',(-0.957546991585043,0.,-0.28827722578524)); #181671=DIRECTION('',(0.,-1.,0.)); #181672=DIRECTION('center_axis',(0.,-1.,0.)); #181673=DIRECTION('ref_axis',(-0.957546991585043,0.,-0.28827722578524)); #181674=DIRECTION('center_axis',(0.,-1.,0.)); #181675=DIRECTION('ref_axis',(-0.803082606769022,0.,0.595867709063911)); #181676=DIRECTION('center_axis',(0.,-1.,0.)); #181677=DIRECTION('ref_axis',(-0.803082606769022,0.,0.595867709063911)); #181678=DIRECTION('',(0.,-1.,0.)); #181679=DIRECTION('center_axis',(0.,-1.,0.)); #181680=DIRECTION('ref_axis',(-0.803082606769022,0.,0.595867709063911)); #181681=DIRECTION('center_axis',(0.,-1.,0.)); #181682=DIRECTION('ref_axis',(-0.0914525877074913,0.,0.995809431669335)); #181683=DIRECTION('center_axis',(0.,-1.,0.)); #181684=DIRECTION('ref_axis',(-0.0914525877074913,0.,0.995809431669335)); #181685=DIRECTION('',(0.,-1.,0.)); #181686=DIRECTION('center_axis',(0.,-1.,0.)); #181687=DIRECTION('ref_axis',(-0.0914525877074913,0.,0.995809431669335)); #181688=DIRECTION('center_axis',(-0.250079458294604,0.,0.968225317030637)); #181689=DIRECTION('ref_axis',(-0.968225317030637,0.,-0.250079458294604)); #181690=DIRECTION('',(-0.968225317030637,0.,-0.250079458294604)); #181691=DIRECTION('',(0.,-1.,0.)); #181692=DIRECTION('',(-0.968225317030637,0.,-0.250079458294604)); #181693=DIRECTION('center_axis',(0.,-1.,0.)); #181694=DIRECTION('ref_axis',(0.197634158059733,0.,-0.980275848711994)); #181695=DIRECTION('center_axis',(0.,1.,0.)); #181696=DIRECTION('ref_axis',(0.197634158059733,0.,-0.980275848711994)); #181697=DIRECTION('',(0.,-1.,0.)); #181698=DIRECTION('center_axis',(0.,1.,0.)); #181699=DIRECTION('ref_axis',(0.197634158059733,0.,-0.980275848711994)); #181700=DIRECTION('center_axis',(0.,-1.,0.)); #181701=DIRECTION('ref_axis',(0.98366485494598,0.,-0.180009591811393)); #181702=DIRECTION('center_axis',(0.,1.,0.)); #181703=DIRECTION('ref_axis',(0.98366485494598,0.,-0.180009591811393)); #181704=DIRECTION('',(0.,-1.,0.)); #181705=DIRECTION('center_axis',(0.,1.,0.)); #181706=DIRECTION('ref_axis',(0.98366485494598,0.,-0.180009591811393)); #181707=DIRECTION('center_axis',(0.,-1.,0.)); #181708=DIRECTION('ref_axis',(0.634183372457787,0.,0.773182675761729)); #181709=DIRECTION('center_axis',(0.,1.,0.)); #181710=DIRECTION('ref_axis',(0.634183372457787,0.,0.773182675761729)); #181711=DIRECTION('',(0.,-1.,0.)); #181712=DIRECTION('center_axis',(0.,1.,0.)); #181713=DIRECTION('ref_axis',(0.634183372457787,0.,0.773182675761729)); #181714=DIRECTION('center_axis',(0.,-1.,0.)); #181715=DIRECTION('ref_axis',(-0.19952541911743,0.,0.979892650817432)); #181716=DIRECTION('center_axis',(0.,1.,0.)); #181717=DIRECTION('ref_axis',(-0.19952541911743,0.,0.979892650817432)); #181718=DIRECTION('center_axis',(0.,1.,0.)); #181719=DIRECTION('ref_axis',(-0.19952541911743,0.,0.979892650817432)); #181720=DIRECTION('center_axis',(0.,1.,0.)); #181721=DIRECTION('ref_axis',(1.,0.,0.)); #181722=DIRECTION('center_axis',(0.,-1.,0.)); #181723=DIRECTION('ref_axis',(0.961397534156453,0.,0.275163190339644)); #181724=DIRECTION('center_axis',(0.,1.,0.)); #181725=DIRECTION('ref_axis',(0.961397534156453,0.,0.275163190339644)); #181726=DIRECTION('',(0.,-1.,0.)); #181727=DIRECTION('center_axis',(0.,1.,0.)); #181728=DIRECTION('ref_axis',(0.961397534156453,0.,0.275163190339644)); #181729=DIRECTION('',(0.,-1.,0.)); #181730=DIRECTION('center_axis',(0.,-1.,0.)); #181731=DIRECTION('ref_axis',(0.0187011739323212,0.,0.999825117754877)); #181732=DIRECTION('center_axis',(0.,1.,0.)); #181733=DIRECTION('ref_axis',(0.0187011739323212,0.,0.999825117754877)); #181734=DIRECTION('',(0.,-1.,0.)); #181735=DIRECTION('center_axis',(0.,1.,0.)); #181736=DIRECTION('ref_axis',(0.0187011739323212,0.,0.999825117754877)); #181737=DIRECTION('center_axis',(0.,-1.,0.)); #181738=DIRECTION('ref_axis',(-0.854222910757686,0.,0.519906932764573)); #181739=DIRECTION('center_axis',(0.,1.,0.)); #181740=DIRECTION('ref_axis',(-0.854222910757686,0.,0.519906932764573)); #181741=DIRECTION('',(0.,-1.,0.)); #181742=DIRECTION('center_axis',(0.,1.,0.)); #181743=DIRECTION('ref_axis',(-0.854222910757686,0.,0.519906932764573)); #181744=DIRECTION('center_axis',(0.,-1.,0.)); #181745=DIRECTION('ref_axis',(-0.964338825975228,0.,-0.264670793093455)); #181746=DIRECTION('center_axis',(0.,1.,0.)); #181747=DIRECTION('ref_axis',(-0.964338825975228,0.,-0.264670793093455)); #181748=DIRECTION('',(0.,-1.,0.)); #181749=DIRECTION('center_axis',(0.,1.,0.)); #181750=DIRECTION('ref_axis',(-0.964338825975228,0.,-0.264670793093455)); #181751=DIRECTION('center_axis',(0.,-1.,0.)); #181752=DIRECTION('ref_axis',(-0.145550614202032,0.,-0.989350806693668)); #181753=DIRECTION('center_axis',(0.,1.,0.)); #181754=DIRECTION('ref_axis',(-0.145550614202032,0.,-0.989350806693668)); #181755=DIRECTION('',(0.,-1.,0.)); #181756=DIRECTION('center_axis',(0.,1.,0.)); #181757=DIRECTION('ref_axis',(-0.145550614202032,0.,-0.989350806693668)); #181758=DIRECTION('center_axis',(0.,-1.,0.)); #181759=DIRECTION('ref_axis',(0.76424174358813,0.,-0.644929885613448)); #181760=DIRECTION('center_axis',(0.,1.,0.)); #181761=DIRECTION('ref_axis',(0.76424174358813,0.,-0.644929885613448)); #181762=DIRECTION('',(0.,-1.,0.)); #181763=DIRECTION('center_axis',(0.,1.,0.)); #181764=DIRECTION('ref_axis',(0.76424174358813,0.,-0.644929885613448)); #181765=DIRECTION('center_axis',(0.,-1.,0.)); #181766=DIRECTION('ref_axis',(0.994654738283597,0.,0.103256726705764)); #181767=DIRECTION('center_axis',(0.,1.,0.)); #181768=DIRECTION('ref_axis',(0.994654738283597,0.,0.103256726705764)); #181769=DIRECTION('center_axis',(0.,1.,0.)); #181770=DIRECTION('ref_axis',(0.994654738283597,0.,0.103256726705764)); #181771=DIRECTION('center_axis',(0.,-1.,0.)); #181772=DIRECTION('ref_axis',(0.237508391142092,0.,0.971385486888236)); #181773=DIRECTION('center_axis',(0.,-1.,0.)); #181774=DIRECTION('ref_axis',(0.237508391142092,0.,0.971385486888236)); #181775=DIRECTION('',(0.,-1.,0.)); #181776=DIRECTION('center_axis',(0.,-1.,0.)); #181777=DIRECTION('ref_axis',(0.237508391142092,0.,0.971385486888236)); #181778=DIRECTION('',(0.,-1.,0.)); #181779=DIRECTION('center_axis',(0.,-1.,0.)); #181780=DIRECTION('ref_axis',(0.997647357558797,0.,-0.0685547223460892)); #181781=DIRECTION('center_axis',(0.,-1.,0.)); #181782=DIRECTION('ref_axis',(0.997647357558797,0.,-0.0685547223460892)); #181783=DIRECTION('',(0.,-1.,0.)); #181784=DIRECTION('center_axis',(0.,-1.,0.)); #181785=DIRECTION('ref_axis',(0.997647357558797,0.,-0.0685547223460892)); #181786=DIRECTION('center_axis',(0.,-1.,0.)); #181787=DIRECTION('ref_axis',(0.866988690475986,0.,-0.498327814381993)); #181788=DIRECTION('center_axis',(0.,-1.,0.)); #181789=DIRECTION('ref_axis',(0.866988690475986,0.,-0.498327814381993)); #181790=DIRECTION('',(0.,-1.,0.)); #181791=DIRECTION('center_axis',(0.,-1.,0.)); #181792=DIRECTION('ref_axis',(0.866988690475986,0.,-0.498327814381993)); #181793=DIRECTION('center_axis',(0.,-1.,0.)); #181794=DIRECTION('ref_axis',(-0.281915403159492,0.,-0.95943926616614)); #181795=DIRECTION('center_axis',(0.,-1.,0.)); #181796=DIRECTION('ref_axis',(-0.281915403159492,0.,-0.95943926616614)); #181797=DIRECTION('',(0.,-1.,0.)); #181798=DIRECTION('center_axis',(0.,-1.,0.)); #181799=DIRECTION('ref_axis',(-0.281915403159492,0.,-0.95943926616614)); #181800=DIRECTION('center_axis',(0.,-1.,0.)); #181801=DIRECTION('ref_axis',(-0.945558488337605,0.,-0.325452216358567)); #181802=DIRECTION('center_axis',(0.,-1.,0.)); #181803=DIRECTION('ref_axis',(-0.945558488337605,0.,-0.325452216358567)); #181804=DIRECTION('',(0.,-1.,0.)); #181805=DIRECTION('center_axis',(0.,-1.,0.)); #181806=DIRECTION('ref_axis',(-0.945558488337605,0.,-0.325452216358567)); #181807=DIRECTION('center_axis',(0.,-1.,0.)); #181808=DIRECTION('ref_axis',(-0.883770922027811,0.,0.467919819390152)); #181809=DIRECTION('center_axis',(0.,-1.,0.)); #181810=DIRECTION('ref_axis',(-0.883770922027811,0.,0.467919819390152)); #181811=DIRECTION('center_axis',(0.,-1.,0.)); #181812=DIRECTION('ref_axis',(-0.883770922027811,0.,0.467919819390152)); #181813=DIRECTION('center_axis',(0.,1.,0.)); #181814=DIRECTION('ref_axis',(1.,0.,0.)); #181815=DIRECTION('center_axis',(0.,-1.,0.)); #181816=DIRECTION('ref_axis',(-0.329877540702687,0.,0.944023732826642)); #181817=DIRECTION('center_axis',(0.,-1.,0.)); #181818=DIRECTION('ref_axis',(-0.329877540702687,0.,0.944023732826642)); #181819=DIRECTION('',(0.,-1.,0.)); #181820=DIRECTION('center_axis',(0.,-1.,0.)); #181821=DIRECTION('ref_axis',(-0.329877540702687,0.,0.944023732826642)); #181822=DIRECTION('',(0.,-1.,0.)); #181823=DIRECTION('center_axis',(0.,-1.,0.)); #181824=DIRECTION('ref_axis',(0.950872536676407,0.,0.309582652929029)); #181825=DIRECTION('center_axis',(0.,-1.,0.)); #181826=DIRECTION('ref_axis',(0.950872536676407,0.,0.309582652929029)); #181827=DIRECTION('',(0.,-1.,0.)); #181828=DIRECTION('center_axis',(0.,-1.,0.)); #181829=DIRECTION('ref_axis',(0.950872536676407,0.,0.309582652929029)); #181830=DIRECTION('center_axis',(0.999999377828295,0.,0.00111550124298478)); #181831=DIRECTION('ref_axis',(-0.00111550124298478,0.,0.999999377828295)); #181832=DIRECTION('',(-0.00111550124298478,0.,0.999999377828295)); #181833=DIRECTION('',(0.,-1.,0.)); #181834=DIRECTION('',(-0.00111550124298478,0.,0.999999377828295)); #181835=DIRECTION('center_axis',(0.,-1.,0.)); #181836=DIRECTION('ref_axis',(-0.990107490462132,0.,0.140310930902692)); #181837=DIRECTION('center_axis',(0.,1.,0.)); #181838=DIRECTION('ref_axis',(-0.990107490462132,0.,0.140310930902692)); #181839=DIRECTION('',(0.,-1.,0.)); #181840=DIRECTION('center_axis',(0.,1.,0.)); #181841=DIRECTION('ref_axis',(-0.990107490462132,0.,0.140310930902692)); #181842=DIRECTION('center_axis',(0.,-1.,0.)); #181843=DIRECTION('ref_axis',(-0.107654891460605,0.,-0.994188324385579)); #181844=DIRECTION('center_axis',(0.,1.,0.)); #181845=DIRECTION('ref_axis',(-0.107654891460605,0.,-0.994188324385579)); #181846=DIRECTION('',(0.,-1.,0.)); #181847=DIRECTION('center_axis',(0.,1.,0.)); #181848=DIRECTION('ref_axis',(-0.107654891460605,0.,-0.994188324385579)); #181849=DIRECTION('center_axis',(0.570195202520277,0.,0.821509239767187)); #181850=DIRECTION('ref_axis',(-0.821509239767187,0.,0.570195202520277)); #181851=DIRECTION('',(-0.821509239767187,0.,0.570195202520277)); #181852=DIRECTION('',(0.,-1.,0.)); #181853=DIRECTION('',(-0.821509239767187,0.,0.570195202520276)); #181854=DIRECTION('center_axis',(0.744785890864049,0.,0.667303511731989)); #181855=DIRECTION('ref_axis',(-0.667303511731989,0.,0.744785890864049)); #181856=DIRECTION('',(-0.667303511731989,0.,0.744785890864049)); #181857=DIRECTION('',(0.,-1.,0.)); #181858=DIRECTION('',(-0.667303511731989,0.,0.744785890864049)); #181859=DIRECTION('center_axis',(0.873440536704012,0.,0.486930825520635)); #181860=DIRECTION('ref_axis',(-0.486930825520635,0.,0.873440536704012)); #181861=DIRECTION('',(-0.486930825520635,0.,0.873440536704012)); #181862=DIRECTION('',(0.,-1.,0.)); #181863=DIRECTION('',(-0.486930825520635,0.,0.873440536704012)); #181864=DIRECTION('center_axis',(0.,-1.,0.)); #181865=DIRECTION('ref_axis',(0.946861839615821,0.,0.321640570636454)); #181866=DIRECTION('center_axis',(0.,-1.,0.)); #181867=DIRECTION('ref_axis',(0.946861839615821,0.,0.321640570636454)); #181868=DIRECTION('',(0.,-1.,0.)); #181869=DIRECTION('center_axis',(0.,-1.,0.)); #181870=DIRECTION('ref_axis',(0.946861839615821,0.,0.321640570636454)); #181871=DIRECTION('center_axis',(0.521078238115757,0.,-0.853508916041407)); #181872=DIRECTION('ref_axis',(0.853508916041407,0.,0.521078238115757)); #181873=DIRECTION('',(0.853508916041407,0.,0.521078238115757)); #181874=DIRECTION('',(0.,-1.,0.)); #181875=DIRECTION('',(0.853508916041407,0.,0.521078238115757)); #181876=DIRECTION('center_axis',(0.296429535716846,0.,-0.955054726366346)); #181877=DIRECTION('ref_axis',(0.955054726366346,0.,0.296429535716846)); #181878=DIRECTION('',(0.955054726366346,0.,0.296429535716846)); #181879=DIRECTION('',(0.,-1.,0.)); #181880=DIRECTION('',(0.955054726366346,0.,0.296429535716846)); #181881=DIRECTION('center_axis',(0.,-1.,0.)); #181882=DIRECTION('ref_axis',(0.137606098445711,0.,-0.990487032560522)); #181883=DIRECTION('center_axis',(0.,-1.,0.)); #181884=DIRECTION('ref_axis',(0.137606098445711,0.,-0.990487032560522)); #181885=DIRECTION('',(0.,-1.,0.)); #181886=DIRECTION('center_axis',(0.,-1.,0.)); #181887=DIRECTION('ref_axis',(0.137606098445711,0.,-0.990487032560522)); #181888=DIRECTION('center_axis',(0.,-1.,0.)); #181889=DIRECTION('ref_axis',(-0.490171804111857,0.,-0.871625838564764)); #181890=DIRECTION('center_axis',(0.,-1.,0.)); #181891=DIRECTION('ref_axis',(-0.490171804111857,0.,-0.871625838564764)); #181892=DIRECTION('',(0.,-1.,0.)); #181893=DIRECTION('center_axis',(0.,-1.,0.)); #181894=DIRECTION('ref_axis',(-0.490171804111857,0.,-0.871625838564764)); #181895=DIRECTION('center_axis',(0.,-1.,0.)); #181896=DIRECTION('ref_axis',(-0.999993030562624,0.,0.00373347374162318)); #181897=DIRECTION('center_axis',(0.,1.,0.)); #181898=DIRECTION('ref_axis',(-0.999993030562624,0.,0.00373347374162318)); #181899=DIRECTION('',(0.,-1.,0.)); #181900=DIRECTION('center_axis',(0.,1.,0.)); #181901=DIRECTION('ref_axis',(-0.999993030562624,0.,0.00373347374162318)); #181902=DIRECTION('center_axis',(0.999994021812494,0.,0.00345779398957737)); #181903=DIRECTION('ref_axis',(-0.00345779398957737,0.,0.999994021812494)); #181904=DIRECTION('',(-0.00345779398957737,0.,0.999994021812494)); #181905=DIRECTION('',(0.,-1.,0.)); #181906=DIRECTION('',(-0.00345779398957737,0.,0.999994021812493)); #181907=DIRECTION('center_axis',(0.,-1.,0.)); #181908=DIRECTION('ref_axis',(0.94677143612438,0.,0.32190658231695)); #181909=DIRECTION('center_axis',(0.,-1.,0.)); #181910=DIRECTION('ref_axis',(0.94677143612438,0.,0.32190658231695)); #181911=DIRECTION('',(0.,-1.,0.)); #181912=DIRECTION('center_axis',(0.,-1.,0.)); #181913=DIRECTION('ref_axis',(0.94677143612438,0.,0.32190658231695)); #181914=DIRECTION('center_axis',(0.,-1.,0.)); #181915=DIRECTION('ref_axis',(0.892093338998871,0.,-0.451851164116953)); #181916=DIRECTION('center_axis',(0.,-1.,0.)); #181917=DIRECTION('ref_axis',(0.892093338998871,0.,-0.451851164116953)); #181918=DIRECTION('',(0.,-1.,0.)); #181919=DIRECTION('center_axis',(0.,-1.,0.)); #181920=DIRECTION('ref_axis',(0.892093338998871,0.,-0.451851164116953)); #181921=DIRECTION('center_axis',(0.,-1.,0.)); #181922=DIRECTION('ref_axis',(0.273702386887006,0.,-0.961814432940344)); #181923=DIRECTION('center_axis',(0.,-1.,0.)); #181924=DIRECTION('ref_axis',(0.273702386887006,0.,-0.961814432940344)); #181925=DIRECTION('',(0.,-1.,0.)); #181926=DIRECTION('center_axis',(0.,-1.,0.)); #181927=DIRECTION('ref_axis',(0.273702386887006,0.,-0.961814432940344)); #181928=DIRECTION('center_axis',(-0.619095413972212,0.,-0.785315776231813)); #181929=DIRECTION('ref_axis',(0.785315776231813,0.,-0.619095413972212)); #181930=DIRECTION('',(0.785315776231813,0.,-0.619095413972212)); #181931=DIRECTION('',(0.,-1.,0.)); #181932=DIRECTION('',(0.785315776231813,0.,-0.619095413972212)); #181933=DIRECTION('center_axis',(-0.71538386077525,0.,-0.698731659324449)); #181934=DIRECTION('ref_axis',(0.698731659324449,0.,-0.71538386077525)); #181935=DIRECTION('',(0.698731659324449,0.,-0.71538386077525)); #181936=DIRECTION('',(0.,-1.,0.)); #181937=DIRECTION('',(0.698731659324449,0.,-0.71538386077525)); #181938=DIRECTION('center_axis',(-0.803112159132199,0.,-0.595827877707998)); #181939=DIRECTION('ref_axis',(0.595827877707998,0.,-0.803112159132199)); #181940=DIRECTION('',(0.595827877707998,0.,-0.803112159132199)); #181941=DIRECTION('',(0.,-1.,0.)); #181942=DIRECTION('',(0.595827877707998,0.,-0.803112159132199)); #181943=DIRECTION('center_axis',(0.,-1.,0.)); #181944=DIRECTION('ref_axis',(-0.863997647596731,0.,-0.503495844021889)); #181945=DIRECTION('center_axis',(0.,-1.,0.)); #181946=DIRECTION('ref_axis',(-0.863997647596731,0.,-0.503495844021889)); #181947=DIRECTION('',(0.,-1.,0.)); #181948=DIRECTION('center_axis',(0.,-1.,0.)); #181949=DIRECTION('ref_axis',(-0.863997647596731,0.,-0.503495844021889)); #181950=DIRECTION('center_axis',(-0.968369993342015,0.,-0.249518648591216)); #181951=DIRECTION('ref_axis',(0.249518648591216,0.,-0.968369993342015)); #181952=DIRECTION('',(0.249518648591216,0.,-0.968369993342015)); #181953=DIRECTION('',(0.,-1.,0.)); #181954=DIRECTION('',(0.249518648591216,0.,-0.968369993342015)); #181955=DIRECTION('center_axis',(0.,-1.,0.)); #181956=DIRECTION('ref_axis',(-0.983031653958681,0.,-0.183436003323393)); #181957=DIRECTION('center_axis',(0.,-1.,0.)); #181958=DIRECTION('ref_axis',(-0.983031653958681,0.,-0.183436003323393)); #181959=DIRECTION('',(0.,-1.,0.)); #181960=DIRECTION('center_axis',(0.,-1.,0.)); #181961=DIRECTION('ref_axis',(-0.983031653958681,0.,-0.183436003323393)); #181962=DIRECTION('center_axis',(-0.999999998586122,0.,5.31766522804352E-5)); #181963=DIRECTION('ref_axis',(-5.31766522804352E-5,0.,-0.999999998586122)); #181964=DIRECTION('',(-5.31766522804352E-5,0.,-0.999999998586122)); #181965=DIRECTION('',(0.,-1.,0.)); #181966=DIRECTION('',(-5.31766522804352E-5,0.,-0.999999998586122)); #181967=DIRECTION('center_axis',(-0.989082877357055,0.,0.14736031256444)); #181968=DIRECTION('ref_axis',(-0.14736031256444,0.,-0.989082877357055)); #181969=DIRECTION('',(-0.14736031256444,0.,-0.989082877357055)); #181970=DIRECTION('',(0.,-1.,0.)); #181971=DIRECTION('',(-0.14736031256444,0.,-0.989082877357055)); #181972=DIRECTION('center_axis',(-0.927297810594082,0.,0.374324418743182)); #181973=DIRECTION('ref_axis',(-0.374324418743182,0.,-0.927297810594082)); #181974=DIRECTION('',(-0.374324418743182,0.,-0.927297810594082)); #181975=DIRECTION('',(0.,-1.,0.)); #181976=DIRECTION('',(-0.374324418743182,0.,-0.927297810594082)); #181977=DIRECTION('center_axis',(-0.800652010772777,0.,0.599129666804699)); #181978=DIRECTION('ref_axis',(-0.599129666804699,0.,-0.800652010772777)); #181979=DIRECTION('',(-0.599129666804699,0.,-0.800652010772777)); #181980=DIRECTION('',(0.,-1.,0.)); #181981=DIRECTION('',(-0.599129666804698,0.,-0.800652010772777)); #181982=DIRECTION('center_axis',(-0.6302776429987,0.,0.776369816991879)); #181983=DIRECTION('ref_axis',(-0.776369816991879,0.,-0.6302776429987)); #181984=DIRECTION('',(-0.776369816991879,0.,-0.6302776429987)); #181985=DIRECTION('',(-0.776369816991879,0.,-0.6302776429987)); #181986=DIRECTION('center_axis',(0.,1.,0.)); #181987=DIRECTION('ref_axis',(1.,0.,0.)); #181988=DIRECTION('center_axis',(0.,-1.,0.)); #181989=DIRECTION('ref_axis',(-0.93720581522829,0.,-0.348776805281368)); #181990=DIRECTION('center_axis',(0.,1.,0.)); #181991=DIRECTION('ref_axis',(-0.93720581522829,0.,-0.348776805281368)); #181992=DIRECTION('',(0.,-1.,0.)); #181993=DIRECTION('center_axis',(0.,1.,0.)); #181994=DIRECTION('ref_axis',(-0.93720581522829,0.,-0.348776805281368)); #181995=DIRECTION('',(0.,-1.,0.)); #181996=DIRECTION('center_axis',(0.,-1.,0.)); #181997=DIRECTION('ref_axis',(0.00169025753282908,0.,-0.999998571513716)); #181998=DIRECTION('center_axis',(0.,1.,0.)); #181999=DIRECTION('ref_axis',(0.00169025753282908,0.,-0.999998571513716)); #182000=DIRECTION('',(0.,-1.,0.)); #182001=DIRECTION('center_axis',(0.,1.,0.)); #182002=DIRECTION('ref_axis',(0.00169025753282908,0.,-0.999998571513716)); #182003=DIRECTION('center_axis',(0.,0.,1.)); #182004=DIRECTION('ref_axis',(-1.,0.,0.)); #182005=DIRECTION('',(-1.,0.,0.)); #182006=DIRECTION('',(0.,-1.,0.)); #182007=DIRECTION('',(-1.,0.,0.)); #182008=DIRECTION('center_axis',(0.00064360404978951,0.,0.999999792886892)); #182009=DIRECTION('ref_axis',(-0.999999792886892,0.,0.00064360404978951)); #182010=DIRECTION('',(-0.999999792886892,0.,0.00064360404978951)); #182011=DIRECTION('',(0.,-1.,0.)); #182012=DIRECTION('',(-0.999999792886892,0.,0.00064360404978951)); #182013=DIRECTION('center_axis',(-0.000681430846541344,0.,0.999999767825974)); #182014=DIRECTION('ref_axis',(-0.999999767825974,0.,-0.000681430846541344)); #182015=DIRECTION('',(-0.999999767825974,0.,-0.000681430846541344)); #182016=DIRECTION('',(0.,-1.,0.)); #182017=DIRECTION('',(-0.999999767825974,0.,-0.000681430846541344)); #182018=DIRECTION('center_axis',(0.00055294434426329,0.,0.999999847126264)); #182019=DIRECTION('ref_axis',(-0.999999847126264,0.,0.00055294434426329)); #182020=DIRECTION('',(-0.999999847126264,0.,0.00055294434426329)); #182021=DIRECTION('',(0.,-1.,0.)); #182022=DIRECTION('',(-0.999999847126264,0.,0.00055294434426329)); #182023=DIRECTION('center_axis',(0.,0.,1.)); #182024=DIRECTION('ref_axis',(-1.,0.,0.)); #182025=DIRECTION('',(-1.,0.,0.)); #182026=DIRECTION('',(0.,-1.,0.)); #182027=DIRECTION('',(-1.,0.,0.)); #182028=DIRECTION('center_axis',(-0.899846740335955,0.,0.436206194255373)); #182029=DIRECTION('ref_axis',(-0.436206194255373,0.,-0.899846740335955)); #182030=DIRECTION('',(-0.436206194255373,0.,-0.899846740335955)); #182031=DIRECTION('',(0.,-1.,0.)); #182032=DIRECTION('',(-0.436206194255373,0.,-0.899846740335955)); #182033=DIRECTION('center_axis',(-0.935141239849555,0.,0.354275121244262)); #182034=DIRECTION('ref_axis',(-0.354275121244262,0.,-0.935141239849555)); #182035=DIRECTION('',(-0.354275121244262,0.,-0.935141239849555)); #182036=DIRECTION('',(0.,-1.,0.)); #182037=DIRECTION('',(-0.354275121244262,0.,-0.935141239849555)); #182038=DIRECTION('center_axis',(-0.936296461035385,0.,0.351210673318186)); #182039=DIRECTION('ref_axis',(-0.351210673318186,0.,-0.936296461035385)); #182040=DIRECTION('',(-0.351210673318186,0.,-0.936296461035385)); #182041=DIRECTION('',(0.,-1.,0.)); #182042=DIRECTION('',(-0.351210673318185,0.,-0.936296461035385)); #182043=DIRECTION('center_axis',(-0.935716991717838,0.,0.352751628501585)); #182044=DIRECTION('ref_axis',(-0.352751628501585,0.,-0.935716991717838)); #182045=DIRECTION('',(-0.352751628501585,0.,-0.935716991717838)); #182046=DIRECTION('',(0.,-1.,0.)); #182047=DIRECTION('',(-0.352751628501585,0.,-0.935716991717838)); #182048=DIRECTION('center_axis',(-0.935716029925386,0.,0.352754179763577)); #182049=DIRECTION('ref_axis',(-0.352754179763577,0.,-0.935716029925386)); #182050=DIRECTION('',(-0.352754179763577,0.,-0.935716029925386)); #182051=DIRECTION('',(0.,-1.,0.)); #182052=DIRECTION('',(-0.352754179763577,0.,-0.935716029925386)); #182053=DIRECTION('center_axis',(0.,0.,-1.)); #182054=DIRECTION('ref_axis',(1.,0.,0.)); #182055=DIRECTION('',(1.,0.,0.)); #182056=DIRECTION('',(1.,0.,0.)); #182057=DIRECTION('center_axis',(0.916042771081886,0.,0.401080592336028)); #182058=DIRECTION('ref_axis',(-0.401080592336028,0.,0.916042771081886)); #182059=DIRECTION('',(-0.401080592336028,0.,0.916042771081886)); #182060=DIRECTION('',(0.,-1.,0.)); #182061=DIRECTION('',(-0.401080592336028,0.,0.916042771081886)); #182062=DIRECTION('',(0.,-1.,0.)); #182063=DIRECTION('center_axis',(0.954975894821203,0.,0.296683400800318)); #182064=DIRECTION('ref_axis',(-0.296683400800318,0.,0.954975894821203)); #182065=DIRECTION('',(-0.296683400800318,0.,0.954975894821203)); #182066=DIRECTION('',(0.,-1.,0.)); #182067=DIRECTION('',(-0.296683400800318,0.,0.954975894821203)); #182068=DIRECTION('center_axis',(0.981570684384326,0.,0.191099428458816)); #182069=DIRECTION('ref_axis',(-0.191099428458816,0.,0.981570684384326)); #182070=DIRECTION('',(-0.191099428458816,0.,0.981570684384326)); #182071=DIRECTION('',(0.,-1.,0.)); #182072=DIRECTION('',(-0.191099428458816,0.,0.981570684384326)); #182073=DIRECTION('center_axis',(0.996100741174986,0.,0.0882230889883338)); #182074=DIRECTION('ref_axis',(-0.0882230889883338,0.,0.996100741174986)); #182075=DIRECTION('',(-0.0882230889883338,0.,0.996100741174986)); #182076=DIRECTION('',(0.,-1.,0.)); #182077=DIRECTION('',(-0.0882230889883338,0.,0.996100741174986)); #182078=DIRECTION('center_axis',(0.999969799638007,0.,-0.00777173159115095)); #182079=DIRECTION('ref_axis',(0.00777173159115095,0.,0.999969799638007)); #182080=DIRECTION('',(0.00777173159115095,0.,0.999969799638007)); #182081=DIRECTION('',(0.,-1.,0.)); #182082=DIRECTION('',(0.00777173159115095,0.,0.999969799638007)); #182083=DIRECTION('center_axis',(0.994827102747898,0.,-0.101582654219231)); #182084=DIRECTION('ref_axis',(0.101582654219231,0.,0.994827102747898)); #182085=DIRECTION('',(0.101582654219231,0.,0.994827102747898)); #182086=DIRECTION('',(0.,-1.,0.)); #182087=DIRECTION('',(0.101582654219231,0.,0.994827102747898)); #182088=DIRECTION('center_axis',(0.979248598342012,0.,-0.202662731268494)); #182089=DIRECTION('ref_axis',(0.202662731268494,0.,0.979248598342012)); #182090=DIRECTION('',(0.202662731268494,0.,0.979248598342012)); #182091=DIRECTION('',(0.,-1.,0.)); #182092=DIRECTION('',(0.202662731268494,0.,0.979248598342012)); #182093=DIRECTION('center_axis',(0.951635717396709,0.,-0.307228679284422)); #182094=DIRECTION('ref_axis',(0.307228679284422,0.,0.951635717396709)); #182095=DIRECTION('',(0.307228679284422,0.,0.951635717396709)); #182096=DIRECTION('',(0.,-1.,0.)); #182097=DIRECTION('',(0.307228679284422,0.,0.951635717396709)); #182098=DIRECTION('center_axis',(0.910406071813535,0.,-0.413715825664245)); #182099=DIRECTION('ref_axis',(0.413715825664245,0.,0.910406071813535)); #182100=DIRECTION('',(0.413715825664245,0.,0.910406071813535)); #182101=DIRECTION('',(0.,-1.,0.)); #182102=DIRECTION('',(0.413715825664245,0.,0.910406071813535)); #182103=DIRECTION('center_axis',(0.855746330571061,0.,-0.517395610451195)); #182104=DIRECTION('ref_axis',(0.517395610451195,0.,0.855746330571061)); #182105=DIRECTION('',(0.517395610451195,0.,0.855746330571061)); #182106=DIRECTION('',(0.,-1.,0.)); #182107=DIRECTION('',(0.517395610451195,0.,0.855746330571061)); #182108=DIRECTION('center_axis',(0.79016202294046,0.,-0.612898015580602)); #182109=DIRECTION('ref_axis',(0.612898015580602,0.,0.79016202294046)); #182110=DIRECTION('',(0.612898015580602,0.,0.79016202294046)); #182111=DIRECTION('',(0.,-1.,0.)); #182112=DIRECTION('',(0.612898015580602,0.,0.79016202294046)); #182113=DIRECTION('center_axis',(0.714505731765777,0.,-0.699629587191573)); #182114=DIRECTION('ref_axis',(0.699629587191573,0.,0.714505731765777)); #182115=DIRECTION('',(0.699629587191573,0.,0.714505731765777)); #182116=DIRECTION('',(0.,-1.,0.)); #182117=DIRECTION('',(0.699629587191573,0.,0.714505731765777)); #182118=DIRECTION('center_axis',(0.640576461564271,0.,-0.767894391755662)); #182119=DIRECTION('ref_axis',(0.767894391755662,0.,0.640576461564271)); #182120=DIRECTION('',(0.767894391755662,0.,0.640576461564271)); #182121=DIRECTION('',(0.,-1.,0.)); #182122=DIRECTION('',(0.767894391755662,0.,0.640576461564271)); #182123=DIRECTION('center_axis',(0.535512307367237,0.,-0.844527423271866)); #182124=DIRECTION('ref_axis',(0.844527423271866,0.,0.535512307367237)); #182125=DIRECTION('',(0.844527423271866,0.,0.535512307367237)); #182126=DIRECTION('',(0.,-1.,0.)); #182127=DIRECTION('',(0.844527423271866,0.,0.535512307367237)); #182128=DIRECTION('center_axis',(0.427326516477344,0.,-0.904097366612324)); #182129=DIRECTION('ref_axis',(0.904097366612324,0.,0.427326516477344)); #182130=DIRECTION('',(0.904097366612324,0.,0.427326516477344)); #182131=DIRECTION('',(0.,-1.,0.)); #182132=DIRECTION('',(0.904097366612324,0.,0.427326516477344)); #182133=DIRECTION('center_axis',(0.327034017928263,0.,-0.945012566645384)); #182134=DIRECTION('ref_axis',(0.945012566645384,0.,0.327034017928263)); #182135=DIRECTION('',(0.945012566645384,0.,0.327034017928263)); #182136=DIRECTION('',(0.,-1.,0.)); #182137=DIRECTION('',(0.945012566645384,0.,0.327034017928263)); #182138=DIRECTION('center_axis',(0.238742576496693,0.,-0.971082891501915)); #182139=DIRECTION('ref_axis',(0.971082891501915,0.,0.238742576496693)); #182140=DIRECTION('',(0.971082891501915,0.,0.238742576496693)); #182141=DIRECTION('',(0.,-1.,0.)); #182142=DIRECTION('',(0.971082891501915,0.,0.238742576496693)); #182143=DIRECTION('center_axis',(0.161517193498405,0.,-0.986869898317098)); #182144=DIRECTION('ref_axis',(0.986869898317098,0.,0.161517193498405)); #182145=DIRECTION('',(0.986869898317098,0.,0.161517193498405)); #182146=DIRECTION('',(0.,-1.,0.)); #182147=DIRECTION('',(0.986869898317098,0.,0.161517193498405)); #182148=DIRECTION('center_axis',(0.0957058868605159,0.,-0.995409655981015)); #182149=DIRECTION('ref_axis',(0.995409655981015,0.,0.0957058868605159)); #182150=DIRECTION('',(0.995409655981015,0.,0.0957058868605159)); #182151=DIRECTION('',(0.,-1.,0.)); #182152=DIRECTION('',(0.995409655981015,0.,0.0957058868605159)); #182153=DIRECTION('center_axis',(0.039360606373236,0.,-0.999225071075547)); #182154=DIRECTION('ref_axis',(0.999225071075547,0.,0.039360606373236)); #182155=DIRECTION('',(0.999225071075547,0.,0.039360606373236)); #182156=DIRECTION('',(0.,-1.,0.)); #182157=DIRECTION('',(0.999225071075547,0.,0.039360606373236)); #182158=DIRECTION('center_axis',(0.000277702140107209,0.,-0.99999996144076)); #182159=DIRECTION('ref_axis',(0.99999996144076,0.,0.000277702140107209)); #182160=DIRECTION('',(0.99999996144076,0.,0.000277702140107209)); #182161=DIRECTION('',(0.,-1.,0.)); #182162=DIRECTION('',(0.99999996144076,0.,0.000277702140107209)); #182163=DIRECTION('center_axis',(0.934358130892937,0.,-0.356335352209486)); #182164=DIRECTION('ref_axis',(0.356335352209486,0.,0.934358130892937)); #182165=DIRECTION('',(0.356335352209486,0.,0.934358130892937)); #182166=DIRECTION('',(0.,-1.,0.)); #182167=DIRECTION('',(0.356335352209486,0.,0.934358130892937)); #182168=DIRECTION('center_axis',(0.935799932237235,0.,-0.352531540184401)); #182169=DIRECTION('ref_axis',(0.352531540184401,0.,0.935799932237235)); #182170=DIRECTION('',(0.352531540184401,0.,0.935799932237235)); #182171=DIRECTION('',(0.,-1.,0.)); #182172=DIRECTION('',(0.352531540184401,0.,0.935799932237235)); #182173=DIRECTION('center_axis',(0.,-1.,0.)); #182174=DIRECTION('ref_axis',(-0.041728307586231,0.,-0.999128994848007)); #182175=DIRECTION('center_axis',(0.,-1.,0.)); #182176=DIRECTION('ref_axis',(-0.041728307586231,0.,-0.999128994848007)); #182177=DIRECTION('',(0.,-1.,0.)); #182178=DIRECTION('center_axis',(0.,-1.,0.)); #182179=DIRECTION('ref_axis',(-0.041728307586231,0.,-0.999128994848007)); #182180=DIRECTION('center_axis',(-0.203870455864245,0.,-0.978997873963833)); #182181=DIRECTION('ref_axis',(0.978997873963833,0.,-0.203870455864245)); #182182=DIRECTION('',(0.978997873963833,0.,-0.203870455864245)); #182183=DIRECTION('',(0.,-1.,0.)); #182184=DIRECTION('',(0.978997873963833,0.,-0.203870455864245)); #182185=DIRECTION('center_axis',(-0.288194487883185,0.,-0.957571896597717)); #182186=DIRECTION('ref_axis',(0.957571896597717,0.,-0.288194487883185)); #182187=DIRECTION('',(0.957571896597717,0.,-0.288194487883185)); #182188=DIRECTION('',(0.,-1.,0.)); #182189=DIRECTION('',(0.957571896597717,0.,-0.288194487883185)); #182190=DIRECTION('center_axis',(-0.383657919268374,0.,-0.923475284446022)); #182191=DIRECTION('ref_axis',(0.923475284446022,0.,-0.383657919268374)); #182192=DIRECTION('',(0.923475284446022,0.,-0.383657919268374)); #182193=DIRECTION('',(0.,-1.,0.)); #182194=DIRECTION('',(0.923475284446022,0.,-0.383657919268374)); #182195=DIRECTION('center_axis',(-0.490450849367149,0.,-0.871468854495123)); #182196=DIRECTION('ref_axis',(0.871468854495123,0.,-0.490450849367149)); #182197=DIRECTION('',(0.871468854495123,0.,-0.490450849367149)); #182198=DIRECTION('',(0.,-1.,0.)); #182199=DIRECTION('',(0.871468854495123,0.,-0.490450849367149)); #182200=DIRECTION('center_axis',(-0.602520661370216,0.,-0.798103284432533)); #182201=DIRECTION('ref_axis',(0.798103284432533,0.,-0.602520661370216)); #182202=DIRECTION('',(0.798103284432533,0.,-0.602520661370216)); #182203=DIRECTION('',(0.,-1.,0.)); #182204=DIRECTION('',(0.798103284432533,0.,-0.602520661370216)); #182205=DIRECTION('center_axis',(-0.679996397963044,0.,-0.733215451799323)); #182206=DIRECTION('ref_axis',(0.733215451799323,0.,-0.679996397963044)); #182207=DIRECTION('',(0.733215451799323,0.,-0.679996397963044)); #182208=DIRECTION('',(0.,-1.,0.)); #182209=DIRECTION('',(0.733215451799323,0.,-0.679996397963044)); #182210=DIRECTION('center_axis',(-0.758655663654931,0.,-0.651491814226623)); #182211=DIRECTION('ref_axis',(0.651491814226623,0.,-0.758655663654931)); #182212=DIRECTION('',(0.651491814226623,0.,-0.758655663654931)); #182213=DIRECTION('',(0.,-1.,0.)); #182214=DIRECTION('',(0.651491814226623,0.,-0.758655663654931)); #182215=DIRECTION('center_axis',(-0.83083129746109,0.,-0.556524352709854)); #182216=DIRECTION('ref_axis',(0.556524352709854,0.,-0.83083129746109)); #182217=DIRECTION('',(0.556524352709854,0.,-0.83083129746109)); #182218=DIRECTION('',(0.,-1.,0.)); #182219=DIRECTION('',(0.556524352709854,0.,-0.83083129746109)); #182220=DIRECTION('center_axis',(-0.891146010989237,0.,-0.453716637448938)); #182221=DIRECTION('ref_axis',(0.453716637448938,0.,-0.891146010989237)); #182222=DIRECTION('',(0.453716637448938,0.,-0.891146010989237)); #182223=DIRECTION('',(0.,-1.,0.)); #182224=DIRECTION('',(0.453716637448938,0.,-0.891146010989237)); #182225=DIRECTION('center_axis',(-0.937671208746158,0.,-0.347523674428834)); #182226=DIRECTION('ref_axis',(0.347523674428834,0.,-0.937671208746158)); #182227=DIRECTION('',(0.347523674428834,0.,-0.937671208746158)); #182228=DIRECTION('',(0.,-1.,0.)); #182229=DIRECTION('',(0.347523674428834,0.,-0.937671208746158)); #182230=DIRECTION('center_axis',(-0.970536030460717,0.,-0.240956040757551)); #182231=DIRECTION('ref_axis',(0.240956040757551,0.,-0.970536030460717)); #182232=DIRECTION('',(0.240956040757551,0.,-0.970536030460717)); #182233=DIRECTION('',(0.,-1.,0.)); #182234=DIRECTION('',(0.240956040757551,0.,-0.970536030460717)); #182235=DIRECTION('center_axis',(-0.990236576119128,0.,-0.139396998948564)); #182236=DIRECTION('ref_axis',(0.139396998948564,0.,-0.990236576119128)); #182237=DIRECTION('',(0.139396998948564,0.,-0.990236576119128)); #182238=DIRECTION('',(0.,-1.,0.)); #182239=DIRECTION('',(0.139396998948564,0.,-0.990236576119128)); #182240=DIRECTION('center_axis',(-0.999010336774044,0.,-0.0444786130472991)); #182241=DIRECTION('ref_axis',(0.0444786130472991,0.,-0.999010336774044)); #182242=DIRECTION('',(0.0444786130472991,0.,-0.999010336774044)); #182243=DIRECTION('',(0.,-1.,0.)); #182244=DIRECTION('',(0.0444786130472991,0.,-0.999010336774044)); #182245=DIRECTION('center_axis',(-0.998996273365386,0.,0.0447933678357748)); #182246=DIRECTION('ref_axis',(-0.0447933678357748,0.,-0.998996273365386)); #182247=DIRECTION('',(-0.0447933678357748,0.,-0.998996273365386)); #182248=DIRECTION('',(0.,-1.,0.)); #182249=DIRECTION('',(-0.0447933678357748,0.,-0.998996273365386)); #182250=DIRECTION('center_axis',(-0.990156736664135,0.,0.139962983815829)); #182251=DIRECTION('ref_axis',(-0.139962983815829,0.,-0.990156736664135)); #182252=DIRECTION('',(-0.139962983815829,0.,-0.990156736664135)); #182253=DIRECTION('',(0.,-1.,0.)); #182254=DIRECTION('',(-0.139962983815829,0.,-0.990156736664135)); #182255=DIRECTION('center_axis',(-0.970326937401663,0.,0.241796680193732)); #182256=DIRECTION('ref_axis',(-0.241796680193732,0.,-0.970326937401662)); #182257=DIRECTION('',(-0.241796680193732,0.,-0.970326937401662)); #182258=DIRECTION('',(0.,-1.,0.)); #182259=DIRECTION('',(-0.241796680193732,0.,-0.970326937401662)); #182260=DIRECTION('center_axis',(-0.937560176683048,0.,0.347823108918961)); #182261=DIRECTION('ref_axis',(-0.347823108918961,0.,-0.937560176683048)); #182262=DIRECTION('',(-0.347823108918961,0.,-0.937560176683048)); #182263=DIRECTION('',(0.,-1.,0.)); #182264=DIRECTION('',(-0.347823108918961,0.,-0.937560176683048)); #182265=DIRECTION('center_axis',(-0.891337365814412,0.,0.453340600766162)); #182266=DIRECTION('ref_axis',(-0.453340600766161,0.,-0.891337365814412)); #182267=DIRECTION('',(-0.453340600766161,0.,-0.891337365814412)); #182268=DIRECTION('',(0.,-1.,0.)); #182269=DIRECTION('',(-0.453340600766161,0.,-0.891337365814412)); #182270=DIRECTION('center_axis',(-0.83162143033864,0.,0.555342954039677)); #182271=DIRECTION('ref_axis',(-0.555342954039677,0.,-0.83162143033864)); #182272=DIRECTION('',(-0.555342954039677,0.,-0.83162143033864)); #182273=DIRECTION('',(0.,-1.,0.)); #182274=DIRECTION('',(-0.555342954039677,0.,-0.83162143033864)); #182275=DIRECTION('center_axis',(-0.761272522662272,0.,0.648432067559449)); #182276=DIRECTION('ref_axis',(-0.648432067559449,0.,-0.761272522662272)); #182277=DIRECTION('',(-0.648432067559449,0.,-0.761272522662272)); #182278=DIRECTION('',(0.,-1.,0.)); #182279=DIRECTION('',(-0.648432067559449,0.,-0.761272522662272)); #182280=DIRECTION('center_axis',(-0.683578234181612,0.,0.729877248414519)); #182281=DIRECTION('ref_axis',(-0.729877248414519,0.,-0.683578234181612)); #182282=DIRECTION('',(-0.729877248414519,0.,-0.683578234181612)); #182283=DIRECTION('',(0.,-1.,0.)); #182284=DIRECTION('',(-0.729877248414519,0.,-0.683578234181612)); #182285=DIRECTION('center_axis',(-0.598478970483286,0.,0.801138516044052)); #182286=DIRECTION('ref_axis',(-0.801138516044052,0.,-0.598478970483286)); #182287=DIRECTION('',(-0.801138516044052,0.,-0.598478970483286)); #182288=DIRECTION('',(0.,-1.,0.)); #182289=DIRECTION('',(-0.801138516044052,0.,-0.598478970483286)); #182290=DIRECTION('center_axis',(-0.486492618860902,0.,0.873684686711322)); #182291=DIRECTION('ref_axis',(-0.873684686711322,0.,-0.486492618860902)); #182292=DIRECTION('',(-0.873684686711322,0.,-0.486492618860902)); #182293=DIRECTION('',(0.,-1.,0.)); #182294=DIRECTION('',(-0.873684686711322,0.,-0.486492618860902)); #182295=DIRECTION('center_axis',(0.,-1.,0.)); #182296=DIRECTION('ref_axis',(0.11382237776293,0.,0.993501115409738)); #182297=DIRECTION('center_axis',(0.,-1.,0.)); #182298=DIRECTION('ref_axis',(0.11382237776293,0.,0.993501115409738)); #182299=DIRECTION('',(0.,-1.,0.)); #182300=DIRECTION('center_axis',(0.,-1.,0.)); #182301=DIRECTION('ref_axis',(0.11382237776293,0.,0.993501115409738)); #182302=DIRECTION('center_axis',(0.,0.,1.)); #182303=DIRECTION('ref_axis',(-1.,0.,0.)); #182304=DIRECTION('',(-1.,0.,0.)); #182305=DIRECTION('',(0.,-1.,0.)); #182306=DIRECTION('',(-1.,0.,0.)); #182307=DIRECTION('center_axis',(-0.913338271105323,0.,0.407201673049533)); #182308=DIRECTION('ref_axis',(-0.407201673049533,0.,-0.913338271105323)); #182309=DIRECTION('',(-0.407201673049533,0.,-0.913338271105323)); #182310=DIRECTION('',(0.,-1.,0.)); #182311=DIRECTION('',(-0.407201673049533,0.,-0.913338271105323)); #182312=DIRECTION('center_axis',(-0.937828324502972,0.,-0.347099458023125)); #182313=DIRECTION('ref_axis',(0.347099458023125,0.,-0.937828324502972)); #182314=DIRECTION('',(0.347099458023125,0.,-0.937828324502973)); #182315=DIRECTION('',(0.,-1.,0.)); #182316=DIRECTION('',(0.347099458023125,0.,-0.937828324502972)); #182317=DIRECTION('center_axis',(-0.936250622851237,0.,-0.35133284960372)); #182318=DIRECTION('ref_axis',(0.35133284960372,0.,-0.936250622851237)); #182319=DIRECTION('',(0.35133284960372,0.,-0.936250622851237)); #182320=DIRECTION('',(0.,-1.,0.)); #182321=DIRECTION('',(0.35133284960372,0.,-0.936250622851237)); #182322=DIRECTION('center_axis',(0.,-1.,0.)); #182323=DIRECTION('ref_axis',(-0.0700049808645999,0.,-0.997546641843953)); #182324=DIRECTION('center_axis',(0.,-1.,0.)); #182325=DIRECTION('ref_axis',(-0.0700049808645999,0.,-0.997546641843953)); #182326=DIRECTION('',(0.,-1.,0.)); #182327=DIRECTION('center_axis',(0.,-1.,0.)); #182328=DIRECTION('ref_axis',(-0.0700049808645999,0.,-0.997546641843953)); #182329=DIRECTION('center_axis',(0.,-1.,0.)); #182330=DIRECTION('ref_axis',(-0.246003640611474,0.,-0.969268904280902)); #182331=DIRECTION('center_axis',(0.,-1.,0.)); #182332=DIRECTION('ref_axis',(-0.246003640611474,0.,-0.969268904280902)); #182333=DIRECTION('',(0.,-1.,0.)); #182334=DIRECTION('center_axis',(0.,-1.,0.)); #182335=DIRECTION('ref_axis',(-0.246003640611474,0.,-0.969268904280902)); #182336=DIRECTION('center_axis',(-0.326978481470921,0.,-0.945031783939022)); #182337=DIRECTION('ref_axis',(0.945031783939022,0.,-0.326978481470921)); #182338=DIRECTION('',(0.945031783939022,0.,-0.326978481470921)); #182339=DIRECTION('',(0.,-1.,0.)); #182340=DIRECTION('',(0.945031783939022,0.,-0.326978481470921)); #182341=DIRECTION('center_axis',(-0.427326516477344,0.,-0.904097366612324)); #182342=DIRECTION('ref_axis',(0.904097366612324,0.,-0.427326516477344)); #182343=DIRECTION('',(0.904097366612324,0.,-0.427326516477344)); #182344=DIRECTION('',(0.,-1.,0.)); #182345=DIRECTION('',(0.904097366612324,0.,-0.427326516477344)); #182346=DIRECTION('center_axis',(-0.535605408672143,0.,-0.844468380817865)); #182347=DIRECTION('ref_axis',(0.844468380817865,0.,-0.535605408672143)); #182348=DIRECTION('',(0.844468380817865,0.,-0.535605408672143)); #182349=DIRECTION('',(0.,-1.,0.)); #182350=DIRECTION('',(0.844468380817865,0.,-0.535605408672143)); #182351=DIRECTION('center_axis',(-0.640363345590212,0.,-0.768072122671114)); #182352=DIRECTION('ref_axis',(0.768072122671114,0.,-0.640363345590212)); #182353=DIRECTION('',(0.768072122671114,0.,-0.640363345590212)); #182354=DIRECTION('',(0.,-1.,0.)); #182355=DIRECTION('',(0.768072122671114,0.,-0.640363345590212)); #182356=DIRECTION('center_axis',(-0.714731112011255,0.,-0.699399340522391)); #182357=DIRECTION('ref_axis',(0.699399340522391,0.,-0.714731112011254)); #182358=DIRECTION('',(0.699399340522391,0.,-0.714731112011254)); #182359=DIRECTION('',(0.,-1.,0.)); #182360=DIRECTION('',(0.699399340522391,0.,-0.714731112011254)); #182361=DIRECTION('center_axis',(-0.789939112371796,0.,-0.613185289080926)); #182362=DIRECTION('ref_axis',(0.613185289080926,0.,-0.789939112371796)); #182363=DIRECTION('',(0.613185289080926,0.,-0.789939112371796)); #182364=DIRECTION('',(0.,-1.,0.)); #182365=DIRECTION('',(0.613185289080926,0.,-0.789939112371796)); #182366=DIRECTION('center_axis',(-0.855849145488043,0.,-0.517225521573894)); #182367=DIRECTION('ref_axis',(0.517225521573894,0.,-0.855849145488043)); #182368=DIRECTION('',(0.517225521573894,0.,-0.855849145488043)); #182369=DIRECTION('',(0.,-1.,0.)); #182370=DIRECTION('',(0.517225521573894,0.,-0.855849145488043)); #182371=DIRECTION('center_axis',(-0.910406071813535,0.,-0.413715825664245)); #182372=DIRECTION('ref_axis',(0.413715825664245,0.,-0.910406071813535)); #182373=DIRECTION('',(0.413715825664245,0.,-0.910406071813535)); #182374=DIRECTION('',(0.,-1.,0.)); #182375=DIRECTION('',(0.413715825664245,0.,-0.910406071813535)); #182376=DIRECTION('center_axis',(-0.951635717396562,0.,-0.307228679284879)); #182377=DIRECTION('ref_axis',(0.307228679284879,0.,-0.951635717396562)); #182378=DIRECTION('',(0.307228679284879,0.,-0.951635717396562)); #182379=DIRECTION('',(0.,-1.,0.)); #182380=DIRECTION('',(0.307228679284879,0.,-0.951635717396562)); #182381=DIRECTION('center_axis',(-0.979283124441313,0.,-0.20249583251134)); #182382=DIRECTION('ref_axis',(0.20249583251134,0.,-0.979283124441313)); #182383=DIRECTION('',(0.20249583251134,0.,-0.979283124441313)); #182384=DIRECTION('',(0.,-1.,0.)); #182385=DIRECTION('',(0.20249583251134,0.,-0.979283124441313)); #182386=DIRECTION('center_axis',(-0.994818724347377,0.,-0.101664672762262)); #182387=DIRECTION('ref_axis',(0.101664672762262,0.,-0.994818724347377)); #182388=DIRECTION('',(0.101664672762262,0.,-0.994818724347377)); #182389=DIRECTION('',(0.,-1.,0.)); #182390=DIRECTION('',(0.101664672762262,0.,-0.994818724347377)); #182391=DIRECTION('center_axis',(-0.999966446662123,0.,-0.00819179772263648)); #182392=DIRECTION('ref_axis',(0.00819179772263648,0.,-0.999966446662122)); #182393=DIRECTION('',(0.00819179772263648,0.,-0.999966446662122)); #182394=DIRECTION('',(0.,-1.,0.)); #182395=DIRECTION('',(0.00819179772263648,0.,-0.999966446662122)); #182396=DIRECTION('center_axis',(-0.996061685964073,0.,0.0886629446522492)); #182397=DIRECTION('ref_axis',(-0.0886629446522492,0.,-0.996061685964073)); #182398=DIRECTION('',(-0.0886629446522492,0.,-0.996061685964073)); #182399=DIRECTION('',(0.,-1.,0.)); #182400=DIRECTION('',(-0.0886629446522492,0.,-0.996061685964073)); #182401=DIRECTION('center_axis',(-0.981613488328823,0.,0.190879437161049)); #182402=DIRECTION('ref_axis',(-0.190879437161049,0.,-0.981613488328823)); #182403=DIRECTION('',(-0.190879437161049,0.,-0.981613488328823)); #182404=DIRECTION('',(0.,-1.,0.)); #182405=DIRECTION('',(-0.190879437161049,0.,-0.981613488328823)); #182406=DIRECTION('center_axis',(-0.954975894821057,0.,0.296683400800789)); #182407=DIRECTION('ref_axis',(-0.296683400800789,0.,-0.954975894821057)); #182408=DIRECTION('',(-0.296683400800789,0.,-0.954975894821057)); #182409=DIRECTION('',(0.,-1.,0.)); #182410=DIRECTION('',(-0.296683400800789,0.,-0.954975894821057)); #182411=DIRECTION('center_axis',(-0.916042771082077,0.,0.401080592335592)); #182412=DIRECTION('ref_axis',(-0.401080592335592,0.,-0.916042771082077)); #182413=DIRECTION('',(-0.401080592335592,0.,-0.916042771082077)); #182414=DIRECTION('',(0.,-1.,0.)); #182415=DIRECTION('',(-0.401080592335592,0.,-0.916042771082077)); #182416=DIRECTION('center_axis',(-0.866098522952889,0.,0.499873332494166)); #182417=DIRECTION('ref_axis',(-0.499873332494167,0.,-0.866098522952889)); #182418=DIRECTION('',(-0.499873332494167,0.,-0.866098522952889)); #182419=DIRECTION('',(0.,-1.,0.)); #182420=DIRECTION('',(-0.499873332494167,0.,-0.866098522952889)); #182421=DIRECTION('center_axis',(-0.808592470084655,0.,0.588369116560682)); #182422=DIRECTION('ref_axis',(-0.588369116560682,0.,-0.808592470084655)); #182423=DIRECTION('',(-0.588369116560682,0.,-0.808592470084655)); #182424=DIRECTION('',(0.,-1.,0.)); #182425=DIRECTION('',(-0.588369116560682,0.,-0.808592470084655)); #182426=DIRECTION('center_axis',(-0.745135208467515,0.,0.666913428491339)); #182427=DIRECTION('ref_axis',(-0.666913428491339,0.,-0.745135208467515)); #182428=DIRECTION('',(-0.666913428491339,0.,-0.745135208467515)); #182429=DIRECTION('',(0.,-1.,0.)); #182430=DIRECTION('',(-0.666913428491339,0.,-0.745135208467515)); #182431=DIRECTION('center_axis',(-0.669628389912397,0.,0.742696317092882)); #182432=DIRECTION('ref_axis',(-0.742696317092882,0.,-0.669628389912397)); #182433=DIRECTION('',(-0.742696317092882,0.,-0.669628389912397)); #182434=DIRECTION('',(0.,-1.,0.)); #182435=DIRECTION('',(-0.742696317092882,0.,-0.669628389912397)); #182436=DIRECTION('center_axis',(-0.559586721330063,0.,0.828771802917468)); #182437=DIRECTION('ref_axis',(-0.828771802917468,0.,-0.559586721330063)); #182438=DIRECTION('',(-0.828771802917468,0.,-0.559586721330063)); #182439=DIRECTION('',(0.,-1.,0.)); #182440=DIRECTION('',(-0.828771802917468,0.,-0.559586721330063)); #182441=DIRECTION('center_axis',(-0.451852137509241,0.,0.892092845968586)); #182442=DIRECTION('ref_axis',(-0.892092845968585,0.,-0.451852137509241)); #182443=DIRECTION('',(-0.892092845968585,0.,-0.451852137509241)); #182444=DIRECTION('',(0.,-1.,0.)); #182445=DIRECTION('',(-0.892092845968585,0.,-0.451852137509241)); #182446=DIRECTION('center_axis',(-0.352368092668651,0.,0.935861489361036)); #182447=DIRECTION('ref_axis',(-0.935861489361036,0.,-0.352368092668651)); #182448=DIRECTION('',(-0.935861489361036,0.,-0.352368092668651)); #182449=DIRECTION('',(0.,-1.,0.)); #182450=DIRECTION('',(-0.935861489361036,0.,-0.352368092668651)); #182451=DIRECTION('center_axis',(-0.262635935538817,0.,0.96489500224825)); #182452=DIRECTION('ref_axis',(-0.96489500224825,0.,-0.262635935538817)); #182453=DIRECTION('',(-0.96489500224825,0.,-0.262635935538817)); #182454=DIRECTION('',(0.,-1.,0.)); #182455=DIRECTION('',(-0.96489500224825,0.,-0.262635935538817)); #182456=DIRECTION('center_axis',(0.,-1.,0.)); #182457=DIRECTION('ref_axis',(0.113604722022479,0.,0.993526027406527)); #182458=DIRECTION('center_axis',(0.,-1.,0.)); #182459=DIRECTION('ref_axis',(0.113604722022479,0.,0.993526027406527)); #182460=DIRECTION('',(0.,-1.,0.)); #182461=DIRECTION('center_axis',(0.,-1.,0.)); #182462=DIRECTION('ref_axis',(0.113604722022479,0.,0.993526027406527)); #182463=DIRECTION('center_axis',(0.191795123456681,0.,0.981434985426053)); #182464=DIRECTION('ref_axis',(-0.981434985426053,0.,0.191795123456681)); #182465=DIRECTION('',(-0.981434985426053,0.,0.191795123456681)); #182466=DIRECTION('',(0.,-1.,0.)); #182467=DIRECTION('',(-0.981434985426053,0.,0.191795123456681)); #182468=DIRECTION('center_axis',(0.2725500824951,0.,0.962141596924234)); #182469=DIRECTION('ref_axis',(-0.962141596924234,0.,0.2725500824951)); #182470=DIRECTION('',(-0.962141596924234,0.,0.2725500824951)); #182471=DIRECTION('',(0.,-1.,0.)); #182472=DIRECTION('',(-0.962141596924234,0.,0.2725500824951)); #182473=DIRECTION('center_axis',(0.364453864831827,0.,0.931221445419479)); #182474=DIRECTION('ref_axis',(-0.931221445419479,0.,0.364453864831827)); #182475=DIRECTION('',(-0.931221445419479,0.,0.364453864831827)); #182476=DIRECTION('',(0.,-1.,0.)); #182477=DIRECTION('',(-0.931221445419479,0.,0.364453864831827)); #182478=DIRECTION('center_axis',(0.463619468925611,0.,0.886034416957454)); #182479=DIRECTION('ref_axis',(-0.886034416957454,0.,0.463619468925611)); #182480=DIRECTION('',(-0.886034416957454,0.,0.463619468925611)); #182481=DIRECTION('',(0.,-1.,0.)); #182482=DIRECTION('',(-0.886034416957454,0.,0.463619468925611)); #182483=DIRECTION('center_axis',(0.569775880587581,0.,0.821800125274173)); #182484=DIRECTION('ref_axis',(-0.821800125274173,0.,0.569775880587581)); #182485=DIRECTION('',(-0.821800125274173,0.,0.569775880587581)); #182486=DIRECTION('',(0.,-1.,0.)); #182487=DIRECTION('',(-0.821800125274173,0.,0.569775880587581)); #182488=DIRECTION('center_axis',(0.679568085843,0.,0.733612443122171)); #182489=DIRECTION('ref_axis',(-0.733612443122171,0.,0.679568085843)); #182490=DIRECTION('',(-0.733612443122171,0.,0.679568085843)); #182491=DIRECTION('',(0.,-1.,0.)); #182492=DIRECTION('',(-0.733612443122171,0.,0.679568085843)); #182493=DIRECTION('center_axis',(0.753054958695227,0.,0.657957619596073)); #182494=DIRECTION('ref_axis',(-0.657957619596073,0.,0.753054958695227)); #182495=DIRECTION('',(-0.657957619596073,0.,0.753054958695227)); #182496=DIRECTION('',(0.,-1.,0.)); #182497=DIRECTION('',(-0.657957619596073,0.,0.753054958695227)); #182498=DIRECTION('center_axis',(0.815281114786499,0.,0.579065370983695)); #182499=DIRECTION('ref_axis',(-0.579065370983695,0.,0.815281114786499)); #182500=DIRECTION('',(-0.579065370983695,0.,0.815281114786499)); #182501=DIRECTION('',(0.,-1.,0.)); #182502=DIRECTION('',(-0.579065370983695,0.,0.815281114786499)); #182503=DIRECTION('center_axis',(0.872698818492489,0.,0.488258919224026)); #182504=DIRECTION('ref_axis',(-0.488258919224026,0.,0.872698818492489)); #182505=DIRECTION('',(-0.488258919224026,0.,0.872698818492489)); #182506=DIRECTION('',(0.,-1.,0.)); #182507=DIRECTION('',(-0.488258919224026,0.,0.872698818492489)); #182508=DIRECTION('center_axis',(0.921212439340002,0.,0.389059946030484)); #182509=DIRECTION('ref_axis',(-0.389059946030484,0.,0.921212439340002)); #182510=DIRECTION('',(-0.389059946030484,0.,0.921212439340002)); #182511=DIRECTION('',(0.,-1.,0.)); #182512=DIRECTION('',(-0.389059946030484,0.,0.921212439340002)); #182513=DIRECTION('center_axis',(0.958724433809157,0.,0.284336877694947)); #182514=DIRECTION('ref_axis',(-0.284336877694947,0.,0.958724433809157)); #182515=DIRECTION('',(-0.284336877694947,0.,0.958724433809157)); #182516=DIRECTION('',(0.,-1.,0.)); #182517=DIRECTION('',(-0.284336877694947,0.,0.958724433809157)); #182518=DIRECTION('center_axis',(0.983875742247029,0.,0.178853358419287)); #182519=DIRECTION('ref_axis',(-0.178853358419287,0.,0.983875742247029)); #182520=DIRECTION('',(-0.178853358419287,0.,0.983875742247029)); #182521=DIRECTION('',(0.,-1.,0.)); #182522=DIRECTION('',(-0.178853358419287,0.,0.983875742247029)); #182523=DIRECTION('center_axis',(0.997054744769456,0.,0.0766931283278747)); #182524=DIRECTION('ref_axis',(-0.0766931283278747,0.,0.997054744769456)); #182525=DIRECTION('',(-0.0766931283278747,0.,0.997054744769456)); #182526=DIRECTION('',(0.,-1.,0.)); #182527=DIRECTION('',(-0.0766931283278747,0.,0.997054744769456)); #182528=DIRECTION('center_axis',(0.999818529547231,0.,-0.0190501436743395)); #182529=DIRECTION('ref_axis',(0.0190501436743395,0.,0.999818529547231)); #182530=DIRECTION('',(0.0190501436743395,0.,0.999818529547231)); #182531=DIRECTION('',(0.,-1.,0.)); #182532=DIRECTION('',(0.0190501436743395,0.,0.999818529547231)); #182533=DIRECTION('center_axis',(0.993587189581662,0.,-0.113068548673865)); #182534=DIRECTION('ref_axis',(0.113068548673865,0.,0.993587189581662)); #182535=DIRECTION('',(0.113068548673865,0.,0.993587189581662)); #182536=DIRECTION('',(0.,-1.,0.)); #182537=DIRECTION('',(0.113068548673865,0.,0.993587189581662)); #182538=DIRECTION('center_axis',(0.976767704233274,0.,-0.214300844531374)); #182539=DIRECTION('ref_axis',(0.214300844531374,0.,0.976767704233274)); #182540=DIRECTION('',(0.214300844531374,0.,0.976767704233274)); #182541=DIRECTION('',(0.,-1.,0.)); #182542=DIRECTION('',(0.214300844531374,0.,0.976767704233274)); #182543=DIRECTION('center_axis',(0.94726799223523,0.,-0.320442429910018)); #182544=DIRECTION('ref_axis',(0.320442429910018,0.,0.94726799223523)); #182545=DIRECTION('',(0.320442429910018,0.,0.94726799223523)); #182546=DIRECTION('',(0.,-1.,0.)); #182547=DIRECTION('',(0.320442429910018,0.,0.94726799223523)); #182548=DIRECTION('center_axis',(0.904729535476995,0.,-0.425986464145965)); #182549=DIRECTION('ref_axis',(0.425986464145964,0.,0.904729535476995)); #182550=DIRECTION('',(0.425986464145964,0.,0.904729535476995)); #182551=DIRECTION('',(0.,-1.,0.)); #182552=DIRECTION('',(0.425986464145964,0.,0.904729535476995)); #182553=DIRECTION('center_axis',(0.848981182744828,0.,-0.528423079875579)); #182554=DIRECTION('ref_axis',(0.52842307987558,0.,0.848981182744828)); #182555=DIRECTION('',(0.52842307987558,0.,0.848981182744828)); #182556=DIRECTION('',(0.,-1.,0.)); #182557=DIRECTION('',(0.52842307987558,0.,0.848981182744828)); #182558=DIRECTION('center_axis',(0.781446533171585,0.,-0.623972207549432)); #182559=DIRECTION('ref_axis',(0.623972207549432,0.,0.781446533171585)); #182560=DIRECTION('',(0.623972207549432,0.,0.781446533171585)); #182561=DIRECTION('',(0.,-1.,0.)); #182562=DIRECTION('',(0.623972207549432,0.,0.781446533171585)); #182563=DIRECTION('center_axis',(0.705475759910962,0.,-0.708734048976095)); #182564=DIRECTION('ref_axis',(0.708734048976095,0.,0.705475759910962)); #182565=DIRECTION('',(0.708734048976095,0.,0.705475759910962)); #182566=DIRECTION('',(0.,-1.,0.)); #182567=DIRECTION('',(0.708734048976095,0.,0.705475759910962)); #182568=DIRECTION('center_axis',(0.627270229671629,0.,-0.778801681410423)); #182569=DIRECTION('ref_axis',(0.778801681410423,0.,0.627270229671629)); #182570=DIRECTION('',(0.778801681410423,0.,0.627270229671629)); #182571=DIRECTION('',(0.,-1.,0.)); #182572=DIRECTION('',(0.778801681410423,0.,0.627270229671629)); #182573=DIRECTION('center_axis',(0.521580235649361,0.,-0.853202237327093)); #182574=DIRECTION('ref_axis',(0.853202237327093,0.,0.521580235649361)); #182575=DIRECTION('',(0.853202237327093,0.,0.521580235649361)); #182576=DIRECTION('',(0.,-1.,0.)); #182577=DIRECTION('',(0.853202237327093,0.,0.521580235649361)); #182578=DIRECTION('center_axis',(0.,-1.,0.)); #182579=DIRECTION('ref_axis',(0.301843753611782,0.,-0.953357408533416)); #182580=DIRECTION('center_axis',(0.,-1.,0.)); #182581=DIRECTION('ref_axis',(0.301843753611782,0.,-0.953357408533416)); #182582=DIRECTION('',(0.,-1.,0.)); #182583=DIRECTION('center_axis',(0.,-1.,0.)); #182584=DIRECTION('ref_axis',(0.301843753611782,0.,-0.953357408533416)); #182585=DIRECTION('center_axis',(0.,-1.,0.)); #182586=DIRECTION('ref_axis',(-0.0074503693412974,0.,-0.999972245613186)); #182587=DIRECTION('center_axis',(0.,-1.,0.)); #182588=DIRECTION('ref_axis',(-0.0074503693412974,0.,-0.999972245613186)); #182589=DIRECTION('',(0.,-1.,0.)); #182590=DIRECTION('center_axis',(0.,-1.,0.)); #182591=DIRECTION('ref_axis',(-0.0074503693412974,0.,-0.999972245613186)); #182592=DIRECTION('center_axis',(2.40443896193879E-5,0.,-0.999999999710934)); #182593=DIRECTION('ref_axis',(0.999999999710934,0.,2.40443896193879E-5)); #182594=DIRECTION('',(0.999999999710934,0.,2.40443896193879E-5)); #182595=DIRECTION('',(0.,-1.,0.)); #182596=DIRECTION('',(0.999999999710934,0.,2.40443896193879E-5)); #182597=DIRECTION('center_axis',(0.937937411433324,0.,0.34680457354792)); #182598=DIRECTION('ref_axis',(-0.34680457354792,0.,0.937937411433324)); #182599=DIRECTION('',(-0.34680457354792,0.,0.937937411433324)); #182600=DIRECTION('',(0.,-1.,0.)); #182601=DIRECTION('',(-0.34680457354792,0.,0.937937411433324)); #182602=DIRECTION('center_axis',(9.40109393568914E-5,0.,0.999999995580972)); #182603=DIRECTION('ref_axis',(-0.999999995580972,0.,9.40109393568914E-5)); #182604=DIRECTION('',(-0.999999995580972,0.,9.40109393568914E-5)); #182605=DIRECTION('',(0.,-1.,0.)); #182606=DIRECTION('',(-0.999999995580972,0.,9.40109393568914E-5)); #182607=DIRECTION('center_axis',(-0.93587571140729,0.,0.352330317738764)); #182608=DIRECTION('ref_axis',(-0.352330317738764,0.,-0.93587571140729)); #182609=DIRECTION('',(-0.352330317738764,0.,-0.93587571140729)); #182610=DIRECTION('',(0.,-1.,0.)); #182611=DIRECTION('',(-0.352330317738764,0.,-0.93587571140729)); #182612=DIRECTION('center_axis',(-0.935941793254407,0.,0.35215473820428)); #182613=DIRECTION('ref_axis',(-0.35215473820428,0.,-0.935941793254407)); #182614=DIRECTION('',(-0.35215473820428,0.,-0.935941793254407)); #182615=DIRECTION('',(0.,-1.,0.)); #182616=DIRECTION('',(-0.35215473820428,0.,-0.935941793254407)); #182617=DIRECTION('center_axis',(0.,-1.,0.)); #182618=DIRECTION('ref_axis',(-0.126999279940408,0.,-0.991902809197866)); #182619=DIRECTION('center_axis',(0.,-1.,0.)); #182620=DIRECTION('ref_axis',(-0.126999279940408,0.,-0.991902809197866)); #182621=DIRECTION('',(0.,-1.,0.)); #182622=DIRECTION('center_axis',(0.,-1.,0.)); #182623=DIRECTION('ref_axis',(-0.126999279940408,0.,-0.991902809197866)); #182624=DIRECTION('center_axis',(-0.227815631441653,0.,-0.973704286768237)); #182625=DIRECTION('ref_axis',(0.973704286768237,0.,-0.227815631441653)); #182626=DIRECTION('',(0.973704286768237,0.,-0.227815631441653)); #182627=DIRECTION('',(0.,-1.,0.)); #182628=DIRECTION('',(0.973704286768237,0.,-0.227815631441653)); #182629=DIRECTION('center_axis',(-0.314970306160922,0.,-0.949101525779458)); #182630=DIRECTION('ref_axis',(0.949101525779458,0.,-0.314970306160922)); #182631=DIRECTION('',(0.949101525779458,0.,-0.314970306160922)); #182632=DIRECTION('',(0.,-1.,0.)); #182633=DIRECTION('',(0.949101525779458,0.,-0.314970306160922)); #182634=DIRECTION('center_axis',(-0.413774118607959,0.,-0.910379579499786)); #182635=DIRECTION('ref_axis',(0.910379579499786,0.,-0.413774118607959)); #182636=DIRECTION('',(0.910379579499786,0.,-0.413774118607959)); #182637=DIRECTION('',(0.,-1.,0.)); #182638=DIRECTION('',(0.910379579499786,0.,-0.413774118607959)); #182639=DIRECTION('center_axis',(-0.522761273727225,0.,-0.852479120384241)); #182640=DIRECTION('ref_axis',(0.852479120384241,0.,-0.522761273727225)); #182641=DIRECTION('',(0.852479120384241,0.,-0.522761273727225)); #182642=DIRECTION('',(0.,-1.,0.)); #182643=DIRECTION('',(0.852479120384241,0.,-0.522761273727225)); #182644=DIRECTION('center_axis',(-0.6324371875018,0.,-0.774611647127006)); #182645=DIRECTION('ref_axis',(0.774611647127006,0.,-0.6324371875018)); #182646=DIRECTION('',(0.774611647127006,0.,-0.6324371875018)); #182647=DIRECTION('',(0.,-1.,0.)); #182648=DIRECTION('',(0.774611647127006,0.,-0.6324371875018)); #182649=DIRECTION('center_axis',(-0.717335429674246,0.,-0.696727982310216)); #182650=DIRECTION('ref_axis',(0.696727982310216,0.,-0.717335429674246)); #182651=DIRECTION('',(0.696727982310216,0.,-0.717335429674246)); #182652=DIRECTION('',(0.,-1.,0.)); #182653=DIRECTION('',(0.696727982310216,0.,-0.717335429674246)); #182654=DIRECTION('center_axis',(-0.790431660371591,0.,-0.612550234905032)); #182655=DIRECTION('ref_axis',(0.612550234905032,0.,-0.790431660371591)); #182656=DIRECTION('',(0.612550234905032,0.,-0.790431660371591)); #182657=DIRECTION('',(0.,-1.,0.)); #182658=DIRECTION('',(0.612550234905032,0.,-0.790431660371591)); #182659=DIRECTION('center_axis',(-0.855627926041152,0.,-0.517591395000455)); #182660=DIRECTION('ref_axis',(0.517591395000455,0.,-0.855627926041152)); #182661=DIRECTION('',(0.517591395000455,0.,-0.855627926041152)); #182662=DIRECTION('',(0.,-1.,0.)); #182663=DIRECTION('',(0.517591395000455,0.,-0.855627926041152)); #182664=DIRECTION('center_axis',(0.,-1.,0.)); #182665=DIRECTION('ref_axis',(-0.949899442274668,0.,-0.312555674346627)); #182666=DIRECTION('center_axis',(0.,-1.,0.)); #182667=DIRECTION('ref_axis',(-0.949899442274668,0.,-0.312555674346627)); #182668=DIRECTION('',(0.,-1.,0.)); #182669=DIRECTION('center_axis',(0.,-1.,0.)); #182670=DIRECTION('ref_axis',(-0.949899442274668,0.,-0.312555674346627)); #182671=DIRECTION('center_axis',(0.,-1.,0.)); #182672=DIRECTION('ref_axis',(-0.993846220122991,0.,-0.110768636117108)); #182673=DIRECTION('center_axis',(0.,-1.,0.)); #182674=DIRECTION('ref_axis',(-0.993846220122991,0.,-0.110768636117108)); #182675=DIRECTION('',(0.,-1.,0.)); #182676=DIRECTION('center_axis',(0.,-1.,0.)); #182677=DIRECTION('ref_axis',(-0.993846220122991,0.,-0.110768636117108)); #182678=DIRECTION('center_axis',(-0.999834128486554,0.,-0.0182130588735935)); #182679=DIRECTION('ref_axis',(0.0182130588735935,0.,-0.999834128486554)); #182680=DIRECTION('',(0.0182130588735935,0.,-0.999834128486554)); #182681=DIRECTION('',(0.,-1.,0.)); #182682=DIRECTION('',(0.0182130588735935,0.,-0.999834128486554)); #182683=DIRECTION('center_axis',(-0.997220885487455,0.,0.0745017150649348)); #182684=DIRECTION('ref_axis',(-0.0745017150649348,0.,-0.997220885487455)); #182685=DIRECTION('',(-0.0745017150649348,0.,-0.997220885487455)); #182686=DIRECTION('',(0.,-1.,0.)); #182687=DIRECTION('',(-0.0745017150649348,0.,-0.997220885487455)); #182688=DIRECTION('center_axis',(-0.984589912949384,0.,0.174879110583068)); #182689=DIRECTION('ref_axis',(-0.174879110583068,0.,-0.984589912949384)); #182690=DIRECTION('',(-0.174879110583068,0.,-0.984589912949384)); #182691=DIRECTION('',(0.,-1.,0.)); #182692=DIRECTION('',(-0.174879110583068,0.,-0.984589912949384)); #182693=DIRECTION('center_axis',(-0.96073226475336,0.,0.277477053937582)); #182694=DIRECTION('ref_axis',(-0.277477053937582,0.,-0.96073226475336)); #182695=DIRECTION('',(-0.277477053937582,0.,-0.96073226475336)); #182696=DIRECTION('',(0.,-1.,0.)); #182697=DIRECTION('',(-0.277477053937582,0.,-0.96073226475336)); #182698=DIRECTION('center_axis',(-0.924961803683267,0.,0.38006007647081)); #182699=DIRECTION('ref_axis',(-0.38006007647081,0.,-0.924961803683267)); #182700=DIRECTION('',(-0.38006007647081,0.,-0.924961803683267)); #182701=DIRECTION('',(0.,-1.,0.)); #182702=DIRECTION('',(-0.38006007647081,0.,-0.924961803683267)); #182703=DIRECTION('center_axis',(-0.878623441046913,0.,0.477515286501785)); #182704=DIRECTION('ref_axis',(-0.477515286501785,0.,-0.878623441046913)); #182705=DIRECTION('',(-0.477515286501785,0.,-0.878623441046913)); #182706=DIRECTION('',(0.,-1.,0.)); #182707=DIRECTION('',(-0.477515286501785,0.,-0.878623441046913)); #182708=DIRECTION('center_axis',(-0.823257374256911,0.,0.567668297275457)); #182709=DIRECTION('ref_axis',(-0.567668297275457,0.,-0.823257374256911)); #182710=DIRECTION('',(-0.567668297275457,0.,-0.823257374256911)); #182711=DIRECTION('',(0.,-1.,0.)); #182712=DIRECTION('',(-0.567668297275457,0.,-0.823257374256911)); #182713=DIRECTION('center_axis',(-0.763298638268446,0.,0.646045810153999)); #182714=DIRECTION('ref_axis',(-0.646045810153999,0.,-0.763298638268446)); #182715=DIRECTION('',(-0.646045810153999,0.,-0.763298638268446)); #182716=DIRECTION('',(0.,-1.,0.)); #182717=DIRECTION('',(-0.646045810153999,0.,-0.763298638268446)); #182718=DIRECTION('center_axis',(-0.688080929490634,0.,0.725633953499493)); #182719=DIRECTION('ref_axis',(-0.725633953499493,0.,-0.688080929490634)); #182720=DIRECTION('',(-0.725633953499493,0.,-0.688080929490634)); #182721=DIRECTION('',(0.,-1.,0.)); #182722=DIRECTION('',(-0.725633953499493,0.,-0.688080929490634)); #182723=DIRECTION('center_axis',(-0.572019779754218,0.,0.820239825642437)); #182724=DIRECTION('ref_axis',(-0.820239825642438,0.,-0.572019779754218)); #182725=DIRECTION('',(-0.820239825642438,0.,-0.572019779754218)); #182726=DIRECTION('',(0.,-1.,0.)); #182727=DIRECTION('',(-0.820239825642438,0.,-0.572019779754218)); #182728=DIRECTION('center_axis',(-0.463861149089984,0.,0.885907915285172)); #182729=DIRECTION('ref_axis',(-0.885907915285172,0.,-0.463861149089984)); #182730=DIRECTION('',(-0.885907915285172,0.,-0.463861149089984)); #182731=DIRECTION('',(0.,-1.,0.)); #182732=DIRECTION('',(-0.885907915285172,0.,-0.463861149089984)); #182733=DIRECTION('center_axis',(-0.362922056887481,0.,0.931819500023776)); #182734=DIRECTION('ref_axis',(-0.931819500023776,0.,-0.362922056887481)); #182735=DIRECTION('',(-0.931819500023776,0.,-0.362922056887481)); #182736=DIRECTION('',(0.,-1.,0.)); #182737=DIRECTION('',(-0.931819500023776,0.,-0.362922056887481)); #182738=DIRECTION('center_axis',(-0.271504333512148,0.,0.962437217112953)); #182739=DIRECTION('ref_axis',(-0.962437217112953,0.,-0.271504333512148)); #182740=DIRECTION('',(-0.962437217112953,0.,-0.271504333512148)); #182741=DIRECTION('',(0.,-1.,0.)); #182742=DIRECTION('',(-0.962437217112953,0.,-0.271504333512148)); #182743=DIRECTION('center_axis',(0.,-1.,0.)); #182744=DIRECTION('ref_axis',(0.118647957297379,0.,0.99293638377751)); #182745=DIRECTION('center_axis',(0.,-1.,0.)); #182746=DIRECTION('ref_axis',(0.118647957297379,0.,0.99293638377751)); #182747=DIRECTION('',(0.,-1.,0.)); #182748=DIRECTION('center_axis',(0.,-1.,0.)); #182749=DIRECTION('ref_axis',(0.118647957297379,0.,0.99293638377751)); #182750=DIRECTION('center_axis',(0.181961431007246,0.,0.983305668460116)); #182751=DIRECTION('ref_axis',(-0.983305668460116,0.,0.181961431007246)); #182752=DIRECTION('',(-0.983305668460116,0.,0.181961431007246)); #182753=DIRECTION('',(0.,-1.,0.)); #182754=DIRECTION('',(-0.983305668460116,0.,0.181961431007246)); #182755=DIRECTION('center_axis',(0.262593283464261,0.,0.964906610755392)); #182756=DIRECTION('ref_axis',(-0.964906610755392,0.,0.262593283464261)); #182757=DIRECTION('',(-0.964906610755392,0.,0.262593283464261)); #182758=DIRECTION('',(0.,-1.,0.)); #182759=DIRECTION('',(-0.964906610755392,0.,0.262593283464261)); #182760=DIRECTION('center_axis',(0.352487818998868,0.,0.935816401575342)); #182761=DIRECTION('ref_axis',(-0.935816401575342,0.,0.352487818998868)); #182762=DIRECTION('',(-0.935816401575342,0.,0.352487818998868)); #182763=DIRECTION('',(0.,-1.,0.)); #182764=DIRECTION('',(-0.935816401575342,0.,0.352487818998868)); #182765=DIRECTION('center_axis',(0.451773617558942,0.,0.892132612607401)); #182766=DIRECTION('ref_axis',(-0.892132612607401,0.,0.451773617558942)); #182767=DIRECTION('',(-0.892132612607401,0.,0.451773617558942)); #182768=DIRECTION('',(0.,-1.,0.)); #182769=DIRECTION('',(-0.892132612607401,0.,0.451773617558942)); #182770=DIRECTION('center_axis',(0.559586721330063,0.,0.828771802917468)); #182771=DIRECTION('ref_axis',(-0.828771802917468,0.,0.559586721330063)); #182772=DIRECTION('',(-0.828771802917468,0.,0.559586721330063)); #182773=DIRECTION('',(0.,-1.,0.)); #182774=DIRECTION('',(-0.828771802917468,0.,0.559586721330063)); #182775=DIRECTION('center_axis',(0.669628389912634,0.,0.742696317092668)); #182776=DIRECTION('ref_axis',(-0.742696317092668,0.,0.669628389912634)); #182777=DIRECTION('',(-0.742696317092668,0.,0.669628389912634)); #182778=DIRECTION('',(0.,-1.,0.)); #182779=DIRECTION('',(-0.742696317092668,0.,0.669628389912634)); #182780=DIRECTION('center_axis',(0.745135208467272,0.,0.666913428491611)); #182781=DIRECTION('ref_axis',(-0.666913428491611,0.,0.745135208467272)); #182782=DIRECTION('',(-0.666913428491611,0.,0.745135208467272)); #182783=DIRECTION('',(0.,-1.,0.)); #182784=DIRECTION('',(-0.666913428491611,0.,0.745135208467272)); #182785=DIRECTION('center_axis',(0.808484269464075,0.,0.58851778735153)); #182786=DIRECTION('ref_axis',(-0.58851778735153,0.,0.808484269464075)); #182787=DIRECTION('',(-0.58851778735153,0.,0.808484269464075)); #182788=DIRECTION('',(0.,-1.,0.)); #182789=DIRECTION('',(-0.58851778735153,0.,0.808484269464075)); #182790=DIRECTION('center_axis',(0.866299722121862,0.,0.499524565413538)); #182791=DIRECTION('ref_axis',(-0.499524565413538,0.,0.866299722121862)); #182792=DIRECTION('',(-0.499524565413538,0.,0.866299722121862)); #182793=DIRECTION('',(-0.499524565413538,0.,0.866299722121862)); #182794=DIRECTION('center_axis',(0.,1.,0.)); #182795=DIRECTION('ref_axis',(1.,0.,0.)); #182796=DIRECTION('center_axis',(0.,-1.,0.)); #182797=DIRECTION('ref_axis',(-0.301986044630745,0.,0.95331234590153)); #182798=DIRECTION('center_axis',(0.,-1.,0.)); #182799=DIRECTION('ref_axis',(-0.301986044630745,0.,0.95331234590153)); #182800=DIRECTION('',(0.,-1.,0.)); #182801=DIRECTION('center_axis',(0.,-1.,0.)); #182802=DIRECTION('ref_axis',(-0.301986044630745,0.,0.95331234590153)); #182803=DIRECTION('',(0.,-1.,0.)); #182804=DIRECTION('center_axis',(-0.20220967493777,0.,0.979342252413099)); #182805=DIRECTION('ref_axis',(-0.979342252413099,0.,-0.20220967493777)); #182806=DIRECTION('',(-0.979342252413099,0.,-0.20220967493777)); #182807=DIRECTION('',(0.,-1.,0.)); #182808=DIRECTION('',(-0.979342252413099,0.,-0.20220967493777)); #182809=DIRECTION('center_axis',(0.,-1.,0.)); #182810=DIRECTION('ref_axis',(-0.00523317086366815,0.,0.999986306867605)); #182811=DIRECTION('center_axis',(0.,-1.,0.)); #182812=DIRECTION('ref_axis',(-0.00523317086366815,0.,0.999986306867605)); #182813=DIRECTION('',(0.,-1.,0.)); #182814=DIRECTION('center_axis',(0.,-1.,0.)); #182815=DIRECTION('ref_axis',(-0.00523317086366815,0.,0.999986306867605)); #182816=DIRECTION('center_axis',(0.,-1.,0.)); #182817=DIRECTION('ref_axis',(0.185400332794332,0.,0.982663073794752)); #182818=DIRECTION('center_axis',(0.,-1.,0.)); #182819=DIRECTION('ref_axis',(0.185400332794332,0.,0.982663073794752)); #182820=DIRECTION('',(0.,-1.,0.)); #182821=DIRECTION('center_axis',(0.,-1.,0.)); #182822=DIRECTION('ref_axis',(0.185400332794332,0.,0.982663073794752)); #182823=DIRECTION('center_axis',(0.,-1.,0.)); #182824=DIRECTION('ref_axis',(0.381026881860599,0.,0.924563959550441)); #182825=DIRECTION('center_axis',(0.,-1.,0.)); #182826=DIRECTION('ref_axis',(0.381026881860599,0.,0.924563959550441)); #182827=DIRECTION('',(0.,-1.,0.)); #182828=DIRECTION('center_axis',(0.,-1.,0.)); #182829=DIRECTION('ref_axis',(0.381026881860599,0.,0.924563959550441)); #182830=DIRECTION('center_axis',(0.486954930719525,0.,0.873427097958349)); #182831=DIRECTION('ref_axis',(-0.873427097958349,0.,0.486954930719525)); #182832=DIRECTION('',(-0.873427097958349,0.,0.486954930719525)); #182833=DIRECTION('',(0.,-1.,0.)); #182834=DIRECTION('',(-0.873427097958349,0.,0.486954930719525)); #182835=DIRECTION('center_axis',(0.573632338824468,0.,0.819112898112813)); #182836=DIRECTION('ref_axis',(-0.819112898112813,0.,0.573632338824468)); #182837=DIRECTION('',(-0.819112898112813,0.,0.573632338824468)); #182838=DIRECTION('',(0.,-1.,0.)); #182839=DIRECTION('',(-0.819112898112813,0.,0.573632338824468)); #182840=DIRECTION('center_axis',(0.650588053736416,0.,0.759430829197407)); #182841=DIRECTION('ref_axis',(-0.759430829197407,0.,0.650588053736416)); #182842=DIRECTION('',(-0.759430829197407,0.,0.650588053736416)); #182843=DIRECTION('',(0.,-1.,0.)); #182844=DIRECTION('',(-0.759430829197407,0.,0.650588053736416)); #182845=DIRECTION('center_axis',(0.734121067338016,0.,0.679018599517341)); #182846=DIRECTION('ref_axis',(-0.679018599517341,0.,0.734121067338016)); #182847=DIRECTION('',(-0.679018599517341,0.,0.734121067338016)); #182848=DIRECTION('',(0.,-1.,0.)); #182849=DIRECTION('',(-0.679018599517341,0.,0.734121067338016)); #182850=DIRECTION('center_axis',(0.828661578862214,0.,0.55974993320016)); #182851=DIRECTION('ref_axis',(-0.55974993320016,0.,0.828661578862214)); #182852=DIRECTION('',(-0.55974993320016,0.,0.828661578862214)); #182853=DIRECTION('',(0.,-1.,0.)); #182854=DIRECTION('',(-0.55974993320016,0.,0.828661578862214)); #182855=DIRECTION('center_axis',(0.,-1.,0.)); #182856=DIRECTION('ref_axis',(0.936585052445636,0.,0.350440350894994)); #182857=DIRECTION('center_axis',(0.,-1.,0.)); #182858=DIRECTION('ref_axis',(0.936585052445636,0.,0.350440350894994)); #182859=DIRECTION('',(0.,-1.,0.)); #182860=DIRECTION('center_axis',(0.,-1.,0.)); #182861=DIRECTION('ref_axis',(0.936585052445636,0.,0.350440350894994)); #182862=DIRECTION('center_axis',(0.,-1.,0.)); #182863=DIRECTION('ref_axis',(0.988326113958712,0.,-0.152353183318468)); #182864=DIRECTION('center_axis',(0.,-1.,0.)); #182865=DIRECTION('ref_axis',(0.988326113958712,0.,-0.152353183318468)); #182866=DIRECTION('',(0.,-1.,0.)); #182867=DIRECTION('center_axis',(0.,-1.,0.)); #182868=DIRECTION('ref_axis',(0.988326113958712,0.,-0.152353183318468)); #182869=DIRECTION('center_axis',(0.96345033536621,0.,-0.26788701215762)); #182870=DIRECTION('ref_axis',(0.26788701215762,0.,0.96345033536621)); #182871=DIRECTION('',(0.26788701215762,0.,0.96345033536621)); #182872=DIRECTION('',(0.,-1.,0.)); #182873=DIRECTION('',(0.26788701215762,0.,0.96345033536621)); #182874=DIRECTION('center_axis',(0.933598262460295,0.,-0.358321481816424)); #182875=DIRECTION('ref_axis',(0.358321481816424,0.,0.933598262460295)); #182876=DIRECTION('',(0.358321481816424,0.,0.933598262460295)); #182877=DIRECTION('',(0.,-1.,0.)); #182878=DIRECTION('',(0.358321481816424,0.,0.933598262460295)); #182879=DIRECTION('center_axis',(0.8883795063963,0.,-0.459109848092008)); #182880=DIRECTION('ref_axis',(0.459109848092008,0.,0.8883795063963)); #182881=DIRECTION('',(0.459109848092008,0.,0.8883795063963)); #182882=DIRECTION('',(0.,-1.,0.)); #182883=DIRECTION('',(0.459109848092009,0.,0.8883795063963)); #182884=DIRECTION('center_axis',(0.82526150756957,0.,-0.564750780543065)); #182885=DIRECTION('ref_axis',(0.564750780543065,0.,0.82526150756957)); #182886=DIRECTION('',(0.564750780543065,0.,0.82526150756957)); #182887=DIRECTION('',(0.,-1.,0.)); #182888=DIRECTION('',(0.564750780543065,0.,0.82526150756957)); #182889=DIRECTION('center_axis',(0.727976666666441,0.,-0.685601905473736)); #182890=DIRECTION('ref_axis',(0.685601905473736,0.,0.727976666666441)); #182891=DIRECTION('',(0.685601905473736,0.,0.727976666666441)); #182892=DIRECTION('',(0.,-1.,0.)); #182893=DIRECTION('',(0.685601905473736,0.,0.727976666666441)); #182894=DIRECTION('center_axis',(0.647119955304339,0.,-0.762388197342345)); #182895=DIRECTION('ref_axis',(0.762388197342345,0.,0.647119955304338)); #182896=DIRECTION('',(0.762388197342345,0.,0.647119955304338)); #182897=DIRECTION('',(0.,-1.,0.)); #182898=DIRECTION('',(0.762388197342345,0.,0.647119955304338)); #182899=DIRECTION('center_axis',(0.569413916707032,0.,-0.822050966461543)); #182900=DIRECTION('ref_axis',(0.822050966461543,0.,0.569413916707032)); #182901=DIRECTION('',(0.822050966461543,0.,0.569413916707032)); #182902=DIRECTION('',(0.,-1.,0.)); #182903=DIRECTION('',(0.822050966461543,0.,0.569413916707032)); #182904=DIRECTION('center_axis',(0.481442259353351,0.,-0.876477809706977)); #182905=DIRECTION('ref_axis',(0.876477809706977,0.,0.481442259353351)); #182906=DIRECTION('',(0.876477809706977,0.,0.481442259353351)); #182907=DIRECTION('',(0.,-1.,0.)); #182908=DIRECTION('',(0.876477809706977,0.,0.481442259353351)); #182909=DIRECTION('center_axis',(0.,-1.,0.)); #182910=DIRECTION('ref_axis',(0.290590469009747,0.,-0.956847521458197)); #182911=DIRECTION('center_axis',(0.,-1.,0.)); #182912=DIRECTION('ref_axis',(0.290590469009747,0.,-0.956847521458197)); #182913=DIRECTION('',(0.,-1.,0.)); #182914=DIRECTION('center_axis',(0.,-1.,0.)); #182915=DIRECTION('ref_axis',(0.290590469009747,0.,-0.956847521458197)); #182916=DIRECTION('center_axis',(0.18121470966149,0.,-0.98344355659199)); #182917=DIRECTION('ref_axis',(0.98344355659199,0.,0.18121470966149)); #182918=DIRECTION('',(0.98344355659199,0.,0.18121470966149)); #182919=DIRECTION('',(0.,-1.,0.)); #182920=DIRECTION('',(0.98344355659199,0.,0.18121470966149)); #182921=DIRECTION('center_axis',(0.,-1.,0.)); #182922=DIRECTION('ref_axis',(-0.0187850374796594,0.,-0.999823545615369)); #182923=DIRECTION('center_axis',(0.,-1.,0.)); #182924=DIRECTION('ref_axis',(-0.0187850374796594,0.,-0.999823545615369)); #182925=DIRECTION('',(0.,-1.,0.)); #182926=DIRECTION('center_axis',(0.,-1.,0.)); #182927=DIRECTION('ref_axis',(-0.0187850374796594,0.,-0.999823545615369)); #182928=DIRECTION('center_axis',(-0.108620735955846,0.,-0.994083263977626)); #182929=DIRECTION('ref_axis',(0.994083263977626,0.,-0.108620735955846)); #182930=DIRECTION('',(0.994083263977626,0.,-0.108620735955846)); #182931=DIRECTION('',(0.,-1.,0.)); #182932=DIRECTION('',(0.994083263977626,0.,-0.108620735955846)); #182933=DIRECTION('center_axis',(-0.20742048940971,0.,-0.978251879923078)); #182934=DIRECTION('ref_axis',(0.978251879923078,0.,-0.20742048940971)); #182935=DIRECTION('',(0.978251879923078,0.,-0.20742048940971)); #182936=DIRECTION('',(0.,-1.,0.)); #182937=DIRECTION('',(0.978251879923078,0.,-0.20742048940971)); #182938=DIRECTION('center_axis',(-0.311084072184407,0.,-0.950382396739948)); #182939=DIRECTION('ref_axis',(0.950382396739948,0.,-0.311084072184406)); #182940=DIRECTION('',(0.950382396739948,0.,-0.311084072184406)); #182941=DIRECTION('',(0.,-1.,0.)); #182942=DIRECTION('',(0.950382396739948,0.,-0.311084072184406)); #182943=DIRECTION('center_axis',(0.,-1.,0.)); #182944=DIRECTION('ref_axis',(-0.503424959500284,0.,-0.864038951756307)); #182945=DIRECTION('center_axis',(0.,-1.,0.)); #182946=DIRECTION('ref_axis',(-0.503424959500284,0.,-0.864038951756307)); #182947=DIRECTION('',(0.,-1.,0.)); #182948=DIRECTION('center_axis',(0.,-1.,0.)); #182949=DIRECTION('ref_axis',(-0.503424959500284,0.,-0.864038951756307)); #182950=DIRECTION('center_axis',(-0.606983150443583,0.,-0.794714700428765)); #182951=DIRECTION('ref_axis',(0.794714700428765,0.,-0.606983150443583)); #182952=DIRECTION('',(0.794714700428765,0.,-0.606983150443583)); #182953=DIRECTION('',(0.,-1.,0.)); #182954=DIRECTION('',(0.794714700428765,0.,-0.606983150443583)); #182955=DIRECTION('center_axis',(-0.690623120862545,0.,-0.723214840092541)); #182956=DIRECTION('ref_axis',(0.723214840092541,0.,-0.690623120862545)); #182957=DIRECTION('',(0.723214840092541,0.,-0.690623120862545)); #182958=DIRECTION('',(0.,-1.,0.)); #182959=DIRECTION('',(0.723214840092541,0.,-0.690623120862545)); #182960=DIRECTION('center_axis',(-0.772305094468575,0.,-0.635251793431459)); #182961=DIRECTION('ref_axis',(0.635251793431459,0.,-0.772305094468575)); #182962=DIRECTION('',(0.635251793431459,0.,-0.772305094468575)); #182963=DIRECTION('',(0.,-1.,0.)); #182964=DIRECTION('',(0.635251793431459,0.,-0.772305094468575)); #182965=DIRECTION('center_axis',(-0.851258936250613,0.,-0.524745865589691)); #182966=DIRECTION('ref_axis',(0.524745865589691,0.,-0.851258936250613)); #182967=DIRECTION('',(0.524745865589691,0.,-0.851258936250613)); #182968=DIRECTION('',(0.,-1.,0.)); #182969=DIRECTION('',(0.524745865589691,0.,-0.851258936250613)); #182970=DIRECTION('center_axis',(-0.908953120556657,0.,-0.416898338483515)); #182971=DIRECTION('ref_axis',(0.416898338483515,0.,-0.908953120556657)); #182972=DIRECTION('',(0.416898338483515,0.,-0.908953120556657)); #182973=DIRECTION('',(0.,-1.,0.)); #182974=DIRECTION('',(0.416898338483515,0.,-0.908953120556657)); #182975=DIRECTION('center_axis',(0.,-1.,0.)); #182976=DIRECTION('ref_axis',(-0.974713355025535,0.,-0.22345889003319)); #182977=DIRECTION('center_axis',(0.,-1.,0.)); #182978=DIRECTION('ref_axis',(-0.974713355025535,0.,-0.22345889003319)); #182979=DIRECTION('',(0.,-1.,0.)); #182980=DIRECTION('center_axis',(0.,-1.,0.)); #182981=DIRECTION('ref_axis',(-0.974713355025535,0.,-0.22345889003319)); #182982=DIRECTION('center_axis',(0.,-1.,0.)); #182983=DIRECTION('ref_axis',(-0.997229644565962,0.,-0.0743843800730058)); #182984=DIRECTION('center_axis',(0.,-1.,0.)); #182985=DIRECTION('ref_axis',(-0.997229644565962,0.,-0.0743843800730058)); #182986=DIRECTION('',(0.,-1.,0.)); #182987=DIRECTION('center_axis',(0.,-1.,0.)); #182988=DIRECTION('ref_axis',(-0.997229644565962,0.,-0.0743843800730058)); #182989=DIRECTION('center_axis',(-0.999387630104838,0.,-0.0349909244438409)); #182990=DIRECTION('ref_axis',(0.0349909244438409,0.,-0.999387630104838)); #182991=DIRECTION('',(0.0349909244438409,0.,-0.999387630104838)); #182992=DIRECTION('',(0.,-1.,0.)); #182993=DIRECTION('',(0.0349909244438409,0.,-0.999387630104838)); #182994=DIRECTION('center_axis',(-0.999999998465951,0.,-5.53904099800412E-5)); #182995=DIRECTION('ref_axis',(5.53904099800412E-5,0.,-0.999999998465951)); #182996=DIRECTION('',(5.53904099800412E-5,0.,-0.999999998465951)); #182997=DIRECTION('',(0.,-1.,0.)); #182998=DIRECTION('',(5.53904099800412E-5,0.,-0.999999998465951)); #182999=DIRECTION('center_axis',(-0.00156154536639549,0.,-0.999998780787291)); #183000=DIRECTION('ref_axis',(0.999998780787291,0.,-0.00156154536639549)); #183001=DIRECTION('',(0.999998780787291,0.,-0.00156154536639549)); #183002=DIRECTION('',(0.,-1.,0.)); #183003=DIRECTION('',(0.999998780787291,0.,-0.00156154536639549)); #183004=DIRECTION('center_axis',(0.,0.,-1.)); #183005=DIRECTION('ref_axis',(1.,0.,0.)); #183006=DIRECTION('',(1.,0.,0.)); #183007=DIRECTION('',(0.,-1.,0.)); #183008=DIRECTION('',(1.,0.,0.)); #183009=DIRECTION('center_axis',(0.510842518892189,0.,0.859674310941)); #183010=DIRECTION('ref_axis',(-0.859674310941,0.,0.510842518892189)); #183011=DIRECTION('',(-0.859674310941,0.,0.510842518892189)); #183012=DIRECTION('',(0.,-1.,0.)); #183013=DIRECTION('',(-0.859674310941,0.,0.510842518892189)); #183014=DIRECTION('center_axis',(0.509421435110536,0.,0.860517170921023)); #183015=DIRECTION('ref_axis',(-0.860517170921023,0.,0.509421435110536)); #183016=DIRECTION('',(-0.860517170921023,0.,0.509421435110536)); #183017=DIRECTION('',(0.,-1.,0.)); #183018=DIRECTION('',(-0.860517170921023,0.,0.509421435110536)); #183019=DIRECTION('center_axis',(0.,-1.,0.)); #183020=DIRECTION('ref_axis',(0.999970458030006,0.,-0.00768655106399306)); #183021=DIRECTION('center_axis',(0.,-1.,0.)); #183022=DIRECTION('ref_axis',(0.999970458030006,0.,-0.00768655106399306)); #183023=DIRECTION('',(0.,-1.,0.)); #183024=DIRECTION('center_axis',(0.,-1.,0.)); #183025=DIRECTION('ref_axis',(0.999970458030006,0.,-0.00768655106399306)); #183026=DIRECTION('center_axis',(0.,0.,-1.)); #183027=DIRECTION('ref_axis',(1.,0.,0.)); #183028=DIRECTION('',(1.,0.,0.)); #183029=DIRECTION('',(0.,-1.,0.)); #183030=DIRECTION('',(1.,0.,0.)); #183031=DIRECTION('center_axis',(3.91408398952567E-5,0.,-0.999999999233997)); #183032=DIRECTION('ref_axis',(0.999999999233997,0.,3.91408398952567E-5)); #183033=DIRECTION('',(0.999999999233997,0.,3.91408398952567E-5)); #183034=DIRECTION('',(0.,-1.,0.)); #183035=DIRECTION('',(0.999999999233997,0.,3.91408398952567E-5)); #183036=DIRECTION('center_axis',(0.,-1.,0.)); #183037=DIRECTION('ref_axis',(0.998177130652534,0.,-0.0603524302930155)); #183038=DIRECTION('center_axis',(0.,-1.,0.)); #183039=DIRECTION('ref_axis',(0.998177130652534,0.,-0.0603524302930155)); #183040=DIRECTION('',(0.,-1.,0.)); #183041=DIRECTION('center_axis',(0.,-1.,0.)); #183042=DIRECTION('ref_axis',(0.998177130652534,0.,-0.0603524302930155)); #183043=DIRECTION('center_axis',(0.988646933531122,0.,-0.150257248808534)); #183044=DIRECTION('ref_axis',(0.150257248808534,0.,0.988646933531122)); #183045=DIRECTION('',(0.150257248808534,0.,0.988646933531122)); #183046=DIRECTION('',(0.,-1.,0.)); #183047=DIRECTION('',(0.150257248808534,0.,0.988646933531122)); #183048=DIRECTION('center_axis',(0.974316070523206,0.,-0.225184801263804)); #183049=DIRECTION('ref_axis',(0.225184801263804,0.,0.974316070523206)); #183050=DIRECTION('',(0.225184801263804,0.,0.974316070523206)); #183051=DIRECTION('',(0.,-1.,0.)); #183052=DIRECTION('',(0.225184801263804,0.,0.974316070523206)); #183053=DIRECTION('center_axis',(0.950029483220512,0.,-0.312160184859902)); #183054=DIRECTION('ref_axis',(0.312160184859902,0.,0.950029483220511)); #183055=DIRECTION('',(0.312160184859902,0.,0.950029483220511)); #183056=DIRECTION('',(0.,-1.,0.)); #183057=DIRECTION('',(0.312160184859902,0.,0.950029483220511)); #183058=DIRECTION('center_axis',(0.911551521572712,0.,-0.411185874658255)); #183059=DIRECTION('ref_axis',(0.411185874658255,0.,0.911551521572712)); #183060=DIRECTION('',(0.411185874658255,0.,0.911551521572712)); #183061=DIRECTION('',(0.,-1.,0.)); #183062=DIRECTION('',(0.411185874658255,0.,0.911551521572712)); #183063=DIRECTION('center_axis',(0.854557515613256,0.,-0.519356768039948)); #183064=DIRECTION('ref_axis',(0.519356768039948,0.,0.854557515613256)); #183065=DIRECTION('',(0.519356768039948,0.,0.854557515613256)); #183066=DIRECTION('',(0.,-1.,0.)); #183067=DIRECTION('',(0.519356768039948,0.,0.854557515613256)); #183068=DIRECTION('center_axis',(0.777535864581817,0.,-0.628838595578393)); #183069=DIRECTION('ref_axis',(0.628838595578393,0.,0.777535864581817)); #183070=DIRECTION('',(0.628838595578393,0.,0.777535864581817)); #183071=DIRECTION('',(0.,-1.,0.)); #183072=DIRECTION('',(0.628838595578393,0.,0.777535864581817)); #183073=DIRECTION('center_axis',(0.7092391416307,0.,-0.704967970888712)); #183074=DIRECTION('ref_axis',(0.704967970888712,0.,0.7092391416307)); #183075=DIRECTION('',(0.704967970888712,0.,0.7092391416307)); #183076=DIRECTION('',(0.,-1.,0.)); #183077=DIRECTION('',(0.704967970888712,0.,0.7092391416307)); #183078=DIRECTION('center_axis',(0.625590865986546,0.,-0.780151311217384)); #183079=DIRECTION('ref_axis',(0.780151311217384,0.,0.625590865986546)); #183080=DIRECTION('',(0.780151311217384,0.,0.625590865986546)); #183081=DIRECTION('',(0.,-1.,0.)); #183082=DIRECTION('',(0.780151311217384,0.,0.625590865986546)); #183083=DIRECTION('center_axis',(0.529748406569153,0.,-0.848154835945326)); #183084=DIRECTION('ref_axis',(0.848154835945326,0.,0.529748406569153)); #183085=DIRECTION('',(0.848154835945326,0.,0.529748406569153)); #183086=DIRECTION('',(0.,-1.,0.)); #183087=DIRECTION('',(0.848154835945326,0.,0.529748406569153)); #183088=DIRECTION('center_axis',(0.428315233731529,0.,-0.903629382298686)); #183089=DIRECTION('ref_axis',(0.903629382298686,0.,0.428315233731529)); #183090=DIRECTION('',(0.903629382298686,0.,0.428315233731529)); #183091=DIRECTION('',(0.,-1.,0.)); #183092=DIRECTION('',(0.903629382298686,0.,0.428315233731529)); #183093=DIRECTION('center_axis',(0.321427947703073,0.,-0.946934039115392)); #183094=DIRECTION('ref_axis',(0.946934039115392,0.,0.321427947703073)); #183095=DIRECTION('',(0.946934039115392,0.,0.321427947703073)); #183096=DIRECTION('',(0.,-1.,0.)); #183097=DIRECTION('',(0.946934039115392,0.,0.321427947703073)); #183098=DIRECTION('center_axis',(0.216341857626454,0.,-0.97631767403788)); #183099=DIRECTION('ref_axis',(0.97631767403788,0.,0.216341857626454)); #183100=DIRECTION('',(0.97631767403788,0.,0.216341857626454)); #183101=DIRECTION('',(0.,-1.,0.)); #183102=DIRECTION('',(0.97631767403788,0.,0.216341857626454)); #183103=DIRECTION('center_axis',(0.114697480948482,0.,-0.993400467014221)); #183104=DIRECTION('ref_axis',(0.993400467014221,0.,0.114697480948482)); #183105=DIRECTION('',(0.993400467014221,0.,0.114697480948482)); #183106=DIRECTION('',(0.,-1.,0.)); #183107=DIRECTION('',(0.993400467014221,0.,0.114697480948482)); #183108=DIRECTION('center_axis',(0.0195758029029619,0.,-0.999808375610399)); #183109=DIRECTION('ref_axis',(0.999808375610399,0.,0.0195758029029619)); #183110=DIRECTION('',(0.999808375610399,0.,0.0195758029029619)); #183111=DIRECTION('',(0.,-1.,0.)); #183112=DIRECTION('',(0.999808375610399,0.,0.0195758029029619)); #183113=DIRECTION('center_axis',(-0.0712619449821432,0.,-0.997457635790795)); #183114=DIRECTION('ref_axis',(0.997457635790795,0.,-0.0712619449821432)); #183115=DIRECTION('',(0.997457635790795,0.,-0.0712619449821432)); #183116=DIRECTION('',(0.,-1.,0.)); #183117=DIRECTION('',(0.997457635790795,0.,-0.0712619449821432)); #183118=DIRECTION('center_axis',(-0.169738875201429,0.,-0.985489073630628)); #183119=DIRECTION('ref_axis',(0.985489073630628,0.,-0.169738875201429)); #183120=DIRECTION('',(0.985489073630628,0.,-0.169738875201429)); #183121=DIRECTION('',(0.,-1.,0.)); #183122=DIRECTION('',(0.985489073630628,0.,-0.169738875201429)); #183123=DIRECTION('center_axis',(-0.274215181333629,0.,-0.96166835984458)); #183124=DIRECTION('ref_axis',(0.96166835984458,0.,-0.274215181333629)); #183125=DIRECTION('',(0.96166835984458,0.,-0.274215181333629)); #183126=DIRECTION('',(0.,-1.,0.)); #183127=DIRECTION('',(0.96166835984458,0.,-0.274215181333629)); #183128=DIRECTION('center_axis',(-0.379718170127284,0.,-0.925102216663211)); #183129=DIRECTION('ref_axis',(0.925102216663211,0.,-0.379718170127284)); #183130=DIRECTION('',(0.925102216663211,0.,-0.379718170127284)); #183131=DIRECTION('',(0.,-1.,0.)); #183132=DIRECTION('',(0.925102216663211,0.,-0.379718170127284)); #183133=DIRECTION('center_axis',(-0.486235414885419,0.,-0.87382785565076)); #183134=DIRECTION('ref_axis',(0.873827855650759,0.,-0.486235414885419)); #183135=DIRECTION('',(0.873827855650759,0.,-0.486235414885419)); #183136=DIRECTION('',(0.,-1.,0.)); #183137=DIRECTION('',(0.873827855650759,0.,-0.486235414885419)); #183138=DIRECTION('center_axis',(-0.58633672860654,0.,-0.810067429716181)); #183139=DIRECTION('ref_axis',(0.810067429716181,0.,-0.58633672860654)); #183140=DIRECTION('',(0.810067429716181,0.,-0.58633672860654)); #183141=DIRECTION('',(0.,-1.,0.)); #183142=DIRECTION('',(0.810067429716181,0.,-0.58633672860654)); #183143=DIRECTION('center_axis',(-0.676571770033454,0.,-0.736376697074126)); #183144=DIRECTION('ref_axis',(0.736376697074126,0.,-0.676571770033454)); #183145=DIRECTION('',(0.736376697074126,0.,-0.676571770033454)); #183146=DIRECTION('',(0.,-1.,0.)); #183147=DIRECTION('',(0.736376697074126,0.,-0.676571770033454)); #183148=DIRECTION('center_axis',(-0.748369320031635,0.,-0.663282263320367)); #183149=DIRECTION('ref_axis',(0.663282263320367,0.,-0.748369320031635)); #183150=DIRECTION('',(0.663282263320367,0.,-0.748369320031635)); #183151=DIRECTION('',(0.,-1.,0.)); #183152=DIRECTION('',(0.663282263320367,0.,-0.748369320031635)); #183153=DIRECTION('center_axis',(-0.818406754163195,0.,-0.574639351889568)); #183154=DIRECTION('ref_axis',(0.574639351889568,0.,-0.818406754163195)); #183155=DIRECTION('',(0.574639351889568,0.,-0.818406754163195)); #183156=DIRECTION('',(0.,-1.,0.)); #183157=DIRECTION('',(0.574639351889568,0.,-0.818406754163195)); #183158=DIRECTION('center_axis',(-0.886971302871321,0.,-0.461824542313151)); #183159=DIRECTION('ref_axis',(0.461824542313151,0.,-0.886971302871321)); #183160=DIRECTION('',(0.461824542313151,0.,-0.886971302871321)); #183161=DIRECTION('',(0.,-1.,0.)); #183162=DIRECTION('',(0.461824542313151,0.,-0.886971302871321)); #183163=DIRECTION('center_axis',(0.,-1.,0.)); #183164=DIRECTION('ref_axis',(-0.969355550124149,0.,-0.245661998370747)); #183165=DIRECTION('center_axis',(0.,-1.,0.)); #183166=DIRECTION('ref_axis',(-0.969355550124149,0.,-0.245661998370747)); #183167=DIRECTION('',(0.,-1.,0.)); #183168=DIRECTION('center_axis',(0.,-1.,0.)); #183169=DIRECTION('ref_axis',(-0.969355550124149,0.,-0.245661998370747)); #183170=DIRECTION('center_axis',(0.,-1.,0.)); #183171=DIRECTION('ref_axis',(-0.993558584611074,0.,0.113319631775081)); #183172=DIRECTION('center_axis',(0.,-1.,0.)); #183173=DIRECTION('ref_axis',(-0.993558584611074,0.,0.113319631775081)); #183174=DIRECTION('',(0.,-1.,0.)); #183175=DIRECTION('center_axis',(0.,-1.,0.)); #183176=DIRECTION('ref_axis',(-0.993558584611074,0.,0.113319631775081)); #183177=DIRECTION('center_axis',(-0.985646453123447,0.,0.168822597554856)); #183178=DIRECTION('ref_axis',(-0.168822597554856,0.,-0.985646453123447)); #183179=DIRECTION('',(-0.168822597554856,0.,-0.985646453123447)); #183180=DIRECTION('',(0.,-1.,0.)); #183181=DIRECTION('',(-0.168822597554856,0.,-0.985646453123447)); #183182=DIRECTION('center_axis',(-0.968499879682064,0.,0.249014021805656)); #183183=DIRECTION('ref_axis',(-0.249014021805656,0.,-0.968499879682064)); #183184=DIRECTION('',(-0.249014021805656,0.,-0.968499879682064)); #183185=DIRECTION('',(0.,-1.,0.)); #183186=DIRECTION('',(-0.249014021805656,0.,-0.968499879682064)); #183187=DIRECTION('center_axis',(-0.939574664176626,0.,0.342344052726757)); #183188=DIRECTION('ref_axis',(-0.342344052726757,0.,-0.939574664176626)); #183189=DIRECTION('',(-0.342344052726757,0.,-0.939574664176626)); #183190=DIRECTION('',(0.,-1.,0.)); #183191=DIRECTION('',(-0.342344052726757,0.,-0.939574664176626)); #183192=DIRECTION('center_axis',(-0.894032649249325,0.,0.448001810349281)); #183193=DIRECTION('ref_axis',(-0.448001810349281,0.,-0.894032649249325)); #183194=DIRECTION('',(-0.448001810349281,0.,-0.894032649249325)); #183195=DIRECTION('',(0.,-1.,0.)); #183196=DIRECTION('',(-0.448001810349281,0.,-0.894032649249325)); #183197=DIRECTION('center_axis',(-0.825652807153511,0.,0.564178555104257)); #183198=DIRECTION('ref_axis',(-0.564178555104257,0.,-0.825652807153511)); #183199=DIRECTION('',(-0.564178555104257,0.,-0.825652807153511)); #183200=DIRECTION('',(0.,-1.,0.)); #183201=DIRECTION('',(-0.564178555104257,0.,-0.825652807153511)); #183202=DIRECTION('center_axis',(-0.748083538598305,0.,0.663604565444089)); #183203=DIRECTION('ref_axis',(-0.663604565444089,0.,-0.748083538598305)); #183204=DIRECTION('',(-0.663604565444089,0.,-0.748083538598305)); #183205=DIRECTION('',(0.,-1.,0.)); #183206=DIRECTION('',(-0.663604565444089,0.,-0.748083538598305)); #183207=DIRECTION('center_axis',(-0.680345013273886,0.,0.732891985843314)); #183208=DIRECTION('ref_axis',(-0.732891985843314,0.,-0.680345013273886)); #183209=DIRECTION('',(-0.732891985843314,0.,-0.680345013273886)); #183210=DIRECTION('',(0.,-1.,0.)); #183211=DIRECTION('',(-0.732891985843314,0.,-0.680345013273886)); #183212=DIRECTION('center_axis',(-0.591819376867756,0.,0.806070608051094)); #183213=DIRECTION('ref_axis',(-0.806070608051094,0.,-0.591819376867756)); #183214=DIRECTION('',(-0.806070608051094,0.,-0.591819376867756)); #183215=DIRECTION('',(0.,-1.,0.)); #183216=DIRECTION('',(-0.806070608051094,0.,-0.591819376867756)); #183217=DIRECTION('center_axis',(-0.49520657512561,0.,0.868775257447151)); #183218=DIRECTION('ref_axis',(-0.868775257447151,0.,-0.49520657512561)); #183219=DIRECTION('',(-0.868775257447151,0.,-0.49520657512561)); #183220=DIRECTION('',(0.,-1.,0.)); #183221=DIRECTION('',(-0.868775257447151,0.,-0.49520657512561)); #183222=DIRECTION('center_axis',(-0.393947233388883,0.,0.919133057454276)); #183223=DIRECTION('ref_axis',(-0.919133057454276,0.,-0.393947233388883)); #183224=DIRECTION('',(-0.919133057454276,0.,-0.393947233388883)); #183225=DIRECTION('',(0.,-1.,0.)); #183226=DIRECTION('',(-0.919133057454276,0.,-0.393947233388883)); #183227=DIRECTION('center_axis',(0.,-1.,0.)); #183228=DIRECTION('ref_axis',(-0.101880852149822,0.,0.99479660834023)); #183229=DIRECTION('center_axis',(0.,-1.,0.)); #183230=DIRECTION('ref_axis',(-0.101880852149822,0.,0.99479660834023)); #183231=DIRECTION('',(0.,-1.,0.)); #183232=DIRECTION('center_axis',(0.,-1.,0.)); #183233=DIRECTION('ref_axis',(-0.101880852149822,0.,0.99479660834023)); #183234=DIRECTION('center_axis',(0.00554747987324133,0.,0.999984612615142)); #183235=DIRECTION('ref_axis',(-0.999984612615142,0.,0.00554747987324133)); #183236=DIRECTION('',(-0.999984612615142,0.,0.00554747987324133)); #183237=DIRECTION('',(0.,-1.,0.)); #183238=DIRECTION('',(-0.999984612615142,0.,0.00554747987324133)); #183239=DIRECTION('center_axis',(0.0983628716273721,0.,0.995150614472612)); #183240=DIRECTION('ref_axis',(-0.995150614472612,0.,0.0983628716273721)); #183241=DIRECTION('',(-0.995150614472612,0.,0.0983628716273721)); #183242=DIRECTION('',(0.,-1.,0.)); #183243=DIRECTION('',(-0.995150614472612,0.,0.0983628716273721)); #183244=DIRECTION('center_axis',(0.198412630333377,0.,0.980118578603727)); #183245=DIRECTION('ref_axis',(-0.980118578603727,0.,0.198412630333377)); #183246=DIRECTION('',(-0.980118578603727,0.,0.198412630333377)); #183247=DIRECTION('',(0.,-1.,0.)); #183248=DIRECTION('',(-0.980118578603727,0.,0.198412630333377)); #183249=DIRECTION('center_axis',(0.303710684490177,0.,0.952764304603457)); #183250=DIRECTION('ref_axis',(-0.952764304603456,0.,0.303710684490177)); #183251=DIRECTION('',(-0.952764304603456,0.,0.303710684490177)); #183252=DIRECTION('',(0.,-1.,0.)); #183253=DIRECTION('',(-0.952764304603456,0.,0.303710684490177)); #183254=DIRECTION('center_axis',(0.410720032540815,0.,0.911761512057661)); #183255=DIRECTION('ref_axis',(-0.911761512057661,0.,0.410720032540815)); #183256=DIRECTION('',(-0.911761512057661,0.,0.410720032540815)); #183257=DIRECTION('',(0.,-1.,0.)); #183258=DIRECTION('',(-0.911761512057661,0.,0.410720032540815)); #183259=DIRECTION('center_axis',(0.5132645720204,0.,0.858230434736916)); #183260=DIRECTION('ref_axis',(-0.858230434736916,0.,0.5132645720204)); #183261=DIRECTION('',(-0.858230434736916,0.,0.5132645720204)); #183262=DIRECTION('',(0.,-1.,0.)); #183263=DIRECTION('',(-0.858230434736916,0.,0.5132645720204)); #183264=DIRECTION('center_axis',(0.610089260604616,0.,0.792332691547505)); #183265=DIRECTION('ref_axis',(-0.792332691547505,0.,0.610089260604616)); #183266=DIRECTION('',(-0.792332691547505,0.,0.610089260604616)); #183267=DIRECTION('',(0.,-1.,0.)); #183268=DIRECTION('',(-0.792332691547505,0.,0.610089260604616)); #183269=DIRECTION('center_axis',(0.696195160618554,0.,0.717852560301421)); #183270=DIRECTION('ref_axis',(-0.717852560301421,0.,0.696195160618554)); #183271=DIRECTION('',(-0.717852560301421,0.,0.696195160618554)); #183272=DIRECTION('',(0.,-1.,0.)); #183273=DIRECTION('',(-0.717852560301421,0.,0.696195160618554)); #183274=DIRECTION('center_axis',(0.767990513456453,0.,0.640461217593145)); #183275=DIRECTION('ref_axis',(-0.640461217593145,0.,0.767990513456453)); #183276=DIRECTION('',(-0.640461217593145,0.,0.767990513456453)); #183277=DIRECTION('',(0.,-1.,0.)); #183278=DIRECTION('',(-0.640461217593145,0.,0.767990513456453)); #183279=DIRECTION('center_axis',(0.843678445915223,0.,0.536848842690449)); #183280=DIRECTION('ref_axis',(-0.536848842690449,0.,0.843678445915223)); #183281=DIRECTION('',(-0.536848842690449,0.,0.843678445915223)); #183282=DIRECTION('',(0.,-1.,0.)); #183283=DIRECTION('',(-0.536848842690449,0.,0.843678445915223)); #183284=DIRECTION('center_axis',(0.903536476062749,0.,0.428511185879797)); #183285=DIRECTION('ref_axis',(-0.428511185879797,0.,0.903536476062749)); #183286=DIRECTION('',(-0.428511185879797,0.,0.903536476062749)); #183287=DIRECTION('',(0.,-1.,0.)); #183288=DIRECTION('',(-0.428511185879797,0.,0.903536476062749)); #183289=DIRECTION('center_axis',(0.,-1.,0.)); #183290=DIRECTION('ref_axis',(0.975588569197453,0.,0.219606337912336)); #183291=DIRECTION('center_axis',(0.,-1.,0.)); #183292=DIRECTION('ref_axis',(0.975588569197453,0.,0.219606337912336)); #183293=DIRECTION('',(0.,-1.,0.)); #183294=DIRECTION('center_axis',(0.,-1.,0.)); #183295=DIRECTION('ref_axis',(0.975588569197453,0.,0.219606337912336)); #183296=DIRECTION('center_axis',(0.,-1.,0.)); #183297=DIRECTION('ref_axis',(0.997353191626246,0.,0.0727090857660914)); #183298=DIRECTION('center_axis',(0.,-1.,0.)); #183299=DIRECTION('ref_axis',(0.997353191626246,0.,0.0727090857660914)); #183300=DIRECTION('',(0.,-1.,0.)); #183301=DIRECTION('center_axis',(0.,-1.,0.)); #183302=DIRECTION('ref_axis',(0.997353191626246,0.,0.0727090857660914)); #183303=DIRECTION('center_axis',(0.999189887847058,0.,0.0402438569744966)); #183304=DIRECTION('ref_axis',(-0.0402438569744966,0.,0.999189887847058)); #183305=DIRECTION('',(-0.0402438569744966,0.,0.999189887847058)); #183306=DIRECTION('',(0.,-1.,0.)); #183307=DIRECTION('',(-0.0402438569744966,0.,0.999189887847058)); #183308=DIRECTION('center_axis',(0.999999991754764,0.,0.000128415232664001)); #183309=DIRECTION('ref_axis',(-0.000128415232664001,0.,0.999999991754764)); #183310=DIRECTION('',(-0.000128415232664001,0.,0.999999991754764)); #183311=DIRECTION('',(0.,-1.,0.)); #183312=DIRECTION('',(-0.000128415232664001,0.,0.999999991754764)); #183313=DIRECTION('center_axis',(-1.90579361223527E-5,0.,0.999999999818398)); #183314=DIRECTION('ref_axis',(-0.999999999818397,0.,-1.90579361223527E-5)); #183315=DIRECTION('',(-0.999999999818397,0.,-1.90579361223527E-5)); #183316=DIRECTION('',(0.,-1.,0.)); #183317=DIRECTION('',(-0.999999999818397,0.,-1.90579361223527E-5)); #183318=DIRECTION('center_axis',(-0.509336373325373,0.,-0.860567521353064)); #183319=DIRECTION('ref_axis',(0.860567521353064,0.,-0.509336373325373)); #183320=DIRECTION('',(0.860567521353064,0.,-0.509336373325373)); #183321=DIRECTION('',(0.,-1.,0.)); #183322=DIRECTION('',(0.860567521353064,0.,-0.509336373325373)); #183323=DIRECTION('center_axis',(0.,-1.,0.)); #183324=DIRECTION('ref_axis',(-0.989701486053407,0.,0.143146667805007)); #183325=DIRECTION('center_axis',(0.,-1.,0.)); #183326=DIRECTION('ref_axis',(-0.989701486053407,0.,0.143146667805007)); #183327=DIRECTION('',(0.,-1.,0.)); #183328=DIRECTION('center_axis',(0.,-1.,0.)); #183329=DIRECTION('ref_axis',(-0.989701486053407,0.,0.143146667805007)); #183330=DIRECTION('center_axis',(-0.95743682955123,0.,0.288642889084228)); #183331=DIRECTION('ref_axis',(-0.288642889084228,0.,-0.95743682955123)); #183332=DIRECTION('',(-0.288642889084228,0.,-0.95743682955123)); #183333=DIRECTION('',(0.,-1.,0.)); #183334=DIRECTION('',(-0.288642889084228,0.,-0.95743682955123)); #183335=DIRECTION('center_axis',(-0.923298494720146,0.,0.38408318063606)); #183336=DIRECTION('ref_axis',(-0.38408318063606,0.,-0.923298494720146)); #183337=DIRECTION('',(-0.38408318063606,0.,-0.923298494720146)); #183338=DIRECTION('',(0.,-1.,0.)); #183339=DIRECTION('',(-0.38408318063606,0.,-0.923298494720146)); #183340=DIRECTION('center_axis',(-0.871410058833746,0.,0.49055530714015)); #183341=DIRECTION('ref_axis',(-0.49055530714015,0.,-0.871410058833746)); #183342=DIRECTION('',(-0.49055530714015,0.,-0.871410058833746)); #183343=DIRECTION('',(0.,-1.,0.)); #183344=DIRECTION('',(-0.49055530714015,0.,-0.871410058833746)); #183345=DIRECTION('center_axis',(-0.797595142149114,0.,0.603193160786936)); #183346=DIRECTION('ref_axis',(-0.603193160786936,0.,-0.797595142149114)); #183347=DIRECTION('',(-0.603193160786936,0.,-0.797595142149114)); #183348=DIRECTION('',(0.,-1.,0.)); #183349=DIRECTION('',(-0.603193160786936,0.,-0.797595142149114)); #183350=DIRECTION('center_axis',(-0.733120095055217,0.,0.680099203224228)); #183351=DIRECTION('ref_axis',(-0.680099203224228,0.,-0.733120095055217)); #183352=DIRECTION('',(-0.680099203224228,0.,-0.733120095055217)); #183353=DIRECTION('',(0.,-1.,0.)); #183354=DIRECTION('',(-0.680099203224228,0.,-0.733120095055217)); #183355=DIRECTION('center_axis',(-0.651113306677929,0.,0.75898054116488)); #183356=DIRECTION('ref_axis',(-0.75898054116488,0.,-0.651113306677929)); #183357=DIRECTION('',(-0.75898054116488,0.,-0.651113306677929)); #183358=DIRECTION('',(0.,-1.,0.)); #183359=DIRECTION('',(-0.75898054116488,0.,-0.651113306677929)); #183360=DIRECTION('center_axis',(-0.556311964258266,0.,0.8309735245019)); #183361=DIRECTION('ref_axis',(-0.8309735245019,0.,-0.556311964258266)); #183362=DIRECTION('',(-0.8309735245019,0.,-0.556311964258266)); #183363=DIRECTION('',(0.,-1.,0.)); #183364=DIRECTION('',(-0.8309735245019,0.,-0.556311964258266)); #183365=DIRECTION('center_axis',(-0.453531831224205,0.,0.891240078803921)); #183366=DIRECTION('ref_axis',(-0.891240078803921,0.,-0.453531831224205)); #183367=DIRECTION('',(-0.891240078803921,0.,-0.453531831224205)); #183368=DIRECTION('',(0.,-1.,0.)); #183369=DIRECTION('',(-0.891240078803921,0.,-0.453531831224205)); #183370=DIRECTION('center_axis',(0.,-1.,0.)); #183371=DIRECTION('ref_axis',(-0.242971472032763,0.,0.970033434361018)); #183372=DIRECTION('center_axis',(0.,-1.,0.)); #183373=DIRECTION('ref_axis',(-0.242971472032763,0.,0.970033434361018)); #183374=DIRECTION('',(0.,-1.,0.)); #183375=DIRECTION('center_axis',(0.,-1.,0.)); #183376=DIRECTION('ref_axis',(-0.242971472032763,0.,0.970033434361018)); #183377=DIRECTION('center_axis',(-0.13933426834089,0.,0.990245404768893)); #183378=DIRECTION('ref_axis',(-0.990245404768893,0.,-0.13933426834089)); #183379=DIRECTION('',(-0.990245404768893,0.,-0.13933426834089)); #183380=DIRECTION('',(0.,-1.,0.)); #183381=DIRECTION('',(-0.990245404768893,0.,-0.13933426834089)); #183382=DIRECTION('center_axis',(0.,-1.,0.)); #183383=DIRECTION('ref_axis',(0.0504984689076914,0.,0.998724138407588)); #183384=DIRECTION('center_axis',(0.,-1.,0.)); #183385=DIRECTION('ref_axis',(0.0504984689076914,0.,0.998724138407588)); #183386=DIRECTION('',(0.,-1.,0.)); #183387=DIRECTION('center_axis',(0.,-1.,0.)); #183388=DIRECTION('ref_axis',(0.0504984689076914,0.,0.998724138407588)); #183389=DIRECTION('center_axis',(0.140023154563333,0.,0.9901482294011)); #183390=DIRECTION('ref_axis',(-0.9901482294011,0.,0.140023154563333)); #183391=DIRECTION('',(-0.9901482294011,0.,0.140023154563333)); #183392=DIRECTION('',(0.,-1.,0.)); #183393=DIRECTION('',(-0.9901482294011,0.,0.140023154563333)); #183394=DIRECTION('center_axis',(0.241479372109235,0.,0.970405952602172)); #183395=DIRECTION('ref_axis',(-0.970405952602172,0.,0.241479372109235)); #183396=DIRECTION('',(-0.970405952602172,0.,0.241479372109235)); #183397=DIRECTION('',(0.,-1.,0.)); #183398=DIRECTION('',(-0.970405952602172,0.,0.241479372109235)); #183399=DIRECTION('center_axis',(0.347823108918628,0.,0.937560176683172)); #183400=DIRECTION('ref_axis',(-0.937560176683172,0.,0.347823108918628)); #183401=DIRECTION('',(-0.937560176683172,0.,0.347823108918628)); #183402=DIRECTION('',(0.,-1.,0.)); #183403=DIRECTION('',(-0.937560176683172,0.,0.347823108918628)); #183404=DIRECTION('center_axis',(0.453155868447002,0.,0.891431297909179)); #183405=DIRECTION('ref_axis',(-0.891431297909179,0.,0.453155868447002)); #183406=DIRECTION('',(-0.891431297909179,0.,0.453155868447002)); #183407=DIRECTION('',(0.,-1.,0.)); #183408=DIRECTION('',(-0.891431297909179,0.,0.453155868447002)); #183409=DIRECTION('center_axis',(0.554914679021985,0.,0.831907265869176)); #183410=DIRECTION('ref_axis',(-0.831907265869176,0.,0.554914679021985)); #183411=DIRECTION('',(-0.831907265869176,0.,0.554914679021985)); #183412=DIRECTION('',(0.,-1.,0.)); #183413=DIRECTION('',(-0.831907265869176,0.,0.554914679021985)); #183414=DIRECTION('center_axis',(0.648053661215832,0.,0.761594677098492)); #183415=DIRECTION('ref_axis',(-0.761594677098492,0.,0.648053661215832)); #183416=DIRECTION('',(-0.761594677098492,0.,0.648053661215832)); #183417=DIRECTION('',(0.,-1.,0.)); #183418=DIRECTION('',(-0.761594677098492,0.,0.648053661215832)); #183419=DIRECTION('center_axis',(0.729891346721075,0.,0.683563180680246)); #183420=DIRECTION('ref_axis',(-0.683563180680246,0.,0.729891346721075)); #183421=DIRECTION('',(-0.683563180680246,0.,0.729891346721075)); #183422=DIRECTION('',(0.,-1.,0.)); #183423=DIRECTION('',(-0.683563180680246,0.,0.729891346721075)); #183424=DIRECTION('center_axis',(0.801342369953198,0.,0.598205989704042)); #183425=DIRECTION('ref_axis',(-0.598205989704042,0.,0.801342369953198)); #183426=DIRECTION('',(-0.598205989704042,0.,0.801342369953198)); #183427=DIRECTION('',(0.,-1.,0.)); #183428=DIRECTION('',(-0.598205989704042,0.,0.801342369953198)); #183429=DIRECTION('center_axis',(0.873372065733812,0.,0.487053626201318)); #183430=DIRECTION('ref_axis',(-0.487053626201318,0.,0.873372065733812)); #183431=DIRECTION('',(-0.487053626201318,0.,0.873372065733812)); #183432=DIRECTION('',(0.,-1.,0.)); #183433=DIRECTION('',(-0.487053626201318,0.,0.873372065733812)); #183434=DIRECTION('center_axis',(0.924293074447432,0.,0.381683524046447)); #183435=DIRECTION('ref_axis',(-0.381683524046447,0.,0.924293074447432)); #183436=DIRECTION('',(-0.381683524046447,0.,0.924293074447432)); #183437=DIRECTION('',(0.,-1.,0.)); #183438=DIRECTION('',(-0.381683524046447,0.,0.924293074447432)); #183439=DIRECTION('center_axis',(0.958109058297096,0.,0.28640361800971)); #183440=DIRECTION('ref_axis',(-0.28640361800971,0.,0.958109058297096)); #183441=DIRECTION('',(-0.28640361800971,0.,0.958109058297096)); #183442=DIRECTION('',(0.,-1.,0.)); #183443=DIRECTION('',(-0.28640361800971,0.,0.958109058297096)); #183444=DIRECTION('center_axis',(0.,-1.,0.)); #183445=DIRECTION('ref_axis',(0.999992903747481,0.,-0.00376728744347986)); #183446=DIRECTION('center_axis',(0.,-1.,0.)); #183447=DIRECTION('ref_axis',(0.999992903747481,0.,-0.00376728744347986)); #183448=DIRECTION('',(0.,-1.,0.)); #183449=DIRECTION('center_axis',(0.,-1.,0.)); #183450=DIRECTION('ref_axis',(0.999992903747481,0.,-0.00376728744347986)); #183451=DIRECTION('center_axis',(0.999999999850676,0.,-1.72814544147123E-5)); #183452=DIRECTION('ref_axis',(1.72814544147123E-5,0.,0.999999999850676)); #183453=DIRECTION('',(1.72814544147123E-5,0.,0.999999999850676)); #183454=DIRECTION('',(0.,-1.,0.)); #183455=DIRECTION('',(1.72814544147123E-5,0.,0.999999999850676)); #183456=DIRECTION('center_axis',(0.406075565063944,0.,0.913839502023194)); #183457=DIRECTION('ref_axis',(-0.913839502023194,0.,0.406075565063944)); #183458=DIRECTION('',(-0.913839502023194,0.,0.406075565063944)); #183459=DIRECTION('',(0.,-1.,0.)); #183460=DIRECTION('',(-0.913839502023194,0.,0.406075565063944)); #183461=DIRECTION('center_axis',(0.,-1.,0.)); #183462=DIRECTION('ref_axis',(0.0061676275542596,0.,-0.999980980004296)); #183463=DIRECTION('center_axis',(0.,1.,0.)); #183464=DIRECTION('ref_axis',(0.0061676275542596,0.,-0.999980980004296)); #183465=DIRECTION('',(0.,-1.,0.)); #183466=DIRECTION('center_axis',(0.,1.,0.)); #183467=DIRECTION('ref_axis',(0.0061676275542596,0.,-0.999980980004296)); #183468=DIRECTION('center_axis',(0.,-1.,0.)); #183469=DIRECTION('ref_axis',(-0.997901280164878,0.,0.0647536488956168)); #183470=DIRECTION('center_axis',(0.,-1.,0.)); #183471=DIRECTION('ref_axis',(-0.997901280164878,0.,0.0647536488956168)); #183472=DIRECTION('',(0.,-1.,0.)); #183473=DIRECTION('center_axis',(0.,-1.,0.)); #183474=DIRECTION('ref_axis',(-0.997901280164878,0.,0.0647536488956168)); #183475=DIRECTION('center_axis',(0.,-1.,0.)); #183476=DIRECTION('ref_axis',(-0.96974454296303,0.,0.244121939598685)); #183477=DIRECTION('center_axis',(0.,-1.,0.)); #183478=DIRECTION('ref_axis',(-0.96974454296303,0.,0.244121939598685)); #183479=DIRECTION('',(0.,-1.,0.)); #183480=DIRECTION('center_axis',(0.,-1.,0.)); #183481=DIRECTION('ref_axis',(-0.96974454296303,0.,0.244121939598685)); #183482=DIRECTION('center_axis',(-0.945873785309386,0.,0.324534716578202)); #183483=DIRECTION('ref_axis',(-0.324534716578202,0.,-0.945873785309386)); #183484=DIRECTION('',(-0.324534716578202,0.,-0.945873785309386)); #183485=DIRECTION('',(0.,-1.,0.)); #183486=DIRECTION('',(-0.324534716578202,0.,-0.945873785309386)); #183487=DIRECTION('center_axis',(-0.905858378579131,0.,0.423580686478961)); #183488=DIRECTION('ref_axis',(-0.423580686478961,0.,-0.905858378579131)); #183489=DIRECTION('',(-0.423580686478961,0.,-0.905858378579131)); #183490=DIRECTION('',(0.,-1.,0.)); #183491=DIRECTION('',(-0.423580686478961,0.,-0.905858378579131)); #183492=DIRECTION('center_axis',(-0.846752601621817,0.,0.531986871686403)); #183493=DIRECTION('ref_axis',(-0.531986871686403,0.,-0.846752601621816)); #183494=DIRECTION('',(-0.531986871686403,0.,-0.846752601621816)); #183495=DIRECTION('',(0.,-1.,0.)); #183496=DIRECTION('',(-0.531986871686403,0.,-0.846752601621816)); #183497=DIRECTION('center_axis',(0.,-1.,0.)); #183498=DIRECTION('ref_axis',(-0.694907201695088,0.,0.719099423607266)); #183499=DIRECTION('center_axis',(0.,-1.,0.)); #183500=DIRECTION('ref_axis',(-0.694907201695088,0.,0.719099423607266)); #183501=DIRECTION('',(0.,-1.,0.)); #183502=DIRECTION('center_axis',(0.,-1.,0.)); #183503=DIRECTION('ref_axis',(-0.694907201695088,0.,0.719099423607266)); #183504=DIRECTION('center_axis',(0.,-1.,0.)); #183505=DIRECTION('ref_axis',(-0.506133927086732,0.,0.862454896126031)); #183506=DIRECTION('center_axis',(0.,-1.,0.)); #183507=DIRECTION('ref_axis',(-0.506133927086732,0.,0.862454896126031)); #183508=DIRECTION('center_axis',(0.,-1.,0.)); #183509=DIRECTION('ref_axis',(-0.506133927086732,0.,0.862454896126031)); #183510=DIRECTION('center_axis',(0.,1.,0.)); #183511=DIRECTION('ref_axis',(1.,0.,0.)); #183512=DIRECTION('center_axis',(0.,-1.,0.)); #183513=DIRECTION('ref_axis',(-0.0320034998103527,0.,0.999487756803398)); #183514=DIRECTION('center_axis',(0.,-1.,0.)); #183515=DIRECTION('ref_axis',(-0.0320034998103527,0.,0.999487756803398)); #183516=DIRECTION('',(0.,-1.,0.)); #183517=DIRECTION('center_axis',(0.,-1.,0.)); #183518=DIRECTION('ref_axis',(-0.0320034998103527,0.,0.999487756803398)); #183519=DIRECTION('',(0.,-1.,0.)); #183520=DIRECTION('center_axis',(0.,-1.,0.)); #183521=DIRECTION('ref_axis',(-0.0754531885630209,0.,0.997149345051017)); #183522=DIRECTION('center_axis',(0.,-1.,0.)); #183523=DIRECTION('ref_axis',(-0.0754531885630209,0.,0.997149345051017)); #183524=DIRECTION('',(0.,-1.,0.)); #183525=DIRECTION('center_axis',(0.,-1.,0.)); #183526=DIRECTION('ref_axis',(-0.0754531885630209,0.,0.997149345051017)); #183527=DIRECTION('center_axis',(0.,-1.,0.)); #183528=DIRECTION('ref_axis',(0.811924985867549,0.,0.58376178131493)); #183529=DIRECTION('center_axis',(0.,-1.,0.)); #183530=DIRECTION('ref_axis',(0.811924985867549,0.,0.58376178131493)); #183531=DIRECTION('',(0.,-1.,0.)); #183532=DIRECTION('center_axis',(0.,-1.,0.)); #183533=DIRECTION('ref_axis',(0.811924985867549,0.,0.58376178131493)); #183534=DIRECTION('center_axis',(0.,-1.,0.)); #183535=DIRECTION('ref_axis',(0.974106965794694,0.,-0.22608763608445)); #183536=DIRECTION('center_axis',(0.,-1.,0.)); #183537=DIRECTION('ref_axis',(0.974106965794694,0.,-0.22608763608445)); #183538=DIRECTION('',(0.,-1.,0.)); #183539=DIRECTION('center_axis',(0.,-1.,0.)); #183540=DIRECTION('ref_axis',(0.974106965794694,0.,-0.22608763608445)); #183541=DIRECTION('center_axis',(0.,-1.,0.)); #183542=DIRECTION('ref_axis',(0.133719299367451,0.,-0.991019247530883)); #183543=DIRECTION('center_axis',(0.,-1.,0.)); #183544=DIRECTION('ref_axis',(0.133719299367451,0.,-0.991019247530883)); #183545=DIRECTION('',(0.,-1.,0.)); #183546=DIRECTION('center_axis',(0.,-1.,0.)); #183547=DIRECTION('ref_axis',(0.133719299367451,0.,-0.991019247530883)); #183548=DIRECTION('center_axis',(0.256572411518017,0.,-0.966525011392788)); #183549=DIRECTION('ref_axis',(0.966525011392788,0.,0.256572411518017)); #183550=DIRECTION('',(0.966525011392788,0.,0.256572411518017)); #183551=DIRECTION('',(0.,-1.,0.)); #183552=DIRECTION('',(0.966525011392788,0.,0.256572411518017)); #183553=DIRECTION('center_axis',(0.,-1.,0.)); #183554=DIRECTION('ref_axis',(-0.179804341887838,0.,0.983702393327515)); #183555=DIRECTION('center_axis',(0.,1.,0.)); #183556=DIRECTION('ref_axis',(-0.179804341887838,0.,0.983702393327515)); #183557=DIRECTION('',(0.,-1.,0.)); #183558=DIRECTION('center_axis',(0.,1.,0.)); #183559=DIRECTION('ref_axis',(-0.179804341887838,0.,0.983702393327515)); #183560=DIRECTION('center_axis',(0.,-1.,0.)); #183561=DIRECTION('ref_axis',(-0.818965821839321,0.,0.573842297725643)); #183562=DIRECTION('center_axis',(0.,1.,0.)); #183563=DIRECTION('ref_axis',(-0.818965821839321,0.,0.573842297725643)); #183564=DIRECTION('',(0.,-1.,0.)); #183565=DIRECTION('center_axis',(0.,1.,0.)); #183566=DIRECTION('ref_axis',(-0.818965821839321,0.,0.573842297725643)); #183567=DIRECTION('center_axis',(0.,-1.,0.)); #183568=DIRECTION('ref_axis',(-0.989988341872656,0.,0.141149151454156)); #183569=DIRECTION('center_axis',(0.,1.,0.)); #183570=DIRECTION('ref_axis',(-0.989988341872656,0.,0.141149151454156)); #183571=DIRECTION('',(0.,-1.,0.)); #183572=DIRECTION('center_axis',(0.,1.,0.)); #183573=DIRECTION('ref_axis',(-0.989988341872656,0.,0.141149151454156)); #183574=DIRECTION('center_axis',(0.,-1.,0.)); #183575=DIRECTION('ref_axis',(-0.736329762031495,0.,-0.676622850298926)); #183576=DIRECTION('center_axis',(0.,1.,0.)); #183577=DIRECTION('ref_axis',(-0.736329762031495,0.,-0.676622850298926)); #183578=DIRECTION('',(0.,-1.,0.)); #183579=DIRECTION('center_axis',(0.,1.,0.)); #183580=DIRECTION('ref_axis',(-0.736329762031495,0.,-0.676622850298926)); #183581=DIRECTION('center_axis',(0.,-1.,0.)); #183582=DIRECTION('ref_axis',(-0.0321989717027559,0.,-0.999481478678462)); #183583=DIRECTION('center_axis',(0.,1.,0.)); #183584=DIRECTION('ref_axis',(-0.0321989717027559,0.,-0.999481478678462)); #183585=DIRECTION('',(0.,-1.,0.)); #183586=DIRECTION('center_axis',(0.,1.,0.)); #183587=DIRECTION('ref_axis',(-0.0321989717027559,0.,-0.999481478678462)); #183588=DIRECTION('center_axis',(0.0723522824708532,0.,0.997379139154844)); #183589=DIRECTION('ref_axis',(-0.997379139154844,0.,0.0723522824708532)); #183590=DIRECTION('',(-0.997379139154844,0.,0.0723522824708532)); #183591=DIRECTION('',(0.,-1.,0.)); #183592=DIRECTION('',(-0.997379139154844,0.,0.0723522824708532)); #183593=DIRECTION('center_axis',(0.,-1.,0.)); #183594=DIRECTION('ref_axis',(0.0164263946534548,0.,0.999865077677328)); #183595=DIRECTION('center_axis',(0.,-1.,0.)); #183596=DIRECTION('ref_axis',(0.0164263946534548,0.,0.999865077677328)); #183597=DIRECTION('',(0.,-1.,0.)); #183598=DIRECTION('center_axis',(0.,-1.,0.)); #183599=DIRECTION('ref_axis',(0.0164263946534548,0.,0.999865077677328)); #183600=DIRECTION('center_axis',(0.668653968931562,0.,0.743573715129892)); #183601=DIRECTION('ref_axis',(-0.743573715129892,0.,0.668653968931562)); #183602=DIRECTION('',(-0.743573715129892,0.,0.668653968931562)); #183603=DIRECTION('',(0.,-1.,0.)); #183604=DIRECTION('',(-0.743573715129892,0.,0.668653968931562)); #183605=DIRECTION('center_axis',(0.822833603046457,0.,0.568282378485895)); #183606=DIRECTION('ref_axis',(-0.568282378485895,0.,0.822833603046456)); #183607=DIRECTION('',(-0.568282378485895,0.,0.822833603046456)); #183608=DIRECTION('',(0.,-1.,0.)); #183609=DIRECTION('',(-0.568282378485895,0.,0.822833603046456)); #183610=DIRECTION('center_axis',(0.905882352941227,0.,0.423529411764599)); #183611=DIRECTION('ref_axis',(-0.423529411764599,0.,0.905882352941227)); #183612=DIRECTION('',(-0.423529411764599,0.,0.905882352941227)); #183613=DIRECTION('',(0.,-1.,0.)); #183614=DIRECTION('',(-0.423529411764599,0.,0.905882352941227)); #183615=DIRECTION('center_axis',(0.,-1.,0.)); #183616=DIRECTION('ref_axis',(0.915817726046437,0.,0.401594189025606)); #183617=DIRECTION('center_axis',(0.,-1.,0.)); #183618=DIRECTION('ref_axis',(0.915817726046437,0.,0.401594189025606)); #183619=DIRECTION('',(0.,-1.,0.)); #183620=DIRECTION('center_axis',(0.,-1.,0.)); #183621=DIRECTION('ref_axis',(0.915817726046437,0.,0.401594189025606)); #183622=DIRECTION('center_axis',(0.,-1.,0.)); #183623=DIRECTION('ref_axis',(0.378449782550932,0.,-0.925621824552097)); #183624=DIRECTION('center_axis',(0.,-1.,0.)); #183625=DIRECTION('ref_axis',(0.378449782550932,0.,-0.925621824552097)); #183626=DIRECTION('',(0.,-1.,0.)); #183627=DIRECTION('center_axis',(0.,-1.,0.)); #183628=DIRECTION('ref_axis',(0.378449782550932,0.,-0.925621824552097)); #183629=DIRECTION('center_axis',(0.,-1.,0.)); #183630=DIRECTION('ref_axis',(0.037480439233987,0.,-0.999297361486974)); #183631=DIRECTION('center_axis',(0.,-1.,0.)); #183632=DIRECTION('ref_axis',(0.037480439233987,0.,-0.999297361486974)); #183633=DIRECTION('',(0.,-1.,0.)); #183634=DIRECTION('center_axis',(0.,-1.,0.)); #183635=DIRECTION('ref_axis',(0.037480439233987,0.,-0.999297361486974)); #183636=DIRECTION('center_axis',(0.,-1.,0.)); #183637=DIRECTION('ref_axis',(0.0885817561808554,0.,-0.996068909499697)); #183638=DIRECTION('center_axis',(0.,-1.,0.)); #183639=DIRECTION('ref_axis',(0.0885817561808554,0.,-0.996068909499697)); #183640=DIRECTION('',(0.,-1.,0.)); #183641=DIRECTION('center_axis',(0.,-1.,0.)); #183642=DIRECTION('ref_axis',(0.0885817561808554,0.,-0.996068909499697)); #183643=DIRECTION('center_axis',(0.,-1.,0.)); #183644=DIRECTION('ref_axis',(-0.953166919706027,0.,-0.302444744008099)); #183645=DIRECTION('center_axis',(0.,-1.,0.)); #183646=DIRECTION('ref_axis',(-0.953166919706027,0.,-0.302444744008099)); #183647=DIRECTION('',(0.,-1.,0.)); #183648=DIRECTION('center_axis',(0.,-1.,0.)); #183649=DIRECTION('ref_axis',(-0.953166919706027,0.,-0.302444744008099)); #183650=DIRECTION('center_axis',(0.,-1.,0.)); #183651=DIRECTION('ref_axis',(-0.48332022171275,0.,0.875443637982217)); #183652=DIRECTION('center_axis',(0.,-1.,0.)); #183653=DIRECTION('ref_axis',(-0.48332022171275,0.,0.875443637982217)); #183654=DIRECTION('',(0.,-1.,0.)); #183655=DIRECTION('center_axis',(0.,-1.,0.)); #183656=DIRECTION('ref_axis',(-0.48332022171275,0.,0.875443637982217)); #183657=DIRECTION('center_axis',(-0.102382393374788,0.,0.994745115859762)); #183658=DIRECTION('ref_axis',(-0.994745115859761,0.,-0.102382393374788)); #183659=DIRECTION('',(-0.994745115859761,0.,-0.102382393374788)); #183660=DIRECTION('',(0.,-1.,0.)); #183661=DIRECTION('',(-0.994745115859761,0.,-0.102382393374788)); #183662=DIRECTION('center_axis',(-0.117868996881094,0.,0.993029153436214)); #183663=DIRECTION('ref_axis',(-0.993029153436214,0.,-0.117868996881094)); #183664=DIRECTION('',(-0.993029153436214,0.,-0.117868996881094)); #183665=DIRECTION('',(0.,-1.,0.)); #183666=DIRECTION('',(-0.993029153436214,0.,-0.117868996881094)); #183667=DIRECTION('center_axis',(0.,-1.,0.)); #183668=DIRECTION('ref_axis',(-0.0977882148972768,0.,0.995207247274257)); #183669=DIRECTION('center_axis',(0.,-1.,0.)); #183670=DIRECTION('ref_axis',(-0.0977882148972768,0.,0.995207247274257)); #183671=DIRECTION('',(0.,-1.,0.)); #183672=DIRECTION('center_axis',(0.,-1.,0.)); #183673=DIRECTION('ref_axis',(-0.0977882148972768,0.,0.995207247274257)); #183674=DIRECTION('center_axis',(-0.0957641765397581,0.,0.995404049867019)); #183675=DIRECTION('ref_axis',(-0.995404049867019,0.,-0.0957641765397581)); #183676=DIRECTION('',(-0.995404049867019,0.,-0.0957641765397581)); #183677=DIRECTION('',(0.,-1.,0.)); #183678=DIRECTION('',(-0.995404049867019,0.,-0.0957641765397581)); #183679=DIRECTION('center_axis',(0.,-1.,0.)); #183680=DIRECTION('ref_axis',(-0.0653242506521775,0.,-0.997864090082779)); #183681=DIRECTION('center_axis',(0.,1.,0.)); #183682=DIRECTION('ref_axis',(-0.0653242506521775,0.,-0.997864090082779)); #183683=DIRECTION('',(0.,-1.,0.)); #183684=DIRECTION('center_axis',(0.,1.,0.)); #183685=DIRECTION('ref_axis',(-0.0653242506521775,0.,-0.997864090082779)); #183686=DIRECTION('center_axis',(0.,-1.,0.)); #183687=DIRECTION('ref_axis',(0.819089923648171,0.,-0.573665143596883)); #183688=DIRECTION('center_axis',(0.,1.,0.)); #183689=DIRECTION('ref_axis',(0.819089923648171,0.,-0.573665143596883)); #183690=DIRECTION('',(0.,-1.,0.)); #183691=DIRECTION('center_axis',(0.,1.,0.)); #183692=DIRECTION('ref_axis',(0.819089923648171,0.,-0.573665143596883)); #183693=DIRECTION('center_axis',(0.,-1.,0.)); #183694=DIRECTION('ref_axis',(0.589836880943582,0.,0.807522416951224)); #183695=DIRECTION('center_axis',(0.,1.,0.)); #183696=DIRECTION('ref_axis',(0.589836880943582,0.,0.807522416951224)); #183697=DIRECTION('',(0.,-1.,0.)); #183698=DIRECTION('center_axis',(0.,1.,0.)); #183699=DIRECTION('ref_axis',(0.589836880943582,0.,0.807522416951224)); #183700=DIRECTION('center_axis',(0.,-1.,0.)); #183701=DIRECTION('ref_axis',(0.000719873459199676,0.,0.999999740891068)); #183702=DIRECTION('center_axis',(0.,1.,0.)); #183703=DIRECTION('ref_axis',(0.000719873459199676,0.,0.999999740891068)); #183704=DIRECTION('',(0.,-1.,0.)); #183705=DIRECTION('center_axis',(0.,1.,0.)); #183706=DIRECTION('ref_axis',(0.000719873459199676,0.,0.999999740891068)); #183707=DIRECTION('center_axis',(-0.143271114948543,0.,-0.9896834785028)); #183708=DIRECTION('ref_axis',(0.9896834785028,0.,-0.143271114948543)); #183709=DIRECTION('',(0.9896834785028,0.,-0.143271114948543)); #183710=DIRECTION('',(0.,-1.,0.)); #183711=DIRECTION('',(0.989683478502799,0.,-0.143271114948543)); #183712=DIRECTION('center_axis',(0.,-1.,0.)); #183713=DIRECTION('ref_axis',(-0.136115500360334,0.,-0.990692974922935)); #183714=DIRECTION('center_axis',(0.,-1.,0.)); #183715=DIRECTION('ref_axis',(-0.136115500360334,0.,-0.990692974922935)); #183716=DIRECTION('',(0.,-1.,0.)); #183717=DIRECTION('center_axis',(0.,-1.,0.)); #183718=DIRECTION('ref_axis',(-0.136115500360334,0.,-0.990692974922935)); #183719=DIRECTION('center_axis',(-0.828496225832266,0.,-0.55999464620806)); #183720=DIRECTION('ref_axis',(0.55999464620806,0.,-0.828496225832266)); #183721=DIRECTION('',(0.55999464620806,0.,-0.828496225832266)); #183722=DIRECTION('',(0.,-1.,0.)); #183723=DIRECTION('',(0.55999464620806,0.,-0.828496225832266)); #183724=DIRECTION('center_axis',(0.,-1.,0.)); #183725=DIRECTION('ref_axis',(-0.906550034067565,0.,-0.422098372103111)); #183726=DIRECTION('center_axis',(0.,-1.,0.)); #183727=DIRECTION('ref_axis',(-0.906550034067565,0.,-0.422098372103111)); #183728=DIRECTION('',(0.,-1.,0.)); #183729=DIRECTION('center_axis',(0.,-1.,0.)); #183730=DIRECTION('ref_axis',(-0.906550034067565,0.,-0.422098372103111)); #183731=DIRECTION('center_axis',(0.,-1.,0.)); #183732=DIRECTION('ref_axis',(0.999924802823559,0.,0.0122633069874014)); #183733=DIRECTION('center_axis',(0.,1.,0.)); #183734=DIRECTION('ref_axis',(0.999924802823559,0.,0.0122633069874014)); #183735=DIRECTION('',(0.,-1.,0.)); #183736=DIRECTION('center_axis',(0.,1.,0.)); #183737=DIRECTION('ref_axis',(0.999924802823559,0.,0.0122633069874014)); #183738=DIRECTION('center_axis',(-0.999986039255432,0.,0.00528406039272687)); #183739=DIRECTION('ref_axis',(-0.00528406039272687,0.,-0.999986039255432)); #183740=DIRECTION('',(-0.00528406039272687,0.,-0.999986039255432)); #183741=DIRECTION('',(0.,-1.,0.)); #183742=DIRECTION('',(-0.00528406039272687,0.,-0.999986039255432)); #183743=DIRECTION('center_axis',(0.,-1.,0.)); #183744=DIRECTION('ref_axis',(-0.971838622116243,0.,-0.235647390316978)); #183745=DIRECTION('center_axis',(0.,-1.,0.)); #183746=DIRECTION('ref_axis',(-0.971838622116243,0.,-0.235647390316978)); #183747=DIRECTION('',(0.,-1.,0.)); #183748=DIRECTION('center_axis',(0.,-1.,0.)); #183749=DIRECTION('ref_axis',(-0.971838622116243,0.,-0.235647390316978)); #183750=DIRECTION('center_axis',(0.,-1.,0.)); #183751=DIRECTION('ref_axis',(-0.829921687245754,0.,0.557879909155332)); #183752=DIRECTION('center_axis',(0.,-1.,0.)); #183753=DIRECTION('ref_axis',(-0.829921687245754,0.,0.557879909155332)); #183754=DIRECTION('center_axis',(0.,-1.,0.)); #183755=DIRECTION('ref_axis',(-0.829921687245754,0.,0.557879909155332)); #183756=DIRECTION('center_axis',(0.,1.,0.)); #183757=DIRECTION('ref_axis',(1.,0.,0.)); #183758=DIRECTION('center_axis',(-0.999999836225277,0.,-0.000572319333795141)); #183759=DIRECTION('ref_axis',(0.000572319333795141,0.,-0.999999836225277)); #183760=DIRECTION('',(0.000572319333795141,0.,-0.999999836225277)); #183761=DIRECTION('',(0.,-1.,0.)); #183762=DIRECTION('',(0.000572319333795141,0.,-0.999999836225277)); #183763=DIRECTION('',(0.,-1.,0.)); #183764=DIRECTION('center_axis',(0.,-1.,0.)); #183765=DIRECTION('ref_axis',(0.999998934721335,0.,0.00145964248867588)); #183766=DIRECTION('center_axis',(0.,1.,0.)); #183767=DIRECTION('ref_axis',(0.999998934721335,0.,0.00145964248867588)); #183768=DIRECTION('',(0.,-1.,0.)); #183769=DIRECTION('center_axis',(0.,1.,0.)); #183770=DIRECTION('ref_axis',(0.999998934721335,0.,0.00145964248867588)); #183771=DIRECTION('center_axis',(0.,-1.,0.)); #183772=DIRECTION('ref_axis',(-0.374887814158985,0.,0.927070184395496)); #183773=DIRECTION('center_axis',(0.,1.,0.)); #183774=DIRECTION('ref_axis',(-0.374887814158985,0.,0.927070184395496)); #183775=DIRECTION('',(0.,-1.,0.)); #183776=DIRECTION('center_axis',(0.,1.,0.)); #183777=DIRECTION('ref_axis',(-0.374887814158985,0.,0.927070184395496)); #183778=DIRECTION('center_axis',(0.346597008998336,0.,-0.938014132811125)); #183779=DIRECTION('ref_axis',(0.938014132811125,0.,0.346597008998336)); #183780=DIRECTION('',(0.938014132811125,0.,0.346597008998336)); #183781=DIRECTION('',(0.,-1.,0.)); #183782=DIRECTION('',(0.938014132811125,0.,0.346597008998336)); #183783=DIRECTION('center_axis',(0.347952839301528,0.,-0.937512038121114)); #183784=DIRECTION('ref_axis',(0.937512038121114,0.,0.347952839301528)); #183785=DIRECTION('',(0.937512038121114,0.,0.347952839301528)); #183786=DIRECTION('',(0.,-1.,0.)); #183787=DIRECTION('',(0.937512038121114,0.,0.347952839301528)); #183788=DIRECTION('center_axis',(0.347269832302875,0.,-0.937765249714625)); #183789=DIRECTION('ref_axis',(0.937765249714625,0.,0.347269832302875)); #183790=DIRECTION('',(0.937765249714625,0.,0.347269832302875)); #183791=DIRECTION('',(0.,-1.,0.)); #183792=DIRECTION('',(0.937765249714625,0.,0.347269832302875)); #183793=DIRECTION('center_axis',(0.347282714984355,0.,-0.937760478946034)); #183794=DIRECTION('ref_axis',(0.937760478946034,0.,0.347282714984355)); #183795=DIRECTION('',(0.937760478946034,0.,0.347282714984355)); #183796=DIRECTION('',(0.,-1.,0.)); #183797=DIRECTION('',(0.937760478946034,0.,0.347282714984355)); #183798=DIRECTION('center_axis',(0.347278078283732,0.,-0.937762196051621)); #183799=DIRECTION('ref_axis',(0.93776219605162,0.,0.347278078283732)); #183800=DIRECTION('',(0.93776219605162,0.,0.347278078283732)); #183801=DIRECTION('',(0.,-1.,0.)); #183802=DIRECTION('',(0.93776219605162,0.,0.347278078283732)); #183803=DIRECTION('center_axis',(0.349946992935442,0.,-0.936769503205267)); #183804=DIRECTION('ref_axis',(0.936769503205266,0.,0.349946992935442)); #183805=DIRECTION('',(0.936769503205266,0.,0.349946992935442)); #183806=DIRECTION('',(0.,-1.,0.)); #183807=DIRECTION('',(0.936769503205266,0.,0.349946992935442)); #183808=DIRECTION('center_axis',(0.999999530981928,0.,0.000968522546581461)); #183809=DIRECTION('ref_axis',(-0.000968522546581461,0.,0.999999530981928)); #183810=DIRECTION('',(-0.000968522546581461,0.,0.999999530981928)); #183811=DIRECTION('',(0.,-1.,0.)); #183812=DIRECTION('',(-0.000968522546581461,0.,0.999999530981928)); #183813=DIRECTION('center_axis',(0.999999524557099,0.,0.000975133620050859)); #183814=DIRECTION('ref_axis',(-0.000975133620050859,0.,0.999999524557098)); #183815=DIRECTION('',(-0.000975133620050859,0.,0.999999524557098)); #183816=DIRECTION('',(0.,-1.,0.)); #183817=DIRECTION('',(-0.000975133620050859,0.,0.999999524557098)); #183818=DIRECTION('center_axis',(1.,0.,0.)); #183819=DIRECTION('ref_axis',(0.,0.,1.)); #183820=DIRECTION('',(0.,0.,1.)); #183821=DIRECTION('',(0.,-1.,0.)); #183822=DIRECTION('',(0.,0.,1.)); #183823=DIRECTION('center_axis',(0.,-1.,0.)); #183824=DIRECTION('ref_axis',(0.36539586628304,0.,0.930852222913641)); #183825=DIRECTION('center_axis',(0.,-1.,0.)); #183826=DIRECTION('ref_axis',(0.36539586628304,0.,0.930852222913641)); #183827=DIRECTION('',(0.,-1.,0.)); #183828=DIRECTION('center_axis',(0.,-1.,0.)); #183829=DIRECTION('ref_axis',(0.36539586628304,0.,0.930852222913641)); #183830=DIRECTION('center_axis',(0.352763160135838,0.,0.935712644379126)); #183831=DIRECTION('ref_axis',(-0.935712644379126,0.,0.352763160135838)); #183832=DIRECTION('',(-0.935712644379126,0.,0.352763160135838)); #183833=DIRECTION('',(0.,-1.,0.)); #183834=DIRECTION('',(-0.935712644379126,0.,0.352763160135838)); #183835=DIRECTION('center_axis',(0.352748075014988,0.,0.935718331322637)); #183836=DIRECTION('ref_axis',(-0.935718331322637,0.,0.352748075014988)); #183837=DIRECTION('',(-0.935718331322637,0.,0.352748075014988)); #183838=DIRECTION('',(0.,-1.,0.)); #183839=DIRECTION('',(-0.935718331322637,0.,0.352748075014988)); #183840=DIRECTION('center_axis',(0.352750084254081,0.,0.935717573875119)); #183841=DIRECTION('ref_axis',(-0.935717573875119,0.,0.352750084254081)); #183842=DIRECTION('',(-0.935717573875119,0.,0.352750084254081)); #183843=DIRECTION('',(0.,-1.,0.)); #183844=DIRECTION('',(-0.935717573875119,0.,0.352750084254081)); #183845=DIRECTION('center_axis',(0.352746363388539,0.,0.935718976571578)); #183846=DIRECTION('ref_axis',(-0.935718976571578,0.,0.352746363388539)); #183847=DIRECTION('',(-0.935718976571578,0.,0.352746363388539)); #183848=DIRECTION('',(0.,-1.,0.)); #183849=DIRECTION('',(-0.935718976571578,0.,0.352746363388539)); #183850=DIRECTION('center_axis',(-1.,0.,0.)); #183851=DIRECTION('ref_axis',(0.,0.,-1.)); #183852=DIRECTION('',(0.,0.,-1.)); #183853=DIRECTION('',(0.,-1.,0.)); #183854=DIRECTION('',(0.,0.,-1.)); #183855=DIRECTION('center_axis',(-0.999999999485491,0.,-3.20783225055031E-5)); #183856=DIRECTION('ref_axis',(3.20783225055031E-5,0.,-0.999999999485491)); #183857=DIRECTION('',(3.20783225055031E-5,0.,-0.999999999485491)); #183858=DIRECTION('',(0.,-1.,0.)); #183859=DIRECTION('',(3.20783225055031E-5,0.,-0.99999999948549)); #183860=DIRECTION('center_axis',(-1.,0.,0.)); #183861=DIRECTION('ref_axis',(0.,0.,-1.)); #183862=DIRECTION('',(0.,0.,-1.)); #183863=DIRECTION('',(0.,-1.,0.)); #183864=DIRECTION('',(0.,0.,-1.)); #183865=DIRECTION('center_axis',(-0.999999994798699,0.,0.000101993149714384)); #183866=DIRECTION('ref_axis',(-0.000101993149714384,0.,-0.999999994798699)); #183867=DIRECTION('',(-0.000101993149714384,0.,-0.999999994798699)); #183868=DIRECTION('',(0.,-1.,0.)); #183869=DIRECTION('',(-0.000101993149714384,0.,-0.999999994798699)); #183870=DIRECTION('center_axis',(-0.999999994811132,0.,-0.000101871169220143)); #183871=DIRECTION('ref_axis',(0.000101871169220143,0.,-0.999999994811132)); #183872=DIRECTION('',(0.000101871169220143,0.,-0.999999994811132)); #183873=DIRECTION('',(0.,-1.,0.)); #183874=DIRECTION('',(0.000101871169220143,0.,-0.999999994811132)); #183875=DIRECTION('center_axis',(-0.999999834243993,0.,0.000575770775422267)); #183876=DIRECTION('ref_axis',(-0.000575770775422267,0.,-0.999999834243993)); #183877=DIRECTION('',(-0.000575770775422267,0.,-0.999999834243993)); #183878=DIRECTION('',(-0.000575770775422267,0.,-0.999999834243993)); #183879=DIRECTION('center_axis',(0.88589909398185,0.,0.463877996117662)); #183880=DIRECTION('ref_axis',(-0.463877996117662,0.,0.88589909398185)); #183881=DIRECTION('',(-0.463877996117662,0.,0.88589909398185)); #183882=DIRECTION('',(0.,-1.,0.)); #183883=DIRECTION('',(-0.463877996117662,0.,0.88589909398185)); #183884=DIRECTION('',(0.,-1.,0.)); #183885=DIRECTION('center_axis',(0.932000216658569,0.,0.362457716359276)); #183886=DIRECTION('ref_axis',(-0.362457716359276,0.,0.932000216658569)); #183887=DIRECTION('',(-0.362457716359276,0.,0.932000216658569)); #183888=DIRECTION('',(0.,-1.,0.)); #183889=DIRECTION('',(-0.362457716359276,0.,0.932000216658569)); #183890=DIRECTION('center_axis',(0.,-1.,0.)); #183891=DIRECTION('ref_axis',(0.98767908983468,0.,-0.156492860870195)); #183892=DIRECTION('center_axis',(0.,-1.,0.)); #183893=DIRECTION('ref_axis',(0.98767908983468,0.,-0.156492860870195)); #183894=DIRECTION('',(0.,-1.,0.)); #183895=DIRECTION('center_axis',(0.,-1.,0.)); #183896=DIRECTION('ref_axis',(0.98767908983468,0.,-0.156492860870195)); #183897=DIRECTION('center_axis',(0.965006165632959,0.,-0.262227192126168)); #183898=DIRECTION('ref_axis',(0.262227192126168,0.,0.965006165632959)); #183899=DIRECTION('',(0.262227192126168,0.,0.965006165632959)); #183900=DIRECTION('',(0.,-1.,0.)); #183901=DIRECTION('',(0.262227192126168,0.,0.965006165632959)); #183902=DIRECTION('center_axis',(0.935811970649255,0.,-0.352499582396289)); #183903=DIRECTION('ref_axis',(0.352499582396289,0.,0.935811970649255)); #183904=DIRECTION('',(0.352499582396289,0.,0.935811970649255)); #183905=DIRECTION('',(0.,-1.,0.)); #183906=DIRECTION('',(0.352499582396289,0.,0.935811970649255)); #183907=DIRECTION('center_axis',(0.891979229018087,0.,-0.452076381820925)); #183908=DIRECTION('ref_axis',(0.452076381820925,0.,0.891979229018087)); #183909=DIRECTION('',(0.452076381820925,0.,0.891979229018087)); #183910=DIRECTION('',(0.,-1.,0.)); #183911=DIRECTION('',(0.452076381820925,0.,0.891979229018087)); #183912=DIRECTION('center_axis',(0.829048452655156,0.,-0.559176772720481)); #183913=DIRECTION('ref_axis',(0.559176772720481,0.,0.829048452655156)); #183914=DIRECTION('',(0.559176772720481,0.,0.829048452655156)); #183915=DIRECTION('',(0.,-1.,0.)); #183916=DIRECTION('',(0.559176772720481,0.,0.829048452655156)); #183917=DIRECTION('center_axis',(0.742439971131331,0.,-0.669912598229432)); #183918=DIRECTION('ref_axis',(0.669912598229432,0.,0.742439971131331)); #183919=DIRECTION('',(0.669912598229432,0.,0.742439971131331)); #183920=DIRECTION('',(0.,-1.,0.)); #183921=DIRECTION('',(0.669912598229432,0.,0.742439971131331)); #183922=DIRECTION('center_axis',(0.666891517094415,0.,-0.745154819099702)); #183923=DIRECTION('ref_axis',(0.745154819099702,0.,0.666891517094415)); #183924=DIRECTION('',(0.745154819099702,0.,0.666891517094415)); #183925=DIRECTION('',(0.,-1.,0.)); #183926=DIRECTION('',(0.745154819099702,0.,0.666891517094415)); #183927=DIRECTION('center_axis',(0.589027904394738,0.,-0.808112695014961)); #183928=DIRECTION('ref_axis',(0.808112695014961,0.,0.589027904394738)); #183929=DIRECTION('',(0.808112695014961,0.,0.589027904394738)); #183930=DIRECTION('',(0.,-1.,0.)); #183931=DIRECTION('',(0.808112695014961,0.,0.589027904394738)); #183932=DIRECTION('center_axis',(0.4994180462286,0.,-0.866361134343645)); #183933=DIRECTION('ref_axis',(0.866361134343645,0.,0.4994180462286)); #183934=DIRECTION('',(0.866361134343645,0.,0.4994180462286)); #183935=DIRECTION('',(0.,-1.,0.)); #183936=DIRECTION('',(0.866361134343645,0.,0.4994180462286)); #183937=DIRECTION('center_axis',(0.,-1.,0.)); #183938=DIRECTION('ref_axis',(0.300413202419314,0.,-0.953809156913568)); #183939=DIRECTION('center_axis',(0.,-1.,0.)); #183940=DIRECTION('ref_axis',(0.300413202419314,0.,-0.953809156913568)); #183941=DIRECTION('',(0.,-1.,0.)); #183942=DIRECTION('center_axis',(0.,-1.,0.)); #183943=DIRECTION('ref_axis',(0.300413202419314,0.,-0.953809156913568)); #183944=DIRECTION('center_axis',(0.190927160557707,0.,-0.981604207082148)); #183945=DIRECTION('ref_axis',(0.981604207082148,0.,0.190927160557707)); #183946=DIRECTION('',(0.981604207082148,0.,0.190927160557707)); #183947=DIRECTION('',(0.,-1.,0.)); #183948=DIRECTION('',(0.981604207082148,0.,0.190927160557707)); #183949=DIRECTION('center_axis',(0.0882712438714446,0.,-0.996096474998977)); #183950=DIRECTION('ref_axis',(0.996096474998977,0.,0.0882712438714446)); #183951=DIRECTION('',(0.996096474998977,0.,0.0882712438714446)); #183952=DIRECTION('',(0.,-1.,0.)); #183953=DIRECTION('',(0.996096474998977,0.,0.0882712438714446)); #183954=DIRECTION('center_axis',(-0.00800562703594157,0.,-0.999967954454422)); #183955=DIRECTION('ref_axis',(0.999967954454422,0.,-0.00800562703594157)); #183956=DIRECTION('',(0.999967954454422,0.,-0.00800562703594157)); #183957=DIRECTION('',(0.,-1.,0.)); #183958=DIRECTION('',(0.999967954454422,0.,-0.00800562703594157)); #183959=DIRECTION('center_axis',(0.,-1.,0.)); #183960=DIRECTION('ref_axis',(-0.196380080852803,0.,-0.980527849601553)); #183961=DIRECTION('center_axis',(0.,-1.,0.)); #183962=DIRECTION('ref_axis',(-0.196380080852803,0.,-0.980527849601553)); #183963=DIRECTION('',(0.,-1.,0.)); #183964=DIRECTION('center_axis',(0.,-1.,0.)); #183965=DIRECTION('ref_axis',(-0.196380080852803,0.,-0.980527849601553)); #183966=DIRECTION('center_axis',(0.,-1.,0.)); #183967=DIRECTION('ref_axis',(-0.414335635243675,0.,-0.910124157116611)); #183968=DIRECTION('center_axis',(0.,-1.,0.)); #183969=DIRECTION('ref_axis',(-0.414335635243675,0.,-0.910124157116611)); #183970=DIRECTION('',(0.,-1.,0.)); #183971=DIRECTION('center_axis',(0.,-1.,0.)); #183972=DIRECTION('ref_axis',(-0.414335635243675,0.,-0.910124157116611)); #183973=DIRECTION('center_axis',(-0.517479017859781,0.,-0.855695895791768)); #183974=DIRECTION('ref_axis',(0.855695895791768,0.,-0.517479017859781)); #183975=DIRECTION('',(0.855695895791768,0.,-0.517479017859781)); #183976=DIRECTION('',(0.,-1.,0.)); #183977=DIRECTION('',(0.855695895791768,0.,-0.517479017859781)); #183978=DIRECTION('center_axis',(0.,-1.,0.)); #183979=DIRECTION('ref_axis',(-0.697032260895029,0.,-0.71703976686901)); #183980=DIRECTION('center_axis',(0.,-1.,0.)); #183981=DIRECTION('ref_axis',(-0.697032260895029,0.,-0.71703976686901)); #183982=DIRECTION('',(0.,-1.,0.)); #183983=DIRECTION('center_axis',(0.,-1.,0.)); #183984=DIRECTION('ref_axis',(-0.697032260895029,0.,-0.71703976686901)); #183985=DIRECTION('center_axis',(-0.768239048479691,0.,-0.640163076403988)); #183986=DIRECTION('ref_axis',(0.640163076403988,0.,-0.768239048479691)); #183987=DIRECTION('',(0.640163076403988,0.,-0.768239048479691)); #183988=DIRECTION('',(0.,-1.,0.)); #183989=DIRECTION('',(0.640163076403988,0.,-0.768239048479691)); #183990=DIRECTION('center_axis',(-0.844388684581559,0.,-0.535731041989005)); #183991=DIRECTION('ref_axis',(0.535731041989005,0.,-0.844388684581559)); #183992=DIRECTION('',(0.535731041989005,0.,-0.844388684581559)); #183993=DIRECTION('',(0.,-1.,0.)); #183994=DIRECTION('',(0.535731041989005,0.,-0.844388684581559)); #183995=DIRECTION('center_axis',(-0.904198707320731,0.,-0.42711204347281)); #183996=DIRECTION('ref_axis',(0.42711204347281,0.,-0.904198707320731)); #183997=DIRECTION('',(0.42711204347281,0.,-0.904198707320731)); #183998=DIRECTION('',(0.,-1.,0.)); #183999=DIRECTION('',(0.42711204347281,0.,-0.904198707320731)); #184000=DIRECTION('center_axis',(0.,-1.,0.)); #184001=DIRECTION('ref_axis',(-0.997943884795158,0.,-0.0640937032784705)); #184002=DIRECTION('center_axis',(0.,-1.,0.)); #184003=DIRECTION('ref_axis',(-0.997943884795158,0.,-0.0640937032784705)); #184004=DIRECTION('',(0.,-1.,0.)); #184005=DIRECTION('center_axis',(0.,-1.,0.)); #184006=DIRECTION('ref_axis',(-0.997943884795158,0.,-0.0640937032784705)); #184007=DIRECTION('center_axis',(-0.999232986392362,0.,-0.0391591484253809)); #184008=DIRECTION('ref_axis',(0.0391591484253809,0.,-0.999232986392362)); #184009=DIRECTION('',(0.0391591484253809,0.,-0.999232986392362)); #184010=DIRECTION('',(0.,-1.,0.)); #184011=DIRECTION('',(0.0391591484253809,0.,-0.999232986392362)); #184012=DIRECTION('center_axis',(-0.99999995239721,0.,-0.000308554010458477)); #184013=DIRECTION('ref_axis',(0.000308554010458477,0.,-0.99999995239721)); #184014=DIRECTION('',(0.000308554010458477,0.,-0.99999995239721)); #184015=DIRECTION('',(0.,-1.,0.)); #184016=DIRECTION('',(0.000308554010458477,0.,-0.99999995239721)); #184017=DIRECTION('center_axis',(-0.356331920644743,0.,-0.934359439578597)); #184018=DIRECTION('ref_axis',(0.934359439578597,0.,-0.356331920644743)); #184019=DIRECTION('',(0.934359439578597,0.,-0.356331920644743)); #184020=DIRECTION('',(0.,-1.,0.)); #184021=DIRECTION('',(0.934359439578597,0.,-0.356331920644742)); #184022=DIRECTION('center_axis',(-0.35253090785243,0.,-0.935800170447057)); #184023=DIRECTION('ref_axis',(0.935800170447057,0.,-0.35253090785243)); #184024=DIRECTION('',(0.935800170447057,0.,-0.35253090785243)); #184025=DIRECTION('',(0.,-1.,0.)); #184026=DIRECTION('',(0.935800170447057,0.,-0.35253090785243)); #184027=DIRECTION('center_axis',(0.,-1.,0.)); #184028=DIRECTION('ref_axis',(-0.999137556312917,0.,0.041522807769383)); #184029=DIRECTION('center_axis',(0.,-1.,0.)); #184030=DIRECTION('ref_axis',(-0.999137556312917,0.,0.041522807769383)); #184031=DIRECTION('',(0.,-1.,0.)); #184032=DIRECTION('center_axis',(0.,-1.,0.)); #184033=DIRECTION('ref_axis',(-0.999137556312917,0.,0.041522807769383)); #184034=DIRECTION('center_axis',(0.,-1.,0.)); #184035=DIRECTION('ref_axis',(-0.954282076336824,0.,0.298907542197048)); #184036=DIRECTION('center_axis',(0.,-1.,0.)); #184037=DIRECTION('ref_axis',(-0.954282076336824,0.,0.298907542197048)); #184038=DIRECTION('',(0.,-1.,0.)); #184039=DIRECTION('center_axis',(0.,-1.,0.)); #184040=DIRECTION('ref_axis',(-0.954282076336824,0.,0.298907542197048)); #184041=DIRECTION('center_axis',(-0.923234733374935,0.,0.384236420827221)); #184042=DIRECTION('ref_axis',(-0.384236420827221,0.,-0.923234733374935)); #184043=DIRECTION('',(-0.384236420827221,0.,-0.923234733374935)); #184044=DIRECTION('',(0.,-1.,0.)); #184045=DIRECTION('',(-0.384236420827221,0.,-0.923234733374935)); #184046=DIRECTION('center_axis',(-0.871815946139779,0.,0.489833600375069)); #184047=DIRECTION('ref_axis',(-0.489833600375069,0.,-0.871815946139779)); #184048=DIRECTION('',(-0.489833600375069,0.,-0.871815946139779)); #184049=DIRECTION('',(0.,-1.,0.)); #184050=DIRECTION('',(-0.489833600375069,0.,-0.871815946139779)); #184051=DIRECTION('center_axis',(-0.798004001016116,0.,0.602652150383844)); #184052=DIRECTION('ref_axis',(-0.602652150383844,0.,-0.798004001016116)); #184053=DIRECTION('',(-0.602652150383844,0.,-0.798004001016116)); #184054=DIRECTION('',(0.,-1.,0.)); #184055=DIRECTION('',(-0.602652150383844,0.,-0.798004001016116)); #184056=DIRECTION('center_axis',(-0.733295932156598,0.,0.679909608611753)); #184057=DIRECTION('ref_axis',(-0.679909608611753,0.,-0.733295932156598)); #184058=DIRECTION('',(-0.679909608611753,0.,-0.733295932156598)); #184059=DIRECTION('',(0.,-1.,0.)); #184060=DIRECTION('',(-0.679909608611753,0.,-0.733295932156598)); #184061=DIRECTION('center_axis',(-0.651336229872137,0.,0.758789243239485)); #184062=DIRECTION('ref_axis',(-0.758789243239485,0.,-0.651336229872137)); #184063=DIRECTION('',(-0.758789243239485,0.,-0.651336229872137)); #184064=DIRECTION('',(0.,-1.,0.)); #184065=DIRECTION('',(-0.758789243239485,0.,-0.651336229872137)); #184066=DIRECTION('center_axis',(-0.556509809226145,0.,0.830841039089355)); #184067=DIRECTION('ref_axis',(-0.830841039089355,0.,-0.556509809226145)); #184068=DIRECTION('',(-0.830841039089355,0.,-0.556509809226145)); #184069=DIRECTION('',(0.,-1.,0.)); #184070=DIRECTION('',(-0.830841039089355,0.,-0.556509809226145)); #184071=DIRECTION('center_axis',(0.,-1.,0.)); #184072=DIRECTION('ref_axis',(-0.35329520585114,0.,0.935511890636672)); #184073=DIRECTION('center_axis',(0.,-1.,0.)); #184074=DIRECTION('ref_axis',(-0.35329520585114,0.,0.935511890636672)); #184075=DIRECTION('',(0.,-1.,0.)); #184076=DIRECTION('center_axis',(0.,-1.,0.)); #184077=DIRECTION('ref_axis',(-0.35329520585114,0.,0.935511890636672)); #184078=DIRECTION('center_axis',(-0.240946603332921,0.,0.970538373451729)); #184079=DIRECTION('ref_axis',(-0.970538373451729,0.,-0.240946603332921)); #184080=DIRECTION('',(-0.970538373451729,0.,-0.240946603332921)); #184081=DIRECTION('',(0.,-1.,0.)); #184082=DIRECTION('',(-0.970538373451729,0.,-0.24094660333292)); #184083=DIRECTION('center_axis',(-0.139219753546042,0.,0.990261511027556)); #184084=DIRECTION('ref_axis',(-0.990261511027556,0.,-0.139219753546042)); #184085=DIRECTION('',(-0.990261511027556,0.,-0.139219753546042)); #184086=DIRECTION('',(0.,-1.,0.)); #184087=DIRECTION('',(-0.990261511027556,0.,-0.139219753546042)); #184088=DIRECTION('center_axis',(-0.0442101998477643,0.,0.999022251118273)); #184089=DIRECTION('ref_axis',(-0.999022251118273,0.,-0.0442101998477643)); #184090=DIRECTION('',(-0.999022251118273,0.,-0.0442101998477643)); #184091=DIRECTION('',(0.,-1.,0.)); #184092=DIRECTION('',(-0.999022251118273,0.,-0.0442101998477643)); #184093=DIRECTION('center_axis',(0.0445137977630867,0.,0.999008769635536)); #184094=DIRECTION('ref_axis',(-0.999008769635536,0.,0.0445137977630867)); #184095=DIRECTION('',(-0.999008769635536,0.,0.0445137977630867)); #184096=DIRECTION('',(0.,-1.,0.)); #184097=DIRECTION('',(-0.999008769635536,0.,0.0445137977630867)); #184098=DIRECTION('center_axis',(0.,-1.,0.)); #184099=DIRECTION('ref_axis',(0.240494623092115,0.,0.970650470696729)); #184100=DIRECTION('center_axis',(0.,-1.,0.)); #184101=DIRECTION('ref_axis',(0.240494623092115,0.,0.970650470696729)); #184102=DIRECTION('',(0.,-1.,0.)); #184103=DIRECTION('center_axis',(0.,-1.,0.)); #184104=DIRECTION('ref_axis',(0.240494623092115,0.,0.970650470696729)); #184105=DIRECTION('center_axis',(0.347754080132851,0.,0.937585782609226)); #184106=DIRECTION('ref_axis',(-0.937585782609226,0.,0.347754080132851)); #184107=DIRECTION('',(-0.937585782609226,0.,0.347754080132851)); #184108=DIRECTION('',(0.,-1.,0.)); #184109=DIRECTION('',(-0.937585782609226,0.,0.347754080132851)); #184110=DIRECTION('center_axis',(0.,-1.,0.)); #184111=DIRECTION('ref_axis',(0.555297304152358,0.,0.831651912762259)); #184112=DIRECTION('center_axis',(0.,-1.,0.)); #184113=DIRECTION('ref_axis',(0.555297304152358,0.,0.831651912762259)); #184114=DIRECTION('',(0.,-1.,0.)); #184115=DIRECTION('center_axis',(0.,-1.,0.)); #184116=DIRECTION('ref_axis',(0.555297304152358,0.,0.831651912762259)); #184117=DIRECTION('center_axis',(0.648465472844231,0.,0.76124406764776)); #184118=DIRECTION('ref_axis',(-0.76124406764776,0.,0.648465472844231)); #184119=DIRECTION('',(-0.76124406764776,0.,0.648465472844231)); #184120=DIRECTION('',(0.,-1.,0.)); #184121=DIRECTION('',(-0.76124406764776,0.,0.648465472844231)); #184122=DIRECTION('center_axis',(0.73015463524537,0.,0.683281939341075)); #184123=DIRECTION('ref_axis',(-0.683281939341075,0.,0.73015463524537)); #184124=DIRECTION('',(-0.683281939341075,0.,0.73015463524537)); #184125=DIRECTION('',(0.,-1.,0.)); #184126=DIRECTION('',(-0.683281939341075,0.,0.73015463524537)); #184127=DIRECTION('center_axis',(0.,-1.,0.)); #184128=DIRECTION('ref_axis',(0.872706559846662,0.,0.488245082310722)); #184129=DIRECTION('center_axis',(0.,-1.,0.)); #184130=DIRECTION('ref_axis',(0.872706559846662,0.,0.488245082310722)); #184131=DIRECTION('',(0.,-1.,0.)); #184132=DIRECTION('center_axis',(0.,-1.,0.)); #184133=DIRECTION('ref_axis',(0.872706559846662,0.,0.488245082310722)); #184134=DIRECTION('center_axis',(0.,-1.,0.)); #184135=DIRECTION('ref_axis',(0.992933831328441,0.,-0.118669316183342)); #184136=DIRECTION('center_axis',(0.,-1.,0.)); #184137=DIRECTION('ref_axis',(0.992933831328441,0.,-0.118669316183342)); #184138=DIRECTION('',(0.,-1.,0.)); #184139=DIRECTION('center_axis',(0.,-1.,0.)); #184140=DIRECTION('ref_axis',(0.992933831328441,0.,-0.118669316183342)); #184141=DIRECTION('center_axis',(1.,0.,0.)); #184142=DIRECTION('ref_axis',(0.,0.,1.)); #184143=DIRECTION('',(0.,0.,1.)); #184144=DIRECTION('',(0.,-1.,0.)); #184145=DIRECTION('',(0.,0.,1.)); #184146=DIRECTION('center_axis',(0.407018872772832,0.,0.913419748640642)); #184147=DIRECTION('ref_axis',(-0.913419748640642,0.,0.407018872772832)); #184148=DIRECTION('',(-0.913419748640642,0.,0.407018872772832)); #184149=DIRECTION('',(0.,-1.,0.)); #184150=DIRECTION('',(-0.913419748640642,0.,0.407018872772832)); #184151=DIRECTION('center_axis',(-0.347097701125401,0.,0.937828974746175)); #184152=DIRECTION('ref_axis',(-0.937828974746175,0.,-0.347097701125401)); #184153=DIRECTION('',(-0.937828974746175,0.,-0.347097701125401)); #184154=DIRECTION('',(0.,-1.,0.)); #184155=DIRECTION('',(-0.937828974746175,0.,-0.347097701125401)); #184156=DIRECTION('center_axis',(-0.351377027745098,0.,0.936234043587938)); #184157=DIRECTION('ref_axis',(-0.936234043587938,0.,-0.351377027745098)); #184158=DIRECTION('',(-0.936234043587938,0.,-0.351377027745098)); #184159=DIRECTION('',(0.,-1.,0.)); #184160=DIRECTION('',(-0.936234043587938,0.,-0.351377027745098)); #184161=DIRECTION('center_axis',(0.,-1.,0.)); #184162=DIRECTION('ref_axis',(-0.997511509269161,0.,0.0705038217089058)); #184163=DIRECTION('center_axis',(0.,-1.,0.)); #184164=DIRECTION('ref_axis',(-0.997511509269161,0.,0.0705038217089058)); #184165=DIRECTION('',(0.,-1.,0.)); #184166=DIRECTION('center_axis',(0.,-1.,0.)); #184167=DIRECTION('ref_axis',(-0.997511509269161,0.,0.0705038217089058)); #184168=DIRECTION('center_axis',(-0.986817991675218,0.,0.161834023944563)); #184169=DIRECTION('ref_axis',(-0.161834023944563,0.,-0.986817991675218)); #184170=DIRECTION('',(-0.161834023944563,0.,-0.986817991675218)); #184171=DIRECTION('',(0.,-1.,0.)); #184172=DIRECTION('',(-0.161834023944563,0.,-0.986817991675218)); #184173=DIRECTION('center_axis',(-0.97106156968353,0.,0.238829286068017)); #184174=DIRECTION('ref_axis',(-0.238829286068017,0.,-0.97106156968353)); #184175=DIRECTION('',(-0.238829286068017,0.,-0.97106156968353)); #184176=DIRECTION('',(0.,-1.,0.)); #184177=DIRECTION('',(-0.238829286068017,0.,-0.97106156968353)); #184178=DIRECTION('center_axis',(-0.94505549465836,0.,0.326909944810558)); #184179=DIRECTION('ref_axis',(-0.326909944810558,0.,-0.94505549465836)); #184180=DIRECTION('',(-0.326909944810558,0.,-0.94505549465836)); #184181=DIRECTION('',(0.,-1.,0.)); #184182=DIRECTION('',(-0.326909944810558,0.,-0.94505549465836)); #184183=DIRECTION('center_axis',(-0.90419870732077,0.,0.427112043472727)); #184184=DIRECTION('ref_axis',(-0.427112043472727,0.,-0.90419870732077)); #184185=DIRECTION('',(-0.427112043472727,0.,-0.90419870732077)); #184186=DIRECTION('',(0.,-1.,0.)); #184187=DIRECTION('',(-0.427112043472727,0.,-0.90419870732077)); #184188=DIRECTION('center_axis',(-0.844388684581526,0.,0.535731041989056)); #184189=DIRECTION('ref_axis',(-0.535731041989056,0.,-0.844388684581526)); #184190=DIRECTION('',(-0.535731041989056,0.,-0.844388684581526)); #184191=DIRECTION('',(0.,-1.,0.)); #184192=DIRECTION('',(-0.535731041989056,0.,-0.844388684581526)); #184193=DIRECTION('center_axis',(-0.768407764337776,0.,0.639960551679103)); #184194=DIRECTION('ref_axis',(-0.639960551679103,0.,-0.768407764337776)); #184195=DIRECTION('',(-0.639960551679103,0.,-0.768407764337776)); #184196=DIRECTION('',(0.,-1.,0.)); #184197=DIRECTION('',(-0.639960551679103,0.,-0.768407764337776)); #184198=DIRECTION('center_axis',(-0.698879506460758,0.,0.715239425262036)); #184199=DIRECTION('ref_axis',(-0.715239425262036,0.,-0.698879506460758)); #184200=DIRECTION('',(-0.715239425262036,0.,-0.698879506460758)); #184201=DIRECTION('',(0.,-1.,0.)); #184202=DIRECTION('',(-0.715239425262036,0.,-0.698879506460758)); #184203=DIRECTION('center_axis',(-0.61363147768445,0.,0.789592559232164)); #184204=DIRECTION('ref_axis',(-0.789592559232164,0.,-0.61363147768445)); #184205=DIRECTION('',(-0.789592559232164,0.,-0.61363147768445)); #184206=DIRECTION('',(0.,-1.,0.)); #184207=DIRECTION('',(-0.789592559232164,0.,-0.61363147768445)); #184208=DIRECTION('center_axis',(-0.5165093881412,0.,0.856281526112763)); #184209=DIRECTION('ref_axis',(-0.856281526112763,0.,-0.5165093881412)); #184210=DIRECTION('',(-0.856281526112763,0.,-0.5165093881412)); #184211=DIRECTION('',(0.,-1.,0.)); #184212=DIRECTION('',(-0.856281526112763,0.,-0.5165093881412)); #184213=DIRECTION('center_axis',(-0.414081633395895,0.,0.910239749123377)); #184214=DIRECTION('ref_axis',(-0.910239749123377,0.,-0.414081633395895)); #184215=DIRECTION('',(-0.910239749123377,0.,-0.414081633395895)); #184216=DIRECTION('',(0.,-1.,0.)); #184217=DIRECTION('',(-0.910239749123377,0.,-0.414081633395895)); #184218=DIRECTION('center_axis',(-0.307151638470978,0.,0.951660586020349)); #184219=DIRECTION('ref_axis',(-0.951660586020349,0.,-0.307151638470978)); #184220=DIRECTION('',(-0.951660586020349,0.,-0.307151638470978)); #184221=DIRECTION('',(0.,-1.,0.)); #184222=DIRECTION('',(-0.951660586020349,0.,-0.307151638470978)); #184223=DIRECTION('center_axis',(-0.202733748035753,0.,0.979233898211952)); #184224=DIRECTION('ref_axis',(-0.979233898211952,0.,-0.202733748035753)); #184225=DIRECTION('',(-0.979233898211952,0.,-0.202733748035753)); #184226=DIRECTION('',(0.,-1.,0.)); #184227=DIRECTION('',(-0.979233898211952,0.,-0.202733748035753)); #184228=DIRECTION('center_axis',(-0.101785699991715,0.,0.994806348631329)); #184229=DIRECTION('ref_axis',(-0.994806348631329,0.,-0.101785699991715)); #184230=DIRECTION('',(-0.994806348631329,0.,-0.101785699991715)); #184231=DIRECTION('',(0.,-1.,0.)); #184232=DIRECTION('',(-0.994806348631329,0.,-0.101785699991715)); #184233=DIRECTION('center_axis',(-0.00800562703617484,0.,0.99996795445442)); #184234=DIRECTION('ref_axis',(-0.99996795445442,0.,-0.00800562703617484)); #184235=DIRECTION('',(-0.99996795445442,0.,-0.00800562703617484)); #184236=DIRECTION('',(0.,-1.,0.)); #184237=DIRECTION('',(-0.99996795445442,0.,-0.00800562703617484)); #184238=DIRECTION('center_axis',(0.0886887031581985,0.,0.996059392773401)); #184239=DIRECTION('ref_axis',(-0.996059392773401,0.,0.0886887031581985)); #184240=DIRECTION('',(-0.996059392773401,0.,0.0886887031581985)); #184241=DIRECTION('',(0.,-1.,0.)); #184242=DIRECTION('',(-0.996059392773401,0.,0.0886887031581984)); #184243=DIRECTION('center_axis',(0.190927160557952,0.,0.9816042070821)); #184244=DIRECTION('ref_axis',(-0.9816042070821,0.,0.190927160557952)); #184245=DIRECTION('',(-0.9816042070821,0.,0.190927160557952)); #184246=DIRECTION('',(0.,-1.,0.)); #184247=DIRECTION('',(-0.9816042070821,0.,0.190927160557952)); #184248=DIRECTION('center_axis',(0.,-1.,0.)); #184249=DIRECTION('ref_axis',(0.389191918004365,0.,0.921156691860882)); #184250=DIRECTION('center_axis',(0.,-1.,0.)); #184251=DIRECTION('ref_axis',(0.389191918004365,0.,0.921156691860882)); #184252=DIRECTION('',(0.,-1.,0.)); #184253=DIRECTION('center_axis',(0.,-1.,0.)); #184254=DIRECTION('ref_axis',(0.389191918004365,0.,0.921156691860882)); #184255=DIRECTION('center_axis',(0.499217065695367,0.,0.866476959485079)); #184256=DIRECTION('ref_axis',(-0.866476959485079,0.,0.499217065695367)); #184257=DIRECTION('',(-0.866476959485079,0.,0.499217065695367)); #184258=DIRECTION('',(0.,-1.,0.)); #184259=DIRECTION('',(-0.866476959485079,0.,0.499217065695367)); #184260=DIRECTION('center_axis',(0.,-1.,0.)); #184261=DIRECTION('ref_axis',(0.663301473264582,0.,0.748352293752772)); #184262=DIRECTION('center_axis',(0.,-1.,0.)); #184263=DIRECTION('ref_axis',(0.663301473264582,0.,0.748352293752772)); #184264=DIRECTION('',(0.,-1.,0.)); #184265=DIRECTION('center_axis',(0.,-1.,0.)); #184266=DIRECTION('ref_axis',(0.663301473264582,0.,0.748352293752772)); #184267=DIRECTION('center_axis',(0.742622856082363,0.,0.669709857792219)); #184268=DIRECTION('ref_axis',(-0.669709857792219,0.,0.742622856082363)); #184269=DIRECTION('',(-0.669709857792219,0.,0.742622856082363)); #184270=DIRECTION('',(0.,-1.,0.)); #184271=DIRECTION('',(-0.669709857792219,0.,0.742622856082363)); #184272=DIRECTION('center_axis',(0.828925309376623,0.,0.559359304450073)); #184273=DIRECTION('ref_axis',(-0.559359304450073,0.,0.828925309376623)); #184274=DIRECTION('',(-0.559359304450073,0.,0.828925309376623)); #184275=DIRECTION('',(0.,-1.,0.)); #184276=DIRECTION('',(-0.559359304450073,0.,0.828925309376623)); #184277=DIRECTION('center_axis',(0.891979229018065,0.,0.452076381820969)); #184278=DIRECTION('ref_axis',(-0.452076381820969,0.,0.891979229018065)); #184279=DIRECTION('',(-0.452076381820969,0.,0.891979229018065)); #184280=DIRECTION('',(0.,-1.,0.)); #184281=DIRECTION('',(-0.452076381820969,0.,0.891979229018065)); #184282=DIRECTION('center_axis',(0.935811970649242,0.,0.352499582396322)); #184283=DIRECTION('ref_axis',(-0.352499582396322,0.,0.935811970649242)); #184284=DIRECTION('',(-0.352499582396322,0.,0.935811970649242)); #184285=DIRECTION('',(0.,-1.,0.)); #184286=DIRECTION('',(-0.352499582396322,0.,0.935811970649242)); #184287=DIRECTION('center_axis',(0.,-1.,0.)); #184288=DIRECTION('ref_axis',(0.988266832652433,0.,-0.152737249808708)); #184289=DIRECTION('center_axis',(0.,-1.,0.)); #184290=DIRECTION('ref_axis',(0.988266832652433,0.,-0.152737249808708)); #184291=DIRECTION('',(0.,-1.,0.)); #184292=DIRECTION('center_axis',(0.,-1.,0.)); #184293=DIRECTION('ref_axis',(0.988266832652433,0.,-0.152737249808708)); #184294=DIRECTION('center_axis',(0.,-1.,0.)); #184295=DIRECTION('ref_axis',(0.929568288421986,0.,-0.368649965631653)); #184296=DIRECTION('center_axis',(0.,-1.,0.)); #184297=DIRECTION('ref_axis',(0.929568288421986,0.,-0.368649965631653)); #184298=DIRECTION('',(0.,-1.,0.)); #184299=DIRECTION('center_axis',(0.,-1.,0.)); #184300=DIRECTION('ref_axis',(0.929568288421986,0.,-0.368649965631653)); #184301=DIRECTION('center_axis',(0.885818104950406,0.,-0.464032633488283)); #184302=DIRECTION('ref_axis',(0.464032633488283,0.,0.885818104950406)); #184303=DIRECTION('',(0.464032633488283,0.,0.885818104950406)); #184304=DIRECTION('',(0.,-1.,0.)); #184305=DIRECTION('',(0.464032633488283,0.,0.885818104950406)); #184306=DIRECTION('center_axis',(0.821968470634456,0.,-0.569532995780625)); #184307=DIRECTION('ref_axis',(0.569532995780625,0.,0.821968470634456)); #184308=DIRECTION('',(0.569532995780625,0.,0.821968470634456)); #184309=DIRECTION('',(0.,-1.,0.)); #184310=DIRECTION('',(0.569532995780625,0.,0.821968470634456)); #184311=DIRECTION('center_axis',(0.733196007899641,0.,-0.680017363013644)); #184312=DIRECTION('ref_axis',(0.680017363013644,0.,0.733196007899641)); #184313=DIRECTION('',(0.680017363013644,0.,0.733196007899641)); #184314=DIRECTION('',(0.,-1.,0.)); #184315=DIRECTION('',(0.680017363013644,0.,0.733196007899641)); #184316=DIRECTION('center_axis',(0.658219346455957,0.,-0.752826203018394)); #184317=DIRECTION('ref_axis',(0.752826203018394,0.,0.658219346455957)); #184318=DIRECTION('',(0.752826203018394,0.,0.658219346455957)); #184319=DIRECTION('',(0.,-1.,0.)); #184320=DIRECTION('',(0.752826203018394,0.,0.658219346455957)); #184321=DIRECTION('center_axis',(0.579025105811838,0.,-0.81530971221959)); #184322=DIRECTION('ref_axis',(0.81530971221959,0.,0.579025105811838)); #184323=DIRECTION('',(0.81530971221959,0.,0.579025105811838)); #184324=DIRECTION('',(0.,-1.,0.)); #184325=DIRECTION('',(0.81530971221959,0.,0.579025105811838)); #184326=DIRECTION('center_axis',(0.,-1.,0.)); #184327=DIRECTION('ref_axis',(0.392011955007557,0.,-0.9199601225766)); #184328=DIRECTION('center_axis',(0.,-1.,0.)); #184329=DIRECTION('ref_axis',(0.392011955007557,0.,-0.9199601225766)); #184330=DIRECTION('',(0.,-1.,0.)); #184331=DIRECTION('center_axis',(0.,-1.,0.)); #184332=DIRECTION('ref_axis',(0.392011955007557,0.,-0.9199601225766)); #184333=DIRECTION('center_axis',(0.284253758851665,0.,-0.958749081135779)); #184334=DIRECTION('ref_axis',(0.958749081135779,0.,0.284253758851665)); #184335=DIRECTION('',(0.958749081135779,0.,0.284253758851665)); #184336=DIRECTION('',(0.,-1.,0.)); #184337=DIRECTION('',(0.958749081135779,0.,0.284253758851665)); #184338=DIRECTION('center_axis',(0.179041829821278,0.,-0.983841462418742)); #184339=DIRECTION('ref_axis',(0.983841462418742,0.,0.179041829821278)); #184340=DIRECTION('',(0.983841462418742,0.,0.179041829821278)); #184341=DIRECTION('',(0.,-1.,0.)); #184342=DIRECTION('',(0.983841462418742,0.,0.179041829821278)); #184343=DIRECTION('center_axis',(0.0769663257705496,0.,-0.997033692859665)); #184344=DIRECTION('ref_axis',(0.997033692859665,0.,0.0769663257705496)); #184345=DIRECTION('',(0.997033692859665,0.,0.0769663257705496)); #184346=DIRECTION('',(0.,-1.,0.)); #184347=DIRECTION('',(0.997033692859665,0.,0.0769663257705496)); #184348=DIRECTION('center_axis',(-0.019146761528333,0.,-0.999816683959103)); #184349=DIRECTION('ref_axis',(0.999816683959103,0.,-0.019146761528333)); #184350=DIRECTION('',(0.999816683959103,0.,-0.019146761528333)); #184351=DIRECTION('',(0.,-1.,0.)); #184352=DIRECTION('',(0.999816683959103,0.,-0.019146761528333)); #184353=DIRECTION('center_axis',(0.,-1.,0.)); #184354=DIRECTION('ref_axis',(-0.217392362599896,0.,-0.976084299988088)); #184355=DIRECTION('center_axis',(0.,-1.,0.)); #184356=DIRECTION('ref_axis',(-0.217392362599896,0.,-0.976084299988088)); #184357=DIRECTION('',(0.,-1.,0.)); #184358=DIRECTION('center_axis',(0.,-1.,0.)); #184359=DIRECTION('ref_axis',(-0.217392362599896,0.,-0.976084299988088)); #184360=DIRECTION('center_axis',(0.,-1.,0.)); #184361=DIRECTION('ref_axis',(-0.414154374794634,0.,-0.91020665446835)); #184362=DIRECTION('center_axis',(0.,-1.,0.)); #184363=DIRECTION('ref_axis',(-0.414154374794634,0.,-0.91020665446835)); #184364=DIRECTION('',(0.,-1.,0.)); #184365=DIRECTION('center_axis',(0.,-1.,0.)); #184366=DIRECTION('ref_axis',(-0.414154374794634,0.,-0.91020665446835)); #184367=DIRECTION('center_axis',(-0.528734753481911,0.,-0.8487871113892)); #184368=DIRECTION('ref_axis',(0.8487871113892,0.,-0.528734753481911)); #184369=DIRECTION('',(0.8487871113892,0.,-0.528734753481911)); #184370=DIRECTION('',(0.,-1.,0.)); #184371=DIRECTION('',(0.8487871113892,0.,-0.528734753481911)); #184372=DIRECTION('center_axis',(-0.624134400983609,0.,-0.781316996812965)); #184373=DIRECTION('ref_axis',(0.781316996812965,0.,-0.624134400983609)); #184374=DIRECTION('',(0.781316996812965,0.,-0.624134400983609)); #184375=DIRECTION('',(0.,-1.,0.)); #184376=DIRECTION('',(0.781316996812965,0.,-0.624134400983609)); #184377=DIRECTION('center_axis',(-0.708542708772173,0.,-0.705667931711362)); #184378=DIRECTION('ref_axis',(0.705667931711362,0.,-0.708542708772173)); #184379=DIRECTION('',(0.705667931711362,0.,-0.708542708772173)); #184380=DIRECTION('',(0.,-1.,0.)); #184381=DIRECTION('',(0.705667931711362,0.,-0.708542708772173)); #184382=DIRECTION('center_axis',(-0.778577090306726,0.,-0.627548973745884)); #184383=DIRECTION('ref_axis',(0.627548973745884,0.,-0.778577090306726)); #184384=DIRECTION('',(0.627548973745884,0.,-0.778577090306726)); #184385=DIRECTION('',(0.,-1.,0.)); #184386=DIRECTION('',(0.627548973745884,0.,-0.778577090306726)); #184387=DIRECTION('center_axis',(0.,-1.,0.)); #184388=DIRECTION('ref_axis',(-0.91306546818034,0.,-0.407813009621588)); #184389=DIRECTION('center_axis',(0.,-1.,0.)); #184390=DIRECTION('ref_axis',(-0.91306546818034,0.,-0.407813009621588)); #184391=DIRECTION('',(0.,-1.,0.)); #184392=DIRECTION('center_axis',(0.,-1.,0.)); #184393=DIRECTION('ref_axis',(-0.91306546818034,0.,-0.407813009621588)); #184394=DIRECTION('center_axis',(-0.948654781445843,0.,-0.316313302976557)); #184395=DIRECTION('ref_axis',(0.316313302976557,0.,-0.948654781445843)); #184396=DIRECTION('',(0.316313302976557,0.,-0.948654781445843)); #184397=DIRECTION('',(0.,-1.,0.)); #184398=DIRECTION('',(0.316313302976557,0.,-0.948654781445843)); #184399=DIRECTION('center_axis',(0.,-1.,0.)); #184400=DIRECTION('ref_axis',(-0.999934204538472,0.,0.0114711199982181)); #184401=DIRECTION('center_axis',(0.,-1.,0.)); #184402=DIRECTION('ref_axis',(-0.999934204538472,0.,0.0114711199982181)); #184403=DIRECTION('',(0.,-1.,0.)); #184404=DIRECTION('center_axis',(0.,-1.,0.)); #184405=DIRECTION('ref_axis',(-0.999934204538472,0.,0.0114711199982181)); #184406=DIRECTION('center_axis',(-0.999999999315811,0.,-3.69915967111831E-5)); #184407=DIRECTION('ref_axis',(3.69915967111831E-5,0.,-0.999999999315811)); #184408=DIRECTION('',(3.69915967111831E-5,0.,-0.999999999315811)); #184409=DIRECTION('',(0.,-1.,0.)); #184410=DIRECTION('',(3.69915967111831E-5,0.,-0.999999999315811)); #184411=DIRECTION('center_axis',(0.346802369633803,0.,-0.937938226332832)); #184412=DIRECTION('ref_axis',(0.937938226332832,0.,0.346802369633803)); #184413=DIRECTION('',(0.937938226332832,0.,0.346802369633803)); #184414=DIRECTION('',(0.,-1.,0.)); #184415=DIRECTION('',(0.937938226332832,0.,0.346802369633803)); #184416=DIRECTION('center_axis',(0.999999995969274,0.,-8.97855863692516E-5)); #184417=DIRECTION('ref_axis',(8.97855863692516E-5,0.,0.999999995969274)); #184418=DIRECTION('',(8.97855863692516E-5,0.,0.999999995969274)); #184419=DIRECTION('',(0.,-1.,0.)); #184420=DIRECTION('',(8.97855863692516E-5,0.,0.999999995969274)); #184421=DIRECTION('center_axis',(0.352525096086379,0.,0.935802359811776)); #184422=DIRECTION('ref_axis',(-0.935802359811776,0.,0.352525096086379)); #184423=DIRECTION('',(-0.935802359811776,0.,0.352525096086379)); #184424=DIRECTION('',(0.,-1.,0.)); #184425=DIRECTION('',(-0.935802359811776,0.,0.352525096086379)); #184426=DIRECTION('center_axis',(0.351960724754953,0.,0.936014769237093)); #184427=DIRECTION('ref_axis',(-0.936014769237093,0.,0.351960724754953)); #184428=DIRECTION('',(-0.936014769237093,0.,0.351960724754953)); #184429=DIRECTION('',(0.,-1.,0.)); #184430=DIRECTION('',(-0.936014769237093,0.,0.351960724754953)); #184431=DIRECTION('center_axis',(0.,-1.,0.)); #184432=DIRECTION('ref_axis',(-0.997898417998375,0.,0.0647977419077232)); #184433=DIRECTION('center_axis',(0.,-1.,0.)); #184434=DIRECTION('ref_axis',(-0.997898417998375,0.,0.0647977419077232)); #184435=DIRECTION('',(0.,-1.,0.)); #184436=DIRECTION('center_axis',(0.,-1.,0.)); #184437=DIRECTION('ref_axis',(-0.997898417998375,0.,0.0647977419077232)); #184438=DIRECTION('center_axis',(0.,-1.,0.)); #184439=DIRECTION('ref_axis',(-0.969809364971515,0.,0.243864297537683)); #184440=DIRECTION('center_axis',(0.,-1.,0.)); #184441=DIRECTION('ref_axis',(-0.969809364971515,0.,0.243864297537683)); #184442=DIRECTION('',(0.,-1.,0.)); #184443=DIRECTION('center_axis',(0.,-1.,0.)); #184444=DIRECTION('ref_axis',(-0.969809364971515,0.,0.243864297537683)); #184445=DIRECTION('center_axis',(-0.949113806466703,0.,0.314933298293919)); #184446=DIRECTION('ref_axis',(-0.314933298293919,0.,-0.949113806466703)); #184447=DIRECTION('',(-0.314933298293919,0.,-0.949113806466703)); #184448=DIRECTION('',(0.,-1.,0.)); #184449=DIRECTION('',(-0.314933298293919,0.,-0.949113806466703)); #184450=DIRECTION('center_axis',(-0.910300956492581,0.,0.413947060152253)); #184451=DIRECTION('ref_axis',(-0.413947060152253,0.,-0.910300956492581)); #184452=DIRECTION('',(-0.413947060152253,0.,-0.910300956492581)); #184453=DIRECTION('',(0.,-1.,0.)); #184454=DIRECTION('',(-0.413947060152253,0.,-0.910300956492581)); #184455=DIRECTION('center_axis',(-0.852585690354343,0.,0.522587447804679)); #184456=DIRECTION('ref_axis',(-0.522587447804679,0.,-0.852585690354344)); #184457=DIRECTION('',(-0.522587447804679,0.,-0.852585690354344)); #184458=DIRECTION('',(0.,-1.,0.)); #184459=DIRECTION('',(-0.522587447804679,0.,-0.852585690354344)); #184460=DIRECTION('center_axis',(-0.774340901267662,0.,0.632768653319667)); #184461=DIRECTION('ref_axis',(-0.632768653319667,0.,-0.774340901267662)); #184462=DIRECTION('',(-0.632768653319667,0.,-0.774340901267662)); #184463=DIRECTION('',(0.,-1.,0.)); #184464=DIRECTION('',(-0.632768653319667,0.,-0.774340901267662)); #184465=DIRECTION('center_axis',(-0.696946708017359,0.,0.7171229226456)); #184466=DIRECTION('ref_axis',(-0.7171229226456,0.,-0.696946708017358)); #184467=DIRECTION('',(-0.7171229226456,0.,-0.696946708017358)); #184468=DIRECTION('',(0.,-1.,0.)); #184469=DIRECTION('',(-0.7171229226456,0.,-0.696946708017358)); #184470=DIRECTION('center_axis',(-0.612440924167893,0.,0.790516359352782)); #184471=DIRECTION('ref_axis',(-0.790516359352782,0.,-0.612440924167893)); #184472=DIRECTION('',(-0.790516359352782,0.,-0.612440924167893)); #184473=DIRECTION('',(0.,-1.,0.)); #184474=DIRECTION('',(-0.790516359352782,0.,-0.612440924167893)); #184475=DIRECTION('center_axis',(-0.517433278308705,0.,0.855723554951426)); #184476=DIRECTION('ref_axis',(-0.855723554951426,0.,-0.517433278308705)); #184477=DIRECTION('',(-0.855723554951426,0.,-0.517433278308705)); #184478=DIRECTION('',(0.,-1.,0.)); #184479=DIRECTION('',(-0.855723554951426,0.,-0.517433278308705)); #184480=DIRECTION('center_axis',(-0.416798944012491,0.,0.908998702017815)); #184481=DIRECTION('ref_axis',(-0.908998702017815,0.,-0.416798944012491)); #184482=DIRECTION('',(-0.908998702017815,0.,-0.416798944012491)); #184483=DIRECTION('',(0.,-1.,0.)); #184484=DIRECTION('',(-0.908998702017815,0.,-0.416798944012491)); #184485=DIRECTION('center_axis',(-0.312185709951871,0.,0.950021095819375)); #184486=DIRECTION('ref_axis',(-0.950021095819375,0.,-0.312185709951871)); #184487=DIRECTION('',(-0.950021095819375,0.,-0.312185709951871)); #184488=DIRECTION('',(0.,-1.,0.)); #184489=DIRECTION('',(-0.950021095819375,0.,-0.312185709951871)); #184490=DIRECTION('center_axis',(-0.209213024876403,0.,0.977870088622239)); #184491=DIRECTION('ref_axis',(-0.977870088622239,0.,-0.209213024876403)); #184492=DIRECTION('',(-0.977870088622239,0.,-0.209213024876403)); #184493=DIRECTION('',(0.,-1.,0.)); #184494=DIRECTION('',(-0.977870088622239,0.,-0.209213024876403)); #184495=DIRECTION('center_axis',(0.,-1.,0.)); #184496=DIRECTION('ref_axis',(-0.014140249755484,0.,0.999900021670593)); #184497=DIRECTION('center_axis',(0.,-1.,0.)); #184498=DIRECTION('ref_axis',(-0.014140249755484,0.,0.999900021670593)); #184499=DIRECTION('',(0.,-1.,0.)); #184500=DIRECTION('center_axis',(0.,-1.,0.)); #184501=DIRECTION('ref_axis',(-0.014140249755484,0.,0.999900021670593)); #184502=DIRECTION('center_axis',(0.,-1.,0.)); #184503=DIRECTION('ref_axis',(0.171035861066861,0.,0.985264804115684)); #184504=DIRECTION('center_axis',(0.,-1.,0.)); #184505=DIRECTION('ref_axis',(0.171035861066861,0.,0.985264804115684)); #184506=DIRECTION('',(0.,-1.,0.)); #184507=DIRECTION('center_axis',(0.,-1.,0.)); #184508=DIRECTION('ref_axis',(0.171035861066861,0.,0.985264804115684)); #184509=DIRECTION('center_axis',(0.277694928834224,0.,0.960669311729981)); #184510=DIRECTION('ref_axis',(-0.960669311729981,0.,0.277694928834224)); #184511=DIRECTION('',(-0.960669311729981,0.,0.277694928834224)); #184512=DIRECTION('',(0.,-1.,0.)); #184513=DIRECTION('',(-0.960669311729981,0.,0.277694928834224)); #184514=DIRECTION('center_axis',(0.379951196529392,0.,0.925006534169291)); #184515=DIRECTION('ref_axis',(-0.925006534169291,0.,0.379951196529392)); #184516=DIRECTION('',(-0.925006534169291,0.,0.379951196529392)); #184517=DIRECTION('',(0.,-1.,0.)); #184518=DIRECTION('',(-0.925006534169291,0.,0.379951196529392)); #184519=DIRECTION('center_axis',(0.477686303568986,0.,0.878530474931063)); #184520=DIRECTION('ref_axis',(-0.878530474931063,0.,0.477686303568986)); #184521=DIRECTION('',(-0.878530474931063,0.,0.477686303568986)); #184522=DIRECTION('',(0.,-1.,0.)); #184523=DIRECTION('',(-0.878530474931063,0.,0.477686303568986)); #184524=DIRECTION('center_axis',(0.567272228156543,0.,0.823530338944663)); #184525=DIRECTION('ref_axis',(-0.823530338944663,0.,0.567272228156543)); #184526=DIRECTION('',(-0.823530338944663,0.,0.567272228156543)); #184527=DIRECTION('',(0.,-1.,0.)); #184528=DIRECTION('',(-0.823530338944663,0.,0.567272228156543)); #184529=DIRECTION('center_axis',(0.646246964700637,0.,0.763128338233625)); #184530=DIRECTION('ref_axis',(-0.763128338233625,0.,0.646246964700637)); #184531=DIRECTION('',(-0.763128338233625,0.,0.646246964700637)); #184532=DIRECTION('',(0.,-1.,0.)); #184533=DIRECTION('',(-0.763128338233625,0.,0.646246964700637)); #184534=DIRECTION('center_axis',(0.725293792754508,0.,0.688439477508212)); #184535=DIRECTION('ref_axis',(-0.688439477508212,0.,0.725293792754508)); #184536=DIRECTION('',(-0.688439477508212,0.,0.725293792754508)); #184537=DIRECTION('',(0.,-1.,0.)); #184538=DIRECTION('',(-0.688439477508212,0.,0.725293792754508)); #184539=DIRECTION('center_axis',(0.820220255270135,0.,0.572047841394926)); #184540=DIRECTION('ref_axis',(-0.572047841394926,0.,0.820220255270135)); #184541=DIRECTION('',(-0.572047841394926,0.,0.820220255270135)); #184542=DIRECTION('',(-0.572047841394926,0.,0.820220255270135)); #184543=DIRECTION('center_axis',(0.,1.,0.)); #184544=DIRECTION('ref_axis',(1.,0.,0.)); #184545=DIRECTION('center_axis',(0.,-1.,0.)); #184546=DIRECTION('ref_axis',(0.947850550719024,0.,-0.318715129075548)); #184547=DIRECTION('center_axis',(0.,1.,0.)); #184548=DIRECTION('ref_axis',(0.947850550719024,0.,-0.318715129075548)); #184549=DIRECTION('',(0.,-1.,0.)); #184550=DIRECTION('center_axis',(0.,1.,0.)); #184551=DIRECTION('ref_axis',(0.947850550719024,0.,-0.318715129075548)); #184552=DIRECTION('',(0.,-1.,0.)); #184553=DIRECTION('center_axis',(0.,-1.,0.)); #184554=DIRECTION('ref_axis',(0.989081765027848,0.,0.147367778328224)); #184555=DIRECTION('center_axis',(0.,1.,0.)); #184556=DIRECTION('ref_axis',(0.989081765027848,0.,0.147367778328224)); #184557=DIRECTION('',(0.,-1.,0.)); #184558=DIRECTION('center_axis',(0.,1.,0.)); #184559=DIRECTION('ref_axis',(0.989081765027848,0.,0.147367778328224)); #184560=DIRECTION('center_axis',(0.,0.,-1.)); #184561=DIRECTION('ref_axis',(1.,0.,0.)); #184562=DIRECTION('',(1.,0.,0.)); #184563=DIRECTION('',(0.,-1.,0.)); #184564=DIRECTION('',(1.,0.,0.)); #184565=DIRECTION('center_axis',(0.,-1.,0.)); #184566=DIRECTION('ref_axis',(-0.984142040309209,0.,-0.177382198926576)); #184567=DIRECTION('center_axis',(0.,1.,0.)); #184568=DIRECTION('ref_axis',(-0.984142040309209,0.,-0.177382198926576)); #184569=DIRECTION('',(0.,-1.,0.)); #184570=DIRECTION('center_axis',(0.,1.,0.)); #184571=DIRECTION('ref_axis',(-0.984142040309209,0.,-0.177382198926576)); #184572=DIRECTION('center_axis',(0.,-1.,0.)); #184573=DIRECTION('ref_axis',(0.222453036713619,0.,-0.974943406796974)); #184574=DIRECTION('center_axis',(0.,1.,0.)); #184575=DIRECTION('ref_axis',(0.222453036713619,0.,-0.974943406796974)); #184576=DIRECTION('center_axis',(0.,1.,0.)); #184577=DIRECTION('ref_axis',(0.222453036713619,0.,-0.974943406796974)); #184578=DIRECTION('center_axis',(0.910444867538334,0.,0.413630442754285)); #184579=DIRECTION('ref_axis',(-0.413630442754285,0.,0.910444867538334)); #184580=DIRECTION('',(-0.413630442754285,0.,0.910444867538334)); #184581=DIRECTION('',(0.,-1.,0.)); #184582=DIRECTION('',(-0.413630442754285,0.,0.910444867538334)); #184583=DIRECTION('',(0.,-1.,0.)); #184584=DIRECTION('center_axis',(0.,-1.,0.)); #184585=DIRECTION('ref_axis',(0.990851034035209,0.,0.134960099108428)); #184586=DIRECTION('center_axis',(0.,-1.,0.)); #184587=DIRECTION('ref_axis',(0.990851034035209,0.,0.134960099108428)); #184588=DIRECTION('',(0.,-1.,0.)); #184589=DIRECTION('center_axis',(0.,-1.,0.)); #184590=DIRECTION('ref_axis',(0.990851034035209,0.,0.134960099108428)); #184591=DIRECTION('center_axis',(0.99615447623128,0.,0.0876142653018606)); #184592=DIRECTION('ref_axis',(-0.0876142653018606,0.,0.99615447623128)); #184593=DIRECTION('',(-0.0876142653018606,0.,0.99615447623128)); #184594=DIRECTION('',(0.,-1.,0.)); #184595=DIRECTION('',(-0.0876142653018606,0.,0.99615447623128)); #184596=DIRECTION('center_axis',(0.999466862564019,0.,0.0326495120397937)); #184597=DIRECTION('ref_axis',(-0.0326495120397937,0.,0.999466862564019)); #184598=DIRECTION('',(-0.0326495120397937,0.,0.999466862564019)); #184599=DIRECTION('',(0.,-1.,0.)); #184600=DIRECTION('',(-0.0326495120397937,0.,0.999466862564019)); #184601=DIRECTION('center_axis',(0.999999994047747,0.,0.000109107770554701)); #184602=DIRECTION('ref_axis',(-0.000109107770554701,0.,0.999999994047747)); #184603=DIRECTION('',(-0.000109107770554701,0.,0.999999994047747)); #184604=DIRECTION('',(0.,-1.,0.)); #184605=DIRECTION('',(-0.000109107770554701,0.,0.999999994047747)); #184606=DIRECTION('center_axis',(2.60844614797776E-5,0.,0.9999999996598)); #184607=DIRECTION('ref_axis',(-0.9999999996598,0.,2.60844614797776E-5)); #184608=DIRECTION('',(-0.9999999996598,0.,2.60844614797776E-5)); #184609=DIRECTION('',(0.,-1.,0.)); #184610=DIRECTION('',(-0.9999999996598,0.,2.60844614797776E-5)); #184611=DIRECTION('center_axis',(0.,-1.,0.)); #184612=DIRECTION('ref_axis',(-0.998388515656379,0.,0.0567483198469605)); #184613=DIRECTION('center_axis',(0.,-1.,0.)); #184614=DIRECTION('ref_axis',(-0.998388515656379,0.,0.0567483198469605)); #184615=DIRECTION('',(0.,-1.,0.)); #184616=DIRECTION('center_axis',(0.,-1.,0.)); #184617=DIRECTION('ref_axis',(-0.998388515656379,0.,0.0567483198469605)); #184618=DIRECTION('center_axis',(-0.987969231965983,0.,0.154650563169185)); #184619=DIRECTION('ref_axis',(-0.154650563169185,0.,-0.987969231965983)); #184620=DIRECTION('',(-0.154650563169185,0.,-0.987969231965983)); #184621=DIRECTION('',(0.,-1.,0.)); #184622=DIRECTION('',(-0.154650563169185,0.,-0.987969231965983)); #184623=DIRECTION('center_axis',(-0.97311905155276,0.,0.230302651971393)); #184624=DIRECTION('ref_axis',(-0.230302651971393,0.,-0.97311905155276)); #184625=DIRECTION('',(-0.230302651971393,0.,-0.97311905155276)); #184626=DIRECTION('',(0.,-1.,0.)); #184627=DIRECTION('',(-0.230302651971393,0.,-0.97311905155276)); #184628=DIRECTION('center_axis',(-0.94816750523114,0.,0.317770958433516)); #184629=DIRECTION('ref_axis',(-0.317770958433516,0.,-0.94816750523114)); #184630=DIRECTION('',(-0.317770958433516,0.,-0.94816750523114)); #184631=DIRECTION('',(0.,-1.,0.)); #184632=DIRECTION('',(-0.317770958433516,0.,-0.94816750523114)); #184633=DIRECTION('center_axis',(-0.909425097393401,0.,0.415867758104669)); #184634=DIRECTION('ref_axis',(-0.415867758104669,0.,-0.909425097393401)); #184635=DIRECTION('',(-0.415867758104669,0.,-0.909425097393401)); #184636=DIRECTION('',(0.,-1.,0.)); #184637=DIRECTION('',(-0.415867758104669,0.,-0.909425097393401)); #184638=DIRECTION('center_axis',(-0.851449033902855,0.,0.52443735819056)); #184639=DIRECTION('ref_axis',(-0.52443735819056,0.,-0.851449033902855)); #184640=DIRECTION('',(-0.52443735819056,0.,-0.851449033902855)); #184641=DIRECTION('',(0.,-1.,0.)); #184642=DIRECTION('',(-0.52443735819056,0.,-0.851449033902855)); #184643=DIRECTION('center_axis',(0.,-1.,0.)); #184644=DIRECTION('ref_axis',(-0.701441150103182,0.,0.712727376310132)); #184645=DIRECTION('center_axis',(0.,-1.,0.)); #184646=DIRECTION('ref_axis',(-0.701441150103182,0.,0.712727376310132)); #184647=DIRECTION('',(0.,-1.,0.)); #184648=DIRECTION('center_axis',(0.,-1.,0.)); #184649=DIRECTION('ref_axis',(-0.701441150103182,0.,0.712727376310132)); #184650=DIRECTION('center_axis',(-0.616989338466046,0.,0.786971509153433)); #184651=DIRECTION('ref_axis',(-0.786971509153433,0.,-0.616989338466046)); #184652=DIRECTION('',(-0.786971509153433,0.,-0.616989338466046)); #184653=DIRECTION('',(0.,-1.,0.)); #184654=DIRECTION('',(-0.786971509153433,0.,-0.616989338466046)); #184655=DIRECTION('center_axis',(-0.52129075532218,0.,0.853379135212264)); #184656=DIRECTION('ref_axis',(-0.853379135212264,0.,-0.521290755322179)); #184657=DIRECTION('',(-0.853379135212264,0.,-0.521290755322179)); #184658=DIRECTION('',(0.,-1.,0.)); #184659=DIRECTION('',(-0.853379135212264,0.,-0.521290755322179)); #184660=DIRECTION('center_axis',(-0.418663188466942,0.,0.908141582916835)); #184661=DIRECTION('ref_axis',(-0.908141582916835,0.,-0.418663188466942)); #184662=DIRECTION('',(-0.908141582916835,0.,-0.418663188466942)); #184663=DIRECTION('',(0.,-1.,0.)); #184664=DIRECTION('',(-0.908141582916835,0.,-0.418663188466942)); #184665=DIRECTION('center_axis',(-0.312685726222285,0.,0.949856640034086)); #184666=DIRECTION('ref_axis',(-0.949856640034085,0.,-0.312685726222285)); #184667=DIRECTION('',(-0.949856640034085,0.,-0.312685726222285)); #184668=DIRECTION('',(0.,-1.,0.)); #184669=DIRECTION('',(-0.949856640034085,0.,-0.312685726222285)); #184670=DIRECTION('center_axis',(-0.20727992105733,0.,0.978281674328241)); #184671=DIRECTION('ref_axis',(-0.978281674328241,0.,-0.20727992105733)); #184672=DIRECTION('',(-0.978281674328241,0.,-0.20727992105733)); #184673=DIRECTION('',(0.,-1.,0.)); #184674=DIRECTION('',(-0.978281674328241,0.,-0.20727992105733)); #184675=DIRECTION('center_axis',(-0.106503039498365,0.,0.994312376759743)); #184676=DIRECTION('ref_axis',(-0.994312376759743,0.,-0.106503039498365)); #184677=DIRECTION('',(-0.994312376759743,0.,-0.106503039498365)); #184678=DIRECTION('',(0.,-1.,0.)); #184679=DIRECTION('',(-0.994312376759743,0.,-0.106503039498365)); #184680=DIRECTION('center_axis',(-0.0127471356045634,0.,0.999918751966318)); #184681=DIRECTION('ref_axis',(-0.999918751966318,0.,-0.0127471356045634)); #184682=DIRECTION('',(-0.999918751966318,0.,-0.0127471356045634)); #184683=DIRECTION('',(0.,-1.,0.)); #184684=DIRECTION('',(-0.999918751966318,0.,-0.0127471356045634)); #184685=DIRECTION('center_axis',(0.083264234461555,0.,0.996527504517327)); #184686=DIRECTION('ref_axis',(-0.996527504517327,0.,0.083264234461555)); #184687=DIRECTION('',(-0.996527504517327,0.,0.083264234461555)); #184688=DIRECTION('',(0.,-1.,0.)); #184689=DIRECTION('',(-0.996527504517327,0.,0.083264234461555)); #184690=DIRECTION('center_axis',(0.187237405985582,0.,0.982314691837494)); #184691=DIRECTION('ref_axis',(-0.982314691837494,0.,0.187237405985582)); #184692=DIRECTION('',(-0.982314691837494,0.,0.187237405985582)); #184693=DIRECTION('',(0.,-1.,0.)); #184694=DIRECTION('',(-0.982314691837494,0.,0.187237405985582)); #184695=DIRECTION('center_axis',(0.29119854779092,0.,0.956662639473529)); #184696=DIRECTION('ref_axis',(-0.956662639473529,0.,0.29119854779092)); #184697=DIRECTION('',(-0.956662639473529,0.,0.29119854779092)); #184698=DIRECTION('',(0.,-1.,0.)); #184699=DIRECTION('',(-0.956662639473529,0.,0.29119854779092)); #184700=DIRECTION('center_axis',(0.396172156791068,0.,0.918176247886817)); #184701=DIRECTION('ref_axis',(-0.918176247886817,0.,0.396172156791068)); #184702=DIRECTION('',(-0.918176247886817,0.,0.396172156791068)); #184703=DIRECTION('',(0.,-1.,0.)); #184704=DIRECTION('',(-0.918176247886817,0.,0.396172156791068)); #184705=DIRECTION('center_axis',(0.493714546893545,0.,0.869624025763837)); #184706=DIRECTION('ref_axis',(-0.869624025763837,0.,0.493714546893545)); #184707=DIRECTION('',(-0.869624025763837,0.,0.493714546893545)); #184708=DIRECTION('',(0.,-1.,0.)); #184709=DIRECTION('',(-0.869624025763836,0.,0.493714546893545)); #184710=DIRECTION('center_axis',(0.,-1.,0.)); #184711=DIRECTION('ref_axis',(0.667653715596485,0.,0.744471971299261)); #184712=DIRECTION('center_axis',(0.,-1.,0.)); #184713=DIRECTION('ref_axis',(0.667653715596485,0.,0.744471971299261)); #184714=DIRECTION('',(0.,-1.,0.)); #184715=DIRECTION('center_axis',(0.,-1.,0.)); #184716=DIRECTION('ref_axis',(0.667653715596485,0.,0.744471971299261)); #184717=DIRECTION('center_axis',(0.,-1.,0.)); #184718=DIRECTION('ref_axis',(0.829405286104903,0.,0.558647358698889)); #184719=DIRECTION('center_axis',(0.,-1.,0.)); #184720=DIRECTION('ref_axis',(0.829405286104903,0.,0.558647358698889)); #184721=DIRECTION('',(0.,-1.,0.)); #184722=DIRECTION('center_axis',(0.,-1.,0.)); #184723=DIRECTION('ref_axis',(0.829405286104903,0.,0.558647358698889)); #184724=DIRECTION('center_axis',(0.892171960312287,0.,0.45169590792095)); #184725=DIRECTION('ref_axis',(-0.45169590792095,0.,0.892171960312287)); #184726=DIRECTION('',(-0.45169590792095,0.,0.892171960312287)); #184727=DIRECTION('',(0.,-1.,0.)); #184728=DIRECTION('',(-0.45169590792095,0.,0.892171960312287)); #184729=DIRECTION('center_axis',(0.936091863765606,0.,0.351755629083364)); #184730=DIRECTION('ref_axis',(-0.351755629083364,0.,0.936091863765606)); #184731=DIRECTION('',(-0.351755629083364,0.,0.936091863765606)); #184732=DIRECTION('',(0.,-1.,0.)); #184733=DIRECTION('',(-0.351755629083364,0.,0.936091863765606)); #184734=DIRECTION('center_axis',(0.965103040209344,0.,0.261870429370484)); #184735=DIRECTION('ref_axis',(-0.261870429370484,0.,0.965103040209344)); #184736=DIRECTION('',(-0.261870429370484,0.,0.965103040209344)); #184737=DIRECTION('',(0.,-1.,0.)); #184738=DIRECTION('',(-0.261870429370484,0.,0.965103040209344)); #184739=DIRECTION('center_axis',(0.983311818043658,0.,0.181928195988629)); #184740=DIRECTION('ref_axis',(-0.181928195988629,0.,0.983311818043658)); #184741=DIRECTION('',(-0.181928195988629,0.,0.983311818043658)); #184742=DIRECTION('',(0.,-1.,0.)); #184743=DIRECTION('',(-0.181928195988629,0.,0.983311818043658)); #184744=DIRECTION('center_axis',(0.,-1.,0.)); #184745=DIRECTION('ref_axis',(0.993912251423071,0.,-0.110174572706787)); #184746=DIRECTION('center_axis',(0.,-1.,0.)); #184747=DIRECTION('ref_axis',(0.993912251423071,0.,-0.110174572706787)); #184748=DIRECTION('',(0.,-1.,0.)); #184749=DIRECTION('center_axis',(0.,-1.,0.)); #184750=DIRECTION('ref_axis',(0.993912251423071,0.,-0.110174572706787)); #184751=DIRECTION('center_axis',(0.,-1.,0.)); #184752=DIRECTION('ref_axis',(0.626202150151344,0.,-0.779660738491964)); #184753=DIRECTION('center_axis',(0.,-1.,0.)); #184754=DIRECTION('ref_axis',(0.626202150151344,0.,-0.779660738491964)); #184755=DIRECTION('',(0.,-1.,0.)); #184756=DIRECTION('center_axis',(0.,-1.,0.)); #184757=DIRECTION('ref_axis',(0.626202150151344,0.,-0.779660738491964)); #184758=DIRECTION('center_axis',(0.,-1.,0.)); #184759=DIRECTION('ref_axis',(-0.209838209809231,0.,-0.977736122736629)); #184760=DIRECTION('center_axis',(0.,-1.,0.)); #184761=DIRECTION('ref_axis',(-0.209838209809231,0.,-0.977736122736629)); #184762=DIRECTION('',(0.,-1.,0.)); #184763=DIRECTION('center_axis',(0.,-1.,0.)); #184764=DIRECTION('ref_axis',(-0.209838209809231,0.,-0.977736122736629)); #184765=DIRECTION('center_axis',(0.,-1.,0.)); #184766=DIRECTION('ref_axis',(-0.976492024387105,0.,-0.215553534669172)); #184767=DIRECTION('center_axis',(0.,-1.,0.)); #184768=DIRECTION('ref_axis',(-0.976492024387105,0.,-0.215553534669172)); #184769=DIRECTION('',(0.,-1.,0.)); #184770=DIRECTION('center_axis',(0.,-1.,0.)); #184771=DIRECTION('ref_axis',(-0.976492024387105,0.,-0.215553534669172)); #184772=DIRECTION('center_axis',(0.,-1.,0.)); #184773=DIRECTION('ref_axis',(-0.997131998017086,0.,0.0756820885709019)); #184774=DIRECTION('center_axis',(0.,-1.,0.)); #184775=DIRECTION('ref_axis',(-0.997131998017086,0.,0.0756820885709019)); #184776=DIRECTION('',(0.,-1.,0.)); #184777=DIRECTION('center_axis',(0.,-1.,0.)); #184778=DIRECTION('ref_axis',(-0.997131998017086,0.,0.0756820885709019)); #184779=DIRECTION('center_axis',(0.,-1.,0.)); #184780=DIRECTION('ref_axis',(-0.983395743743906,0.,0.18147399589575)); #184781=DIRECTION('center_axis',(0.,-1.,0.)); #184782=DIRECTION('ref_axis',(-0.983395743743906,0.,0.18147399589575)); #184783=DIRECTION('',(0.,-1.,0.)); #184784=DIRECTION('center_axis',(0.,-1.,0.)); #184785=DIRECTION('ref_axis',(-0.983395743743906,0.,0.18147399589575)); #184786=DIRECTION('center_axis',(-0.967807784297933,0.,0.251690469927501)); #184787=DIRECTION('ref_axis',(-0.251690469927501,0.,-0.967807784297933)); #184788=DIRECTION('',(-0.251690469927501,0.,-0.967807784297933)); #184789=DIRECTION('',(0.,-1.,0.)); #184790=DIRECTION('',(-0.251690469927501,0.,-0.967807784297933)); #184791=DIRECTION('center_axis',(-0.939444260234291,0.,0.342701738998864)); #184792=DIRECTION('ref_axis',(-0.342701738998864,0.,-0.939444260234291)); #184793=DIRECTION('',(-0.342701738998864,0.,-0.939444260234291)); #184794=DIRECTION('',(0.,-1.,0.)); #184795=DIRECTION('',(-0.342701738998864,0.,-0.939444260234291)); #184796=DIRECTION('center_axis',(-0.8948148919883,0.,0.446437351793023)); #184797=DIRECTION('ref_axis',(-0.446437351793023,0.,-0.8948148919883)); #184798=DIRECTION('',(-0.446437351793023,0.,-0.8948148919883)); #184799=DIRECTION('',(0.,-1.,0.)); #184800=DIRECTION('',(-0.446437351793023,0.,-0.8948148919883)); #184801=DIRECTION('center_axis',(-0.830465676698881,0.,0.557069797983223)); #184802=DIRECTION('ref_axis',(-0.557069797983223,0.,-0.830465676698881)); #184803=DIRECTION('',(-0.557069797983223,0.,-0.830465676698881)); #184804=DIRECTION('',(0.,-1.,0.)); #184805=DIRECTION('',(-0.557069797983223,0.,-0.830465676698881)); #184806=DIRECTION('center_axis',(0.,-1.,0.)); #184807=DIRECTION('ref_axis',(-0.682291291563219,0.,0.731080428856494)); #184808=DIRECTION('center_axis',(0.,-1.,0.)); #184809=DIRECTION('ref_axis',(-0.682291291563219,0.,0.731080428856494)); #184810=DIRECTION('',(0.,-1.,0.)); #184811=DIRECTION('center_axis',(0.,-1.,0.)); #184812=DIRECTION('ref_axis',(-0.682291291563219,0.,0.731080428856494)); #184813=DIRECTION('center_axis',(0.,-1.,0.)); #184814=DIRECTION('ref_axis',(-0.49942432762539,0.,0.866357513371891)); #184815=DIRECTION('center_axis',(0.,-1.,0.)); #184816=DIRECTION('ref_axis',(-0.49942432762539,0.,0.866357513371891)); #184817=DIRECTION('',(0.,-1.,0.)); #184818=DIRECTION('center_axis',(0.,-1.,0.)); #184819=DIRECTION('ref_axis',(-0.49942432762539,0.,0.866357513371891)); #184820=DIRECTION('center_axis',(-0.389279912317332,0.,0.921119509003153)); #184821=DIRECTION('ref_axis',(-0.921119509003153,0.,-0.389279912317332)); #184822=DIRECTION('',(-0.921119509003153,0.,-0.389279912317332)); #184823=DIRECTION('',(0.,-1.,0.)); #184824=DIRECTION('',(-0.921119509003153,0.,-0.389279912317332)); #184825=DIRECTION('center_axis',(-0.283237982329167,0.,0.959049657403673)); #184826=DIRECTION('ref_axis',(-0.959049657403673,0.,-0.283237982329167)); #184827=DIRECTION('',(-0.959049657403673,0.,-0.283237982329167)); #184828=DIRECTION('',(0.,-1.,0.)); #184829=DIRECTION('',(-0.959049657403673,0.,-0.283237982329167)); #184830=DIRECTION('center_axis',(-0.178808680758279,0.,0.983883862905315)); #184831=DIRECTION('ref_axis',(-0.983883862905315,0.,-0.178808680758279)); #184832=DIRECTION('',(-0.983883862905315,0.,-0.178808680758279)); #184833=DIRECTION('',(0.,-1.,0.)); #184834=DIRECTION('',(-0.983883862905315,0.,-0.178808680758279)); #184835=DIRECTION('center_axis',(-0.0799782200209295,0.,0.996796611311597)); #184836=DIRECTION('ref_axis',(-0.996796611311597,0.,-0.0799782200209295)); #184837=DIRECTION('',(-0.996796611311597,0.,-0.0799782200209295)); #184838=DIRECTION('',(0.,-1.,0.)); #184839=DIRECTION('',(-0.996796611311597,0.,-0.0799782200209295)); #184840=DIRECTION('center_axis',(0.0124913456448702,0.,0.999921980098438)); #184841=DIRECTION('ref_axis',(-0.999921980098438,0.,0.0124913456448702)); #184842=DIRECTION('',(-0.999921980098438,0.,0.0124913456448702)); #184843=DIRECTION('',(0.,-1.,0.)); #184844=DIRECTION('',(-0.999921980098438,0.,0.0124913456448702)); #184845=DIRECTION('center_axis',(0.106570752575051,0.,0.994305121527385)); #184846=DIRECTION('ref_axis',(-0.994305121527385,0.,0.106570752575051)); #184847=DIRECTION('',(-0.994305121527385,0.,0.106570752575051)); #184848=DIRECTION('',(0.,-1.,0.)); #184849=DIRECTION('',(-0.994305121527385,0.,0.106570752575051)); #184850=DIRECTION('center_axis',(0.20727992105733,0.,0.978281674328241)); #184851=DIRECTION('ref_axis',(-0.978281674328241,0.,0.20727992105733)); #184852=DIRECTION('',(-0.978281674328241,0.,0.20727992105733)); #184853=DIRECTION('',(0.,-1.,0.)); #184854=DIRECTION('',(-0.978281674328241,0.,0.20727992105733)); #184855=DIRECTION('center_axis',(0.312753134541653,0.,0.94983444706663)); #184856=DIRECTION('ref_axis',(-0.94983444706663,0.,0.312753134541653)); #184857=DIRECTION('',(-0.94983444706663,0.,0.312753134541653)); #184858=DIRECTION('',(0.,-1.,0.)); #184859=DIRECTION('',(-0.94983444706663,0.,0.312753134541653)); #184860=DIRECTION('center_axis',(0.41848785134002,0.,0.90822239472544)); #184861=DIRECTION('ref_axis',(-0.90822239472544,0.,0.41848785134002)); #184862=DIRECTION('',(-0.90822239472544,0.,0.41848785134002)); #184863=DIRECTION('',(0.,-1.,0.)); #184864=DIRECTION('',(-0.90822239472544,0.,0.41848785134002)); #184865=DIRECTION('center_axis',(0.521496863965841,0.,0.853253198572261)); #184866=DIRECTION('ref_axis',(-0.85325319857226,0.,0.521496863965841)); #184867=DIRECTION('',(-0.85325319857226,0.,0.521496863965841)); #184868=DIRECTION('',(0.,-1.,0.)); #184869=DIRECTION('',(-0.85325319857226,0.,0.521496863965841)); #184870=DIRECTION('center_axis',(0.616308261658152,0.,0.787505001007554)); #184871=DIRECTION('ref_axis',(-0.787505001007554,0.,0.616308261658152)); #184872=DIRECTION('',(-0.787505001007554,0.,0.616308261658152)); #184873=DIRECTION('',(0.,-1.,0.)); #184874=DIRECTION('',(-0.787505001007554,0.,0.616308261658152)); #184875=DIRECTION('center_axis',(0.702025969956702,0.,0.712151344523306)); #184876=DIRECTION('ref_axis',(-0.712151344523306,0.,0.702025969956702)); #184877=DIRECTION('',(-0.712151344523306,0.,0.702025969956702)); #184878=DIRECTION('',(0.,-1.,0.)); #184879=DIRECTION('',(-0.712151344523306,0.,0.702025969956702)); #184880=DIRECTION('center_axis',(0.775674310353042,0.,0.631133396563938)); #184881=DIRECTION('ref_axis',(-0.631133396563938,0.,0.775674310353042)); #184882=DIRECTION('',(-0.631133396563938,0.,0.775674310353042)); #184883=DIRECTION('',(0.,-1.,0.)); #184884=DIRECTION('',(-0.631133396563938,0.,0.775674310353042)); #184885=DIRECTION('center_axis',(0.852446317678577,0.,0.522814762106269)); #184886=DIRECTION('ref_axis',(-0.522814762106269,0.,0.852446317678577)); #184887=DIRECTION('',(-0.522814762106269,0.,0.852446317678577)); #184888=DIRECTION('',(-0.522814762106269,0.,0.852446317678577)); #184889=DIRECTION('center_axis',(0.,1.,0.)); #184890=DIRECTION('ref_axis',(1.,0.,0.)); #184891=DIRECTION('center_axis',(1.,0.,0.)); #184892=DIRECTION('ref_axis',(0.,0.,1.)); #184893=DIRECTION('',(0.,0.,1.)); #184894=DIRECTION('',(0.,-1.,0.)); #184895=DIRECTION('',(0.,0.,1.)); #184896=DIRECTION('',(0.,-1.,0.)); #184897=DIRECTION('center_axis',(-4.28724544019149E-5,0.,-0.999999999080976)); #184898=DIRECTION('ref_axis',(0.999999999080976,0.,-4.28724544019149E-5)); #184899=DIRECTION('',(0.999999999080976,0.,-4.28724544019149E-5)); #184900=DIRECTION('',(0.,-1.,0.)); #184901=DIRECTION('',(0.999999999080976,0.,-4.28724544019149E-5)); #184902=DIRECTION('center_axis',(-7.11204672243098E-5,0.,-0.99999999747094)); #184903=DIRECTION('ref_axis',(0.99999999747094,0.,-7.11204672243098E-5)); #184904=DIRECTION('',(0.99999999747094,0.,-7.11204672243098E-5)); #184905=DIRECTION('',(0.,-1.,0.)); #184906=DIRECTION('',(0.99999999747094,0.,-7.11204672243098E-5)); #184907=DIRECTION('center_axis',(-0.779983189835148,0.,-0.62580046626268)); #184908=DIRECTION('ref_axis',(0.62580046626268,0.,-0.779983189835148)); #184909=DIRECTION('',(0.62580046626268,0.,-0.779983189835148)); #184910=DIRECTION('',(0.,-1.,0.)); #184911=DIRECTION('',(0.625800466262679,0.,-0.779983189835148)); #184912=DIRECTION('center_axis',(-1.,0.,0.)); #184913=DIRECTION('ref_axis',(0.,0.,-1.)); #184914=DIRECTION('',(0.,0.,-1.)); #184915=DIRECTION('',(0.,-1.,0.)); #184916=DIRECTION('',(0.,0.,-1.)); #184917=DIRECTION('center_axis',(-0.300616414313752,0.,0.95374512918554)); #184918=DIRECTION('ref_axis',(-0.95374512918554,0.,-0.300616414313752)); #184919=DIRECTION('',(-0.95374512918554,0.,-0.300616414313752)); #184920=DIRECTION('',(0.,-1.,0.)); #184921=DIRECTION('',(-0.95374512918554,0.,-0.300616414313752)); #184922=DIRECTION('center_axis',(0.,-1.,0.)); #184923=DIRECTION('ref_axis',(0.00909797388180622,0.,0.999958612579164)); #184924=DIRECTION('center_axis',(0.,-1.,0.)); #184925=DIRECTION('ref_axis',(0.00909797388180622,0.,0.999958612579164)); #184926=DIRECTION('center_axis',(0.,-1.,0.)); #184927=DIRECTION('ref_axis',(0.00909797388180622,0.,0.999958612579164)); #184928=DIRECTION('center_axis',(0.,1.,0.)); #184929=DIRECTION('ref_axis',(1.,0.,0.)); #184930=DIRECTION('center_axis',(0.,-1.,0.)); #184931=DIRECTION('ref_axis',(0.139580464361829,0.,0.990210732101271)); #184932=DIRECTION('center_axis',(0.,-1.,0.)); #184933=DIRECTION('ref_axis',(0.139580464361829,0.,0.990210732101271)); #184934=DIRECTION('',(0.,-1.,0.)); #184935=DIRECTION('center_axis',(0.,-1.,0.)); #184936=DIRECTION('ref_axis',(0.139580464361829,0.,0.990210732101271)); #184937=DIRECTION('',(0.,-1.,0.)); #184938=DIRECTION('center_axis',(0.,-1.,0.)); #184939=DIRECTION('ref_axis',(0.329855904186728,0.,0.944031293164139)); #184940=DIRECTION('center_axis',(0.,-1.,0.)); #184941=DIRECTION('ref_axis',(0.329855904186728,0.,0.944031293164139)); #184942=DIRECTION('',(0.,-1.,0.)); #184943=DIRECTION('center_axis',(0.,-1.,0.)); #184944=DIRECTION('ref_axis',(0.329855904186728,0.,0.944031293164139)); #184945=DIRECTION('center_axis',(0.410356739719874,0.,0.911925077057581)); #184946=DIRECTION('ref_axis',(-0.911925077057581,0.,0.410356739719874)); #184947=DIRECTION('',(-0.911925077057581,0.,0.410356739719874)); #184948=DIRECTION('',(0.,-1.,0.)); #184949=DIRECTION('',(-0.911925077057581,0.,0.410356739719874)); #184950=DIRECTION('center_axis',(0.518337356593555,0.,0.855176230235386)); #184951=DIRECTION('ref_axis',(-0.855176230235386,0.,0.518337356593555)); #184952=DIRECTION('',(-0.855176230235386,0.,0.518337356593555)); #184953=DIRECTION('',(0.,-1.,0.)); #184954=DIRECTION('',(-0.855176230235386,0.,0.518337356593555)); #184955=DIRECTION('center_axis',(0.630519509754997,0.,0.776173400612465)); #184956=DIRECTION('ref_axis',(-0.776173400612465,0.,0.630519509754997)); #184957=DIRECTION('',(-0.776173400612465,0.,0.630519509754997)); #184958=DIRECTION('',(0.,-1.,0.)); #184959=DIRECTION('',(-0.776173400612465,0.,0.630519509754996)); #184960=DIRECTION('center_axis',(0.71986801324108,0.,0.694110973485033)); #184961=DIRECTION('ref_axis',(-0.694110973485033,0.,0.71986801324108)); #184962=DIRECTION('',(-0.694110973485033,0.,0.71986801324108)); #184963=DIRECTION('',(0.,-1.,0.)); #184964=DIRECTION('',(-0.694110973485033,0.,0.71986801324108)); #184965=DIRECTION('center_axis',(0.792000583931458,0.,0.610520331399561)); #184966=DIRECTION('ref_axis',(-0.610520331399561,0.,0.792000583931458)); #184967=DIRECTION('',(-0.610520331399561,0.,0.792000583931458)); #184968=DIRECTION('',(0.,-1.,0.)); #184969=DIRECTION('',(-0.610520331399561,0.,0.792000583931458)); #184970=DIRECTION('center_axis',(0.855405157667098,0.,0.517959473546461)); #184971=DIRECTION('ref_axis',(-0.517959473546461,0.,0.855405157667098)); #184972=DIRECTION('',(-0.517959473546461,0.,0.855405157667098)); #184973=DIRECTION('',(0.,-1.,0.)); #184974=DIRECTION('',(-0.517959473546461,0.,0.855405157667098)); #184975=DIRECTION('center_axis',(0.908558579016574,0.,0.417757475690602)); #184976=DIRECTION('ref_axis',(-0.417757475690602,0.,0.908558579016574)); #184977=DIRECTION('',(-0.417757475690602,0.,0.908558579016574)); #184978=DIRECTION('',(0.,-1.,0.)); #184979=DIRECTION('',(-0.417757475690602,0.,0.908558579016574)); #184980=DIRECTION('center_axis',(0.94907551969882,0.,0.315048659588348)); #184981=DIRECTION('ref_axis',(-0.315048659588348,0.,0.94907551969882)); #184982=DIRECTION('',(-0.315048659588348,0.,0.94907551969882)); #184983=DIRECTION('',(0.,-1.,0.)); #184984=DIRECTION('',(-0.315048659588348,0.,0.94907551969882)); #184985=DIRECTION('center_axis',(0.977256541719422,0.,0.212060490583692)); #184986=DIRECTION('ref_axis',(-0.212060490583692,0.,0.977256541719422)); #184987=DIRECTION('',(-0.212060490583692,0.,0.977256541719422)); #184988=DIRECTION('',(0.,-1.,0.)); #184989=DIRECTION('',(-0.212060490583692,0.,0.977256541719422)); #184990=DIRECTION('center_axis',(0.993659573159959,0.,0.112430657151726)); #184991=DIRECTION('ref_axis',(-0.112430657151726,0.,0.993659573159958)); #184992=DIRECTION('',(-0.112430657151726,0.,0.993659573159958)); #184993=DIRECTION('',(0.,-1.,0.)); #184994=DIRECTION('',(-0.112430657151726,0.,0.993659573159958)); #184995=DIRECTION('center_axis',(0.999828300940134,0.,0.0185302088268064)); #184996=DIRECTION('ref_axis',(-0.0185302088268064,0.,0.999828300940134)); #184997=DIRECTION('',(-0.0185302088268064,0.,0.999828300940134)); #184998=DIRECTION('',(0.,-1.,0.)); #184999=DIRECTION('',(-0.0185302088268064,0.,0.999828300940134)); #185000=DIRECTION('center_axis',(0.997349963277125,0.,-0.072753355600401)); #185001=DIRECTION('ref_axis',(0.072753355600401,0.,0.997349963277125)); #185002=DIRECTION('',(0.072753355600401,0.,0.997349963277125)); #185003=DIRECTION('',(0.,-1.,0.)); #185004=DIRECTION('',(0.072753355600401,0.,0.997349963277125)); #185005=DIRECTION('center_axis',(0.985499175916835,0.,-0.169680211772729)); #185006=DIRECTION('ref_axis',(0.169680211772729,0.,0.985499175916835)); #185007=DIRECTION('',(0.169680211772729,0.,0.985499175916835)); #185008=DIRECTION('',(0.,-1.,0.)); #185009=DIRECTION('',(0.169680211772729,0.,0.985499175916835)); #185010=DIRECTION('center_axis',(0.96241597127085,0.,-0.271579635176842)); #185011=DIRECTION('ref_axis',(0.271579635176842,0.,0.96241597127085)); #185012=DIRECTION('',(0.271579635176842,0.,0.96241597127085)); #185013=DIRECTION('',(0.,-1.,0.)); #185014=DIRECTION('',(0.271579635176842,0.,0.96241597127085)); #185015=DIRECTION('center_axis',(0.926752312648777,0.,-0.375672930885556)); #185016=DIRECTION('ref_axis',(0.375672930885556,0.,0.926752312648777)); #185017=DIRECTION('',(0.375672930885556,0.,0.926752312648777)); #185018=DIRECTION('',(0.,-1.,0.)); #185019=DIRECTION('',(0.375672930885556,0.,0.926752312648777)); #185020=DIRECTION('center_axis',(0.878335166639529,0.,-0.478045327395124)); #185021=DIRECTION('ref_axis',(0.478045327395124,0.,0.878335166639528)); #185022=DIRECTION('',(0.478045327395124,0.,0.878335166639528)); #185023=DIRECTION('',(0.,-1.,0.)); #185024=DIRECTION('',(0.478045327395124,0.,0.878335166639528)); #185025=DIRECTION('center_axis',(0.818049834305623,0.,-0.575147345114747)); #185026=DIRECTION('ref_axis',(0.575147345114747,0.,0.818049834305623)); #185027=DIRECTION('',(0.575147345114747,0.,0.818049834305623)); #185028=DIRECTION('',(0.,-1.,0.)); #185029=DIRECTION('',(0.575147345114747,0.,0.818049834305623)); #185030=DIRECTION('center_axis',(0.747473322521511,0.,-0.664291827526618)); #185031=DIRECTION('ref_axis',(0.664291827526618,0.,0.747473322521511)); #185032=DIRECTION('',(0.664291827526618,0.,0.747473322521511)); #185033=DIRECTION('',(0.,-1.,0.)); #185034=DIRECTION('',(0.664291827526618,0.,0.747473322521511)); #185035=DIRECTION('center_axis',(0.670305656404155,0.,-0.742085121123309)); #185036=DIRECTION('ref_axis',(0.742085121123309,0.,0.670305656404155)); #185037=DIRECTION('',(0.742085121123309,0.,0.670305656404155)); #185038=DIRECTION('',(0.,-1.,0.)); #185039=DIRECTION('',(0.742085121123309,0.,0.670305656404155)); #185040=DIRECTION('center_axis',(0.569362064498632,0.,-0.822086880755225)); #185041=DIRECTION('ref_axis',(0.822086880755225,0.,0.569362064498632)); #185042=DIRECTION('',(0.822086880755225,0.,0.569362064498632)); #185043=DIRECTION('',(0.,-1.,0.)); #185044=DIRECTION('',(0.822086880755225,0.,0.569362064498632)); #185045=DIRECTION('center_axis',(0.45730817800591,0.,-0.889308287563382)); #185046=DIRECTION('ref_axis',(0.889308287563382,0.,0.45730817800591)); #185047=DIRECTION('',(0.889308287563382,0.,0.45730817800591)); #185048=DIRECTION('',(0.,-1.,0.)); #185049=DIRECTION('',(0.889308287563382,0.,0.45730817800591)); #185050=DIRECTION('center_axis',(0.35306117589664,0.,-0.935600238389496)); #185051=DIRECTION('ref_axis',(0.935600238389496,0.,0.35306117589664)); #185052=DIRECTION('',(0.935600238389496,0.,0.35306117589664)); #185053=DIRECTION('',(0.,-1.,0.)); #185054=DIRECTION('',(0.935600238389496,0.,0.35306117589664)); #185055=DIRECTION('center_axis',(0.260354871941545,0.,-0.965512993520181)); #185056=DIRECTION('ref_axis',(0.965512993520181,0.,0.260354871941545)); #185057=DIRECTION('',(0.965512993520181,0.,0.260354871941545)); #185058=DIRECTION('',(0.,-1.,0.)); #185059=DIRECTION('',(0.965512993520181,0.,0.260354871941545)); #185060=DIRECTION('center_axis',(0.,-1.,0.)); #185061=DIRECTION('ref_axis',(-0.111955755551596,0.,-0.993713192424691)); #185062=DIRECTION('center_axis',(0.,-1.,0.)); #185063=DIRECTION('ref_axis',(-0.111955755551596,0.,-0.993713192424691)); #185064=DIRECTION('',(0.,-1.,0.)); #185065=DIRECTION('center_axis',(0.,-1.,0.)); #185066=DIRECTION('ref_axis',(-0.111955755551596,0.,-0.993713192424691)); #185067=DIRECTION('center_axis',(-0.172770505638279,0.,-0.984962107078995)); #185068=DIRECTION('ref_axis',(0.984962107078995,0.,-0.172770505638278)); #185069=DIRECTION('',(0.984962107078995,0.,-0.172770505638278)); #185070=DIRECTION('',(0.,-1.,0.)); #185071=DIRECTION('',(0.984962107078995,0.,-0.172770505638278)); #185072=DIRECTION('center_axis',(-0.252079688900856,0.,-0.967706479488304)); #185073=DIRECTION('ref_axis',(0.967706479488304,0.,-0.252079688900856)); #185074=DIRECTION('',(0.967706479488304,0.,-0.252079688900856)); #185075=DIRECTION('',(0.,-1.,0.)); #185076=DIRECTION('',(0.967706479488304,0.,-0.252079688900856)); #185077=DIRECTION('center_axis',(-0.343532171927423,0.,-0.939140908943289)); #185078=DIRECTION('ref_axis',(0.939140908943289,0.,-0.343532171927423)); #185079=DIRECTION('',(0.939140908943289,0.,-0.343532171927423)); #185080=DIRECTION('',(0.,-1.,0.)); #185081=DIRECTION('',(0.939140908943289,0.,-0.343532171927423)); #185082=DIRECTION('center_axis',(-0.446807165630544,0.,-0.89463029053414)); #185083=DIRECTION('ref_axis',(0.89463029053414,0.,-0.446807165630544)); #185084=DIRECTION('',(0.89463029053414,0.,-0.446807165630544)); #185085=DIRECTION('',(0.,-1.,0.)); #185086=DIRECTION('',(0.89463029053414,0.,-0.446807165630544)); #185087=DIRECTION('center_axis',(-0.558678667683971,0.,-0.829384197025036)); #185088=DIRECTION('ref_axis',(0.829384197025036,0.,-0.558678667683971)); #185089=DIRECTION('',(0.829384197025036,0.,-0.558678667683971)); #185090=DIRECTION('',(0.,-1.,0.)); #185091=DIRECTION('',(0.829384197025036,0.,-0.558678667683971)); #185092=DIRECTION('center_axis',(-0.653589125288338,0.,-0.756849559228798)); #185093=DIRECTION('ref_axis',(0.756849559228798,0.,-0.653589125288338)); #185094=DIRECTION('',(0.756849559228798,0.,-0.653589125288338)); #185095=DIRECTION('',(0.,-1.,0.)); #185096=DIRECTION('',(0.756849559228798,0.,-0.653589125288338)); #185097=DIRECTION('center_axis',(-0.725845085104784,0.,-0.687858206630719)); #185098=DIRECTION('ref_axis',(0.687858206630719,0.,-0.725845085104784)); #185099=DIRECTION('',(0.687858206630719,0.,-0.725845085104784)); #185100=DIRECTION('',(0.,-1.,0.)); #185101=DIRECTION('',(0.687858206630719,0.,-0.725845085104784)); #185102=DIRECTION('center_axis',(-0.800721875891826,0.,-0.599036290610406)); #185103=DIRECTION('ref_axis',(0.599036290610406,0.,-0.800721875891826)); #185104=DIRECTION('',(0.599036290610406,0.,-0.800721875891826)); #185105=DIRECTION('',(0.,-1.,0.)); #185106=DIRECTION('',(0.599036290610406,0.,-0.800721875891826)); #185107=DIRECTION('center_axis',(-0.865877609827454,0.,-0.500255899315035)); #185108=DIRECTION('ref_axis',(0.500255899315035,0.,-0.865877609827454)); #185109=DIRECTION('',(0.500255899315035,0.,-0.865877609827454)); #185110=DIRECTION('',(0.,-1.,0.)); #185111=DIRECTION('',(0.500255899315035,0.,-0.865877609827454)); #185112=DIRECTION('center_axis',(-0.91879622993743,0.,-0.394732172305178)); #185113=DIRECTION('ref_axis',(0.394732172305178,0.,-0.91879622993743)); #185114=DIRECTION('',(0.394732172305178,0.,-0.91879622993743)); #185115=DIRECTION('',(0.,-1.,0.)); #185116=DIRECTION('',(0.394732172305178,0.,-0.91879622993743)); #185117=DIRECTION('center_axis',(-0.95753263831165,0.,-0.28832489758592)); #185118=DIRECTION('ref_axis',(0.28832489758592,0.,-0.95753263831165)); #185119=DIRECTION('',(0.28832489758592,0.,-0.95753263831165)); #185120=DIRECTION('',(0.,-1.,0.)); #185121=DIRECTION('',(0.28832489758592,0.,-0.95753263831165)); #185122=DIRECTION('center_axis',(-0.983075754361869,0.,-0.183199511969442)); #185123=DIRECTION('ref_axis',(0.183199511969442,0.,-0.983075754361869)); #185124=DIRECTION('',(0.183199511969442,0.,-0.983075754361869)); #185125=DIRECTION('',(0.,-1.,0.)); #185126=DIRECTION('',(0.183199511969442,0.,-0.983075754361869)); #185127=DIRECTION('center_axis',(-0.996443610002408,0.,-0.0842622815105851)); #185128=DIRECTION('ref_axis',(0.0842622815105851,0.,-0.996443610002408)); #185129=DIRECTION('',(0.0842622815105851,0.,-0.996443610002408)); #185130=DIRECTION('',(0.,-1.,0.)); #185131=DIRECTION('',(0.0842622815105851,0.,-0.996443610002408)); #185132=DIRECTION('center_axis',(-0.999966210846023,0.,0.00822053320944774)); #185133=DIRECTION('ref_axis',(-0.00822053320944774,0.,-0.999966210846023)); #185134=DIRECTION('',(-0.00822053320944774,0.,-0.999966210846023)); #185135=DIRECTION('',(0.,-1.,0.)); #185136=DIRECTION('',(-0.00822053320944774,0.,-0.999966210846023)); #185137=DIRECTION('center_axis',(-0.994844258355869,0.,0.10141450397434)); #185138=DIRECTION('ref_axis',(-0.10141450397434,0.,-0.994844258355869)); #185139=DIRECTION('',(-0.10141450397434,0.,-0.994844258355869)); #185140=DIRECTION('',(0.,-1.,0.)); #185141=DIRECTION('',(-0.10141450397434,0.,-0.994844258355869)); #185142=DIRECTION('center_axis',(-0.979310678417269,0.,0.202362533928366)); #185143=DIRECTION('ref_axis',(-0.202362533928366,0.,-0.979310678417269)); #185144=DIRECTION('',(-0.202362533928366,0.,-0.979310678417269)); #185145=DIRECTION('',(0.,-1.,0.)); #185146=DIRECTION('',(-0.202362533928366,0.,-0.979310678417269)); #185147=DIRECTION('center_axis',(-0.951528351993266,0.,0.307561043295439)); #185148=DIRECTION('ref_axis',(-0.307561043295439,0.,-0.951528351993266)); #185149=DIRECTION('',(-0.307561043295439,0.,-0.951528351993266)); #185150=DIRECTION('',(0.,-1.,0.)); #185151=DIRECTION('',(-0.307561043295439,0.,-0.951528351993266)); #185152=DIRECTION('center_axis',(-0.910402113503973,0.,0.413724536047235)); #185153=DIRECTION('ref_axis',(-0.413724536047235,0.,-0.910402113503973)); #185154=DIRECTION('',(-0.413724536047235,0.,-0.910402113503973)); #185155=DIRECTION('',(0.,-1.,0.)); #185156=DIRECTION('',(-0.413724536047235,0.,-0.910402113503973)); #185157=DIRECTION('center_axis',(-0.856084982156798,0.,0.516835083296012)); #185158=DIRECTION('ref_axis',(-0.516835083296012,0.,-0.856084982156798)); #185159=DIRECTION('',(-0.516835083296012,0.,-0.856084982156798)); #185160=DIRECTION('',(0.,-1.,0.)); #185161=DIRECTION('',(-0.516835083296012,0.,-0.856084982156798)); #185162=DIRECTION('center_axis',(-0.789783313744842,0.,0.61338594484241)); #185163=DIRECTION('ref_axis',(-0.61338594484241,0.,-0.789783313744842)); #185164=DIRECTION('',(-0.61338594484241,0.,-0.789783313744842)); #185165=DIRECTION('',(0.,-1.,0.)); #185166=DIRECTION('',(-0.61338594484241,0.,-0.789783313744842)); #185167=DIRECTION('center_axis',(0.,-1.,0.)); #185168=DIRECTION('ref_axis',(-0.63596948123466,0.,0.771714208070654)); #185169=DIRECTION('center_axis',(0.,-1.,0.)); #185170=DIRECTION('ref_axis',(-0.63596948123466,0.,0.771714208070654)); #185171=DIRECTION('',(0.,-1.,0.)); #185172=DIRECTION('center_axis',(0.,-1.,0.)); #185173=DIRECTION('ref_axis',(-0.63596948123466,0.,0.771714208070654)); #185174=DIRECTION('center_axis',(-0.534660023727709,0.,0.84506725118625)); #185175=DIRECTION('ref_axis',(-0.84506725118625,0.,-0.534660023727709)); #185176=DIRECTION('',(-0.84506725118625,0.,-0.534660023727709)); #185177=DIRECTION('',(0.,-1.,0.)); #185178=DIRECTION('',(-0.84506725118625,0.,-0.534660023727709)); #185179=DIRECTION('center_axis',(-0.424605325612561,0.,0.905378549260723)); #185180=DIRECTION('ref_axis',(-0.905378549260723,0.,-0.424605325612561)); #185181=DIRECTION('',(-0.905378549260723,0.,-0.424605325612561)); #185182=DIRECTION('',(0.,-1.,0.)); #185183=DIRECTION('',(-0.905378549260723,0.,-0.424605325612561)); #185184=DIRECTION('center_axis',(-0.324230047734696,0.,0.945978264098048)); #185185=DIRECTION('ref_axis',(-0.945978264098048,0.,-0.324230047734696)); #185186=DIRECTION('',(-0.945978264098048,0.,-0.324230047734696)); #185187=DIRECTION('',(0.,-1.,0.)); #185188=DIRECTION('',(-0.945978264098048,0.,-0.324230047734696)); #185189=DIRECTION('center_axis',(0.,-1.,0.)); #185190=DIRECTION('ref_axis',(-0.14715880340293,0.,0.989112878584147)); #185191=DIRECTION('center_axis',(0.,-1.,0.)); #185192=DIRECTION('ref_axis',(-0.14715880340293,0.,0.989112878584147)); #185193=DIRECTION('',(0.,-1.,0.)); #185194=DIRECTION('center_axis',(0.,-1.,0.)); #185195=DIRECTION('ref_axis',(-0.14715880340293,0.,0.989112878584147)); #185196=DIRECTION('center_axis',(-0.0938265925359971,0.,0.995588554842353)); #185197=DIRECTION('ref_axis',(-0.995588554842353,0.,-0.0938265925359971)); #185198=DIRECTION('',(-0.995588554842353,0.,-0.0938265925359971)); #185199=DIRECTION('',(0.,-1.,0.)); #185200=DIRECTION('',(-0.995588554842353,0.,-0.0938265925359971)); #185201=DIRECTION('center_axis',(0.,-1.,0.)); #185202=DIRECTION('ref_axis',(0.0364591462549023,0.,0.999335144310638)); #185203=DIRECTION('center_axis',(0.,-1.,0.)); #185204=DIRECTION('ref_axis',(0.0364591462549023,0.,0.999335144310638)); #185205=DIRECTION('',(0.,-1.,0.)); #185206=DIRECTION('center_axis',(0.,-1.,0.)); #185207=DIRECTION('ref_axis',(0.0364591462549023,0.,0.999335144310638)); #185208=DIRECTION('center_axis',(0.,-1.,0.)); #185209=DIRECTION('ref_axis',(0.991589775961356,0.,0.129420694670162)); #185210=DIRECTION('center_axis',(0.,1.,0.)); #185211=DIRECTION('ref_axis',(0.991589775961356,0.,0.129420694670162)); #185212=DIRECTION('',(0.,-1.,0.)); #185213=DIRECTION('center_axis',(0.,1.,0.)); #185214=DIRECTION('ref_axis',(0.991589775961356,0.,0.129420694670162)); #185215=DIRECTION('center_axis',(0.962300220169439,0.,-0.271989496602073)); #185216=DIRECTION('ref_axis',(0.271989496602073,0.,0.962300220169439)); #185217=DIRECTION('',(0.271989496602073,0.,0.962300220169439)); #185218=DIRECTION('',(0.,-1.,0.)); #185219=DIRECTION('',(0.271989496602073,0.,0.962300220169439)); #185220=DIRECTION('center_axis',(0.462505577449654,0.,-0.886616371847465)); #185221=DIRECTION('ref_axis',(0.886616371847465,0.,0.462505577449654)); #185222=DIRECTION('',(0.886616371847465,0.,0.462505577449654)); #185223=DIRECTION('',(0.,-1.,0.)); #185224=DIRECTION('',(0.886616371847465,0.,0.462505577449654)); #185225=DIRECTION('center_axis',(0.,0.,-1.)); #185226=DIRECTION('ref_axis',(1.,0.,0.)); #185227=DIRECTION('',(1.,0.,0.)); #185228=DIRECTION('',(0.,-1.,0.)); #185229=DIRECTION('',(1.,0.,0.)); #185230=DIRECTION('center_axis',(-0.963540880501626,0.,-0.267561154882675)); #185231=DIRECTION('ref_axis',(0.267561154882675,0.,-0.963540880501626)); #185232=DIRECTION('',(0.267561154882675,0.,-0.963540880501626)); #185233=DIRECTION('',(0.,-1.,0.)); #185234=DIRECTION('',(0.267561154882675,0.,-0.963540880501626)); #185235=DIRECTION('center_axis',(0.993769482833158,0.,-0.111454990868588)); #185236=DIRECTION('ref_axis',(0.111454990868588,0.,0.993769482833158)); #185237=DIRECTION('',(0.111454990868588,0.,0.993769482833158)); #185238=DIRECTION('',(0.,-1.,0.)); #185239=DIRECTION('',(0.111454990868588,0.,0.993769482833158)); #185240=DIRECTION('center_axis',(0.,-1.,0.)); #185241=DIRECTION('ref_axis',(0.157898534590669,0.,0.987455342166986)); #185242=DIRECTION('center_axis',(0.,-1.,0.)); #185243=DIRECTION('ref_axis',(0.157898534590669,0.,0.987455342166986)); #185244=DIRECTION('',(0.,-1.,0.)); #185245=DIRECTION('center_axis',(0.,-1.,0.)); #185246=DIRECTION('ref_axis',(0.157898534590669,0.,0.987455342166986)); #185247=DIRECTION('center_axis',(0.,-1.,0.)); #185248=DIRECTION('ref_axis',(0.415534888551564,0.,0.909577240478476)); #185249=DIRECTION('center_axis',(0.,-1.,0.)); #185250=DIRECTION('ref_axis',(0.415534888551564,0.,0.909577240478476)); #185251=DIRECTION('',(0.,-1.,0.)); #185252=DIRECTION('center_axis',(0.,-1.,0.)); #185253=DIRECTION('ref_axis',(0.415534888551564,0.,0.909577240478476)); #185254=DIRECTION('center_axis',(0.511883214338597,0.,0.859055047641527)); #185255=DIRECTION('ref_axis',(-0.859055047641527,0.,0.511883214338597)); #185256=DIRECTION('',(-0.859055047641527,0.,0.511883214338597)); #185257=DIRECTION('',(0.,-1.,0.)); #185258=DIRECTION('',(-0.859055047641527,0.,0.511883214338597)); #185259=DIRECTION('center_axis',(0.624433039195889,0.,0.781078344060687)); #185260=DIRECTION('ref_axis',(-0.781078344060687,0.,0.624433039195889)); #185261=DIRECTION('',(-0.781078344060687,0.,0.624433039195889)); #185262=DIRECTION('',(0.,-1.,0.)); #185263=DIRECTION('',(-0.781078344060687,0.,0.624433039195889)); #185264=DIRECTION('center_axis',(0.715209568405106,0.,0.69891006092471)); #185265=DIRECTION('ref_axis',(-0.69891006092471,0.,0.715209568405106)); #185266=DIRECTION('',(-0.69891006092471,0.,0.715209568405106)); #185267=DIRECTION('',(0.,-1.,0.)); #185268=DIRECTION('',(-0.69891006092471,0.,0.715209568405106)); #185269=DIRECTION('center_axis',(0.787957363751884,0.,0.615729805116807)); #185270=DIRECTION('ref_axis',(-0.615729805116807,0.,0.787957363751884)); #185271=DIRECTION('',(-0.615729805116807,0.,0.787957363751884)); #185272=DIRECTION('',(0.,-1.,0.)); #185273=DIRECTION('',(-0.615729805116807,0.,0.787957363751884)); #185274=DIRECTION('center_axis',(0.,-1.,0.)); #185275=DIRECTION('ref_axis',(0.906011423474647,0.,0.423253234522129)); #185276=DIRECTION('center_axis',(0.,-1.,0.)); #185277=DIRECTION('ref_axis',(0.906011423474647,0.,0.423253234522129)); #185278=DIRECTION('',(0.,-1.,0.)); #185279=DIRECTION('center_axis',(0.,-1.,0.)); #185280=DIRECTION('ref_axis',(0.906011423474647,0.,0.423253234522129)); #185281=DIRECTION('center_axis',(0.,-1.,0.)); #185282=DIRECTION('ref_axis',(0.976973566627755,0.,0.213360376149473)); #185283=DIRECTION('center_axis',(0.,-1.,0.)); #185284=DIRECTION('ref_axis',(0.976973566627755,0.,0.213360376149473)); #185285=DIRECTION('',(0.,-1.,0.)); #185286=DIRECTION('center_axis',(0.,-1.,0.)); #185287=DIRECTION('ref_axis',(0.976973566627755,0.,0.213360376149473)); #185288=DIRECTION('center_axis',(0.99300659324625,0.,0.118058908047964)); #185289=DIRECTION('ref_axis',(-0.118058908047964,0.,0.99300659324625)); #185290=DIRECTION('',(-0.118058908047964,0.,0.99300659324625)); #185291=DIRECTION('',(0.,-1.,0.)); #185292=DIRECTION('',(-0.118058908047964,0.,0.99300659324625)); #185293=DIRECTION('center_axis',(0.999718389772299,0.,0.0237305952534348)); #185294=DIRECTION('ref_axis',(-0.0237305952534348,0.,0.999718389772299)); #185295=DIRECTION('',(-0.0237305952534348,0.,0.999718389772299)); #185296=DIRECTION('',(0.,-1.,0.)); #185297=DIRECTION('',(-0.0237305952534348,0.,0.999718389772299)); #185298=DIRECTION('center_axis',(0.997761142025297,0.,-0.066878273485312)); #185299=DIRECTION('ref_axis',(0.066878273485312,0.,0.997761142025296)); #185300=DIRECTION('',(0.066878273485312,0.,0.997761142025296)); #185301=DIRECTION('',(0.,-1.,0.)); #185302=DIRECTION('',(0.066878273485312,0.,0.997761142025296)); #185303=DIRECTION('center_axis',(0.986487973302504,0.,-0.163833691680064)); #185304=DIRECTION('ref_axis',(0.163833691680064,0.,0.986487973302504)); #185305=DIRECTION('',(0.163833691680064,0.,0.986487973302504)); #185306=DIRECTION('',(0.,-1.,0.)); #185307=DIRECTION('',(0.163833691680064,0.,0.986487973302504)); #185308=DIRECTION('center_axis',(0.964091710884692,0.,-0.265569525743125)); #185309=DIRECTION('ref_axis',(0.265569525743125,0.,0.964091710884692)); #185310=DIRECTION('',(0.265569525743125,0.,0.964091710884692)); #185311=DIRECTION('',(0.,-1.,0.)); #185312=DIRECTION('',(0.265569525743125,0.,0.964091710884692)); #185313=DIRECTION('center_axis',(0.929295366801296,0.,-0.369337408397315)); #185314=DIRECTION('ref_axis',(0.369337408397315,0.,0.929295366801296)); #185315=DIRECTION('',(0.369337408397315,0.,0.929295366801296)); #185316=DIRECTION('',(0.,-1.,0.)); #185317=DIRECTION('',(0.369337408397315,0.,0.929295366801296)); #185318=DIRECTION('center_axis',(0.881288610768312,0.,-0.47257844272677)); #185319=DIRECTION('ref_axis',(0.47257844272677,0.,0.881288610768312)); #185320=DIRECTION('',(0.47257844272677,0.,0.881288610768312)); #185321=DIRECTION('',(0.,-1.,0.)); #185322=DIRECTION('',(0.47257844272677,0.,0.881288610768312)); #185323=DIRECTION('center_axis',(0.821865438799308,0.,-0.569681665939164)); #185324=DIRECTION('ref_axis',(0.569681665939164,0.,0.821865438799308)); #185325=DIRECTION('',(0.569681665939164,0.,0.821865438799308)); #185326=DIRECTION('',(0.,-1.,0.)); #185327=DIRECTION('',(0.569681665939164,0.,0.821865438799308)); #185328=DIRECTION('center_axis',(0.75210033824094,0.,-0.659048618250477)); #185329=DIRECTION('ref_axis',(0.659048618250477,0.,0.75210033824094)); #185330=DIRECTION('',(0.659048618250477,0.,0.75210033824094)); #185331=DIRECTION('',(0.,-1.,0.)); #185332=DIRECTION('',(0.659048618250477,0.,0.75210033824094)); #185333=DIRECTION('center_axis',(0.675237767045209,0.,-0.737600134189115)); #185334=DIRECTION('ref_axis',(0.737600134189115,0.,0.675237767045209)); #185335=DIRECTION('',(0.737600134189115,0.,0.675237767045209)); #185336=DIRECTION('',(0.,-1.,0.)); #185337=DIRECTION('',(0.737600134189115,0.,0.675237767045209)); #185338=DIRECTION('center_axis',(0.575415583651936,0.,-0.817861177762157)); #185339=DIRECTION('ref_axis',(0.817861177762157,0.,0.575415583651936)); #185340=DIRECTION('',(0.817861177762157,0.,0.575415583651936)); #185341=DIRECTION('',(0.,-1.,0.)); #185342=DIRECTION('',(0.817861177762157,0.,0.575415583651936)); #185343=DIRECTION('center_axis',(0.464244268814933,0.,-0.8857072083214)); #185344=DIRECTION('ref_axis',(0.8857072083214,0.,0.464244268814933)); #185345=DIRECTION('',(0.8857072083214,0.,0.464244268814933)); #185346=DIRECTION('',(0.,-1.,0.)); #185347=DIRECTION('',(0.8857072083214,0.,0.464244268814933)); #185348=DIRECTION('center_axis',(0.358856073344962,0.,-0.93339290688511)); #185349=DIRECTION('ref_axis',(0.93339290688511,0.,0.358856073344962)); #185350=DIRECTION('',(0.93339290688511,0.,0.358856073344962)); #185351=DIRECTION('',(0.,-1.,0.)); #185352=DIRECTION('',(0.93339290688511,0.,0.358856073344962)); #185353=DIRECTION('center_axis',(0.,-1.,0.)); #185354=DIRECTION('ref_axis',(-0.15102514974115,0.,-0.988529920713411)); #185355=DIRECTION('center_axis',(0.,-1.,0.)); #185356=DIRECTION('ref_axis',(-0.15102514974115,0.,-0.988529920713411)); #185357=DIRECTION('',(0.,-1.,0.)); #185358=DIRECTION('center_axis',(0.,-1.,0.)); #185359=DIRECTION('ref_axis',(-0.15102514974115,0.,-0.988529920713411)); #185360=DIRECTION('center_axis',(-0.246779705255411,0.,-0.969071605751635)); #185361=DIRECTION('ref_axis',(0.969071605751635,0.,-0.246779705255411)); #185362=DIRECTION('',(0.969071605751635,0.,-0.246779705255411)); #185363=DIRECTION('',(0.,-1.,0.)); #185364=DIRECTION('',(0.969071605751635,0.,-0.246779705255411)); #185365=DIRECTION('center_axis',(-0.337932716751938,0.,-0.941170270965172)); #185366=DIRECTION('ref_axis',(0.941170270965172,0.,-0.337932716751938)); #185367=DIRECTION('',(0.941170270965172,0.,-0.337932716751938)); #185368=DIRECTION('',(0.,-1.,0.)); #185369=DIRECTION('',(0.941170270965172,0.,-0.337932716751938)); #185370=DIRECTION('center_axis',(-0.440661783973368,0.,-0.897673210107893)); #185371=DIRECTION('ref_axis',(0.897673210107893,0.,-0.440661783973368)); #185372=DIRECTION('',(0.897673210107893,0.,-0.440661783973368)); #185373=DIRECTION('',(0.,-1.,0.)); #185374=DIRECTION('',(0.897673210107893,0.,-0.440661783973368)); #185375=DIRECTION('center_axis',(-0.551878314579642,0.,-0.833924652409757)); #185376=DIRECTION('ref_axis',(0.833924652409757,0.,-0.551878314579642)); #185377=DIRECTION('',(0.833924652409757,0.,-0.551878314579642)); #185378=DIRECTION('',(0.,-1.,0.)); #185379=DIRECTION('',(0.833924652409757,0.,-0.551878314579642)); #185380=DIRECTION('center_axis',(-0.649374001549291,0.,-0.760469201290796)); #185381=DIRECTION('ref_axis',(0.760469201290796,0.,-0.649374001549291)); #185382=DIRECTION('',(0.760469201290796,0.,-0.649374001549291)); #185383=DIRECTION('',(0.,-1.,0.)); #185384=DIRECTION('',(0.760469201290796,0.,-0.649374001549291)); #185385=DIRECTION('center_axis',(-0.721123172954695,0.,-0.692806877439704)); #185386=DIRECTION('ref_axis',(0.692806877439704,0.,-0.721123172954695)); #185387=DIRECTION('',(0.692806877439704,0.,-0.721123172954695)); #185388=DIRECTION('',(0.,-1.,0.)); #185389=DIRECTION('',(0.692806877439704,0.,-0.721123172954695)); #185390=DIRECTION('center_axis',(-0.796505978096535,0.,-0.604630653255755)); #185391=DIRECTION('ref_axis',(0.604630653255755,0.,-0.796505978096535)); #185392=DIRECTION('',(0.604630653255755,0.,-0.796505978096535)); #185393=DIRECTION('',(0.,-1.,0.)); #185394=DIRECTION('',(0.604630653255755,0.,-0.796505978096535)); #185395=DIRECTION('center_axis',(-0.862567118118666,0.,-0.50594265163204)); #185396=DIRECTION('ref_axis',(0.50594265163204,0.,-0.862567118118666)); #185397=DIRECTION('',(0.50594265163204,0.,-0.862567118118666)); #185398=DIRECTION('',(0.,-1.,0.)); #185399=DIRECTION('',(0.50594265163204,0.,-0.862567118118666)); #185400=DIRECTION('center_axis',(-0.915891024507901,0.,-0.40142699364376)); #185401=DIRECTION('ref_axis',(0.40142699364376,0.,-0.915891024507901)); #185402=DIRECTION('',(0.40142699364376,0.,-0.915891024507901)); #185403=DIRECTION('',(0.,-1.,0.)); #185404=DIRECTION('',(0.40142699364376,0.,-0.915891024507901)); #185405=DIRECTION('center_axis',(-0.955698685584586,0.,-0.294346772314382)); #185406=DIRECTION('ref_axis',(0.294346772314382,0.,-0.955698685584586)); #185407=DIRECTION('',(0.294346772314382,0.,-0.955698685584586)); #185408=DIRECTION('',(0.,-1.,0.)); #185409=DIRECTION('',(0.294346772314382,0.,-0.955698685584586)); #185410=DIRECTION('center_axis',(-0.98187462491088,0.,-0.189531583004309)); #185411=DIRECTION('ref_axis',(0.189531583004309,0.,-0.98187462491088)); #185412=DIRECTION('',(0.189531583004309,0.,-0.98187462491088)); #185413=DIRECTION('',(0.,-1.,0.)); #185414=DIRECTION('',(0.189531583004309,0.,-0.98187462491088)); #185415=DIRECTION('center_axis',(-0.995981036635087,0.,-0.089564360452683)); #185416=DIRECTION('ref_axis',(0.089564360452683,0.,-0.995981036635087)); #185417=DIRECTION('',(0.089564360452683,0.,-0.995981036635087)); #185418=DIRECTION('',(0.,-1.,0.)); #185419=DIRECTION('',(0.089564360452683,0.,-0.995981036635087)); #185420=DIRECTION('center_axis',(-0.999996965948583,0.,0.00246335008246667)); #185421=DIRECTION('ref_axis',(-0.00246335008246667,0.,-0.999996965948583)); #185422=DIRECTION('',(-0.00246335008246667,0.,-0.999996965948583)); #185423=DIRECTION('',(0.,-1.,0.)); #185424=DIRECTION('',(-0.00246335008246667,0.,-0.999996965948583)); #185425=DIRECTION('center_axis',(-0.995375013585016,0.,0.0960655106197276)); #185426=DIRECTION('ref_axis',(-0.0960655106197276,0.,-0.995375013585016)); #185427=DIRECTION('',(-0.0960655106197276,0.,-0.995375013585016)); #185428=DIRECTION('',(0.,-1.,0.)); #185429=DIRECTION('',(-0.0960655106197276,0.,-0.995375013585016)); #185430=DIRECTION('center_axis',(-0.980567077762129,0.,0.196184112529632)); #185431=DIRECTION('ref_axis',(-0.196184112529632,0.,-0.980567077762129)); #185432=DIRECTION('',(-0.196184112529632,0.,-0.980567077762129)); #185433=DIRECTION('',(0.,-1.,0.)); #185434=DIRECTION('',(-0.196184112529632,0.,-0.980567077762129)); #185435=DIRECTION('center_axis',(-0.953459646599703,0.,0.30152064988317)); #185436=DIRECTION('ref_axis',(-0.30152064988317,0.,-0.953459646599703)); #185437=DIRECTION('',(-0.30152064988317,0.,-0.953459646599703)); #185438=DIRECTION('',(0.,-1.,0.)); #185439=DIRECTION('',(-0.30152064988317,0.,-0.953459646599703)); #185440=DIRECTION('center_axis',(0.,-1.,0.)); #185441=DIRECTION('ref_axis',(-0.85899473407149,0.,0.511984420502666)); #185442=DIRECTION('center_axis',(0.,-1.,0.)); #185443=DIRECTION('ref_axis',(-0.85899473407149,0.,0.511984420502666)); #185444=DIRECTION('',(0.,-1.,0.)); #185445=DIRECTION('center_axis',(0.,-1.,0.)); #185446=DIRECTION('ref_axis',(-0.85899473407149,0.,0.511984420502666)); #185447=DIRECTION('center_axis',(-0.793983662608622,0.,0.607939095231255)); #185448=DIRECTION('ref_axis',(-0.607939095231255,0.,-0.793983662608622)); #185449=DIRECTION('',(-0.607939095231255,0.,-0.793983662608622)); #185450=DIRECTION('',(0.,-1.,0.)); #185451=DIRECTION('',(-0.607939095231255,0.,-0.793983662608622)); #185452=DIRECTION('center_axis',(-0.719434908950347,0.,0.694559869113964)); #185453=DIRECTION('ref_axis',(-0.694559869113964,0.,-0.719434908950347)); #185454=DIRECTION('',(-0.694559869113964,0.,-0.719434908950347)); #185455=DIRECTION('',(0.,-1.,0.)); #185456=DIRECTION('',(-0.694559869113964,0.,-0.719434908950347)); #185457=DIRECTION('center_axis',(-0.644778866185839,0.,0.764369160628622)); #185458=DIRECTION('ref_axis',(-0.764369160628622,0.,-0.644778866185839)); #185459=DIRECTION('',(-0.764369160628622,0.,-0.644778866185839)); #185460=DIRECTION('',(0.,-1.,0.)); #185461=DIRECTION('',(-0.764369160628622,0.,-0.644778866185839)); #185462=DIRECTION('center_axis',(-0.54092055550112,0.,0.841073690372229)); #185463=DIRECTION('ref_axis',(-0.841073690372229,0.,-0.54092055550112)); #185464=DIRECTION('',(-0.841073690372229,0.,-0.54092055550112)); #185465=DIRECTION('',(0.,-1.,0.)); #185466=DIRECTION('',(-0.841073690372229,0.,-0.54092055550112)); #185467=DIRECTION('center_axis',(-0.43117098241619,0.,0.902270238854334)); #185468=DIRECTION('ref_axis',(-0.902270238854334,0.,-0.43117098241619)); #185469=DIRECTION('',(-0.902270238854334,0.,-0.43117098241619)); #185470=DIRECTION('',(0.,-1.,0.)); #185471=DIRECTION('',(-0.902270238854334,0.,-0.43117098241619)); #185472=DIRECTION('center_axis',(-0.329738803863805,0.,0.944072201278306)); #185473=DIRECTION('ref_axis',(-0.944072201278306,0.,-0.329738803863805)); #185474=DIRECTION('',(-0.944072201278306,0.,-0.329738803863805)); #185475=DIRECTION('',(0.,-1.,0.)); #185476=DIRECTION('',(-0.944072201278306,0.,-0.329738803863805)); #185477=DIRECTION('center_axis',(-0.240660892456361,0.,0.970609259610739)); #185478=DIRECTION('ref_axis',(-0.970609259610739,0.,-0.240660892456361)); #185479=DIRECTION('',(-0.970609259610739,0.,-0.240660892456361)); #185480=DIRECTION('',(0.,-1.,0.)); #185481=DIRECTION('',(-0.970609259610739,0.,-0.240660892456361)); #185482=DIRECTION('center_axis',(-0.163239438557502,0.,0.986586481611942)); #185483=DIRECTION('ref_axis',(-0.986586481611942,0.,-0.163239438557502)); #185484=DIRECTION('',(-0.986586481611942,0.,-0.163239438557502)); #185485=DIRECTION('',(0.,-1.,0.)); #185486=DIRECTION('',(-0.986586481611942,0.,-0.163239438557502)); #185487=DIRECTION('center_axis',(0.,-1.,0.)); #185488=DIRECTION('ref_axis',(-0.0265392675160637,0.,0.999647771607435)); #185489=DIRECTION('center_axis',(0.,-1.,0.)); #185490=DIRECTION('ref_axis',(-0.0265392675160637,0.,0.999647771607435)); #185491=DIRECTION('',(0.,-1.,0.)); #185492=DIRECTION('center_axis',(0.,-1.,0.)); #185493=DIRECTION('ref_axis',(-0.0265392675160637,0.,0.999647771607435)); #185494=DIRECTION('center_axis',(-0.000666375524973413,0.,0.999999777971805)); #185495=DIRECTION('ref_axis',(-0.999999777971805,0.,-0.000666375524973413)); #185496=DIRECTION('',(-0.999999777971805,0.,-0.000666375524973413)); #185497=DIRECTION('',(0.,-1.,0.)); #185498=DIRECTION('',(-0.999999777971805,0.,-0.000666375524973413)); #185499=DIRECTION('center_axis',(-0.993646730645737,0.,0.112544101031719)); #185500=DIRECTION('ref_axis',(-0.112544101031719,0.,-0.993646730645737)); #185501=DIRECTION('',(-0.112544101031719,0.,-0.993646730645737)); #185502=DIRECTION('',(0.,-1.,0.)); #185503=DIRECTION('',(-0.112544101031719,0.,-0.993646730645737)); #185504=DIRECTION('center_axis',(-0.993752429172683,0.,0.111606942048385)); #185505=DIRECTION('ref_axis',(-0.111606942048385,0.,-0.993752429172683)); #185506=DIRECTION('',(-0.111606942048385,0.,-0.993752429172683)); #185507=DIRECTION('',(0.,-1.,0.)); #185508=DIRECTION('',(-0.111606942048385,0.,-0.993752429172683)); #185509=DIRECTION('center_axis',(-0.965827360092924,0.,0.259186246733761)); #185510=DIRECTION('ref_axis',(-0.259186246733761,0.,-0.965827360092924)); #185511=DIRECTION('',(-0.259186246733761,0.,-0.965827360092924)); #185512=DIRECTION('',(0.,-1.,0.)); #185513=DIRECTION('',(-0.259186246733761,0.,-0.965827360092924)); #185514=DIRECTION('center_axis',(-9.65789243290694E-14,0.,1.)); #185515=DIRECTION('ref_axis',(-1.,0.,-9.65789243290694E-14)); #185516=DIRECTION('',(-1.,0.,-9.65789243290694E-14)); #185517=DIRECTION('',(0.,-1.,0.)); #185518=DIRECTION('',(-1.,0.,-9.65789243290694E-14)); #185519=DIRECTION('center_axis',(0.,-1.,0.)); #185520=DIRECTION('ref_axis',(0.963862089015768,0.,0.266401714255295)); #185521=DIRECTION('center_axis',(0.,-1.,0.)); #185522=DIRECTION('ref_axis',(0.963862089015768,0.,0.266401714255295)); #185523=DIRECTION('',(0.,-1.,0.)); #185524=DIRECTION('center_axis',(0.,-1.,0.)); #185525=DIRECTION('ref_axis',(0.963862089015768,0.,0.266401714255295)); #185526=DIRECTION('center_axis',(-0.961731990024381,0.,0.273991933026766)); #185527=DIRECTION('ref_axis',(-0.273991933026766,0.,-0.961731990024381)); #185528=DIRECTION('',(-0.273991933026766,0.,-0.961731990024381)); #185529=DIRECTION('',(0.,-1.,0.)); #185530=DIRECTION('',(-0.273991933026766,0.,-0.961731990024381)); #185531=DIRECTION('center_axis',(-0.962386707771233,0.,0.271683316943178)); #185532=DIRECTION('ref_axis',(-0.271683316943178,0.,-0.962386707771233)); #185533=DIRECTION('',(-0.271683316943178,0.,-0.962386707771233)); #185534=DIRECTION('',(0.,-1.,0.)); #185535=DIRECTION('',(-0.271683316943178,0.,-0.962386707771233)); #185536=DIRECTION('center_axis',(-0.00669986405193607,0.,0.999977555658969)); #185537=DIRECTION('ref_axis',(-0.999977555658969,0.,-0.00669986405193606)); #185538=DIRECTION('',(-0.999977555658969,0.,-0.00669986405193606)); #185539=DIRECTION('',(0.,-1.,0.)); #185540=DIRECTION('',(-0.999977555658969,0.,-0.00669986405193606)); #185541=DIRECTION('center_axis',(-1.61636097582429E-5,0.,0.999999999869369)); #185542=DIRECTION('ref_axis',(-0.999999999869369,0.,-1.61636097582429E-5)); #185543=DIRECTION('',(-0.999999999869369,0.,-1.61636097582429E-5)); #185544=DIRECTION('',(0.,-1.,0.)); #185545=DIRECTION('',(-0.999999999869369,0.,-1.61636097582429E-5)); #185546=DIRECTION('center_axis',(0.994127522109365,0.,0.108214924038668)); #185547=DIRECTION('ref_axis',(-0.108214924038668,0.,0.994127522109365)); #185548=DIRECTION('',(-0.108214924038668,0.,0.994127522109365)); #185549=DIRECTION('',(0.,-1.,0.)); #185550=DIRECTION('',(-0.108214924038668,0.,0.994127522109365)); #185551=DIRECTION('center_axis',(0.994127776874218,0.,0.108212583589551)); #185552=DIRECTION('ref_axis',(-0.108212583589551,0.,0.994127776874218)); #185553=DIRECTION('',(-0.108212583589551,0.,0.994127776874218)); #185554=DIRECTION('',(-0.108212583589551,0.,0.994127776874218)); #185555=DIRECTION('center_axis',(0.,1.,0.)); #185556=DIRECTION('ref_axis',(1.,0.,0.)); #185557=DIRECTION('center_axis',(0.,-1.,0.)); #185558=DIRECTION('ref_axis',(-0.348744576829226,0.,0.937217808266682)); #185559=DIRECTION('center_axis',(0.,1.,0.)); #185560=DIRECTION('ref_axis',(-0.348744576829226,0.,0.937217808266682)); #185561=DIRECTION('',(0.,-1.,0.)); #185562=DIRECTION('center_axis',(0.,1.,0.)); #185563=DIRECTION('ref_axis',(-0.348744576829226,0.,0.937217808266682)); #185564=DIRECTION('',(0.,-1.,0.)); #185565=DIRECTION('center_axis',(1.,0.,0.)); #185566=DIRECTION('ref_axis',(0.,0.,1.)); #185567=DIRECTION('',(0.,0.,1.)); #185568=DIRECTION('',(0.,-1.,0.)); #185569=DIRECTION('',(0.,0.,1.)); #185570=DIRECTION('center_axis',(0.999998928165087,0.,0.00146412727488821)); #185571=DIRECTION('ref_axis',(-0.00146412727488821,0.,0.999998928165087)); #185572=DIRECTION('',(-0.00146412727488821,0.,0.999998928165087)); #185573=DIRECTION('',(0.,-1.,0.)); #185574=DIRECTION('',(-0.00146412727488821,0.,0.999998928165087)); #185575=DIRECTION('center_axis',(0.99999989213467,0.,-0.000464468134100751)); #185576=DIRECTION('ref_axis',(0.000464468134100751,0.,0.99999989213467)); #185577=DIRECTION('',(0.000464468134100751,0.,0.99999989213467)); #185578=DIRECTION('',(0.,-1.,0.)); #185579=DIRECTION('',(0.000464468134100751,0.,0.99999989213467)); #185580=DIRECTION('center_axis',(1.,0.,0.)); #185581=DIRECTION('ref_axis',(0.,0.,1.)); #185582=DIRECTION('',(0.,0.,1.)); #185583=DIRECTION('',(0.,-1.,0.)); #185584=DIRECTION('',(0.,0.,1.)); #185585=DIRECTION('center_axis',(0.999999961760418,0.,0.000276548662152678)); #185586=DIRECTION('ref_axis',(-0.000276548662152678,0.,0.999999961760418)); #185587=DIRECTION('',(-0.000276548662152678,0.,0.999999961760418)); #185588=DIRECTION('',(0.,-1.,0.)); #185589=DIRECTION('',(-0.000276548662152678,0.,0.999999961760418)); #185590=DIRECTION('center_axis',(0.,-1.,0.)); #185591=DIRECTION('ref_axis',(-0.574802690202859,0.,-0.81829204281574)); #185592=DIRECTION('center_axis',(0.,1.,0.)); #185593=DIRECTION('ref_axis',(-0.574802690202859,0.,-0.81829204281574)); #185594=DIRECTION('',(0.,-1.,0.)); #185595=DIRECTION('center_axis',(0.,1.,0.)); #185596=DIRECTION('ref_axis',(-0.574802690202859,0.,-0.81829204281574)); #185597=DIRECTION('center_axis',(0.,-1.,0.)); #185598=DIRECTION('ref_axis',(0.528605785112951,0.,-0.848867436025862)); #185599=DIRECTION('center_axis',(0.,1.,0.)); #185600=DIRECTION('ref_axis',(0.528605785112951,0.,-0.848867436025862)); #185601=DIRECTION('',(0.,-1.,0.)); #185602=DIRECTION('center_axis',(0.,1.,0.)); #185603=DIRECTION('ref_axis',(0.528605785112951,0.,-0.848867436025862)); #185604=DIRECTION('center_axis',(0.3527444967616,0.,0.935719680248527)); #185605=DIRECTION('ref_axis',(-0.935719680248527,0.,0.3527444967616)); #185606=DIRECTION('',(-0.935719680248527,0.,0.3527444967616)); #185607=DIRECTION('',(0.,-1.,0.)); #185608=DIRECTION('',(-0.935719680248527,0.,0.3527444967616)); #185609=DIRECTION('center_axis',(0.352740911115085,0.,0.935721031945793)); #185610=DIRECTION('ref_axis',(-0.935721031945793,0.,0.352740911115085)); #185611=DIRECTION('',(-0.935721031945793,0.,0.352740911115085)); #185612=DIRECTION('',(0.,-1.,0.)); #185613=DIRECTION('',(-0.935721031945793,0.,0.352740911115085)); #185614=DIRECTION('center_axis',(0.352756045019632,0.,0.935715326743186)); #185615=DIRECTION('ref_axis',(-0.935715326743186,0.,0.352756045019632)); #185616=DIRECTION('',(-0.935715326743186,0.,0.352756045019632)); #185617=DIRECTION('',(0.,-1.,0.)); #185618=DIRECTION('',(-0.935715326743186,0.,0.352756045019631)); #185619=DIRECTION('center_axis',(-1.,0.,0.)); #185620=DIRECTION('ref_axis',(0.,0.,-1.)); #185621=DIRECTION('',(0.,0.,-1.)); #185622=DIRECTION('',(0.,0.,-1.)); #185623=DIRECTION('center_axis',(0.,-1.,0.)); #185624=DIRECTION('ref_axis',(-0.106690360335361,0.,-0.994292294555032)); #185625=DIRECTION('center_axis',(0.,-1.,0.)); #185626=DIRECTION('ref_axis',(-0.106690360335361,0.,-0.994292294555032)); #185627=DIRECTION('',(0.,-1.,0.)); #185628=DIRECTION('center_axis',(0.,-1.,0.)); #185629=DIRECTION('ref_axis',(-0.106690360335361,0.,-0.994292294555032)); #185630=DIRECTION('',(0.,-1.,0.)); #185631=DIRECTION('center_axis',(-0.214505568702078,0.,-0.976722765679084)); #185632=DIRECTION('ref_axis',(0.976722765679084,0.,-0.214505568702078)); #185633=DIRECTION('',(0.976722765679084,0.,-0.214505568702078)); #185634=DIRECTION('',(0.,-1.,0.)); #185635=DIRECTION('',(0.976722765679084,0.,-0.214505568702078)); #185636=DIRECTION('center_axis',(-0.320054906150349,0.,-0.947398995697743)); #185637=DIRECTION('ref_axis',(0.947398995697742,0.,-0.320054906150349)); #185638=DIRECTION('',(0.947398995697742,0.,-0.320054906150349)); #185639=DIRECTION('',(0.,-1.,0.)); #185640=DIRECTION('',(0.947398995697742,0.,-0.320054906150349)); #185641=DIRECTION('center_axis',(-0.425696702679511,0.,-0.904865911241987)); #185642=DIRECTION('ref_axis',(0.904865911241987,0.,-0.425696702679511)); #185643=DIRECTION('',(0.904865911241987,0.,-0.425696702679511)); #185644=DIRECTION('',(0.,-1.,0.)); #185645=DIRECTION('',(0.904865911241987,0.,-0.425696702679511)); #185646=DIRECTION('center_axis',(-0.529331274336788,0.,-0.848415229713017)); #185647=DIRECTION('ref_axis',(0.848415229713017,0.,-0.529331274336788)); #185648=DIRECTION('',(0.848415229713017,0.,-0.529331274336788)); #185649=DIRECTION('',(0.,-1.,0.)); #185650=DIRECTION('',(0.848415229713017,0.,-0.529331274336788)); #185651=DIRECTION('center_axis',(-0.623460713899608,0.,-0.781854678456164)); #185652=DIRECTION('ref_axis',(0.781854678456164,0.,-0.623460713899608)); #185653=DIRECTION('',(0.781854678456164,0.,-0.623460713899608)); #185654=DIRECTION('',(0.,-1.,0.)); #185655=DIRECTION('',(0.781854678456164,0.,-0.623460713899608)); #185656=DIRECTION('center_axis',(-0.708899753266972,0.,-0.705309251192713)); #185657=DIRECTION('ref_axis',(0.705309251192713,0.,-0.708899753266972)); #185658=DIRECTION('',(0.705309251192713,0.,-0.708899753266972)); #185659=DIRECTION('',(0.,-1.,0.)); #185660=DIRECTION('',(0.705309251192713,0.,-0.708899753266972)); #185661=DIRECTION('center_axis',(-0.778264071753438,0.,-0.627937126325366)); #185662=DIRECTION('ref_axis',(0.627937126325366,0.,-0.778264071753438)); #185663=DIRECTION('',(0.627937126325366,0.,-0.778264071753438)); #185664=DIRECTION('',(0.,-1.,0.)); #185665=DIRECTION('',(0.627937126325366,0.,-0.778264071753438)); #185666=DIRECTION('center_axis',(-0.85340270096513,0.,-0.52125217504143)); #185667=DIRECTION('ref_axis',(0.52125217504143,0.,-0.85340270096513)); #185668=DIRECTION('',(0.52125217504143,0.,-0.85340270096513)); #185669=DIRECTION('',(0.,-1.,0.)); #185670=DIRECTION('',(0.52125217504143,0.,-0.85340270096513)); #185671=DIRECTION('center_axis',(-0.910215607022871,0.,-0.41413469877805)); #185672=DIRECTION('ref_axis',(0.41413469877805,0.,-0.910215607022871)); #185673=DIRECTION('',(0.41413469877805,0.,-0.910215607022871)); #185674=DIRECTION('',(0.,-1.,0.)); #185675=DIRECTION('',(0.41413469877805,0.,-0.910215607022871)); #185676=DIRECTION('center_axis',(-0.948611963125756,0.,-0.316441690386553)); #185677=DIRECTION('ref_axis',(0.316441690386553,0.,-0.948611963125756)); #185678=DIRECTION('',(0.316441690386553,0.,-0.948611963125756)); #185679=DIRECTION('',(0.,-1.,0.)); #185680=DIRECTION('',(0.316441690386553,0.,-0.948611963125756)); #185681=DIRECTION('center_axis',(-0.973375973289356,0.,-0.229214342097084)); #185682=DIRECTION('ref_axis',(0.229214342097085,0.,-0.973375973289356)); #185683=DIRECTION('',(0.229214342097085,0.,-0.973375973289356)); #185684=DIRECTION('',(0.,-1.,0.)); #185685=DIRECTION('',(0.229214342097084,0.,-0.973375973289356)); #185686=DIRECTION('center_axis',(0.,-1.,0.)); #185687=DIRECTION('ref_axis',(-0.997284304523599,0.,-0.073647918849624)); #185688=DIRECTION('center_axis',(0.,-1.,0.)); #185689=DIRECTION('ref_axis',(-0.997284304523599,0.,-0.073647918849624)); #185690=DIRECTION('',(0.,-1.,0.)); #185691=DIRECTION('center_axis',(0.,-1.,0.)); #185692=DIRECTION('ref_axis',(-0.997284304523599,0.,-0.073647918849624)); #185693=DIRECTION('center_axis',(-0.999457646949255,0.,-0.0329304107878848)); #185694=DIRECTION('ref_axis',(0.0329304107878848,0.,-0.999457646949255)); #185695=DIRECTION('',(0.0329304107878848,0.,-0.999457646949255)); #185696=DIRECTION('',(0.,-1.,0.)); #185697=DIRECTION('',(0.0329304107878848,0.,-0.999457646949255)); #185698=DIRECTION('center_axis',(-0.999999999315828,0.,-3.69911405907313E-5)); #185699=DIRECTION('ref_axis',(3.69911405907313E-5,0.,-0.999999999315828)); #185700=DIRECTION('',(3.69911405907313E-5,0.,-0.999999999315828)); #185701=DIRECTION('',(0.,-1.,0.)); #185702=DIRECTION('',(3.69911405907313E-5,0.,-0.999999999315828)); #185703=DIRECTION('center_axis',(0.346806788368222,0.,-0.937936592495313)); #185704=DIRECTION('ref_axis',(0.937936592495313,0.,0.346806788368222)); #185705=DIRECTION('',(0.937936592495313,0.,0.346806788368222)); #185706=DIRECTION('',(0.,-1.,0.)); #185707=DIRECTION('',(0.937936592495313,0.,0.346806788368222)); #185708=DIRECTION('center_axis',(0.999999995646942,0.,-9.33065733018664E-5)); #185709=DIRECTION('ref_axis',(9.33065733018664E-5,0.,0.999999995646942)); #185710=DIRECTION('',(9.33065733018664E-5,0.,0.999999995646942)); #185711=DIRECTION('',(0.,-1.,0.)); #185712=DIRECTION('',(9.33065733018664E-5,0.,0.999999995646942)); #185713=DIRECTION('center_axis',(0.352564127684809,0.,0.935787655330978)); #185714=DIRECTION('ref_axis',(-0.935787655330978,0.,0.352564127684809)); #185715=DIRECTION('',(-0.935787655330978,0.,0.352564127684809)); #185716=DIRECTION('',(0.,-1.,0.)); #185717=DIRECTION('',(-0.935787655330978,0.,0.352564127684809)); #185718=DIRECTION('center_axis',(0.351920741476786,0.,0.936029802793922)); #185719=DIRECTION('ref_axis',(-0.936029802793922,0.,0.351920741476786)); #185720=DIRECTION('',(-0.936029802793922,0.,0.351920741476786)); #185721=DIRECTION('',(0.,-1.,0.)); #185722=DIRECTION('',(-0.936029802793922,0.,0.351920741476786)); #185723=DIRECTION('center_axis',(0.,-1.,0.)); #185724=DIRECTION('ref_axis',(-0.992076400167503,0.,0.125636046701132)); #185725=DIRECTION('center_axis',(0.,-1.,0.)); #185726=DIRECTION('ref_axis',(-0.992076400167503,0.,0.125636046701132)); #185727=DIRECTION('',(0.,-1.,0.)); #185728=DIRECTION('center_axis',(0.,-1.,0.)); #185729=DIRECTION('ref_axis',(-0.992076400167503,0.,0.125636046701132)); #185730=DIRECTION('center_axis',(-0.973689727828161,0.,0.227877848686356)); #185731=DIRECTION('ref_axis',(-0.227877848686356,0.,-0.973689727828161)); #185732=DIRECTION('',(-0.227877848686356,0.,-0.973689727828161)); #185733=DIRECTION('',(0.,-1.,0.)); #185734=DIRECTION('',(-0.227877848686356,0.,-0.973689727828161)); #185735=DIRECTION('center_axis',(-0.949037812254049,0.,0.315162229513705)); #185736=DIRECTION('ref_axis',(-0.315162229513705,0.,-0.949037812254049)); #185737=DIRECTION('',(-0.315162229513705,0.,-0.949037812254049)); #185738=DIRECTION('',(0.,-1.,0.)); #185739=DIRECTION('',(-0.315162229513705,0.,-0.949037812254049)); #185740=DIRECTION('center_axis',(-0.910314041922236,0.,0.413918283093658)); #185741=DIRECTION('ref_axis',(-0.413918283093658,0.,-0.910314041922236)); #185742=DIRECTION('',(-0.413918283093658,0.,-0.910314041922236)); #185743=DIRECTION('',(0.,-1.,0.)); #185744=DIRECTION('',(-0.413918283093658,0.,-0.910314041922236)); #185745=DIRECTION('center_axis',(-0.852791923467546,0.,0.522250835584323)); #185746=DIRECTION('ref_axis',(-0.522250835584323,0.,-0.852791923467546)); #185747=DIRECTION('',(-0.522250835584323,0.,-0.852791923467546)); #185748=DIRECTION('',(0.,-1.,0.)); #185749=DIRECTION('',(-0.522250835584323,0.,-0.852791923467546)); #185750=DIRECTION('center_axis',(-0.774323570838766,0.,0.63278986057261)); #185751=DIRECTION('ref_axis',(-0.63278986057261,0.,-0.774323570838766)); #185752=DIRECTION('',(-0.63278986057261,0.,-0.774323570838766)); #185753=DIRECTION('',(0.,-1.,0.)); #185754=DIRECTION('',(-0.63278986057261,0.,-0.774323570838766)); #185755=DIRECTION('center_axis',(-0.696872946312123,0.,0.717194601693474)); #185756=DIRECTION('ref_axis',(-0.717194601693474,0.,-0.696872946312123)); #185757=DIRECTION('',(-0.717194601693474,0.,-0.696872946312123)); #185758=DIRECTION('',(0.,-1.,0.)); #185759=DIRECTION('',(-0.717194601693474,0.,-0.696872946312123)); #185760=DIRECTION('center_axis',(-0.61179806475079,0.,0.791013987213367)); #185761=DIRECTION('ref_axis',(-0.791013987213367,0.,-0.61179806475079)); #185762=DIRECTION('',(-0.791013987213367,0.,-0.61179806475079)); #185763=DIRECTION('',(0.,-1.,0.)); #185764=DIRECTION('',(-0.791013987213367,0.,-0.61179806475079)); #185765=DIRECTION('center_axis',(-0.517658439037105,0.,0.855587365786608)); #185766=DIRECTION('ref_axis',(-0.855587365786608,0.,-0.517658439037105)); #185767=DIRECTION('',(-0.855587365786608,0.,-0.517658439037105)); #185768=DIRECTION('',(0.,-1.,0.)); #185769=DIRECTION('',(-0.855587365786608,0.,-0.517658439037105)); #185770=DIRECTION('center_axis',(-0.416918911690451,0.,0.908943684215282)); #185771=DIRECTION('ref_axis',(-0.908943684215282,0.,-0.416918911690451)); #185772=DIRECTION('',(-0.908943684215282,0.,-0.416918911690451)); #185773=DIRECTION('',(0.,-1.,0.)); #185774=DIRECTION('',(-0.908943684215282,0.,-0.416918911690451)); #185775=DIRECTION('center_axis',(-0.312383270308331,0.,0.949956152899423)); #185776=DIRECTION('ref_axis',(-0.949956152899423,0.,-0.312383270308331)); #185777=DIRECTION('',(-0.949956152899423,0.,-0.312383270308331)); #185778=DIRECTION('',(0.,-1.,0.)); #185779=DIRECTION('',(-0.949956152899423,0.,-0.312383270308331)); #185780=DIRECTION('center_axis',(-0.209529088730739,0.,0.977802414077438)); #185781=DIRECTION('ref_axis',(-0.977802414077438,0.,-0.209529088730739)); #185782=DIRECTION('',(-0.977802414077438,0.,-0.209529088730739)); #185783=DIRECTION('',(0.,-1.,0.)); #185784=DIRECTION('',(-0.977802414077438,0.,-0.209529088730739)); #185785=DIRECTION('center_axis',(-0.110525745964157,0.,0.993873261275836)); #185786=DIRECTION('ref_axis',(-0.993873261275836,0.,-0.110525745964157)); #185787=DIRECTION('',(-0.993873261275836,0.,-0.110525745964157)); #185788=DIRECTION('',(0.,-1.,0.)); #185789=DIRECTION('',(-0.993873261275836,0.,-0.110525745964157)); #185790=DIRECTION('center_axis',(-0.0184069227790163,0.,0.999830578245038)); #185791=DIRECTION('ref_axis',(-0.999830578245038,0.,-0.0184069227790163)); #185792=DIRECTION('',(-0.999830578245038,0.,-0.0184069227790163)); #185793=DIRECTION('',(0.,-1.,0.)); #185794=DIRECTION('',(-0.999830578245038,0.,-0.0184069227790163)); #185795=DIRECTION('center_axis',(0.0750258333158157,0.,0.997181590451442)); #185796=DIRECTION('ref_axis',(-0.997181590451442,0.,0.0750258333158157)); #185797=DIRECTION('',(-0.997181590451442,0.,0.0750258333158157)); #185798=DIRECTION('',(0.,-1.,0.)); #185799=DIRECTION('',(-0.997181590451442,0.,0.0750258333158156)); #185800=DIRECTION('center_axis',(0.174812395855638,0.,0.984601760233655)); #185801=DIRECTION('ref_axis',(-0.984601760233655,0.,0.174812395855638)); #185802=DIRECTION('',(-0.984601760233655,0.,0.174812395855638)); #185803=DIRECTION('',(0.,-1.,0.)); #185804=DIRECTION('',(-0.984601760233655,0.,0.174812395855638)); #185805=DIRECTION('center_axis',(0.277305446452995,0.,0.960781811530331)); #185806=DIRECTION('ref_axis',(-0.960781811530331,0.,0.277305446452995)); #185807=DIRECTION('',(-0.960781811530331,0.,0.277305446452995)); #185808=DIRECTION('',(0.,-1.,0.)); #185809=DIRECTION('',(-0.960781811530331,0.,0.277305446452995)); #185810=DIRECTION('center_axis',(0.380252993152735,0.,0.924882512105395)); #185811=DIRECTION('ref_axis',(-0.924882512105395,0.,0.380252993152735)); #185812=DIRECTION('',(-0.924882512105395,0.,0.380252993152735)); #185813=DIRECTION('',(0.,-1.,0.)); #185814=DIRECTION('',(-0.924882512105395,0.,0.380252993152735)); #185815=DIRECTION('center_axis',(0.477324548181133,0.,0.878727076915055)); #185816=DIRECTION('ref_axis',(-0.878727076915055,0.,0.477324548181133)); #185817=DIRECTION('',(-0.878727076915055,0.,0.477324548181133)); #185818=DIRECTION('',(0.,-1.,0.)); #185819=DIRECTION('',(-0.878727076915055,0.,0.477324548181133)); #185820=DIRECTION('center_axis',(0.567242709785514,0.,0.823550671297879)); #185821=DIRECTION('ref_axis',(-0.823550671297879,0.,0.567242709785514)); #185822=DIRECTION('',(-0.823550671297879,0.,0.567242709785514)); #185823=DIRECTION('',(0.,-1.,0.)); #185824=DIRECTION('',(-0.823550671297879,0.,0.567242709785514)); #185825=DIRECTION('center_axis',(0.646233897108631,0.,0.763139404190211)); #185826=DIRECTION('ref_axis',(-0.763139404190211,0.,0.646233897108631)); #185827=DIRECTION('',(-0.763139404190211,0.,0.646233897108631)); #185828=DIRECTION('',(0.,-1.,0.)); #185829=DIRECTION('',(-0.763139404190211,0.,0.646233897108631)); #185830=DIRECTION('center_axis',(0.725427559451833,0.,0.688298522436129)); #185831=DIRECTION('ref_axis',(-0.688298522436129,0.,0.725427559451833)); #185832=DIRECTION('',(-0.688298522436129,0.,0.725427559451833)); #185833=DIRECTION('',(0.,-1.,0.)); #185834=DIRECTION('',(-0.688298522436129,0.,0.725427559451833)); #185835=DIRECTION('center_axis',(0.82051380803893,0.,0.571626705829473)); #185836=DIRECTION('ref_axis',(-0.571626705829473,0.,0.82051380803893)); #185837=DIRECTION('',(-0.571626705829473,0.,0.82051380803893)); #185838=DIRECTION('',(0.,-1.,0.)); #185839=DIRECTION('',(-0.571626705829473,0.,0.82051380803893)); #185840=DIRECTION('center_axis',(0.885754760541394,0.,0.464153535134935)); #185841=DIRECTION('ref_axis',(-0.464153535134935,0.,0.885754760541394)); #185842=DIRECTION('',(-0.464153535134935,0.,0.885754760541394)); #185843=DIRECTION('',(0.,-1.,0.)); #185844=DIRECTION('',(-0.464153535134935,0.,0.885754760541394)); #185845=DIRECTION('center_axis',(0.93193612267918,0.,0.362622480336915)); #185846=DIRECTION('ref_axis',(-0.362622480336915,0.,0.93193612267918)); #185847=DIRECTION('',(-0.362622480336915,0.,0.93193612267918)); #185848=DIRECTION('',(0.,-1.,0.)); #185849=DIRECTION('',(-0.362622480336915,0.,0.93193612267918)); #185850=DIRECTION('center_axis',(0.,-1.,0.)); #185851=DIRECTION('ref_axis',(0.987761343213401,0.,-0.155972846525468)); #185852=DIRECTION('center_axis',(0.,-1.,0.)); #185853=DIRECTION('ref_axis',(0.987761343213401,0.,-0.155972846525468)); #185854=DIRECTION('',(0.,-1.,0.)); #185855=DIRECTION('center_axis',(0.,-1.,0.)); #185856=DIRECTION('ref_axis',(0.987761343213401,0.,-0.155972846525468)); #185857=DIRECTION('center_axis',(0.964954027609635,0.,-0.262418986736753)); #185858=DIRECTION('ref_axis',(0.262418986736753,0.,0.964954027609635)); #185859=DIRECTION('',(0.262418986736753,0.,0.964954027609635)); #185860=DIRECTION('',(0.,-1.,0.)); #185861=DIRECTION('',(0.262418986736753,0.,0.964954027609635)); #185862=DIRECTION('center_axis',(0.93586606754231,0.,-0.352355933145581)); #185863=DIRECTION('ref_axis',(0.352355933145581,0.,0.93586606754231)); #185864=DIRECTION('',(0.352355933145581,0.,0.93586606754231)); #185865=DIRECTION('',(0.,-1.,0.)); #185866=DIRECTION('',(0.352355933145581,0.,0.93586606754231)); #185867=DIRECTION('center_axis',(0.891879646447449,0.,-0.452272811754999)); #185868=DIRECTION('ref_axis',(0.452272811754999,0.,0.891879646447449)); #185869=DIRECTION('',(0.452272811754999,0.,0.891879646447449)); #185870=DIRECTION('',(0.,-1.,0.)); #185871=DIRECTION('',(0.452272811754999,0.,0.891879646447449)); #185872=DIRECTION('center_axis',(0.829266427591168,0.,-0.558853462072289)); #185873=DIRECTION('ref_axis',(0.558853462072289,0.,0.829266427591168)); #185874=DIRECTION('',(0.558853462072289,0.,0.829266427591168)); #185875=DIRECTION('',(0.,-1.,0.)); #185876=DIRECTION('',(0.558853462072289,0.,0.829266427591168)); #185877=DIRECTION('center_axis',(0.742439971131331,0.,-0.669912598229432)); #185878=DIRECTION('ref_axis',(0.669912598229432,0.,0.742439971131331)); #185879=DIRECTION('',(0.669912598229432,0.,0.742439971131331)); #185880=DIRECTION('',(0.,-1.,0.)); #185881=DIRECTION('',(0.669912598229432,0.,0.742439971131331)); #185882=DIRECTION('center_axis',(0.66667245060135,0.,-0.745350819151083)); #185883=DIRECTION('ref_axis',(0.745350819151083,0.,0.66667245060135)); #185884=DIRECTION('',(0.745350819151083,0.,0.66667245060135)); #185885=DIRECTION('',(0.,-1.,0.)); #185886=DIRECTION('',(0.745350819151083,0.,0.66667245060135)); #185887=DIRECTION('center_axis',(0.589172157449126,0.,-0.808007530216608)); #185888=DIRECTION('ref_axis',(0.808007530216608,0.,0.589172157449126)); #185889=DIRECTION('',(0.808007530216608,0.,0.589172157449126)); #185890=DIRECTION('',(0.,-1.,0.)); #185891=DIRECTION('',(0.808007530216608,0.,0.589172157449126)); #185892=DIRECTION('center_axis',(0.499239477509331,0.,-0.866464046626408)); #185893=DIRECTION('ref_axis',(0.866464046626408,0.,0.499239477509331)); #185894=DIRECTION('',(0.866464046626408,0.,0.499239477509331)); #185895=DIRECTION('',(0.,-1.,0.)); #185896=DIRECTION('',(0.866464046626408,0.,0.499239477509331)); #185897=DIRECTION('center_axis',(0.401113622739949,0.,-0.916028308324822)); #185898=DIRECTION('ref_axis',(0.916028308324822,0.,0.401113622739948)); #185899=DIRECTION('',(0.916028308324822,0.,0.401113622739948)); #185900=DIRECTION('',(0.,-1.,0.)); #185901=DIRECTION('',(0.916028308324822,0.,0.401113622739948)); #185902=DIRECTION('center_axis',(0.296802209289382,0.,-0.95493897635448)); #185903=DIRECTION('ref_axis',(0.95493897635448,0.,0.296802209289382)); #185904=DIRECTION('',(0.95493897635448,0.,0.296802209289382)); #185905=DIRECTION('',(0.,-1.,0.)); #185906=DIRECTION('',(0.95493897635448,0.,0.296802209289382)); #185907=DIRECTION('center_axis',(0.191676758310778,0.,-0.981458109306491)); #185908=DIRECTION('ref_axis',(0.981458109306491,0.,0.191676758310778)); #185909=DIRECTION('',(0.981458109306491,0.,0.191676758310778)); #185910=DIRECTION('',(0.,-1.,0.)); #185911=DIRECTION('',(0.981458109306491,0.,0.191676758310778)); #185912=DIRECTION('center_axis',(0.0879390626829699,0.,-0.996125856131865)); #185913=DIRECTION('ref_axis',(0.996125856131865,0.,0.0879390626829699)); #185914=DIRECTION('',(0.996125856131865,0.,0.0879390626829699)); #185915=DIRECTION('',(0.,-1.,0.)); #185916=DIRECTION('',(0.996125856131865,0.,0.0879390626829699)); #185917=DIRECTION('center_axis',(-0.00798126227059981,0.,-0.999968149219048)); #185918=DIRECTION('ref_axis',(0.999968149219048,0.,-0.00798126227059981)); #185919=DIRECTION('',(0.999968149219048,0.,-0.00798126227059981)); #185920=DIRECTION('',(0.,-1.,0.)); #185921=DIRECTION('',(0.999968149219048,0.,-0.00798126227059981)); #185922=DIRECTION('center_axis',(-0.101828442445147,0.,-0.994801974419631)); #185923=DIRECTION('ref_axis',(0.994801974419631,0.,-0.101828442445147)); #185924=DIRECTION('',(0.994801974419631,0.,-0.101828442445147)); #185925=DIRECTION('',(0.,-1.,0.)); #185926=DIRECTION('',(0.994801974419631,0.,-0.101828442445147)); #185927=DIRECTION('center_axis',(-0.201946562520107,0.,-0.979396541696116)); #185928=DIRECTION('ref_axis',(0.979396541696116,0.,-0.201946562520107)); #185929=DIRECTION('',(0.979396541696116,0.,-0.201946562520107)); #185930=DIRECTION('',(0.,-1.,0.)); #185931=DIRECTION('',(0.979396541696116,0.,-0.201946562520107)); #185932=DIRECTION('center_axis',(-0.307959562799433,0.,-0.951399446962411)); #185933=DIRECTION('ref_axis',(0.951399446962411,0.,-0.307959562799433)); #185934=DIRECTION('',(0.951399446962411,0.,-0.307959562799433)); #185935=DIRECTION('',(0.,-1.,0.)); #185936=DIRECTION('',(0.951399446962411,0.,-0.307959562799433)); #185937=DIRECTION('center_axis',(-0.413785517941417,0.,-0.910374398333978)); #185938=DIRECTION('ref_axis',(0.910374398333978,0.,-0.413785517941417)); #185939=DIRECTION('',(0.910374398333978,0.,-0.413785517941417)); #185940=DIRECTION('',(0.,-1.,0.)); #185941=DIRECTION('',(0.910374398333978,0.,-0.413785517941417)); #185942=DIRECTION('center_axis',(-0.516984599644798,0.,-0.855994698424066)); #185943=DIRECTION('ref_axis',(0.855994698424066,0.,-0.516984599644798)); #185944=DIRECTION('',(0.855994698424066,0.,-0.516984599644798)); #185945=DIRECTION('',(0.,-1.,0.)); #185946=DIRECTION('',(0.855994698424066,0.,-0.516984599644798)); #185947=DIRECTION('center_axis',(-0.613254834669858,0.,-0.789885123137564)); #185948=DIRECTION('ref_axis',(0.789885123137564,0.,-0.613254834669858)); #185949=DIRECTION('',(0.789885123137564,0.,-0.613254834669858)); #185950=DIRECTION('',(0.,-1.,0.)); #185951=DIRECTION('',(0.789885123137564,0.,-0.613254834669858)); #185952=DIRECTION('center_axis',(-0.69940674547537,0.,-0.714723865827601)); #185953=DIRECTION('ref_axis',(0.714723865827601,0.,-0.69940674547537)); #185954=DIRECTION('',(0.714723865827601,0.,-0.69940674547537)); #185955=DIRECTION('',(0.,-1.,0.)); #185956=DIRECTION('',(0.714723865827601,0.,-0.69940674547537)); #185957=DIRECTION('center_axis',(-0.768256815586419,0.,-0.640141754070936)); #185958=DIRECTION('ref_axis',(0.640141754070936,0.,-0.768256815586419)); #185959=DIRECTION('',(0.640141754070936,0.,-0.768256815586419)); #185960=DIRECTION('',(0.,-1.,0.)); #185961=DIRECTION('',(0.640141754070936,0.,-0.768256815586419)); #185962=DIRECTION('center_axis',(-0.844288221227894,0.,-0.535889353781019)); #185963=DIRECTION('ref_axis',(0.535889353781019,0.,-0.844288221227894)); #185964=DIRECTION('',(0.535889353781019,0.,-0.844288221227894)); #185965=DIRECTION('',(0.,-1.,0.)); #185966=DIRECTION('',(0.535889353781019,0.,-0.844288221227894)); #185967=DIRECTION('center_axis',(0.,-1.,0.)); #185968=DIRECTION('ref_axis',(-0.949300851757292,0.,-0.314369039272126)); #185969=DIRECTION('center_axis',(0.,-1.,0.)); #185970=DIRECTION('ref_axis',(-0.949300851757292,0.,-0.314369039272126)); #185971=DIRECTION('',(0.,-1.,0.)); #185972=DIRECTION('center_axis',(0.,-1.,0.)); #185973=DIRECTION('ref_axis',(-0.949300851757292,0.,-0.314369039272126)); #185974=DIRECTION('center_axis',(-0.971113425985561,0.,-0.23861834353332)); #185975=DIRECTION('ref_axis',(0.23861834353332,0.,-0.971113425985561)); #185976=DIRECTION('',(0.23861834353332,0.,-0.971113425985561)); #185977=DIRECTION('',(0.,-1.,0.)); #185978=DIRECTION('',(0.23861834353332,0.,-0.971113425985561)); #185979=DIRECTION('center_axis',(-0.98681920143276,0.,-0.161826647013432)); #185980=DIRECTION('ref_axis',(0.161826647013432,0.,-0.98681920143276)); #185981=DIRECTION('',(0.161826647013432,0.,-0.98681920143276)); #185982=DIRECTION('',(0.,-1.,0.)); #185983=DIRECTION('',(0.161826647013432,0.,-0.98681920143276)); #185984=DIRECTION('center_axis',(-0.995390472899732,0.,-0.0959051951692319)); #185985=DIRECTION('ref_axis',(0.0959051951692319,0.,-0.995390472899732)); #185986=DIRECTION('',(0.0959051951692319,0.,-0.995390472899732)); #185987=DIRECTION('',(0.,-1.,0.)); #185988=DIRECTION('',(0.0959051951692319,0.,-0.995390472899732)); #185989=DIRECTION('center_axis',(-0.999232966105419,0.,-0.0391596660885399)); #185990=DIRECTION('ref_axis',(0.0391596660885399,0.,-0.999232966105419)); #185991=DIRECTION('',(0.0391596660885399,0.,-0.999232966105419)); #185992=DIRECTION('',(0.,-1.,0.)); #185993=DIRECTION('',(0.0391596660885399,0.,-0.999232966105419)); #185994=DIRECTION('center_axis',(-0.999999962938062,0.,-0.000272257000565726)); #185995=DIRECTION('ref_axis',(0.000272257000565726,0.,-0.999999962938062)); #185996=DIRECTION('',(0.000272257000565726,0.,-0.999999962938062)); #185997=DIRECTION('',(0.,-1.,0.)); #185998=DIRECTION('',(0.000272257000565726,0.,-0.999999962938062)); #185999=DIRECTION('center_axis',(-0.356377130853986,0.,-0.934342196737513)); #186000=DIRECTION('ref_axis',(0.934342196737513,0.,-0.356377130853986)); #186001=DIRECTION('',(0.934342196737513,0.,-0.356377130853986)); #186002=DIRECTION('',(0.,-1.,0.)); #186003=DIRECTION('',(0.934342196737513,0.,-0.356377130853986)); #186004=DIRECTION('center_axis',(-0.352530364539647,0.,-0.935800375121502)); #186005=DIRECTION('ref_axis',(0.935800375121502,0.,-0.352530364539647)); #186006=DIRECTION('',(0.935800375121502,0.,-0.352530364539647)); #186007=DIRECTION('',(0.,-1.,0.)); #186008=DIRECTION('',(0.935800375121502,0.,-0.352530364539647)); #186009=DIRECTION('center_axis',(0.,-1.,0.)); #186010=DIRECTION('ref_axis',(-0.999765037432025,0.,0.0216764833066012)); #186011=DIRECTION('center_axis',(0.,-1.,0.)); #186012=DIRECTION('ref_axis',(-0.999765037432025,0.,0.0216764833066012)); #186013=DIRECTION('',(0.,-1.,0.)); #186014=DIRECTION('center_axis',(0.,-1.,0.)); #186015=DIRECTION('ref_axis',(-0.999765037432025,0.,0.0216764833066012)); #186016=DIRECTION('center_axis',(0.,-1.,0.)); #186017=DIRECTION('ref_axis',(-0.975118897057412,0.,0.221682513071141)); #186018=DIRECTION('center_axis',(0.,-1.,0.)); #186019=DIRECTION('ref_axis',(-0.975118897057412,0.,0.221682513071141)); #186020=DIRECTION('',(0.,-1.,0.)); #186021=DIRECTION('center_axis',(0.,-1.,0.)); #186022=DIRECTION('ref_axis',(-0.975118897057412,0.,0.221682513071141)); #186023=DIRECTION('center_axis',(-0.957696814762429,0.,0.287779101037408)); #186024=DIRECTION('ref_axis',(-0.287779101037408,0.,-0.957696814762429)); #186025=DIRECTION('',(-0.287779101037408,0.,-0.957696814762429)); #186026=DIRECTION('',(0.,-1.,0.)); #186027=DIRECTION('',(-0.287779101037408,0.,-0.957696814762429)); #186028=DIRECTION('center_axis',(-0.923370164962562,0.,0.383910847016609)); #186029=DIRECTION('ref_axis',(-0.383910847016609,0.,-0.923370164962562)); #186030=DIRECTION('',(-0.383910847016609,0.,-0.923370164962562)); #186031=DIRECTION('',(0.,-1.,0.)); #186032=DIRECTION('',(-0.383910847016609,0.,-0.923370164962562)); #186033=DIRECTION('center_axis',(-0.871639539431244,0.,0.490147440368803)); #186034=DIRECTION('ref_axis',(-0.490147440368803,0.,-0.871639539431244)); #186035=DIRECTION('',(-0.490147440368803,0.,-0.871639539431244)); #186036=DIRECTION('',(0.,-1.,0.)); #186037=DIRECTION('',(-0.490147440368803,0.,-0.871639539431244)); #186038=DIRECTION('center_axis',(-0.797797589193205,0.,0.602925374053465)); #186039=DIRECTION('ref_axis',(-0.602925374053465,0.,-0.797797589193205)); #186040=DIRECTION('',(-0.602925374053465,0.,-0.797797589193205)); #186041=DIRECTION('',(0.,-1.,0.)); #186042=DIRECTION('',(-0.602925374053465,0.,-0.797797589193205)); #186043=DIRECTION('center_axis',(-0.733422141967584,0.,0.679773463494774)); #186044=DIRECTION('ref_axis',(-0.679773463494774,0.,-0.733422141967584)); #186045=DIRECTION('',(-0.679773463494774,0.,-0.733422141967584)); #186046=DIRECTION('',(0.,-1.,0.)); #186047=DIRECTION('',(-0.679773463494774,0.,-0.733422141967584)); #186048=DIRECTION('center_axis',(-0.651396890558083,0.,0.758737168571081)); #186049=DIRECTION('ref_axis',(-0.758737168571081,0.,-0.651396890558083)); #186050=DIRECTION('',(-0.758737168571081,0.,-0.651396890558083)); #186051=DIRECTION('',(0.,-1.,0.)); #186052=DIRECTION('',(-0.758737168571081,0.,-0.651396890558083)); #186053=DIRECTION('center_axis',(-0.556739571531568,0.,0.830687094814194)); #186054=DIRECTION('ref_axis',(-0.830687094814194,0.,-0.556739571531568)); #186055=DIRECTION('',(-0.830687094814194,0.,-0.556739571531568)); #186056=DIRECTION('',(0.,-1.,0.)); #186057=DIRECTION('',(-0.830687094814194,0.,-0.556739571531568)); #186058=DIRECTION('center_axis',(0.,-1.,0.)); #186059=DIRECTION('ref_axis',(-0.356225720986779,0.,0.934399933490713)); #186060=DIRECTION('center_axis',(0.,-1.,0.)); #186061=DIRECTION('ref_axis',(-0.356225720986779,0.,0.934399933490713)); #186062=DIRECTION('',(0.,-1.,0.)); #186063=DIRECTION('center_axis',(0.,-1.,0.)); #186064=DIRECTION('ref_axis',(-0.356225720986779,0.,0.934399933490713)); #186065=DIRECTION('center_axis',(-0.240640767790089,0.,0.970614249265586)); #186066=DIRECTION('ref_axis',(-0.970614249265586,0.,-0.240640767790089)); #186067=DIRECTION('',(-0.970614249265586,0.,-0.240640767790089)); #186068=DIRECTION('',(0.,-1.,0.)); #186069=DIRECTION('',(-0.970614249265586,0.,-0.240640767790089)); #186070=DIRECTION('center_axis',(0.,-1.,0.)); #186071=DIRECTION('ref_axis',(-0.0402638741373417,0.,0.999189081425259)); #186072=DIRECTION('center_axis',(0.,-1.,0.)); #186073=DIRECTION('ref_axis',(-0.0402638741373417,0.,0.999189081425259)); #186074=DIRECTION('',(0.,-1.,0.)); #186075=DIRECTION('center_axis',(0.,-1.,0.)); #186076=DIRECTION('ref_axis',(-0.0402638741373417,0.,0.999189081425259)); #186077=DIRECTION('center_axis',(0.044585441709647,0.,0.999005574752992)); #186078=DIRECTION('ref_axis',(-0.999005574752992,0.,0.044585441709647)); #186079=DIRECTION('',(-0.999005574752992,0.,0.044585441709647)); #186080=DIRECTION('',(0.,-1.,0.)); #186081=DIRECTION('',(-0.999005574752992,0.,0.044585441709647)); #186082=DIRECTION('center_axis',(0.13969019631515,0.,0.990195258044309)); #186083=DIRECTION('ref_axis',(-0.990195258044309,0.,0.13969019631515)); #186084=DIRECTION('',(-0.990195258044309,0.,0.13969019631515)); #186085=DIRECTION('',(0.,-1.,0.)); #186086=DIRECTION('',(-0.990195258044309,0.,0.13969019631515)); #186087=DIRECTION('center_axis',(0.242377205329004,0.,0.970182091329716)); #186088=DIRECTION('ref_axis',(-0.970182091329716,0.,0.242377205329004)); #186089=DIRECTION('',(-0.970182091329716,0.,0.242377205329004)); #186090=DIRECTION('',(0.,-1.,0.)); #186091=DIRECTION('',(-0.970182091329716,0.,0.242377205329004)); #186092=DIRECTION('center_axis',(0.347598488029824,0.,0.937643477617895)); #186093=DIRECTION('ref_axis',(-0.937643477617895,0.,0.347598488029824)); #186094=DIRECTION('',(-0.937643477617895,0.,0.347598488029824)); #186095=DIRECTION('',(0.,-1.,0.)); #186096=DIRECTION('',(-0.937643477617895,0.,0.347598488029824)); #186097=DIRECTION('center_axis',(0.453340600766572,0.,0.891337365814204)); #186098=DIRECTION('ref_axis',(-0.891337365814204,0.,0.453340600766572)); #186099=DIRECTION('',(-0.891337365814204,0.,0.453340600766572)); #186100=DIRECTION('',(0.,-1.,0.)); #186101=DIRECTION('',(-0.891337365814204,0.,0.453340600766572)); #186102=DIRECTION('center_axis',(0.555128572830334,0.,0.831764550595514)); #186103=DIRECTION('ref_axis',(-0.831764550595514,0.,0.555128572830334)); #186104=DIRECTION('',(-0.831764550595514,0.,0.555128572830334)); #186105=DIRECTION('',(0.,-1.,0.)); #186106=DIRECTION('',(-0.831764550595514,0.,0.555128572830334)); #186107=DIRECTION('center_axis',(0.649016785919976,0.,0.760774087094259)); #186108=DIRECTION('ref_axis',(-0.760774087094259,0.,0.649016785919976)); #186109=DIRECTION('',(-0.760774087094259,0.,0.649016785919976)); #186110=DIRECTION('',(0.,-1.,0.)); #186111=DIRECTION('',(-0.760774087094259,0.,0.649016785919976)); #186112=DIRECTION('center_axis',(0.729863167528808,0.,0.683593268460724)); #186113=DIRECTION('ref_axis',(-0.683593268460724,0.,0.729863167528808)); #186114=DIRECTION('',(-0.683593268460724,0.,0.729863167528808)); #186115=DIRECTION('',(0.,-1.,0.)); #186116=DIRECTION('',(-0.683593268460724,0.,0.729863167528808)); #186117=DIRECTION('center_axis',(0.801241042406583,0.,0.598341701674898)); #186118=DIRECTION('ref_axis',(-0.598341701674898,0.,0.801241042406583)); #186119=DIRECTION('',(-0.598341701674898,0.,0.801241042406583)); #186120=DIRECTION('',(0.,-1.,0.)); #186121=DIRECTION('',(-0.598341701674898,0.,0.801241042406583)); #186122=DIRECTION('center_axis',(0.873684686710923,0.,0.486492618861619)); #186123=DIRECTION('ref_axis',(-0.486492618861619,0.,0.873684686710923)); #186124=DIRECTION('',(-0.486492618861619,0.,0.873684686710923)); #186125=DIRECTION('',(0.,-1.,0.)); #186126=DIRECTION('',(-0.486492618861619,0.,0.873684686710923)); #186127=DIRECTION('center_axis',(0.,-1.,0.)); #186128=DIRECTION('ref_axis',(0.993654083535354,0.,-0.112479163730517)); #186129=DIRECTION('center_axis',(0.,-1.,0.)); #186130=DIRECTION('ref_axis',(0.993654083535354,0.,-0.112479163730517)); #186131=DIRECTION('',(0.,-1.,0.)); #186132=DIRECTION('center_axis',(0.,-1.,0.)); #186133=DIRECTION('ref_axis',(0.993654083535354,0.,-0.112479163730517)); #186134=DIRECTION('center_axis',(1.,0.,0.)); #186135=DIRECTION('ref_axis',(0.,0.,1.)); #186136=DIRECTION('',(0.,0.,1.)); #186137=DIRECTION('',(0.,-1.,0.)); #186138=DIRECTION('',(0.,0.,1.)); #186139=DIRECTION('center_axis',(0.406531924968741,0.,0.913636576534242)); #186140=DIRECTION('ref_axis',(-0.913636576534242,0.,0.406531924968741)); #186141=DIRECTION('',(-0.913636576534242,0.,0.406531924968741)); #186142=DIRECTION('',(0.,-1.,0.)); #186143=DIRECTION('',(-0.913636576534242,0.,0.406531924968741)); #186144=DIRECTION('center_axis',(-0.347093874438697,0.,0.937830391023417)); #186145=DIRECTION('ref_axis',(-0.937830391023417,0.,-0.347093874438697)); #186146=DIRECTION('',(-0.937830391023416,0.,-0.347093874438696)); #186147=DIRECTION('',(0.,-1.,0.)); #186148=DIRECTION('',(-0.937830391023417,0.,-0.347093874438697)); #186149=DIRECTION('center_axis',(-0.351485113232781,0.,0.936193471017471)); #186150=DIRECTION('ref_axis',(-0.93619347101747,0.,-0.351485113232781)); #186151=DIRECTION('',(-0.93619347101747,0.,-0.351485113232781)); #186152=DIRECTION('',(0.,-1.,0.)); #186153=DIRECTION('',(-0.93619347101747,0.,-0.351485113232781)); #186154=DIRECTION('center_axis',(0.,-1.,0.)); #186155=DIRECTION('ref_axis',(-0.997735419824546,0.,0.0672609249679026)); #186156=DIRECTION('center_axis',(0.,-1.,0.)); #186157=DIRECTION('ref_axis',(-0.997735419824546,0.,0.0672609249679026)); #186158=DIRECTION('',(0.,-1.,0.)); #186159=DIRECTION('center_axis',(0.,-1.,0.)); #186160=DIRECTION('ref_axis',(-0.997735419824546,0.,0.0672609249679026)); #186161=DIRECTION('center_axis',(-0.98681920143276,0.,0.161826647013432)); #186162=DIRECTION('ref_axis',(-0.161826647013432,0.,-0.98681920143276)); #186163=DIRECTION('',(-0.161826647013432,0.,-0.98681920143276)); #186164=DIRECTION('',(0.,-1.,0.)); #186165=DIRECTION('',(-0.161826647013432,0.,-0.98681920143276)); #186166=DIRECTION('center_axis',(-0.971199948041338,0.,0.238265945792726)); #186167=DIRECTION('ref_axis',(-0.238265945792726,0.,-0.971199948041338)); #186168=DIRECTION('',(-0.238265945792726,0.,-0.971199948041338)); #186169=DIRECTION('',(0.,-1.,0.)); #186170=DIRECTION('',(-0.238265945792726,0.,-0.971199948041338)); #186171=DIRECTION('center_axis',(-0.944840649008892,0.,0.3275303771873)); #186172=DIRECTION('ref_axis',(-0.3275303771873,0.,-0.944840649008892)); #186173=DIRECTION('',(-0.3275303771873,0.,-0.944840649008892)); #186174=DIRECTION('',(0.,-1.,0.)); #186175=DIRECTION('',(-0.3275303771873,0.,-0.944840649008892)); #186176=DIRECTION('center_axis',(-0.904209278509302,0.,0.427089663487291)); #186177=DIRECTION('ref_axis',(-0.427089663487291,0.,-0.904209278509302)); #186178=DIRECTION('',(-0.427089663487291,0.,-0.904209278509302)); #186179=DIRECTION('',(0.,-1.,0.)); #186180=DIRECTION('',(-0.427089663487291,0.,-0.904209278509302)); #186181=DIRECTION('center_axis',(-0.844465449441351,0.,0.535610030432419)); #186182=DIRECTION('ref_axis',(-0.535610030432419,0.,-0.844465449441351)); #186183=DIRECTION('',(-0.535610030432419,0.,-0.844465449441351)); #186184=DIRECTION('',(0.,-1.,0.)); #186185=DIRECTION('',(-0.535610030432419,0.,-0.844465449441351)); #186186=DIRECTION('center_axis',(-0.768256815586419,0.,0.640141754070936)); #186187=DIRECTION('ref_axis',(-0.640141754070936,0.,-0.768256815586419)); #186188=DIRECTION('',(-0.640141754070936,0.,-0.768256815586419)); #186189=DIRECTION('',(0.,-1.,0.)); #186190=DIRECTION('',(-0.640141754070936,0.,-0.768256815586419)); #186191=DIRECTION('center_axis',(0.,-1.,0.)); #186192=DIRECTION('ref_axis',(-0.615148744000911,0.,0.788411074728216)); #186193=DIRECTION('center_axis',(0.,-1.,0.)); #186194=DIRECTION('ref_axis',(-0.615148744000911,0.,0.788411074728216)); #186195=DIRECTION('',(0.,-1.,0.)); #186196=DIRECTION('center_axis',(0.,-1.,0.)); #186197=DIRECTION('ref_axis',(-0.615148744000911,0.,0.788411074728216)); #186198=DIRECTION('center_axis',(-0.516304022906272,0.,0.856405368929224)); #186199=DIRECTION('ref_axis',(-0.856405368929224,0.,-0.516304022906272)); #186200=DIRECTION('',(-0.856405368929224,0.,-0.516304022906272)); #186201=DIRECTION('',(0.,-1.,0.)); #186202=DIRECTION('',(-0.856405368929224,0.,-0.516304022906272)); #186203=DIRECTION('center_axis',(-0.413785517941417,0.,0.910374398333978)); #186204=DIRECTION('ref_axis',(-0.910374398333978,0.,-0.413785517941417)); #186205=DIRECTION('',(-0.910374398333978,0.,-0.413785517941417)); #186206=DIRECTION('',(0.,-1.,0.)); #186207=DIRECTION('',(-0.910374398333978,0.,-0.413785517941417)); #186208=DIRECTION('center_axis',(-0.307959562798519,0.,0.951399446962707)); #186209=DIRECTION('ref_axis',(-0.951399446962707,0.,-0.307959562798519)); #186210=DIRECTION('',(-0.951399446962707,0.,-0.307959562798519)); #186211=DIRECTION('',(0.,-1.,0.)); #186212=DIRECTION('',(-0.951399446962707,0.,-0.307959562798519)); #186213=DIRECTION('center_axis',(-0.202795942609309,0.,0.979221019821982)); #186214=DIRECTION('ref_axis',(-0.979221019821982,0.,-0.202795942609309)); #186215=DIRECTION('',(-0.979221019821982,0.,-0.202795942609309)); #186216=DIRECTION('',(0.,-1.,0.)); #186217=DIRECTION('',(-0.979221019821982,0.,-0.202795942609309)); #186218=DIRECTION('center_axis',(0.,-1.,0.)); #186219=DIRECTION('ref_axis',(-0.00198558591300777,0.,0.999998028722348)); #186220=DIRECTION('center_axis',(0.,-1.,0.)); #186221=DIRECTION('ref_axis',(-0.00198558591300777,0.,0.999998028722348)); #186222=DIRECTION('',(0.,-1.,0.)); #186223=DIRECTION('center_axis',(0.,-1.,0.)); #186224=DIRECTION('ref_axis',(-0.00198558591300777,0.,0.999998028722348)); #186225=DIRECTION('center_axis',(0.,-1.,0.)); #186226=DIRECTION('ref_axis',(0.195067136697408,0.,0.980789891964979)); #186227=DIRECTION('center_axis',(0.,-1.,0.)); #186228=DIRECTION('ref_axis',(0.195067136697408,0.,0.980789891964979)); #186229=DIRECTION('',(0.,-1.,0.)); #186230=DIRECTION('center_axis',(0.,-1.,0.)); #186231=DIRECTION('ref_axis',(0.195067136697408,0.,0.980789891964979)); #186232=DIRECTION('center_axis',(0.296670205445595,0.,0.954979994136458)); #186233=DIRECTION('ref_axis',(-0.954979994136457,0.,0.296670205445595)); #186234=DIRECTION('',(-0.954979994136457,0.,0.296670205445595)); #186235=DIRECTION('',(0.,-1.,0.)); #186236=DIRECTION('',(-0.954979994136457,0.,0.296670205445595)); #186237=DIRECTION('center_axis',(0.401113622739949,0.,0.916028308324822)); #186238=DIRECTION('ref_axis',(-0.916028308324822,0.,0.401113622739948)); #186239=DIRECTION('',(-0.916028308324822,0.,0.401113622739948)); #186240=DIRECTION('',(0.,-1.,0.)); #186241=DIRECTION('',(-0.916028308324822,0.,0.401113622739948)); #186242=DIRECTION('center_axis',(0.49976268046711,0.,0.866162376931906)); #186243=DIRECTION('ref_axis',(-0.866162376931906,0.,0.49976268046711)); #186244=DIRECTION('',(-0.866162376931906,0.,0.49976268046711)); #186245=DIRECTION('',(0.,-1.,0.)); #186246=DIRECTION('',(-0.866162376931906,0.,0.49976268046711)); #186247=DIRECTION('center_axis',(0.,-1.,0.)); #186248=DIRECTION('ref_axis',(0.667402682365063,0.,0.744697025354552)); #186249=DIRECTION('center_axis',(0.,-1.,0.)); #186250=DIRECTION('ref_axis',(0.667402682365063,0.,0.744697025354552)); #186251=DIRECTION('',(0.,-1.,0.)); #186252=DIRECTION('center_axis',(0.,-1.,0.)); #186253=DIRECTION('ref_axis',(0.667402682365063,0.,0.744697025354552)); #186254=DIRECTION('center_axis',(0.,-1.,0.)); #186255=DIRECTION('ref_axis',(0.886494599854142,0.,0.46273893766296)); #186256=DIRECTION('center_axis',(0.,-1.,0.)); #186257=DIRECTION('ref_axis',(0.886494599854142,0.,0.46273893766296)); #186258=DIRECTION('',(0.,-1.,0.)); #186259=DIRECTION('center_axis',(0.,-1.,0.)); #186260=DIRECTION('ref_axis',(0.886494599854142,0.,0.46273893766296)); #186261=DIRECTION('center_axis',(0.935873103640457,0.,0.352337244529129)); #186262=DIRECTION('ref_axis',(-0.352337244529129,0.,0.935873103640457)); #186263=DIRECTION('',(-0.352337244529129,0.,0.935873103640457)); #186264=DIRECTION('',(0.,-1.,0.)); #186265=DIRECTION('',(-0.352337244529129,0.,0.935873103640457)); #186266=DIRECTION('center_axis',(0.965011951691495,0.,0.262205898279525)); #186267=DIRECTION('ref_axis',(-0.262205898279525,0.,0.965011951691494)); #186268=DIRECTION('',(-0.262205898279525,0.,0.965011951691494)); #186269=DIRECTION('',(0.,-1.,0.)); #186270=DIRECTION('',(-0.262205898279525,0.,0.965011951691494)); #186271=DIRECTION('center_axis',(0.,-1.,0.)); #186272=DIRECTION('ref_axis',(0.993341028815996,0.,-0.115211112618435)); #186273=DIRECTION('center_axis',(0.,-1.,0.)); #186274=DIRECTION('ref_axis',(0.993341028815996,0.,-0.115211112618435)); #186275=DIRECTION('',(0.,-1.,0.)); #186276=DIRECTION('center_axis',(0.,-1.,0.)); #186277=DIRECTION('ref_axis',(0.993341028815996,0.,-0.115211112618435)); #186278=DIRECTION('center_axis',(0.98144940234388,0.,-0.191721335898851)); #186279=DIRECTION('ref_axis',(0.191721335898851,0.,0.98144940234388)); #186280=DIRECTION('',(0.191721335898851,0.,0.98144940234388)); #186281=DIRECTION('',(0.,-1.,0.)); #186282=DIRECTION('',(0.191721335898851,0.,0.98144940234388)); #186283=DIRECTION('center_axis',(0.962023254284034,0.,-0.272967503957444)); #186284=DIRECTION('ref_axis',(0.272967503957444,0.,0.962023254284034)); #186285=DIRECTION('',(0.272967503957444,0.,0.962023254284034)); #186286=DIRECTION('',(0.,-1.,0.)); #186287=DIRECTION('',(0.272967503957444,0.,0.962023254284034)); #186288=DIRECTION('center_axis',(0.931574595287332,0.,-0.363550235064212)); #186289=DIRECTION('ref_axis',(0.363550235064212,0.,0.931574595287332)); #186290=DIRECTION('',(0.363550235064212,0.,0.931574595287332)); #186291=DIRECTION('',(0.,-1.,0.)); #186292=DIRECTION('',(0.363550235064212,0.,0.931574595287332)); #186293=DIRECTION('center_axis',(0.885881399877038,0.,-0.463911786174807)); #186294=DIRECTION('ref_axis',(0.463911786174807,0.,0.885881399877038)); #186295=DIRECTION('',(0.463911786174807,0.,0.885881399877038)); #186296=DIRECTION('',(0.,-1.,0.)); #186297=DIRECTION('',(0.463911786174807,0.,0.885881399877038)); #186298=DIRECTION('center_axis',(0.822072478382558,0.,-0.56938285914309)); #186299=DIRECTION('ref_axis',(0.56938285914309,0.,0.822072478382558)); #186300=DIRECTION('',(0.56938285914309,0.,0.822072478382558)); #186301=DIRECTION('',(0.,-1.,0.)); #186302=DIRECTION('',(0.56938285914309,0.,0.822072478382558)); #186303=DIRECTION('center_axis',(0.733205927416869,0.,-0.680006667614935)); #186304=DIRECTION('ref_axis',(0.680006667614935,0.,0.733205927416869)); #186305=DIRECTION('',(0.680006667614935,0.,0.733205927416869)); #186306=DIRECTION('',(0.,-1.,0.)); #186307=DIRECTION('',(0.680006667614935,0.,0.733205927416869)); #186308=DIRECTION('center_axis',(0.658018553071022,0.,-0.753001715678204)); #186309=DIRECTION('ref_axis',(0.753001715678204,0.,0.658018553071022)); #186310=DIRECTION('',(0.753001715678204,0.,0.658018553071022)); #186311=DIRECTION('',(0.,-1.,0.)); #186312=DIRECTION('',(0.753001715678204,0.,0.658018553071022)); #186313=DIRECTION('center_axis',(0.578947711150811,0.,-0.815364671636708)); #186314=DIRECTION('ref_axis',(0.815364671636708,0.,0.578947711150811)); #186315=DIRECTION('',(0.815364671636708,0.,0.578947711150811)); #186316=DIRECTION('',(0.,-1.,0.)); #186317=DIRECTION('',(0.815364671636708,0.,0.578947711150811)); #186318=DIRECTION('center_axis',(0.488249002874402,0.,-0.872704366433532)); #186319=DIRECTION('ref_axis',(0.872704366433532,0.,0.488249002874402)); #186320=DIRECTION('',(0.872704366433532,0.,0.488249002874402)); #186321=DIRECTION('',(0.,-1.,0.)); #186322=DIRECTION('',(0.872704366433532,0.,0.488249002874402)); #186323=DIRECTION('center_axis',(0.389448991252766,0.,-0.921048035236058)); #186324=DIRECTION('ref_axis',(0.921048035236058,0.,0.389448991252766)); #186325=DIRECTION('',(0.921048035236058,0.,0.389448991252766)); #186326=DIRECTION('',(0.,-1.,0.)); #186327=DIRECTION('',(0.921048035236058,0.,0.389448991252766)); #186328=DIRECTION('center_axis',(0.283953718729124,0.,-0.958837987159406)); #186329=DIRECTION('ref_axis',(0.958837987159406,0.,0.283953718729124)); #186330=DIRECTION('',(0.958837987159406,0.,0.283953718729124)); #186331=DIRECTION('',(0.,-1.,0.)); #186332=DIRECTION('',(0.958837987159406,0.,0.283953718729124)); #186333=DIRECTION('center_axis',(0.178785226444735,0.,-0.983888125146912)); #186334=DIRECTION('ref_axis',(0.983888125146912,0.,0.178785226444735)); #186335=DIRECTION('',(0.983888125146912,0.,0.178785226444735)); #186336=DIRECTION('',(0.,-1.,0.)); #186337=DIRECTION('',(0.983888125146912,0.,0.178785226444735)); #186338=DIRECTION('center_axis',(0.0767808589180649,0.,-0.997047992678288)); #186339=DIRECTION('ref_axis',(0.997047992678288,0.,0.0767808589180649)); #186340=DIRECTION('',(0.997047992678288,0.,0.0767808589180649)); #186341=DIRECTION('',(0.997047992678288,0.,0.0767808589180649)); #186342=DIRECTION('center_axis',(0.,1.,0.)); #186343=DIRECTION('ref_axis',(1.,0.,0.)); #186344=DIRECTION('center_axis',(0.,-1.,0.)); #186345=DIRECTION('ref_axis',(0.0849525143645588,0.,-0.99638500104284)); #186346=DIRECTION('center_axis',(0.,1.,0.)); #186347=DIRECTION('ref_axis',(0.0849525143645588,0.,-0.99638500104284)); #186348=DIRECTION('',(0.,-1.,0.)); #186349=DIRECTION('center_axis',(0.,1.,0.)); #186350=DIRECTION('ref_axis',(0.0849525143645588,0.,-0.99638500104284)); #186351=DIRECTION('',(0.,-1.,0.)); #186352=DIRECTION('center_axis',(0.,-1.,0.)); #186353=DIRECTION('ref_axis',(0.977967886453214,0.,-0.208755390508207)); #186354=DIRECTION('center_axis',(0.,1.,0.)); #186355=DIRECTION('ref_axis',(0.977967886453214,0.,-0.208755390508207)); #186356=DIRECTION('',(0.,-1.,0.)); #186357=DIRECTION('center_axis',(0.,1.,0.)); #186358=DIRECTION('ref_axis',(0.977967886453214,0.,-0.208755390508207)); #186359=DIRECTION('center_axis',(0.,-1.,0.)); #186360=DIRECTION('ref_axis',(0.581796372306891,0.,0.81333448295922)); #186361=DIRECTION('center_axis',(0.,1.,0.)); #186362=DIRECTION('ref_axis',(0.581796372306891,0.,0.81333448295922)); #186363=DIRECTION('',(0.,-1.,0.)); #186364=DIRECTION('center_axis',(0.,1.,0.)); #186365=DIRECTION('ref_axis',(0.581796372306891,0.,0.81333448295922)); #186366=DIRECTION('center_axis',(0.,-1.,0.)); #186367=DIRECTION('ref_axis',(-0.263923799508837,0.,0.964543533518741)); #186368=DIRECTION('center_axis',(0.,1.,0.)); #186369=DIRECTION('ref_axis',(-0.263923799508837,0.,0.964543533518741)); #186370=DIRECTION('',(0.,-1.,0.)); #186371=DIRECTION('center_axis',(0.,1.,0.)); #186372=DIRECTION('ref_axis',(-0.263923799508837,0.,0.964543533518741)); #186373=DIRECTION('center_axis',(0.,0.,-1.)); #186374=DIRECTION('ref_axis',(1.,0.,0.)); #186375=DIRECTION('',(1.,0.,0.)); #186376=DIRECTION('',(0.,-1.,0.)); #186377=DIRECTION('',(1.,0.,0.)); #186378=DIRECTION('center_axis',(-3.75323469436239E-5,0.,-0.999999999295662)); #186379=DIRECTION('ref_axis',(0.999999999295661,0.,-3.75323469436239E-5)); #186380=DIRECTION('',(0.999999999295661,0.,-3.75323469436239E-5)); #186381=DIRECTION('',(0.,-1.,0.)); #186382=DIRECTION('',(0.999999999295661,0.,-3.75323469436239E-5)); #186383=DIRECTION('center_axis',(1.,0.,0.)); #186384=DIRECTION('ref_axis',(0.,0.,1.)); #186385=DIRECTION('',(0.,0.,1.)); #186386=DIRECTION('',(0.,0.,1.)); #186387=DIRECTION('center_axis',(0.,-1.,0.)); #186388=DIRECTION('ref_axis',(-0.227318857616497,0.,0.973820382294359)); #186389=DIRECTION('center_axis',(0.,1.,0.)); #186390=DIRECTION('ref_axis',(-0.227318857616497,0.,0.973820382294359)); #186391=DIRECTION('',(0.,-1.,0.)); #186392=DIRECTION('center_axis',(0.,1.,0.)); #186393=DIRECTION('ref_axis',(-0.227318857616497,0.,0.973820382294359)); #186394=DIRECTION('',(0.,-1.,0.)); #186395=DIRECTION('center_axis',(1.25179533765938E-5,0.,-0.99999999992165)); #186396=DIRECTION('ref_axis',(0.99999999992165,0.,1.25179533765938E-5)); #186397=DIRECTION('',(0.99999999992165,0.,1.25179533765938E-5)); #186398=DIRECTION('',(0.,-1.,0.)); #186399=DIRECTION('',(0.99999999992165,0.,1.25179533765938E-5)); #186400=DIRECTION('center_axis',(0.,0.,-1.)); #186401=DIRECTION('ref_axis',(1.,0.,0.)); #186402=DIRECTION('',(1.,0.,0.)); #186403=DIRECTION('',(0.,-1.,0.)); #186404=DIRECTION('',(1.,0.,0.)); #186405=DIRECTION('center_axis',(-2.64553947965036E-5,0.,-0.999999999650056)); #186406=DIRECTION('ref_axis',(0.999999999650056,0.,-2.64553947965036E-5)); #186407=DIRECTION('',(0.999999999650056,0.,-2.64553947965036E-5)); #186408=DIRECTION('',(0.,-1.,0.)); #186409=DIRECTION('',(0.999999999650056,0.,-2.64553947965036E-5)); #186410=DIRECTION('center_axis',(1.,0.,0.)); #186411=DIRECTION('ref_axis',(0.,0.,1.)); #186412=DIRECTION('',(0.,0.,1.)); #186413=DIRECTION('',(0.,-1.,0.)); #186414=DIRECTION('',(0.,0.,1.)); #186415=DIRECTION('center_axis',(0.,-1.,0.)); #186416=DIRECTION('ref_axis',(0.109533976768714,0.,-0.993983052135815)); #186417=DIRECTION('center_axis',(0.,1.,0.)); #186418=DIRECTION('ref_axis',(0.109533976768714,0.,-0.993983052135815)); #186419=DIRECTION('',(0.,-1.,0.)); #186420=DIRECTION('center_axis',(0.,1.,0.)); #186421=DIRECTION('ref_axis',(0.109533976768714,0.,-0.993983052135815)); #186422=DIRECTION('center_axis',(0.,-1.,0.)); #186423=DIRECTION('ref_axis',(0.981740560983462,0.,-0.190224790497657)); #186424=DIRECTION('center_axis',(0.,1.,0.)); #186425=DIRECTION('ref_axis',(0.981740560983462,0.,-0.190224790497657)); #186426=DIRECTION('',(0.,-1.,0.)); #186427=DIRECTION('center_axis',(0.,1.,0.)); #186428=DIRECTION('ref_axis',(0.981740560983462,0.,-0.190224790497657)); #186429=DIRECTION('center_axis',(0.,-1.,0.)); #186430=DIRECTION('ref_axis',(0.615841867612711,0.,0.787869782448399)); #186431=DIRECTION('center_axis',(0.,1.,0.)); #186432=DIRECTION('ref_axis',(0.615841867612711,0.,0.787869782448399)); #186433=DIRECTION('center_axis',(0.,1.,0.)); #186434=DIRECTION('ref_axis',(0.615841867612711,0.,0.787869782448399)); #186435=DIRECTION('center_axis',(-0.818694820045493,0.,0.574228866943032)); #186436=DIRECTION('ref_axis',(-0.574228866943032,0.,-0.818694820045492)); #186437=DIRECTION('',(-0.574228866943032,0.,-0.818694820045492)); #186438=DIRECTION('',(0.,-1.,0.)); #186439=DIRECTION('',(-0.574228866943032,0.,-0.818694820045492)); #186440=DIRECTION('',(0.,-1.,0.)); #186441=DIRECTION('center_axis',(-0.75918407079379,0.,0.650875984080661)); #186442=DIRECTION('ref_axis',(-0.650875984080661,0.,-0.75918407079379)); #186443=DIRECTION('',(-0.650875984080661,0.,-0.75918407079379)); #186444=DIRECTION('',(0.,-1.,0.)); #186445=DIRECTION('',(-0.650875984080661,0.,-0.75918407079379)); #186446=DIRECTION('center_axis',(-0.685880761262415,0.,0.727713941964898)); #186447=DIRECTION('ref_axis',(-0.727713941964898,0.,-0.685880761262415)); #186448=DIRECTION('',(-0.727713941964898,0.,-0.685880761262415)); #186449=DIRECTION('',(0.,-1.,0.)); #186450=DIRECTION('',(-0.727713941964898,0.,-0.685880761262415)); #186451=DIRECTION('center_axis',(-0.574627704166675,0.,0.81841493241762)); #186452=DIRECTION('ref_axis',(-0.81841493241762,0.,-0.574627704166675)); #186453=DIRECTION('',(-0.81841493241762,0.,-0.574627704166675)); #186454=DIRECTION('',(0.,-1.,0.)); #186455=DIRECTION('',(-0.81841493241762,0.,-0.574627704166675)); #186456=DIRECTION('center_axis',(-0.467919924937276,0.,0.883770866145006)); #186457=DIRECTION('ref_axis',(-0.883770866145006,0.,-0.467919924937276)); #186458=DIRECTION('',(-0.883770866145006,0.,-0.467919924937276)); #186459=DIRECTION('',(0.,-1.,0.)); #186460=DIRECTION('',(-0.883770866145006,0.,-0.467919924937276)); #186461=DIRECTION('center_axis',(-0.365133049474745,0.,0.930955345965247)); #186462=DIRECTION('ref_axis',(-0.930955345965247,0.,-0.365133049474745)); #186463=DIRECTION('',(-0.930955345965247,0.,-0.365133049474745)); #186464=DIRECTION('',(0.,-1.,0.)); #186465=DIRECTION('',(-0.930955345965247,0.,-0.365133049474745)); #186466=DIRECTION('center_axis',(0.,-1.,0.)); #186467=DIRECTION('ref_axis',(-0.167231821136937,0.,0.985917602033366)); #186468=DIRECTION('center_axis',(0.,-1.,0.)); #186469=DIRECTION('ref_axis',(-0.167231821136937,0.,0.985917602033366)); #186470=DIRECTION('',(0.,-1.,0.)); #186471=DIRECTION('center_axis',(0.,-1.,0.)); #186472=DIRECTION('ref_axis',(-0.167231821136937,0.,0.985917602033366)); #186473=DIRECTION('center_axis',(-0.116371257511738,0.,0.993205784530646)); #186474=DIRECTION('ref_axis',(-0.993205784530646,0.,-0.116371257511738)); #186475=DIRECTION('',(-0.993205784530646,0.,-0.116371257511738)); #186476=DIRECTION('',(0.,-1.,0.)); #186477=DIRECTION('',(-0.993205784530646,0.,-0.116371257511738)); #186478=DIRECTION('center_axis',(0.,-1.,0.)); #186479=DIRECTION('ref_axis',(0.051987231282661,0.,0.998647749601211)); #186480=DIRECTION('center_axis',(0.,-1.,0.)); #186481=DIRECTION('ref_axis',(0.051987231282661,0.,0.998647749601211)); #186482=DIRECTION('',(0.,-1.,0.)); #186483=DIRECTION('center_axis',(0.,-1.,0.)); #186484=DIRECTION('ref_axis',(0.051987231282661,0.,0.998647749601211)); #186485=DIRECTION('center_axis',(0.,-1.,0.)); #186486=DIRECTION('ref_axis',(0.925584372563449,0.,0.378541370614)); #186487=DIRECTION('center_axis',(0.,-1.,0.)); #186488=DIRECTION('ref_axis',(0.925584372563449,0.,0.378541370614)); #186489=DIRECTION('',(0.,-1.,0.)); #186490=DIRECTION('center_axis',(0.,-1.,0.)); #186491=DIRECTION('ref_axis',(0.925584372563449,0.,0.378541370614)); #186492=DIRECTION('center_axis',(0.,-1.,0.)); #186493=DIRECTION('ref_axis',(0.879796130302435,0.,-0.475351206062276)); #186494=DIRECTION('center_axis',(0.,-1.,0.)); #186495=DIRECTION('ref_axis',(0.879796130302435,0.,-0.475351206062276)); #186496=DIRECTION('',(0.,-1.,0.)); #186497=DIRECTION('center_axis',(0.,-1.,0.)); #186498=DIRECTION('ref_axis',(0.879796130302435,0.,-0.475351206062276)); #186499=DIRECTION('center_axis',(0.,-1.,0.)); #186500=DIRECTION('ref_axis',(0.25759851597502,0.,-0.96625203987752)); #186501=DIRECTION('center_axis',(0.,-1.,0.)); #186502=DIRECTION('ref_axis',(0.25759851597502,0.,-0.96625203987752)); #186503=DIRECTION('',(0.,-1.,0.)); #186504=DIRECTION('center_axis',(0.,-1.,0.)); #186505=DIRECTION('ref_axis',(0.25759851597502,0.,-0.96625203987752)); #186506=DIRECTION('center_axis',(0.354909165213887,0.,-0.934900788558434)); #186507=DIRECTION('ref_axis',(0.934900788558434,0.,0.354909165213887)); #186508=DIRECTION('',(0.934900788558434,0.,0.354909165213887)); #186509=DIRECTION('',(0.,-1.,0.)); #186510=DIRECTION('',(0.934900788558434,0.,0.354909165213886)); #186511=DIRECTION('center_axis',(0.,-1.,0.)); #186512=DIRECTION('ref_axis',(0.995613755457573,0.,0.0935588047362078)); #186513=DIRECTION('center_axis',(0.,-1.,0.)); #186514=DIRECTION('ref_axis',(0.995613755457573,0.,0.0935588047362078)); #186515=DIRECTION('',(0.,-1.,0.)); #186516=DIRECTION('center_axis',(0.,-1.,0.)); #186517=DIRECTION('ref_axis',(0.995613755457573,0.,0.0935588047362078)); #186518=DIRECTION('center_axis',(0.,-1.,0.)); #186519=DIRECTION('ref_axis',(0.0654458002835085,0.,-0.997856125513719)); #186520=DIRECTION('center_axis',(0.,-1.,0.)); #186521=DIRECTION('ref_axis',(0.0654458002835085,0.,-0.997856125513719)); #186522=DIRECTION('',(0.,-1.,0.)); #186523=DIRECTION('center_axis',(0.,-1.,0.)); #186524=DIRECTION('ref_axis',(0.0654458002835085,0.,-0.997856125513719)); #186525=DIRECTION('center_axis',(0.,-1.,0.)); #186526=DIRECTION('ref_axis',(-0.0337683423332692,0.,-0.999429686899515)); #186527=DIRECTION('center_axis',(0.,-1.,0.)); #186528=DIRECTION('ref_axis',(-0.0337683423332692,0.,-0.999429686899515)); #186529=DIRECTION('',(0.,-1.,0.)); #186530=DIRECTION('center_axis',(0.,-1.,0.)); #186531=DIRECTION('ref_axis',(-0.0337683423332692,0.,-0.999429686899515)); #186532=DIRECTION('center_axis',(0.,-1.,0.)); #186533=DIRECTION('ref_axis',(-0.271033292706178,0.,-0.962569973687548)); #186534=DIRECTION('center_axis',(0.,-1.,0.)); #186535=DIRECTION('ref_axis',(-0.271033292706178,0.,-0.962569973687548)); #186536=DIRECTION('',(0.,-1.,0.)); #186537=DIRECTION('center_axis',(0.,-1.,0.)); #186538=DIRECTION('ref_axis',(-0.271033292706178,0.,-0.962569973687548)); #186539=DIRECTION('center_axis',(-0.356416657167946,0.,-0.93432711963917)); #186540=DIRECTION('ref_axis',(0.93432711963917,0.,-0.356416657167946)); #186541=DIRECTION('',(0.93432711963917,0.,-0.356416657167946)); #186542=DIRECTION('',(0.,-1.,0.)); #186543=DIRECTION('',(0.93432711963917,0.,-0.356416657167946)); #186544=DIRECTION('center_axis',(-0.462228789383761,0.,-0.886760704059908)); #186545=DIRECTION('ref_axis',(0.886760704059908,0.,-0.462228789383761)); #186546=DIRECTION('',(0.886760704059908,0.,-0.462228789383761)); #186547=DIRECTION('',(0.,-1.,0.)); #186548=DIRECTION('',(0.886760704059908,0.,-0.462228789383761)); #186549=DIRECTION('center_axis',(0.,-1.,0.)); #186550=DIRECTION('ref_axis',(-0.669893946881687,0.,-0.742456800043797)); #186551=DIRECTION('center_axis',(0.,-1.,0.)); #186552=DIRECTION('ref_axis',(-0.669893946881687,0.,-0.742456800043797)); #186553=DIRECTION('',(0.,-1.,0.)); #186554=DIRECTION('center_axis',(0.,-1.,0.)); #186555=DIRECTION('ref_axis',(-0.669893946881687,0.,-0.742456800043797)); #186556=DIRECTION('center_axis',(-0.740232332842516,0.,-0.672351168225747)); #186557=DIRECTION('ref_axis',(0.672351168225747,0.,-0.740232332842516)); #186558=DIRECTION('',(0.672351168225747,0.,-0.740232332842516)); #186559=DIRECTION('',(0.,-1.,0.)); #186560=DIRECTION('',(0.672351168225747,0.,-0.740232332842516)); #186561=DIRECTION('center_axis',(-0.811893415763291,0.,-0.583805688084842)); #186562=DIRECTION('ref_axis',(0.583805688084842,0.,-0.811893415763291)); #186563=DIRECTION('',(0.583805688084842,0.,-0.811893415763291)); #186564=DIRECTION('',(0.,-1.,0.)); #186565=DIRECTION('',(0.583805688084842,0.,-0.811893415763291)); #186566=DIRECTION('center_axis',(-0.873170926940097,0.,-0.487414128177028)); #186567=DIRECTION('ref_axis',(0.487414128177028,0.,-0.873170926940097)); #186568=DIRECTION('',(0.487414128177028,0.,-0.873170926940097)); #186569=DIRECTION('',(0.,-1.,0.)); #186570=DIRECTION('',(0.487414128177028,0.,-0.873170926940097)); #186571=DIRECTION('center_axis',(-0.923380005437271,0.,-0.383887178163932)); #186572=DIRECTION('ref_axis',(0.383887178163932,0.,-0.923380005437271)); #186573=DIRECTION('',(0.383887178163932,0.,-0.923380005437271)); #186574=DIRECTION('',(0.,-1.,0.)); #186575=DIRECTION('',(0.383887178163932,0.,-0.923380005437271)); #186576=DIRECTION('center_axis',(-0.959867943379319,0.,-0.280452368991167)); #186577=DIRECTION('ref_axis',(0.280452368991167,0.,-0.959867943379318)); #186578=DIRECTION('',(0.280452368991167,0.,-0.959867943379318)); #186579=DIRECTION('',(0.,-1.,0.)); #186580=DIRECTION('',(0.280452368991167,0.,-0.959867943379318)); #186581=DIRECTION('center_axis',(-0.984169181863794,0.,-0.177231547613707)); #186582=DIRECTION('ref_axis',(0.177231547613707,0.,-0.984169181863794)); #186583=DIRECTION('',(0.177231547613707,0.,-0.984169181863794)); #186584=DIRECTION('',(0.,-1.,0.)); #186585=DIRECTION('',(0.177231547613707,0.,-0.984169181863794)); #186586=DIRECTION('center_axis',(-0.996827122822708,0.,-0.0795970301267734)); #186587=DIRECTION('ref_axis',(0.0795970301267734,0.,-0.996827122822708)); #186588=DIRECTION('',(0.0795970301267734,0.,-0.996827122822708)); #186589=DIRECTION('',(0.,-1.,0.)); #186590=DIRECTION('',(0.0795970301267734,0.,-0.996827122822708)); #186591=DIRECTION('center_axis',(0.,-1.,0.)); #186592=DIRECTION('ref_axis',(-0.993420351068106,0.,0.114525132978408)); #186593=DIRECTION('center_axis',(0.,-1.,0.)); #186594=DIRECTION('ref_axis',(-0.993420351068106,0.,0.114525132978408)); #186595=DIRECTION('',(0.,-1.,0.)); #186596=DIRECTION('center_axis',(0.,-1.,0.)); #186597=DIRECTION('ref_axis',(-0.993420351068106,0.,0.114525132978408)); #186598=DIRECTION('center_axis',(-0.978566661043027,0.,0.205930303489074)); #186599=DIRECTION('ref_axis',(-0.205930303489074,0.,-0.978566661043027)); #186600=DIRECTION('',(-0.205930303489074,0.,-0.978566661043027)); #186601=DIRECTION('',(0.,-1.,0.)); #186602=DIRECTION('',(-0.205930303489074,0.,-0.978566661043027)); #186603=DIRECTION('center_axis',(-0.951104860368708,0.,0.308868166995273)); #186604=DIRECTION('ref_axis',(-0.308868166995273,0.,-0.951104860368708)); #186605=DIRECTION('',(-0.308868166995273,0.,-0.951104860368708)); #186606=DIRECTION('',(0.,-1.,0.)); #186607=DIRECTION('',(-0.308868166995273,0.,-0.951104860368708)); #186608=DIRECTION('center_axis',(-0.910924318926869,0.,0.412573490650598)); #186609=DIRECTION('ref_axis',(-0.412573490650598,0.,-0.910924318926869)); #186610=DIRECTION('',(-0.412573490650598,0.,-0.910924318926869)); #186611=DIRECTION('',(0.,-1.,0.)); #186612=DIRECTION('',(-0.412573490650598,0.,-0.910924318926869)); #186613=DIRECTION('center_axis',(-0.858416483948535,0.,0.512953350788777)); #186614=DIRECTION('ref_axis',(-0.512953350788777,0.,-0.858416483948535)); #186615=DIRECTION('',(-0.512953350788777,0.,-0.858416483948535)); #186616=DIRECTION('',(0.,-1.,0.)); #186617=DIRECTION('',(-0.512953350788777,0.,-0.858416483948535)); #186618=DIRECTION('center_axis',(-0.79492033869819,0.,0.606713816493374)); #186619=DIRECTION('ref_axis',(-0.606713816493374,0.,-0.79492033869819)); #186620=DIRECTION('',(-0.606713816493374,0.,-0.79492033869819)); #186621=DIRECTION('',(0.,-1.,0.)); #186622=DIRECTION('',(-0.606713816493374,0.,-0.79492033869819)); #186623=DIRECTION('center_axis',(-0.722952397179053,0.,0.690897844411937)); #186624=DIRECTION('ref_axis',(-0.690897844411937,0.,-0.722952397179053)); #186625=DIRECTION('',(-0.690897844411937,0.,-0.722952397179053)); #186626=DIRECTION('',(0.,-1.,0.)); #186627=DIRECTION('',(-0.690897844411937,0.,-0.722952397179053)); #186628=DIRECTION('center_axis',(-0.646684139245037,0.,0.762757906579083)); #186629=DIRECTION('ref_axis',(-0.762757906579083,0.,-0.646684139245037)); #186630=DIRECTION('',(-0.762757906579083,0.,-0.646684139245037)); #186631=DIRECTION('',(0.,-1.,0.)); #186632=DIRECTION('',(-0.762757906579083,0.,-0.646684139245037)); #186633=DIRECTION('center_axis',(-0.539764848613349,0.,0.841815839837555)); #186634=DIRECTION('ref_axis',(-0.841815839837555,0.,-0.539764848613349)); #186635=DIRECTION('',(-0.841815839837555,0.,-0.539764848613349)); #186636=DIRECTION('',(0.,-1.,0.)); #186637=DIRECTION('',(-0.841815839837555,0.,-0.539764848613349)); #186638=DIRECTION('center_axis',(0.,-1.,0.)); #186639=DIRECTION('ref_axis',(-0.319524569481653,0.,0.947577991247984)); #186640=DIRECTION('center_axis',(0.,-1.,0.)); #186641=DIRECTION('ref_axis',(-0.319524569481653,0.,0.947577991247984)); #186642=DIRECTION('',(0.,-1.,0.)); #186643=DIRECTION('center_axis',(0.,-1.,0.)); #186644=DIRECTION('ref_axis',(-0.319524569481653,0.,0.947577991247984)); #186645=DIRECTION('center_axis',(-0.236825470887246,0.,0.971552209785472)); #186646=DIRECTION('ref_axis',(-0.971552209785472,0.,-0.236825470887245)); #186647=DIRECTION('',(-0.971552209785472,0.,-0.236825470887246)); #186648=DIRECTION('',(0.,-1.,0.)); #186649=DIRECTION('',(-0.971552209785472,0.,-0.236825470887245)); #186650=DIRECTION('center_axis',(0.,-1.,0.)); #186651=DIRECTION('ref_axis',(-0.000374085049075082,0.,0.999999930030186)); #186652=DIRECTION('center_axis',(0.,-1.,0.)); #186653=DIRECTION('ref_axis',(-0.000374085049075082,0.,0.999999930030186)); #186654=DIRECTION('',(0.,-1.,0.)); #186655=DIRECTION('center_axis',(0.,-1.,0.)); #186656=DIRECTION('ref_axis',(-0.000374085049075082,0.,0.999999930030186)); #186657=DIRECTION('center_axis',(-4.75562748789394E-5,0.,0.9999999988692)); #186658=DIRECTION('ref_axis',(-0.9999999988692,0.,-4.75562748789394E-5)); #186659=DIRECTION('',(-0.9999999988692,0.,-4.75562748789394E-5)); #186660=DIRECTION('',(0.,-1.,0.)); #186661=DIRECTION('',(-0.9999999988692,0.,-4.75562748789394E-5)); #186662=DIRECTION('center_axis',(-0.999999998969138,0.,4.5406201417027E-5)); #186663=DIRECTION('ref_axis',(-4.5406201417027E-5,0.,-0.999999998969138)); #186664=DIRECTION('',(-4.5406201417027E-5,0.,-0.999999998969138)); #186665=DIRECTION('',(0.,-1.,0.)); #186666=DIRECTION('',(-4.5406201417027E-5,0.,-0.999999998969138)); #186667=DIRECTION('center_axis',(0.,-1.,0.)); #186668=DIRECTION('ref_axis',(-0.100250805684699,0.,-0.994962198256581)); #186669=DIRECTION('center_axis',(0.,-1.,0.)); #186670=DIRECTION('ref_axis',(-0.100250805684699,0.,-0.994962198256581)); #186671=DIRECTION('',(0.,-1.,0.)); #186672=DIRECTION('center_axis',(0.,-1.,0.)); #186673=DIRECTION('ref_axis',(-0.100250805684699,0.,-0.994962198256581)); #186674=DIRECTION('center_axis',(-0.23988901407108,0.,-0.970800319802175)); #186675=DIRECTION('ref_axis',(0.970800319802175,0.,-0.23988901407108)); #186676=DIRECTION('',(0.970800319802175,0.,-0.23988901407108)); #186677=DIRECTION('',(0.,-1.,0.)); #186678=DIRECTION('',(0.970800319802175,0.,-0.23988901407108)); #186679=DIRECTION('center_axis',(-0.33001388895184,0.,-0.94397607655008)); #186680=DIRECTION('ref_axis',(0.94397607655008,0.,-0.33001388895184)); #186681=DIRECTION('',(0.94397607655008,0.,-0.33001388895184)); #186682=DIRECTION('',(0.,-1.,0.)); #186683=DIRECTION('',(0.94397607655008,0.,-0.33001388895184)); #186684=DIRECTION('center_axis',(-0.431712189433965,0.,-0.902011410955611)); #186685=DIRECTION('ref_axis',(0.902011410955611,0.,-0.431712189433965)); #186686=DIRECTION('',(0.902011410955611,0.,-0.431712189433965)); #186687=DIRECTION('',(0.,-1.,0.)); #186688=DIRECTION('',(0.902011410955611,0.,-0.431712189433965)); #186689=DIRECTION('center_axis',(-0.540893175710751,0.,-0.841091298533957)); #186690=DIRECTION('ref_axis',(0.841091298533957,0.,-0.540893175710751)); #186691=DIRECTION('',(0.841091298533957,0.,-0.540893175710751)); #186692=DIRECTION('',(0.,-1.,0.)); #186693=DIRECTION('',(0.841091298533957,0.,-0.540893175710751)); #186694=DIRECTION('center_axis',(-0.647412426604887,0.,-0.762139849291173)); #186695=DIRECTION('ref_axis',(0.762139849291173,0.,-0.647412426604887)); #186696=DIRECTION('',(0.762139849291173,0.,-0.647412426604887)); #186697=DIRECTION('',(0.,-1.,0.)); #186698=DIRECTION('',(0.762139849291173,0.,-0.647412426604887)); #186699=DIRECTION('center_axis',(-0.72274508835512,0.,-0.69111470629596)); #186700=DIRECTION('ref_axis',(0.69111470629596,0.,-0.72274508835512)); #186701=DIRECTION('',(0.69111470629596,0.,-0.72274508835512)); #186702=DIRECTION('',(0.,-1.,0.)); #186703=DIRECTION('',(0.69111470629596,0.,-0.72274508835512)); #186704=DIRECTION('center_axis',(-0.794920338698284,0.,-0.60671381649325)); #186705=DIRECTION('ref_axis',(0.60671381649325,0.,-0.794920338698284)); #186706=DIRECTION('',(0.60671381649325,0.,-0.794920338698284)); #186707=DIRECTION('',(0.,-1.,0.)); #186708=DIRECTION('',(0.60671381649325,0.,-0.794920338698284)); #186709=DIRECTION('center_axis',(-0.858416483948535,0.,-0.512953350788777)); #186710=DIRECTION('ref_axis',(0.512953350788777,0.,-0.858416483948535)); #186711=DIRECTION('',(0.512953350788777,0.,-0.858416483948535)); #186712=DIRECTION('',(0.,-1.,0.)); #186713=DIRECTION('',(0.512953350788777,0.,-0.858416483948535)); #186714=DIRECTION('center_axis',(-0.910928257499753,0.,-0.412564794533493)); #186715=DIRECTION('ref_axis',(0.412564794533493,0.,-0.910928257499753)); #186716=DIRECTION('',(0.412564794533493,0.,-0.910928257499753)); #186717=DIRECTION('',(0.,-1.,0.)); #186718=DIRECTION('',(0.412564794533493,0.,-0.910928257499753)); #186719=DIRECTION('center_axis',(-0.951102692095121,0.,-0.308874843730295)); #186720=DIRECTION('ref_axis',(0.308874843730295,0.,-0.951102692095121)); #186721=DIRECTION('',(0.308874843730295,0.,-0.951102692095121)); #186722=DIRECTION('',(0.,-1.,0.)); #186723=DIRECTION('',(0.308874843730295,0.,-0.951102692095121)); #186724=DIRECTION('center_axis',(-0.978549784986744,0.,-0.206010481049867)); #186725=DIRECTION('ref_axis',(0.206010481049867,0.,-0.978549784986744)); #186726=DIRECTION('',(0.206010481049867,0.,-0.978549784986744)); #186727=DIRECTION('',(0.,-1.,0.)); #186728=DIRECTION('',(0.206010481049867,0.,-0.978549784986744)); #186729=DIRECTION('center_axis',(-0.99435548492327,0.,-0.106099809627582)); #186730=DIRECTION('ref_axis',(0.106099809627582,0.,-0.99435548492327)); #186731=DIRECTION('',(0.106099809627582,0.,-0.99435548492327)); #186732=DIRECTION('',(0.,-1.,0.)); #186733=DIRECTION('',(0.106099809627582,0.,-0.99435548492327)); #186734=DIRECTION('center_axis',(-0.999918836788179,0.,-0.0127404802176399)); #186735=DIRECTION('ref_axis',(0.0127404802176399,0.,-0.999918836788179)); #186736=DIRECTION('',(0.0127404802176399,0.,-0.999918836788179)); #186737=DIRECTION('',(0.,-1.,0.)); #186738=DIRECTION('',(0.0127404802176399,0.,-0.999918836788179)); #186739=DIRECTION('center_axis',(-0.996491163645923,0.,0.0836980332839079)); #186740=DIRECTION('ref_axis',(-0.0836980332839079,0.,-0.996491163645923)); #186741=DIRECTION('',(-0.0836980332839079,0.,-0.996491163645923)); #186742=DIRECTION('',(0.,-1.,0.)); #186743=DIRECTION('',(-0.0836980332839079,0.,-0.996491163645923)); #186744=DIRECTION('center_axis',(-0.982749905845627,0.,0.184939510544426)); #186745=DIRECTION('ref_axis',(-0.184939510544426,0.,-0.982749905845627)); #186746=DIRECTION('',(-0.184939510544426,0.,-0.982749905845627)); #186747=DIRECTION('',(0.,-1.,0.)); #186748=DIRECTION('',(-0.184939510544426,0.,-0.982749905845627)); #186749=DIRECTION('center_axis',(-0.957357505380134,0.,0.288905878950786)); #186750=DIRECTION('ref_axis',(-0.288905878950786,0.,-0.957357505380134)); #186751=DIRECTION('',(-0.288905878950786,0.,-0.957357505380134)); #186752=DIRECTION('',(0.,-1.,0.)); #186753=DIRECTION('',(-0.288905878950786,0.,-0.957357505380134)); #186754=DIRECTION('center_axis',(-0.920792342679358,0.,0.390053152356265)); #186755=DIRECTION('ref_axis',(-0.390053152356265,0.,-0.920792342679358)); #186756=DIRECTION('',(-0.390053152356265,0.,-0.920792342679358)); #186757=DIRECTION('',(0.,-1.,0.)); #186758=DIRECTION('',(-0.390053152356265,0.,-0.920792342679358)); #186759=DIRECTION('center_axis',(-0.87349170678941,0.,0.48683902695873)); #186760=DIRECTION('ref_axis',(-0.48683902695873,0.,-0.87349170678941)); #186761=DIRECTION('',(-0.48683902695873,0.,-0.87349170678941)); #186762=DIRECTION('',(-0.48683902695873,0.,-0.87349170678941)); #186763=DIRECTION('center_axis',(0.,1.,0.)); #186764=DIRECTION('ref_axis',(1.,0.,0.)); #186765=DIRECTION('center_axis',(0.,-1.,0.)); #186766=DIRECTION('ref_axis',(-0.0381076555981733,0.,0.999273639492612)); #186767=DIRECTION('center_axis',(0.,1.,0.)); #186768=DIRECTION('ref_axis',(-0.0381076555981733,0.,0.999273639492612)); #186769=DIRECTION('',(0.,-1.,0.)); #186770=DIRECTION('center_axis',(0.,1.,0.)); #186771=DIRECTION('ref_axis',(-0.0381076555981733,0.,0.999273639492612)); #186772=DIRECTION('',(0.,-1.,0.)); #186773=DIRECTION('center_axis',(0.,-1.,0.)); #186774=DIRECTION('ref_axis',(-0.918589116985044,0.,0.395213909366355)); #186775=DIRECTION('center_axis',(0.,1.,0.)); #186776=DIRECTION('ref_axis',(-0.918589116985044,0.,0.395213909366355)); #186777=DIRECTION('',(0.,-1.,0.)); #186778=DIRECTION('center_axis',(0.,1.,0.)); #186779=DIRECTION('ref_axis',(-0.918589116985044,0.,0.395213909366355)); #186780=DIRECTION('center_axis',(0.,-1.,0.)); #186781=DIRECTION('ref_axis',(-0.627280272509039,0.,-0.778793592501239)); #186782=DIRECTION('center_axis',(0.,1.,0.)); #186783=DIRECTION('ref_axis',(-0.627280272509039,0.,-0.778793592501239)); #186784=DIRECTION('',(0.,-1.,0.)); #186785=DIRECTION('center_axis',(0.,1.,0.)); #186786=DIRECTION('ref_axis',(-0.627280272509039,0.,-0.778793592501239)); #186787=DIRECTION('center_axis',(0.296914333294183,0.,0.954904120152631)); #186788=DIRECTION('ref_axis',(-0.954904120152631,0.,0.296914333294183)); #186789=DIRECTION('',(-0.954904120152631,0.,0.296914333294183)); #186790=DIRECTION('',(0.,-1.,0.)); #186791=DIRECTION('',(-0.954904120152631,0.,0.296914333294183)); #186792=DIRECTION('center_axis',(0.,-1.,0.)); #186793=DIRECTION('ref_axis',(0.175754678730286,0.,0.984433996215294)); #186794=DIRECTION('center_axis',(0.,-1.,0.)); #186795=DIRECTION('ref_axis',(0.175754678730286,0.,0.984433996215294)); #186796=DIRECTION('',(0.,-1.,0.)); #186797=DIRECTION('center_axis',(0.,-1.,0.)); #186798=DIRECTION('ref_axis',(0.175754678730286,0.,0.984433996215294)); #186799=DIRECTION('center_axis',(0.340911594707431,0.,0.94009535930885)); #186800=DIRECTION('ref_axis',(-0.94009535930885,0.,0.340911594707431)); #186801=DIRECTION('',(-0.94009535930885,0.,0.340911594707431)); #186802=DIRECTION('',(0.,-1.,0.)); #186803=DIRECTION('',(-0.94009535930885,0.,0.340911594707431)); #186804=DIRECTION('center_axis',(0.43962429192413,0.,0.898181764427562)); #186805=DIRECTION('ref_axis',(-0.898181764427562,0.,0.43962429192413)); #186806=DIRECTION('',(-0.898181764427562,0.,0.43962429192413)); #186807=DIRECTION('',(0.,-1.,0.)); #186808=DIRECTION('',(-0.898181764427562,0.,0.43962429192413)); #186809=DIRECTION('center_axis',(0.548851918934165,0.,0.835919596063093)); #186810=DIRECTION('ref_axis',(-0.835919596063093,0.,0.548851918934165)); #186811=DIRECTION('',(-0.835919596063093,0.,0.548851918934165)); #186812=DIRECTION('',(0.,-1.,0.)); #186813=DIRECTION('',(-0.835919596063093,0.,0.548851918934165)); #186814=DIRECTION('center_axis',(0.661668647564499,0.,0.749796372910784)); #186815=DIRECTION('ref_axis',(-0.749796372910784,0.,0.661668647564499)); #186816=DIRECTION('',(-0.749796372910784,0.,0.661668647564499)); #186817=DIRECTION('',(0.,-1.,0.)); #186818=DIRECTION('',(-0.749796372910784,0.,0.661668647564499)); #186819=DIRECTION('center_axis',(0.729800824256235,0.,0.683659825435809)); #186820=DIRECTION('ref_axis',(-0.683659825435809,0.,0.729800824256234)); #186821=DIRECTION('',(-0.683659825435809,0.,0.729800824256234)); #186822=DIRECTION('',(0.,-1.,0.)); #186823=DIRECTION('',(-0.683659825435809,0.,0.729800824256234)); #186824=DIRECTION('center_axis',(0.798004917524655,0.,0.602650936783864)); #186825=DIRECTION('ref_axis',(-0.602650936783864,0.,0.798004917524655)); #186826=DIRECTION('',(-0.602650936783864,0.,0.798004917524655)); #186827=DIRECTION('',(0.,-1.,0.)); #186828=DIRECTION('',(-0.602650936783864,0.,0.798004917524655)); #186829=DIRECTION('center_axis',(0.,-1.,0.)); #186830=DIRECTION('ref_axis',(0.904560107050311,0.,0.426346118468469)); #186831=DIRECTION('center_axis',(0.,-1.,0.)); #186832=DIRECTION('ref_axis',(0.904560107050311,0.,0.426346118468469)); #186833=DIRECTION('',(0.,-1.,0.)); #186834=DIRECTION('center_axis',(0.,-1.,0.)); #186835=DIRECTION('ref_axis',(0.904560107050311,0.,0.426346118468469)); #186836=DIRECTION('center_axis',(0.,-1.,0.)); #186837=DIRECTION('ref_axis',(0.972776943384019,0.,0.231743432313508)); #186838=DIRECTION('center_axis',(0.,-1.,0.)); #186839=DIRECTION('ref_axis',(0.972776943384019,0.,0.231743432313508)); #186840=DIRECTION('',(0.,-1.,0.)); #186841=DIRECTION('center_axis',(0.,-1.,0.)); #186842=DIRECTION('ref_axis',(0.972776943384019,0.,0.231743432313508)); #186843=DIRECTION('center_axis',(0.99229997511955,0.,0.123857819203073)); #186844=DIRECTION('ref_axis',(-0.123857819203073,0.,0.99229997511955)); #186845=DIRECTION('',(-0.123857819203073,0.,0.99229997511955)); #186846=DIRECTION('',(0.,-1.,0.)); #186847=DIRECTION('',(-0.123857819203073,0.,0.99229997511955)); #186848=DIRECTION('center_axis',(0.999438735947074,0.,0.0334994490777683)); #186849=DIRECTION('ref_axis',(-0.0334994490777683,0.,0.999438735947074)); #186850=DIRECTION('',(-0.0334994490777683,0.,0.999438735947074)); #186851=DIRECTION('',(0.,-1.,0.)); #186852=DIRECTION('',(-0.0334994490777683,0.,0.999438735947074)); #186853=DIRECTION('center_axis',(0.998470749905467,0.,-0.0552825612938964)); #186854=DIRECTION('ref_axis',(0.0552825612938964,0.,0.998470749905467)); #186855=DIRECTION('',(0.0552825612938964,0.,0.998470749905467)); #186856=DIRECTION('',(0.,-1.,0.)); #186857=DIRECTION('',(0.0552825612938964,0.,0.998470749905467)); #186858=DIRECTION('center_axis',(0.988617335903189,0.,-0.15045186323765)); #186859=DIRECTION('ref_axis',(0.15045186323765,0.,0.988617335903189)); #186860=DIRECTION('',(0.15045186323765,0.,0.988617335903189)); #186861=DIRECTION('',(0.,-1.,0.)); #186862=DIRECTION('',(0.15045186323765,0.,0.988617335903189)); #186863=DIRECTION('center_axis',(0.968116198920323,0.,-0.250501547675989)); #186864=DIRECTION('ref_axis',(0.250501547675989,0.,0.968116198920323)); #186865=DIRECTION('',(0.250501547675989,0.,0.968116198920323)); #186866=DIRECTION('',(0.,-1.,0.)); #186867=DIRECTION('',(0.250501547675989,0.,0.968116198920323)); #186868=DIRECTION('center_axis',(0.935983791595612,0.,-0.352043096609354)); #186869=DIRECTION('ref_axis',(0.352043096609354,0.,0.935983791595612)); #186870=DIRECTION('',(0.352043096609354,0.,0.935983791595612)); #186871=DIRECTION('',(0.,-1.,0.)); #186872=DIRECTION('',(0.352043096609354,0.,0.935983791595612)); #186873=DIRECTION('center_axis',(0.89177395874301,0.,-0.452481167020044)); #186874=DIRECTION('ref_axis',(0.452481167020044,0.,0.89177395874301)); #186875=DIRECTION('',(0.452481167020044,0.,0.89177395874301)); #186876=DIRECTION('',(0.,-1.,0.)); #186877=DIRECTION('',(0.452481167020044,0.,0.89177395874301)); #186878=DIRECTION('center_axis',(0.836618528628562,0.,-0.547785941363394)); #186879=DIRECTION('ref_axis',(0.547785941363394,0.,0.836618528628562)); #186880=DIRECTION('',(0.547785941363394,0.,0.836618528628562)); #186881=DIRECTION('',(0.,-1.,0.)); #186882=DIRECTION('',(0.547785941363394,0.,0.836618528628562)); #186883=DIRECTION('center_axis',(0.772860360333338,0.,-0.634576128943899)); #186884=DIRECTION('ref_axis',(0.634576128943899,0.,0.772860360333338)); #186885=DIRECTION('',(0.634576128943899,0.,0.772860360333338)); #186886=DIRECTION('',(0.,-1.,0.)); #186887=DIRECTION('',(0.634576128943899,0.,0.772860360333338)); #186888=DIRECTION('center_axis',(0.703264508442502,0.,-0.710928288342169)); #186889=DIRECTION('ref_axis',(0.710928288342169,0.,0.703264508442502)); #186890=DIRECTION('',(0.710928288342169,0.,0.703264508442502)); #186891=DIRECTION('',(0.,-1.,0.)); #186892=DIRECTION('',(0.710928288342169,0.,0.703264508442502)); #186893=DIRECTION('center_axis',(0.,-1.,0.)); #186894=DIRECTION('ref_axis',(0.506789018353528,0.,-0.862070119466084)); #186895=DIRECTION('center_axis',(0.,-1.,0.)); #186896=DIRECTION('ref_axis',(0.506789018353528,0.,-0.862070119466084)); #186897=DIRECTION('',(0.,-1.,0.)); #186898=DIRECTION('center_axis',(0.,-1.,0.)); #186899=DIRECTION('ref_axis',(0.506789018353528,0.,-0.862070119466084)); #186900=DIRECTION('center_axis',(0.,-1.,0.)); #186901=DIRECTION('ref_axis',(-0.220906957741399,0.,-0.975294886699115)); #186902=DIRECTION('center_axis',(0.,-1.,0.)); #186903=DIRECTION('ref_axis',(-0.220906957741399,0.,-0.975294886699115)); #186904=DIRECTION('',(0.,-1.,0.)); #186905=DIRECTION('center_axis',(0.,-1.,0.)); #186906=DIRECTION('ref_axis',(-0.220906957741399,0.,-0.975294886699115)); #186907=DIRECTION('center_axis',(0.,-1.,0.)); #186908=DIRECTION('ref_axis',(-0.191522721418797,0.,-0.981488179847489)); #186909=DIRECTION('center_axis',(0.,-1.,0.)); #186910=DIRECTION('ref_axis',(-0.191522721418797,0.,-0.981488179847489)); #186911=DIRECTION('',(0.,-1.,0.)); #186912=DIRECTION('center_axis',(0.,-1.,0.)); #186913=DIRECTION('ref_axis',(-0.191522721418797,0.,-0.981488179847489)); #186914=DIRECTION('center_axis',(-0.248395746829142,0.,-0.968658635927638)); #186915=DIRECTION('ref_axis',(0.968658635927638,0.,-0.248395746829142)); #186916=DIRECTION('',(0.968658635927638,0.,-0.248395746829142)); #186917=DIRECTION('',(0.,-1.,0.)); #186918=DIRECTION('',(0.968658635927638,0.,-0.248395746829142)); #186919=DIRECTION('center_axis',(0.,-1.,0.)); #186920=DIRECTION('ref_axis',(-0.446457964114017,0.,-0.894804607877702)); #186921=DIRECTION('center_axis',(0.,-1.,0.)); #186922=DIRECTION('ref_axis',(-0.446457964114017,0.,-0.894804607877702)); #186923=DIRECTION('',(0.,-1.,0.)); #186924=DIRECTION('center_axis',(0.,-1.,0.)); #186925=DIRECTION('ref_axis',(-0.446457964114017,0.,-0.894804607877702)); #186926=DIRECTION('center_axis',(0.,-1.,0.)); #186927=DIRECTION('ref_axis',(-0.647386103084298,0.,-0.762162209462872)); #186928=DIRECTION('center_axis',(0.,-1.,0.)); #186929=DIRECTION('ref_axis',(-0.647386103084298,0.,-0.762162209462872)); #186930=DIRECTION('',(0.,-1.,0.)); #186931=DIRECTION('center_axis',(0.,-1.,0.)); #186932=DIRECTION('ref_axis',(-0.647386103084298,0.,-0.762162209462872)); #186933=DIRECTION('center_axis',(-0.73100991379514,0.,-0.682366841173589)); #186934=DIRECTION('ref_axis',(0.682366841173589,0.,-0.73100991379514)); #186935=DIRECTION('',(0.682366841173589,0.,-0.73100991379514)); #186936=DIRECTION('',(0.,-1.,0.)); #186937=DIRECTION('',(0.682366841173589,0.,-0.73100991379514)); #186938=DIRECTION('center_axis',(-0.796040970259417,0.,-0.605242739459504)); #186939=DIRECTION('ref_axis',(0.605242739459504,0.,-0.796040970259417)); #186940=DIRECTION('',(0.605242739459504,0.,-0.796040970259417)); #186941=DIRECTION('',(0.,-1.,0.)); #186942=DIRECTION('',(0.605242739459504,0.,-0.796040970259417)); #186943=DIRECTION('center_axis',(-0.855270953600514,0.,-0.51818104551138)); #186944=DIRECTION('ref_axis',(0.51818104551138,0.,-0.855270953600514)); #186945=DIRECTION('',(0.51818104551138,0.,-0.855270953600514)); #186946=DIRECTION('',(0.,-1.,0.)); #186947=DIRECTION('',(0.51818104551138,0.,-0.855270953600514)); #186948=DIRECTION('center_axis',(0.,-1.,0.)); #186949=DIRECTION('ref_axis',(-0.946760858995353,0.,-0.321937689428221)); #186950=DIRECTION('center_axis',(0.,-1.,0.)); #186951=DIRECTION('ref_axis',(-0.946760858995353,0.,-0.321937689428221)); #186952=DIRECTION('',(0.,-1.,0.)); #186953=DIRECTION('center_axis',(0.,-1.,0.)); #186954=DIRECTION('ref_axis',(-0.946760858995353,0.,-0.321937689428221)); #186955=DIRECTION('center_axis',(0.,-1.,0.)); #186956=DIRECTION('ref_axis',(-0.993930151034053,0.,-0.110012975895685)); #186957=DIRECTION('center_axis',(0.,-1.,0.)); #186958=DIRECTION('ref_axis',(-0.993930151034053,0.,-0.110012975895685)); #186959=DIRECTION('',(0.,-1.,0.)); #186960=DIRECTION('center_axis',(0.,-1.,0.)); #186961=DIRECTION('ref_axis',(-0.993930151034053,0.,-0.110012975895685)); #186962=DIRECTION('center_axis',(0.,-1.,0.)); #186963=DIRECTION('ref_axis',(-0.984559127825396,0.,0.175052345930282)); #186964=DIRECTION('center_axis',(0.,-1.,0.)); #186965=DIRECTION('ref_axis',(-0.984559127825396,0.,0.175052345930282)); #186966=DIRECTION('',(0.,-1.,0.)); #186967=DIRECTION('center_axis',(0.,-1.,0.)); #186968=DIRECTION('ref_axis',(-0.984559127825396,0.,0.175052345930282)); #186969=DIRECTION('center_axis',(0.,-1.,0.)); #186970=DIRECTION('ref_axis',(-0.939882340534575,0.,0.341498442091979)); #186971=DIRECTION('center_axis',(0.,-1.,0.)); #186972=DIRECTION('ref_axis',(-0.939882340534575,0.,0.341498442091979)); #186973=DIRECTION('',(0.,-1.,0.)); #186974=DIRECTION('center_axis',(0.,-1.,0.)); #186975=DIRECTION('ref_axis',(-0.939882340534575,0.,0.341498442091979)); #186976=DIRECTION('center_axis',(0.,-1.,0.)); #186977=DIRECTION('ref_axis',(-0.827313921125216,0.,0.561739864984158)); #186978=DIRECTION('center_axis',(0.,-1.,0.)); #186979=DIRECTION('ref_axis',(-0.827313921125216,0.,0.561739864984158)); #186980=DIRECTION('',(0.,-1.,0.)); #186981=DIRECTION('center_axis',(0.,-1.,0.)); #186982=DIRECTION('ref_axis',(-0.827313921125216,0.,0.561739864984158)); #186983=DIRECTION('center_axis',(0.,-1.,0.)); #186984=DIRECTION('ref_axis',(-0.718688707219405,0.,0.695331965405949)); #186985=DIRECTION('center_axis',(0.,-1.,0.)); #186986=DIRECTION('ref_axis',(-0.718688707219405,0.,0.695331965405949)); #186987=DIRECTION('',(0.,-1.,0.)); #186988=DIRECTION('center_axis',(0.,-1.,0.)); #186989=DIRECTION('ref_axis',(-0.718688707219405,0.,0.695331965405949)); #186990=DIRECTION('center_axis',(0.,-1.,0.)); #186991=DIRECTION('ref_axis',(-0.582504584845634,0.,0.812827416266095)); #186992=DIRECTION('center_axis',(0.,-1.,0.)); #186993=DIRECTION('ref_axis',(-0.582504584845634,0.,0.812827416266095)); #186994=DIRECTION('',(0.,-1.,0.)); #186995=DIRECTION('center_axis',(0.,-1.,0.)); #186996=DIRECTION('ref_axis',(-0.582504584845634,0.,0.812827416266095)); #186997=DIRECTION('center_axis',(-0.508705019071578,0.,0.860940882738987)); #186998=DIRECTION('ref_axis',(-0.860940882738987,0.,-0.508705019071578)); #186999=DIRECTION('',(-0.860940882738987,0.,-0.508705019071578)); #187000=DIRECTION('',(0.,-1.,0.)); #187001=DIRECTION('',(-0.860940882738987,0.,-0.508705019071577)); #187002=DIRECTION('center_axis',(-0.429176834697772,0.,0.903220485019467)); #187003=DIRECTION('ref_axis',(-0.903220485019467,0.,-0.429176834697772)); #187004=DIRECTION('',(-0.903220485019467,0.,-0.429176834697772)); #187005=DIRECTION('',(0.,-1.,0.)); #187006=DIRECTION('',(-0.903220485019467,0.,-0.429176834697772)); #187007=DIRECTION('center_axis',(0.,-1.,0.)); #187008=DIRECTION('ref_axis',(0.0433611221328099,0.,0.999059464239934)); #187009=DIRECTION('center_axis',(0.,-1.,0.)); #187010=DIRECTION('ref_axis',(0.0433611221328099,0.,0.999059464239934)); #187011=DIRECTION('',(0.,-1.,0.)); #187012=DIRECTION('center_axis',(0.,-1.,0.)); #187013=DIRECTION('ref_axis',(0.0433611221328099,0.,0.999059464239934)); #187014=DIRECTION('center_axis',(-0.0123101830443818,0.,0.99992422682592)); #187015=DIRECTION('ref_axis',(-0.99992422682592,0.,-0.0123101830443818)); #187016=DIRECTION('',(-0.99992422682592,0.,-0.0123101830443818)); #187017=DIRECTION('',(0.,-1.,0.)); #187018=DIRECTION('',(-0.99992422682592,0.,-0.0123101830443818)); #187019=DIRECTION('center_axis',(0.,-1.,0.)); #187020=DIRECTION('ref_axis',(-0.995762658228846,0.,-0.0919604723618936)); #187021=DIRECTION('center_axis',(0.,-1.,0.)); #187022=DIRECTION('ref_axis',(-0.995762658228846,0.,-0.0919604723618936)); #187023=DIRECTION('',(0.,-1.,0.)); #187024=DIRECTION('center_axis',(0.,-1.,0.)); #187025=DIRECTION('ref_axis',(-0.995762658228846,0.,-0.0919604723618936)); #187026=DIRECTION('center_axis',(0.,-1.,0.)); #187027=DIRECTION('ref_axis',(-0.772346980989088,0.,0.635200866621765)); #187028=DIRECTION('center_axis',(0.,-1.,0.)); #187029=DIRECTION('ref_axis',(-0.772346980989088,0.,0.635200866621765)); #187030=DIRECTION('',(0.,-1.,0.)); #187031=DIRECTION('center_axis',(0.,-1.,0.)); #187032=DIRECTION('ref_axis',(-0.772346980989088,0.,0.635200866621765)); #187033=DIRECTION('center_axis',(0.,-1.,0.)); #187034=DIRECTION('ref_axis',(-0.0551937321796894,0.,0.998475664164168)); #187035=DIRECTION('center_axis',(0.,-1.,0.)); #187036=DIRECTION('ref_axis',(-0.0551937321796894,0.,0.998475664164168)); #187037=DIRECTION('',(0.,-1.,0.)); #187038=DIRECTION('center_axis',(0.,-1.,0.)); #187039=DIRECTION('ref_axis',(-0.0551937321796894,0.,0.998475664164168)); #187040=DIRECTION('center_axis',(0.,-1.,0.)); #187041=DIRECTION('ref_axis',(0.52964281197179,0.,0.848220780060602)); #187042=DIRECTION('center_axis',(0.,-1.,0.)); #187043=DIRECTION('ref_axis',(0.52964281197179,0.,0.848220780060602)); #187044=DIRECTION('',(0.,-1.,0.)); #187045=DIRECTION('center_axis',(0.,-1.,0.)); #187046=DIRECTION('ref_axis',(0.52964281197179,0.,0.848220780060602)); #187047=DIRECTION('center_axis',(0.,-1.,0.)); #187048=DIRECTION('ref_axis',(0.82976062746865,0.,-0.558119432651142)); #187049=DIRECTION('center_axis',(0.,-1.,0.)); #187050=DIRECTION('ref_axis',(0.82976062746865,0.,-0.558119432651142)); #187051=DIRECTION('',(0.,-1.,0.)); #187052=DIRECTION('center_axis',(0.,-1.,0.)); #187053=DIRECTION('ref_axis',(0.82976062746865,0.,-0.558119432651142)); #187054=DIRECTION('center_axis',(0.638202912532723,0.,-0.769868198092861)); #187055=DIRECTION('ref_axis',(0.769868198092861,0.,0.638202912532723)); #187056=DIRECTION('',(0.769868198092861,0.,0.638202912532723)); #187057=DIRECTION('',(0.,-1.,0.)); #187058=DIRECTION('',(0.769868198092861,0.,0.638202912532723)); #187059=DIRECTION('center_axis',(0.,0.,-1.)); #187060=DIRECTION('ref_axis',(1.,0.,0.)); #187061=DIRECTION('',(1.,0.,0.)); #187062=DIRECTION('',(0.,-1.,0.)); #187063=DIRECTION('',(1.,0.,0.)); #187064=DIRECTION('center_axis',(0.,-1.,0.)); #187065=DIRECTION('ref_axis',(0.995956025912047,0.,-0.089842052789776)); #187066=DIRECTION('center_axis',(0.,-1.,0.)); #187067=DIRECTION('ref_axis',(0.995956025912047,0.,-0.089842052789776)); #187068=DIRECTION('',(0.,-1.,0.)); #187069=DIRECTION('center_axis',(0.,-1.,0.)); #187070=DIRECTION('ref_axis',(0.995956025912047,0.,-0.089842052789776)); #187071=DIRECTION('center_axis',(0.983416385826673,0.,-0.181362102126116)); #187072=DIRECTION('ref_axis',(0.181362102126116,0.,0.983416385826673)); #187073=DIRECTION('',(0.181362102126116,0.,0.983416385826673)); #187074=DIRECTION('',(0.,-1.,0.)); #187075=DIRECTION('',(0.181362102126116,0.,0.983416385826673)); #187076=DIRECTION('center_axis',(0.938662397228777,0.,-0.344837503802482)); #187077=DIRECTION('ref_axis',(0.344837503802482,0.,0.938662397228777)); #187078=DIRECTION('',(0.344837503802482,0.,0.938662397228777)); #187079=DIRECTION('',(0.,-1.,0.)); #187080=DIRECTION('',(0.344837503802482,0.,0.938662397228777)); #187081=DIRECTION('center_axis',(0.891764093927244,0.,-0.452500608598621)); #187082=DIRECTION('ref_axis',(0.452500608598621,0.,0.891764093927244)); #187083=DIRECTION('',(0.452500608598621,0.,0.891764093927244)); #187084=DIRECTION('',(0.,-1.,0.)); #187085=DIRECTION('',(0.452500608598621,0.,0.891764093927244)); #187086=DIRECTION('center_axis',(0.849661245909945,0.,-0.52732889850525)); #187087=DIRECTION('ref_axis',(0.52732889850525,0.,0.849661245909945)); #187088=DIRECTION('',(0.52732889850525,0.,0.849661245909945)); #187089=DIRECTION('',(0.,-1.,0.)); #187090=DIRECTION('',(0.52732889850525,0.,0.849661245909945)); #187091=DIRECTION('center_axis',(0.80242161184927,0.,-0.596757536053982)); #187092=DIRECTION('ref_axis',(0.596757536053982,0.,0.80242161184927)); #187093=DIRECTION('',(0.596757536053982,0.,0.80242161184927)); #187094=DIRECTION('',(0.,-1.,0.)); #187095=DIRECTION('',(0.596757536053982,0.,0.80242161184927)); #187096=DIRECTION('center_axis',(0.754143038089311,0.,-0.656710193389309)); #187097=DIRECTION('ref_axis',(0.656710193389309,0.,0.754143038089311)); #187098=DIRECTION('',(0.656710193389309,0.,0.754143038089311)); #187099=DIRECTION('',(0.,-1.,0.)); #187100=DIRECTION('',(0.656710193389309,0.,0.754143038089311)); #187101=DIRECTION('center_axis',(0.706028289227801,0.,-0.708183630713154)); #187102=DIRECTION('ref_axis',(0.708183630713153,0.,0.706028289227801)); #187103=DIRECTION('',(0.708183630713153,0.,0.706028289227801)); #187104=DIRECTION('',(0.,-1.,0.)); #187105=DIRECTION('',(0.708183630713153,0.,0.706028289227801)); #187106=DIRECTION('center_axis',(0.,-1.,0.)); #187107=DIRECTION('ref_axis',(0.608471990268352,0.,-0.793575350586742)); #187108=DIRECTION('center_axis',(0.,-1.,0.)); #187109=DIRECTION('ref_axis',(0.608471990268352,0.,-0.793575350586742)); #187110=DIRECTION('',(0.,-1.,0.)); #187111=DIRECTION('center_axis',(0.,-1.,0.)); #187112=DIRECTION('ref_axis',(0.608471990268352,0.,-0.793575350586742)); #187113=DIRECTION('center_axis',(0.564081987741217,0.,-0.825718784518021)); #187114=DIRECTION('ref_axis',(0.825718784518021,0.,0.564081987741217)); #187115=DIRECTION('',(0.825718784518021,0.,0.564081987741217)); #187116=DIRECTION('',(0.,-1.,0.)); #187117=DIRECTION('',(0.825718784518021,0.,0.564081987741217)); #187118=DIRECTION('center_axis',(0.497469771614518,0.,-0.867481311804352)); #187119=DIRECTION('ref_axis',(0.867481311804352,0.,0.497469771614518)); #187120=DIRECTION('',(0.867481311804352,0.,0.497469771614518)); #187121=DIRECTION('',(0.,-1.,0.)); #187122=DIRECTION('',(0.867481311804352,0.,0.497469771614518)); #187123=DIRECTION('center_axis',(0.426687752663632,0.,-0.904399005819257)); #187124=DIRECTION('ref_axis',(0.904399005819257,0.,0.426687752663632)); #187125=DIRECTION('',(0.904399005819257,0.,0.426687752663632)); #187126=DIRECTION('',(0.,-1.,0.)); #187127=DIRECTION('',(0.904399005819257,0.,0.426687752663632)); #187128=DIRECTION('center_axis',(0.351882690150969,0.,-0.936044108133862)); #187129=DIRECTION('ref_axis',(0.936044108133862,0.,0.351882690150969)); #187130=DIRECTION('',(0.936044108133862,0.,0.351882690150969)); #187131=DIRECTION('',(0.,-1.,0.)); #187132=DIRECTION('',(0.936044108133862,0.,0.351882690150969)); #187133=DIRECTION('center_axis',(0.272958169175407,0.,-0.962025902915514)); #187134=DIRECTION('ref_axis',(0.962025902915514,0.,0.272958169175407)); #187135=DIRECTION('',(0.962025902915514,0.,0.272958169175407)); #187136=DIRECTION('',(0.,-1.,0.)); #187137=DIRECTION('',(0.962025902915514,0.,0.272958169175407)); #187138=DIRECTION('center_axis',(0.191431700547069,0.,-0.981505936826496)); #187139=DIRECTION('ref_axis',(0.981505936826496,0.,0.191431700547069)); #187140=DIRECTION('',(0.981505936826496,0.,0.191431700547069)); #187141=DIRECTION('',(0.,-1.,0.)); #187142=DIRECTION('',(0.981505936826496,0.,0.191431700547069)); #187143=DIRECTION('center_axis',(0.,-1.,0.)); #187144=DIRECTION('ref_axis',(-0.0492005745636432,0.,-0.998788918371949)); #187145=DIRECTION('center_axis',(0.,-1.,0.)); #187146=DIRECTION('ref_axis',(-0.0492005745636432,0.,-0.998788918371949)); #187147=DIRECTION('',(0.,-1.,0.)); #187148=DIRECTION('center_axis',(0.,-1.,0.)); #187149=DIRECTION('ref_axis',(-0.0492005745636432,0.,-0.998788918371949)); #187150=DIRECTION('center_axis',(-0.159560940034376,0.,-0.987188080567906)); #187151=DIRECTION('ref_axis',(0.987188080567906,0.,-0.159560940034376)); #187152=DIRECTION('',(0.987188080567906,0.,-0.159560940034376)); #187153=DIRECTION('',(0.,-1.,0.)); #187154=DIRECTION('',(0.987188080567906,0.,-0.159560940034376)); #187155=DIRECTION('center_axis',(-0.262603274622131,0.,-0.964903891668872)); #187156=DIRECTION('ref_axis',(0.964903891668872,0.,-0.262603274622131)); #187157=DIRECTION('',(0.964903891668872,0.,-0.262603274622131)); #187158=DIRECTION('',(0.,-1.,0.)); #187159=DIRECTION('',(0.964903891668872,0.,-0.262603274622131)); #187160=DIRECTION('center_axis',(-0.368927629910929,0.,-0.929458123794883)); #187161=DIRECTION('ref_axis',(0.929458123794883,0.,-0.368927629910929)); #187162=DIRECTION('',(0.929458123794883,0.,-0.368927629910929)); #187163=DIRECTION('',(0.,-1.,0.)); #187164=DIRECTION('',(0.929458123794883,0.,-0.368927629910929)); #187165=DIRECTION('center_axis',(-0.475210204148147,0.,-0.879872298616951)); #187166=DIRECTION('ref_axis',(0.879872298616951,0.,-0.475210204148147)); #187167=DIRECTION('',(0.879872298616951,0.,-0.475210204148147)); #187168=DIRECTION('',(0.,-1.,0.)); #187169=DIRECTION('',(0.879872298616951,0.,-0.475210204148147)); #187170=DIRECTION('center_axis',(-0.575660407445865,0.,-0.817688874388824)); #187171=DIRECTION('ref_axis',(0.817688874388823,0.,-0.575660407445865)); #187172=DIRECTION('',(0.817688874388823,0.,-0.575660407445865)); #187173=DIRECTION('',(0.,-1.,0.)); #187174=DIRECTION('',(0.817688874388823,0.,-0.575660407445865)); #187175=DIRECTION('center_axis',(-0.666835048400305,0.,-0.745205353057104)); #187176=DIRECTION('ref_axis',(0.745205353057104,0.,-0.666835048400305)); #187177=DIRECTION('',(0.745205353057104,0.,-0.666835048400305)); #187178=DIRECTION('',(0.,-1.,0.)); #187179=DIRECTION('',(0.745205353057104,0.,-0.666835048400305)); #187180=DIRECTION('center_axis',(-0.744253600159283,0.,-0.667897131787483)); #187181=DIRECTION('ref_axis',(0.667897131787483,0.,-0.744253600159283)); #187182=DIRECTION('',(0.667897131787483,0.,-0.744253600159283)); #187183=DIRECTION('',(0.,-1.,0.)); #187184=DIRECTION('',(0.667897131787483,0.,-0.744253600159283)); #187185=DIRECTION('center_axis',(-0.813506274199125,0.,-0.581556138166091)); #187186=DIRECTION('ref_axis',(0.581556138166091,0.,-0.813506274199125)); #187187=DIRECTION('',(0.581556138166091,0.,-0.813506274199125)); #187188=DIRECTION('',(0.,-1.,0.)); #187189=DIRECTION('',(0.581556138166091,0.,-0.813506274199125)); #187190=DIRECTION('center_axis',(0.,-1.,0.)); #187191=DIRECTION('ref_axis',(-0.931685052582393,0.,-0.36326706813933)); #187192=DIRECTION('center_axis',(0.,-1.,0.)); #187193=DIRECTION('ref_axis',(-0.931685052582393,0.,-0.36326706813933)); #187194=DIRECTION('',(0.,-1.,0.)); #187195=DIRECTION('center_axis',(0.,-1.,0.)); #187196=DIRECTION('ref_axis',(-0.931685052582393,0.,-0.36326706813933)); #187197=DIRECTION('center_axis',(-0.961164920427845,0.,-0.275974628795718)); #187198=DIRECTION('ref_axis',(0.275974628795718,0.,-0.961164920427845)); #187199=DIRECTION('',(0.275974628795718,0.,-0.961164920427845)); #187200=DIRECTION('',(0.,-1.,0.)); #187201=DIRECTION('',(0.275974628795718,0.,-0.961164920427845)); #187202=DIRECTION('center_axis',(0.,-1.,0.)); #187203=DIRECTION('ref_axis',(-0.993681334074157,0.,0.112238167806692)); #187204=DIRECTION('center_axis',(0.,-1.,0.)); #187205=DIRECTION('ref_axis',(-0.993681334074157,0.,0.112238167806692)); #187206=DIRECTION('',(0.,-1.,0.)); #187207=DIRECTION('center_axis',(0.,-1.,0.)); #187208=DIRECTION('ref_axis',(-0.993681334074157,0.,0.112238167806692)); #187209=DIRECTION('center_axis',(-0.986672579648669,0.,0.162718224453933)); #187210=DIRECTION('ref_axis',(-0.162718224453933,0.,-0.986672579648669)); #187211=DIRECTION('',(-0.162718224453933,0.,-0.986672579648669)); #187212=DIRECTION('',(0.,-1.,0.)); #187213=DIRECTION('',(-0.162718224453933,0.,-0.986672579648669)); #187214=DIRECTION('center_axis',(-0.970739163436166,0.,0.240136370779716)); #187215=DIRECTION('ref_axis',(-0.240136370779716,0.,-0.970739163436166)); #187216=DIRECTION('',(-0.240136370779716,0.,-0.970739163436166)); #187217=DIRECTION('',(0.,-1.,0.)); #187218=DIRECTION('',(-0.240136370779716,0.,-0.970739163436166)); #187219=DIRECTION('center_axis',(-0.944659692585973,0.,0.328051924553682)); #187220=DIRECTION('ref_axis',(-0.328051924553682,0.,-0.944659692585973)); #187221=DIRECTION('',(-0.328051924553682,0.,-0.944659692585973)); #187222=DIRECTION('',(0.,-1.,0.)); #187223=DIRECTION('',(-0.328051924553682,0.,-0.944659692585973)); #187224=DIRECTION('center_axis',(-0.90421754033063,0.,0.427072171603847)); #187225=DIRECTION('ref_axis',(-0.427072171603847,0.,-0.90421754033063)); #187226=DIRECTION('',(-0.427072171603847,0.,-0.90421754033063)); #187227=DIRECTION('',(0.,-1.,0.)); #187228=DIRECTION('',(-0.427072171603847,0.,-0.90421754033063)); #187229=DIRECTION('center_axis',(-0.844794286216815,0.,0.535091220237654)); #187230=DIRECTION('ref_axis',(-0.535091220237654,0.,-0.844794286216815)); #187231=DIRECTION('',(-0.535091220237654,0.,-0.844794286216815)); #187232=DIRECTION('',(0.,-1.,0.)); #187233=DIRECTION('',(-0.535091220237654,0.,-0.844794286216815)); #187234=DIRECTION('center_axis',(-0.765976146333813,0.,0.642868993845247)); #187235=DIRECTION('ref_axis',(-0.642868993845247,0.,-0.765976146333813)); #187236=DIRECTION('',(-0.642868993845247,0.,-0.765976146333813)); #187237=DIRECTION('',(0.,-1.,0.)); #187238=DIRECTION('',(-0.642868993845247,0.,-0.765976146333813)); #187239=DIRECTION('center_axis',(-0.689421749866119,0.,0.724360166499745)); #187240=DIRECTION('ref_axis',(-0.724360166499745,0.,-0.689421749866119)); #187241=DIRECTION('',(-0.724360166499745,0.,-0.689421749866119)); #187242=DIRECTION('',(0.,-1.,0.)); #187243=DIRECTION('',(-0.724360166499745,0.,-0.689421749866119)); #187244=DIRECTION('center_axis',(-0.606586058888513,0.,0.795017831977436)); #187245=DIRECTION('ref_axis',(-0.795017831977436,0.,-0.606586058888513)); #187246=DIRECTION('',(-0.795017831977436,0.,-0.606586058888513)); #187247=DIRECTION('',(0.,-1.,0.)); #187248=DIRECTION('',(-0.795017831977436,0.,-0.606586058888513)); #187249=DIRECTION('center_axis',(-0.513951902619882,0.,0.857819002933255)); #187250=DIRECTION('ref_axis',(-0.857819002933254,0.,-0.513951902619882)); #187251=DIRECTION('',(-0.857819002933254,0.,-0.513951902619882)); #187252=DIRECTION('',(0.,-1.,0.)); #187253=DIRECTION('',(-0.857819002933254,0.,-0.513951902619882)); #187254=DIRECTION('center_axis',(-0.41404705159258,0.,0.910255480108465)); #187255=DIRECTION('ref_axis',(-0.910255480108465,0.,-0.41404705159258)); #187256=DIRECTION('',(-0.910255480108465,0.,-0.41404705159258)); #187257=DIRECTION('',(0.,-1.,0.)); #187258=DIRECTION('',(-0.910255480108465,0.,-0.41404705159258)); #187259=DIRECTION('center_axis',(-0.309479998961421,0.,0.950905952364817)); #187260=DIRECTION('ref_axis',(-0.950905952364817,0.,-0.309479998961421)); #187261=DIRECTION('',(-0.950905952364817,0.,-0.309479998961421)); #187262=DIRECTION('',(0.,-1.,0.)); #187263=DIRECTION('',(-0.950905952364817,0.,-0.309479998961421)); #187264=DIRECTION('center_axis',(-0.205512936629049,0.,0.978654399100165)); #187265=DIRECTION('ref_axis',(-0.978654399100165,0.,-0.205512936629049)); #187266=DIRECTION('',(-0.978654399100165,0.,-0.205512936629049)); #187267=DIRECTION('',(0.,-1.,0.)); #187268=DIRECTION('',(-0.978654399100165,0.,-0.205512936629049)); #187269=DIRECTION('center_axis',(-0.1045260849057,0.,0.994522145341312)); #187270=DIRECTION('ref_axis',(-0.994522145341312,0.,-0.1045260849057)); #187271=DIRECTION('',(-0.994522145341312,0.,-0.1045260849057)); #187272=DIRECTION('',(0.,-1.,0.)); #187273=DIRECTION('',(-0.994522145341312,0.,-0.1045260849057)); #187274=DIRECTION('center_axis',(0.,-1.,0.)); #187275=DIRECTION('ref_axis',(0.0829994323076518,0.,0.99654959446914)); #187276=DIRECTION('center_axis',(0.,-1.,0.)); #187277=DIRECTION('ref_axis',(0.0829994323076518,0.,0.99654959446914)); #187278=DIRECTION('',(0.,-1.,0.)); #187279=DIRECTION('center_axis',(0.,-1.,0.)); #187280=DIRECTION('ref_axis',(0.0829994323076518,0.,0.99654959446914)); #187281=DIRECTION('center_axis',(0.,-1.,0.)); #187282=DIRECTION('ref_axis',(0.376035501769817,0.,0.926605256519043)); #187283=DIRECTION('center_axis',(0.,-1.,0.)); #187284=DIRECTION('ref_axis',(0.376035501769817,0.,0.926605256519043)); #187285=DIRECTION('',(0.,-1.,0.)); #187286=DIRECTION('center_axis',(0.,-1.,0.)); #187287=DIRECTION('ref_axis',(0.376035501769817,0.,0.926605256519043)); #187288=DIRECTION('center_axis',(0.497015318802597,0.,0.867741766238985)); #187289=DIRECTION('ref_axis',(-0.867741766238985,0.,0.497015318802597)); #187290=DIRECTION('',(-0.867741766238985,0.,0.497015318802597)); #187291=DIRECTION('',(0.,-1.,0.)); #187292=DIRECTION('',(-0.867741766238985,0.,0.497015318802597)); #187293=DIRECTION('center_axis',(0.595144637561154,0.,0.803618603805439)); #187294=DIRECTION('ref_axis',(-0.803618603805439,0.,0.595144637561154)); #187295=DIRECTION('',(-0.803618603805439,0.,0.595144637561154)); #187296=DIRECTION('',(0.,-1.,0.)); #187297=DIRECTION('',(-0.803618603805439,0.,0.595144637561154)); #187298=DIRECTION('center_axis',(0.682989204409597,0.,0.730428467859752)); #187299=DIRECTION('ref_axis',(-0.730428467859752,0.,0.682989204409597)); #187300=DIRECTION('',(-0.730428467859752,0.,0.682989204409597)); #187301=DIRECTION('',(0.,-1.,0.)); #187302=DIRECTION('',(-0.730428467859752,0.,0.682989204409597)); #187303=DIRECTION('center_axis',(0.75612913591711,0.,0.654422439879046)); #187304=DIRECTION('ref_axis',(-0.654422439879046,0.,0.75612913591711)); #187305=DIRECTION('',(-0.654422439879046,0.,0.75612913591711)); #187306=DIRECTION('',(0.,-1.,0.)); #187307=DIRECTION('',(-0.654422439879046,0.,0.75612913591711)); #187308=DIRECTION('center_axis',(0.830384543879989,0.,0.557190729719387)); #187309=DIRECTION('ref_axis',(-0.557190729719387,0.,0.830384543879989)); #187310=DIRECTION('',(-0.557190729719387,0.,0.830384543879989)); #187311=DIRECTION('',(0.,-1.,0.)); #187312=DIRECTION('',(-0.557190729719387,0.,0.830384543879989)); #187313=DIRECTION('center_axis',(0.,-1.,0.)); #187314=DIRECTION('ref_axis',(0.939021100171669,0.,0.343859525725823)); #187315=DIRECTION('center_axis',(0.,-1.,0.)); #187316=DIRECTION('ref_axis',(0.939021100171669,0.,0.343859525725823)); #187317=DIRECTION('',(0.,-1.,0.)); #187318=DIRECTION('center_axis',(0.,-1.,0.)); #187319=DIRECTION('ref_axis',(0.939021100171669,0.,0.343859525725823)); #187320=DIRECTION('center_axis',(0.966429143710704,0.,0.256933279640056)); #187321=DIRECTION('ref_axis',(-0.256933279640056,0.,0.966429143710704)); #187322=DIRECTION('',(-0.256933279640056,0.,0.966429143710704)); #187323=DIRECTION('',(0.,-1.,0.)); #187324=DIRECTION('',(-0.256933279640056,0.,0.966429143710704)); #187325=DIRECTION('center_axis',(0.,-1.,0.)); #187326=DIRECTION('ref_axis',(0.999545337952112,0.,0.0301515733950614)); #187327=DIRECTION('center_axis',(0.,-1.,0.)); #187328=DIRECTION('ref_axis',(0.999545337952112,0.,0.0301515733950614)); #187329=DIRECTION('',(0.,-1.,0.)); #187330=DIRECTION('center_axis',(0.,-1.,0.)); #187331=DIRECTION('ref_axis',(0.999545337952112,0.,0.0301515733950614)); #187332=DIRECTION('center_axis',(0.999999976576525,0.,0.000216441562382183)); #187333=DIRECTION('ref_axis',(-0.000216441562382183,0.,0.999999976576525)); #187334=DIRECTION('',(-0.000216441562382183,0.,0.999999976576525)); #187335=DIRECTION('',(0.,-1.,0.)); #187336=DIRECTION('',(-0.000216441562382183,0.,0.999999976576525)); #187337=DIRECTION('center_axis',(0.,0.,1.)); #187338=DIRECTION('ref_axis',(-1.,0.,0.)); #187339=DIRECTION('',(-1.,0.,0.)); #187340=DIRECTION('',(0.,-1.,0.)); #187341=DIRECTION('',(-1.,0.,0.)); #187342=DIRECTION('center_axis',(0.,-1.,0.)); #187343=DIRECTION('ref_axis',(0.99667293141816,0.,-0.0815050168905723)); #187344=DIRECTION('center_axis',(0.,1.,0.)); #187345=DIRECTION('ref_axis',(0.99667293141816,0.,-0.0815050168905723)); #187346=DIRECTION('',(0.,-1.,0.)); #187347=DIRECTION('center_axis',(0.,1.,0.)); #187348=DIRECTION('ref_axis',(0.99667293141816,0.,-0.0815050168905723)); #187349=DIRECTION('center_axis',(0.,-1.,0.)); #187350=DIRECTION('ref_axis',(0.757202323421681,0.,0.653180404945531)); #187351=DIRECTION('center_axis',(0.,1.,0.)); #187352=DIRECTION('ref_axis',(0.757202323421681,0.,0.653180404945531)); #187353=DIRECTION('center_axis',(0.,1.,0.)); #187354=DIRECTION('ref_axis',(0.757202323421681,0.,0.653180404945531)); #187355=DIRECTION('center_axis',(0.,1.,0.)); #187356=DIRECTION('ref_axis',(1.,0.,0.)); #187357=DIRECTION('center_axis',(1.,0.,0.)); #187358=DIRECTION('ref_axis',(0.,0.,1.)); #187359=DIRECTION('',(0.,0.,1.)); #187360=DIRECTION('',(0.,-1.,0.)); #187361=DIRECTION('',(0.,0.,1.)); #187362=DIRECTION('',(0.,-1.,0.)); #187363=DIRECTION('center_axis',(0.,0.,-1.)); #187364=DIRECTION('ref_axis',(1.,0.,0.)); #187365=DIRECTION('',(1.,0.,0.)); #187366=DIRECTION('',(0.,-1.,0.)); #187367=DIRECTION('',(1.,0.,0.)); #187368=DIRECTION('center_axis',(-1.,0.,0.)); #187369=DIRECTION('ref_axis',(0.,0.,-1.)); #187370=DIRECTION('',(0.,0.,-1.)); #187371=DIRECTION('',(0.,-1.,0.)); #187372=DIRECTION('',(0.,0.,-1.)); #187373=DIRECTION('center_axis',(0.,0.,1.)); #187374=DIRECTION('ref_axis',(-1.,0.,0.)); #187375=DIRECTION('',(-1.,0.,0.)); #187376=DIRECTION('',(-1.,0.,0.)); #187377=DIRECTION('center_axis',(0.,-1.,0.)); #187378=DIRECTION('ref_axis',(0.,0.,-1.)); #187379=DIRECTION('center_axis',(3.98791032854884E-5,0.,-0.999999999204829)); #187380=DIRECTION('ref_axis',(0.999999999204829,0.,3.98791032854884E-5)); #187381=DIRECTION('',(0.999999999204829,0.,3.98791032854884E-5)); #187382=DIRECTION('',(0.,-1.,0.)); #187383=DIRECTION('',(0.999999999204829,0.,3.98791032854884E-5)); #187384=DIRECTION('',(0.,-1.,0.)); #187385=DIRECTION('center_axis',(-2.05061788143684E-5,0.,-0.999999999789748)); #187386=DIRECTION('ref_axis',(0.999999999789748,0.,-2.05061788143684E-5)); #187387=DIRECTION('',(0.999999999789748,0.,-2.05061788143684E-5)); #187388=DIRECTION('',(0.,-1.,0.)); #187389=DIRECTION('',(0.999999999789748,0.,-2.05061788143684E-5)); #187390=DIRECTION('center_axis',(0.,0.,-1.)); #187391=DIRECTION('ref_axis',(1.,0.,0.)); #187392=DIRECTION('',(1.,0.,0.)); #187393=DIRECTION('',(0.,-1.,0.)); #187394=DIRECTION('',(1.,0.,0.)); #187395=DIRECTION('center_axis',(0.999980150681072,0.,-0.00630065424073375)); #187396=DIRECTION('ref_axis',(0.00630065424073375,0.,0.999980150681072)); #187397=DIRECTION('',(0.00630065424073375,0.,0.999980150681072)); #187398=DIRECTION('',(0.,-1.,0.)); #187399=DIRECTION('',(0.00630065424073375,0.,0.999980150681072)); #187400=DIRECTION('center_axis',(1.,0.,0.)); #187401=DIRECTION('ref_axis',(0.,0.,1.)); #187402=DIRECTION('',(0.,0.,1.)); #187403=DIRECTION('',(0.,-1.,0.)); #187404=DIRECTION('',(0.,0.,1.)); #187405=DIRECTION('center_axis',(0.,-1.,0.)); #187406=DIRECTION('ref_axis',(-0.729798081724264,0.,-0.683662753052691)); #187407=DIRECTION('center_axis',(0.,1.,0.)); #187408=DIRECTION('ref_axis',(-0.729798081724264,0.,-0.683662753052691)); #187409=DIRECTION('',(0.,-1.,0.)); #187410=DIRECTION('center_axis',(0.,1.,0.)); #187411=DIRECTION('ref_axis',(-0.729798081724264,0.,-0.683662753052691)); #187412=DIRECTION('center_axis',(0.,-1.,0.)); #187413=DIRECTION('ref_axis',(0.494166187506094,0.,-0.869367459205652)); #187414=DIRECTION('center_axis',(0.,1.,0.)); #187415=DIRECTION('ref_axis',(0.494166187506094,0.,-0.869367459205652)); #187416=DIRECTION('',(0.,-1.,0.)); #187417=DIRECTION('center_axis',(0.,1.,0.)); #187418=DIRECTION('ref_axis',(0.494166187506094,0.,-0.869367459205652)); #187419=DIRECTION('center_axis',(0.,-1.,0.)); #187420=DIRECTION('ref_axis',(0.971823263199831,0.,0.235710723353079)); #187421=DIRECTION('center_axis',(0.,1.,0.)); #187422=DIRECTION('ref_axis',(0.971823263199831,0.,0.235710723353079)); #187423=DIRECTION('',(0.,-1.,0.)); #187424=DIRECTION('center_axis',(0.,1.,0.)); #187425=DIRECTION('ref_axis',(0.971823263199831,0.,0.235710723353079)); #187426=DIRECTION('center_axis',(-1.,0.,0.)); #187427=DIRECTION('ref_axis',(0.,0.,-1.)); #187428=DIRECTION('',(0.,0.,-1.)); #187429=DIRECTION('',(0.,-1.,0.)); #187430=DIRECTION('',(0.,0.,-1.)); #187431=DIRECTION('center_axis',(0.,0.,-1.)); #187432=DIRECTION('ref_axis',(1.,0.,0.)); #187433=DIRECTION('',(1.,0.,0.)); #187434=DIRECTION('',(1.,0.,0.)); #187435=DIRECTION('center_axis',(-0.448438658946705,0.,0.893813609855031)); #187436=DIRECTION('ref_axis',(-0.893813609855031,0.,-0.448438658946705)); #187437=DIRECTION('',(-0.893813609855031,0.,-0.448438658946705)); #187438=DIRECTION('',(0.,-1.,0.)); #187439=DIRECTION('',(-0.89381360985503,0.,-0.448438658946705)); #187440=DIRECTION('',(0.,-1.,0.)); #187441=DIRECTION('center_axis',(-0.341201153427649,0.,0.939990304683852)); #187442=DIRECTION('ref_axis',(-0.939990304683852,0.,-0.341201153427649)); #187443=DIRECTION('',(-0.939990304683852,0.,-0.341201153427649)); #187444=DIRECTION('',(0.,-1.,0.)); #187445=DIRECTION('',(-0.939990304683852,0.,-0.341201153427649)); #187446=DIRECTION('center_axis',(-0.235543245454789,0.,0.971863868821465)); #187447=DIRECTION('ref_axis',(-0.971863868821465,0.,-0.235543245454789)); #187448=DIRECTION('',(-0.971863868821465,0.,-0.235543245454789)); #187449=DIRECTION('',(0.,-1.,0.)); #187450=DIRECTION('',(-0.971863868821465,0.,-0.235543245454789)); #187451=DIRECTION('center_axis',(-0.133382749837917,0.,0.99106460033929)); #187452=DIRECTION('ref_axis',(-0.991064600339289,0.,-0.133382749837917)); #187453=DIRECTION('',(-0.991064600339289,0.,-0.133382749837917)); #187454=DIRECTION('',(0.,-1.,0.)); #187455=DIRECTION('',(-0.991064600339289,0.,-0.133382749837917)); #187456=DIRECTION('center_axis',(0.,-1.,0.)); #187457=DIRECTION('ref_axis',(0.060282713958766,0.,0.998181343443047)); #187458=DIRECTION('center_axis',(0.,-1.,0.)); #187459=DIRECTION('ref_axis',(0.060282713958766,0.,0.998181343443047)); #187460=DIRECTION('',(0.,-1.,0.)); #187461=DIRECTION('center_axis',(0.,-1.,0.)); #187462=DIRECTION('ref_axis',(0.060282713958766,0.,0.998181343443047)); #187463=DIRECTION('center_axis',(0.151543557407126,0.,0.98845058055949)); #187464=DIRECTION('ref_axis',(-0.98845058055949,0.,0.151543557407126)); #187465=DIRECTION('',(-0.98845058055949,0.,0.151543557407126)); #187466=DIRECTION('',(0.,-1.,0.)); #187467=DIRECTION('',(-0.98845058055949,0.,0.151543557407126)); #187468=DIRECTION('center_axis',(0.254095168162566,0.,0.967179220990834)); #187469=DIRECTION('ref_axis',(-0.967179220990835,0.,0.254095168162566)); #187470=DIRECTION('',(-0.967179220990835,0.,0.254095168162566)); #187471=DIRECTION('',(0.,-1.,0.)); #187472=DIRECTION('',(-0.967179220990834,0.,0.254095168162566)); #187473=DIRECTION('center_axis',(0.360174857513048,0.,0.932884811761589)); #187474=DIRECTION('ref_axis',(-0.932884811761589,0.,0.360174857513048)); #187475=DIRECTION('',(-0.932884811761589,0.,0.360174857513048)); #187476=DIRECTION('',(0.,-1.,0.)); #187477=DIRECTION('',(-0.932884811761589,0.,0.360174857513048)); #187478=DIRECTION('center_axis',(0.465875614407383,0.,0.884850220037574)); #187479=DIRECTION('ref_axis',(-0.884850220037574,0.,0.465875614407383)); #187480=DIRECTION('',(-0.884850220037574,0.,0.465875614407383)); #187481=DIRECTION('',(0.,-1.,0.)); #187482=DIRECTION('',(-0.884850220037574,0.,0.465875614407383)); #187483=DIRECTION('center_axis',(0.565760081796072,0.,0.824569905978931)); #187484=DIRECTION('ref_axis',(-0.82456990597893,0.,0.565760081796072)); #187485=DIRECTION('',(-0.82456990597893,0.,0.565760081796072)); #187486=DIRECTION('',(0.,-1.,0.)); #187487=DIRECTION('',(-0.82456990597893,0.,0.565760081796072)); #187488=DIRECTION('center_axis',(0.657321045625882,0.,0.753610670689646)); #187489=DIRECTION('ref_axis',(-0.753610670689646,0.,0.657321045625882)); #187490=DIRECTION('',(-0.753610670689646,0.,0.657321045625882)); #187491=DIRECTION('',(0.,-1.,0.)); #187492=DIRECTION('',(-0.753610670689646,0.,0.657321045625882)); #187493=DIRECTION('center_axis',(0.735134934320998,0.,0.677920812736165)); #187494=DIRECTION('ref_axis',(-0.677920812736165,0.,0.735134934320998)); #187495=DIRECTION('',(-0.677920812736165,0.,0.735134934320998)); #187496=DIRECTION('',(0.,-1.,0.)); #187497=DIRECTION('',(-0.677920812736165,0.,0.735134934320998)); #187498=DIRECTION('center_axis',(0.806067967002836,0.,0.591822974014963)); #187499=DIRECTION('ref_axis',(-0.591822974014963,0.,0.806067967002836)); #187500=DIRECTION('',(-0.591822974014963,0.,0.806067967002836)); #187501=DIRECTION('',(0.,-1.,0.)); #187502=DIRECTION('',(-0.591822974014963,0.,0.806067967002836)); #187503=DIRECTION('center_axis',(0.,-1.,0.)); #187504=DIRECTION('ref_axis',(0.930808690119731,0.,0.365506747403644)); #187505=DIRECTION('center_axis',(0.,-1.,0.)); #187506=DIRECTION('ref_axis',(0.930808690119731,0.,0.365506747403644)); #187507=DIRECTION('',(0.,-1.,0.)); #187508=DIRECTION('center_axis',(0.,-1.,0.)); #187509=DIRECTION('ref_axis',(0.930808690119731,0.,0.365506747403644)); #187510=DIRECTION('center_axis',(0.960307758706451,0.,0.278942661793053)); #187511=DIRECTION('ref_axis',(-0.278942661793053,0.,0.960307758706451)); #187512=DIRECTION('',(-0.278942661793053,0.,0.960307758706451)); #187513=DIRECTION('',(0.,-1.,0.)); #187514=DIRECTION('',(-0.278942661793053,0.,0.960307758706451)); #187515=DIRECTION('center_axis',(0.980499829236091,0.,0.196519935039671)); #187516=DIRECTION('ref_axis',(-0.196519935039671,0.,0.980499829236091)); #187517=DIRECTION('',(-0.196519935039671,0.,0.980499829236091)); #187518=DIRECTION('',(0.,-1.,0.)); #187519=DIRECTION('',(-0.196519935039671,0.,0.980499829236091)); #187520=DIRECTION('center_axis',(0.992087212472631,0.,0.125550638581748)); #187521=DIRECTION('ref_axis',(-0.125550638581748,0.,0.992087212472631)); #187522=DIRECTION('',(-0.125550638581748,0.,0.992087212472631)); #187523=DIRECTION('',(0.,-1.,0.)); #187524=DIRECTION('',(-0.125550638581748,0.,0.992087212472631)); #187525=DIRECTION('center_axis',(0.997868374737981,0.,0.0652587672100844)); #187526=DIRECTION('ref_axis',(-0.0652587672100844,0.,0.997868374737981)); #187527=DIRECTION('',(-0.0652587672100844,0.,0.997868374737981)); #187528=DIRECTION('',(0.,-1.,0.)); #187529=DIRECTION('',(-0.0652587672100844,0.,0.997868374737981)); #187530=DIRECTION('center_axis',(0.999837871161352,0.,0.018006426395466)); #187531=DIRECTION('ref_axis',(-0.018006426395466,0.,0.999837871161352)); #187532=DIRECTION('',(-0.018006426395466,0.,0.999837871161352)); #187533=DIRECTION('',(0.,-1.,0.)); #187534=DIRECTION('',(-0.018006426395466,0.,0.999837871161352)); #187535=DIRECTION('center_axis',(1.,0.,0.)); #187536=DIRECTION('ref_axis',(0.,0.,1.)); #187537=DIRECTION('',(0.,0.,1.)); #187538=DIRECTION('',(0.,-1.,0.)); #187539=DIRECTION('',(0.,0.,1.)); #187540=DIRECTION('center_axis',(0.100153636101092,0.,0.994971984116)); #187541=DIRECTION('ref_axis',(-0.994971984116,0.,0.100153636101092)); #187542=DIRECTION('',(-0.994971984116,0.,0.100153636101092)); #187543=DIRECTION('',(0.,-1.,0.)); #187544=DIRECTION('',(-0.994971984116,0.,0.100153636101092)); #187545=DIRECTION('center_axis',(-2.21917287782775E-5,0.,0.999999999753764)); #187546=DIRECTION('ref_axis',(-0.999999999753764,0.,-2.21917287782775E-5)); #187547=DIRECTION('',(-0.999999999753764,0.,-2.21917287782775E-5)); #187548=DIRECTION('',(0.,-1.,0.)); #187549=DIRECTION('',(-0.999999999753764,0.,-2.21917287782775E-5)); #187550=DIRECTION('center_axis',(0.,-1.,0.)); #187551=DIRECTION('ref_axis',(-0.999095504869683,0.,0.0425226075069957)); #187552=DIRECTION('center_axis',(0.,-1.,0.)); #187553=DIRECTION('ref_axis',(-0.999095504869683,0.,0.0425226075069957)); #187554=DIRECTION('',(0.,-1.,0.)); #187555=DIRECTION('center_axis',(0.,-1.,0.)); #187556=DIRECTION('ref_axis',(-0.999095504869683,0.,0.0425226075069957)); #187557=DIRECTION('center_axis',(-0.994947324177865,0.,0.10039831727328)); #187558=DIRECTION('ref_axis',(-0.10039831727328,0.,-0.994947324177865)); #187559=DIRECTION('',(-0.10039831727328,0.,-0.994947324177865)); #187560=DIRECTION('',(0.,-1.,0.)); #187561=DIRECTION('',(-0.10039831727328,0.,-0.994947324177865)); #187562=DIRECTION('center_axis',(-0.985907396142165,0.,0.167291978983381)); #187563=DIRECTION('ref_axis',(-0.167291978983381,0.,-0.985907396142165)); #187564=DIRECTION('',(-0.167291978983381,0.,-0.985907396142165)); #187565=DIRECTION('',(0.,-1.,0.)); #187566=DIRECTION('',(-0.167291978983381,0.,-0.985907396142165)); #187567=DIRECTION('center_axis',(0.,-1.,0.)); #187568=DIRECTION('ref_axis',(-0.943492779474319,0.,0.331393082426029)); #187569=DIRECTION('center_axis',(0.,-1.,0.)); #187570=DIRECTION('ref_axis',(-0.943492779474319,0.,0.331393082426029)); #187571=DIRECTION('',(0.,-1.,0.)); #187572=DIRECTION('center_axis',(0.,-1.,0.)); #187573=DIRECTION('ref_axis',(-0.943492779474319,0.,0.331393082426029)); #187574=DIRECTION('center_axis',(-0.900288187402535,0.,0.435294359742298)); #187575=DIRECTION('ref_axis',(-0.435294359742298,0.,-0.900288187402535)); #187576=DIRECTION('',(-0.435294359742298,0.,-0.900288187402535)); #187577=DIRECTION('',(0.,-1.,0.)); #187578=DIRECTION('',(-0.435294359742298,0.,-0.900288187402535)); #187579=DIRECTION('center_axis',(-0.839030661235607,0.,0.544084138260381)); #187580=DIRECTION('ref_axis',(-0.544084138260381,0.,-0.839030661235607)); #187581=DIRECTION('',(-0.544084138260381,0.,-0.839030661235607)); #187582=DIRECTION('',(0.,-1.,0.)); #187583=DIRECTION('',(-0.544084138260381,0.,-0.839030661235607)); #187584=DIRECTION('center_axis',(0.,-1.,0.)); #187585=DIRECTION('ref_axis',(-0.696279709116843,0.,0.717770552943045)); #187586=DIRECTION('center_axis',(0.,-1.,0.)); #187587=DIRECTION('ref_axis',(-0.696279709116843,0.,0.717770552943045)); #187588=DIRECTION('',(0.,-1.,0.)); #187589=DIRECTION('center_axis',(0.,-1.,0.)); #187590=DIRECTION('ref_axis',(-0.696279709116843,0.,0.717770552943045)); #187591=DIRECTION('center_axis',(-0.606295284361717,0.,0.795239604245629)); #187592=DIRECTION('ref_axis',(-0.795239604245629,0.,-0.606295284361717)); #187593=DIRECTION('',(-0.795239604245629,0.,-0.606295284361717)); #187594=DIRECTION('',(0.,-1.,0.)); #187595=DIRECTION('',(-0.795239604245629,0.,-0.606295284361717)); #187596=DIRECTION('center_axis',(-0.509330018992321,0.,0.860571282203446)); #187597=DIRECTION('ref_axis',(-0.860571282203445,0.,-0.509330018992321)); #187598=DIRECTION('',(-0.860571282203445,0.,-0.509330018992321)); #187599=DIRECTION('',(0.,-1.,0.)); #187600=DIRECTION('',(-0.860571282203445,0.,-0.509330018992321)); #187601=DIRECTION('center_axis',(-0.405844779056829,0.,0.913942019666627)); #187602=DIRECTION('ref_axis',(-0.913942019666627,0.,-0.405844779056829)); #187603=DIRECTION('',(-0.913942019666627,0.,-0.405844779056829)); #187604=DIRECTION('',(0.,-1.,0.)); #187605=DIRECTION('',(-0.913942019666627,0.,-0.405844779056829)); #187606=DIRECTION('center_axis',(0.,-1.,0.)); #187607=DIRECTION('ref_axis',(-0.191374865381831,0.,0.981517020178502)); #187608=DIRECTION('center_axis',(0.,-1.,0.)); #187609=DIRECTION('ref_axis',(-0.191374865381831,0.,0.981517020178502)); #187610=DIRECTION('',(0.,-1.,0.)); #187611=DIRECTION('center_axis',(0.,-1.,0.)); #187612=DIRECTION('ref_axis',(-0.191374865381831,0.,0.981517020178502)); #187613=DIRECTION('center_axis',(0.,-1.,0.)); #187614=DIRECTION('ref_axis',(0.000652482153960132,0.,0.999999787133497)); #187615=DIRECTION('center_axis',(0.,-1.,0.)); #187616=DIRECTION('ref_axis',(0.000652482153960132,0.,0.999999787133497)); #187617=DIRECTION('',(0.,-1.,0.)); #187618=DIRECTION('center_axis',(0.,-1.,0.)); #187619=DIRECTION('ref_axis',(0.000652482153960132,0.,0.999999787133497)); #187620=DIRECTION('center_axis',(0.0957852260673372,0.,0.99540202454447)); #187621=DIRECTION('ref_axis',(-0.99540202454447,0.,0.0957852260673372)); #187622=DIRECTION('',(-0.99540202454447,0.,0.0957852260673372)); #187623=DIRECTION('',(0.,-1.,0.)); #187624=DIRECTION('',(-0.99540202454447,0.,0.0957852260673372)); #187625=DIRECTION('center_axis',(0.198713550599137,0.,0.980057613004605)); #187626=DIRECTION('ref_axis',(-0.980057613004605,0.,0.198713550599137)); #187627=DIRECTION('',(-0.980057613004605,0.,0.198713550599137)); #187628=DIRECTION('',(0.,-1.,0.)); #187629=DIRECTION('',(-0.980057613004605,0.,0.198713550599137)); #187630=DIRECTION('center_axis',(0.304560032044144,0.,0.952493142695143)); #187631=DIRECTION('ref_axis',(-0.952493142695143,0.,0.304560032044144)); #187632=DIRECTION('',(-0.952493142695143,0.,0.304560032044144)); #187633=DIRECTION('',(0.,-1.,0.)); #187634=DIRECTION('',(-0.952493142695143,0.,0.304560032044144)); #187635=DIRECTION('center_axis',(0.408434898846575,0.,0.912787452479595)); #187636=DIRECTION('ref_axis',(-0.912787452479595,0.,0.408434898846575)); #187637=DIRECTION('',(-0.912787452479595,0.,0.408434898846575)); #187638=DIRECTION('',(0.,-1.,0.)); #187639=DIRECTION('',(-0.912787452479595,0.,0.408434898846575)); #187640=DIRECTION('center_axis',(0.506022669664798,0.,0.862520178190233)); #187641=DIRECTION('ref_axis',(-0.862520178190233,0.,0.506022669664798)); #187642=DIRECTION('',(-0.862520178190233,0.,0.506022669664798)); #187643=DIRECTION('',(0.,-1.,0.)); #187644=DIRECTION('',(-0.862520178190233,0.,0.506022669664798)); #187645=DIRECTION('center_axis',(0.595004368629795,0.,0.803722465351976)); #187646=DIRECTION('ref_axis',(-0.803722465351976,0.,0.595004368629795)); #187647=DIRECTION('',(-0.803722465351976,0.,0.595004368629795)); #187648=DIRECTION('',(0.,-1.,0.)); #187649=DIRECTION('',(-0.803722465351976,0.,0.595004368629795)); #187650=DIRECTION('center_axis',(0.671923797613865,0.,0.74062028746191)); #187651=DIRECTION('ref_axis',(-0.74062028746191,0.,0.671923797613865)); #187652=DIRECTION('',(-0.74062028746191,0.,0.671923797613865)); #187653=DIRECTION('',(0.,-1.,0.)); #187654=DIRECTION('',(-0.74062028746191,0.,0.671923797613865)); #187655=DIRECTION('center_axis',(0.74911480755215,0.,0.662440189833094)); #187656=DIRECTION('ref_axis',(-0.662440189833094,0.,0.749114807552149)); #187657=DIRECTION('',(-0.662440189833094,0.,0.749114807552149)); #187658=DIRECTION('',(0.,-1.,0.)); #187659=DIRECTION('',(-0.662440189833094,0.,0.74911480755215)); #187660=DIRECTION('center_axis',(0.,-1.,0.)); #187661=DIRECTION('ref_axis',(0.895905644242223,0.,0.444244388388787)); #187662=DIRECTION('center_axis',(0.,-1.,0.)); #187663=DIRECTION('ref_axis',(0.895905644242223,0.,0.444244388388787)); #187664=DIRECTION('',(0.,-1.,0.)); #187665=DIRECTION('center_axis',(0.,-1.,0.)); #187666=DIRECTION('ref_axis',(0.895905644242223,0.,0.444244388388787)); #187667=DIRECTION('center_axis',(0.938294391156899,0.,0.345837585469688)); #187668=DIRECTION('ref_axis',(-0.345837585469688,0.,0.938294391156899)); #187669=DIRECTION('',(-0.345837585469688,0.,0.938294391156899)); #187670=DIRECTION('',(0.,-1.,0.)); #187671=DIRECTION('',(-0.345837585469688,0.,0.938294391156899)); #187672=DIRECTION('center_axis',(0.,-1.,0.)); #187673=DIRECTION('ref_axis',(0.987154011492673,0.,0.159771579431146)); #187674=DIRECTION('center_axis',(0.,-1.,0.)); #187675=DIRECTION('ref_axis',(0.987154011492673,0.,0.159771579431146)); #187676=DIRECTION('',(0.,-1.,0.)); #187677=DIRECTION('center_axis',(0.,-1.,0.)); #187678=DIRECTION('ref_axis',(0.987154011492673,0.,0.159771579431146)); #187679=DIRECTION('center_axis',(0.,-1.,0.)); #187680=DIRECTION('ref_axis',(0.997060231698086,0.,-0.0766217617009665)); #187681=DIRECTION('center_axis',(0.,-1.,0.)); #187682=DIRECTION('ref_axis',(0.997060231698086,0.,-0.0766217617009665)); #187683=DIRECTION('',(0.,-1.,0.)); #187684=DIRECTION('center_axis',(0.,-1.,0.)); #187685=DIRECTION('ref_axis',(0.997060231698086,0.,-0.0766217617009665)); #187686=DIRECTION('center_axis',(0.,-1.,0.)); #187687=DIRECTION('ref_axis',(0.979267790046306,0.,-0.202569976496577)); #187688=DIRECTION('center_axis',(0.,-1.,0.)); #187689=DIRECTION('ref_axis',(0.979267790046306,0.,-0.202569976496577)); #187690=DIRECTION('',(0.,-1.,0.)); #187691=DIRECTION('center_axis',(0.,-1.,0.)); #187692=DIRECTION('ref_axis',(0.979267790046306,0.,-0.202569976496577)); #187693=DIRECTION('center_axis',(0.960854940589169,0.,-0.27705195026454)); #187694=DIRECTION('ref_axis',(0.27705195026454,0.,0.960854940589169)); #187695=DIRECTION('',(0.27705195026454,0.,0.960854940589169)); #187696=DIRECTION('',(0.,-1.,0.)); #187697=DIRECTION('',(0.27705195026454,0.,0.960854940589169)); #187698=DIRECTION('center_axis',(0.929382349113184,0.,-0.369118475772833)); #187699=DIRECTION('ref_axis',(0.369118475772833,0.,0.929382349113184)); #187700=DIRECTION('',(0.369118475772833,0.,0.929382349113184)); #187701=DIRECTION('',(0.,-1.,0.)); #187702=DIRECTION('',(0.369118475772833,0.,0.929382349113184)); #187703=DIRECTION('center_axis',(0.882729266231573,0.,-0.469881945320597)); #187704=DIRECTION('ref_axis',(0.469881945320597,0.,0.882729266231573)); #187705=DIRECTION('',(0.469881945320597,0.,0.882729266231573)); #187706=DIRECTION('',(0.,-1.,0.)); #187707=DIRECTION('',(0.469881945320597,0.,0.882729266231573)); #187708=DIRECTION('center_axis',(0.816715890258472,0.,-0.577039993933965)); #187709=DIRECTION('ref_axis',(0.577039993933965,0.,0.816715890258472)); #187710=DIRECTION('',(0.577039993933965,0.,0.816715890258472)); #187711=DIRECTION('',(0.,-1.,0.)); #187712=DIRECTION('',(0.577039993933965,0.,0.816715890258472)); #187713=DIRECTION('center_axis',(0.717116086107329,0.,-0.696953742400531)); #187714=DIRECTION('ref_axis',(0.696953742400531,0.,0.717116086107329)); #187715=DIRECTION('',(0.696953742400531,0.,0.717116086107329)); #187716=DIRECTION('',(0.,-1.,0.)); #187717=DIRECTION('',(0.696953742400531,0.,0.717116086107329)); #187718=DIRECTION('center_axis',(0.,-1.,0.)); #187719=DIRECTION('ref_axis',(0.557271320982053,0.,-0.830330461208619)); #187720=DIRECTION('center_axis',(0.,-1.,0.)); #187721=DIRECTION('ref_axis',(0.557271320982053,0.,-0.830330461208619)); #187722=DIRECTION('',(0.,-1.,0.)); #187723=DIRECTION('center_axis',(0.,-1.,0.)); #187724=DIRECTION('ref_axis',(0.557271320982053,0.,-0.830330461208619)); #187725=DIRECTION('center_axis',(0.472090017356951,0.,-0.881550347689747)); #187726=DIRECTION('ref_axis',(0.881550347689747,0.,0.472090017356951)); #187727=DIRECTION('',(0.881550347689747,0.,0.472090017356951)); #187728=DIRECTION('',(0.,-1.,0.)); #187729=DIRECTION('',(0.881550347689747,0.,0.472090017356951)); #187730=DIRECTION('center_axis',(0.375216798118128,0.,-0.926937082228336)); #187731=DIRECTION('ref_axis',(0.926937082228335,0.,0.375216798118128)); #187732=DIRECTION('',(0.926937082228335,0.,0.375216798118128)); #187733=DIRECTION('',(0.,-1.,0.)); #187734=DIRECTION('',(0.926937082228335,0.,0.375216798118128)); #187735=DIRECTION('center_axis',(0.273725621188184,0.,-0.961807820879589)); #187736=DIRECTION('ref_axis',(0.961807820879589,0.,0.273725621188184)); #187737=DIRECTION('',(0.961807820879589,0.,0.273725621188184)); #187738=DIRECTION('',(0.,-1.,0.)); #187739=DIRECTION('',(0.961807820879589,0.,0.273725621188184)); #187740=DIRECTION('center_axis',(0.,-1.,0.)); #187741=DIRECTION('ref_axis',(0.0619756281346628,0.,-0.998077663069019)); #187742=DIRECTION('center_axis',(0.,-1.,0.)); #187743=DIRECTION('ref_axis',(0.0619756281346628,0.,-0.998077663069019)); #187744=DIRECTION('',(0.,-1.,0.)); #187745=DIRECTION('center_axis',(0.,-1.,0.)); #187746=DIRECTION('ref_axis',(0.0619756281346628,0.,-0.998077663069019)); #187747=DIRECTION('center_axis',(-0.0246946611849273,0.,-0.999695040354288)); #187748=DIRECTION('ref_axis',(0.999695040354288,0.,-0.0246946611849273)); #187749=DIRECTION('',(0.999695040354288,0.,-0.0246946611849273)); #187750=DIRECTION('',(0.,-1.,0.)); #187751=DIRECTION('',(0.999695040354288,0.,-0.0246946611849273)); #187752=DIRECTION('center_axis',(0.,-1.,0.)); #187753=DIRECTION('ref_axis',(-0.224833304840857,0.,-0.974397241906163)); #187754=DIRECTION('center_axis',(0.,-1.,0.)); #187755=DIRECTION('ref_axis',(-0.224833304840857,0.,-0.974397241906163)); #187756=DIRECTION('',(0.,-1.,0.)); #187757=DIRECTION('center_axis',(0.,-1.,0.)); #187758=DIRECTION('ref_axis',(-0.224833304840857,0.,-0.974397241906163)); #187759=DIRECTION('center_axis',(-0.321652488411278,0.,-0.946857791169737)); #187760=DIRECTION('ref_axis',(0.946857791169737,0.,-0.321652488411278)); #187761=DIRECTION('',(0.946857791169737,0.,-0.321652488411278)); #187762=DIRECTION('',(0.,-1.,0.)); #187763=DIRECTION('',(0.946857791169737,0.,-0.321652488411278)); #187764=DIRECTION('center_axis',(-0.424789207207172,0.,-0.905292289506711)); #187765=DIRECTION('ref_axis',(0.905292289506711,0.,-0.424789207207172)); #187766=DIRECTION('',(0.905292289506711,0.,-0.424789207207172)); #187767=DIRECTION('',(0.,-1.,0.)); #187768=DIRECTION('',(0.905292289506711,0.,-0.424789207207172)); #187769=DIRECTION('center_axis',(-0.523961641638145,0.,-0.851741861183223)); #187770=DIRECTION('ref_axis',(0.851741861183222,0.,-0.523961641638145)); #187771=DIRECTION('',(0.851741861183222,0.,-0.523961641638145)); #187772=DIRECTION('',(0.,-1.,0.)); #187773=DIRECTION('',(0.851741861183222,0.,-0.523961641638145)); #187774=DIRECTION('center_axis',(-0.616058504377578,0.,-0.787700399380412)); #187775=DIRECTION('ref_axis',(0.787700399380412,0.,-0.616058504377578)); #187776=DIRECTION('',(0.787700399380412,0.,-0.616058504377578)); #187777=DIRECTION('',(0.,-1.,0.)); #187778=DIRECTION('',(0.787700399380412,0.,-0.616058504377578)); #187779=DIRECTION('center_axis',(-0.69935161247715,0.,-0.714777813117902)); #187780=DIRECTION('ref_axis',(0.714777813117902,0.,-0.699351612477149)); #187781=DIRECTION('',(0.714777813117902,0.,-0.699351612477149)); #187782=DIRECTION('',(0.,-1.,0.)); #187783=DIRECTION('',(0.714777813117902,0.,-0.699351612477149)); #187784=DIRECTION('center_axis',(-0.781111911992447,0.,-0.624391048096867)); #187785=DIRECTION('ref_axis',(0.624391048096867,0.,-0.781111911992447)); #187786=DIRECTION('',(0.624391048096867,0.,-0.781111911992447)); #187787=DIRECTION('',(0.,-1.,0.)); #187788=DIRECTION('',(0.624391048096867,0.,-0.781111911992447)); #187789=DIRECTION('center_axis',(-0.857763193961526,0.,-0.514045039938059)); #187790=DIRECTION('ref_axis',(0.514045039938059,0.,-0.857763193961526)); #187791=DIRECTION('',(0.514045039938059,0.,-0.857763193961526)); #187792=DIRECTION('',(0.,-1.,0.)); #187793=DIRECTION('',(0.514045039938059,0.,-0.857763193961526)); #187794=DIRECTION('center_axis',(-0.91342526626416,0.,-0.407006490059124)); #187795=DIRECTION('ref_axis',(0.407006490059124,0.,-0.91342526626416)); #187796=DIRECTION('',(0.407006490059124,0.,-0.91342526626416)); #187797=DIRECTION('',(0.,-1.,0.)); #187798=DIRECTION('',(0.407006490059124,0.,-0.91342526626416)); #187799=DIRECTION('center_axis',(0.,-1.,0.)); #187800=DIRECTION('ref_axis',(-0.978626593864358,0.,-0.205645300898037)); #187801=DIRECTION('center_axis',(0.,-1.,0.)); #187802=DIRECTION('ref_axis',(-0.978626593864358,0.,-0.205645300898037)); #187803=DIRECTION('',(0.,-1.,0.)); #187804=DIRECTION('center_axis',(0.,-1.,0.)); #187805=DIRECTION('ref_axis',(-0.978626593864358,0.,-0.205645300898037)); #187806=DIRECTION('center_axis',(-0.988997373983489,0.,-0.147933073562887)); #187807=DIRECTION('ref_axis',(0.147933073562887,0.,-0.988997373983489)); #187808=DIRECTION('',(0.147933073562887,0.,-0.988997373983489)); #187809=DIRECTION('',(0.,-1.,0.)); #187810=DIRECTION('',(0.147933073562887,0.,-0.988997373983489)); #187811=DIRECTION('center_axis',(0.,-1.,0.)); #187812=DIRECTION('ref_axis',(-0.999994956216064,0.,-0.00317608917264305)); #187813=DIRECTION('center_axis',(0.,-1.,0.)); #187814=DIRECTION('ref_axis',(-0.999994956216064,0.,-0.00317608917264305)); #187815=DIRECTION('',(0.,-1.,0.)); #187816=DIRECTION('center_axis',(0.,-1.,0.)); #187817=DIRECTION('ref_axis',(-0.999994956216064,0.,-0.00317608917264305)); #187818=DIRECTION('center_axis',(-0.999999999923512,0.,-1.23683466860855E-5)); #187819=DIRECTION('ref_axis',(1.23683466860855E-5,0.,-0.999999999923512)); #187820=DIRECTION('',(1.23683466860855E-5,0.,-0.999999999923512)); #187821=DIRECTION('',(0.,-1.,0.)); #187822=DIRECTION('',(1.23683466860855E-5,0.,-0.999999999923512)); #187823=DIRECTION('center_axis',(-5.8940267137262E-5,0.,-0.999999998263022)); #187824=DIRECTION('ref_axis',(0.999999998263023,0.,-5.8940267137262E-5)); #187825=DIRECTION('',(0.999999998263023,0.,-5.8940267137262E-5)); #187826=DIRECTION('',(0.,-1.,0.)); #187827=DIRECTION('',(0.999999998263023,0.,-5.8940267137262E-5)); #187828=DIRECTION('center_axis',(1.,0.,0.)); #187829=DIRECTION('ref_axis',(0.,0.,1.)); #187830=DIRECTION('',(0.,0.,1.)); #187831=DIRECTION('',(0.,-1.,0.)); #187832=DIRECTION('',(0.,0.,1.)); #187833=DIRECTION('center_axis',(0.999999999972462,0.,-7.4212879686549E-6)); #187834=DIRECTION('ref_axis',(7.4212879686549E-6,0.,0.999999999972462)); #187835=DIRECTION('',(7.4212879686549E-6,0.,0.999999999972462)); #187836=DIRECTION('',(0.,-1.,0.)); #187837=DIRECTION('',(7.4212879686549E-6,0.,0.999999999972462)); #187838=DIRECTION('center_axis',(0.,-1.,0.)); #187839=DIRECTION('ref_axis',(-0.411888254348136,0.,-0.911234363887823)); #187840=DIRECTION('center_axis',(0.,1.,0.)); #187841=DIRECTION('ref_axis',(-0.411888254348136,0.,-0.911234363887823)); #187842=DIRECTION('',(0.,-1.,0.)); #187843=DIRECTION('center_axis',(0.,1.,0.)); #187844=DIRECTION('ref_axis',(-0.411888254348136,0.,-0.911234363887823)); #187845=DIRECTION('center_axis',(0.500102486057485,0.,0.865966225345494)); #187846=DIRECTION('ref_axis',(-0.865966225345494,0.,0.500102486057485)); #187847=DIRECTION('',(-0.865966225345494,0.,0.500102486057485)); #187848=DIRECTION('',(0.,-1.,0.)); #187849=DIRECTION('',(-0.865966225345494,0.,0.500102486057485)); #187850=DIRECTION('center_axis',(0.,-1.,0.)); #187851=DIRECTION('ref_axis',(0.9967170961544,0.,-0.0809631412035142)); #187852=DIRECTION('center_axis',(0.,-1.,0.)); #187853=DIRECTION('ref_axis',(0.9967170961544,0.,-0.0809631412035142)); #187854=DIRECTION('',(0.,-1.,0.)); #187855=DIRECTION('center_axis',(0.,-1.,0.)); #187856=DIRECTION('ref_axis',(0.9967170961544,0.,-0.0809631412035142)); #187857=DIRECTION('center_axis',(0.985061181798961,0.,-0.172204727324296)); #187858=DIRECTION('ref_axis',(0.172204727324296,0.,0.985061181798961)); #187859=DIRECTION('',(0.172204727324296,0.,0.985061181798961)); #187860=DIRECTION('',(0.,-1.,0.)); #187861=DIRECTION('',(0.172204727324296,0.,0.985061181798961)); #187862=DIRECTION('center_axis',(0.,-1.,0.)); #187863=DIRECTION('ref_axis',(0.935333657742533,0.,-0.353766799875249)); #187864=DIRECTION('center_axis',(0.,-1.,0.)); #187865=DIRECTION('ref_axis',(0.935333657742533,0.,-0.353766799875249)); #187866=DIRECTION('',(0.,-1.,0.)); #187867=DIRECTION('center_axis',(0.,-1.,0.)); #187868=DIRECTION('ref_axis',(0.935333657742533,0.,-0.353766799875249)); #187869=DIRECTION('center_axis',(0.,-1.,0.)); #187870=DIRECTION('ref_axis',(0.845911741688805,0.,-0.533322909008241)); #187871=DIRECTION('center_axis',(0.,-1.,0.)); #187872=DIRECTION('ref_axis',(0.845911741688805,0.,-0.533322909008241)); #187873=DIRECTION('',(0.,-1.,0.)); #187874=DIRECTION('center_axis',(0.,-1.,0.)); #187875=DIRECTION('ref_axis',(0.845911741688805,0.,-0.533322909008241)); #187876=DIRECTION('center_axis',(0.75639079423805,0.,-0.654119993878747)); #187877=DIRECTION('ref_axis',(0.654119993878747,0.,0.75639079423805)); #187878=DIRECTION('',(0.654119993878747,0.,0.75639079423805)); #187879=DIRECTION('',(0.,-1.,0.)); #187880=DIRECTION('',(0.654119993878747,0.,0.75639079423805)); #187881=DIRECTION('center_axis',(0.665594707775172,0.,-0.746313395954865)); #187882=DIRECTION('ref_axis',(0.746313395954865,0.,0.665594707775172)); #187883=DIRECTION('',(0.746313395954865,0.,0.665594707775172)); #187884=DIRECTION('',(0.,-1.,0.)); #187885=DIRECTION('',(0.746313395954865,0.,0.665594707775172)); #187886=DIRECTION('center_axis',(0.591363663627528,0.,-0.806404995855697)); #187887=DIRECTION('ref_axis',(0.806404995855697,0.,0.591363663627528)); #187888=DIRECTION('',(0.806404995855697,0.,0.591363663627528)); #187889=DIRECTION('',(0.,-1.,0.)); #187890=DIRECTION('',(0.806404995855697,0.,0.591363663627528)); #187891=DIRECTION('center_axis',(0.506182454233614,0.,-0.862426416006627)); #187892=DIRECTION('ref_axis',(0.862426416006627,0.,0.506182454233614)); #187893=DIRECTION('',(0.862426416006627,0.,0.506182454233614)); #187894=DIRECTION('',(0.,-1.,0.)); #187895=DIRECTION('',(0.862426416006627,0.,0.506182454233614)); #187896=DIRECTION('center_axis',(0.411956964100002,0.,-0.911203303181847)); #187897=DIRECTION('ref_axis',(0.911203303181847,0.,0.411956964100002)); #187898=DIRECTION('',(0.911203303181847,0.,0.411956964100002)); #187899=DIRECTION('',(0.,-1.,0.)); #187900=DIRECTION('',(0.911203303181847,0.,0.411956964100002)); #187901=DIRECTION('center_axis',(0.311797467925783,0.,-0.950148587850906)); #187902=DIRECTION('ref_axis',(0.950148587850906,0.,0.311797467925783)); #187903=DIRECTION('',(0.950148587850906,0.,0.311797467925783)); #187904=DIRECTION('',(0.,-1.,0.)); #187905=DIRECTION('',(0.950148587850906,0.,0.311797467925783)); #187906=DIRECTION('center_axis',(0.208163765989051,0.,-0.978093986552037)); #187907=DIRECTION('ref_axis',(0.978093986552037,0.,0.208163765989051)); #187908=DIRECTION('',(0.978093986552037,0.,0.208163765989051)); #187909=DIRECTION('',(0.,-1.,0.)); #187910=DIRECTION('',(0.978093986552037,0.,0.208163765989051)); #187911=DIRECTION('center_axis',(0.106766223248014,0.,-0.994284151323632)); #187912=DIRECTION('ref_axis',(0.994284151323632,0.,0.106766223248014)); #187913=DIRECTION('',(0.994284151323632,0.,0.106766223248014)); #187914=DIRECTION('',(0.,-1.,0.)); #187915=DIRECTION('',(0.994284151323632,0.,0.106766223248014)); #187916=DIRECTION('center_axis',(0.00930241587529008,0.,-0.999956731593364)); #187917=DIRECTION('ref_axis',(0.999956731593364,0.,0.00930241587529008)); #187918=DIRECTION('',(0.999956731593364,0.,0.00930241587529008)); #187919=DIRECTION('',(0.,-1.,0.)); #187920=DIRECTION('',(0.999956731593364,0.,0.00930241587529008)); #187921=DIRECTION('center_axis',(0.,-1.,0.)); #187922=DIRECTION('ref_axis',(-0.180710676923842,0.,-0.98353629889584)); #187923=DIRECTION('center_axis',(0.,-1.,0.)); #187924=DIRECTION('ref_axis',(-0.180710676923842,0.,-0.98353629889584)); #187925=DIRECTION('',(0.,-1.,0.)); #187926=DIRECTION('center_axis',(0.,-1.,0.)); #187927=DIRECTION('ref_axis',(-0.180710676923842,0.,-0.98353629889584)); #187928=DIRECTION('center_axis',(-0.283432448613429,0.,-0.958992203864555)); #187929=DIRECTION('ref_axis',(0.958992203864555,0.,-0.283432448613429)); #187930=DIRECTION('',(0.958992203864555,0.,-0.283432448613429)); #187931=DIRECTION('',(0.,-1.,0.)); #187932=DIRECTION('',(0.958992203864555,0.,-0.283432448613429)); #187933=DIRECTION('center_axis',(-0.386370344301654,0.,-0.922343730419534)); #187934=DIRECTION('ref_axis',(0.922343730419534,0.,-0.386370344301654)); #187935=DIRECTION('',(0.922343730419534,0.,-0.386370344301654)); #187936=DIRECTION('',(0.,-1.,0.)); #187937=DIRECTION('',(0.922343730419534,0.,-0.386370344301654)); #187938=DIRECTION('center_axis',(-0.487930360888918,0.,-0.872882559639503)); #187939=DIRECTION('ref_axis',(0.872882559639503,0.,-0.487930360888918)); #187940=DIRECTION('',(0.872882559639503,0.,-0.487930360888918)); #187941=DIRECTION('',(0.,-1.,0.)); #187942=DIRECTION('',(0.872882559639503,0.,-0.487930360888918)); #187943=DIRECTION('center_axis',(-0.582824781567934,0.,-0.812597855024421)); #187944=DIRECTION('ref_axis',(0.812597855024421,0.,-0.582824781567934)); #187945=DIRECTION('',(0.812597855024421,0.,-0.582824781567934)); #187946=DIRECTION('',(0.,-1.,0.)); #187947=DIRECTION('',(0.812597855024421,0.,-0.582824781567934)); #187948=DIRECTION('center_axis',(0.,-1.,0.)); #187949=DIRECTION('ref_axis',(-0.930628815046152,0.,0.365964490908331)); #187950=DIRECTION('center_axis',(0.,-1.,0.)); #187951=DIRECTION('ref_axis',(-0.930628815046152,0.,0.365964490908331)); #187952=DIRECTION('',(0.,-1.,0.)); #187953=DIRECTION('center_axis',(0.,-1.,0.)); #187954=DIRECTION('ref_axis',(-0.930628815046152,0.,0.365964490908331)); #187955=DIRECTION('center_axis',(0.,-1.,0.)); #187956=DIRECTION('ref_axis',(-0.775458507941298,0.,-0.631398529030164)); #187957=DIRECTION('center_axis',(0.,-1.,0.)); #187958=DIRECTION('ref_axis',(-0.775458507941298,0.,-0.631398529030164)); #187959=DIRECTION('',(0.,-1.,0.)); #187960=DIRECTION('center_axis',(0.,-1.,0.)); #187961=DIRECTION('ref_axis',(-0.775458507941298,0.,-0.631398529030164)); #187962=DIRECTION('center_axis',(-0.815884899240822,0.,-0.578214347098716)); #187963=DIRECTION('ref_axis',(0.578214347098716,0.,-0.815884899240822)); #187964=DIRECTION('',(0.578214347098716,0.,-0.815884899240822)); #187965=DIRECTION('',(0.,-1.,0.)); #187966=DIRECTION('',(0.578214347098716,0.,-0.815884899240822)); #187967=DIRECTION('center_axis',(0.,-1.,0.)); #187968=DIRECTION('ref_axis',(0.228916140661438,0.,-0.973446146710064)); #187969=DIRECTION('center_axis',(0.,-1.,0.)); #187970=DIRECTION('ref_axis',(0.228916140661438,0.,-0.973446146710064)); #187971=DIRECTION('',(0.,-1.,0.)); #187972=DIRECTION('center_axis',(0.,-1.,0.)); #187973=DIRECTION('ref_axis',(0.228916140661438,0.,-0.973446146710064)); #187974=DIRECTION('center_axis',(0.,-1.,0.)); #187975=DIRECTION('ref_axis',(-0.992241219257726,0.,-0.124327642967851)); #187976=DIRECTION('center_axis',(0.,-1.,0.)); #187977=DIRECTION('ref_axis',(-0.992241219257726,0.,-0.124327642967851)); #187978=DIRECTION('',(0.,-1.,0.)); #187979=DIRECTION('center_axis',(0.,-1.,0.)); #187980=DIRECTION('ref_axis',(-0.992241219257726,0.,-0.124327642967851)); #187981=DIRECTION('center_axis',(0.,-1.,0.)); #187982=DIRECTION('ref_axis',(-0.996707228951313,0.,0.0810845222973874)); #187983=DIRECTION('center_axis',(0.,-1.,0.)); #187984=DIRECTION('ref_axis',(-0.996707228951313,0.,0.0810845222973874)); #187985=DIRECTION('',(0.,-1.,0.)); #187986=DIRECTION('center_axis',(0.,-1.,0.)); #187987=DIRECTION('ref_axis',(-0.996707228951313,0.,0.0810845222973874)); #187988=DIRECTION('center_axis',(-0.990488008394602,0.,0.137599074221066)); #187989=DIRECTION('ref_axis',(-0.137599074221066,0.,-0.990488008394602)); #187990=DIRECTION('',(-0.137599074221066,0.,-0.990488008394602)); #187991=DIRECTION('',(0.,-1.,0.)); #187992=DIRECTION('',(-0.137599074221065,0.,-0.990488008394602)); #187993=DIRECTION('center_axis',(-0.977566041969662,0.,0.210629137556437)); #187994=DIRECTION('ref_axis',(-0.210629137556437,0.,-0.977566041969662)); #187995=DIRECTION('',(-0.210629137556437,0.,-0.977566041969662)); #187996=DIRECTION('',(0.,-1.,0.)); #187997=DIRECTION('',(-0.210629137556437,0.,-0.977566041969662)); #187998=DIRECTION('center_axis',(-0.955003129243189,0.,0.296595723394179)); #187999=DIRECTION('ref_axis',(-0.296595723394179,0.,-0.955003129243189)); #188000=DIRECTION('',(-0.296595723394179,0.,-0.955003129243189)); #188001=DIRECTION('',(0.,-1.,0.)); #188002=DIRECTION('',(-0.296595723394179,0.,-0.955003129243189)); #188003=DIRECTION('center_axis',(-0.919289542851299,0.,0.39358193099309)); #188004=DIRECTION('ref_axis',(-0.39358193099309,0.,-0.919289542851299)); #188005=DIRECTION('',(-0.39358193099309,0.,-0.919289542851299)); #188006=DIRECTION('',(0.,-1.,0.)); #188007=DIRECTION('',(-0.39358193099309,0.,-0.919289542851299)); #188008=DIRECTION('center_axis',(-0.865037312916982,0.,0.501707531597211)); #188009=DIRECTION('ref_axis',(-0.501707531597211,0.,-0.865037312916982)); #188010=DIRECTION('',(-0.501707531597211,0.,-0.865037312916982)); #188011=DIRECTION('',(0.,-1.,0.)); #188012=DIRECTION('',(-0.501707531597211,0.,-0.865037312916982)); #188013=DIRECTION('center_axis',(-0.78825947486386,0.,0.615342994018256)); #188014=DIRECTION('ref_axis',(-0.615342994018256,0.,-0.78825947486386)); #188015=DIRECTION('',(-0.615342994018256,0.,-0.78825947486386)); #188016=DIRECTION('',(0.,-1.,0.)); #188017=DIRECTION('',(-0.615342994018256,0.,-0.78825947486386)); #188018=DIRECTION('center_axis',(-0.728261934475744,0.,0.685298879901059)); #188019=DIRECTION('ref_axis',(-0.685298879901059,0.,-0.728261934475744)); #188020=DIRECTION('',(-0.685298879901059,0.,-0.728261934475744)); #188021=DIRECTION('',(0.,-1.,0.)); #188022=DIRECTION('',(-0.685298879901059,0.,-0.728261934475744)); #188023=DIRECTION('center_axis',(-0.644901988009819,0.,0.764265285003174)); #188024=DIRECTION('ref_axis',(-0.764265285003174,0.,-0.644901988009819)); #188025=DIRECTION('',(-0.764265285003174,0.,-0.644901988009819)); #188026=DIRECTION('',(0.,-1.,0.)); #188027=DIRECTION('',(-0.764265285003174,0.,-0.644901988009819)); #188028=DIRECTION('center_axis',(-0.550884322478329,0.,0.834581609698891)); #188029=DIRECTION('ref_axis',(-0.834581609698891,0.,-0.550884322478329)); #188030=DIRECTION('',(-0.834581609698891,0.,-0.550884322478329)); #188031=DIRECTION('',(-0.834581609698891,0.,-0.550884322478329)); #188032=DIRECTION('center_axis',(0.,1.,0.)); #188033=DIRECTION('ref_axis',(1.,0.,0.)); #188034=DIRECTION('center_axis',(0.,0.,-1.)); #188035=DIRECTION('ref_axis',(1.,0.,0.)); #188036=DIRECTION('',(1.,0.,0.)); #188037=DIRECTION('',(0.,-1.,0.)); #188038=DIRECTION('',(1.,0.,0.)); #188039=DIRECTION('',(0.,-1.,0.)); #188040=DIRECTION('center_axis',(-1.,0.,0.)); #188041=DIRECTION('ref_axis',(0.,0.,-1.)); #188042=DIRECTION('',(0.,0.,-1.)); #188043=DIRECTION('',(0.,-1.,0.)); #188044=DIRECTION('',(0.,0.,-1.)); #188045=DIRECTION('center_axis',(0.,0.,1.)); #188046=DIRECTION('ref_axis',(-1.,0.,0.)); #188047=DIRECTION('',(-1.,0.,0.)); #188048=DIRECTION('',(0.,-1.,0.)); #188049=DIRECTION('',(-1.,0.,0.)); #188050=DIRECTION('center_axis',(1.,0.,0.)); #188051=DIRECTION('ref_axis',(0.,0.,1.)); #188052=DIRECTION('',(0.,0.,1.)); #188053=DIRECTION('',(0.,0.,1.)); #188054=DIRECTION('center_axis',(0.,-1.,0.)); #188055=DIRECTION('ref_axis',(0.,0.,-1.)); #188056=DIRECTION('center_axis',(-1.,0.,0.)); #188057=DIRECTION('ref_axis',(0.,0.,-1.)); #188058=DIRECTION('',(0.,0.,-1.)); #188059=DIRECTION('',(0.,-1.,0.)); #188060=DIRECTION('',(0.,0.,-1.)); #188061=DIRECTION('',(0.,-1.,0.)); #188062=DIRECTION('center_axis',(-7.76299175237312E-5,0.,-0.999999996986798)); #188063=DIRECTION('ref_axis',(0.999999996986798,0.,-7.76299175237312E-5)); #188064=DIRECTION('',(0.999999996986798,0.,-7.76299175237312E-5)); #188065=DIRECTION('',(0.,-1.,0.)); #188066=DIRECTION('',(0.999999996986798,0.,-7.76299175237312E-5)); #188067=DIRECTION('center_axis',(-0.00116057875939023,0.,-0.999999326528245)); #188068=DIRECTION('ref_axis',(0.999999326528245,0.,-0.00116057875939023)); #188069=DIRECTION('',(0.999999326528245,0.,-0.00116057875939023)); #188070=DIRECTION('',(0.,-1.,0.)); #188071=DIRECTION('',(0.999999326528245,0.,-0.00116057875939023)); #188072=DIRECTION('center_axis',(0.00119161887411398,0.,-0.999999290021977)); #188073=DIRECTION('ref_axis',(0.999999290021977,0.,0.00119161887411398)); #188074=DIRECTION('',(0.999999290021977,0.,0.00119161887411398)); #188075=DIRECTION('',(0.,-1.,0.)); #188076=DIRECTION('',(0.999999290021977,0.,0.00119161887411398)); #188077=DIRECTION('center_axis',(-3.75675969346696E-5,0.,-0.999999999294338)); #188078=DIRECTION('ref_axis',(0.999999999294338,0.,-3.75675969346696E-5)); #188079=DIRECTION('',(0.999999999294338,0.,-3.75675969346696E-5)); #188080=DIRECTION('',(0.,-1.,0.)); #188081=DIRECTION('',(0.999999999294338,0.,-3.75675969346696E-5)); #188082=DIRECTION('center_axis',(-0.00118175258665194,0.,-0.999999301730168)); #188083=DIRECTION('ref_axis',(0.999999301730168,0.,-0.00118175258665194)); #188084=DIRECTION('',(0.999999301730168,0.,-0.00118175258665194)); #188085=DIRECTION('',(0.,-1.,0.)); #188086=DIRECTION('',(0.999999301730168,0.,-0.00118175258665194)); #188087=DIRECTION('center_axis',(0.00119530230780015,0.,-0.999999285625941)); #188088=DIRECTION('ref_axis',(0.999999285625941,0.,0.00119530230780015)); #188089=DIRECTION('',(0.999999285625941,0.,0.00119530230780015)); #188090=DIRECTION('',(0.,-1.,0.)); #188091=DIRECTION('',(0.999999285625941,0.,0.00119530230780015)); #188092=DIRECTION('center_axis',(0.,0.,-1.)); #188093=DIRECTION('ref_axis',(1.,0.,0.)); #188094=DIRECTION('',(1.,0.,0.)); #188095=DIRECTION('',(0.,-1.,0.)); #188096=DIRECTION('',(1.,0.,0.)); #188097=DIRECTION('center_axis',(-3.35696756116232E-5,0.,-0.999999999436539)); #188098=DIRECTION('ref_axis',(0.999999999436539,0.,-3.35696756116232E-5)); #188099=DIRECTION('',(0.999999999436539,0.,-3.35696756116232E-5)); #188100=DIRECTION('',(0.,-1.,0.)); #188101=DIRECTION('',(0.999999999436539,0.,-3.35696756116232E-5)); #188102=DIRECTION('center_axis',(0.,-1.,0.)); #188103=DIRECTION('ref_axis',(-0.137126353798527,0.,-0.990553563970127)); #188104=DIRECTION('center_axis',(0.,-1.,0.)); #188105=DIRECTION('ref_axis',(-0.137126353798527,0.,-0.990553563970127)); #188106=DIRECTION('',(0.,-1.,0.)); #188107=DIRECTION('center_axis',(0.,-1.,0.)); #188108=DIRECTION('ref_axis',(-0.137126353798527,0.,-0.990553563970127)); #188109=DIRECTION('center_axis',(0.,0.,-1.)); #188110=DIRECTION('ref_axis',(1.,0.,0.)); #188111=DIRECTION('',(1.,0.,0.)); #188112=DIRECTION('',(0.,-1.,0.)); #188113=DIRECTION('',(1.,0.,0.)); #188114=DIRECTION('center_axis',(0.999974922153125,0.,-0.00708202406456966)); #188115=DIRECTION('ref_axis',(0.00708202406456966,0.,0.999974922153125)); #188116=DIRECTION('',(0.00708202406456966,0.,0.999974922153125)); #188117=DIRECTION('',(0.,-1.,0.)); #188118=DIRECTION('',(0.00708202406456966,0.,0.999974922153125)); #188119=DIRECTION('center_axis',(1.,0.,0.)); #188120=DIRECTION('ref_axis',(0.,0.,1.)); #188121=DIRECTION('',(0.,0.,1.)); #188122=DIRECTION('',(0.,-1.,0.)); #188123=DIRECTION('',(0.,0.,1.)); #188124=DIRECTION('center_axis',(0.,-1.,0.)); #188125=DIRECTION('ref_axis',(-0.793077839709584,0.,-0.609120300237629)); #188126=DIRECTION('center_axis',(0.,1.,0.)); #188127=DIRECTION('ref_axis',(-0.793077839709584,0.,-0.609120300237629)); #188128=DIRECTION('',(0.,-1.,0.)); #188129=DIRECTION('center_axis',(0.,1.,0.)); #188130=DIRECTION('ref_axis',(-0.793077839709584,0.,-0.609120300237629)); #188131=DIRECTION('center_axis',(0.,-1.,0.)); #188132=DIRECTION('ref_axis',(0.500132685331648,0.,-0.865948784318654)); #188133=DIRECTION('center_axis',(0.,1.,0.)); #188134=DIRECTION('ref_axis',(0.500132685331648,0.,-0.865948784318654)); #188135=DIRECTION('',(0.,-1.,0.)); #188136=DIRECTION('center_axis',(0.,1.,0.)); #188137=DIRECTION('ref_axis',(0.500132685331648,0.,-0.865948784318654)); #188138=DIRECTION('center_axis',(0.,-1.,0.)); #188139=DIRECTION('ref_axis',(0.967354170533908,0.,0.253428310870461)); #188140=DIRECTION('center_axis',(0.,1.,0.)); #188141=DIRECTION('ref_axis',(0.967354170533908,0.,0.253428310870461)); #188142=DIRECTION('center_axis',(0.,1.,0.)); #188143=DIRECTION('ref_axis',(0.967354170533908,0.,0.253428310870461)); #188144=DIRECTION('center_axis',(-0.368226440459949,0.,0.929736139206278)); #188145=DIRECTION('ref_axis',(-0.929736139206278,0.,-0.368226440459949)); #188146=DIRECTION('',(-0.929736139206278,0.,-0.368226440459949)); #188147=DIRECTION('',(0.,-1.,0.)); #188148=DIRECTION('',(-0.929736139206278,0.,-0.368226440459949)); #188149=DIRECTION('',(0.,-1.,0.)); #188150=DIRECTION('center_axis',(-0.260781783807202,0.,0.965397773580576)); #188151=DIRECTION('ref_axis',(-0.965397773580576,0.,-0.260781783807202)); #188152=DIRECTION('',(-0.965397773580576,0.,-0.260781783807202)); #188153=DIRECTION('',(0.,-1.,0.)); #188154=DIRECTION('',(-0.965397773580576,0.,-0.260781783807202)); #188155=DIRECTION('center_axis',(-0.158175901699953,0.,0.987410949970379)); #188156=DIRECTION('ref_axis',(-0.987410949970379,0.,-0.158175901699953)); #188157=DIRECTION('',(-0.987410949970379,0.,-0.158175901699953)); #188158=DIRECTION('',(0.,-1.,0.)); #188159=DIRECTION('',(-0.987410949970379,0.,-0.158175901699953)); #188160=DIRECTION('center_axis',(-0.0615499205685263,0.,0.99810400624284)); #188161=DIRECTION('ref_axis',(-0.99810400624284,0.,-0.0615499205685263)); #188162=DIRECTION('',(-0.99810400624284,0.,-0.0615499205685263)); #188163=DIRECTION('',(0.,-1.,0.)); #188164=DIRECTION('',(-0.99810400624284,0.,-0.0615499205685263)); #188165=DIRECTION('center_axis',(0.0283154420974706,0.,0.999599037483943)); #188166=DIRECTION('ref_axis',(-0.999599037483943,0.,0.0283154420974706)); #188167=DIRECTION('',(-0.999599037483943,0.,0.0283154420974706)); #188168=DIRECTION('',(0.,-1.,0.)); #188169=DIRECTION('',(-0.999599037483943,0.,0.0283154420974706)); #188170=DIRECTION('center_axis',(0.12184260521326,0.,0.992549434312894)); #188171=DIRECTION('ref_axis',(-0.992549434312894,0.,0.12184260521326)); #188172=DIRECTION('',(-0.992549434312894,0.,0.12184260521326)); #188173=DIRECTION('',(0.,-1.,0.)); #188174=DIRECTION('',(-0.992549434312894,0.,0.12184260521326)); #188175=DIRECTION('center_axis',(0.223034041226202,0.,0.974810656719708)); #188176=DIRECTION('ref_axis',(-0.974810656719708,0.,0.223034041226202)); #188177=DIRECTION('',(-0.974810656719708,0.,0.223034041226202)); #188178=DIRECTION('',(0.,-1.,0.)); #188179=DIRECTION('',(-0.974810656719708,0.,0.223034041226202)); #188180=DIRECTION('center_axis',(0.328558728231097,0.,0.944483542526371)); #188181=DIRECTION('ref_axis',(-0.944483542526372,0.,0.328558728231097)); #188182=DIRECTION('',(-0.944483542526372,0.,0.328558728231097)); #188183=DIRECTION('',(0.,-1.,0.)); #188184=DIRECTION('',(-0.944483542526372,0.,0.328558728231097)); #188185=DIRECTION('center_axis',(0.434854031564233,0.,0.900500955708728)); #188186=DIRECTION('ref_axis',(-0.900500955708728,0.,0.434854031564233)); #188187=DIRECTION('',(-0.900500955708728,0.,0.434854031564233)); #188188=DIRECTION('',(0.,-1.,0.)); #188189=DIRECTION('',(-0.900500955708728,0.,0.434854031564234)); #188190=DIRECTION('center_axis',(0.537693431767157,0.,0.84314042331895)); #188191=DIRECTION('ref_axis',(-0.843140423318949,0.,0.537693431767157)); #188192=DIRECTION('',(-0.843140423318949,0.,0.537693431767157)); #188193=DIRECTION('',(0.,-1.,0.)); #188194=DIRECTION('',(-0.843140423318949,0.,0.537693431767157)); #188195=DIRECTION('center_axis',(0.633106707553125,0.,0.774064530159626)); #188196=DIRECTION('ref_axis',(-0.774064530159626,0.,0.633106707553125)); #188197=DIRECTION('',(-0.774064530159626,0.,0.633106707553125)); #188198=DIRECTION('',(0.,-1.,0.)); #188199=DIRECTION('',(-0.774064530159626,0.,0.633106707553125)); #188200=DIRECTION('center_axis',(0.717690776043104,0.,0.69636193892447)); #188201=DIRECTION('ref_axis',(-0.69636193892447,0.,0.717690776043104)); #188202=DIRECTION('',(-0.69636193892447,0.,0.717690776043104)); #188203=DIRECTION('',(0.,-1.,0.)); #188204=DIRECTION('',(-0.69636193892447,0.,0.717690776043104)); #188205=DIRECTION('center_axis',(0.788231989379507,0.,0.615378201530429)); #188206=DIRECTION('ref_axis',(-0.615378201530429,0.,0.788231989379507)); #188207=DIRECTION('',(-0.615378201530429,0.,0.788231989379507)); #188208=DIRECTION('',(0.,-1.,0.)); #188209=DIRECTION('',(-0.615378201530429,0.,0.788231989379507)); #188210=DIRECTION('center_axis',(0.863150089880765,0.,0.504947445125557)); #188211=DIRECTION('ref_axis',(-0.504947445125557,0.,0.863150089880765)); #188212=DIRECTION('',(-0.504947445125557,0.,0.863150089880765)); #188213=DIRECTION('',(0.,-1.,0.)); #188214=DIRECTION('',(-0.504947445125557,0.,0.863150089880765)); #188215=DIRECTION('center_axis',(0.917473913793494,0.,0.397795949587787)); #188216=DIRECTION('ref_axis',(-0.397795949587786,0.,0.917473913793494)); #188217=DIRECTION('',(-0.397795949587786,0.,0.917473913793494)); #188218=DIRECTION('',(0.,-1.,0.)); #188219=DIRECTION('',(-0.397795949587786,0.,0.917473913793494)); #188220=DIRECTION('center_axis',(0.953668569180781,0.,0.300859203210874)); #188221=DIRECTION('ref_axis',(-0.300859203210874,0.,0.953668569180781)); #188222=DIRECTION('',(-0.300859203210874,0.,0.953668569180781)); #188223=DIRECTION('',(0.,-1.,0.)); #188224=DIRECTION('',(-0.300859203210874,0.,0.953668569180781)); #188225=DIRECTION('center_axis',(0.,-1.,0.)); #188226=DIRECTION('ref_axis',(0.999857020179568,0.,-0.0169097367701267)); #188227=DIRECTION('center_axis',(0.,-1.,0.)); #188228=DIRECTION('ref_axis',(0.999857020179568,0.,-0.0169097367701267)); #188229=DIRECTION('',(0.,-1.,0.)); #188230=DIRECTION('center_axis',(0.,-1.,0.)); #188231=DIRECTION('ref_axis',(0.999857020179568,0.,-0.0169097367701267)); #188232=DIRECTION('center_axis',(0.999999830726947,0.,0.000581847125050431)); #188233=DIRECTION('ref_axis',(-0.000581847125050431,0.,0.999999830726947)); #188234=DIRECTION('',(-0.000581847125050431,0.,0.999999830726947)); #188235=DIRECTION('',(0.,-1.,0.)); #188236=DIRECTION('',(-0.000581847125050431,0.,0.999999830726947)); #188237=DIRECTION('center_axis',(-6.62781247013272E-5,0.,0.999999997803605)); #188238=DIRECTION('ref_axis',(-0.999999997803605,0.,-6.62781247013272E-5)); #188239=DIRECTION('',(-0.999999997803605,0.,-6.62781247013272E-5)); #188240=DIRECTION('',(0.,-1.,0.)); #188241=DIRECTION('',(-0.999999997803605,0.,-6.62781247013272E-5)); #188242=DIRECTION('center_axis',(0.,-1.,0.)); #188243=DIRECTION('ref_axis',(-0.991613581316911,0.,0.12923817295153)); #188244=DIRECTION('center_axis',(0.,-1.,0.)); #188245=DIRECTION('ref_axis',(-0.991613581316911,0.,0.12923817295153)); #188246=DIRECTION('',(0.,-1.,0.)); #188247=DIRECTION('center_axis',(0.,-1.,0.)); #188248=DIRECTION('ref_axis',(-0.991613581316911,0.,0.12923817295153)); #188249=DIRECTION('center_axis',(-0.973094201763353,0.,0.23040762681505)); #188250=DIRECTION('ref_axis',(-0.23040762681505,0.,-0.973094201763353)); #188251=DIRECTION('',(-0.23040762681505,0.,-0.973094201763353)); #188252=DIRECTION('',(0.,-1.,0.)); #188253=DIRECTION('',(-0.23040762681505,0.,-0.973094201763353)); #188254=DIRECTION('center_axis',(-0.948286025348641,0.,0.317417098040537)); #188255=DIRECTION('ref_axis',(-0.317417098040537,0.,-0.948286025348641)); #188256=DIRECTION('',(-0.317417098040537,0.,-0.948286025348641)); #188257=DIRECTION('',(0.,-1.,0.)); #188258=DIRECTION('',(-0.317417098040537,0.,-0.948286025348641)); #188259=DIRECTION('center_axis',(-0.909538630368604,0.,0.415619393035507)); #188260=DIRECTION('ref_axis',(-0.415619393035507,0.,-0.909538630368604)); #188261=DIRECTION('',(-0.415619393035507,0.,-0.909538630368604)); #188262=DIRECTION('',(0.,-1.,0.)); #188263=DIRECTION('',(-0.415619393035507,0.,-0.909538630368604)); #188264=DIRECTION('center_axis',(-0.852459486763911,0.,0.522793289385212)); #188265=DIRECTION('ref_axis',(-0.522793289385212,0.,-0.852459486763911)); #188266=DIRECTION('',(-0.522793289385212,0.,-0.852459486763911)); #188267=DIRECTION('',(0.,-1.,0.)); #188268=DIRECTION('',(-0.522793289385212,0.,-0.852459486763911)); #188269=DIRECTION('center_axis',(-0.777068073438088,0.,0.629416562574594)); #188270=DIRECTION('ref_axis',(-0.629416562574594,0.,-0.777068073438088)); #188271=DIRECTION('',(-0.629416562574594,0.,-0.777068073438088)); #188272=DIRECTION('',(0.,-1.,0.)); #188273=DIRECTION('',(-0.629416562574594,0.,-0.777068073438088)); #188274=DIRECTION('center_axis',(-0.705926745788948,0.,0.708284850593196)); #188275=DIRECTION('ref_axis',(-0.708284850593196,0.,-0.705926745788948)); #188276=DIRECTION('',(-0.708284850593196,0.,-0.705926745788948)); #188277=DIRECTION('',(0.,-1.,0.)); #188278=DIRECTION('',(-0.708284850593196,0.,-0.705926745788948)); #188279=DIRECTION('center_axis',(-0.61946168149312,0.,0.785026894546751)); #188280=DIRECTION('ref_axis',(-0.785026894546751,0.,-0.61946168149312)); #188281=DIRECTION('',(-0.785026894546751,0.,-0.61946168149312)); #188282=DIRECTION('',(0.,-1.,0.)); #188283=DIRECTION('',(-0.785026894546751,0.,-0.61946168149312)); #188284=DIRECTION('center_axis',(-0.523254162512124,0.,0.852176672652881)); #188285=DIRECTION('ref_axis',(-0.852176672652881,0.,-0.523254162512124)); #188286=DIRECTION('',(-0.852176672652881,0.,-0.523254162512124)); #188287=DIRECTION('',(0.,-1.,0.)); #188288=DIRECTION('',(-0.852176672652881,0.,-0.523254162512124)); #188289=DIRECTION('center_axis',(-0.418924616684409,0.,0.908021016021007)); #188290=DIRECTION('ref_axis',(-0.908021016021007,0.,-0.418924616684409)); #188291=DIRECTION('',(-0.908021016021007,0.,-0.418924616684409)); #188292=DIRECTION('',(0.,-1.,0.)); #188293=DIRECTION('',(-0.908021016021007,0.,-0.418924616684409)); #188294=DIRECTION('center_axis',(-0.312788727285253,0.,0.949822726661808)); #188295=DIRECTION('ref_axis',(-0.949822726661808,0.,-0.312788727285253)); #188296=DIRECTION('',(-0.949822726661808,0.,-0.312788727285253)); #188297=DIRECTION('',(0.,-1.,0.)); #188298=DIRECTION('',(-0.949822726661808,0.,-0.312788727285253)); #188299=DIRECTION('center_axis',(-0.207608991813031,0.,0.978211892443747)); #188300=DIRECTION('ref_axis',(-0.978211892443747,0.,-0.207608991813031)); #188301=DIRECTION('',(-0.978211892443747,0.,-0.207608991813031)); #188302=DIRECTION('',(0.,-1.,0.)); #188303=DIRECTION('',(-0.978211892443747,0.,-0.207608991813031)); #188304=DIRECTION('center_axis',(-0.10765720268322,0.,0.99418807411396)); #188305=DIRECTION('ref_axis',(-0.99418807411396,0.,-0.10765720268322)); #188306=DIRECTION('',(-0.99418807411396,0.,-0.10765720268322)); #188307=DIRECTION('',(0.,-1.,0.)); #188308=DIRECTION('',(-0.99418807411396,0.,-0.10765720268322)); #188309=DIRECTION('center_axis',(-0.0151595953047324,0.,0.999885086732569)); #188310=DIRECTION('ref_axis',(-0.999885086732569,0.,-0.0151595953047324)); #188311=DIRECTION('',(-0.999885086732569,0.,-0.0151595953047324)); #188312=DIRECTION('',(0.,-1.,0.)); #188313=DIRECTION('',(-0.999885086732569,0.,-0.0151595953047324)); #188314=DIRECTION('center_axis',(0.0794919373053995,0.,0.996835508949914)); #188315=DIRECTION('ref_axis',(-0.996835508949914,0.,0.0794919373053995)); #188316=DIRECTION('',(-0.996835508949914,0.,0.0794919373053995)); #188317=DIRECTION('',(0.,-1.,0.)); #188318=DIRECTION('',(-0.996835508949914,0.,0.0794919373053995)); #188319=DIRECTION('center_axis',(0.179851484242444,0.,0.983693775326341)); #188320=DIRECTION('ref_axis',(-0.983693775326341,0.,0.179851484242444)); #188321=DIRECTION('',(-0.983693775326341,0.,0.179851484242444)); #188322=DIRECTION('',(0.,-1.,0.)); #188323=DIRECTION('',(-0.983693775326341,0.,0.179851484242444)); #188324=DIRECTION('center_axis',(0.285545186816788,0.,0.958365246806125)); #188325=DIRECTION('ref_axis',(-0.958365246806125,0.,0.285545186816788)); #188326=DIRECTION('',(-0.958365246806125,0.,0.285545186816788)); #188327=DIRECTION('',(0.,-1.,0.)); #188328=DIRECTION('',(-0.958365246806125,0.,0.285545186816788)); #188329=DIRECTION('center_axis',(0.390305125251558,0.,0.920685564784398)); #188330=DIRECTION('ref_axis',(-0.920685564784398,0.,0.390305125251558)); #188331=DIRECTION('',(-0.920685564784398,0.,0.390305125251558)); #188332=DIRECTION('',(0.,-1.,0.)); #188333=DIRECTION('',(-0.920685564784398,0.,0.390305125251558)); #188334=DIRECTION('center_axis',(0.48941496719178,0.,0.872051024819459)); #188335=DIRECTION('ref_axis',(-0.872051024819459,0.,0.48941496719178)); #188336=DIRECTION('',(-0.872051024819459,0.,0.48941496719178)); #188337=DIRECTION('',(0.,-1.,0.)); #188338=DIRECTION('',(-0.872051024819459,0.,0.48941496719178)); #188339=DIRECTION('center_axis',(0.580934079496437,0.,0.813950609852727)); #188340=DIRECTION('ref_axis',(-0.813950609852727,0.,0.580934079496437)); #188341=DIRECTION('',(-0.813950609852727,0.,0.580934079496437)); #188342=DIRECTION('',(0.,-1.,0.)); #188343=DIRECTION('',(-0.813950609852727,0.,0.580934079496437)); #188344=DIRECTION('center_axis',(0.661081149133003,0.,0.750314410271446)); #188345=DIRECTION('ref_axis',(-0.750314410271446,0.,0.661081149133003)); #188346=DIRECTION('',(-0.750314410271446,0.,0.661081149133003)); #188347=DIRECTION('',(0.,-1.,0.)); #188348=DIRECTION('',(-0.750314410271446,0.,0.661081149133003)); #188349=DIRECTION('center_axis',(0.73817277787756,0.,0.674611703130421)); #188350=DIRECTION('ref_axis',(-0.67461170313042,0.,0.73817277787756)); #188351=DIRECTION('',(-0.67461170313042,0.,0.73817277787756)); #188352=DIRECTION('',(0.,-1.,0.)); #188353=DIRECTION('',(-0.674611703130421,0.,0.73817277787756)); #188354=DIRECTION('center_axis',(0.826444879013024,0.,0.563017639113686)); #188355=DIRECTION('ref_axis',(-0.563017639113686,0.,0.826444879013024)); #188356=DIRECTION('',(-0.563017639113686,0.,0.826444879013024)); #188357=DIRECTION('',(0.,-1.,0.)); #188358=DIRECTION('',(-0.563017639113686,0.,0.826444879013024)); #188359=DIRECTION('center_axis',(0.889555910863822,0.,0.456826314311289)); #188360=DIRECTION('ref_axis',(-0.456826314311289,0.,0.889555910863822)); #188361=DIRECTION('',(-0.456826314311289,0.,0.889555910863822)); #188362=DIRECTION('',(0.,-1.,0.)); #188363=DIRECTION('',(-0.456826314311289,0.,0.889555910863822)); #188364=DIRECTION('center_axis',(0.933975186266466,0.,0.357337867344786)); #188365=DIRECTION('ref_axis',(-0.357337867344786,0.,0.933975186266466)); #188366=DIRECTION('',(-0.357337867344786,0.,0.933975186266466)); #188367=DIRECTION('',(0.,-1.,0.)); #188368=DIRECTION('',(-0.357337867344786,0.,0.933975186266466)); #188369=DIRECTION('center_axis',(0.963700644604494,0.,0.266985144884286)); #188370=DIRECTION('ref_axis',(-0.266985144884286,0.,0.963700644604494)); #188371=DIRECTION('',(-0.266985144884286,0.,0.963700644604494)); #188372=DIRECTION('',(0.,-1.,0.)); #188373=DIRECTION('',(-0.266985144884286,0.,0.963700644604494)); #188374=DIRECTION('center_axis',(0.982387421122665,0.,0.186855438293779)); #188375=DIRECTION('ref_axis',(-0.186855438293779,0.,0.982387421122665)); #188376=DIRECTION('',(-0.186855438293779,0.,0.982387421122665)); #188377=DIRECTION('',(0.,-1.,0.)); #188378=DIRECTION('',(-0.186855438293779,0.,0.982387421122665)); #188379=DIRECTION('center_axis',(0.,-1.,0.)); #188380=DIRECTION('ref_axis',(0.996178510063945,0.,-0.0873405752601747)); #188381=DIRECTION('center_axis',(0.,-1.,0.)); #188382=DIRECTION('ref_axis',(0.996178510063945,0.,-0.0873405752601747)); #188383=DIRECTION('',(0.,-1.,0.)); #188384=DIRECTION('center_axis',(0.,-1.,0.)); #188385=DIRECTION('ref_axis',(0.996178510063945,0.,-0.0873405752601747)); #188386=DIRECTION('center_axis',(0.981859550032636,0.,-0.189609662226666)); #188387=DIRECTION('ref_axis',(0.189609662226666,0.,0.981859550032635)); #188388=DIRECTION('',(0.189609662226666,0.,0.981859550032635)); #188389=DIRECTION('',(0.,-1.,0.)); #188390=DIRECTION('',(0.189609662226666,0.,0.981859550032635)); #188391=DIRECTION('center_axis',(0.962806612170616,0.,-0.270191464633028)); #188392=DIRECTION('ref_axis',(0.270191464633028,0.,0.962806612170616)); #188393=DIRECTION('',(0.270191464633028,0.,0.962806612170616)); #188394=DIRECTION('',(0.,-1.,0.)); #188395=DIRECTION('',(0.270191464633028,0.,0.962806612170616)); #188396=DIRECTION('center_axis',(0.93266829776642,0.,-0.360735147083687)); #188397=DIRECTION('ref_axis',(0.360735147083687,0.,0.932668297766419)); #188398=DIRECTION('',(0.360735147083687,0.,0.932668297766419)); #188399=DIRECTION('',(0.,-1.,0.)); #188400=DIRECTION('',(0.360735147083687,0.,0.932668297766419)); #188401=DIRECTION('center_axis',(0.887521536133849,0.,-0.460766234546991)); #188402=DIRECTION('ref_axis',(0.460766234546991,0.,0.887521536133849)); #188403=DIRECTION('',(0.460766234546991,0.,0.887521536133849)); #188404=DIRECTION('',(0.,-1.,0.)); #188405=DIRECTION('',(0.460766234546991,0.,0.887521536133849)); #188406=DIRECTION('center_axis',(0.823709704968258,0.,-0.567011747621781)); #188407=DIRECTION('ref_axis',(0.567011747621781,0.,0.823709704968257)); #188408=DIRECTION('',(0.567011747621781,0.,0.823709704968257)); #188409=DIRECTION('',(0.,-1.,0.)); #188410=DIRECTION('',(0.567011747621781,0.,0.823709704968257)); #188411=DIRECTION('center_axis',(0.732843209279254,0.,-0.680397553356332)); #188412=DIRECTION('ref_axis',(0.680397553356332,0.,0.732843209279254)); #188413=DIRECTION('',(0.680397553356332,0.,0.732843209279254)); #188414=DIRECTION('',(0.,-1.,0.)); #188415=DIRECTION('',(0.680397553356332,0.,0.732843209279254)); #188416=DIRECTION('center_axis',(0.655887196163271,0.,-0.754858917884052)); #188417=DIRECTION('ref_axis',(0.754858917884052,0.,0.655887196163271)); #188418=DIRECTION('',(0.754858917884052,0.,0.655887196163271)); #188419=DIRECTION('',(0.,-1.,0.)); #188420=DIRECTION('',(0.754858917884052,0.,0.655887196163271)); #188421=DIRECTION('center_axis',(0.576716372208623,0.,-0.816944444883815)); #188422=DIRECTION('ref_axis',(0.816944444883815,0.,0.576716372208623)); #188423=DIRECTION('',(0.816944444883815,0.,0.576716372208623)); #188424=DIRECTION('',(0.,-1.,0.)); #188425=DIRECTION('',(0.816944444883815,0.,0.576716372208623)); #188426=DIRECTION('center_axis',(0.487087760408587,0.,-0.873353029227097)); #188427=DIRECTION('ref_axis',(0.873353029227097,0.,0.487087760408587)); #188428=DIRECTION('',(0.873353029227097,0.,0.487087760408587)); #188429=DIRECTION('',(0.,-1.,0.)); #188430=DIRECTION('',(0.873353029227097,0.,0.487087760408587)); #188431=DIRECTION('center_axis',(0.388177269630604,0.,-0.921584726079013)); #188432=DIRECTION('ref_axis',(0.921584726079013,0.,0.388177269630604)); #188433=DIRECTION('',(0.921584726079013,0.,0.388177269630604)); #188434=DIRECTION('',(0.,-1.,0.)); #188435=DIRECTION('',(0.921584726079013,0.,0.388177269630604)); #188436=DIRECTION('center_axis',(0.284304908873075,0.,-0.95873391448862)); #188437=DIRECTION('ref_axis',(0.95873391448862,0.,0.284304908873075)); #188438=DIRECTION('',(0.95873391448862,0.,0.284304908873075)); #188439=DIRECTION('',(0.,-1.,0.)); #188440=DIRECTION('',(0.95873391448862,0.,0.284304908873075)); #188441=DIRECTION('center_axis',(0.17871731667721,0.,-0.983900462810999)); #188442=DIRECTION('ref_axis',(0.983900462810999,0.,0.17871731667721)); #188443=DIRECTION('',(0.983900462810999,0.,0.17871731667721)); #188444=DIRECTION('',(0.,-1.,0.)); #188445=DIRECTION('',(0.983900462810999,0.,0.17871731667721)); #188446=DIRECTION('center_axis',(0.0768429828261019,0.,-0.997043206681831)); #188447=DIRECTION('ref_axis',(0.997043206681831,0.,0.0768429828261019)); #188448=DIRECTION('',(0.997043206681831,0.,0.0768429828261019)); #188449=DIRECTION('',(0.,-1.,0.)); #188450=DIRECTION('',(0.99704320668183,0.,0.0768429828261019)); #188451=DIRECTION('center_axis',(-0.0183173774673079,0.,-0.99983222276676)); #188452=DIRECTION('ref_axis',(0.99983222276676,0.,-0.0183173774673079)); #188453=DIRECTION('',(0.99983222276676,0.,-0.0183173774673079)); #188454=DIRECTION('',(0.,-1.,0.)); #188455=DIRECTION('',(0.99983222276676,0.,-0.0183173774673079)); #188456=DIRECTION('center_axis',(-0.113102791057993,0.,-0.993583292258325)); #188457=DIRECTION('ref_axis',(0.993583292258325,0.,-0.113102791057993)); #188458=DIRECTION('',(0.993583292258325,0.,-0.113102791057993)); #188459=DIRECTION('',(0.,-1.,0.)); #188460=DIRECTION('',(0.993583292258325,0.,-0.113102791057993)); #188461=DIRECTION('center_axis',(-0.214101720128206,0.,-0.976811370448841)); #188462=DIRECTION('ref_axis',(0.976811370448841,0.,-0.214101720128206)); #188463=DIRECTION('',(0.976811370448841,0.,-0.214101720128206)); #188464=DIRECTION('',(0.,-1.,0.)); #188465=DIRECTION('',(0.976811370448841,0.,-0.214101720128206)); #188466=DIRECTION('center_axis',(-0.319273340152858,0.,-0.947662669027137)); #188467=DIRECTION('ref_axis',(0.947662669027137,0.,-0.319273340152858)); #188468=DIRECTION('',(0.947662669027137,0.,-0.319273340152858)); #188469=DIRECTION('',(0.,-1.,0.)); #188470=DIRECTION('',(0.947662669027137,0.,-0.319273340152858)); #188471=DIRECTION('center_axis',(-0.424437432243642,0.,-0.905457269069294)); #188472=DIRECTION('ref_axis',(0.905457269069294,0.,-0.424437432243642)); #188473=DIRECTION('',(0.905457269069294,0.,-0.424437432243642)); #188474=DIRECTION('',(0.,-1.,0.)); #188475=DIRECTION('',(0.905457269069294,0.,-0.424437432243642)); #188476=DIRECTION('center_axis',(-0.527119668825713,0.,-0.849791065343165)); #188477=DIRECTION('ref_axis',(0.849791065343165,0.,-0.527119668825713)); #188478=DIRECTION('',(0.849791065343165,0.,-0.527119668825713)); #188479=DIRECTION('',(0.,-1.,0.)); #188480=DIRECTION('',(0.849791065343165,0.,-0.527119668825713)); #188481=DIRECTION('center_axis',(-0.621635683648033,0.,-0.783306502472335)); #188482=DIRECTION('ref_axis',(0.783306502472335,0.,-0.621635683648033)); #188483=DIRECTION('',(0.783306502472335,0.,-0.621635683648033)); #188484=DIRECTION('',(0.,-1.,0.)); #188485=DIRECTION('',(0.783306502472335,0.,-0.621635683648033)); #188486=DIRECTION('center_axis',(-0.705742160311764,0.,-0.708468773594492)); #188487=DIRECTION('ref_axis',(0.708468773594492,0.,-0.705742160311764)); #188488=DIRECTION('',(0.708468773594492,0.,-0.705742160311764)); #188489=DIRECTION('',(0.,-1.,0.)); #188490=DIRECTION('',(0.708468773594492,0.,-0.705742160311764)); #188491=DIRECTION('center_axis',(-0.77913543847701,0.,-0.626855620146487)); #188492=DIRECTION('ref_axis',(0.626855620146487,0.,-0.77913543847701)); #188493=DIRECTION('',(0.626855620146487,0.,-0.77913543847701)); #188494=DIRECTION('',(0.,-1.,0.)); #188495=DIRECTION('',(0.626855620146487,0.,-0.77913543847701)); #188496=DIRECTION('center_axis',(-0.854947254750994,0.,-0.518714942520204)); #188497=DIRECTION('ref_axis',(0.518714942520204,0.,-0.854947254750994)); #188498=DIRECTION('',(0.518714942520204,0.,-0.854947254750994)); #188499=DIRECTION('',(0.,-1.,0.)); #188500=DIRECTION('',(0.518714942520204,0.,-0.854947254750994)); #188501=DIRECTION('center_axis',(-0.91128736588723,0.,-0.411770976119388)); #188502=DIRECTION('ref_axis',(0.411770976119388,0.,-0.91128736588723)); #188503=DIRECTION('',(0.411770976119388,0.,-0.91128736588723)); #188504=DIRECTION('',(0.,-1.,0.)); #188505=DIRECTION('',(0.411770976119388,0.,-0.91128736588723)); #188506=DIRECTION('center_axis',(-0.949456894410653,0.,-0.313897444487971)); #188507=DIRECTION('ref_axis',(0.313897444487971,0.,-0.949456894410653)); #188508=DIRECTION('',(0.313897444487971,0.,-0.949456894410653)); #188509=DIRECTION('',(0.,-1.,0.)); #188510=DIRECTION('',(0.313897444487971,0.,-0.949456894410653)); #188511=DIRECTION('center_axis',(0.,-1.,0.)); #188512=DIRECTION('ref_axis',(-0.999924300953851,0.,0.0123041603513691)); #188513=DIRECTION('center_axis',(0.,-1.,0.)); #188514=DIRECTION('ref_axis',(-0.999924300953851,0.,0.0123041603513691)); #188515=DIRECTION('',(0.,-1.,0.)); #188516=DIRECTION('center_axis',(0.,-1.,0.)); #188517=DIRECTION('ref_axis',(-0.999924300953851,0.,0.0123041603513691)); #188518=DIRECTION('center_axis',(-0.999999999905035,0.,-1.37814848093517E-5)); #188519=DIRECTION('ref_axis',(1.37814848093517E-5,0.,-0.999999999905035)); #188520=DIRECTION('',(1.37814848093517E-5,0.,-0.999999999905035)); #188521=DIRECTION('',(0.,-1.,0.)); #188522=DIRECTION('',(1.37814848093517E-5,0.,-0.999999999905035)); #188523=DIRECTION('center_axis',(-0.000186780948217768,0.,-0.999999982556439)); #188524=DIRECTION('ref_axis',(0.999999982556439,0.,-0.000186780948217768)); #188525=DIRECTION('',(0.999999982556439,0.,-0.000186780948217768)); #188526=DIRECTION('',(0.,-1.,0.)); #188527=DIRECTION('',(0.999999982556439,0.,-0.000186780948217768)); #188528=DIRECTION('center_axis',(0.,-1.,0.)); #188529=DIRECTION('ref_axis',(0.999368093614515,0.,-0.0355445279233086)); #188530=DIRECTION('center_axis',(0.,-1.,0.)); #188531=DIRECTION('ref_axis',(0.999368093614515,0.,-0.0355445279233086)); #188532=DIRECTION('',(0.,-1.,0.)); #188533=DIRECTION('center_axis',(0.,-1.,0.)); #188534=DIRECTION('ref_axis',(0.999368093614515,0.,-0.0355445279233086)); #188535=DIRECTION('center_axis',(0.,-1.,0.)); #188536=DIRECTION('ref_axis',(0.139244367215752,0.,-0.990258050307436)); #188537=DIRECTION('center_axis',(0.,-1.,0.)); #188538=DIRECTION('ref_axis',(0.139244367215752,0.,-0.990258050307436)); #188539=DIRECTION('',(0.,-1.,0.)); #188540=DIRECTION('center_axis',(0.,-1.,0.)); #188541=DIRECTION('ref_axis',(0.139244367215752,0.,-0.990258050307436)); #188542=DIRECTION('center_axis',(0.,-1.,0.)); #188543=DIRECTION('ref_axis',(-0.998382544836925,0.,-0.056853268727017)); #188544=DIRECTION('center_axis',(0.,-1.,0.)); #188545=DIRECTION('ref_axis',(-0.998382544836925,0.,-0.056853268727017)); #188546=DIRECTION('',(0.,-1.,0.)); #188547=DIRECTION('center_axis',(0.,-1.,0.)); #188548=DIRECTION('ref_axis',(-0.998382544836925,0.,-0.056853268727017)); #188549=DIRECTION('center_axis',(0.,-1.,0.)); #188550=DIRECTION('ref_axis',(-0.998798654392955,0.,0.0490025303716395)); #188551=DIRECTION('center_axis',(0.,-1.,0.)); #188552=DIRECTION('ref_axis',(-0.998798654392955,0.,0.0490025303716395)); #188553=DIRECTION('',(0.,-1.,0.)); #188554=DIRECTION('center_axis',(0.,-1.,0.)); #188555=DIRECTION('ref_axis',(-0.998798654392955,0.,0.0490025303716395)); #188556=DIRECTION('center_axis',(-0.982166218894628,0.,0.188014676188404)); #188557=DIRECTION('ref_axis',(-0.188014676188404,0.,-0.982166218894628)); #188558=DIRECTION('',(-0.188014676188404,0.,-0.982166218894628)); #188559=DIRECTION('',(0.,-1.,0.)); #188560=DIRECTION('',(-0.188014676188404,0.,-0.982166218894628)); #188561=DIRECTION('center_axis',(-0.962991023576991,0.,0.269533464545945)); #188562=DIRECTION('ref_axis',(-0.269533464545945,0.,-0.96299102357699)); #188563=DIRECTION('',(-0.269533464545945,0.,-0.96299102357699)); #188564=DIRECTION('',(0.,-1.,0.)); #188565=DIRECTION('',(-0.269533464545945,0.,-0.96299102357699)); #188566=DIRECTION('center_axis',(-0.931706733963496,0.,0.363211456161663)); #188567=DIRECTION('ref_axis',(-0.363211456161663,0.,-0.931706733963496)); #188568=DIRECTION('',(-0.363211456161663,0.,-0.931706733963496)); #188569=DIRECTION('',(0.,-1.,0.)); #188570=DIRECTION('',(-0.363211456161663,0.,-0.931706733963496)); #188571=DIRECTION('center_axis',(-0.883869784827318,0.,0.467733047228128)); #188572=DIRECTION('ref_axis',(-0.467733047228128,0.,-0.883869784827318)); #188573=DIRECTION('',(-0.467733047228128,0.,-0.883869784827318)); #188574=DIRECTION('',(0.,-1.,0.)); #188575=DIRECTION('',(-0.467733047228128,0.,-0.883869784827318)); #188576=DIRECTION('center_axis',(-0.814849220802757,0.,0.579672965867082)); #188577=DIRECTION('ref_axis',(-0.579672965867083,0.,-0.814849220802757)); #188578=DIRECTION('',(-0.579672965867083,0.,-0.814849220802757)); #188579=DIRECTION('',(0.,-1.,0.)); #188580=DIRECTION('',(-0.579672965867083,0.,-0.814849220802757)); #188581=DIRECTION('center_axis',(-0.744751274889013,0.,0.667342145043447)); #188582=DIRECTION('ref_axis',(-0.667342145043447,0.,-0.744751274889013)); #188583=DIRECTION('',(-0.667342145043447,0.,-0.744751274889013)); #188584=DIRECTION('',(0.,-1.,0.)); #188585=DIRECTION('',(-0.667342145043447,0.,-0.744751274889013)); #188586=DIRECTION('center_axis',(-0.668841033951139,0.,0.743405455524218)); #188587=DIRECTION('ref_axis',(-0.743405455524218,0.,-0.668841033951139)); #188588=DIRECTION('',(-0.743405455524218,0.,-0.668841033951139)); #188589=DIRECTION('',(0.,-1.,0.)); #188590=DIRECTION('',(-0.743405455524218,0.,-0.668841033951139)); #188591=DIRECTION('center_axis',(-0.576148381351832,0.,0.817345118457108)); #188592=DIRECTION('ref_axis',(-0.817345118457108,0.,-0.576148381351832)); #188593=DIRECTION('',(-0.817345118457108,0.,-0.576148381351832)); #188594=DIRECTION('',(0.,-1.,0.)); #188595=DIRECTION('',(-0.817345118457108,0.,-0.576148381351832)); #188596=DIRECTION('center_axis',(-0.474110915756267,0.,0.880465126828288)); #188597=DIRECTION('ref_axis',(-0.880465126828288,0.,-0.474110915756267)); #188598=DIRECTION('',(-0.880465126828288,0.,-0.474110915756267)); #188599=DIRECTION('',(-0.880465126828288,0.,-0.474110915756267)); #188600=DIRECTION('center_axis',(0.,1.,0.)); #188601=DIRECTION('ref_axis',(1.,0.,0.)); #188602=DIRECTION('center_axis',(1.,0.,0.)); #188603=DIRECTION('ref_axis',(0.,0.,1.)); #188604=DIRECTION('',(0.,0.,1.)); #188605=DIRECTION('',(0.,-1.,0.)); #188606=DIRECTION('',(0.,0.,1.)); #188607=DIRECTION('',(0.,-1.,0.)); #188608=DIRECTION('center_axis',(0.,-1.,0.)); #188609=DIRECTION('ref_axis',(0.21501498325536,0.,-0.97661074997959)); #188610=DIRECTION('center_axis',(0.,1.,0.)); #188611=DIRECTION('ref_axis',(0.21501498325536,0.,-0.97661074997959)); #188612=DIRECTION('',(0.,-1.,0.)); #188613=DIRECTION('center_axis',(0.,1.,0.)); #188614=DIRECTION('ref_axis',(0.21501498325536,0.,-0.97661074997959)); #188615=DIRECTION('center_axis',(0.,-1.,0.)); #188616=DIRECTION('ref_axis',(0.997248336145142,0.,-0.0741333666829367)); #188617=DIRECTION('center_axis',(0.,1.,0.)); #188618=DIRECTION('ref_axis',(0.997248336145142,0.,-0.0741333666829367)); #188619=DIRECTION('',(0.,-1.,0.)); #188620=DIRECTION('center_axis',(0.,1.,0.)); #188621=DIRECTION('ref_axis',(0.997248336145142,0.,-0.0741333666829367)); #188622=DIRECTION('center_axis',(0.,-1.,0.)); #188623=DIRECTION('ref_axis',(-0.216421312535623,0.,0.976300064263215)); #188624=DIRECTION('center_axis',(0.,1.,0.)); #188625=DIRECTION('ref_axis',(-0.216421312535623,0.,0.976300064263215)); #188626=DIRECTION('',(0.,-1.,0.)); #188627=DIRECTION('center_axis',(0.,1.,0.)); #188628=DIRECTION('ref_axis',(-0.216421312535623,0.,0.976300064263215)); #188629=DIRECTION('center_axis',(0.,0.,-1.)); #188630=DIRECTION('ref_axis',(1.,0.,0.)); #188631=DIRECTION('',(1.,0.,0.)); #188632=DIRECTION('',(1.,0.,0.)); #188633=DIRECTION('center_axis',(0.339426696271829,0.,0.940632509462644)); #188634=DIRECTION('ref_axis',(-0.940632509462644,0.,0.339426696271829)); #188635=DIRECTION('',(-0.940632509462644,0.,0.339426696271829)); #188636=DIRECTION('',(0.,-1.,0.)); #188637=DIRECTION('',(-0.940632509462644,0.,0.339426696271829)); #188638=DIRECTION('',(0.,-1.,0.)); #188639=DIRECTION('center_axis',(0.437034026431954,0.,0.899444973158822)); #188640=DIRECTION('ref_axis',(-0.899444973158822,0.,0.437034026431954)); #188641=DIRECTION('',(-0.899444973158822,0.,0.437034026431954)); #188642=DIRECTION('',(0.,-1.,0.)); #188643=DIRECTION('',(-0.899444973158822,0.,0.437034026431954)); #188644=DIRECTION('center_axis',(0.539963488248356,0.,0.841688440789505)); #188645=DIRECTION('ref_axis',(-0.841688440789505,0.,0.539963488248356)); #188646=DIRECTION('',(-0.841688440789505,0.,0.539963488248356)); #188647=DIRECTION('',(0.,-1.,0.)); #188648=DIRECTION('',(-0.841688440789505,0.,0.539963488248356)); #188649=DIRECTION('center_axis',(0.654295335876189,0.,0.756239124517282)); #188650=DIRECTION('ref_axis',(-0.756239124517282,0.,0.654295335876189)); #188651=DIRECTION('',(-0.756239124517282,0.,0.654295335876189)); #188652=DIRECTION('',(0.,-1.,0.)); #188653=DIRECTION('',(-0.756239124517282,0.,0.654295335876189)); #188654=DIRECTION('center_axis',(0.746429761729167,0.,0.665464207005109)); #188655=DIRECTION('ref_axis',(-0.665464207005109,0.,0.746429761729167)); #188656=DIRECTION('',(-0.665464207005109,0.,0.746429761729167)); #188657=DIRECTION('',(0.,-1.,0.)); #188658=DIRECTION('',(-0.665464207005109,0.,0.746429761729167)); #188659=DIRECTION('center_axis',(0.806587855885954,0.,0.591114228163473)); #188660=DIRECTION('ref_axis',(-0.591114228163473,0.,0.806587855885954)); #188661=DIRECTION('',(-0.591114228163473,0.,0.806587855885954)); #188662=DIRECTION('',(0.,-1.,0.)); #188663=DIRECTION('',(-0.591114228163473,0.,0.806587855885954)); #188664=DIRECTION('center_axis',(0.8623659371264,0.,0.506285483185234)); #188665=DIRECTION('ref_axis',(-0.506285483185234,0.,0.8623659371264)); #188666=DIRECTION('',(-0.506285483185234,0.,0.8623659371264)); #188667=DIRECTION('',(0.,-1.,0.)); #188668=DIRECTION('',(-0.506285483185234,0.,0.8623659371264)); #188669=DIRECTION('center_axis',(0.911222035963745,0.,0.411915526745578)); #188670=DIRECTION('ref_axis',(-0.411915526745578,0.,0.911222035963745)); #188671=DIRECTION('',(-0.411915526745578,0.,0.911222035963745)); #188672=DIRECTION('',(0.,-1.,0.)); #188673=DIRECTION('',(-0.411915526745578,0.,0.911222035963745)); #188674=DIRECTION('center_axis',(0.950136471528588,0.,0.31183438789076)); #188675=DIRECTION('ref_axis',(-0.31183438789076,0.,0.950136471528588)); #188676=DIRECTION('',(-0.31183438789076,0.,0.950136471528588)); #188677=DIRECTION('',(0.,-1.,0.)); #188678=DIRECTION('',(-0.31183438789076,0.,0.950136471528588)); #188679=DIRECTION('center_axis',(0.978002335511636,0.,0.208593939829963)); #188680=DIRECTION('ref_axis',(-0.208593939829963,0.,0.978002335511636)); #188681=DIRECTION('',(-0.208593939829963,0.,0.978002335511636)); #188682=DIRECTION('',(0.,-1.,0.)); #188683=DIRECTION('',(-0.208593939829963,0.,0.978002335511636)); #188684=DIRECTION('center_axis',(0.99431826809881,0.,0.106448023584208)); #188685=DIRECTION('ref_axis',(-0.106448023584208,0.,0.994318268098809)); #188686=DIRECTION('',(-0.106448023584208,0.,0.994318268098809)); #188687=DIRECTION('',(0.,-1.,0.)); #188688=DIRECTION('',(-0.106448023584208,0.,0.994318268098809)); #188689=DIRECTION('center_axis',(0.999956528732487,0.,0.00932419676298582)); #188690=DIRECTION('ref_axis',(-0.00932419676298582,0.,0.999956528732487)); #188691=DIRECTION('',(-0.00932419676298582,0.,0.999956528732487)); #188692=DIRECTION('',(0.,-1.,0.)); #188693=DIRECTION('',(-0.00932419676298582,0.,0.999956528732487)); #188694=DIRECTION('center_axis',(0.996562687274221,0.,-0.082842080688403)); #188695=DIRECTION('ref_axis',(0.082842080688403,0.,0.996562687274221)); #188696=DIRECTION('',(0.082842080688403,0.,0.996562687274221)); #188697=DIRECTION('',(0.,-1.,0.)); #188698=DIRECTION('',(0.082842080688403,0.,0.996562687274221)); #188699=DIRECTION('center_axis',(0.98344802707311,0.,-0.181190446894994)); #188700=DIRECTION('ref_axis',(0.181190446894994,0.,0.98344802707311)); #188701=DIRECTION('',(0.181190446894994,0.,0.98344802707311)); #188702=DIRECTION('',(0.,-1.,0.)); #188703=DIRECTION('',(0.181190446894994,0.,0.98344802707311)); #188704=DIRECTION('center_axis',(0.959101430723425,0.,-0.283062617779669)); #188705=DIRECTION('ref_axis',(0.283062617779669,0.,0.959101430723425)); #188706=DIRECTION('',(0.283062617779669,0.,0.959101430723425)); #188707=DIRECTION('',(0.,-1.,0.)); #188708=DIRECTION('',(0.283062617779669,0.,0.959101430723425)); #188709=DIRECTION('center_axis',(0.922283609364555,0.,-0.386513834031188)); #188710=DIRECTION('ref_axis',(0.386513834031188,0.,0.922283609364555)); #188711=DIRECTION('',(0.386513834031188,0.,0.922283609364555)); #188712=DIRECTION('',(0.,-1.,0.)); #188713=DIRECTION('',(0.386513834031188,0.,0.922283609364555)); #188714=DIRECTION('center_axis',(0.873041257009582,0.,-0.487646350913374)); #188715=DIRECTION('ref_axis',(0.487646350913374,0.,0.873041257009582)); #188716=DIRECTION('',(0.487646350913374,0.,0.873041257009582)); #188717=DIRECTION('',(0.,-1.,0.)); #188718=DIRECTION('',(0.487646350913374,0.,0.873041257009582)); #188719=DIRECTION('center_axis',(0.812405988367185,0.,-0.583092196882394)); #188720=DIRECTION('ref_axis',(0.583092196882394,0.,0.812405988367185)); #188721=DIRECTION('',(0.583092196882394,0.,0.812405988367185)); #188722=DIRECTION('',(0.,-1.,0.)); #188723=DIRECTION('',(0.583092196882394,0.,0.812405988367185)); #188724=DIRECTION('center_axis',(0.,-1.,0.)); #188725=DIRECTION('ref_axis',(-0.367345517004402,0.,-0.93008455053117)); #188726=DIRECTION('center_axis',(0.,-1.,0.)); #188727=DIRECTION('ref_axis',(-0.367345517004402,0.,-0.93008455053117)); #188728=DIRECTION('',(0.,-1.,0.)); #188729=DIRECTION('center_axis',(0.,-1.,0.)); #188730=DIRECTION('ref_axis',(-0.367345517004402,0.,-0.93008455053117)); #188731=DIRECTION('center_axis',(0.,-1.,0.)); #188732=DIRECTION('ref_axis',(0.631429888796408,0.,-0.775432972947731)); #188733=DIRECTION('center_axis',(0.,-1.,0.)); #188734=DIRECTION('ref_axis',(0.631429888796408,0.,-0.775432972947731)); #188735=DIRECTION('',(0.,-1.,0.)); #188736=DIRECTION('center_axis',(0.,-1.,0.)); #188737=DIRECTION('ref_axis',(0.631429888796408,0.,-0.775432972947731)); #188738=DIRECTION('center_axis',(0.578158527760083,0.,-0.815924455313292)); #188739=DIRECTION('ref_axis',(0.815924455313292,0.,0.578158527760083)); #188740=DIRECTION('',(0.815924455313292,0.,0.578158527760083)); #188741=DIRECTION('',(0.,-1.,0.)); #188742=DIRECTION('',(0.815924455313292,0.,0.578158527760083)); #188743=DIRECTION('center_axis',(0.,-1.,0.)); #188744=DIRECTION('ref_axis',(0.97340427942452,0.,0.229094104677601)); #188745=DIRECTION('center_axis',(0.,-1.,0.)); #188746=DIRECTION('ref_axis',(0.97340427942452,0.,0.229094104677601)); #188747=DIRECTION('',(0.,-1.,0.)); #188748=DIRECTION('center_axis',(0.,-1.,0.)); #188749=DIRECTION('ref_axis',(0.97340427942452,0.,0.229094104677601)); #188750=DIRECTION('center_axis',(0.,-1.,0.)); #188751=DIRECTION('ref_axis',(0.0331814595692915,0.,-0.999449343759278)); #188752=DIRECTION('center_axis',(0.,-1.,0.)); #188753=DIRECTION('ref_axis',(0.0331814595692915,0.,-0.999449343759278)); #188754=DIRECTION('',(0.,-1.,0.)); #188755=DIRECTION('center_axis',(0.,-1.,0.)); #188756=DIRECTION('ref_axis',(0.0331814595692915,0.,-0.999449343759278)); #188757=DIRECTION('center_axis',(0.,-1.,0.)); #188758=DIRECTION('ref_axis',(-0.0627406767502863,0.,-0.998029863020599)); #188759=DIRECTION('center_axis',(0.,-1.,0.)); #188760=DIRECTION('ref_axis',(-0.0627406767502863,0.,-0.998029863020599)); #188761=DIRECTION('',(0.,-1.,0.)); #188762=DIRECTION('center_axis',(0.,-1.,0.)); #188763=DIRECTION('ref_axis',(-0.0627406767502863,0.,-0.998029863020599)); #188764=DIRECTION('center_axis',(0.,-1.,0.)); #188765=DIRECTION('ref_axis',(-0.138458110283722,0.,-0.990368290938609)); #188766=DIRECTION('center_axis',(0.,-1.,0.)); #188767=DIRECTION('ref_axis',(-0.138458110283722,0.,-0.990368290938609)); #188768=DIRECTION('',(0.,-1.,0.)); #188769=DIRECTION('center_axis',(0.,-1.,0.)); #188770=DIRECTION('ref_axis',(-0.138458110283722,0.,-0.990368290938609)); #188771=DIRECTION('center_axis',(0.,-1.,0.)); #188772=DIRECTION('ref_axis',(-0.312988844146638,0.,-0.949756802260322)); #188773=DIRECTION('center_axis',(0.,-1.,0.)); #188774=DIRECTION('ref_axis',(-0.312988844146638,0.,-0.949756802260322)); #188775=DIRECTION('',(0.,-1.,0.)); #188776=DIRECTION('center_axis',(0.,-1.,0.)); #188777=DIRECTION('ref_axis',(-0.312988844146638,0.,-0.949756802260322)); #188778=DIRECTION('center_axis',(-0.393818983752268,0.,-0.919188015607433)); #188779=DIRECTION('ref_axis',(0.919188015607433,0.,-0.393818983752268)); #188780=DIRECTION('',(0.919188015607433,0.,-0.393818983752268)); #188781=DIRECTION('',(0.,-1.,0.)); #188782=DIRECTION('',(0.919188015607433,0.,-0.393818983752268)); #188783=DIRECTION('center_axis',(-0.502140380442398,0.,-0.864786122881932)); #188784=DIRECTION('ref_axis',(0.864786122881932,0.,-0.502140380442398)); #188785=DIRECTION('',(0.864786122881932,0.,-0.502140380442398)); #188786=DIRECTION('',(0.,-1.,0.)); #188787=DIRECTION('',(0.864786122881932,0.,-0.502140380442398)); #188788=DIRECTION('center_axis',(-0.614772843981281,0.,-0.788704222318587)); #188789=DIRECTION('ref_axis',(0.788704222318587,0.,-0.614772843981281)); #188790=DIRECTION('',(0.788704222318587,0.,-0.614772843981281)); #188791=DIRECTION('',(0.,-1.,0.)); #188792=DIRECTION('',(0.788704222318587,0.,-0.614772843981281)); #188793=DIRECTION('center_axis',(-0.685539043665516,0.,-0.728035864233466)); #188794=DIRECTION('ref_axis',(0.728035864233466,0.,-0.685539043665516)); #188795=DIRECTION('',(0.728035864233466,0.,-0.685539043665516)); #188796=DIRECTION('',(0.,-1.,0.)); #188797=DIRECTION('',(0.728035864233466,0.,-0.685539043665516)); #188798=DIRECTION('center_axis',(-0.764070195910853,0.,-0.645133114729627)); #188799=DIRECTION('ref_axis',(0.645133114729627,0.,-0.764070195910853)); #188800=DIRECTION('',(0.645133114729627,0.,-0.764070195910853)); #188801=DIRECTION('',(0.,-1.,0.)); #188802=DIRECTION('',(0.645133114729627,0.,-0.764070195910853)); #188803=DIRECTION('center_axis',(-0.834730833954491,0.,-0.550658183309428)); #188804=DIRECTION('ref_axis',(0.550658183309428,0.,-0.834730833954491)); #188805=DIRECTION('',(0.550658183309428,0.,-0.834730833954491)); #188806=DIRECTION('',(0.,-1.,0.)); #188807=DIRECTION('',(0.550658183309428,0.,-0.834730833954491)); #188808=DIRECTION('center_axis',(-0.89400449001482,0.,-0.448058000523752)); #188809=DIRECTION('ref_axis',(0.448058000523752,0.,-0.894004490014819)); #188810=DIRECTION('',(0.448058000523752,0.,-0.894004490014819)); #188811=DIRECTION('',(0.,-1.,0.)); #188812=DIRECTION('',(0.448058000523752,0.,-0.894004490014819)); #188813=DIRECTION('center_axis',(-0.939739959830529,0.,-0.341890052352675)); #188814=DIRECTION('ref_axis',(0.341890052352675,0.,-0.939739959830529)); #188815=DIRECTION('',(0.341890052352675,0.,-0.939739959830529)); #188816=DIRECTION('',(0.,-1.,0.)); #188817=DIRECTION('',(0.341890052352675,0.,-0.939739959830529)); #188818=DIRECTION('center_axis',(-0.971902047660111,0.,-0.235385661742773)); #188819=DIRECTION('ref_axis',(0.235385661742773,0.,-0.971902047660111)); #188820=DIRECTION('',(0.235385661742773,0.,-0.971902047660111)); #188821=DIRECTION('',(0.,-1.,0.)); #188822=DIRECTION('',(0.235385661742773,0.,-0.971902047660111)); #188823=DIRECTION('center_axis',(-0.991119325155847,0.,-0.132975498880881)); #188824=DIRECTION('ref_axis',(0.132975498880881,0.,-0.991119325155847)); #188825=DIRECTION('',(0.132975498880881,0.,-0.991119325155847)); #188826=DIRECTION('',(0.,-1.,0.)); #188827=DIRECTION('',(0.132975498880881,0.,-0.991119325155847)); #188828=DIRECTION('center_axis',(-0.999302282880002,0.,-0.0373489950442717)); #188829=DIRECTION('ref_axis',(0.0373489950442717,0.,-0.999302282880002)); #188830=DIRECTION('',(0.0373489950442717,0.,-0.999302282880002)); #188831=DIRECTION('',(0.,-1.,0.)); #188832=DIRECTION('',(0.0373489950442717,0.,-0.999302282880002)); #188833=DIRECTION('center_axis',(0.,-1.,0.)); #188834=DIRECTION('ref_axis',(-0.987129006686494,0.,0.159925995879769)); #188835=DIRECTION('center_axis',(0.,-1.,0.)); #188836=DIRECTION('ref_axis',(-0.987129006686494,0.,0.159925995879769)); #188837=DIRECTION('',(0.,-1.,0.)); #188838=DIRECTION('center_axis',(0.,-1.,0.)); #188839=DIRECTION('ref_axis',(-0.987129006686494,0.,0.159925995879769)); #188840=DIRECTION('center_axis',(-0.967122535950613,0.,0.254310834327709)); #188841=DIRECTION('ref_axis',(-0.254310834327709,0.,-0.967122535950613)); #188842=DIRECTION('',(-0.254310834327709,0.,-0.967122535950613)); #188843=DIRECTION('',(0.,-1.,0.)); #188844=DIRECTION('',(-0.254310834327709,0.,-0.967122535950613)); #188845=DIRECTION('center_axis',(-0.932868151153258,0.,0.360218006995627)); #188846=DIRECTION('ref_axis',(-0.360218006995627,0.,-0.932868151153258)); #188847=DIRECTION('',(-0.360218006995627,0.,-0.932868151153258)); #188848=DIRECTION('',(0.,-1.,0.)); #188849=DIRECTION('',(-0.360218006995627,0.,-0.932868151153258)); #188850=DIRECTION('center_axis',(-0.884988701159742,0.,0.465612498564624)); #188851=DIRECTION('ref_axis',(-0.465612498564624,0.,-0.884988701159742)); #188852=DIRECTION('',(-0.465612498564624,0.,-0.884988701159742)); #188853=DIRECTION('',(0.,-1.,0.)); #188854=DIRECTION('',(-0.465612498564624,0.,-0.884988701159742)); #188855=DIRECTION('center_axis',(-0.824602312728809,0.,0.565712847513913)); #188856=DIRECTION('ref_axis',(-0.565712847513913,0.,-0.824602312728809)); #188857=DIRECTION('',(-0.565712847513913,0.,-0.824602312728809)); #188858=DIRECTION('',(0.,-1.,0.)); #188859=DIRECTION('',(-0.565712847513913,0.,-0.824602312728809)); #188860=DIRECTION('center_axis',(-0.753666671847793,0.,0.657256835450093)); #188861=DIRECTION('ref_axis',(-0.657256835450093,0.,-0.753666671847793)); #188862=DIRECTION('',(-0.657256835450093,0.,-0.753666671847793)); #188863=DIRECTION('',(0.,-1.,0.)); #188864=DIRECTION('',(-0.657256835450093,0.,-0.753666671847793)); #188865=DIRECTION('center_axis',(-0.67751302930104,0.,0.735510771591639)); #188866=DIRECTION('ref_axis',(-0.735510771591639,0.,-0.67751302930104)); #188867=DIRECTION('',(-0.735510771591639,0.,-0.67751302930104)); #188868=DIRECTION('',(0.,-1.,0.)); #188869=DIRECTION('',(-0.735510771591639,0.,-0.67751302930104)); #188870=DIRECTION('center_axis',(-0.591927540391966,0.,0.80599118290805)); #188871=DIRECTION('ref_axis',(-0.80599118290805,0.,-0.591927540391966)); #188872=DIRECTION('',(-0.80599118290805,0.,-0.591927540391966)); #188873=DIRECTION('',(0.,-1.,0.)); #188874=DIRECTION('',(-0.80599118290805,0.,-0.591927540391966)); #188875=DIRECTION('center_axis',(-0.479182840708198,0.,0.877715104786754)); #188876=DIRECTION('ref_axis',(-0.877715104786754,0.,-0.479182840708198)); #188877=DIRECTION('',(-0.877715104786754,0.,-0.479182840708198)); #188878=DIRECTION('',(0.,-1.,0.)); #188879=DIRECTION('',(-0.877715104786754,0.,-0.479182840708198)); #188880=DIRECTION('center_axis',(-0.373338081485327,0.,0.92769535781573)); #188881=DIRECTION('ref_axis',(-0.92769535781573,0.,-0.373338081485327)); #188882=DIRECTION('',(-0.92769535781573,0.,-0.373338081485327)); #188883=DIRECTION('',(0.,-1.,0.)); #188884=DIRECTION('',(-0.92769535781573,0.,-0.373338081485327)); #188885=DIRECTION('center_axis',(0.,-1.,0.)); #188886=DIRECTION('ref_axis',(-0.107369321446536,0.,0.99421920561419)); #188887=DIRECTION('center_axis',(0.,-1.,0.)); #188888=DIRECTION('ref_axis',(-0.107369321446536,0.,0.99421920561419)); #188889=DIRECTION('',(0.,-1.,0.)); #188890=DIRECTION('center_axis',(0.,-1.,0.)); #188891=DIRECTION('ref_axis',(-0.107369321446536,0.,0.99421920561419)); #188892=DIRECTION('center_axis',(-0.0652516107238772,0.,0.997868842733322)); #188893=DIRECTION('ref_axis',(-0.997868842733322,0.,-0.0652516107238772)); #188894=DIRECTION('',(-0.997868842733322,0.,-0.0652516107238772)); #188895=DIRECTION('',(0.,-1.,0.)); #188896=DIRECTION('',(-0.997868842733322,0.,-0.0652516107238772)); #188897=DIRECTION('center_axis',(-0.0180504582550393,0.,0.999837077206473)); #188898=DIRECTION('ref_axis',(-0.999837077206473,0.,-0.0180504582550393)); #188899=DIRECTION('',(-0.999837077206473,0.,-0.0180504582550393)); #188900=DIRECTION('',(0.,-1.,0.)); #188901=DIRECTION('',(-0.999837077206473,0.,-0.0180504582550393)); #188902=DIRECTION('center_axis',(0.,0.,1.)); #188903=DIRECTION('ref_axis',(-1.,0.,0.)); #188904=DIRECTION('',(-1.,0.,0.)); #188905=DIRECTION('',(0.,-1.,0.)); #188906=DIRECTION('',(-1.,0.,0.)); #188907=DIRECTION('center_axis',(-0.99495453601171,0.,0.100326822284583)); #188908=DIRECTION('ref_axis',(-0.100326822284583,0.,-0.99495453601171)); #188909=DIRECTION('',(-0.100326822284583,0.,-0.99495453601171)); #188910=DIRECTION('',(0.,-1.,0.)); #188911=DIRECTION('',(-0.100326822284583,0.,-0.99495453601171)); #188912=DIRECTION('center_axis',(-0.999999999751608,0.,-2.22886445309997E-5)); #188913=DIRECTION('ref_axis',(2.22886445309997E-5,0.,-0.999999999751608)); #188914=DIRECTION('',(2.22886445309997E-5,0.,-0.999999999751608)); #188915=DIRECTION('',(0.,-1.,0.)); #188916=DIRECTION('',(2.22886445309997E-5,0.,-0.999999999751608)); #188917=DIRECTION('center_axis',(0.,-1.,0.)); #188918=DIRECTION('ref_axis',(-0.143506905920059,0.,-0.989649315643299)); #188919=DIRECTION('center_axis',(0.,-1.,0.)); #188920=DIRECTION('ref_axis',(-0.143506905920059,0.,-0.989649315643299)); #188921=DIRECTION('',(0.,-1.,0.)); #188922=DIRECTION('center_axis',(0.,-1.,0.)); #188923=DIRECTION('ref_axis',(-0.143506905920059,0.,-0.989649315643299)); #188924=DIRECTION('center_axis',(0.,-1.,0.)); #188925=DIRECTION('ref_axis',(-0.341865391181906,0.,-0.939748931530141)); #188926=DIRECTION('center_axis',(0.,-1.,0.)); #188927=DIRECTION('ref_axis',(-0.341865391181906,0.,-0.939748931530141)); #188928=DIRECTION('',(0.,-1.,0.)); #188929=DIRECTION('center_axis',(0.,-1.,0.)); #188930=DIRECTION('ref_axis',(-0.341865391181906,0.,-0.939748931530141)); #188931=DIRECTION('center_axis',(-0.435302490999892,0.,-0.900284255848834)); #188932=DIRECTION('ref_axis',(0.900284255848834,0.,-0.435302490999892)); #188933=DIRECTION('',(0.900284255848834,0.,-0.435302490999892)); #188934=DIRECTION('',(0.,-1.,0.)); #188935=DIRECTION('',(0.900284255848834,0.,-0.435302490999892)); #188936=DIRECTION('center_axis',(-0.544465140520064,0.,-0.838783470723206)); #188937=DIRECTION('ref_axis',(0.838783470723206,0.,-0.544465140520064)); #188938=DIRECTION('',(0.838783470723206,0.,-0.544465140520064)); #188939=DIRECTION('',(0.,-1.,0.)); #188940=DIRECTION('',(0.838783470723206,0.,-0.544465140520064)); #188941=DIRECTION('center_axis',(-0.64622606075244,0.,-0.763146040024047)); #188942=DIRECTION('ref_axis',(0.763146040024047,0.,-0.64622606075244)); #188943=DIRECTION('',(0.763146040024047,0.,-0.64622606075244)); #188944=DIRECTION('',(0.,-1.,0.)); #188945=DIRECTION('',(0.763146040024047,0.,-0.64622606075244)); #188946=DIRECTION('center_axis',(-0.720750593877967,0.,-0.693194475904531)); #188947=DIRECTION('ref_axis',(0.693194475904531,0.,-0.720750593877967)); #188948=DIRECTION('',(0.693194475904531,0.,-0.720750593877967)); #188949=DIRECTION('',(0.,-1.,0.)); #188950=DIRECTION('',(0.693194475904531,0.,-0.720750593877967)); #188951=DIRECTION('center_axis',(-0.795195706126285,0.,-0.606352858456459)); #188952=DIRECTION('ref_axis',(0.606352858456459,0.,-0.795195706126285)); #188953=DIRECTION('',(0.606352858456459,0.,-0.795195706126285)); #188954=DIRECTION('',(0.,-1.,0.)); #188955=DIRECTION('',(0.606352858456459,0.,-0.795195706126285)); #188956=DIRECTION('center_axis',(-0.860395653471441,0.,-0.509626647152063)); #188957=DIRECTION('ref_axis',(0.509626647152063,0.,-0.860395653471441)); #188958=DIRECTION('',(0.509626647152063,0.,-0.860395653471441)); #188959=DIRECTION('',(0.,-1.,0.)); #188960=DIRECTION('',(0.509626647152063,0.,-0.860395653471441)); #188961=DIRECTION('center_axis',(-0.9139943917155,0.,-0.405726819316413)); #188962=DIRECTION('ref_axis',(0.405726819316413,0.,-0.9139943917155)); #188963=DIRECTION('',(0.405726819316413,0.,-0.9139943917155)); #188964=DIRECTION('',(0.,-1.,0.)); #188965=DIRECTION('',(0.405726819316413,0.,-0.9139943917155)); #188966=DIRECTION('center_axis',(-0.954123584684657,0.,-0.299413067765088)); #188967=DIRECTION('ref_axis',(0.299413067765088,0.,-0.954123584684657)); #188968=DIRECTION('',(0.299413067765088,0.,-0.954123584684657)); #188969=DIRECTION('',(0.,-1.,0.)); #188970=DIRECTION('',(0.299413067765088,0.,-0.954123584684657)); #188971=DIRECTION('center_axis',(-0.980795024731057,0.,-0.195041327576505)); #188972=DIRECTION('ref_axis',(0.195041327576505,0.,-0.980795024731057)); #188973=DIRECTION('',(0.195041327576505,0.,-0.980795024731057)); #188974=DIRECTION('',(0.,-1.,0.)); #188975=DIRECTION('',(0.195041327576505,0.,-0.980795024731057)); #188976=DIRECTION('center_axis',(-0.99554375248668,0.,-0.0943007788130139)); #188977=DIRECTION('ref_axis',(0.0943007788130139,0.,-0.995543752486679)); #188978=DIRECTION('',(0.0943007788130139,0.,-0.995543752486679)); #188979=DIRECTION('',(0.,-1.,0.)); #188980=DIRECTION('',(0.0943007788130139,0.,-0.995543752486679)); #188981=DIRECTION('center_axis',(-0.999999200062856,0.,-0.00126486111801993)); #188982=DIRECTION('ref_axis',(0.00126486111801993,0.,-0.999999200062856)); #188983=DIRECTION('',(0.00126486111801993,0.,-0.999999200062856)); #188984=DIRECTION('',(0.,-1.,0.)); #188985=DIRECTION('',(0.00126486111801993,0.,-0.999999200062856)); #188986=DIRECTION('center_axis',(-0.995404957683997,0.,0.095754739925083)); #188987=DIRECTION('ref_axis',(-0.095754739925083,0.,-0.995404957683997)); #188988=DIRECTION('',(-0.095754739925083,0.,-0.995404957683997)); #188989=DIRECTION('',(0.,-1.,0.)); #188990=DIRECTION('',(-0.095754739925083,0.,-0.995404957683997)); #188991=DIRECTION('center_axis',(-0.979960302722255,0.,0.199192884131203)); #188992=DIRECTION('ref_axis',(-0.199192884131203,0.,-0.979960302722255)); #188993=DIRECTION('',(-0.199192884131203,0.,-0.979960302722255)); #188994=DIRECTION('',(0.,-1.,0.)); #188995=DIRECTION('',(-0.199192884131203,0.,-0.979960302722255)); #188996=DIRECTION('center_axis',(-0.952516143811798,0.,0.304488088072263)); #188997=DIRECTION('ref_axis',(-0.304488088072263,0.,-0.952516143811798)); #188998=DIRECTION('',(-0.304488088072263,0.,-0.952516143811798)); #188999=DIRECTION('',(0.,-1.,0.)); #189000=DIRECTION('',(-0.304488088072263,0.,-0.952516143811798)); #189001=DIRECTION('center_axis',(-0.91291516205649,0.,0.408149368353514)); #189002=DIRECTION('ref_axis',(-0.408149368353514,0.,-0.91291516205649)); #189003=DIRECTION('',(-0.408149368353514,0.,-0.91291516205649)); #189004=DIRECTION('',(0.,-1.,0.)); #189005=DIRECTION('',(-0.408149368353514,0.,-0.91291516205649)); #189006=DIRECTION('center_axis',(-0.862405210464167,0.,0.506218582199681)); #189007=DIRECTION('ref_axis',(-0.506218582199681,0.,-0.862405210464167)); #189008=DIRECTION('',(-0.506218582199681,0.,-0.862405210464167)); #189009=DIRECTION('',(0.,-1.,0.)); #189010=DIRECTION('',(-0.506218582199681,0.,-0.862405210464167)); #189011=DIRECTION('center_axis',(-0.803601473673023,0.,0.595167767533277)); #189012=DIRECTION('ref_axis',(-0.595167767533277,0.,-0.803601473673023)); #189013=DIRECTION('',(-0.595167767533277,0.,-0.803601473673023)); #189014=DIRECTION('',(0.,-1.,0.)); #189015=DIRECTION('',(-0.595167767533277,0.,-0.803601473673023)); #189016=DIRECTION('center_axis',(-0.740616227839554,0.,0.67192827225881)); #189017=DIRECTION('ref_axis',(-0.67192827225881,0.,-0.740616227839554)); #189018=DIRECTION('',(-0.67192827225881,0.,-0.740616227839554)); #189019=DIRECTION('',(0.,-1.,0.)); #189020=DIRECTION('',(-0.67192827225881,0.,-0.740616227839554)); #189021=DIRECTION('center_axis',(-0.662688567211401,0.,0.748895094714407)); #189022=DIRECTION('ref_axis',(-0.748895094714407,0.,-0.662688567211401)); #189023=DIRECTION('',(-0.748895094714407,0.,-0.662688567211401)); #189024=DIRECTION('',(0.,-1.,0.)); #189025=DIRECTION('',(-0.748895094714407,0.,-0.662688567211401)); #189026=DIRECTION('center_axis',(-0.551308079507443,0.,0.834301744856029)); #189027=DIRECTION('ref_axis',(-0.834301744856029,0.,-0.551308079507443)); #189028=DIRECTION('',(-0.834301744856029,0.,-0.551308079507443)); #189029=DIRECTION('',(0.,-1.,0.)); #189030=DIRECTION('',(-0.834301744856029,0.,-0.551308079507443)); #189031=DIRECTION('center_axis',(-0.444808136005124,0.,0.895625882912976)); #189032=DIRECTION('ref_axis',(-0.895625882912976,0.,-0.444808136005124)); #189033=DIRECTION('',(-0.895625882912976,0.,-0.444808136005124)); #189034=DIRECTION('',(0.,-1.,0.)); #189035=DIRECTION('',(-0.895625882912976,0.,-0.444808136005124)); #189036=DIRECTION('center_axis',(-0.345512017946216,0.,0.938414325047702)); #189037=DIRECTION('ref_axis',(-0.938414325047702,0.,-0.345512017946216)); #189038=DIRECTION('',(-0.938414325047702,0.,-0.345512017946216)); #189039=DIRECTION('',(0.,-1.,0.)); #189040=DIRECTION('',(-0.938414325047702,0.,-0.345512017946216)); #189041=DIRECTION('center_axis',(0.,-1.,0.)); #189042=DIRECTION('ref_axis',(-0.154328764537729,0.,0.988019550634631)); #189043=DIRECTION('center_axis',(0.,-1.,0.)); #189044=DIRECTION('ref_axis',(-0.154328764537729,0.,0.988019550634631)); #189045=DIRECTION('',(0.,-1.,0.)); #189046=DIRECTION('center_axis',(0.,-1.,0.)); #189047=DIRECTION('ref_axis',(-0.154328764537729,0.,0.988019550634631)); #189048=DIRECTION('center_axis',(0.,-1.,0.)); #189049=DIRECTION('ref_axis',(0.105261616415085,0.,0.994444564623732)); #189050=DIRECTION('center_axis',(0.,-1.,0.)); #189051=DIRECTION('ref_axis',(0.105261616415085,0.,0.994444564623732)); #189052=DIRECTION('',(0.,-1.,0.)); #189053=DIRECTION('center_axis',(0.,-1.,0.)); #189054=DIRECTION('ref_axis',(0.105261616415085,0.,0.994444564623732)); #189055=DIRECTION('center_axis',(0.,-1.,0.)); #189056=DIRECTION('ref_axis',(0.215196041835853,0.,0.976570869716162)); #189057=DIRECTION('center_axis',(0.,-1.,0.)); #189058=DIRECTION('ref_axis',(0.215196041835853,0.,0.976570869716162)); #189059=DIRECTION('',(0.,-1.,0.)); #189060=DIRECTION('center_axis',(0.,-1.,0.)); #189061=DIRECTION('ref_axis',(0.215196041835853,0.,0.976570869716162)); #189062=DIRECTION('center_axis',(0.,-1.,0.)); #189063=DIRECTION('ref_axis',(0.383583855435982,0.,0.923506050791692)); #189064=DIRECTION('center_axis',(0.,-1.,0.)); #189065=DIRECTION('ref_axis',(0.383583855435982,0.,0.923506050791692)); #189066=DIRECTION('',(0.,-1.,0.)); #189067=DIRECTION('center_axis',(0.,-1.,0.)); #189068=DIRECTION('ref_axis',(0.383583855435982,0.,0.923506050791692)); #189069=DIRECTION('center_axis',(0.470246361734618,0.,0.882535188689581)); #189070=DIRECTION('ref_axis',(-0.882535188689581,0.,0.470246361734618)); #189071=DIRECTION('',(-0.882535188689581,0.,0.470246361734618)); #189072=DIRECTION('',(0.,-1.,0.)); #189073=DIRECTION('',(-0.882535188689581,0.,0.470246361734618)); #189074=DIRECTION('center_axis',(0.576940988133857,0.,0.816785832523513)); #189075=DIRECTION('ref_axis',(-0.816785832523513,0.,0.576940988133857)); #189076=DIRECTION('',(-0.816785832523513,0.,0.576940988133857)); #189077=DIRECTION('',(0.,-1.,0.)); #189078=DIRECTION('',(-0.816785832523513,0.,0.576940988133857)); #189079=DIRECTION('center_axis',(0.696764833159963,0.,0.717299635627657)); #189080=DIRECTION('ref_axis',(-0.717299635627657,0.,0.696764833159963)); #189081=DIRECTION('',(-0.717299635627657,0.,0.696764833159963)); #189082=DIRECTION('',(0.,-1.,0.)); #189083=DIRECTION('',(-0.717299635627657,0.,0.696764833159963)); #189084=DIRECTION('center_axis',(0.769061170691106,0.,0.639175183916918)); #189085=DIRECTION('ref_axis',(-0.639175183916918,0.,0.769061170691106)); #189086=DIRECTION('',(-0.639175183916918,0.,0.769061170691106)); #189087=DIRECTION('',(0.,-1.,0.)); #189088=DIRECTION('',(-0.639175183916918,0.,0.769061170691106)); #189089=DIRECTION('center_axis',(0.827866759440903,0.,0.560924797644763)); #189090=DIRECTION('ref_axis',(-0.560924797644763,0.,0.827866759440903)); #189091=DIRECTION('',(-0.560924797644763,0.,0.827866759440903)); #189092=DIRECTION('',(0.,-1.,0.)); #189093=DIRECTION('',(-0.560924797644763,0.,0.827866759440903)); #189094=DIRECTION('center_axis',(0.881478228040633,0.,0.472224664212222)); #189095=DIRECTION('ref_axis',(-0.472224664212222,0.,0.881478228040633)); #189096=DIRECTION('',(-0.472224664212222,0.,0.881478228040633)); #189097=DIRECTION('',(0.,-1.,0.)); #189098=DIRECTION('',(-0.472224664212222,0.,0.881478228040633)); #189099=DIRECTION('center_axis',(0.926972291584,0.,0.375129805048209)); #189100=DIRECTION('ref_axis',(-0.375129805048209,0.,0.926972291584)); #189101=DIRECTION('',(-0.375129805048209,0.,0.926972291584)); #189102=DIRECTION('',(0.,-1.,0.)); #189103=DIRECTION('',(-0.375129805048209,0.,0.926972291584)); #189104=DIRECTION('center_axis',(0.961858538489376,0.,0.273547348616437)); #189105=DIRECTION('ref_axis',(-0.273547348616437,0.,0.961858538489376)); #189106=DIRECTION('',(-0.273547348616437,0.,0.961858538489376)); #189107=DIRECTION('',(0.,-1.,0.)); #189108=DIRECTION('',(-0.273547348616437,0.,0.961858538489376)); #189109=DIRECTION('center_axis',(0.985377252910868,0.,0.1703868229818)); #189110=DIRECTION('ref_axis',(-0.1703868229818,0.,0.985377252910868)); #189111=DIRECTION('',(-0.1703868229818,0.,0.985377252910868)); #189112=DIRECTION('',(0.,-1.,0.)); #189113=DIRECTION('',(-0.1703868229818,0.,0.985377252910868)); #189114=DIRECTION('center_axis',(0.997562431310113,0.,0.0697796219440608)); #189115=DIRECTION('ref_axis',(-0.0697796219440608,0.,0.997562431310113)); #189116=DIRECTION('',(-0.0697796219440608,0.,0.997562431310113)); #189117=DIRECTION('',(0.,-1.,0.)); #189118=DIRECTION('',(-0.0697796219440608,0.,0.997562431310113)); #189119=DIRECTION('center_axis',(0.,-1.,0.)); #189120=DIRECTION('ref_axis',(0.992317451703254,0.,-0.123717723245942)); #189121=DIRECTION('center_axis',(0.,-1.,0.)); #189122=DIRECTION('ref_axis',(0.992317451703254,0.,-0.123717723245942)); #189123=DIRECTION('',(0.,-1.,0.)); #189124=DIRECTION('center_axis',(0.,-1.,0.)); #189125=DIRECTION('ref_axis',(0.992317451703254,0.,-0.123717723245942)); #189126=DIRECTION('center_axis',(0.975862990567076,0.,-0.218383661571745)); #189127=DIRECTION('ref_axis',(0.218383661571745,0.,0.975862990567076)); #189128=DIRECTION('',(0.218383661571745,0.,0.975862990567076)); #189129=DIRECTION('',(0.,-1.,0.)); #189130=DIRECTION('',(0.218383661571745,0.,0.975862990567076)); #189131=DIRECTION('center_axis',(0.946860557498809,0.,-0.321644344972897)); #189132=DIRECTION('ref_axis',(0.321644344972897,0.,0.946860557498809)); #189133=DIRECTION('',(0.321644344972897,0.,0.946860557498809)); #189134=DIRECTION('',(0.,-1.,0.)); #189135=DIRECTION('',(0.321644344972897,0.,0.946860557498809)); #189136=DIRECTION('center_axis',(0.905370848743235,0.,-0.424621744904749)); #189137=DIRECTION('ref_axis',(0.424621744904749,0.,0.905370848743235)); #189138=DIRECTION('',(0.424621744904749,0.,0.905370848743235)); #189139=DIRECTION('',(0.,-1.,0.)); #189140=DIRECTION('',(0.424621744904749,0.,0.905370848743235)); #189141=DIRECTION('center_axis',(0.851728987173092,0.,-0.523982568802722)); #189142=DIRECTION('ref_axis',(0.523982568802722,0.,0.851728987173092)); #189143=DIRECTION('',(0.523982568802722,0.,0.851728987173092)); #189144=DIRECTION('',(0.,-1.,0.)); #189145=DIRECTION('',(0.523982568802722,0.,0.851728987173092)); #189146=DIRECTION('center_axis',(0.787563904518914,0.,-0.616232988648711)); #189147=DIRECTION('ref_axis',(0.616232988648711,0.,0.787563904518914)); #189148=DIRECTION('',(0.616232988648711,0.,0.787563904518914)); #189149=DIRECTION('',(0.,-1.,0.)); #189150=DIRECTION('',(0.616232988648711,0.,0.787563904518914)); #189151=DIRECTION('center_axis',(0.714779294915764,0.,-0.699350097990787)); #189152=DIRECTION('ref_axis',(0.699350097990787,0.,0.714779294915764)); #189153=DIRECTION('',(0.699350097990787,0.,0.714779294915764)); #189154=DIRECTION('',(0.,-1.,0.)); #189155=DIRECTION('',(0.699350097990787,0.,0.714779294915764)); #189156=DIRECTION('center_axis',(0.624681952144382,0.,-0.780879285590983)); #189157=DIRECTION('ref_axis',(0.780879285590983,0.,0.624681952144382)); #189158=DIRECTION('',(0.780879285590983,0.,0.624681952144382)); #189159=DIRECTION('',(0.,-1.,0.)); #189160=DIRECTION('',(0.780879285590983,0.,0.624681952144382)); #189161=DIRECTION('center_axis',(0.513719479312118,0.,-0.857958213769929)); #189162=DIRECTION('ref_axis',(0.857958213769929,0.,0.513719479312118)); #189163=DIRECTION('',(0.857958213769929,0.,0.513719479312118)); #189164=DIRECTION('',(0.,-1.,0.)); #189165=DIRECTION('',(0.857958213769929,0.,0.513719479312118)); #189166=DIRECTION('center_axis',(0.406840981701309,0.,-0.913498995953644)); #189167=DIRECTION('ref_axis',(0.913498995953644,0.,0.406840981701309)); #189168=DIRECTION('',(0.913498995953644,0.,0.406840981701309)); #189169=DIRECTION('',(0.,-1.,0.)); #189170=DIRECTION('',(0.913498995953644,0.,0.406840981701309)); #189171=DIRECTION('center_axis',(0.309365725863441,0.,-0.950943135871429)); #189172=DIRECTION('ref_axis',(0.950943135871428,0.,0.309365725863441)); #189173=DIRECTION('',(0.950943135871428,0.,0.309365725863441)); #189174=DIRECTION('',(0.,-1.,0.)); #189175=DIRECTION('',(0.950943135871428,0.,0.309365725863441)); #189176=DIRECTION('center_axis',(0.,-1.,0.)); #189177=DIRECTION('ref_axis',(-0.011326266011064,0.,-0.999935855791884)); #189178=DIRECTION('center_axis',(0.,-1.,0.)); #189179=DIRECTION('ref_axis',(-0.011326266011064,0.,-0.999935855791884)); #189180=DIRECTION('',(0.,-1.,0.)); #189181=DIRECTION('center_axis',(0.,-1.,0.)); #189182=DIRECTION('ref_axis',(-0.011326266011064,0.,-0.999935855791884)); #189183=DIRECTION('center_axis',(2.41181417839594E-5,0.,-0.999999999709158)); #189184=DIRECTION('ref_axis',(0.999999999709158,0.,2.41181417839594E-5)); #189185=DIRECTION('',(0.999999999709158,0.,2.41181417839594E-5)); #189186=DIRECTION('',(0.,-1.,0.)); #189187=DIRECTION('',(0.999999999709158,0.,2.41181417839594E-5)); #189188=DIRECTION('center_axis',(0.999999998596547,0.,-5.29802376362497E-5)); #189189=DIRECTION('ref_axis',(5.29802376362497E-5,0.,0.999999998596547)); #189190=DIRECTION('',(5.29802376362497E-5,0.,0.999999998596547)); #189191=DIRECTION('',(0.,-1.,0.)); #189192=DIRECTION('',(5.29802376362497E-5,0.,0.999999998596547)); #189193=DIRECTION('center_axis',(0.,0.,1.)); #189194=DIRECTION('ref_axis',(-1.,0.,0.)); #189195=DIRECTION('',(-1.,0.,0.)); #189196=DIRECTION('',(0.,-1.,0.)); #189197=DIRECTION('',(-1.,0.,0.)); #189198=DIRECTION('center_axis',(0.,-1.,0.)); #189199=DIRECTION('ref_axis',(0.911243193080562,0.,-0.411868720667572)); #189200=DIRECTION('center_axis',(0.,1.,0.)); #189201=DIRECTION('ref_axis',(0.911243193080562,0.,-0.411868720667572)); #189202=DIRECTION('',(0.,-1.,0.)); #189203=DIRECTION('center_axis',(0.,1.,0.)); #189204=DIRECTION('ref_axis',(0.911243193080562,0.,-0.411868720667572)); #189205=DIRECTION('center_axis',(-0.865969902462409,0.,0.500096118790424)); #189206=DIRECTION('ref_axis',(-0.500096118790424,0.,-0.865969902462409)); #189207=DIRECTION('',(-0.500096118790424,0.,-0.865969902462409)); #189208=DIRECTION('',(0.,-1.,0.)); #189209=DIRECTION('',(-0.500096118790424,0.,-0.865969902462409)); #189210=DIRECTION('center_axis',(0.,-1.,0.)); #189211=DIRECTION('ref_axis',(0.0429755283937396,0.,0.999076125207323)); #189212=DIRECTION('center_axis',(0.,-1.,0.)); #189213=DIRECTION('ref_axis',(0.0429755283937396,0.,0.999076125207323)); #189214=DIRECTION('',(0.,-1.,0.)); #189215=DIRECTION('center_axis',(0.,-1.,0.)); #189216=DIRECTION('ref_axis',(0.0429755283937396,0.,0.999076125207323)); #189217=DIRECTION('center_axis',(0.,-1.,0.)); #189218=DIRECTION('ref_axis',(0.187814211339155,0.,0.982204572387571)); #189219=DIRECTION('center_axis',(0.,-1.,0.)); #189220=DIRECTION('ref_axis',(0.187814211339155,0.,0.982204572387571)); #189221=DIRECTION('',(0.,-1.,0.)); #189222=DIRECTION('center_axis',(0.,-1.,0.)); #189223=DIRECTION('ref_axis',(0.187814211339155,0.,0.982204572387571)); #189224=DIRECTION('center_axis',(0.251000852522494,0.,0.967986865630408)); #189225=DIRECTION('ref_axis',(-0.967986865630408,0.,0.251000852522494)); #189226=DIRECTION('',(-0.967986865630408,0.,0.251000852522494)); #189227=DIRECTION('',(-0.967986865630408,0.,0.251000852522494)); #189228=DIRECTION('center_axis',(0.,1.,0.)); #189229=DIRECTION('ref_axis',(1.,0.,0.)); #189230=DIRECTION('center_axis',(0.00016220055605781,0.,-0.99999998684549)); #189231=DIRECTION('ref_axis',(0.99999998684549,0.,0.00016220055605781)); #189232=DIRECTION('',(0.99999998684549,0.,0.00016220055605781)); #189233=DIRECTION('',(0.,-1.,0.)); #189234=DIRECTION('',(0.99999998684549,0.,0.00016220055605781)); #189235=DIRECTION('',(0.,-1.,0.)); #189236=DIRECTION('center_axis',(1.,0.,0.)); #189237=DIRECTION('ref_axis',(0.,0.,1.)); #189238=DIRECTION('',(0.,0.,1.)); #189239=DIRECTION('',(0.,-1.,0.)); #189240=DIRECTION('',(0.,0.,1.)); #189241=DIRECTION('center_axis',(0.995244531685655,0.,-0.0974080189193997)); #189242=DIRECTION('ref_axis',(0.0974080189193997,0.,0.995244531685655)); #189243=DIRECTION('',(0.0974080189193997,0.,0.995244531685655)); #189244=DIRECTION('',(0.,-1.,0.)); #189245=DIRECTION('',(0.0974080189193997,0.,0.995244531685655)); #189246=DIRECTION('center_axis',(0.941468240867542,0.,-0.337101693021225)); #189247=DIRECTION('ref_axis',(0.337101693021225,0.,0.941468240867542)); #189248=DIRECTION('',(0.337101693021225,0.,0.941468240867542)); #189249=DIRECTION('',(0.,-1.,0.)); #189250=DIRECTION('',(0.337101693021225,0.,0.941468240867542)); #189251=DIRECTION('center_axis',(0.812310452700415,0.,-0.583225281030965)); #189252=DIRECTION('ref_axis',(0.583225281030965,0.,0.812310452700414)); #189253=DIRECTION('',(0.583225281030965,0.,0.812310452700414)); #189254=DIRECTION('',(0.,-1.,0.)); #189255=DIRECTION('',(0.583225281030965,0.,0.812310452700414)); #189256=DIRECTION('center_axis',(0.642308631252842,0.,-0.766446098703687)); #189257=DIRECTION('ref_axis',(0.766446098703687,0.,0.642308631252842)); #189258=DIRECTION('',(0.766446098703687,0.,0.642308631252842)); #189259=DIRECTION('',(0.,-1.,0.)); #189260=DIRECTION('',(0.766446098703687,0.,0.642308631252842)); #189261=DIRECTION('center_axis',(0.475966018082457,0.,-0.879463671580998)); #189262=DIRECTION('ref_axis',(0.879463671580998,0.,0.475966018082458)); #189263=DIRECTION('',(0.879463671580998,0.,0.475966018082458)); #189264=DIRECTION('',(0.,-1.,0.)); #189265=DIRECTION('',(0.879463671580998,0.,0.475966018082458)); #189266=DIRECTION('center_axis',(0.,-1.,0.)); #189267=DIRECTION('ref_axis',(0.505954914394499,0.,-0.862559925222622)); #189268=DIRECTION('center_axis',(0.,-1.,0.)); #189269=DIRECTION('ref_axis',(0.505954914394499,0.,-0.862559925222622)); #189270=DIRECTION('',(0.,-1.,0.)); #189271=DIRECTION('center_axis',(0.,-1.,0.)); #189272=DIRECTION('ref_axis',(0.505954914394499,0.,-0.862559925222622)); #189273=DIRECTION('center_axis',(0.,-1.,0.)); #189274=DIRECTION('ref_axis',(-0.995038503018229,0.,-0.0994905900637968)); #189275=DIRECTION('center_axis',(0.,-1.,0.)); #189276=DIRECTION('ref_axis',(-0.995038503018229,0.,-0.0994905900637968)); #189277=DIRECTION('',(0.,-1.,0.)); #189278=DIRECTION('center_axis',(0.,-1.,0.)); #189279=DIRECTION('ref_axis',(-0.995038503018229,0.,-0.0994905900637968)); #189280=DIRECTION('center_axis',(-0.981594190274854,0.,-0.190978652258972)); #189281=DIRECTION('ref_axis',(0.190978652258972,0.,-0.981594190274854)); #189282=DIRECTION('',(0.190978652258972,0.,-0.981594190274854)); #189283=DIRECTION('',(0.,-1.,0.)); #189284=DIRECTION('',(0.190978652258972,0.,-0.981594190274854)); #189285=DIRECTION('center_axis',(0.,-1.,0.)); #189286=DIRECTION('ref_axis',(0.987192999315105,0.,0.159530505243501)); #189287=DIRECTION('center_axis',(0.,1.,0.)); #189288=DIRECTION('ref_axis',(0.987192999315105,0.,0.159530505243501)); #189289=DIRECTION('',(0.,-1.,0.)); #189290=DIRECTION('center_axis',(0.,1.,0.)); #189291=DIRECTION('ref_axis',(0.987192999315105,0.,0.159530505243501)); #189292=DIRECTION('center_axis',(0.,-1.,0.)); #189293=DIRECTION('ref_axis',(0.574285311342524,0.,0.818655227294262)); #189294=DIRECTION('center_axis',(0.,1.,0.)); #189295=DIRECTION('ref_axis',(0.574285311342524,0.,0.818655227294262)); #189296=DIRECTION('',(0.,-1.,0.)); #189297=DIRECTION('center_axis',(0.,1.,0.)); #189298=DIRECTION('ref_axis',(0.574285311342524,0.,0.818655227294262)); #189299=DIRECTION('center_axis',(0.,-1.,0.)); #189300=DIRECTION('ref_axis',(0.0322345579618543,0.,0.999480331608883)); #189301=DIRECTION('center_axis',(0.,1.,0.)); #189302=DIRECTION('ref_axis',(0.0322345579618543,0.,0.999480331608883)); #189303=DIRECTION('',(0.,-1.,0.)); #189304=DIRECTION('center_axis',(0.,1.,0.)); #189305=DIRECTION('ref_axis',(0.0322345579618543,0.,0.999480331608883)); #189306=DIRECTION('center_axis',(-0.0338975482847514,0.,-0.999425312977555)); #189307=DIRECTION('ref_axis',(0.999425312977555,0.,-0.0338975482847514)); #189308=DIRECTION('',(0.999425312977555,0.,-0.0338975482847514)); #189309=DIRECTION('',(0.,-1.,0.)); #189310=DIRECTION('',(0.999425312977555,0.,-0.0338975482847514)); #189311=DIRECTION('center_axis',(0.,-1.,0.)); #189312=DIRECTION('ref_axis',(0.0444734497475922,0.,-0.999010566644592)); #189313=DIRECTION('center_axis',(0.,-1.,0.)); #189314=DIRECTION('ref_axis',(0.0444734497475922,0.,-0.999010566644592)); #189315=DIRECTION('',(0.,-1.,0.)); #189316=DIRECTION('center_axis',(0.,-1.,0.)); #189317=DIRECTION('ref_axis',(0.0444734497475922,0.,-0.999010566644592)); #189318=DIRECTION('center_axis',(-0.73949357310247,0.,-0.67316361706508)); #189319=DIRECTION('ref_axis',(0.67316361706508,0.,-0.73949357310247)); #189320=DIRECTION('',(0.67316361706508,0.,-0.73949357310247)); #189321=DIRECTION('',(0.,-1.,0.)); #189322=DIRECTION('',(0.67316361706508,0.,-0.73949357310247)); #189323=DIRECTION('center_axis',(-0.881036792179898,0.,-0.473047746876946)); #189324=DIRECTION('ref_axis',(0.473047746876946,0.,-0.881036792179898)); #189325=DIRECTION('',(0.473047746876946,0.,-0.881036792179898)); #189326=DIRECTION('',(0.,-1.,0.)); #189327=DIRECTION('',(0.473047746876946,0.,-0.881036792179898)); #189328=DIRECTION('center_axis',(0.,-1.,0.)); #189329=DIRECTION('ref_axis',(-0.946455290640307,0.,-0.322834915737088)); #189330=DIRECTION('center_axis',(0.,-1.,0.)); #189331=DIRECTION('ref_axis',(-0.946455290640307,0.,-0.322834915737088)); #189332=DIRECTION('',(0.,-1.,0.)); #189333=DIRECTION('center_axis',(0.,-1.,0.)); #189334=DIRECTION('ref_axis',(-0.946455290640307,0.,-0.322834915737088)); #189335=DIRECTION('center_axis',(-0.999924369252878,0.,-0.0122986086300131)); #189336=DIRECTION('ref_axis',(0.0122986086300131,0.,-0.999924369252878)); #189337=DIRECTION('',(0.0122986086300131,0.,-0.999924369252878)); #189338=DIRECTION('',(0.,-1.,0.)); #189339=DIRECTION('',(0.0122986086300131,0.,-0.999924369252878)); #189340=DIRECTION('center_axis',(0.,-1.,0.)); #189341=DIRECTION('ref_axis',(-0.943070133623558,0.,-0.33259393119425)); #189342=DIRECTION('center_axis',(0.,-1.,0.)); #189343=DIRECTION('ref_axis',(-0.943070133623558,0.,-0.33259393119425)); #189344=DIRECTION('',(0.,-1.,0.)); #189345=DIRECTION('center_axis',(0.,-1.,0.)); #189346=DIRECTION('ref_axis',(-0.943070133623558,0.,-0.33259393119425)); #189347=DIRECTION('center_axis',(0.,-1.,0.)); #189348=DIRECTION('ref_axis',(-0.89781309311176,0.,0.440376713549994)); #189349=DIRECTION('center_axis',(0.,-1.,0.)); #189350=DIRECTION('ref_axis',(-0.89781309311176,0.,0.440376713549994)); #189351=DIRECTION('',(0.,-1.,0.)); #189352=DIRECTION('center_axis',(0.,-1.,0.)); #189353=DIRECTION('ref_axis',(-0.89781309311176,0.,0.440376713549994)); #189354=DIRECTION('center_axis',(0.,-1.,0.)); #189355=DIRECTION('ref_axis',(-0.126451985302744,0.,0.991972729167992)); #189356=DIRECTION('center_axis',(0.,-1.,0.)); #189357=DIRECTION('ref_axis',(-0.126451985302744,0.,0.991972729167992)); #189358=DIRECTION('',(0.,-1.,0.)); #189359=DIRECTION('center_axis',(0.,-1.,0.)); #189360=DIRECTION('ref_axis',(-0.126451985302744,0.,0.991972729167992)); #189361=DIRECTION('center_axis',(-1.,0.,0.)); #189362=DIRECTION('ref_axis',(0.,0.,-1.)); #189363=DIRECTION('',(0.,0.,-1.)); #189364=DIRECTION('',(0.,-1.,0.)); #189365=DIRECTION('',(0.,0.,-1.)); #189366=DIRECTION('center_axis',(0.,-1.,0.)); #189367=DIRECTION('ref_axis',(-0.991185046264981,0.,-0.132484731424746)); #189368=DIRECTION('center_axis',(0.,-1.,0.)); #189369=DIRECTION('ref_axis',(-0.991185046264981,0.,-0.132484731424746)); #189370=DIRECTION('',(0.,-1.,0.)); #189371=DIRECTION('center_axis',(0.,-1.,0.)); #189372=DIRECTION('ref_axis',(-0.991185046264981,0.,-0.132484731424746)); #189373=DIRECTION('center_axis',(0.,-1.,0.)); #189374=DIRECTION('ref_axis',(-0.542378618362977,0.,0.840134176392478)); #189375=DIRECTION('center_axis',(0.,-1.,0.)); #189376=DIRECTION('ref_axis',(-0.542378618362977,0.,0.840134176392478)); #189377=DIRECTION('',(0.,-1.,0.)); #189378=DIRECTION('center_axis',(0.,-1.,0.)); #189379=DIRECTION('ref_axis',(-0.542378618362977,0.,0.840134176392478)); #189380=DIRECTION('center_axis',(0.,-1.,0.)); #189381=DIRECTION('ref_axis',(-0.156685574665523,0.,0.987648536014576)); #189382=DIRECTION('center_axis',(0.,-1.,0.)); #189383=DIRECTION('ref_axis',(-0.156685574665523,0.,0.987648536014576)); #189384=DIRECTION('',(0.,-1.,0.)); #189385=DIRECTION('center_axis',(0.,-1.,0.)); #189386=DIRECTION('ref_axis',(-0.156685574665523,0.,0.987648536014576)); #189387=DIRECTION('center_axis',(0.465076442064718,0.,0.885270525340375)); #189388=DIRECTION('ref_axis',(-0.885270525340375,0.,0.465076442064718)); #189389=DIRECTION('',(-0.885270525340375,0.,0.465076442064718)); #189390=DIRECTION('',(0.,-1.,0.)); #189391=DIRECTION('',(-0.885270525340375,0.,0.465076442064718)); #189392=DIRECTION('center_axis',(0.657011323742862,0.,0.75388070705759)); #189393=DIRECTION('ref_axis',(-0.75388070705759,0.,0.657011323742862)); #189394=DIRECTION('',(-0.75388070705759,0.,0.657011323742862)); #189395=DIRECTION('',(0.,-1.,0.)); #189396=DIRECTION('',(-0.75388070705759,0.,0.657011323742862)); #189397=DIRECTION('center_axis',(0.815201066449768,0.,0.57917805661054)); #189398=DIRECTION('ref_axis',(-0.57917805661054,0.,0.815201066449767)); #189399=DIRECTION('',(-0.57917805661054,0.,0.815201066449767)); #189400=DIRECTION('',(0.,-1.,0.)); #189401=DIRECTION('',(-0.57917805661054,0.,0.815201066449767)); #189402=DIRECTION('center_axis',(0.916191481997724,0.,0.400740774460017)); #189403=DIRECTION('ref_axis',(-0.400740774460017,0.,0.916191481997724)); #189404=DIRECTION('',(-0.400740774460017,0.,0.916191481997724)); #189405=DIRECTION('',(0.,-1.,0.)); #189406=DIRECTION('',(-0.400740774460017,0.,0.916191481997724)); #189407=DIRECTION('center_axis',(0.,-1.,0.)); #189408=DIRECTION('ref_axis',(0.9778918552923,0.,0.209111260703442)); #189409=DIRECTION('center_axis',(0.,-1.,0.)); #189410=DIRECTION('ref_axis',(0.9778918552923,0.,0.209111260703442)); #189411=DIRECTION('',(0.,-1.,0.)); #189412=DIRECTION('center_axis',(0.,-1.,0.)); #189413=DIRECTION('ref_axis',(0.9778918552923,0.,0.209111260703442)); #189414=DIRECTION('center_axis',(0.,-1.,0.)); #189415=DIRECTION('ref_axis',(0.323362085514235,0.,-0.946275309649303)); #189416=DIRECTION('center_axis',(0.,-1.,0.)); #189417=DIRECTION('ref_axis',(0.323362085514235,0.,-0.946275309649303)); #189418=DIRECTION('',(0.,-1.,0.)); #189419=DIRECTION('center_axis',(0.,-1.,0.)); #189420=DIRECTION('ref_axis',(0.323362085514235,0.,-0.946275309649303)); #189421=DIRECTION('center_axis',(-0.0037543849733694,0.,-0.999992952271901)); #189422=DIRECTION('ref_axis',(0.999992952271901,0.,-0.0037543849733694)); #189423=DIRECTION('',(0.999992952271901,0.,-0.0037543849733694)); #189424=DIRECTION('',(0.,-1.,0.)); #189425=DIRECTION('',(0.999992952271901,0.,-0.0037543849733694)); #189426=DIRECTION('center_axis',(0.,-1.,0.)); #189427=DIRECTION('ref_axis',(0.18573843076217,0.,0.982599224169247)); #189428=DIRECTION('center_axis',(0.,1.,0.)); #189429=DIRECTION('ref_axis',(0.18573843076217,0.,0.982599224169247)); #189430=DIRECTION('',(0.,-1.,0.)); #189431=DIRECTION('center_axis',(0.,1.,0.)); #189432=DIRECTION('ref_axis',(0.18573843076217,0.,0.982599224169247)); #189433=DIRECTION('center_axis',(0.,-1.,0.)); #189434=DIRECTION('ref_axis',(-0.599972822021536,0.,0.800020382762536)); #189435=DIRECTION('center_axis',(0.,1.,0.)); #189436=DIRECTION('ref_axis',(-0.599972822021536,0.,0.800020382762536)); #189437=DIRECTION('',(0.,-1.,0.)); #189438=DIRECTION('center_axis',(0.,1.,0.)); #189439=DIRECTION('ref_axis',(-0.599972822021536,0.,0.800020382762536)); #189440=DIRECTION('center_axis',(0.,-1.,0.)); #189441=DIRECTION('ref_axis',(-0.993368773118132,0.,0.11497165126142)); #189442=DIRECTION('center_axis',(0.,1.,0.)); #189443=DIRECTION('ref_axis',(-0.993368773118132,0.,0.11497165126142)); #189444=DIRECTION('',(0.,-1.,0.)); #189445=DIRECTION('center_axis',(0.,1.,0.)); #189446=DIRECTION('ref_axis',(-0.993368773118132,0.,0.11497165126142)); #189447=DIRECTION('center_axis',(0.999999995031117,0.,-9.96883475479706E-5)); #189448=DIRECTION('ref_axis',(9.96883475479706E-5,0.,0.999999995031117)); #189449=DIRECTION('',(9.96883475479706E-5,0.,0.999999995031117)); #189450=DIRECTION('',(0.,-1.,0.)); #189451=DIRECTION('',(9.96883475479706E-5,0.,0.999999995031117)); #189452=DIRECTION('center_axis',(0.987582746762343,0.,0.157099708138957)); #189453=DIRECTION('ref_axis',(-0.157099708138957,0.,0.987582746762343)); #189454=DIRECTION('',(-0.157099708138957,0.,0.987582746762343)); #189455=DIRECTION('',(0.,-1.,0.)); #189456=DIRECTION('',(-0.157099708138957,0.,0.987582746762343)); #189457=DIRECTION('center_axis',(-4.55878900084226E-6,0.,0.999999999989609)); #189458=DIRECTION('ref_axis',(-0.999999999989609,0.,-4.55878900084226E-6)); #189459=DIRECTION('',(-0.999999999989609,0.,-4.55878900084226E-6)); #189460=DIRECTION('',(0.,-1.,0.)); #189461=DIRECTION('',(-0.999999999989609,0.,-4.55878900084226E-6)); #189462=DIRECTION('center_axis',(0.,-1.,0.)); #189463=DIRECTION('ref_axis',(-0.144780039273236,0.,0.989463865044116)); #189464=DIRECTION('center_axis',(0.,-1.,0.)); #189465=DIRECTION('ref_axis',(-0.144780039273236,0.,0.989463865044116)); #189466=DIRECTION('',(0.,-1.,0.)); #189467=DIRECTION('center_axis',(0.,-1.,0.)); #189468=DIRECTION('ref_axis',(-0.144780039273236,0.,0.989463865044116)); #189469=DIRECTION('center_axis',(0.806778375307057,0.,0.590854172479899)); #189470=DIRECTION('ref_axis',(-0.590854172479899,0.,0.806778375307057)); #189471=DIRECTION('',(-0.590854172479899,0.,0.806778375307057)); #189472=DIRECTION('',(0.,-1.,0.)); #189473=DIRECTION('',(-0.590854172479899,0.,0.806778375307057)); #189474=DIRECTION('center_axis',(0.911703117588464,0.,0.410849638407381)); #189475=DIRECTION('ref_axis',(-0.410849638407381,0.,0.911703117588464)); #189476=DIRECTION('',(-0.410849638407381,0.,0.911703117588464)); #189477=DIRECTION('',(0.,-1.,0.)); #189478=DIRECTION('',(-0.410849638407381,0.,0.911703117588464)); #189479=DIRECTION('center_axis',(0.,-1.,0.)); #189480=DIRECTION('ref_axis',(0.963811771306969,0.,0.266583700721036)); #189481=DIRECTION('center_axis',(0.,-1.,0.)); #189482=DIRECTION('ref_axis',(0.963811771306969,0.,0.266583700721036)); #189483=DIRECTION('',(0.,-1.,0.)); #189484=DIRECTION('center_axis',(0.,-1.,0.)); #189485=DIRECTION('ref_axis',(0.963811771306969,0.,0.266583700721036)); #189486=DIRECTION('center_axis',(0.460864006222135,0.,-0.887470770092674)); #189487=DIRECTION('ref_axis',(0.887470770092674,0.,0.460864006222135)); #189488=DIRECTION('',(0.887470770092674,0.,0.460864006222135)); #189489=DIRECTION('',(0.,-1.,0.)); #189490=DIRECTION('',(0.887470770092674,0.,0.460864006222135)); #189491=DIRECTION('center_axis',(0.,-1.,0.)); #189492=DIRECTION('ref_axis',(0.333103053798491,0.,-0.942890426057089)); #189493=DIRECTION('center_axis',(0.,-1.,0.)); #189494=DIRECTION('ref_axis',(0.333103053798491,0.,-0.942890426057089)); #189495=DIRECTION('center_axis',(0.,-1.,0.)); #189496=DIRECTION('ref_axis',(0.333103053798491,0.,-0.942890426057089)); #189497=DIRECTION('center_axis',(0.,1.,0.)); #189498=DIRECTION('ref_axis',(1.,0.,0.)); #189499=DIRECTION('center_axis',(0.912014859006095,0.,-0.410157161283444)); #189500=DIRECTION('ref_axis',(0.410157161283444,0.,0.912014859006095)); #189501=DIRECTION('',(0.410157161283444,0.,0.912014859006095)); #189502=DIRECTION('',(0.,-1.,0.)); #189503=DIRECTION('',(0.410157161283444,0.,0.912014859006095)); #189504=DIRECTION('',(0.,-1.,0.)); #189505=DIRECTION('center_axis',(0.,-1.,0.)); #189506=DIRECTION('ref_axis',(0.86273298303829,0.,-0.505659767015187)); #189507=DIRECTION('center_axis',(0.,-1.,0.)); #189508=DIRECTION('ref_axis',(0.86273298303829,0.,-0.505659767015187)); #189509=DIRECTION('',(0.,-1.,0.)); #189510=DIRECTION('center_axis',(0.,-1.,0.)); #189511=DIRECTION('ref_axis',(0.86273298303829,0.,-0.505659767015187)); #189512=DIRECTION('center_axis',(0.700321433763937,0.,-0.713827632843408)); #189513=DIRECTION('ref_axis',(0.713827632843408,0.,0.700321433763937)); #189514=DIRECTION('',(0.713827632843408,0.,0.700321433763937)); #189515=DIRECTION('',(0.,-1.,0.)); #189516=DIRECTION('',(0.713827632843408,0.,0.700321433763937)); #189517=DIRECTION('center_axis',(0.603300634364844,0.,-0.7975138522778)); #189518=DIRECTION('ref_axis',(0.7975138522778,0.,0.603300634364844)); #189519=DIRECTION('',(0.7975138522778,0.,0.603300634364844)); #189520=DIRECTION('',(0.,-1.,0.)); #189521=DIRECTION('',(0.7975138522778,0.,0.603300634364844)); #189522=DIRECTION('center_axis',(0.499085431084981,0.,-0.866552786896862)); #189523=DIRECTION('ref_axis',(0.866552786896862,0.,0.499085431084981)); #189524=DIRECTION('',(0.866552786896862,0.,0.499085431084981)); #189525=DIRECTION('',(0.,-1.,0.)); #189526=DIRECTION('',(0.866552786896862,0.,0.499085431084981)); #189527=DIRECTION('center_axis',(0.,-1.,0.)); #189528=DIRECTION('ref_axis',(0.398775095976759,0.,-0.917048757061873)); #189529=DIRECTION('center_axis',(0.,-1.,0.)); #189530=DIRECTION('ref_axis',(0.398775095976759,0.,-0.917048757061873)); #189531=DIRECTION('',(0.,-1.,0.)); #189532=DIRECTION('center_axis',(0.,-1.,0.)); #189533=DIRECTION('ref_axis',(0.398775095976759,0.,-0.917048757061873)); #189534=DIRECTION('center_axis',(0.,-1.,0.)); #189535=DIRECTION('ref_axis',(0.137809984049843,0.,-0.990458685809853)); #189536=DIRECTION('center_axis',(0.,-1.,0.)); #189537=DIRECTION('ref_axis',(0.137809984049843,0.,-0.990458685809853)); #189538=DIRECTION('',(0.,-1.,0.)); #189539=DIRECTION('center_axis',(0.,-1.,0.)); #189540=DIRECTION('ref_axis',(0.137809984049843,0.,-0.990458685809853)); #189541=DIRECTION('center_axis',(0.034310410962332,0.,-0.999411224521516)); #189542=DIRECTION('ref_axis',(0.999411224521516,0.,0.034310410962332)); #189543=DIRECTION('',(0.999411224521516,0.,0.034310410962332)); #189544=DIRECTION('',(0.,-1.,0.)); #189545=DIRECTION('',(0.999411224521516,0.,0.034310410962332)); #189546=DIRECTION('center_axis',(0.0362656287583033,0.,-0.999342185725573)); #189547=DIRECTION('ref_axis',(0.999342185725573,0.,0.0362656287583033)); #189548=DIRECTION('',(0.999342185725573,0.,0.0362656287583033)); #189549=DIRECTION('',(0.,-1.,0.)); #189550=DIRECTION('',(0.999342185725573,0.,0.0362656287583033)); #189551=DIRECTION('center_axis',(0.,-1.,0.)); #189552=DIRECTION('ref_axis',(0.14042341984528,0.,-0.990091542817611)); #189553=DIRECTION('center_axis',(0.,-1.,0.)); #189554=DIRECTION('ref_axis',(0.14042341984528,0.,-0.990091542817611)); #189555=DIRECTION('',(0.,-1.,0.)); #189556=DIRECTION('center_axis',(0.,-1.,0.)); #189557=DIRECTION('ref_axis',(0.14042341984528,0.,-0.990091542817611)); #189558=DIRECTION('center_axis',(0.,-1.,0.)); #189559=DIRECTION('ref_axis',(-0.635593256850926,0.,-0.772024100560101)); #189560=DIRECTION('center_axis',(0.,-1.,0.)); #189561=DIRECTION('ref_axis',(-0.635593256850926,0.,-0.772024100560101)); #189562=DIRECTION('',(0.,-1.,0.)); #189563=DIRECTION('center_axis',(0.,-1.,0.)); #189564=DIRECTION('ref_axis',(-0.635593256850926,0.,-0.772024100560101)); #189565=DIRECTION('center_axis',(-1.,0.,0.)); #189566=DIRECTION('ref_axis',(0.,0.,-1.)); #189567=DIRECTION('',(0.,0.,-1.)); #189568=DIRECTION('',(0.,-1.,0.)); #189569=DIRECTION('',(0.,0.,-1.)); #189570=DIRECTION('center_axis',(0.,-1.,0.)); #189571=DIRECTION('ref_axis',(-0.995802041670827,0.,-0.0915330202943867)); #189572=DIRECTION('center_axis',(0.,-1.,0.)); #189573=DIRECTION('ref_axis',(-0.995802041670827,0.,-0.0915330202943867)); #189574=DIRECTION('',(0.,-1.,0.)); #189575=DIRECTION('center_axis',(0.,-1.,0.)); #189576=DIRECTION('ref_axis',(-0.995802041670827,0.,-0.0915330202943867)); #189577=DIRECTION('center_axis',(-0.785841541639793,0.,0.618427903181279)); #189578=DIRECTION('ref_axis',(-0.618427903181279,0.,-0.785841541639793)); #189579=DIRECTION('',(-0.618427903181279,0.,-0.785841541639793)); #189580=DIRECTION('',(0.,-1.,0.)); #189581=DIRECTION('',(-0.618427903181279,0.,-0.785841541639793)); #189582=DIRECTION('center_axis',(-0.702574407830372,0.,0.711610287630668)); #189583=DIRECTION('ref_axis',(-0.711610287630668,0.,-0.702574407830372)); #189584=DIRECTION('',(-0.711610287630668,0.,-0.702574407830372)); #189585=DIRECTION('',(0.,-1.,0.)); #189586=DIRECTION('',(-0.711610287630668,0.,-0.702574407830372)); #189587=DIRECTION('center_axis',(-0.610543922049438,0.,0.791982398319868)); #189588=DIRECTION('ref_axis',(-0.791982398319868,0.,-0.610543922049438)); #189589=DIRECTION('',(-0.791982398319868,0.,-0.610543922049438)); #189590=DIRECTION('',(0.,-1.,0.)); #189591=DIRECTION('',(-0.791982398319868,0.,-0.610543922049438)); #189592=DIRECTION('center_axis',(-0.515347033524517,0.,0.856981583837996)); #189593=DIRECTION('ref_axis',(-0.856981583837996,0.,-0.515347033524517)); #189594=DIRECTION('',(-0.856981583837996,0.,-0.515347033524517)); #189595=DIRECTION('',(0.,-1.,0.)); #189596=DIRECTION('',(-0.856981583837996,0.,-0.515347033524517)); #189597=DIRECTION('center_axis',(0.,-1.,0.)); #189598=DIRECTION('ref_axis',(-0.449394669910182,0.,0.893333325616099)); #189599=DIRECTION('center_axis',(0.,-1.,0.)); #189600=DIRECTION('ref_axis',(-0.449394669910182,0.,0.893333325616099)); #189601=DIRECTION('',(0.,-1.,0.)); #189602=DIRECTION('center_axis',(0.,-1.,0.)); #189603=DIRECTION('ref_axis',(-0.449394669910182,0.,0.893333325616099)); #189604=DIRECTION('center_axis',(0.,-1.,0.)); #189605=DIRECTION('ref_axis',(-0.30593746428579,0.,0.952051609917436)); #189606=DIRECTION('center_axis',(0.,-1.,0.)); #189607=DIRECTION('ref_axis',(-0.30593746428579,0.,0.952051609917436)); #189608=DIRECTION('',(0.,-1.,0.)); #189609=DIRECTION('center_axis',(0.,-1.,0.)); #189610=DIRECTION('ref_axis',(-0.30593746428579,0.,0.952051609917436)); #189611=DIRECTION('center_axis',(0.957048770821458,0.,0.289926974028181)); #189612=DIRECTION('ref_axis',(-0.289926974028181,0.,0.957048770821457)); #189613=DIRECTION('',(-0.289926974028181,0.,0.957048770821457)); #189614=DIRECTION('',(0.,-1.,0.)); #189615=DIRECTION('',(-0.289926974028181,0.,0.957048770821457)); #189616=DIRECTION('center_axis',(0.991050187971407,0.,0.133489793324582)); #189617=DIRECTION('ref_axis',(-0.133489793324582,0.,0.991050187971407)); #189618=DIRECTION('',(-0.133489793324582,0.,0.991050187971407)); #189619=DIRECTION('',(0.,-1.,0.)); #189620=DIRECTION('',(-0.133489793324582,0.,0.991050187971407)); #189621=DIRECTION('center_axis',(0.,-1.,0.)); #189622=DIRECTION('ref_axis',(0.9962428251412,0.,0.086603887641846)); #189623=DIRECTION('center_axis',(0.,-1.,0.)); #189624=DIRECTION('ref_axis',(0.9962428251412,0.,0.086603887641846)); #189625=DIRECTION('center_axis',(0.,-1.,0.)); #189626=DIRECTION('ref_axis',(0.9962428251412,0.,0.086603887641846)); #189627=DIRECTION('center_axis',(0.,1.,0.)); #189628=DIRECTION('ref_axis',(1.,0.,0.)); #189629=DIRECTION('center_axis',(0.,-1.,0.)); #189630=DIRECTION('ref_axis',(0.704869775675319,0.,0.709336731982368)); #189631=DIRECTION('center_axis',(0.,-1.,0.)); #189632=DIRECTION('ref_axis',(0.704869775675319,0.,0.709336731982368)); #189633=DIRECTION('',(0.,-1.,0.)); #189634=DIRECTION('center_axis',(0.,-1.,0.)); #189635=DIRECTION('ref_axis',(0.704869775675319,0.,0.709336731982368)); #189636=DIRECTION('',(0.,-1.,0.)); #189637=DIRECTION('center_axis',(0.,-1.,0.)); #189638=DIRECTION('ref_axis',(0.831105434229658,0.,0.556114877695187)); #189639=DIRECTION('center_axis',(0.,-1.,0.)); #189640=DIRECTION('ref_axis',(0.831105434229658,0.,0.556114877695187)); #189641=DIRECTION('',(0.,-1.,0.)); #189642=DIRECTION('center_axis',(0.,-1.,0.)); #189643=DIRECTION('ref_axis',(0.831105434229658,0.,0.556114877695187)); #189644=DIRECTION('center_axis',(0.885296079361251,0.,0.465027796876271)); #189645=DIRECTION('ref_axis',(-0.465027796876271,0.,0.885296079361251)); #189646=DIRECTION('',(-0.465027796876271,0.,0.885296079361251)); #189647=DIRECTION('',(0.,-1.,0.)); #189648=DIRECTION('',(-0.465027796876271,0.,0.885296079361251)); #189649=DIRECTION('center_axis',(0.930061938384382,0.,0.367402763692226)); #189650=DIRECTION('ref_axis',(-0.367402763692226,0.,0.930061938384382)); #189651=DIRECTION('',(-0.367402763692226,0.,0.930061938384382)); #189652=DIRECTION('',(0.,-1.,0.)); #189653=DIRECTION('',(-0.367402763692226,0.,0.930061938384382)); #189654=DIRECTION('center_axis',(0.96415922233638,0.,0.265324318492873)); #189655=DIRECTION('ref_axis',(-0.265324318492873,0.,0.96415922233638)); #189656=DIRECTION('',(-0.265324318492873,0.,0.96415922233638)); #189657=DIRECTION('',(0.,-1.,0.)); #189658=DIRECTION('',(-0.265324318492873,0.,0.96415922233638)); #189659=DIRECTION('center_axis',(0.986833022772625,0.,0.161742341906639)); #189660=DIRECTION('ref_axis',(-0.161742341906639,0.,0.986833022772625)); #189661=DIRECTION('',(-0.161742341906639,0.,0.986833022772625)); #189662=DIRECTION('',(0.,-1.,0.)); #189663=DIRECTION('',(-0.161742341906639,0.,0.986833022772625)); #189664=DIRECTION('center_axis',(0.998065379946041,0.,0.0621731240421811)); #189665=DIRECTION('ref_axis',(-0.0621731240421811,0.,0.998065379946041)); #189666=DIRECTION('',(-0.0621731240421811,0.,0.998065379946041)); #189667=DIRECTION('',(0.,-1.,0.)); #189668=DIRECTION('',(-0.0621731240421811,0.,0.998065379946041)); #189669=DIRECTION('center_axis',(0.999488125474247,0.,-0.0319919839331171)); #189670=DIRECTION('ref_axis',(0.0319919839331171,0.,0.999488125474246)); #189671=DIRECTION('',(0.0319919839331171,0.,0.999488125474246)); #189672=DIRECTION('',(0.,-1.,0.)); #189673=DIRECTION('',(0.0319919839331171,0.,0.999488125474246)); #189674=DIRECTION('center_axis',(0.991999388411908,0.,-0.12624267658126)); #189675=DIRECTION('ref_axis',(0.12624267658126,0.,0.991999388411908)); #189676=DIRECTION('',(0.12624267658126,0.,0.991999388411908)); #189677=DIRECTION('',(0.,-1.,0.)); #189678=DIRECTION('',(0.12624267658126,0.,0.991999388411908)); #189679=DIRECTION('center_axis',(0.974156982784535,0.,-0.225872027688537)); #189680=DIRECTION('ref_axis',(0.225872027688537,0.,0.974156982784535)); #189681=DIRECTION('',(0.225872027688537,0.,0.974156982784535)); #189682=DIRECTION('',(0.,-1.,0.)); #189683=DIRECTION('',(0.225872027688537,0.,0.974156982784535)); #189684=DIRECTION('center_axis',(0.,-1.,0.)); #189685=DIRECTION('ref_axis',(0.902926848175136,0.,-0.429794261065122)); #189686=DIRECTION('center_axis',(0.,-1.,0.)); #189687=DIRECTION('ref_axis',(0.902926848175136,0.,-0.429794261065122)); #189688=DIRECTION('',(0.,-1.,0.)); #189689=DIRECTION('center_axis',(0.,-1.,0.)); #189690=DIRECTION('ref_axis',(0.902926848175136,0.,-0.429794261065122)); #189691=DIRECTION('center_axis',(0.847576649557687,0.,-0.530672990762264)); #189692=DIRECTION('ref_axis',(0.530672990762264,0.,0.847576649557687)); #189693=DIRECTION('',(0.530672990762264,0.,0.847576649557687)); #189694=DIRECTION('',(0.,-1.,0.)); #189695=DIRECTION('',(0.530672990762264,0.,0.847576649557687)); #189696=DIRECTION('center_axis',(0.783000716794192,0.,-0.622020801500867)); #189697=DIRECTION('ref_axis',(0.622020801500867,0.,0.783000716794192)); #189698=DIRECTION('',(0.622020801500867,0.,0.783000716794192)); #189699=DIRECTION('',(0.,-1.,0.)); #189700=DIRECTION('',(0.622020801500867,0.,0.783000716794192)); #189701=DIRECTION('center_axis',(0.709393654469969,0.,-0.704812487827609)); #189702=DIRECTION('ref_axis',(0.704812487827609,0.,0.709393654469969)); #189703=DIRECTION('',(0.704812487827609,0.,0.709393654469969)); #189704=DIRECTION('',(0.,-1.,0.)); #189705=DIRECTION('',(0.704812487827609,0.,0.709393654469969)); #189706=DIRECTION('center_axis',(0.62070294436539,0.,-0.784045824461897)); #189707=DIRECTION('ref_axis',(0.784045824461897,0.,0.62070294436539)); #189708=DIRECTION('',(0.784045824461897,0.,0.62070294436539)); #189709=DIRECTION('',(0.,-1.,0.)); #189710=DIRECTION('',(0.784045824461897,0.,0.62070294436539)); #189711=DIRECTION('center_axis',(0.514465528700926,0.,-0.857511061023983)); #189712=DIRECTION('ref_axis',(0.857511061023983,0.,0.514465528700926)); #189713=DIRECTION('',(0.857511061023983,0.,0.514465528700926)); #189714=DIRECTION('',(0.,-1.,0.)); #189715=DIRECTION('',(0.857511061023983,0.,0.514465528700926)); #189716=DIRECTION('center_axis',(0.409976660750315,0.,-0.912096013388953)); #189717=DIRECTION('ref_axis',(0.912096013388953,0.,0.409976660750315)); #189718=DIRECTION('',(0.912096013388953,0.,0.409976660750315)); #189719=DIRECTION('',(0.,-1.,0.)); #189720=DIRECTION('',(0.912096013388953,0.,0.409976660750315)); #189721=DIRECTION('center_axis',(0.312958343764922,0.,-0.949766853005471)); #189722=DIRECTION('ref_axis',(0.949766853005471,0.,0.312958343764922)); #189723=DIRECTION('',(0.949766853005471,0.,0.312958343764922)); #189724=DIRECTION('',(0.,-1.,0.)); #189725=DIRECTION('',(0.949766853005471,0.,0.312958343764922)); #189726=DIRECTION('center_axis',(0.,-1.,0.)); #189727=DIRECTION('ref_axis',(0.133331580684085,0.,-0.991071485611549)); #189728=DIRECTION('center_axis',(0.,-1.,0.)); #189729=DIRECTION('ref_axis',(0.133331580684085,0.,-0.991071485611549)); #189730=DIRECTION('',(0.,-1.,0.)); #189731=DIRECTION('center_axis',(0.,-1.,0.)); #189732=DIRECTION('ref_axis',(0.133331580684085,0.,-0.991071485611549)); #189733=DIRECTION('center_axis',(0.,-1.,0.)); #189734=DIRECTION('ref_axis',(-0.00564488530622722,0.,-0.999984067508018)); #189735=DIRECTION('center_axis',(0.,-1.,0.)); #189736=DIRECTION('ref_axis',(-0.00564488530622722,0.,-0.999984067508018)); #189737=DIRECTION('',(0.,-1.,0.)); #189738=DIRECTION('center_axis',(0.,-1.,0.)); #189739=DIRECTION('ref_axis',(-0.00564488530622722,0.,-0.999984067508018)); #189740=DIRECTION('center_axis',(7.96812746497369E-5,0.,-0.999999996825447)); #189741=DIRECTION('ref_axis',(0.999999996825447,0.,7.96812746497369E-5)); #189742=DIRECTION('',(0.999999996825447,0.,7.96812746497369E-5)); #189743=DIRECTION('',(0.,-1.,0.)); #189744=DIRECTION('',(0.999999996825447,0.,7.96812746497369E-5)); #189745=DIRECTION('center_axis',(0.,-1.,0.)); #189746=DIRECTION('ref_axis',(0.919012652597644,0.,-0.394228035996226)); #189747=DIRECTION('center_axis',(0.,-1.,0.)); #189748=DIRECTION('ref_axis',(0.919012652597644,0.,-0.394228035996226)); #189749=DIRECTION('',(0.,-1.,0.)); #189750=DIRECTION('center_axis',(0.,-1.,0.)); #189751=DIRECTION('ref_axis',(0.919012652597644,0.,-0.394228035996226)); #189752=DIRECTION('center_axis',(0.,-1.,0.)); #189753=DIRECTION('ref_axis',(-0.201985310850592,0.,-0.979388551189256)); #189754=DIRECTION('center_axis',(0.,-1.,0.)); #189755=DIRECTION('ref_axis',(-0.201985310850592,0.,-0.979388551189256)); #189756=DIRECTION('',(0.,-1.,0.)); #189757=DIRECTION('center_axis',(0.,-1.,0.)); #189758=DIRECTION('ref_axis',(-0.201985310850592,0.,-0.979388551189256)); #189759=DIRECTION('center_axis',(0.,-1.,0.)); #189760=DIRECTION('ref_axis',(-0.997831456745778,0.,0.0658208472187901)); #189761=DIRECTION('center_axis',(0.,-1.,0.)); #189762=DIRECTION('ref_axis',(-0.997831456745778,0.,0.0658208472187901)); #189763=DIRECTION('',(0.,-1.,0.)); #189764=DIRECTION('center_axis',(0.,-1.,0.)); #189765=DIRECTION('ref_axis',(-0.997831456745778,0.,0.0658208472187901)); #189766=DIRECTION('center_axis',(-0.985637194715592,0.,-0.168876642532881)); #189767=DIRECTION('ref_axis',(0.168876642532881,0.,-0.985637194715592)); #189768=DIRECTION('',(0.168876642532881,0.,-0.985637194715592)); #189769=DIRECTION('',(0.,-1.,0.)); #189770=DIRECTION('',(0.168876642532881,0.,-0.985637194715592)); #189771=DIRECTION('center_axis',(0.,-1.,0.)); #189772=DIRECTION('ref_axis',(0.434543889940544,0.,0.90065065797752)); #189773=DIRECTION('center_axis',(0.,1.,0.)); #189774=DIRECTION('ref_axis',(0.434543889940544,0.,0.90065065797752)); #189775=DIRECTION('',(0.,-1.,0.)); #189776=DIRECTION('center_axis',(0.,1.,0.)); #189777=DIRECTION('ref_axis',(0.434543889940544,0.,0.90065065797752)); #189778=DIRECTION('center_axis',(0.,-1.,0.)); #189779=DIRECTION('ref_axis',(-0.593676868485215,0.,0.804703532877537)); #189780=DIRECTION('center_axis',(0.,1.,0.)); #189781=DIRECTION('ref_axis',(-0.593676868485215,0.,0.804703532877537)); #189782=DIRECTION('',(0.,-1.,0.)); #189783=DIRECTION('center_axis',(0.,1.,0.)); #189784=DIRECTION('ref_axis',(-0.593676868485215,0.,0.804703532877537)); #189785=DIRECTION('center_axis',(0.,-1.,0.)); #189786=DIRECTION('ref_axis',(-0.997229938941195,0.,0.0743804334441569)); #189787=DIRECTION('center_axis',(0.,1.,0.)); #189788=DIRECTION('ref_axis',(-0.997229938941195,0.,0.0743804334441569)); #189789=DIRECTION('',(0.,-1.,0.)); #189790=DIRECTION('center_axis',(0.,1.,0.)); #189791=DIRECTION('ref_axis',(-0.997229938941195,0.,0.0743804334441569)); #189792=DIRECTION('center_axis',(0.,-1.,0.)); #189793=DIRECTION('ref_axis',(-0.450453688942606,0.,-0.892799795092941)); #189794=DIRECTION('center_axis',(0.,1.,0.)); #189795=DIRECTION('ref_axis',(-0.450453688942606,0.,-0.892799795092941)); #189796=DIRECTION('',(0.,-1.,0.)); #189797=DIRECTION('center_axis',(0.,1.,0.)); #189798=DIRECTION('ref_axis',(-0.450453688942606,0.,-0.892799795092941)); #189799=DIRECTION('center_axis',(0.281938305517524,0.,0.95943253638904)); #189800=DIRECTION('ref_axis',(-0.95943253638904,0.,0.281938305517524)); #189801=DIRECTION('',(-0.95943253638904,0.,0.281938305517524)); #189802=DIRECTION('',(0.,-1.,0.)); #189803=DIRECTION('',(-0.95943253638904,0.,0.281938305517524)); #189804=DIRECTION('center_axis',(0.,-1.,0.)); #189805=DIRECTION('ref_axis',(0.200025486076987,0.,0.979790694444311)); #189806=DIRECTION('center_axis',(0.,-1.,0.)); #189807=DIRECTION('ref_axis',(0.200025486076987,0.,0.979790694444311)); #189808=DIRECTION('',(0.,-1.,0.)); #189809=DIRECTION('center_axis',(0.,-1.,0.)); #189810=DIRECTION('ref_axis',(0.200025486076987,0.,0.979790694444311)); #189811=DIRECTION('center_axis',(0.315431015758156,0.,0.94894850982431)); #189812=DIRECTION('ref_axis',(-0.94894850982431,0.,0.315431015758156)); #189813=DIRECTION('',(-0.94894850982431,0.,0.315431015758156)); #189814=DIRECTION('',(0.,-1.,0.)); #189815=DIRECTION('',(-0.94894850982431,0.,0.315431015758156)); #189816=DIRECTION('center_axis',(0.408432760743619,0.,0.912788409189855)); #189817=DIRECTION('ref_axis',(-0.912788409189855,0.,0.408432760743619)); #189818=DIRECTION('',(-0.912788409189855,0.,0.408432760743619)); #189819=DIRECTION('',(0.,-1.,0.)); #189820=DIRECTION('',(-0.912788409189855,0.,0.408432760743619)); #189821=DIRECTION('center_axis',(0.509584211380215,0.,0.860420787470877)); #189822=DIRECTION('ref_axis',(-0.860420787470877,0.,0.509584211380215)); #189823=DIRECTION('',(-0.860420787470877,0.,0.509584211380215)); #189824=DIRECTION('',(0.,-1.,0.)); #189825=DIRECTION('',(-0.860420787470877,0.,0.509584211380215)); #189826=DIRECTION('center_axis',(0.61553035886713,0.,0.78811317544684)); #189827=DIRECTION('ref_axis',(-0.78811317544684,0.,0.61553035886713)); #189828=DIRECTION('',(-0.78811317544684,0.,0.61553035886713)); #189829=DIRECTION('',(0.,-1.,0.)); #189830=DIRECTION('',(-0.78811317544684,0.,0.61553035886713)); #189831=DIRECTION('center_axis',(0.704634608262401,0.,0.709570341008481)); #189832=DIRECTION('ref_axis',(-0.709570341008481,0.,0.704634608262401)); #189833=DIRECTION('',(-0.709570341008481,0.,0.704634608262401)); #189834=DIRECTION('',(0.,-1.,0.)); #189835=DIRECTION('',(-0.709570341008481,0.,0.704634608262401)); #189836=DIRECTION('center_axis',(0.770688582149332,0.,0.637211981482342)); #189837=DIRECTION('ref_axis',(-0.637211981482342,0.,0.770688582149332)); #189838=DIRECTION('',(-0.637211981482342,0.,0.770688582149332)); #189839=DIRECTION('',(0.,-1.,0.)); #189840=DIRECTION('',(-0.637211981482342,0.,0.770688582149332)); #189841=DIRECTION('center_axis',(0.833624695964974,0.,0.552331301192777)); #189842=DIRECTION('ref_axis',(-0.552331301192777,0.,0.833624695964973)); #189843=DIRECTION('',(-0.552331301192777,0.,0.833624695964973)); #189844=DIRECTION('',(0.,-1.,0.)); #189845=DIRECTION('',(-0.552331301192777,0.,0.833624695964973)); #189846=DIRECTION('center_axis',(0.887680537797194,0.,0.460459838439883)); #189847=DIRECTION('ref_axis',(-0.460459838439883,0.,0.887680537797194)); #189848=DIRECTION('',(-0.460459838439883,0.,0.887680537797194)); #189849=DIRECTION('',(0.,-1.,0.)); #189850=DIRECTION('',(-0.460459838439883,0.,0.887680537797194)); #189851=DIRECTION('center_axis',(0.931371381119934,0.,0.364070529472996)); #189852=DIRECTION('ref_axis',(-0.364070529472996,0.,0.931371381119934)); #189853=DIRECTION('',(-0.364070529472996,0.,0.931371381119934)); #189854=DIRECTION('',(0.,-1.,0.)); #189855=DIRECTION('',(-0.364070529472996,0.,0.931371381119934)); #189856=DIRECTION('center_axis',(0.964340416652766,0.,0.264664997326752)); #189857=DIRECTION('ref_axis',(-0.264664997326752,0.,0.964340416652766)); #189858=DIRECTION('',(-0.264664997326752,0.,0.964340416652766)); #189859=DIRECTION('',(0.,-1.,0.)); #189860=DIRECTION('',(-0.264664997326752,0.,0.964340416652766)); #189861=DIRECTION('center_axis',(0.985698050172145,0.,0.168521078464481)); #189862=DIRECTION('ref_axis',(-0.168521078464481,0.,0.985698050172145)); #189863=DIRECTION('',(-0.168521078464481,0.,0.985698050172145)); #189864=DIRECTION('',(0.,-1.,0.)); #189865=DIRECTION('',(-0.168521078464481,0.,0.985698050172145)); #189866=DIRECTION('center_axis',(0.,-1.,0.)); #189867=DIRECTION('ref_axis',(0.999812046668109,0.,-0.0193874015104694)); #189868=DIRECTION('center_axis',(0.,-1.,0.)); #189869=DIRECTION('ref_axis',(0.999812046668109,0.,-0.0193874015104694)); #189870=DIRECTION('',(0.,-1.,0.)); #189871=DIRECTION('center_axis',(0.,-1.,0.)); #189872=DIRECTION('ref_axis',(0.999812046668109,0.,-0.0193874015104694)); #189873=DIRECTION('center_axis',(0.994219985666648,0.,-0.107362098065423)); #189874=DIRECTION('ref_axis',(0.107362098065423,0.,0.994219985666648)); #189875=DIRECTION('',(0.107362098065423,0.,0.994219985666648)); #189876=DIRECTION('',(0.,-1.,0.)); #189877=DIRECTION('',(0.107362098065423,0.,0.994219985666648)); #189878=DIRECTION('center_axis',(0.978599110301788,0.,-0.205776046508211)); #189879=DIRECTION('ref_axis',(0.205776046508211,0.,0.978599110301788)); #189880=DIRECTION('',(0.205776046508211,0.,0.978599110301788)); #189881=DIRECTION('',(0.,-1.,0.)); #189882=DIRECTION('',(0.205776046508211,0.,0.978599110301788)); #189883=DIRECTION('center_axis',(0.,-1.,0.)); #189884=DIRECTION('ref_axis',(0.912158960315014,0.,-0.409836590749329)); #189885=DIRECTION('center_axis',(0.,-1.,0.)); #189886=DIRECTION('ref_axis',(0.912158960315014,0.,-0.409836590749329)); #189887=DIRECTION('',(0.,-1.,0.)); #189888=DIRECTION('center_axis',(0.,-1.,0.)); #189889=DIRECTION('ref_axis',(0.912158960315014,0.,-0.409836590749329)); #189890=DIRECTION('center_axis',(0.858176584495957,0.,-0.513354604365106)); #189891=DIRECTION('ref_axis',(0.513354604365106,0.,0.858176584495956)); #189892=DIRECTION('',(0.513354604365106,0.,0.858176584495956)); #189893=DIRECTION('',(0.,-1.,0.)); #189894=DIRECTION('',(0.513354604365106,0.,0.858176584495956)); #189895=DIRECTION('center_axis',(0.794258097513844,0.,-0.607580508684807)); #189896=DIRECTION('ref_axis',(0.607580508684807,0.,0.794258097513844)); #189897=DIRECTION('',(0.607580508684807,0.,0.794258097513844)); #189898=DIRECTION('',(0.,-1.,0.)); #189899=DIRECTION('',(0.607580508684807,0.,0.794258097513844)); #189900=DIRECTION('center_axis',(0.720384363232288,0.,-0.693575063861447)); #189901=DIRECTION('ref_axis',(0.693575063861447,0.,0.720384363232288)); #189902=DIRECTION('',(0.693575063861447,0.,0.720384363232288)); #189903=DIRECTION('',(0.,-1.,0.)); #189904=DIRECTION('',(0.693575063861447,0.,0.720384363232288)); #189905=DIRECTION('center_axis',(0.650827734701931,0.,-0.759225434072617)); #189906=DIRECTION('ref_axis',(0.759225434072617,0.,0.650827734701931)); #189907=DIRECTION('',(0.759225434072617,0.,0.650827734701931)); #189908=DIRECTION('',(0.,-1.,0.)); #189909=DIRECTION('',(0.759225434072617,0.,0.650827734701931)); #189910=DIRECTION('center_axis',(0.544007575661055,0.,-0.839080304633222)); #189911=DIRECTION('ref_axis',(0.839080304633222,0.,0.544007575661055)); #189912=DIRECTION('',(0.839080304633222,0.,0.544007575661055)); #189913=DIRECTION('',(0.,-1.,0.)); #189914=DIRECTION('',(0.839080304633222,0.,0.544007575661055)); #189915=DIRECTION('center_axis',(0.432153614161232,0.,-0.901800007633281)); #189916=DIRECTION('ref_axis',(0.901800007633281,0.,0.432153614161232)); #189917=DIRECTION('',(0.901800007633281,0.,0.432153614161232)); #189918=DIRECTION('',(0.,-1.,0.)); #189919=DIRECTION('',(0.901800007633281,0.,0.432153614161232)); #189920=DIRECTION('center_axis',(0.,-1.,0.)); #189921=DIRECTION('ref_axis',(-0.175750659441614,0.,-0.984434713785448)); #189922=DIRECTION('center_axis',(0.,-1.,0.)); #189923=DIRECTION('ref_axis',(-0.175750659441614,0.,-0.984434713785448)); #189924=DIRECTION('',(0.,-1.,0.)); #189925=DIRECTION('center_axis',(0.,-1.,0.)); #189926=DIRECTION('ref_axis',(-0.175750659441614,0.,-0.984434713785448)); #189927=DIRECTION('center_axis',(0.,-1.,0.)); #189928=DIRECTION('ref_axis',(-0.208472013512131,0.,-0.978028332709333)); #189929=DIRECTION('center_axis',(0.,-1.,0.)); #189930=DIRECTION('ref_axis',(-0.208472013512131,0.,-0.978028332709333)); #189931=DIRECTION('',(0.,-1.,0.)); #189932=DIRECTION('center_axis',(0.,-1.,0.)); #189933=DIRECTION('ref_axis',(-0.208472013512131,0.,-0.978028332709333)); #189934=DIRECTION('center_axis',(0.,-1.,0.)); #189935=DIRECTION('ref_axis',(-0.389367087039377,0.,-0.921082662702143)); #189936=DIRECTION('center_axis',(0.,-1.,0.)); #189937=DIRECTION('ref_axis',(-0.389367087039377,0.,-0.921082662702143)); #189938=DIRECTION('',(0.,-1.,0.)); #189939=DIRECTION('center_axis',(0.,-1.,0.)); #189940=DIRECTION('ref_axis',(-0.389367087039377,0.,-0.921082662702143)); #189941=DIRECTION('center_axis',(-0.490697455525617,0.,-0.871330021943859)); #189942=DIRECTION('ref_axis',(0.871330021943859,0.,-0.490697455525617)); #189943=DIRECTION('',(0.871330021943859,0.,-0.490697455525617)); #189944=DIRECTION('',(0.,-1.,0.)); #189945=DIRECTION('',(0.871330021943859,0.,-0.490697455525617)); #189946=DIRECTION('center_axis',(-0.605699568141556,0.,-0.795693429125271)); #189947=DIRECTION('ref_axis',(0.795693429125271,0.,-0.605699568141556)); #189948=DIRECTION('',(0.795693429125271,0.,-0.605699568141556)); #189949=DIRECTION('',(0.,-1.,0.)); #189950=DIRECTION('',(0.795693429125271,0.,-0.605699568141556)); #189951=DIRECTION('center_axis',(-0.687845654783349,0.,-0.725856979849106)); #189952=DIRECTION('ref_axis',(0.725856979849106,0.,-0.687845654783349)); #189953=DIRECTION('',(0.725856979849106,0.,-0.687845654783349)); #189954=DIRECTION('',(0.,-1.,0.)); #189955=DIRECTION('',(0.725856979849106,0.,-0.687845654783349)); #189956=DIRECTION('center_axis',(-0.760817032221783,0.,-0.648966442492398)); #189957=DIRECTION('ref_axis',(0.648966442492398,0.,-0.760817032221783)); #189958=DIRECTION('',(0.648966442492398,0.,-0.760817032221783)); #189959=DIRECTION('',(0.,-1.,0.)); #189960=DIRECTION('',(0.648966442492398,0.,-0.760817032221783)); #189961=DIRECTION('center_axis',(-0.829621224564313,0.,-0.558326628195727)); #189962=DIRECTION('ref_axis',(0.558326628195727,0.,-0.829621224564313)); #189963=DIRECTION('',(0.558326628195727,0.,-0.829621224564313)); #189964=DIRECTION('',(0.,-1.,0.)); #189965=DIRECTION('',(0.558326628195727,0.,-0.829621224564313)); #189966=DIRECTION('center_axis',(-0.887775790955397,0.,-0.460276161661148)); #189967=DIRECTION('ref_axis',(0.460276161661148,0.,-0.887775790955397)); #189968=DIRECTION('',(0.460276161661148,0.,-0.887775790955397)); #189969=DIRECTION('',(0.,-1.,0.)); #189970=DIRECTION('',(0.460276161661148,0.,-0.887775790955397)); #189971=DIRECTION('center_axis',(-0.933956727479879,0.,-0.357386109404206)); #189972=DIRECTION('ref_axis',(0.357386109404206,0.,-0.933956727479879)); #189973=DIRECTION('',(0.357386109404206,0.,-0.933956727479879)); #189974=DIRECTION('',(0.,-1.,0.)); #189975=DIRECTION('',(0.357386109404206,0.,-0.933956727479879)); #189976=DIRECTION('center_axis',(-0.967313109864276,0.,-0.253584990653437)); #189977=DIRECTION('ref_axis',(0.253584990653437,0.,-0.967313109864276)); #189978=DIRECTION('',(0.253584990653437,0.,-0.967313109864276)); #189979=DIRECTION('',(0.,-1.,0.)); #189980=DIRECTION('',(0.253584990653437,0.,-0.967313109864276)); #189981=DIRECTION('center_axis',(0.,-1.,0.)); #189982=DIRECTION('ref_axis',(-0.998701005404602,0.,-0.0509539184345697)); #189983=DIRECTION('center_axis',(0.,-1.,0.)); #189984=DIRECTION('ref_axis',(-0.998701005404602,0.,-0.0509539184345697)); #189985=DIRECTION('',(0.,-1.,0.)); #189986=DIRECTION('center_axis',(0.,-1.,0.)); #189987=DIRECTION('ref_axis',(-0.998701005404602,0.,-0.0509539184345697)); #189988=DIRECTION('center_axis',(-0.999434256997177,0.,0.0336328104758034)); #189989=DIRECTION('ref_axis',(-0.0336328104758034,0.,-0.999434256997177)); #189990=DIRECTION('',(-0.0336328104758034,0.,-0.999434256997177)); #189991=DIRECTION('',(0.,-1.,0.)); #189992=DIRECTION('',(-0.0336328104758034,0.,-0.999434256997177)); #189993=DIRECTION('center_axis',(-0.991730029220453,0.,0.128341533193269)); #189994=DIRECTION('ref_axis',(-0.128341533193269,0.,-0.991730029220453)); #189995=DIRECTION('',(-0.128341533193269,0.,-0.991730029220453)); #189996=DIRECTION('',(0.,-1.,0.)); #189997=DIRECTION('',(-0.128341533193269,0.,-0.991730029220453)); #189998=DIRECTION('center_axis',(-0.973522872583837,0.,0.22858962477793)); #189999=DIRECTION('ref_axis',(-0.22858962477793,0.,-0.973522872583837)); #190000=DIRECTION('',(-0.22858962477793,0.,-0.973522872583837)); #190001=DIRECTION('',(0.,-1.,0.)); #190002=DIRECTION('',(-0.22858962477793,0.,-0.973522872583837)); #190003=DIRECTION('center_axis',(-0.943582359906296,0.,0.331137932097283)); #190004=DIRECTION('ref_axis',(-0.331137932097283,0.,-0.943582359906296)); #190005=DIRECTION('',(-0.331137932097283,0.,-0.943582359906296)); #190006=DIRECTION('',(0.,-1.,0.)); #190007=DIRECTION('',(-0.331137932097283,0.,-0.943582359906296)); #190008=DIRECTION('center_axis',(-0.900866480992628,0.,0.434096283586901)); #190009=DIRECTION('ref_axis',(-0.434096283586901,0.,-0.900866480992628)); #190010=DIRECTION('',(-0.434096283586901,0.,-0.900866480992628)); #190011=DIRECTION('',(0.,-1.,0.)); #190012=DIRECTION('',(-0.434096283586901,0.,-0.900866480992628)); #190013=DIRECTION('center_axis',(0.,-1.,0.)); #190014=DIRECTION('ref_axis',(-0.781073585178379,0.,0.624438991845155)); #190015=DIRECTION('center_axis',(0.,-1.,0.)); #190016=DIRECTION('ref_axis',(-0.781073585178379,0.,0.624438991845155)); #190017=DIRECTION('',(0.,-1.,0.)); #190018=DIRECTION('center_axis',(0.,-1.,0.)); #190019=DIRECTION('ref_axis',(-0.781073585178379,0.,0.624438991845155)); #190020=DIRECTION('center_axis',(-0.708706305645414,0.,0.705503630280121)); #190021=DIRECTION('ref_axis',(-0.705503630280121,0.,-0.708706305645414)); #190022=DIRECTION('',(-0.705503630280121,0.,-0.708706305645414)); #190023=DIRECTION('',(0.,-1.,0.)); #190024=DIRECTION('',(-0.705503630280121,0.,-0.708706305645414)); #190025=DIRECTION('center_axis',(-0.619009039316805,0.,0.785383861079464)); #190026=DIRECTION('ref_axis',(-0.785383861079464,0.,-0.619009039316805)); #190027=DIRECTION('',(-0.785383861079464,0.,-0.619009039316805)); #190028=DIRECTION('',(0.,-1.,0.)); #190029=DIRECTION('',(-0.785383861079464,0.,-0.619009039316805)); #190030=DIRECTION('center_axis',(-0.50974078639278,0.,0.860328036674192)); #190031=DIRECTION('ref_axis',(-0.860328036674192,0.,-0.50974078639278)); #190032=DIRECTION('',(-0.860328036674192,0.,-0.50974078639278)); #190033=DIRECTION('',(0.,-1.,0.)); #190034=DIRECTION('',(-0.860328036674192,0.,-0.50974078639278)); #190035=DIRECTION('center_axis',(-0.403890913440937,0.,0.914807154563106)); #190036=DIRECTION('ref_axis',(-0.914807154563105,0.,-0.403890913440937)); #190037=DIRECTION('',(-0.914807154563105,0.,-0.403890913440937)); #190038=DIRECTION('',(0.,-1.,0.)); #190039=DIRECTION('',(-0.914807154563105,0.,-0.403890913440937)); #190040=DIRECTION('center_axis',(-0.307295769430986,0.,0.951614055218721)); #190041=DIRECTION('ref_axis',(-0.951614055218721,0.,-0.307295769430986)); #190042=DIRECTION('',(-0.951614055218721,0.,-0.307295769430986)); #190043=DIRECTION('',(0.,-1.,0.)); #190044=DIRECTION('',(-0.951614055218721,0.,-0.307295769430986)); #190045=DIRECTION('center_axis',(0.,-1.,0.)); #190046=DIRECTION('ref_axis',(-7.24737779004988E-6,0.,0.999999999973738)); #190047=DIRECTION('center_axis',(0.,-1.,0.)); #190048=DIRECTION('ref_axis',(-7.24737779004988E-6,0.,0.999999999973738)); #190049=DIRECTION('',(0.,-1.,0.)); #190050=DIRECTION('center_axis',(0.,-1.,0.)); #190051=DIRECTION('ref_axis',(-7.24737779004988E-6,0.,0.999999999973738)); #190052=DIRECTION('center_axis',(0.,0.,1.)); #190053=DIRECTION('ref_axis',(-1.,0.,0.)); #190054=DIRECTION('',(-1.,0.,0.)); #190055=DIRECTION('',(0.,-1.,0.)); #190056=DIRECTION('',(-1.,0.,0.)); #190057=DIRECTION('center_axis',(0.,-1.,0.)); #190058=DIRECTION('ref_axis',(-0.993389346955056,0.,-0.114793751381371)); #190059=DIRECTION('center_axis',(0.,-1.,0.)); #190060=DIRECTION('ref_axis',(-0.993389346955056,0.,-0.114793751381371)); #190061=DIRECTION('',(0.,-1.,0.)); #190062=DIRECTION('center_axis',(0.,-1.,0.)); #190063=DIRECTION('ref_axis',(-0.993389346955056,0.,-0.114793751381371)); #190064=DIRECTION('center_axis',(0.,-1.,0.)); #190065=DIRECTION('ref_axis',(-0.288539062296029,0.,0.957468124550018)); #190066=DIRECTION('center_axis',(0.,-1.,0.)); #190067=DIRECTION('ref_axis',(-0.288539062296029,0.,0.957468124550018)); #190068=DIRECTION('',(0.,-1.,0.)); #190069=DIRECTION('center_axis',(0.,-1.,0.)); #190070=DIRECTION('ref_axis',(-0.288539062296029,0.,0.957468124550018)); #190071=DIRECTION('center_axis',(0.,-1.,0.)); #190072=DIRECTION('ref_axis',(0.59550394560398,0.,0.803352382687754)); #190073=DIRECTION('center_axis',(0.,-1.,0.)); #190074=DIRECTION('ref_axis',(0.59550394560398,0.,0.803352382687754)); #190075=DIRECTION('',(0.,-1.,0.)); #190076=DIRECTION('center_axis',(0.,-1.,0.)); #190077=DIRECTION('ref_axis',(0.59550394560398,0.,0.803352382687754)); #190078=DIRECTION('center_axis',(0.,-1.,0.)); #190079=DIRECTION('ref_axis',(0.995872896263914,0.,0.0907588810360957)); #190080=DIRECTION('center_axis',(0.,-1.,0.)); #190081=DIRECTION('ref_axis',(0.995872896263914,0.,0.0907588810360957)); #190082=DIRECTION('',(0.,-1.,0.)); #190083=DIRECTION('center_axis',(0.,-1.,0.)); #190084=DIRECTION('ref_axis',(0.995872896263914,0.,0.0907588810360957)); #190085=DIRECTION('center_axis',(0.968209270088882,0.,0.250141578538941)); #190086=DIRECTION('ref_axis',(-0.250141578538941,0.,0.968209270088882)); #190087=DIRECTION('',(-0.250141578538941,0.,0.968209270088882)); #190088=DIRECTION('',(0.,-1.,0.)); #190089=DIRECTION('',(-0.250141578538941,0.,0.968209270088882)); #190090=DIRECTION('center_axis',(0.,-1.,0.)); #190091=DIRECTION('ref_axis',(-0.980272659731426,0.,-0.197649974912913)); #190092=DIRECTION('center_axis',(0.,1.,0.)); #190093=DIRECTION('ref_axis',(-0.980272659731426,0.,-0.197649974912913)); #190094=DIRECTION('',(0.,-1.,0.)); #190095=DIRECTION('center_axis',(0.,1.,0.)); #190096=DIRECTION('ref_axis',(-0.980272659731426,0.,-0.197649974912913)); #190097=DIRECTION('center_axis',(0.,-1.,0.)); #190098=DIRECTION('ref_axis',(-0.179438131091865,0.,-0.983769260096217)); #190099=DIRECTION('center_axis',(0.,1.,0.)); #190100=DIRECTION('ref_axis',(-0.179438131091865,0.,-0.983769260096217)); #190101=DIRECTION('',(0.,-1.,0.)); #190102=DIRECTION('center_axis',(0.,1.,0.)); #190103=DIRECTION('ref_axis',(-0.179438131091865,0.,-0.983769260096217)); #190104=DIRECTION('center_axis',(0.,-1.,0.)); #190105=DIRECTION('ref_axis',(0.773062463988659,0.,-0.634329903734471)); #190106=DIRECTION('center_axis',(0.,1.,0.)); #190107=DIRECTION('ref_axis',(0.773062463988659,0.,-0.634329903734471)); #190108=DIRECTION('',(0.,-1.,0.)); #190109=DIRECTION('center_axis',(0.,1.,0.)); #190110=DIRECTION('ref_axis',(0.773062463988659,0.,-0.634329903734471)); #190111=DIRECTION('center_axis',(0.,-1.,0.)); #190112=DIRECTION('ref_axis',(0.979829828636597,0.,0.199833698144176)); #190113=DIRECTION('center_axis',(0.,1.,0.)); #190114=DIRECTION('ref_axis',(0.979829828636597,0.,0.199833698144176)); #190115=DIRECTION('',(0.,-1.,0.)); #190116=DIRECTION('center_axis',(0.,1.,0.)); #190117=DIRECTION('ref_axis',(0.979829828636597,0.,0.199833698144176)); #190118=DIRECTION('center_axis',(0.,-1.,0.)); #190119=DIRECTION('ref_axis',(0.303310007459911,0.,0.952891934783094)); #190120=DIRECTION('center_axis',(0.,1.,0.)); #190121=DIRECTION('ref_axis',(0.303310007459911,0.,0.952891934783094)); #190122=DIRECTION('',(0.,-1.,0.)); #190123=DIRECTION('center_axis',(0.,1.,0.)); #190124=DIRECTION('ref_axis',(0.303310007459911,0.,0.952891934783094)); #190125=DIRECTION('center_axis',(-0.140710999880442,0.,-0.990050713101428)); #190126=DIRECTION('ref_axis',(0.990050713101428,0.,-0.140710999880442)); #190127=DIRECTION('',(0.990050713101428,0.,-0.140710999880442)); #190128=DIRECTION('',(0.,-1.,0.)); #190129=DIRECTION('',(0.990050713101428,0.,-0.140710999880442)); #190130=DIRECTION('center_axis',(0.,-1.,0.)); #190131=DIRECTION('ref_axis',(-0.16763571539643,0.,-0.985849008176976)); #190132=DIRECTION('center_axis',(0.,-1.,0.)); #190133=DIRECTION('ref_axis',(-0.16763571539643,0.,-0.985849008176976)); #190134=DIRECTION('',(0.,-1.,0.)); #190135=DIRECTION('center_axis',(0.,-1.,0.)); #190136=DIRECTION('ref_axis',(-0.16763571539643,0.,-0.985849008176976)); #190137=DIRECTION('center_axis',(-0.231747498668082,0.,-0.972775974652483)); #190138=DIRECTION('ref_axis',(0.972775974652483,0.,-0.231747498668082)); #190139=DIRECTION('',(0.972775974652483,0.,-0.231747498668082)); #190140=DIRECTION('',(0.,-1.,0.)); #190141=DIRECTION('',(0.972775974652483,0.,-0.231747498668082)); #190142=DIRECTION('center_axis',(-0.314923943082435,0.,-0.949116910645581)); #190143=DIRECTION('ref_axis',(0.949116910645581,0.,-0.314923943082435)); #190144=DIRECTION('',(0.949116910645581,0.,-0.314923943082435)); #190145=DIRECTION('',(0.,-1.,0.)); #190146=DIRECTION('',(0.949116910645581,0.,-0.314923943082435)); #190147=DIRECTION('center_axis',(-0.407074074677554,0.,-0.913395148731048)); #190148=DIRECTION('ref_axis',(0.913395148731048,0.,-0.407074074677554)); #190149=DIRECTION('',(0.913395148731048,0.,-0.407074074677554)); #190150=DIRECTION('',(0.,-1.,0.)); #190151=DIRECTION('',(0.913395148731048,0.,-0.407074074677554)); #190152=DIRECTION('center_axis',(-0.507216275060956,0.,-0.861818803643369)); #190153=DIRECTION('ref_axis',(0.861818803643369,0.,-0.507216275060956)); #190154=DIRECTION('',(0.861818803643369,0.,-0.507216275060956)); #190155=DIRECTION('',(0.,-1.,0.)); #190156=DIRECTION('',(0.861818803643369,0.,-0.507216275060956)); #190157=DIRECTION('center_axis',(-0.610695144058245,0.,-0.791865797356901)); #190158=DIRECTION('ref_axis',(0.791865797356901,0.,-0.610695144058245)); #190159=DIRECTION('',(0.791865797356901,0.,-0.610695144058245)); #190160=DIRECTION('',(0.,-1.,0.)); #190161=DIRECTION('',(0.791865797356901,0.,-0.610695144058244)); #190162=DIRECTION('center_axis',(-0.69943498098039,0.,-0.71469623434083)); #190163=DIRECTION('ref_axis',(0.71469623434083,0.,-0.69943498098039)); #190164=DIRECTION('',(0.71469623434083,0.,-0.69943498098039)); #190165=DIRECTION('',(0.,-1.,0.)); #190166=DIRECTION('',(0.71469623434083,0.,-0.69943498098039)); #190167=DIRECTION('center_axis',(-0.767406326392408,0.,-0.64116107977084)); #190168=DIRECTION('ref_axis',(0.64116107977084,0.,-0.767406326392408)); #190169=DIRECTION('',(0.64116107977084,0.,-0.767406326392408)); #190170=DIRECTION('',(0.,-1.,0.)); #190171=DIRECTION('',(0.64116107977084,0.,-0.767406326392408)); #190172=DIRECTION('center_axis',(-0.832012711113597,0.,-0.55475656692409)); #190173=DIRECTION('ref_axis',(0.55475656692409,0.,-0.832012711113597)); #190174=DIRECTION('',(0.55475656692409,0.,-0.832012711113597)); #190175=DIRECTION('',(0.,-1.,0.)); #190176=DIRECTION('',(0.55475656692409,0.,-0.832012711113597)); #190177=DIRECTION('center_axis',(-0.886680592918139,0.,-0.462382445754959)); #190178=DIRECTION('ref_axis',(0.462382445754959,0.,-0.886680592918139)); #190179=DIRECTION('',(0.462382445754959,0.,-0.886680592918139)); #190180=DIRECTION('',(0.,-1.,0.)); #190181=DIRECTION('',(0.462382445754959,0.,-0.886680592918139)); #190182=DIRECTION('center_axis',(-0.931007046797962,0.,-0.365001203850806)); #190183=DIRECTION('ref_axis',(0.365001203850806,0.,-0.931007046797962)); #190184=DIRECTION('',(0.365001203850806,0.,-0.931007046797962)); #190185=DIRECTION('',(0.,-1.,0.)); #190186=DIRECTION('',(0.365001203850806,0.,-0.931007046797962)); #190187=DIRECTION('center_axis',(-0.96391084380757,0.,-0.266225252728172)); #190188=DIRECTION('ref_axis',(0.266225252728172,0.,-0.96391084380757)); #190189=DIRECTION('',(0.266225252728172,0.,-0.96391084380757)); #190190=DIRECTION('',(0.,-1.,0.)); #190191=DIRECTION('',(0.266225252728172,0.,-0.96391084380757)); #190192=DIRECTION('center_axis',(-0.985449461503438,0.,-0.169968699537836)); #190193=DIRECTION('ref_axis',(0.169968699537836,0.,-0.985449461503438)); #190194=DIRECTION('',(0.169968699537836,0.,-0.985449461503438)); #190195=DIRECTION('',(0.,-1.,0.)); #190196=DIRECTION('',(0.169968699537836,0.,-0.985449461503438)); #190197=DIRECTION('center_axis',(-0.99710412550637,0.,-0.0760484246922849)); #190198=DIRECTION('ref_axis',(0.0760484246922849,0.,-0.99710412550637)); #190199=DIRECTION('',(0.0760484246922849,0.,-0.99710412550637)); #190200=DIRECTION('',(0.,-1.,0.)); #190201=DIRECTION('',(0.0760484246922849,0.,-0.99710412550637)); #190202=DIRECTION('center_axis',(-0.999912718591083,0.,0.0132119339912504)); #190203=DIRECTION('ref_axis',(-0.0132119339912504,0.,-0.999912718591083)); #190204=DIRECTION('',(-0.0132119339912504,0.,-0.999912718591083)); #190205=DIRECTION('',(0.,-1.,0.)); #190206=DIRECTION('',(-0.0132119339912504,0.,-0.999912718591083)); #190207=DIRECTION('center_axis',(-0.994413753135573,0.,0.105552297818772)); #190208=DIRECTION('ref_axis',(-0.105552297818772,0.,-0.994413753135573)); #190209=DIRECTION('',(-0.105552297818772,0.,-0.994413753135573)); #190210=DIRECTION('',(0.,-1.,0.)); #190211=DIRECTION('',(-0.105552297818772,0.,-0.994413753135573)); #190212=DIRECTION('center_axis',(-0.978880583148575,0.,0.204432883692193)); #190213=DIRECTION('ref_axis',(-0.204432883692193,0.,-0.978880583148575)); #190214=DIRECTION('',(-0.204432883692193,0.,-0.978880583148575)); #190215=DIRECTION('',(0.,-1.,0.)); #190216=DIRECTION('',(-0.204432883692193,0.,-0.978880583148575)); #190217=DIRECTION('center_axis',(0.,-1.,0.)); #190218=DIRECTION('ref_axis',(-0.911276830266668,0.,0.411794291630098)); #190219=DIRECTION('center_axis',(0.,-1.,0.)); #190220=DIRECTION('ref_axis',(-0.911276830266668,0.,0.411794291630098)); #190221=DIRECTION('',(0.,-1.,0.)); #190222=DIRECTION('center_axis',(0.,-1.,0.)); #190223=DIRECTION('ref_axis',(-0.911276830266668,0.,0.411794291630098)); #190224=DIRECTION('center_axis',(-0.859228968643815,0.,0.511591222992816)); #190225=DIRECTION('ref_axis',(-0.511591222992816,0.,-0.859228968643815)); #190226=DIRECTION('',(-0.511591222992816,0.,-0.859228968643815)); #190227=DIRECTION('',(0.,-1.,0.)); #190228=DIRECTION('',(-0.511591222992816,0.,-0.859228968643815)); #190229=DIRECTION('center_axis',(-0.796648562398653,0.,0.60444277481674)); #190230=DIRECTION('ref_axis',(-0.60444277481674,0.,-0.796648562398653)); #190231=DIRECTION('',(-0.60444277481674,0.,-0.796648562398653)); #190232=DIRECTION('',(0.,-1.,0.)); #190233=DIRECTION('',(-0.60444277481674,0.,-0.796648562398653)); #190234=DIRECTION('center_axis',(-0.724844147657303,0.,0.688912883902571)); #190235=DIRECTION('ref_axis',(-0.688912883902571,0.,-0.724844147657303)); #190236=DIRECTION('',(-0.688912883902571,0.,-0.724844147657303)); #190237=DIRECTION('',(0.,-1.,0.)); #190238=DIRECTION('',(-0.688912883902571,0.,-0.724844147657303)); #190239=DIRECTION('center_axis',(0.,-1.,0.)); #190240=DIRECTION('ref_axis',(-0.54511358742996,0.,0.83836219905196)); #190241=DIRECTION('center_axis',(0.,-1.,0.)); #190242=DIRECTION('ref_axis',(-0.54511358742996,0.,0.83836219905196)); #190243=DIRECTION('',(0.,-1.,0.)); #190244=DIRECTION('center_axis',(0.,-1.,0.)); #190245=DIRECTION('ref_axis',(-0.54511358742996,0.,0.83836219905196)); #190246=DIRECTION('center_axis',(0.,-1.,0.)); #190247=DIRECTION('ref_axis',(0.20553348209392,0.,0.978650084421571)); #190248=DIRECTION('center_axis',(0.,-1.,0.)); #190249=DIRECTION('ref_axis',(0.20553348209392,0.,0.978650084421571)); #190250=DIRECTION('',(0.,-1.,0.)); #190251=DIRECTION('center_axis',(0.,-1.,0.)); #190252=DIRECTION('ref_axis',(0.20553348209392,0.,0.978650084421571)); #190253=DIRECTION('center_axis',(0.391445832242913,0.,0.920201152150796)); #190254=DIRECTION('ref_axis',(-0.920201152150796,0.,0.391445832242913)); #190255=DIRECTION('',(-0.920201152150796,0.,0.391445832242913)); #190256=DIRECTION('',(0.,-1.,0.)); #190257=DIRECTION('',(-0.920201152150796,0.,0.391445832242913)); #190258=DIRECTION('center_axis',(0.495854986683054,0.,0.868405338641782)); #190259=DIRECTION('ref_axis',(-0.868405338641782,0.,0.495854986683054)); #190260=DIRECTION('',(-0.868405338641782,0.,0.495854986683054)); #190261=DIRECTION('',(-0.868405338641782,0.,0.495854986683054)); #190262=DIRECTION('center_axis',(0.,1.,0.)); #190263=DIRECTION('ref_axis',(1.,0.,0.)); #190264=DIRECTION('center_axis',(0.,-1.,0.)); #190265=DIRECTION('ref_axis',(-0.663415844400467,0.,-0.748250905377612)); #190266=DIRECTION('center_axis',(0.,1.,0.)); #190267=DIRECTION('ref_axis',(-0.663415844400467,0.,-0.748250905377612)); #190268=DIRECTION('',(0.,-1.,0.)); #190269=DIRECTION('center_axis',(0.,1.,0.)); #190270=DIRECTION('ref_axis',(-0.663415844400467,0.,-0.748250905377612)); #190271=DIRECTION('',(0.,-1.,0.)); #190272=DIRECTION('center_axis',(0.,-1.,0.)); #190273=DIRECTION('ref_axis',(0.774115702420931,0.,-0.633044136901487)); #190274=DIRECTION('center_axis',(0.,1.,0.)); #190275=DIRECTION('ref_axis',(0.774115702420931,0.,-0.633044136901487)); #190276=DIRECTION('',(0.,-1.,0.)); #190277=DIRECTION('center_axis',(0.,1.,0.)); #190278=DIRECTION('ref_axis',(0.774115702420931,0.,-0.633044136901487)); #190279=DIRECTION('center_axis',(0.,-1.,0.)); #190280=DIRECTION('ref_axis',(0.973393510421305,0.,0.229139856571679)); #190281=DIRECTION('center_axis',(0.,1.,0.)); #190282=DIRECTION('ref_axis',(0.973393510421305,0.,0.229139856571679)); #190283=DIRECTION('',(0.,-1.,0.)); #190284=DIRECTION('center_axis',(0.,1.,0.)); #190285=DIRECTION('ref_axis',(0.973393510421305,0.,0.229139856571679)); #190286=DIRECTION('center_axis',(-1.,0.,0.)); #190287=DIRECTION('ref_axis',(0.,0.,-1.)); #190288=DIRECTION('',(0.,0.,-1.)); #190289=DIRECTION('',(0.,-1.,0.)); #190290=DIRECTION('',(0.,0.,-1.)); #190291=DIRECTION('center_axis',(-0.999999999998049,0.,-1.97539058305963E-6)); #190292=DIRECTION('ref_axis',(1.97539058305963E-6,0.,-0.999999999998049)); #190293=DIRECTION('',(1.97539058305963E-6,0.,-0.999999999998049)); #190294=DIRECTION('',(0.,-1.,0.)); #190295=DIRECTION('',(1.97539058305963E-6,0.,-0.999999999998049)); #190296=DIRECTION('center_axis',(0.,0.,-1.)); #190297=DIRECTION('ref_axis',(1.,0.,0.)); #190298=DIRECTION('',(1.,0.,0.)); #190299=DIRECTION('',(0.,-1.,0.)); #190300=DIRECTION('',(1.,0.,0.)); #190301=DIRECTION('center_axis',(0.999986191553367,0.,-0.00525515961637488)); #190302=DIRECTION('ref_axis',(0.00525515961637488,0.,0.999986191553367)); #190303=DIRECTION('',(0.00525515961637488,0.,0.999986191553367)); #190304=DIRECTION('',(0.,-1.,0.)); #190305=DIRECTION('',(0.00525515961637488,0.,0.999986191553367)); #190306=DIRECTION('center_axis',(1.,0.,0.)); #190307=DIRECTION('ref_axis',(0.,0.,1.)); #190308=DIRECTION('',(0.,0.,1.)); #190309=DIRECTION('',(0.,0.,1.)); #190310=DIRECTION('center_axis',(0.999673382402449,0.,-0.0255563791654294)); #190311=DIRECTION('ref_axis',(0.0255563791654293,0.,0.999673382402449)); #190312=DIRECTION('',(0.0255563791654293,0.,0.999673382402449)); #190313=DIRECTION('',(0.,-1.,0.)); #190314=DIRECTION('',(0.0255563791654293,0.,0.999673382402449)); #190315=DIRECTION('',(0.,-1.,0.)); #190316=DIRECTION('center_axis',(1.,0.,-2.77549372520723E-15)); #190317=DIRECTION('ref_axis',(2.77549372520723E-15,0.,1.)); #190318=DIRECTION('',(2.77549372520723E-15,0.,1.)); #190319=DIRECTION('',(0.,-1.,0.)); #190320=DIRECTION('',(2.77549372520723E-15,0.,1.)); #190321=DIRECTION('center_axis',(0.,-1.,0.)); #190322=DIRECTION('ref_axis',(-0.542974943627074,0.,-0.839748897345615)); #190323=DIRECTION('center_axis',(0.,1.,0.)); #190324=DIRECTION('ref_axis',(-0.542974943627074,0.,-0.839748897345615)); #190325=DIRECTION('',(0.,-1.,0.)); #190326=DIRECTION('center_axis',(0.,1.,0.)); #190327=DIRECTION('ref_axis',(-0.542974943627074,0.,-0.839748897345615)); #190328=DIRECTION('center_axis',(0.,-1.,0.)); #190329=DIRECTION('ref_axis',(0.911196676547976,0.,-0.411971621168162)); #190330=DIRECTION('center_axis',(0.,1.,0.)); #190331=DIRECTION('ref_axis',(0.911196676547976,0.,-0.411971621168162)); #190332=DIRECTION('',(0.,-1.,0.)); #190333=DIRECTION('center_axis',(0.,1.,0.)); #190334=DIRECTION('ref_axis',(0.911196676547976,0.,-0.411971621168162)); #190335=DIRECTION('center_axis',(0.,-1.,0.)); #190336=DIRECTION('ref_axis',(0.977516114995979,0.,0.210860723993748)); #190337=DIRECTION('center_axis',(0.,1.,0.)); #190338=DIRECTION('ref_axis',(0.977516114995979,0.,0.210860723993748)); #190339=DIRECTION('',(0.,-1.,0.)); #190340=DIRECTION('center_axis',(0.,1.,0.)); #190341=DIRECTION('ref_axis',(0.977516114995979,0.,0.210860723993748)); #190342=DIRECTION('center_axis',(-1.,0.,0.)); #190343=DIRECTION('ref_axis',(0.,0.,-1.)); #190344=DIRECTION('',(0.,0.,-1.)); #190345=DIRECTION('',(0.,-1.,0.)); #190346=DIRECTION('',(0.,0.,-1.)); #190347=DIRECTION('center_axis',(0.,0.,-1.)); #190348=DIRECTION('ref_axis',(1.,0.,0.)); #190349=DIRECTION('',(1.,0.,0.)); #190350=DIRECTION('',(1.,0.,0.)); #190351=DIRECTION('center_axis',(0.883912647443891,0.,0.467652041253678)); #190352=DIRECTION('ref_axis',(-0.467652041253678,0.,0.883912647443891)); #190353=DIRECTION('',(-0.467652041253678,0.,0.883912647443891)); #190354=DIRECTION('',(0.,-1.,0.)); #190355=DIRECTION('',(-0.467652041253678,0.,0.883912647443891)); #190356=DIRECTION('',(0.,-1.,0.)); #190357=DIRECTION('center_axis',(0.930859576674684,0.,0.365377131896659)); #190358=DIRECTION('ref_axis',(-0.365377131896659,0.,0.930859576674684)); #190359=DIRECTION('',(-0.365377131896659,0.,0.930859576674684)); #190360=DIRECTION('',(0.,-1.,0.)); #190361=DIRECTION('',(-0.365377131896659,0.,0.930859576674684)); #190362=DIRECTION('center_axis',(0.962225401238433,0.,0.272254067392089)); #190363=DIRECTION('ref_axis',(-0.272254067392089,0.,0.962225401238433)); #190364=DIRECTION('',(-0.272254067392089,0.,0.962225401238433)); #190365=DIRECTION('',(0.,-1.,0.)); #190366=DIRECTION('',(-0.272254067392089,0.,0.962225401238433)); #190367=DIRECTION('center_axis',(0.981916406235884,0.,0.189315005123222)); #190368=DIRECTION('ref_axis',(-0.189315005123222,0.,0.981916406235884)); #190369=DIRECTION('',(-0.189315005123222,0.,0.981916406235884)); #190370=DIRECTION('',(0.,-1.,0.)); #190371=DIRECTION('',(-0.189315005123222,0.,0.981916406235884)); #190372=DIRECTION('center_axis',(0.993201823913487,0.,0.116405055624411)); #190373=DIRECTION('ref_axis',(-0.116405055624411,0.,0.993201823913487)); #190374=DIRECTION('',(-0.116405055624411,0.,0.993201823913487)); #190375=DIRECTION('',(0.,-1.,0.)); #190376=DIRECTION('',(-0.116405055624411,0.,0.993201823913487)); #190377=DIRECTION('center_axis',(0.,-1.,0.)); #190378=DIRECTION('ref_axis',(0.998637919068427,0.,-0.0521757280608754)); #190379=DIRECTION('center_axis',(0.,-1.,0.)); #190380=DIRECTION('ref_axis',(0.998637919068427,0.,-0.0521757280608754)); #190381=DIRECTION('',(0.,-1.,0.)); #190382=DIRECTION('center_axis',(0.,-1.,0.)); #190383=DIRECTION('ref_axis',(0.998637919068427,0.,-0.0521757280608754)); #190384=DIRECTION('center_axis',(0.,-1.,0.)); #190385=DIRECTION('ref_axis',(0.378553822892351,0.,-0.925579279788386)); #190386=DIRECTION('center_axis',(0.,-1.,0.)); #190387=DIRECTION('ref_axis',(0.378553822892351,0.,-0.925579279788386)); #190388=DIRECTION('',(0.,-1.,0.)); #190389=DIRECTION('center_axis',(0.,-1.,0.)); #190390=DIRECTION('ref_axis',(0.378553822892351,0.,-0.925579279788386)); #190391=DIRECTION('center_axis',(0.,-1.,0.)); #190392=DIRECTION('ref_axis',(-0.47523051502267,0.,-0.879861328614508)); #190393=DIRECTION('center_axis',(0.,-1.,0.)); #190394=DIRECTION('ref_axis',(-0.47523051502267,0.,-0.879861328614508)); #190395=DIRECTION('',(0.,-1.,0.)); #190396=DIRECTION('center_axis',(0.,-1.,0.)); #190397=DIRECTION('ref_axis',(-0.47523051502267,0.,-0.879861328614508)); #190398=DIRECTION('center_axis',(0.,-1.,0.)); #190399=DIRECTION('ref_axis',(-0.96629363117405,0.,-0.257442456390685)); #190400=DIRECTION('center_axis',(0.,-1.,0.)); #190401=DIRECTION('ref_axis',(-0.96629363117405,0.,-0.257442456390685)); #190402=DIRECTION('',(0.,-1.,0.)); #190403=DIRECTION('center_axis',(0.,-1.,0.)); #190404=DIRECTION('ref_axis',(-0.96629363117405,0.,-0.257442456390685)); #190405=DIRECTION('center_axis',(-0.934916435063286,0.,-0.354867946493561)); #190406=DIRECTION('ref_axis',(0.354867946493561,0.,-0.934916435063286)); #190407=DIRECTION('',(0.354867946493561,0.,-0.934916435063286)); #190408=DIRECTION('',(0.,-1.,0.)); #190409=DIRECTION('',(0.354867946493561,0.,-0.934916435063286)); #190410=DIRECTION('center_axis',(0.,-1.,0.)); #190411=DIRECTION('ref_axis',(0.182994195049245,0.,-0.983113993684496)); #190412=DIRECTION('center_axis',(0.,-1.,0.)); #190413=DIRECTION('ref_axis',(0.182994195049245,0.,-0.983113993684496)); #190414=DIRECTION('',(0.,-1.,0.)); #190415=DIRECTION('center_axis',(0.,-1.,0.)); #190416=DIRECTION('ref_axis',(0.182994195049245,0.,-0.983113993684496)); #190417=DIRECTION('center_axis',(0.,-1.,0.)); #190418=DIRECTION('ref_axis',(-0.987085559188542,0.,-0.160193941338129)); #190419=DIRECTION('center_axis',(0.,-1.,0.)); #190420=DIRECTION('ref_axis',(-0.987085559188542,0.,-0.160193941338129)); #190421=DIRECTION('',(0.,-1.,0.)); #190422=DIRECTION('center_axis',(0.,-1.,0.)); #190423=DIRECTION('ref_axis',(-0.987085559188542,0.,-0.160193941338129)); #190424=DIRECTION('center_axis',(0.,-1.,0.)); #190425=DIRECTION('ref_axis',(-0.997921423587384,0.,0.0644424731472181)); #190426=DIRECTION('center_axis',(0.,-1.,0.)); #190427=DIRECTION('ref_axis',(-0.997921423587384,0.,0.0644424731472181)); #190428=DIRECTION('',(0.,-1.,0.)); #190429=DIRECTION('center_axis',(0.,-1.,0.)); #190430=DIRECTION('ref_axis',(-0.997921423587384,0.,0.0644424731472181)); #190431=DIRECTION('center_axis',(-0.983824646816417,0.,0.179134207555543)); #190432=DIRECTION('ref_axis',(-0.179134207555543,0.,-0.983824646816417)); #190433=DIRECTION('',(-0.179134207555543,0.,-0.983824646816417)); #190434=DIRECTION('',(0.,-1.,0.)); #190435=DIRECTION('',(-0.179134207555543,0.,-0.983824646816417)); #190436=DIRECTION('center_axis',(-0.965179926552198,0.,0.261586905980963)); #190437=DIRECTION('ref_axis',(-0.261586905980963,0.,-0.965179926552198)); #190438=DIRECTION('',(-0.261586905980963,0.,-0.965179926552198)); #190439=DIRECTION('',(0.,-1.,0.)); #190440=DIRECTION('',(-0.261586905980963,0.,-0.965179926552198)); #190441=DIRECTION('center_axis',(-0.934364094471419,0.,0.35631971452981)); #190442=DIRECTION('ref_axis',(-0.35631971452981,0.,-0.934364094471419)); #190443=DIRECTION('',(-0.35631971452981,0.,-0.934364094471419)); #190444=DIRECTION('',(0.,-1.,0.)); #190445=DIRECTION('',(-0.356319714529809,0.,-0.934364094471419)); #190446=DIRECTION('center_axis',(-0.886762546911635,0.,0.46222525395611)); #190447=DIRECTION('ref_axis',(-0.462225253956109,0.,-0.886762546911635)); #190448=DIRECTION('',(-0.462225253956109,0.,-0.886762546911635)); #190449=DIRECTION('',(0.,-1.,0.)); #190450=DIRECTION('',(-0.462225253956109,0.,-0.886762546911635)); #190451=DIRECTION('center_axis',(-0.818482567280225,0.,0.574531362989326)); #190452=DIRECTION('ref_axis',(-0.574531362989326,0.,-0.818482567280225)); #190453=DIRECTION('',(-0.574531362989326,0.,-0.818482567280225)); #190454=DIRECTION('',(0.,-1.,0.)); #190455=DIRECTION('',(-0.574531362989326,0.,-0.818482567280225)); #190456=DIRECTION('center_axis',(-0.742850823777371,0.,0.669456984139595)); #190457=DIRECTION('ref_axis',(-0.669456984139595,0.,-0.742850823777371)); #190458=DIRECTION('',(-0.669456984139595,0.,-0.742850823777371)); #190459=DIRECTION('',(0.,-1.,0.)); #190460=DIRECTION('',(-0.669456984139595,0.,-0.742850823777371)); #190461=DIRECTION('center_axis',(-0.672356964136031,0.,0.740227068390355)); #190462=DIRECTION('ref_axis',(-0.740227068390355,0.,-0.672356964136031)); #190463=DIRECTION('',(-0.740227068390355,0.,-0.672356964136031)); #190464=DIRECTION('',(0.,-1.,0.)); #190465=DIRECTION('',(-0.740227068390355,0.,-0.672356964136031)); #190466=DIRECTION('center_axis',(-0.584193490153523,0.,0.811614419575112)); #190467=DIRECTION('ref_axis',(-0.811614419575112,0.,-0.584193490153523)); #190468=DIRECTION('',(-0.811614419575112,0.,-0.584193490153523)); #190469=DIRECTION('',(0.,-1.,0.)); #190470=DIRECTION('',(-0.811614419575112,0.,-0.584193490153523)); #190471=DIRECTION('center_axis',(-0.486717923513778,0.,0.873559192573941)); #190472=DIRECTION('ref_axis',(-0.873559192573941,0.,-0.486717923513778)); #190473=DIRECTION('',(-0.873559192573941,0.,-0.486717923513778)); #190474=DIRECTION('',(0.,-1.,0.)); #190475=DIRECTION('',(-0.873559192573941,0.,-0.486717923513778)); #190476=DIRECTION('center_axis',(-0.38456302615552,0.,0.923098737359178)); #190477=DIRECTION('ref_axis',(-0.923098737359178,0.,-0.38456302615552)); #190478=DIRECTION('',(-0.923098737359178,0.,-0.38456302615552)); #190479=DIRECTION('',(0.,-1.,0.)); #190480=DIRECTION('',(-0.923098737359178,0.,-0.38456302615552)); #190481=DIRECTION('center_axis',(-0.280095135473884,0.,0.959972247038354)); #190482=DIRECTION('ref_axis',(-0.959972247038354,0.,-0.280095135473884)); #190483=DIRECTION('',(-0.959972247038354,0.,-0.280095135473884)); #190484=DIRECTION('',(0.,-1.,0.)); #190485=DIRECTION('',(-0.959972247038354,0.,-0.280095135473884)); #190486=DIRECTION('center_axis',(-0.177167782958072,0.,0.984180662623342)); #190487=DIRECTION('ref_axis',(-0.984180662623342,0.,-0.177167782958072)); #190488=DIRECTION('',(-0.984180662623342,0.,-0.177167782958072)); #190489=DIRECTION('',(0.,-1.,0.)); #190490=DIRECTION('',(-0.984180662623342,0.,-0.177167782958072)); #190491=DIRECTION('center_axis',(-0.0794988340722335,0.,0.996834958948148)); #190492=DIRECTION('ref_axis',(-0.996834958948148,0.,-0.0794988340722335)); #190493=DIRECTION('',(-0.996834958948148,0.,-0.0794988340722335)); #190494=DIRECTION('',(0.,-1.,0.)); #190495=DIRECTION('',(-0.996834958948148,0.,-0.0794988340722335)); #190496=DIRECTION('center_axis',(0.0125141262120695,0.,0.999921695256758)); #190497=DIRECTION('ref_axis',(-0.999921695256758,0.,0.0125141262120695)); #190498=DIRECTION('',(-0.999921695256758,0.,0.0125141262120695)); #190499=DIRECTION('',(0.,-1.,0.)); #190500=DIRECTION('',(-0.999921695256758,0.,0.0125141262120695)); #190501=DIRECTION('center_axis',(0.106082399663796,0.,0.994357342448665)); #190502=DIRECTION('ref_axis',(-0.994357342448665,0.,0.106082399663796)); #190503=DIRECTION('',(-0.994357342448665,0.,0.106082399663796)); #190504=DIRECTION('',(0.,-1.,0.)); #190505=DIRECTION('',(-0.994357342448665,0.,0.106082399663796)); #190506=DIRECTION('center_axis',(0.205309774317199,0.,0.978697040237591)); #190507=DIRECTION('ref_axis',(-0.978697040237591,0.,0.205309774317199)); #190508=DIRECTION('',(-0.978697040237591,0.,0.205309774317199)); #190509=DIRECTION('',(0.,-1.,0.)); #190510=DIRECTION('',(-0.978697040237591,0.,0.205309774317199)); #190511=DIRECTION('center_axis',(0.309039825123578,0.,0.951049097832277)); #190512=DIRECTION('ref_axis',(-0.951049097832277,0.,0.309039825123578)); #190513=DIRECTION('',(-0.951049097832277,0.,0.309039825123578)); #190514=DIRECTION('',(0.,-1.,0.)); #190515=DIRECTION('',(-0.951049097832277,0.,0.309039825123578)); #190516=DIRECTION('center_axis',(0.412980154336783,0.,0.910740024443841)); #190517=DIRECTION('ref_axis',(-0.910740024443841,0.,0.412980154336783)); #190518=DIRECTION('',(-0.910740024443841,0.,0.412980154336783)); #190519=DIRECTION('',(0.,-1.,0.)); #190520=DIRECTION('',(-0.910740024443841,0.,0.412980154336783)); #190521=DIRECTION('center_axis',(0.512860381343624,0.,0.858472031721519)); #190522=DIRECTION('ref_axis',(-0.858472031721519,0.,0.512860381343624)); #190523=DIRECTION('',(-0.858472031721519,0.,0.512860381343624)); #190524=DIRECTION('',(0.,-1.,0.)); #190525=DIRECTION('',(-0.858472031721519,0.,0.512860381343624)); #190526=DIRECTION('center_axis',(0.606619692191077,0.,0.794992169172755)); #190527=DIRECTION('ref_axis',(-0.794992169172755,0.,0.606619692191077)); #190528=DIRECTION('',(-0.794992169172755,0.,0.606619692191077)); #190529=DIRECTION('',(0.,-1.,0.)); #190530=DIRECTION('',(-0.794992169172755,0.,0.606619692191077)); #190531=DIRECTION('center_axis',(0.69099493524838,0.,0.722859598719618)); #190532=DIRECTION('ref_axis',(-0.722859598719618,0.,0.69099493524838)); #190533=DIRECTION('',(-0.722859598719618,0.,0.69099493524838)); #190534=DIRECTION('',(0.,-1.,0.)); #190535=DIRECTION('',(-0.722859598719618,0.,0.69099493524838)); #190536=DIRECTION('center_axis',(0.762630375354148,0.,0.646834531072044)); #190537=DIRECTION('ref_axis',(-0.646834531072044,0.,0.762630375354148)); #190538=DIRECTION('',(-0.646834531072044,0.,0.762630375354148)); #190539=DIRECTION('',(0.,-1.,0.)); #190540=DIRECTION('',(-0.646834531072044,0.,0.762630375354148)); #190541=DIRECTION('center_axis',(0.841909457961724,0.,0.539618814159214)); #190542=DIRECTION('ref_axis',(-0.539618814159214,0.,0.841909457961724)); #190543=DIRECTION('',(-0.539618814159214,0.,0.841909457961724)); #190544=DIRECTION('',(0.,-1.,0.)); #190545=DIRECTION('',(-0.539618814159214,0.,0.841909457961724)); #190546=DIRECTION('center_axis',(0.903188716023552,0.,0.429243687487338)); #190547=DIRECTION('ref_axis',(-0.429243687487338,0.,0.903188716023552)); #190548=DIRECTION('',(-0.429243687487338,0.,0.903188716023552)); #190549=DIRECTION('',(0.,-1.,0.)); #190550=DIRECTION('',(-0.429243687487338,0.,0.903188716023552)); #190551=DIRECTION('center_axis',(0.944964572486615,0.,0.327172671146581)); #190552=DIRECTION('ref_axis',(-0.327172671146581,0.,0.944964572486615)); #190553=DIRECTION('',(-0.327172671146581,0.,0.944964572486615)); #190554=DIRECTION('',(0.,-1.,0.)); #190555=DIRECTION('',(-0.327172671146581,0.,0.944964572486615)); #190556=DIRECTION('center_axis',(0.971616847114648,0.,0.23656014542393)); #190557=DIRECTION('ref_axis',(-0.23656014542393,0.,0.971616847114648)); #190558=DIRECTION('',(-0.23656014542393,0.,0.971616847114648)); #190559=DIRECTION('',(0.,-1.,0.)); #190560=DIRECTION('',(-0.23656014542393,0.,0.971616847114648)); #190561=DIRECTION('center_axis',(0.,-1.,0.)); #190562=DIRECTION('ref_axis',(0.999988890002715,0.,-0.00471379583124369)); #190563=DIRECTION('center_axis',(0.,-1.,0.)); #190564=DIRECTION('ref_axis',(0.999988890002715,0.,-0.00471379583124369)); #190565=DIRECTION('',(0.,-1.,0.)); #190566=DIRECTION('center_axis',(0.,-1.,0.)); #190567=DIRECTION('ref_axis',(0.999988890002715,0.,-0.00471379583124369)); #190568=DIRECTION('center_axis',(0.999999998704919,0.,5.08936422322314E-5)); #190569=DIRECTION('ref_axis',(-5.08936422322314E-5,0.,0.999999998704919)); #190570=DIRECTION('',(-5.08936422322314E-5,0.,0.999999998704919)); #190571=DIRECTION('',(0.,-1.,0.)); #190572=DIRECTION('',(-5.08936422322314E-5,0.,0.999999998704919)); #190573=DIRECTION('center_axis',(4.41503278626077E-5,0.,0.999999999025374)); #190574=DIRECTION('ref_axis',(-0.999999999025374,0.,4.41503278626077E-5)); #190575=DIRECTION('',(-0.999999999025374,0.,4.41503278626077E-5)); #190576=DIRECTION('',(0.,-1.,0.)); #190577=DIRECTION('',(-0.999999999025374,0.,4.41503278626077E-5)); #190578=DIRECTION('center_axis',(0.,-1.,0.)); #190579=DIRECTION('ref_axis',(-0.998099640244784,0.,0.0616206795096614)); #190580=DIRECTION('center_axis',(0.,-1.,0.)); #190581=DIRECTION('ref_axis',(-0.998099640244784,0.,0.0616206795096614)); #190582=DIRECTION('',(0.,-1.,0.)); #190583=DIRECTION('center_axis',(0.,-1.,0.)); #190584=DIRECTION('ref_axis',(-0.998099640244784,0.,0.0616206795096614)); #190585=DIRECTION('center_axis',(-0.987019504862412,0.,0.16060042659084)); #190586=DIRECTION('ref_axis',(-0.16060042659084,0.,-0.987019504862412)); #190587=DIRECTION('',(-0.16060042659084,0.,-0.987019504862412)); #190588=DIRECTION('',(0.,-1.,0.)); #190589=DIRECTION('',(-0.16060042659084,0.,-0.987019504862412)); #190590=DIRECTION('center_axis',(-0.97087377523394,0.,0.239591553613637)); #190591=DIRECTION('ref_axis',(-0.239591553613637,0.,-0.97087377523394)); #190592=DIRECTION('',(-0.239591553613637,0.,-0.97087377523394)); #190593=DIRECTION('',(0.,-1.,0.)); #190594=DIRECTION('',(-0.239591553613637,0.,-0.97087377523394)); #190595=DIRECTION('center_axis',(-0.94391696125041,0.,0.330182934543552)); #190596=DIRECTION('ref_axis',(-0.330182934543552,0.,-0.94391696125041)); #190597=DIRECTION('',(-0.330182934543552,0.,-0.94391696125041)); #190598=DIRECTION('',(0.,-1.,0.)); #190599=DIRECTION('',(-0.330182934543552,0.,-0.94391696125041)); #190600=DIRECTION('center_axis',(-0.902020814417594,0.,0.431692541466053)); #190601=DIRECTION('ref_axis',(-0.431692541466053,0.,-0.902020814417594)); #190602=DIRECTION('',(-0.431692541466053,0.,-0.902020814417594)); #190603=DIRECTION('',(0.,-1.,0.)); #190604=DIRECTION('',(-0.431692541466053,0.,-0.902020814417594)); #190605=DIRECTION('center_axis',(-0.840974733360724,0.,0.541074392157731)); #190606=DIRECTION('ref_axis',(-0.541074392157731,0.,-0.840974733360724)); #190607=DIRECTION('',(-0.541074392157731,0.,-0.840974733360724)); #190608=DIRECTION('',(0.,-1.,0.)); #190609=DIRECTION('',(-0.541074392157731,0.,-0.840974733360724)); #190610=DIRECTION('center_axis',(-0.762391849363281,0.,0.647115652742566)); #190611=DIRECTION('ref_axis',(-0.647115652742566,0.,-0.762391849363281)); #190612=DIRECTION('',(-0.647115652742566,0.,-0.762391849363281)); #190613=DIRECTION('',(0.,-1.,0.)); #190614=DIRECTION('',(-0.647115652742566,0.,-0.762391849363281)); #190615=DIRECTION('center_axis',(-0.690745232801787,0.,0.723098211421938)); #190616=DIRECTION('ref_axis',(-0.723098211421938,0.,-0.690745232801787)); #190617=DIRECTION('',(-0.723098211421938,0.,-0.690745232801787)); #190618=DIRECTION('',(0.,-1.,0.)); #190619=DIRECTION('',(-0.723098211421938,0.,-0.690745232801787)); #190620=DIRECTION('center_axis',(-0.606909341572538,0.,0.794771068366223)); #190621=DIRECTION('ref_axis',(-0.794771068366223,0.,-0.606909341572538)); #190622=DIRECTION('',(-0.794771068366223,0.,-0.606909341572538)); #190623=DIRECTION('',(0.,-1.,0.)); #190624=DIRECTION('',(-0.794771068366223,0.,-0.606909341572538)); #190625=DIRECTION('center_axis',(-0.513176271113792,0.,0.858283236912934)); #190626=DIRECTION('ref_axis',(-0.858283236912934,0.,-0.513176271113792)); #190627=DIRECTION('',(-0.858283236912934,0.,-0.513176271113792)); #190628=DIRECTION('',(0.,-1.,0.)); #190629=DIRECTION('',(-0.858283236912934,0.,-0.513176271113792)); #190630=DIRECTION('center_axis',(-0.412624198383515,0.,0.910901350810482)); #190631=DIRECTION('ref_axis',(-0.910901350810482,0.,-0.412624198383515)); #190632=DIRECTION('',(-0.910901350810482,0.,-0.412624198383515)); #190633=DIRECTION('',(0.,-1.,0.)); #190634=DIRECTION('',(-0.910901350810482,0.,-0.412624198383515)); #190635=DIRECTION('center_axis',(-0.308635861146942,0.,0.951180269567281)); #190636=DIRECTION('ref_axis',(-0.951180269567281,0.,-0.308635861146942)); #190637=DIRECTION('',(-0.951180269567281,0.,-0.308635861146942)); #190638=DIRECTION('',(0.,-1.,0.)); #190639=DIRECTION('',(-0.951180269567281,0.,-0.308635861146942)); #190640=DIRECTION('center_axis',(-0.205716416622874,0.,0.978611647147041)); #190641=DIRECTION('ref_axis',(-0.978611647147041,0.,-0.205716416622874)); #190642=DIRECTION('',(-0.978611647147041,0.,-0.205716416622874)); #190643=DIRECTION('',(0.,-1.,0.)); #190644=DIRECTION('',(-0.978611647147041,0.,-0.205716416622874)); #190645=DIRECTION('center_axis',(-0.106482712022799,0.,0.994314553871294)); #190646=DIRECTION('ref_axis',(-0.994314553871294,0.,-0.106482712022799)); #190647=DIRECTION('',(-0.994314553871294,0.,-0.106482712022799)); #190648=DIRECTION('',(0.,-1.,0.)); #190649=DIRECTION('',(-0.994314553871294,0.,-0.106482712022799)); #190650=DIRECTION('center_axis',(-0.0123648482054128,0.,0.999923552342306)); #190651=DIRECTION('ref_axis',(-0.999923552342306,0.,-0.0123648482054128)); #190652=DIRECTION('',(-0.999923552342306,0.,-0.0123648482054128)); #190653=DIRECTION('',(0.,-1.,0.)); #190654=DIRECTION('',(-0.999923552342306,0.,-0.0123648482054128)); #190655=DIRECTION('center_axis',(0.0832022621415448,0.,0.996532680635477)); #190656=DIRECTION('ref_axis',(-0.996532680635477,0.,0.0832022621415448)); #190657=DIRECTION('',(-0.996532680635477,0.,0.0832022621415448)); #190658=DIRECTION('',(0.,-1.,0.)); #190659=DIRECTION('',(-0.996532680635477,0.,0.0832022621415448)); #190660=DIRECTION('center_axis',(0.185218078888975,0.,0.982697442376176)); #190661=DIRECTION('ref_axis',(-0.982697442376176,0.,0.185218078888975)); #190662=DIRECTION('',(-0.982697442376176,0.,0.185218078888975)); #190663=DIRECTION('',(0.,-1.,0.)); #190664=DIRECTION('',(-0.982697442376176,0.,0.185218078888975)); #190665=DIRECTION('center_axis',(0.288685052898319,0.,0.957424117219268)); #190666=DIRECTION('ref_axis',(-0.957424117219268,0.,0.288685052898319)); #190667=DIRECTION('',(-0.957424117219268,0.,0.288685052898319)); #190668=DIRECTION('',(0.,-1.,0.)); #190669=DIRECTION('',(-0.957424117219268,0.,0.288685052898319)); #190670=DIRECTION('center_axis',(0.390569679973413,0.,0.920573367573419)); #190671=DIRECTION('ref_axis',(-0.920573367573419,0.,0.390569679973413)); #190672=DIRECTION('',(-0.920573367573419,0.,0.390569679973413)); #190673=DIRECTION('',(0.,-1.,0.)); #190674=DIRECTION('',(-0.920573367573419,0.,0.390569679973413)); #190675=DIRECTION('center_axis',(0.486321510389341,0.,0.873779942853251)); #190676=DIRECTION('ref_axis',(-0.873779942853251,0.,0.486321510389341)); #190677=DIRECTION('',(-0.873779942853251,0.,0.486321510389341)); #190678=DIRECTION('',(0.,-1.,0.)); #190679=DIRECTION('',(-0.873779942853251,0.,0.486321510389341)); #190680=DIRECTION('center_axis',(0.57422384880649,0.,0.818698339720963)); #190681=DIRECTION('ref_axis',(-0.818698339720963,0.,0.57422384880649)); #190682=DIRECTION('',(-0.818698339720963,0.,0.57422384880649)); #190683=DIRECTION('',(0.,-1.,0.)); #190684=DIRECTION('',(-0.818698339720963,0.,0.57422384880649)); #190685=DIRECTION('center_axis',(0.65101760722468,0.,0.759062629223342)); #190686=DIRECTION('ref_axis',(-0.759062629223342,0.,0.65101760722468)); #190687=DIRECTION('',(-0.759062629223342,0.,0.65101760722468)); #190688=DIRECTION('',(0.,-1.,0.)); #190689=DIRECTION('',(-0.759062629223342,0.,0.65101760722468)); #190690=DIRECTION('center_axis',(0.727871110466297,0.,0.685713968465394)); #190691=DIRECTION('ref_axis',(-0.685713968465394,0.,0.727871110466297)); #190692=DIRECTION('',(-0.685713968465394,0.,0.727871110466297)); #190693=DIRECTION('',(0.,-1.,0.)); #190694=DIRECTION('',(-0.685713968465394,0.,0.727871110466297)); #190695=DIRECTION('center_axis',(0.818233442322707,0.,0.574886105124079)); #190696=DIRECTION('ref_axis',(-0.574886105124079,0.,0.818233442322707)); #190697=DIRECTION('',(-0.574886105124079,0.,0.818233442322707)); #190698=DIRECTION('',(-0.574886105124079,0.,0.818233442322707)); #190699=DIRECTION('center_axis',(0.,1.,0.)); #190700=DIRECTION('ref_axis',(1.,0.,0.)); #190701=DIRECTION('center_axis',(-0.607507340139855,0.,0.794314063627353)); #190702=DIRECTION('ref_axis',(-0.794314063627353,0.,-0.607507340139855)); #190703=DIRECTION('',(-0.794314063627353,0.,-0.607507340139855)); #190704=DIRECTION('',(0.,-1.,0.)); #190705=DIRECTION('',(-0.794314063627353,0.,-0.607507340139855)); #190706=DIRECTION('',(0.,-1.,0.)); #190707=DIRECTION('center_axis',(-0.518051225996656,0.,0.855349593583443)); #190708=DIRECTION('ref_axis',(-0.855349593583443,0.,-0.518051225996656)); #190709=DIRECTION('',(-0.855349593583443,0.,-0.518051225996656)); #190710=DIRECTION('',(0.,-1.,0.)); #190711=DIRECTION('',(-0.855349593583443,0.,-0.518051225996656)); #190712=DIRECTION('center_axis',(-0.422119181029801,0.,0.906540344941542)); #190713=DIRECTION('ref_axis',(-0.906540344941542,0.,-0.422119181029801)); #190714=DIRECTION('',(-0.906540344941542,0.,-0.422119181029801)); #190715=DIRECTION('',(0.,-1.,0.)); #190716=DIRECTION('',(-0.906540344941542,0.,-0.422119181029801)); #190717=DIRECTION('center_axis',(-0.321101513802396,0.,0.947044781324415)); #190718=DIRECTION('ref_axis',(-0.947044781324415,0.,-0.321101513802396)); #190719=DIRECTION('',(-0.947044781324415,0.,-0.321101513802396)); #190720=DIRECTION('',(0.,-1.,0.)); #190721=DIRECTION('',(-0.947044781324415,0.,-0.321101513802396)); #190722=DIRECTION('center_axis',(-0.219194205425265,0.,0.975681249337091)); #190723=DIRECTION('ref_axis',(-0.975681249337091,0.,-0.219194205425265)); #190724=DIRECTION('',(-0.975681249337091,0.,-0.219194205425265)); #190725=DIRECTION('',(0.,-1.,0.)); #190726=DIRECTION('',(-0.975681249337091,0.,-0.219194205425265)); #190727=DIRECTION('center_axis',(-0.119384263919236,0.,0.992848124099785)); #190728=DIRECTION('ref_axis',(-0.992848124099785,0.,-0.119384263919236)); #190729=DIRECTION('',(-0.992848124099785,0.,-0.119384263919236)); #190730=DIRECTION('',(0.,-1.,0.)); #190731=DIRECTION('',(-0.992848124099785,0.,-0.119384263919236)); #190732=DIRECTION('center_axis',(-0.0235862841375531,0.,0.999721804904036)); #190733=DIRECTION('ref_axis',(-0.999721804904036,0.,-0.0235862841375531)); #190734=DIRECTION('',(-0.999721804904036,0.,-0.0235862841375531)); #190735=DIRECTION('',(0.,-1.,0.)); #190736=DIRECTION('',(-0.999721804904036,0.,-0.023586284137553)); #190737=DIRECTION('center_axis',(0.0719948457142903,0.,0.99740500409341)); #190738=DIRECTION('ref_axis',(-0.99740500409341,0.,0.0719948457142903)); #190739=DIRECTION('',(-0.99740500409341,0.,0.0719948457142903)); #190740=DIRECTION('',(0.,-1.,0.)); #190741=DIRECTION('',(-0.99740500409341,0.,0.0719948457142903)); #190742=DIRECTION('center_axis',(0.17326055344257,0.,0.984876022969782)); #190743=DIRECTION('ref_axis',(-0.984876022969782,0.,0.17326055344257)); #190744=DIRECTION('',(-0.984876022969782,0.,0.17326055344257)); #190745=DIRECTION('',(0.,-1.,0.)); #190746=DIRECTION('',(-0.984876022969782,0.,0.17326055344257)); #190747=DIRECTION('center_axis',(0.276664312821539,0.,0.960966626897618)); #190748=DIRECTION('ref_axis',(-0.960966626897618,0.,0.276664312821539)); #190749=DIRECTION('',(-0.960966626897618,0.,0.276664312821539)); #190750=DIRECTION('',(0.,-1.,0.)); #190751=DIRECTION('',(-0.960966626897618,0.,0.276664312821539)); #190752=DIRECTION('center_axis',(0.380436887892422,0.,0.924806884885017)); #190753=DIRECTION('ref_axis',(-0.924806884885017,0.,0.380436887892422)); #190754=DIRECTION('',(-0.924806884885017,0.,0.380436887892422)); #190755=DIRECTION('',(0.,-1.,0.)); #190756=DIRECTION('',(-0.924806884885017,0.,0.380436887892422)); #190757=DIRECTION('center_axis',(0.478662307113422,0.,0.877999086416869)); #190758=DIRECTION('ref_axis',(-0.877999086416869,0.,0.478662307113422)); #190759=DIRECTION('',(-0.877999086416869,0.,0.478662307113422)); #190760=DIRECTION('',(0.,-1.,0.)); #190761=DIRECTION('',(-0.877999086416869,0.,0.478662307113422)); #190762=DIRECTION('center_axis',(0.570721043043223,0.,0.821144013573536)); #190763=DIRECTION('ref_axis',(-0.821144013573536,0.,0.570721043043223)); #190764=DIRECTION('',(-0.821144013573536,0.,0.570721043043223)); #190765=DIRECTION('',(0.,-1.,0.)); #190766=DIRECTION('',(-0.821144013573536,0.,0.570721043043223)); #190767=DIRECTION('center_axis',(0.651915771306682,0.,0.758291386685629)); #190768=DIRECTION('ref_axis',(-0.758291386685629,0.,0.651915771306682)); #190769=DIRECTION('',(-0.758291386685629,0.,0.651915771306682)); #190770=DIRECTION('',(0.,-1.,0.)); #190771=DIRECTION('',(-0.758291386685629,0.,0.651915771306682)); #190772=DIRECTION('center_axis',(0.722756095751392,0.,0.691103194938502)); #190773=DIRECTION('ref_axis',(-0.691103194938502,0.,0.722756095751392)); #190774=DIRECTION('',(-0.691103194938502,0.,0.722756095751392)); #190775=DIRECTION('',(0.,-1.,0.)); #190776=DIRECTION('',(-0.691103194938502,0.,0.722756095751392)); #190777=DIRECTION('center_axis',(0.802061421929738,0.,0.597241555362692)); #190778=DIRECTION('ref_axis',(-0.597241555362692,0.,0.802061421929738)); #190779=DIRECTION('',(-0.597241555362692,0.,0.802061421929738)); #190780=DIRECTION('',(0.,-1.,0.)); #190781=DIRECTION('',(-0.597241555362692,0.,0.802061421929738)); #190782=DIRECTION('center_axis',(0.872104792159367,0.,0.489319150956375)); #190783=DIRECTION('ref_axis',(-0.489319150956375,0.,0.872104792159367)); #190784=DIRECTION('',(-0.489319150956375,0.,0.872104792159367)); #190785=DIRECTION('',(0.,-1.,0.)); #190786=DIRECTION('',(-0.489319150956375,0.,0.872104792159367)); #190787=DIRECTION('center_axis',(0.922625753727225,0.,0.38569640724185)); #190788=DIRECTION('ref_axis',(-0.38569640724185,0.,0.922625753727225)); #190789=DIRECTION('',(-0.38569640724185,0.,0.922625753727225)); #190790=DIRECTION('',(0.,-1.,0.)); #190791=DIRECTION('',(-0.38569640724185,0.,0.922625753727225)); #190792=DIRECTION('center_axis',(0.956871236235049,0.,0.290512370246105)); #190793=DIRECTION('ref_axis',(-0.290512370246105,0.,0.956871236235049)); #190794=DIRECTION('',(-0.290512370246105,0.,0.956871236235049)); #190795=DIRECTION('',(0.,-1.,0.)); #190796=DIRECTION('',(-0.290512370246105,0.,0.956871236235049)); #190797=DIRECTION('center_axis',(0.,-1.,0.)); #190798=DIRECTION('ref_axis',(0.987563353482627,0.,-0.157221572496104)); #190799=DIRECTION('center_axis',(0.,-1.,0.)); #190800=DIRECTION('ref_axis',(0.987563353482627,0.,-0.157221572496104)); #190801=DIRECTION('',(0.,-1.,0.)); #190802=DIRECTION('center_axis',(0.,-1.,0.)); #190803=DIRECTION('ref_axis',(0.987563353482627,0.,-0.157221572496104)); #190804=DIRECTION('center_axis',(0.,-1.,0.)); #190805=DIRECTION('ref_axis',(0.977408569151064,0.,-0.211358673704368)); #190806=DIRECTION('center_axis',(0.,-1.,0.)); #190807=DIRECTION('ref_axis',(0.977408569151064,0.,-0.211358673704368)); #190808=DIRECTION('',(0.,-1.,0.)); #190809=DIRECTION('center_axis',(0.,-1.,0.)); #190810=DIRECTION('ref_axis',(0.977408569151064,0.,-0.211358673704368)); #190811=DIRECTION('center_axis',(0.963831854909957,0.,-0.266511079433543)); #190812=DIRECTION('ref_axis',(0.266511079433543,0.,0.963831854909957)); #190813=DIRECTION('',(0.266511079433543,0.,0.963831854909957)); #190814=DIRECTION('',(0.,-1.,0.)); #190815=DIRECTION('',(0.266511079433543,0.,0.963831854909957)); #190816=DIRECTION('center_axis',(0.933152037866982,0.,-0.359481952571613)); #190817=DIRECTION('ref_axis',(0.359481952571613,0.,0.933152037866982)); #190818=DIRECTION('',(0.359481952571613,0.,0.933152037866982)); #190819=DIRECTION('',(0.,-1.,0.)); #190820=DIRECTION('',(0.359481952571613,0.,0.933152037866982)); #190821=DIRECTION('center_axis',(0.887458505374205,0.,-0.460887623221737)); #190822=DIRECTION('ref_axis',(0.460887623221737,0.,0.887458505374205)); #190823=DIRECTION('',(0.460887623221737,0.,0.887458505374205)); #190824=DIRECTION('',(0.,-1.,0.)); #190825=DIRECTION('',(0.460887623221737,0.,0.887458505374205)); #190826=DIRECTION('center_axis',(0.822794820081599,0.,-0.568338529440763)); #190827=DIRECTION('ref_axis',(0.568338529440763,0.,0.822794820081599)); #190828=DIRECTION('',(0.568338529440763,0.,0.822794820081599)); #190829=DIRECTION('',(0.,-1.,0.)); #190830=DIRECTION('',(0.568338529440763,0.,0.822794820081599)); #190831=DIRECTION('center_axis',(0.74275226201157,0.,-0.669566335232511)); #190832=DIRECTION('ref_axis',(0.669566335232511,0.,0.74275226201157)); #190833=DIRECTION('',(0.669566335232511,0.,0.74275226201157)); #190834=DIRECTION('',(0.,-1.,0.)); #190835=DIRECTION('',(0.669566335232511,0.,0.74275226201157)); #190836=DIRECTION('center_axis',(0.671729838618761,0.,-0.740796209432266)); #190837=DIRECTION('ref_axis',(0.740796209432266,0.,0.671729838618761)); #190838=DIRECTION('',(0.740796209432266,0.,0.671729838618761)); #190839=DIRECTION('',(0.,-1.,0.)); #190840=DIRECTION('',(0.740796209432266,0.,0.671729838618761)); #190841=DIRECTION('center_axis',(0.593785652816055,0.,-0.804623264956844)); #190842=DIRECTION('ref_axis',(0.804623264956844,0.,0.593785652816055)); #190843=DIRECTION('',(0.804623264956844,0.,0.593785652816055)); #190844=DIRECTION('',(0.,-1.,0.)); #190845=DIRECTION('',(0.804623264956844,0.,0.593785652816055)); #190846=DIRECTION('center_axis',(0.504585689196945,0.,-0.863361617317821)); #190847=DIRECTION('ref_axis',(0.863361617317821,0.,0.504585689196945)); #190848=DIRECTION('',(0.863361617317821,0.,0.504585689196945)); #190849=DIRECTION('',(0.,-1.,0.)); #190850=DIRECTION('',(0.863361617317821,0.,0.504585689196945)); #190851=DIRECTION('center_axis',(0.407311954780555,0.,-0.913289095244678)); #190852=DIRECTION('ref_axis',(0.913289095244678,0.,0.407311954780555)); #190853=DIRECTION('',(0.913289095244678,0.,0.407311954780555)); #190854=DIRECTION('',(0.,-1.,0.)); #190855=DIRECTION('',(0.913289095244678,0.,0.407311954780555)); #190856=DIRECTION('center_axis',(0.304813357519364,0.,-0.952412104646813)); #190857=DIRECTION('ref_axis',(0.952412104646813,0.,0.304813357519364)); #190858=DIRECTION('',(0.952412104646813,0.,0.304813357519364)); #190859=DIRECTION('',(0.,-1.,0.)); #190860=DIRECTION('',(0.952412104646813,0.,0.304813357519364)); #190861=DIRECTION('center_axis',(0.200091756004595,0.,-0.979777163021775)); #190862=DIRECTION('ref_axis',(0.979777163021775,0.,0.200091756004595)); #190863=DIRECTION('',(0.979777163021775,0.,0.200091756004595)); #190864=DIRECTION('',(0.,-1.,0.)); #190865=DIRECTION('',(0.979777163021775,0.,0.200091756004595)); #190866=DIRECTION('center_axis',(0.0992062678027376,0.,-0.995066890429308)); #190867=DIRECTION('ref_axis',(0.995066890429307,0.,0.0992062678027376)); #190868=DIRECTION('',(0.995066890429307,0.,0.0992062678027376)); #190869=DIRECTION('',(0.,-1.,0.)); #190870=DIRECTION('',(0.995066890429307,0.,0.0992062678027376)); #190871=DIRECTION('center_axis',(0.000814506524806323,0.,-0.999999668289506)); #190872=DIRECTION('ref_axis',(0.999999668289506,0.,0.000814506524806323)); #190873=DIRECTION('',(0.999999668289506,0.,0.000814506524806323)); #190874=DIRECTION('',(0.,-1.,0.)); #190875=DIRECTION('',(0.999999668289506,0.,0.000814506524806323)); #190876=DIRECTION('center_axis',(-0.0934501894872805,0.,-0.995623956162562)); #190877=DIRECTION('ref_axis',(0.995623956162562,0.,-0.0934501894872805)); #190878=DIRECTION('',(0.995623956162562,0.,-0.0934501894872805)); #190879=DIRECTION('',(0.,-1.,0.)); #190880=DIRECTION('',(0.995623956162562,0.,-0.0934501894872805)); #190881=DIRECTION('center_axis',(-0.191229042318187,0.,-0.981545441318979)); #190882=DIRECTION('ref_axis',(0.981545441318979,0.,-0.191229042318187)); #190883=DIRECTION('',(0.981545441318979,0.,-0.191229042318187)); #190884=DIRECTION('',(0.,-1.,0.)); #190885=DIRECTION('',(0.981545441318979,0.,-0.191229042318187)); #190886=DIRECTION('center_axis',(-0.294278864514368,0.,-0.955719597947083)); #190887=DIRECTION('ref_axis',(0.955719597947083,0.,-0.294278864514368)); #190888=DIRECTION('',(0.955719597947083,0.,-0.294278864514368)); #190889=DIRECTION('',(0.,-1.,0.)); #190890=DIRECTION('',(0.955719597947083,0.,-0.294278864514368)); #190891=DIRECTION('center_axis',(-0.394843431418866,0.,-0.918748422945789)); #190892=DIRECTION('ref_axis',(0.918748422945789,0.,-0.394843431418866)); #190893=DIRECTION('',(0.918748422945789,0.,-0.394843431418866)); #190894=DIRECTION('',(0.,-1.,0.)); #190895=DIRECTION('',(0.918748422945789,0.,-0.394843431418866)); #190896=DIRECTION('center_axis',(-0.492896262617586,0.,-0.870088084217693)); #190897=DIRECTION('ref_axis',(0.870088084217693,0.,-0.492896262617586)); #190898=DIRECTION('',(0.870088084217693,0.,-0.492896262617586)); #190899=DIRECTION('',(0.,-1.,0.)); #190900=DIRECTION('',(0.870088084217693,0.,-0.492896262617586)); #190901=DIRECTION('center_axis',(-0.584221353531628,0.,-0.811594363014969)); #190902=DIRECTION('ref_axis',(0.811594363014969,0.,-0.584221353531628)); #190903=DIRECTION('',(0.811594363014969,0.,-0.584221353531628)); #190904=DIRECTION('',(0.,-1.,0.)); #190905=DIRECTION('',(0.811594363014969,0.,-0.584221353531628)); #190906=DIRECTION('center_axis',(-0.666470725367454,0.,-0.745531201378037)); #190907=DIRECTION('ref_axis',(0.745531201378037,0.,-0.666470725367454)); #190908=DIRECTION('',(0.745531201378037,0.,-0.666470725367454)); #190909=DIRECTION('',(0.,-1.,0.)); #190910=DIRECTION('',(0.745531201378037,0.,-0.666470725367454)); #190911=DIRECTION('center_axis',(-0.740469838048422,0.,-0.672089591453806)); #190912=DIRECTION('ref_axis',(0.672089591453806,0.,-0.740469838048422)); #190913=DIRECTION('',(0.672089591453806,0.,-0.740469838048422)); #190914=DIRECTION('',(0.,-1.,0.)); #190915=DIRECTION('',(0.672089591453806,0.,-0.740469838048422)); #190916=DIRECTION('center_axis',(-0.820893556998629,0.,-0.571081227215655)); #190917=DIRECTION('ref_axis',(0.571081227215655,0.,-0.820893556998629)); #190918=DIRECTION('',(0.571081227215655,0.,-0.820893556998629)); #190919=DIRECTION('',(0.,-1.,0.)); #190920=DIRECTION('',(0.571081227215655,0.,-0.820893556998629)); #190921=DIRECTION('center_axis',(-0.886110980668675,0.,-0.463473116737528)); #190922=DIRECTION('ref_axis',(0.463473116737528,0.,-0.886110980668675)); #190923=DIRECTION('',(0.463473116737528,0.,-0.886110980668675)); #190924=DIRECTION('',(0.,-1.,0.)); #190925=DIRECTION('',(0.463473116737528,0.,-0.886110980668675)); #190926=DIRECTION('center_axis',(0.,-1.,0.)); #190927=DIRECTION('ref_axis',(-0.967321307357458,0.,-0.253553718829478)); #190928=DIRECTION('center_axis',(0.,-1.,0.)); #190929=DIRECTION('ref_axis',(-0.967321307357458,0.,-0.253553718829478)); #190930=DIRECTION('',(0.,-1.,0.)); #190931=DIRECTION('center_axis',(0.,-1.,0.)); #190932=DIRECTION('ref_axis',(-0.967321307357458,0.,-0.253553718829478)); #190933=DIRECTION('center_axis',(-0.982479885432948,0.,-0.18636865272803)); #190934=DIRECTION('ref_axis',(0.18636865272803,0.,-0.982479885432948)); #190935=DIRECTION('',(0.18636865272803,0.,-0.982479885432948)); #190936=DIRECTION('',(0.,-1.,0.)); #190937=DIRECTION('',(0.18636865272803,0.,-0.982479885432948)); #190938=DIRECTION('center_axis',(-0.993524458943021,0.,-0.11361843812506)); #190939=DIRECTION('ref_axis',(0.11361843812506,0.,-0.993524458943021)); #190940=DIRECTION('',(0.11361843812506,0.,-0.993524458943021)); #190941=DIRECTION('',(0.,-1.,0.)); #190942=DIRECTION('',(0.11361843812506,0.,-0.993524458943021)); #190943=DIRECTION('center_axis',(-0.998724075911078,0.,-0.0504997049057046)); #190944=DIRECTION('ref_axis',(0.0504997049057046,0.,-0.998724075911078)); #190945=DIRECTION('',(0.0504997049057046,0.,-0.998724075911078)); #190946=DIRECTION('',(0.,-1.,0.)); #190947=DIRECTION('',(0.0504997049057046,0.,-0.998724075911078)); #190948=DIRECTION('center_axis',(0.,-1.,0.)); #190949=DIRECTION('ref_axis',(0.938365515234218,0.,0.345644557051346)); #190950=DIRECTION('center_axis',(0.,1.,0.)); #190951=DIRECTION('ref_axis',(0.938365515234218,0.,0.345644557051346)); #190952=DIRECTION('',(0.,-1.,0.)); #190953=DIRECTION('center_axis',(0.,1.,0.)); #190954=DIRECTION('ref_axis',(0.938365515234218,0.,0.345644557051346)); #190955=DIRECTION('center_axis',(0.,0.,-1.)); #190956=DIRECTION('ref_axis',(1.,0.,0.)); #190957=DIRECTION('',(1.,0.,0.)); #190958=DIRECTION('',(0.,-1.,0.)); #190959=DIRECTION('',(1.,0.,0.)); #190960=DIRECTION('center_axis',(0.999999989058409,0.,-0.000147929648625939)); #190961=DIRECTION('ref_axis',(0.000147929648625939,0.,0.999999989058409)); #190962=DIRECTION('',(0.000147929648625939,0.,0.999999989058409)); #190963=DIRECTION('',(0.,-1.,0.)); #190964=DIRECTION('',(0.000147929648625939,0.,0.999999989058409)); #190965=DIRECTION('center_axis',(1.05563370584981E-5,0.,0.999999999944282)); #190966=DIRECTION('ref_axis',(-0.999999999944282,0.,1.05563370584981E-5)); #190967=DIRECTION('',(-0.999999999944282,0.,1.05563370584981E-5)); #190968=DIRECTION('',(0.,-1.,0.)); #190969=DIRECTION('',(-0.999999999944282,0.,1.05563370584981E-5)); #190970=DIRECTION('center_axis',(0.,-1.,0.)); #190971=DIRECTION('ref_axis',(-0.999688428067334,0.,0.0249609051971664)); #190972=DIRECTION('center_axis',(0.,-1.,0.)); #190973=DIRECTION('ref_axis',(-0.999688428067334,0.,0.0249609051971664)); #190974=DIRECTION('',(0.,-1.,0.)); #190975=DIRECTION('center_axis',(0.,-1.,0.)); #190976=DIRECTION('ref_axis',(-0.999688428067334,0.,0.0249609051971664)); #190977=DIRECTION('center_axis',(-0.98988699452418,0.,0.141858161809201)); #190978=DIRECTION('ref_axis',(-0.141858161809201,0.,-0.98988699452418)); #190979=DIRECTION('',(-0.141858161809201,0.,-0.98988699452418)); #190980=DIRECTION('',(0.,-1.,0.)); #190981=DIRECTION('',(-0.141858161809201,0.,-0.98988699452418)); #190982=DIRECTION('center_axis',(-0.975875275722277,0.,0.218328757230855)); #190983=DIRECTION('ref_axis',(-0.218328757230855,0.,-0.975875275722277)); #190984=DIRECTION('',(-0.218328757230855,0.,-0.975875275722277)); #190985=DIRECTION('',(0.,-1.,0.)); #190986=DIRECTION('',(-0.218328757230855,0.,-0.975875275722277)); #190987=DIRECTION('center_axis',(-0.952619759367061,0.,0.304163761916902)); #190988=DIRECTION('ref_axis',(-0.304163761916902,0.,-0.952619759367061)); #190989=DIRECTION('',(-0.304163761916902,0.,-0.952619759367061)); #190990=DIRECTION('',(0.,-1.,0.)); #190991=DIRECTION('',(-0.304163761916902,0.,-0.952619759367061)); #190992=DIRECTION('center_axis',(-0.916477247902118,0.,0.400086808177624)); #190993=DIRECTION('ref_axis',(-0.400086808177624,0.,-0.916477247902118)); #190994=DIRECTION('',(-0.400086808177624,0.,-0.916477247902118)); #190995=DIRECTION('',(0.,-1.,0.)); #190996=DIRECTION('',(-0.400086808177624,0.,-0.916477247902118)); #190997=DIRECTION('center_axis',(-0.864489155912019,0.,0.502651469022547)); #190998=DIRECTION('ref_axis',(-0.502651469022547,0.,-0.864489155912019)); #190999=DIRECTION('',(-0.502651469022547,0.,-0.864489155912019)); #191000=DIRECTION('',(0.,-1.,0.)); #191001=DIRECTION('',(-0.502651469022547,0.,-0.864489155912019)); #191002=DIRECTION('center_axis',(-0.793644490611579,0.,0.608381806534258)); #191003=DIRECTION('ref_axis',(-0.608381806534258,0.,-0.793644490611579)); #191004=DIRECTION('',(-0.608381806534258,0.,-0.793644490611579)); #191005=DIRECTION('',(0.,-1.,0.)); #191006=DIRECTION('',(-0.608381806534258,0.,-0.793644490611579)); #191007=DIRECTION('center_axis',(-0.707418762954586,0.,0.70679466170862)); #191008=DIRECTION('ref_axis',(-0.70679466170862,0.,-0.707418762954586)); #191009=DIRECTION('',(-0.70679466170862,0.,-0.707418762954586)); #191010=DIRECTION('',(0.,-1.,0.)); #191011=DIRECTION('',(-0.70679466170862,0.,-0.707418762954586)); #191012=DIRECTION('center_axis',(-0.631803623392218,0.,0.775128493521212)); #191013=DIRECTION('ref_axis',(-0.775128493521212,0.,-0.631803623392218)); #191014=DIRECTION('',(-0.775128493521212,0.,-0.631803623392218)); #191015=DIRECTION('',(0.,-1.,0.)); #191016=DIRECTION('',(-0.775128493521212,0.,-0.631803623392218)); #191017=DIRECTION('center_axis',(0.,-1.,0.)); #191018=DIRECTION('ref_axis',(-0.453349146913055,0.,0.891333019131012)); #191019=DIRECTION('center_axis',(0.,-1.,0.)); #191020=DIRECTION('ref_axis',(-0.453349146913055,0.,0.891333019131012)); #191021=DIRECTION('',(0.,-1.,0.)); #191022=DIRECTION('center_axis',(0.,-1.,0.)); #191023=DIRECTION('ref_axis',(-0.453349146913055,0.,0.891333019131012)); #191024=DIRECTION('center_axis',(-0.353496075710941,0.,0.935436007676081)); #191025=DIRECTION('ref_axis',(-0.935436007676081,0.,-0.353496075710941)); #191026=DIRECTION('',(-0.935436007676081,0.,-0.353496075710941)); #191027=DIRECTION('',(0.,-1.,0.)); #191028=DIRECTION('',(-0.935436007676081,0.,-0.353496075710941)); #191029=DIRECTION('center_axis',(-0.252672083021901,0.,0.967551971969141)); #191030=DIRECTION('ref_axis',(-0.967551971969141,0.,-0.252672083021901)); #191031=DIRECTION('',(-0.967551971969141,0.,-0.252672083021901)); #191032=DIRECTION('',(0.,-1.,0.)); #191033=DIRECTION('',(-0.967551971969141,0.,-0.252672083021901)); #191034=DIRECTION('center_axis',(-0.150453765154809,0.,0.988617046459721)); #191035=DIRECTION('ref_axis',(-0.988617046459721,0.,-0.150453765154809)); #191036=DIRECTION('',(-0.988617046459721,0.,-0.150453765154809)); #191037=DIRECTION('',(0.,-1.,0.)); #191038=DIRECTION('',(-0.988617046459721,0.,-0.150453765154809)); #191039=DIRECTION('center_axis',(-0.0538076145344298,0.,0.998551320973596)); #191040=DIRECTION('ref_axis',(-0.998551320973596,0.,-0.0538076145344298)); #191041=DIRECTION('',(-0.998551320973596,0.,-0.0538076145344298)); #191042=DIRECTION('',(0.,-1.,0.)); #191043=DIRECTION('',(-0.998551320973596,0.,-0.0538076145344298)); #191044=DIRECTION('center_axis',(0.0406251536161476,0.,0.999174457686777)); #191045=DIRECTION('ref_axis',(-0.999174457686777,0.,0.0406251536161476)); #191046=DIRECTION('',(-0.999174457686777,0.,0.0406251536161476)); #191047=DIRECTION('',(0.,-1.,0.)); #191048=DIRECTION('',(-0.999174457686777,0.,0.0406251536161476)); #191049=DIRECTION('center_axis',(0.139646399492189,0.,0.990201435622504)); #191050=DIRECTION('ref_axis',(-0.990201435622504,0.,0.139646399492189)); #191051=DIRECTION('',(-0.990201435622504,0.,0.139646399492189)); #191052=DIRECTION('',(0.,-1.,0.)); #191053=DIRECTION('',(-0.990201435622504,0.,0.139646399492189)); #191054=DIRECTION('center_axis',(0.,-1.,0.)); #191055=DIRECTION('ref_axis',(0.350648670724241,0.,0.93650707937491)); #191056=DIRECTION('center_axis',(0.,-1.,0.)); #191057=DIRECTION('ref_axis',(0.350648670724241,0.,0.93650707937491)); #191058=DIRECTION('',(0.,-1.,0.)); #191059=DIRECTION('center_axis',(0.,-1.,0.)); #191060=DIRECTION('ref_axis',(0.350648670724241,0.,0.93650707937491)); #191061=DIRECTION('center_axis',(0.447470034151611,0.,0.894298925715756)); #191062=DIRECTION('ref_axis',(-0.894298925715756,0.,0.447470034151611)); #191063=DIRECTION('',(-0.894298925715756,0.,0.447470034151611)); #191064=DIRECTION('',(0.,-1.,0.)); #191065=DIRECTION('',(-0.894298925715756,0.,0.447470034151611)); #191066=DIRECTION('center_axis',(0.541769487655527,0.,0.840527109762361)); #191067=DIRECTION('ref_axis',(-0.840527109762361,0.,0.541769487655527)); #191068=DIRECTION('',(-0.840527109762361,0.,0.541769487655527)); #191069=DIRECTION('',(0.,-1.,0.)); #191070=DIRECTION('',(-0.840527109762361,0.,0.541769487655527)); #191071=DIRECTION('center_axis',(0.627380656138725,0.,0.778712727713463)); #191072=DIRECTION('ref_axis',(-0.778712727713463,0.,0.627380656138725)); #191073=DIRECTION('',(-0.778712727713463,0.,0.627380656138725)); #191074=DIRECTION('',(0.,-1.,0.)); #191075=DIRECTION('',(-0.778712727713463,0.,0.627380656138725)); #191076=DIRECTION('center_axis',(0.701709901600509,0.,0.712462780779322)); #191077=DIRECTION('ref_axis',(-0.712462780779322,0.,0.701709901600509)); #191078=DIRECTION('',(-0.712462780779322,0.,0.701709901600509)); #191079=DIRECTION('',(0.,-1.,0.)); #191080=DIRECTION('',(-0.712462780779322,0.,0.701709901600509)); #191081=DIRECTION('center_axis',(0.777851519893551,0.,0.628448098890667)); #191082=DIRECTION('ref_axis',(-0.628448098890667,0.,0.777851519893551)); #191083=DIRECTION('',(-0.628448098890667,0.,0.777851519893551)); #191084=DIRECTION('',(0.,-1.,0.)); #191085=DIRECTION('',(-0.628448098890667,0.,0.777851519893551)); #191086=DIRECTION('center_axis',(0.852905848045664,0.,0.522064760704556)); #191087=DIRECTION('ref_axis',(-0.522064760704557,0.,0.852905848045665)); #191088=DIRECTION('',(-0.522064760704557,0.,0.852905848045665)); #191089=DIRECTION('',(0.,-1.,0.)); #191090=DIRECTION('',(-0.522064760704557,0.,0.852905848045665)); #191091=DIRECTION('center_axis',(0.90870296150442,0.,0.417443322803345)); #191092=DIRECTION('ref_axis',(-0.417443322803345,0.,0.908702961504419)); #191093=DIRECTION('',(-0.417443322803345,0.,0.908702961504419)); #191094=DIRECTION('',(0.,-1.,0.)); #191095=DIRECTION('',(-0.417443322803345,0.,0.908702961504419)); #191096=DIRECTION('center_axis',(0.947517669320957,0.,0.319703403680007)); #191097=DIRECTION('ref_axis',(-0.319703403680007,0.,0.947517669320957)); #191098=DIRECTION('',(-0.319703403680007,0.,0.947517669320957)); #191099=DIRECTION('',(0.,-1.,0.)); #191100=DIRECTION('',(-0.319703403680007,0.,0.947517669320957)); #191101=DIRECTION('center_axis',(0.972915784187454,0.,0.231159851355965)); #191102=DIRECTION('ref_axis',(-0.231159851355965,0.,0.972915784187454)); #191103=DIRECTION('',(-0.231159851355965,0.,0.972915784187454)); #191104=DIRECTION('',(0.,-1.,0.)); #191105=DIRECTION('',(-0.231159851355965,0.,0.972915784187454)); #191106=DIRECTION('center_axis',(0.,-1.,0.)); #191107=DIRECTION('ref_axis',(0.997219526447537,0.,0.0745199038629932)); #191108=DIRECTION('center_axis',(0.,-1.,0.)); #191109=DIRECTION('ref_axis',(0.997219526447537,0.,0.0745199038629932)); #191110=DIRECTION('',(0.,-1.,0.)); #191111=DIRECTION('center_axis',(0.,-1.,0.)); #191112=DIRECTION('ref_axis',(0.997219526447537,0.,0.0745199038629932)); #191113=DIRECTION('center_axis',(0.,-1.,0.)); #191114=DIRECTION('ref_axis',(0.99996395930027,0.,-0.00849000003114967)); #191115=DIRECTION('center_axis',(0.,-1.,0.)); #191116=DIRECTION('ref_axis',(0.99996395930027,0.,-0.00849000003114967)); #191117=DIRECTION('',(0.,-1.,0.)); #191118=DIRECTION('center_axis',(0.,-1.,0.)); #191119=DIRECTION('ref_axis',(0.99996395930027,0.,-0.00849000003114967)); #191120=DIRECTION('center_axis',(0.,-1.,0.)); #191121=DIRECTION('ref_axis',(-0.0183997250187174,0.,-0.999830710730189)); #191122=DIRECTION('center_axis',(0.,-1.,0.)); #191123=DIRECTION('ref_axis',(-0.0183997250187174,0.,-0.999830710730189)); #191124=DIRECTION('',(0.,-1.,0.)); #191125=DIRECTION('center_axis',(0.,-1.,0.)); #191126=DIRECTION('ref_axis',(-0.0183997250187174,0.,-0.999830710730189)); #191127=DIRECTION('center_axis',(0.,-1.,0.)); #191128=DIRECTION('ref_axis',(-0.231985391326832,0.,-0.972719269990544)); #191129=DIRECTION('center_axis',(0.,-1.,0.)); #191130=DIRECTION('ref_axis',(-0.231985391326832,0.,-0.972719269990544)); #191131=DIRECTION('',(0.,-1.,0.)); #191132=DIRECTION('center_axis',(0.,-1.,0.)); #191133=DIRECTION('ref_axis',(-0.231985391326832,0.,-0.972719269990544)); #191134=DIRECTION('center_axis',(-0.29459920524211,0.,-0.955620901964119)); #191135=DIRECTION('ref_axis',(0.955620901964119,0.,-0.29459920524211)); #191136=DIRECTION('',(0.955620901964119,0.,-0.29459920524211)); #191137=DIRECTION('',(0.,-1.,0.)); #191138=DIRECTION('',(0.955620901964119,0.,-0.29459920524211)); #191139=DIRECTION('center_axis',(-0.390599668954654,0.,-0.920560643636537)); #191140=DIRECTION('ref_axis',(0.920560643636536,0.,-0.390599668954654)); #191141=DIRECTION('',(0.920560643636536,0.,-0.390599668954654)); #191142=DIRECTION('',(0.,-1.,0.)); #191143=DIRECTION('',(0.920560643636536,0.,-0.390599668954654)); #191144=DIRECTION('center_axis',(-0.499426848487532,0.,-0.866356060179538)); #191145=DIRECTION('ref_axis',(0.866356060179538,0.,-0.499426848487532)); #191146=DIRECTION('',(0.866356060179538,0.,-0.499426848487532)); #191147=DIRECTION('',(0.,-1.,0.)); #191148=DIRECTION('',(0.866356060179538,0.,-0.499426848487532)); #191149=DIRECTION('center_axis',(-0.620243833760843,0.,-0.784409068459597)); #191150=DIRECTION('ref_axis',(0.784409068459597,0.,-0.620243833760843)); #191151=DIRECTION('',(0.784409068459597,0.,-0.620243833760843)); #191152=DIRECTION('',(0.,-1.,0.)); #191153=DIRECTION('',(0.784409068459597,0.,-0.620243833760843)); #191154=DIRECTION('center_axis',(-0.710887225612267,0.,-0.703306016227143)); #191155=DIRECTION('ref_axis',(0.703306016227143,0.,-0.710887225612267)); #191156=DIRECTION('',(0.703306016227143,0.,-0.710887225612267)); #191157=DIRECTION('',(0.,-1.,0.)); #191158=DIRECTION('',(0.703306016227143,0.,-0.710887225612267)); #191159=DIRECTION('center_axis',(-0.77697266599565,0.,-0.629534332896636)); #191160=DIRECTION('ref_axis',(0.629534332896636,0.,-0.77697266599565)); #191161=DIRECTION('',(0.629534332896636,0.,-0.77697266599565)); #191162=DIRECTION('',(0.,-1.,0.)); #191163=DIRECTION('',(0.629534332896636,0.,-0.77697266599565)); #191164=DIRECTION('center_axis',(-0.839668289546704,0.,-0.543099588961097)); #191165=DIRECTION('ref_axis',(0.543099588961097,0.,-0.839668289546704)); #191166=DIRECTION('',(0.543099588961097,0.,-0.839668289546704)); #191167=DIRECTION('',(0.,-1.,0.)); #191168=DIRECTION('',(0.543099588961097,0.,-0.839668289546704)); #191169=DIRECTION('center_axis',(-0.894767296984091,0.,-0.446532735919533)); #191170=DIRECTION('ref_axis',(0.446532735919533,0.,-0.894767296984091)); #191171=DIRECTION('',(0.446532735919533,0.,-0.894767296984091)); #191172=DIRECTION('',(0.,-1.,0.)); #191173=DIRECTION('',(0.446532735919533,0.,-0.894767296984091)); #191174=DIRECTION('center_axis',(-0.940034271620308,0.,-0.341080002608298)); #191175=DIRECTION('ref_axis',(0.341080002608298,0.,-0.940034271620308)); #191176=DIRECTION('',(0.341080002608298,0.,-0.940034271620308)); #191177=DIRECTION('',(0.,-1.,0.)); #191178=DIRECTION('',(0.341080002608298,0.,-0.940034271620308)); #191179=DIRECTION('center_axis',(-0.972337064297744,0.,-0.233582176958871)); #191180=DIRECTION('ref_axis',(0.233582176958871,0.,-0.972337064297744)); #191181=DIRECTION('',(0.233582176958871,0.,-0.972337064297744)); #191182=DIRECTION('',(0.,-1.,0.)); #191183=DIRECTION('',(0.233582176958871,0.,-0.972337064297744)); #191184=DIRECTION('center_axis',(-0.991861024488369,0.,-0.127325206070449)); #191185=DIRECTION('ref_axis',(0.127325206070449,0.,-0.991861024488369)); #191186=DIRECTION('',(0.127325206070449,0.,-0.991861024488369)); #191187=DIRECTION('',(0.,-1.,0.)); #191188=DIRECTION('',(0.127325206070449,0.,-0.991861024488369)); #191189=DIRECTION('center_axis',(-0.999634639974511,0.,-0.0270293648284702)); #191190=DIRECTION('ref_axis',(0.0270293648284702,0.,-0.999634639974511)); #191191=DIRECTION('',(0.0270293648284702,0.,-0.999634639974511)); #191192=DIRECTION('',(0.,-1.,0.)); #191193=DIRECTION('',(0.0270293648284702,0.,-0.999634639974511)); #191194=DIRECTION('center_axis',(-0.997827816384758,0.,0.0658760111787651)); #191195=DIRECTION('ref_axis',(-0.0658760111787651,0.,-0.997827816384758)); #191196=DIRECTION('',(-0.0658760111787651,0.,-0.997827816384758)); #191197=DIRECTION('',(0.,-1.,0.)); #191198=DIRECTION('',(-0.0658760111787651,0.,-0.997827816384758)); #191199=DIRECTION('center_axis',(-0.986639055402179,0.,0.162921374764321)); #191200=DIRECTION('ref_axis',(-0.162921374764321,0.,-0.986639055402179)); #191201=DIRECTION('',(-0.162921374764321,0.,-0.986639055402179)); #191202=DIRECTION('',(0.,-1.,0.)); #191203=DIRECTION('',(-0.162921374764321,0.,-0.986639055402179)); #191204=DIRECTION('center_axis',(-0.964480682797581,0.,0.264153388224176)); #191205=DIRECTION('ref_axis',(-0.264153388224176,0.,-0.964480682797581)); #191206=DIRECTION('',(-0.264153388224176,0.,-0.964480682797581)); #191207=DIRECTION('',(0.,-1.,0.)); #191208=DIRECTION('',(-0.264153388224176,0.,-0.964480682797581)); #191209=DIRECTION('center_axis',(-0.929975236611143,0.,0.367622169203719)); #191210=DIRECTION('ref_axis',(-0.367622169203719,0.,-0.929975236611143)); #191211=DIRECTION('',(-0.367622169203719,0.,-0.929975236611143)); #191212=DIRECTION('',(0.,-1.,0.)); #191213=DIRECTION('',(-0.367622169203719,0.,-0.929975236611143)); #191214=DIRECTION('center_axis',(-0.883109059368336,0.,0.46916776238524)); #191215=DIRECTION('ref_axis',(-0.46916776238524,0.,-0.883109059368336)); #191216=DIRECTION('',(-0.46916776238524,0.,-0.883109059368336)); #191217=DIRECTION('',(0.,-1.,0.)); #191218=DIRECTION('',(-0.46916776238524,0.,-0.883109059368336)); #191219=DIRECTION('center_axis',(-0.824580754213477,0.,0.565744270656569)); #191220=DIRECTION('ref_axis',(-0.565744270656569,0.,-0.824580754213477)); #191221=DIRECTION('',(-0.565744270656569,0.,-0.824580754213477)); #191222=DIRECTION('',(0.,-1.,0.)); #191223=DIRECTION('',(-0.565744270656569,0.,-0.824580754213477)); #191224=DIRECTION('center_axis',(-0.756028968029487,0.,0.654538157405868)); #191225=DIRECTION('ref_axis',(-0.654538157405868,0.,-0.756028968029487)); #191226=DIRECTION('',(-0.654538157405868,0.,-0.756028968029487)); #191227=DIRECTION('',(0.,-1.,0.)); #191228=DIRECTION('',(-0.654538157405868,0.,-0.756028968029487)); #191229=DIRECTION('center_axis',(-0.683820965661955,0.,0.729649838567207)); #191230=DIRECTION('ref_axis',(-0.729649838567207,0.,-0.683820965661955)); #191231=DIRECTION('',(-0.729649838567207,0.,-0.683820965661955)); #191232=DIRECTION('',(0.,-1.,0.)); #191233=DIRECTION('',(-0.729649838567207,0.,-0.683820965661955)); #191234=DIRECTION('center_axis',(-0.591677824339383,0.,0.806174517201464)); #191235=DIRECTION('ref_axis',(-0.806174517201464,0.,-0.591677824339383)); #191236=DIRECTION('',(-0.806174517201464,0.,-0.591677824339383)); #191237=DIRECTION('',(0.,-1.,0.)); #191238=DIRECTION('',(-0.806174517201464,0.,-0.591677824339383)); #191239=DIRECTION('center_axis',(-0.480651207331015,0.,0.87691186381029)); #191240=DIRECTION('ref_axis',(-0.87691186381029,0.,-0.480651207331015)); #191241=DIRECTION('',(-0.87691186381029,0.,-0.480651207331015)); #191242=DIRECTION('',(0.,-1.,0.)); #191243=DIRECTION('',(-0.87691186381029,0.,-0.480651207331015)); #191244=DIRECTION('center_axis',(-0.374429379081601,0.,0.927255434106787)); #191245=DIRECTION('ref_axis',(-0.927255434106787,0.,-0.374429379081601)); #191246=DIRECTION('',(-0.927255434106787,0.,-0.374429379081601)); #191247=DIRECTION('',(0.,-1.,0.)); #191248=DIRECTION('',(-0.927255434106787,0.,-0.374429379081601)); #191249=DIRECTION('center_axis',(-0.278692202224894,0.,0.960380474821848)); #191250=DIRECTION('ref_axis',(-0.960380474821848,0.,-0.278692202224894)); #191251=DIRECTION('',(-0.960380474821848,0.,-0.278692202224894)); #191252=DIRECTION('',(0.,-1.,0.)); #191253=DIRECTION('',(-0.960380474821848,0.,-0.278692202224894)); #191254=DIRECTION('center_axis',(-0.195094541356496,0.,0.980784441114814)); #191255=DIRECTION('ref_axis',(-0.980784441114814,0.,-0.195094541356496)); #191256=DIRECTION('',(-0.980784441114814,0.,-0.195094541356496)); #191257=DIRECTION('',(0.,-1.,0.)); #191258=DIRECTION('',(-0.980784441114814,0.,-0.195094541356496)); #191259=DIRECTION('center_axis',(0.,-1.,0.)); #191260=DIRECTION('ref_axis',(-0.0324946950548446,0.,0.999471907956043)); #191261=DIRECTION('center_axis',(0.,-1.,0.)); #191262=DIRECTION('ref_axis',(-0.0324946950548446,0.,0.999471907956043)); #191263=DIRECTION('',(0.,-1.,0.)); #191264=DIRECTION('center_axis',(0.,-1.,0.)); #191265=DIRECTION('ref_axis',(-0.0324946950548446,0.,0.999471907956043)); #191266=DIRECTION('center_axis',(-0.000679464166444559,0.,0.999999769164197)); #191267=DIRECTION('ref_axis',(-0.999999769164197,0.,-0.000679464166444559)); #191268=DIRECTION('',(-0.999999769164197,0.,-0.000679464166444559)); #191269=DIRECTION('',(0.,-1.,0.)); #191270=DIRECTION('',(-0.999999769164197,0.,-0.000679464166444559)); #191271=DIRECTION('center_axis',(-0.999999995413852,0.,9.57721010042897E-5)); #191272=DIRECTION('ref_axis',(-9.57721010042897E-5,0.,-0.999999995413852)); #191273=DIRECTION('',(-9.57721010042897E-5,0.,-0.999999995413852)); #191274=DIRECTION('',(0.,-1.,0.)); #191275=DIRECTION('',(-9.57721010042897E-5,0.,-0.999999995413852)); #191276=DIRECTION('center_axis',(0.,-1.,0.)); #191277=DIRECTION('ref_axis',(-0.086485969682646,0.,-0.996253068777232)); #191278=DIRECTION('center_axis',(0.,-1.,0.)); #191279=DIRECTION('ref_axis',(-0.086485969682646,0.,-0.996253068777232)); #191280=DIRECTION('',(0.,-1.,0.)); #191281=DIRECTION('center_axis',(0.,-1.,0.)); #191282=DIRECTION('ref_axis',(-0.086485969682646,0.,-0.996253068777232)); #191283=DIRECTION('center_axis',(-0.1805266301916,0.,-0.983570097040198)); #191284=DIRECTION('ref_axis',(0.983570097040198,0.,-0.1805266301916)); #191285=DIRECTION('',(0.983570097040198,0.,-0.1805266301916)); #191286=DIRECTION('',(0.,-1.,0.)); #191287=DIRECTION('',(0.983570097040198,0.,-0.1805266301916)); #191288=DIRECTION('center_axis',(-0.26256761479022,0.,-0.964913595957366)); #191289=DIRECTION('ref_axis',(0.964913595957366,0.,-0.26256761479022)); #191290=DIRECTION('',(0.964913595957366,0.,-0.26256761479022)); #191291=DIRECTION('',(0.,-1.,0.)); #191292=DIRECTION('',(0.964913595957366,0.,-0.26256761479022)); #191293=DIRECTION('center_axis',(-0.355312518793242,0.,-0.934747566987367)); #191294=DIRECTION('ref_axis',(0.934747566987367,0.,-0.355312518793242)); #191295=DIRECTION('',(0.934747566987367,0.,-0.355312518793242)); #191296=DIRECTION('',(0.,-1.,0.)); #191297=DIRECTION('',(0.934747566987367,0.,-0.355312518793242)); #191298=DIRECTION('center_axis',(-0.459046080798675,0.,-0.888412458097801)); #191299=DIRECTION('ref_axis',(0.888412458097801,0.,-0.459046080798674)); #191300=DIRECTION('',(0.888412458097801,0.,-0.459046080798674)); #191301=DIRECTION('',(0.,-1.,0.)); #191302=DIRECTION('',(0.888412458097801,0.,-0.459046080798674)); #191303=DIRECTION('center_axis',(-0.568138768168782,0.,-0.822932767669181)); #191304=DIRECTION('ref_axis',(0.822932767669181,0.,-0.568138768168782)); #191305=DIRECTION('',(0.822932767669181,0.,-0.568138768168782)); #191306=DIRECTION('',(0.,-1.,0.)); #191307=DIRECTION('',(0.822932767669181,0.,-0.568138768168782)); #191308=DIRECTION('center_axis',(-0.66748306933563,0.,-0.74462497416504)); #191309=DIRECTION('ref_axis',(0.74462497416504,0.,-0.66748306933563)); #191310=DIRECTION('',(0.74462497416504,0.,-0.66748306933563)); #191311=DIRECTION('',(0.,-1.,0.)); #191312=DIRECTION('',(0.74462497416504,0.,-0.66748306933563)); #191313=DIRECTION('center_axis',(-0.740174917552521,0.,-0.672414374791407)); #191314=DIRECTION('ref_axis',(0.672414374791407,0.,-0.740174917552521)); #191315=DIRECTION('',(0.672414374791407,0.,-0.740174917552521)); #191316=DIRECTION('',(0.,-1.,0.)); #191317=DIRECTION('',(0.672414374791407,0.,-0.740174917552521)); #191318=DIRECTION('center_axis',(-0.810258172119436,0.,-0.586073113624632)); #191319=DIRECTION('ref_axis',(0.586073113624632,0.,-0.810258172119436)); #191320=DIRECTION('',(0.586073113624632,0.,-0.810258172119436)); #191321=DIRECTION('',(0.,-1.,0.)); #191322=DIRECTION('',(0.586073113624632,0.,-0.810258172119436)); #191323=DIRECTION('center_axis',(-0.871080982527599,0.,-0.491139411856505)); #191324=DIRECTION('ref_axis',(0.491139411856505,0.,-0.871080982527599)); #191325=DIRECTION('',(0.491139411856505,0.,-0.871080982527599)); #191326=DIRECTION('',(0.,-1.,0.)); #191327=DIRECTION('',(0.491139411856505,0.,-0.871080982527599)); #191328=DIRECTION('center_axis',(-0.920833227987477,0.,-0.389956620964645)); #191329=DIRECTION('ref_axis',(0.389956620964645,0.,-0.920833227987477)); #191330=DIRECTION('',(0.389956620964645,0.,-0.920833227987477)); #191331=DIRECTION('',(0.,-1.,0.)); #191332=DIRECTION('',(0.389956620964645,0.,-0.920833227987477)); #191333=DIRECTION('center_axis',(-0.95793389727644,0.,-0.286988934366416)); #191334=DIRECTION('ref_axis',(0.286988934366416,0.,-0.95793389727644)); #191335=DIRECTION('',(0.286988934366416,0.,-0.95793389727644)); #191336=DIRECTION('',(0.,-1.,0.)); #191337=DIRECTION('',(0.286988934366416,0.,-0.95793389727644)); #191338=DIRECTION('center_axis',(-0.982797193220139,0.,-0.184688053210317)); #191339=DIRECTION('ref_axis',(0.184688053210317,0.,-0.982797193220139)); #191340=DIRECTION('',(0.184688053210317,0.,-0.982797193220139)); #191341=DIRECTION('',(0.,-1.,0.)); #191342=DIRECTION('',(0.184688053210317,0.,-0.982797193220139)); #191343=DIRECTION('center_axis',(-0.996249194963391,0.,-0.0865305815003858)); #191344=DIRECTION('ref_axis',(0.0865305815003858,0.,-0.996249194963391)); #191345=DIRECTION('',(0.0865305815003858,0.,-0.996249194963391)); #191346=DIRECTION('',(0.,-1.,0.)); #191347=DIRECTION('',(0.0865305815003858,0.,-0.996249194963391)); #191348=DIRECTION('center_axis',(-0.99998401841716,0.,0.00565357499903563)); #191349=DIRECTION('ref_axis',(-0.00565357499903563,0.,-0.99998401841716)); #191350=DIRECTION('',(-0.00565357499903563,0.,-0.99998401841716)); #191351=DIRECTION('',(0.,-1.,0.)); #191352=DIRECTION('',(-0.00565357499903563,0.,-0.99998401841716)); #191353=DIRECTION('center_axis',(-0.994730928375122,0.,0.102520145015351)); #191354=DIRECTION('ref_axis',(-0.102520145015351,0.,-0.994730928375122)); #191355=DIRECTION('',(-0.102520145015351,0.,-0.994730928375122)); #191356=DIRECTION('',(0.,-1.,0.)); #191357=DIRECTION('',(-0.102520145015351,0.,-0.994730928375122)); #191358=DIRECTION('center_axis',(-0.978962082764477,0.,0.204042251775059)); #191359=DIRECTION('ref_axis',(-0.204042251775059,0.,-0.978962082764477)); #191360=DIRECTION('',(-0.204042251775059,0.,-0.978962082764477)); #191361=DIRECTION('',(0.,-1.,0.)); #191362=DIRECTION('',(-0.204042251775059,0.,-0.978962082764477)); #191363=DIRECTION('center_axis',(-0.951549477889706,0.,0.307495676600223)); #191364=DIRECTION('ref_axis',(-0.307495676600223,0.,-0.951549477889706)); #191365=DIRECTION('',(-0.307495676600223,0.,-0.951549477889706)); #191366=DIRECTION('',(0.,-1.,0.)); #191367=DIRECTION('',(-0.307495676600223,0.,-0.951549477889706)); #191368=DIRECTION('center_axis',(-0.912977005233241,0.,0.408011014453462)); #191369=DIRECTION('ref_axis',(-0.408011014453462,0.,-0.912977005233241)); #191370=DIRECTION('',(-0.408011014453462,0.,-0.912977005233241)); #191371=DIRECTION('',(0.,-1.,0.)); #191372=DIRECTION('',(-0.408011014453462,0.,-0.912977005233241)); #191373=DIRECTION('center_axis',(-0.864870460922293,0.,0.501995105378589)); #191374=DIRECTION('ref_axis',(-0.50199510537859,0.,-0.864870460922293)); #191375=DIRECTION('',(-0.50199510537859,0.,-0.864870460922293)); #191376=DIRECTION('',(0.,-1.,0.)); #191377=DIRECTION('',(-0.50199510537859,0.,-0.864870460922293)); #191378=DIRECTION('center_axis',(-0.809066844215056,0.,0.587716633754643)); #191379=DIRECTION('ref_axis',(-0.587716633754643,0.,-0.809066844215057)); #191380=DIRECTION('',(-0.587716633754643,0.,-0.809066844215057)); #191381=DIRECTION('',(0.,-1.,0.)); #191382=DIRECTION('',(-0.587716633754643,0.,-0.809066844215057)); #191383=DIRECTION('center_axis',(-0.749229806349283,0.,0.66231012167852)); #191384=DIRECTION('ref_axis',(-0.66231012167852,0.,-0.749229806349283)); #191385=DIRECTION('',(-0.66231012167852,0.,-0.749229806349283)); #191386=DIRECTION('',(0.,-1.,0.)); #191387=DIRECTION('',(-0.66231012167852,0.,-0.749229806349283)); #191388=DIRECTION('center_axis',(-0.669947741641672,0.,0.742408259294859)); #191389=DIRECTION('ref_axis',(-0.742408259294859,0.,-0.669947741641672)); #191390=DIRECTION('',(-0.742408259294859,0.,-0.669947741641672)); #191391=DIRECTION('',(0.,-1.,0.)); #191392=DIRECTION('',(-0.742408259294859,0.,-0.669947741641672)); #191393=DIRECTION('center_axis',(-0.558888859509556,0.,0.829242571697877)); #191394=DIRECTION('ref_axis',(-0.829242571697877,0.,-0.558888859509555)); #191395=DIRECTION('',(-0.829242571697877,0.,-0.558888859509555)); #191396=DIRECTION('',(0.,-1.,0.)); #191397=DIRECTION('',(-0.829242571697877,0.,-0.558888859509555)); #191398=DIRECTION('center_axis',(-0.452380970198607,0.,0.891824790977559)); #191399=DIRECTION('ref_axis',(-0.891824790977559,0.,-0.452380970198607)); #191400=DIRECTION('',(-0.891824790977559,0.,-0.452380970198607)); #191401=DIRECTION('',(0.,-1.,0.)); #191402=DIRECTION('',(-0.891824790977559,0.,-0.452380970198607)); #191403=DIRECTION('center_axis',(-0.352409564787248,0.,0.935845873339442)); #191404=DIRECTION('ref_axis',(-0.935845873339442,0.,-0.352409564787248)); #191405=DIRECTION('',(-0.935845873339442,0.,-0.352409564787248)); #191406=DIRECTION('',(0.,-1.,0.)); #191407=DIRECTION('',(-0.935845873339442,0.,-0.352409564787248)); #191408=DIRECTION('center_axis',(-0.260839086394778,0.,0.965382292674119)); #191409=DIRECTION('ref_axis',(-0.965382292674118,0.,-0.260839086394778)); #191410=DIRECTION('',(-0.965382292674118,0.,-0.260839086394778)); #191411=DIRECTION('',(0.,-1.,0.)); #191412=DIRECTION('',(-0.965382292674118,0.,-0.260839086394778)); #191413=DIRECTION('center_axis',(-0.179772825876687,0.,0.983708153405425)); #191414=DIRECTION('ref_axis',(-0.983708153405425,0.,-0.179772825876687)); #191415=DIRECTION('',(-0.983708153405425,0.,-0.179772825876687)); #191416=DIRECTION('',(0.,-1.,0.)); #191417=DIRECTION('',(-0.983708153405425,0.,-0.179772825876687)); #191418=DIRECTION('center_axis',(0.,-1.,0.)); #191419=DIRECTION('ref_axis',(0.0766968474050143,0.,0.997054458692268)); #191420=DIRECTION('center_axis',(0.,-1.,0.)); #191421=DIRECTION('ref_axis',(0.0766968474050143,0.,0.997054458692268)); #191422=DIRECTION('',(0.,-1.,0.)); #191423=DIRECTION('center_axis',(0.,-1.,0.)); #191424=DIRECTION('ref_axis',(0.0766968474050143,0.,0.997054458692268)); #191425=DIRECTION('center_axis',(0.,-1.,0.)); #191426=DIRECTION('ref_axis',(0.2259323360095,0.,0.974142997483065)); #191427=DIRECTION('center_axis',(0.,-1.,0.)); #191428=DIRECTION('ref_axis',(0.2259323360095,0.,0.974142997483065)); #191429=DIRECTION('',(0.,-1.,0.)); #191430=DIRECTION('center_axis',(0.,-1.,0.)); #191431=DIRECTION('ref_axis',(0.2259323360095,0.,0.974142997483065)); #191432=DIRECTION('center_axis',(0.293743872436684,0.,0.955884165265803)); #191433=DIRECTION('ref_axis',(-0.955884165265803,0.,0.293743872436684)); #191434=DIRECTION('',(-0.955884165265803,0.,0.293743872436684)); #191435=DIRECTION('',(0.,-1.,0.)); #191436=DIRECTION('',(-0.955884165265803,0.,0.293743872436684)); #191437=DIRECTION('center_axis',(0.387632619900415,0.,0.921813946514773)); #191438=DIRECTION('ref_axis',(-0.921813946514773,0.,0.387632619900415)); #191439=DIRECTION('',(-0.921813946514773,0.,0.387632619900415)); #191440=DIRECTION('',(0.,-1.,0.)); #191441=DIRECTION('',(-0.921813946514773,0.,0.387632619900415)); #191442=DIRECTION('center_axis',(0.489602422226941,0.,0.871945794272507)); #191443=DIRECTION('ref_axis',(-0.871945794272506,0.,0.489602422226941)); #191444=DIRECTION('',(-0.871945794272506,0.,0.489602422226941)); #191445=DIRECTION('',(0.,-1.,0.)); #191446=DIRECTION('',(-0.871945794272506,0.,0.489602422226941)); #191447=DIRECTION('center_axis',(0.59586431012823,0.,0.803085128685252)); #191448=DIRECTION('ref_axis',(-0.803085128685252,0.,0.59586431012823)); #191449=DIRECTION('',(-0.803085128685252,0.,0.59586431012823)); #191450=DIRECTION('',(0.,-1.,0.)); #191451=DIRECTION('',(-0.803085128685252,0.,0.59586431012823)); #191452=DIRECTION('center_axis',(0.70459863175336,0.,0.709606065455541)); #191453=DIRECTION('ref_axis',(-0.709606065455541,0.,0.70459863175336)); #191454=DIRECTION('',(-0.709606065455541,0.,0.70459863175336)); #191455=DIRECTION('',(0.,-1.,0.)); #191456=DIRECTION('',(-0.709606065455541,0.,0.70459863175336)); #191457=DIRECTION('center_axis',(0.771173153943929,0.,0.636625452394242)); #191458=DIRECTION('ref_axis',(-0.636625452394242,0.,0.771173153943929)); #191459=DIRECTION('',(-0.636625452394242,0.,0.771173153943929)); #191460=DIRECTION('',(0.,-1.,0.)); #191461=DIRECTION('',(-0.636625452394242,0.,0.771173153943929)); #191462=DIRECTION('center_axis',(0.830355995479614,0.,0.55723327320886)); #191463=DIRECTION('ref_axis',(-0.55723327320886,0.,0.830355995479614)); #191464=DIRECTION('',(-0.55723327320886,0.,0.830355995479614)); #191465=DIRECTION('',(0.,-1.,0.)); #191466=DIRECTION('',(-0.55723327320886,0.,0.830355995479614)); #191467=DIRECTION('center_axis',(0.883231529128341,0.,0.468937166317208)); #191468=DIRECTION('ref_axis',(-0.468937166317208,0.,0.883231529128341)); #191469=DIRECTION('',(-0.468937166317208,0.,0.883231529128341)); #191470=DIRECTION('',(0.,-1.,0.)); #191471=DIRECTION('',(-0.468937166317208,0.,0.883231529128341)); #191472=DIRECTION('center_axis',(0.928393962182955,0.,0.371597431345044)); #191473=DIRECTION('ref_axis',(-0.371597431345044,0.,0.928393962182955)); #191474=DIRECTION('',(-0.371597431345044,0.,0.928393962182955)); #191475=DIRECTION('',(0.,-1.,0.)); #191476=DIRECTION('',(-0.371597431345044,0.,0.928393962182955)); #191477=DIRECTION('center_axis',(0.96292293301087,0.,0.269776620710069)); #191478=DIRECTION('ref_axis',(-0.269776620710069,0.,0.96292293301087)); #191479=DIRECTION('',(-0.269776620710069,0.,0.96292293301087)); #191480=DIRECTION('',(0.,-1.,0.)); #191481=DIRECTION('',(-0.269776620710069,0.,0.96292293301087)); #191482=DIRECTION('center_axis',(0.986013117424791,0.,0.166667730128678)); #191483=DIRECTION('ref_axis',(-0.166667730128678,0.,0.986013117424791)); #191484=DIRECTION('',(-0.166667730128678,0.,0.986013117424791)); #191485=DIRECTION('',(0.,-1.,0.)); #191486=DIRECTION('',(-0.166667730128678,0.,0.986013117424791)); #191487=DIRECTION('center_axis',(0.997786228474665,0.,0.0665029493053065)); #191488=DIRECTION('ref_axis',(-0.0665029493053065,0.,0.997786228474665)); #191489=DIRECTION('',(-0.0665029493053065,0.,0.997786228474665)); #191490=DIRECTION('',(0.,-1.,0.)); #191491=DIRECTION('',(-0.0665029493053065,0.,0.997786228474665)); #191492=DIRECTION('center_axis',(0.99961604617273,0.,-0.0277084866782467)); #191493=DIRECTION('ref_axis',(0.0277084866782467,0.,0.99961604617273)); #191494=DIRECTION('',(0.0277084866782467,0.,0.99961604617273)); #191495=DIRECTION('',(0.,-1.,0.)); #191496=DIRECTION('',(0.0277084866782467,0.,0.99961604617273)); #191497=DIRECTION('center_axis',(0.992529711491463,0.,-0.122003163101098)); #191498=DIRECTION('ref_axis',(0.122003163101098,0.,0.992529711491463)); #191499=DIRECTION('',(0.122003163101098,0.,0.992529711491463)); #191500=DIRECTION('',(0.,-1.,0.)); #191501=DIRECTION('',(0.122003163101098,0.,0.992529711491463)); #191502=DIRECTION('center_axis',(0.97508370945812,0.,-0.221837236615931)); #191503=DIRECTION('ref_axis',(0.221837236615931,0.,0.97508370945812)); #191504=DIRECTION('',(0.221837236615931,0.,0.97508370945812)); #191505=DIRECTION('',(0.,-1.,0.)); #191506=DIRECTION('',(0.221837236615931,0.,0.97508370945812)); #191507=DIRECTION('center_axis',(0.945871597868964,0.,-0.324541091920288)); #191508=DIRECTION('ref_axis',(0.324541091920288,0.,0.945871597868964)); #191509=DIRECTION('',(0.324541091920288,0.,0.945871597868964)); #191510=DIRECTION('',(0.,-1.,0.)); #191511=DIRECTION('',(0.324541091920288,0.,0.945871597868964)); #191512=DIRECTION('center_axis',(0.903990566978559,0.,-0.427552400079551)); #191513=DIRECTION('ref_axis',(0.427552400079551,0.,0.903990566978558)); #191514=DIRECTION('',(0.427552400079551,0.,0.903990566978558)); #191515=DIRECTION('',(0.,-1.,0.)); #191516=DIRECTION('',(0.427552400079551,0.,0.903990566978558)); #191517=DIRECTION('center_axis',(0.849948435847781,0.,-0.526865880846265)); #191518=DIRECTION('ref_axis',(0.526865880846265,0.,0.849948435847781)); #191519=DIRECTION('',(0.526865880846265,0.,0.849948435847781)); #191520=DIRECTION('',(0.,-1.,0.)); #191521=DIRECTION('',(0.526865880846265,0.,0.849948435847781)); #191522=DIRECTION('center_axis',(0.785828615992796,0.,-0.618444327556528)); #191523=DIRECTION('ref_axis',(0.618444327556528,0.,0.785828615992796)); #191524=DIRECTION('',(0.618444327556528,0.,0.785828615992796)); #191525=DIRECTION('',(0.,-1.,0.)); #191526=DIRECTION('',(0.618444327556528,0.,0.785828615992796)); #191527=DIRECTION('center_axis',(0.712865666382769,0.,-0.701300607223928)); #191528=DIRECTION('ref_axis',(0.701300607223928,0.,0.712865666382769)); #191529=DIRECTION('',(0.701300607223928,0.,0.712865666382769)); #191530=DIRECTION('',(0.,-1.,0.)); #191531=DIRECTION('',(0.701300607223928,0.,0.712865666382769)); #191532=DIRECTION('center_axis',(0.633205634135976,0.,-0.773983607641955)); #191533=DIRECTION('ref_axis',(0.773983607641955,0.,0.633205634135976)); #191534=DIRECTION('',(0.773983607641955,0.,0.633205634135976)); #191535=DIRECTION('',(0.,-1.,0.)); #191536=DIRECTION('',(0.773983607641955,0.,0.633205634135976)); #191537=DIRECTION('center_axis',(0.52653144706035,0.,-0.850155653546181)); #191538=DIRECTION('ref_axis',(0.850155653546181,0.,0.526531447060349)); #191539=DIRECTION('',(0.850155653546181,0.,0.526531447060349)); #191540=DIRECTION('',(0.,-1.,0.)); #191541=DIRECTION('',(0.850155653546181,0.,0.526531447060349)); #191542=DIRECTION('center_axis',(0.419490537920666,0.,-0.90775970862064)); #191543=DIRECTION('ref_axis',(0.90775970862064,0.,0.419490537920666)); #191544=DIRECTION('',(0.90775970862064,0.,0.419490537920666)); #191545=DIRECTION('',(0.,-1.,0.)); #191546=DIRECTION('',(0.90775970862064,0.,0.419490537920666)); #191547=DIRECTION('center_axis',(0.320067081871083,0.,-0.947394882349768)); #191548=DIRECTION('ref_axis',(0.947394882349768,0.,0.320067081871083)); #191549=DIRECTION('',(0.947394882349768,0.,0.320067081871083)); #191550=DIRECTION('',(0.,-1.,0.)); #191551=DIRECTION('',(0.947394882349768,0.,0.320067081871083)); #191552=DIRECTION('center_axis',(0.231175850474672,0.,-0.972911982739093)); #191553=DIRECTION('ref_axis',(0.972911982739092,0.,0.231175850474672)); #191554=DIRECTION('',(0.972911982739092,0.,0.231175850474672)); #191555=DIRECTION('',(0.,-1.,0.)); #191556=DIRECTION('',(0.972911982739092,0.,0.231175850474672)); #191557=DIRECTION('center_axis',(0.153673759257342,0.,-0.988121640141393)); #191558=DIRECTION('ref_axis',(0.988121640141393,0.,0.153673759257342)); #191559=DIRECTION('',(0.988121640141393,0.,0.153673759257342)); #191560=DIRECTION('',(0.,-1.,0.)); #191561=DIRECTION('',(0.988121640141393,0.,0.153673759257342)); #191562=DIRECTION('center_axis',(0.,-1.,0.)); #191563=DIRECTION('ref_axis',(0.00170464630258571,0.,-0.999998547089436)); #191564=DIRECTION('center_axis',(0.,-1.,0.)); #191565=DIRECTION('ref_axis',(0.00170464630258571,0.,-0.999998547089436)); #191566=DIRECTION('',(0.,-1.,0.)); #191567=DIRECTION('center_axis',(0.,-1.,0.)); #191568=DIRECTION('ref_axis',(0.00170464630258571,0.,-0.999998547089436)); #191569=DIRECTION('center_axis',(0.,0.,-1.)); #191570=DIRECTION('ref_axis',(1.,0.,0.)); #191571=DIRECTION('',(1.,0.,0.)); #191572=DIRECTION('',(0.,-1.,0.)); #191573=DIRECTION('',(1.,0.,0.)); #191574=DIRECTION('center_axis',(0.999999997745513,0.,-6.71488920653802E-5)); #191575=DIRECTION('ref_axis',(6.71488920653802E-5,0.,0.999999997745513)); #191576=DIRECTION('',(6.71488920653802E-5,0.,0.999999997745513)); #191577=DIRECTION('',(0.,-1.,0.)); #191578=DIRECTION('',(6.71488920653802E-5,0.,0.999999997745513)); #191579=DIRECTION('center_axis',(-5.46437196547779E-5,0.,0.999999998507032)); #191580=DIRECTION('ref_axis',(-0.999999998507032,0.,-5.46437196547779E-5)); #191581=DIRECTION('',(-0.999999998507032,0.,-5.46437196547779E-5)); #191582=DIRECTION('',(0.,-1.,0.)); #191583=DIRECTION('',(-0.999999998507032,0.,-5.46437196547779E-5)); #191584=DIRECTION('center_axis',(-0.000239156157638402,0.,0.999999971402166)); #191585=DIRECTION('ref_axis',(-0.999999971402166,0.,-0.000239156157638402)); #191586=DIRECTION('',(-0.999999971402166,0.,-0.000239156157638402)); #191587=DIRECTION('',(0.,-1.,0.)); #191588=DIRECTION('',(-0.999999971402166,0.,-0.000239156157638402)); #191589=DIRECTION('center_axis',(0.,-1.,0.)); #191590=DIRECTION('ref_axis',(-0.99823636386059,0.,0.0593646516572606)); #191591=DIRECTION('center_axis',(0.,-1.,0.)); #191592=DIRECTION('ref_axis',(-0.99823636386059,0.,0.0593646516572606)); #191593=DIRECTION('',(0.,-1.,0.)); #191594=DIRECTION('center_axis',(0.,-1.,0.)); #191595=DIRECTION('ref_axis',(-0.99823636386059,0.,0.0593646516572606)); #191596=DIRECTION('center_axis',(-0.986197998543969,0.,0.16557024994808)); #191597=DIRECTION('ref_axis',(-0.16557024994808,0.,-0.986197998543969)); #191598=DIRECTION('',(-0.16557024994808,0.,-0.986197998543969)); #191599=DIRECTION('',(0.,-1.,0.)); #191600=DIRECTION('',(-0.16557024994808,0.,-0.986197998543969)); #191601=DIRECTION('center_axis',(-0.969289668201023,0.,0.245921815052571)); #191602=DIRECTION('ref_axis',(-0.245921815052571,0.,-0.969289668201023)); #191603=DIRECTION('',(-0.245921815052571,0.,-0.969289668201023)); #191604=DIRECTION('',(0.,-1.,0.)); #191605=DIRECTION('',(-0.245921815052571,0.,-0.969289668201023)); #191606=DIRECTION('center_axis',(-0.941946394771662,0.,0.335763293670806)); #191607=DIRECTION('ref_axis',(-0.335763293670806,0.,-0.941946394771662)); #191608=DIRECTION('',(-0.335763293670806,0.,-0.941946394771662)); #191609=DIRECTION('',(0.,-1.,0.)); #191610=DIRECTION('',(-0.335763293670806,0.,-0.941946394771662)); #191611=DIRECTION('center_axis',(-0.900200071155074,0.,0.435476557224839)); #191612=DIRECTION('ref_axis',(-0.435476557224839,0.,-0.900200071155074)); #191613=DIRECTION('',(-0.435476557224839,0.,-0.900200071155074)); #191614=DIRECTION('',(0.,-1.,0.)); #191615=DIRECTION('',(-0.435476557224839,0.,-0.900200071155074)); #191616=DIRECTION('center_axis',(-0.840582014443102,0.,0.541684296426227)); #191617=DIRECTION('ref_axis',(-0.541684296426227,0.,-0.840582014443102)); #191618=DIRECTION('',(-0.541684296426227,0.,-0.840582014443102)); #191619=DIRECTION('',(0.,-1.,0.)); #191620=DIRECTION('',(-0.541684296426227,0.,-0.840582014443102)); #191621=DIRECTION('center_axis',(-0.761487552852676,0.,0.648179532884557)); #191622=DIRECTION('ref_axis',(-0.648179532884557,0.,-0.761487552852676)); #191623=DIRECTION('',(-0.648179532884557,0.,-0.761487552852676)); #191624=DIRECTION('',(0.,-1.,0.)); #191625=DIRECTION('',(-0.648179532884557,0.,-0.761487552852676)); #191626=DIRECTION('center_axis',(-0.686583746320359,0.,0.72705072676444)); #191627=DIRECTION('ref_axis',(-0.72705072676444,0.,-0.686583746320359)); #191628=DIRECTION('',(-0.72705072676444,0.,-0.686583746320359)); #191629=DIRECTION('',(-0.72705072676444,0.,-0.686583746320359)); #191630=DIRECTION('center_axis',(0.,1.,0.)); #191631=DIRECTION('ref_axis',(1.,0.,0.)); #191632=DIRECTION('center_axis',(0.,-1.,0.)); #191633=DIRECTION('ref_axis',(0.407503605388768,0.,-0.913203598106772)); #191634=DIRECTION('center_axis',(0.,1.,0.)); #191635=DIRECTION('ref_axis',(0.407503605388768,0.,-0.913203598106772)); #191636=DIRECTION('',(0.,-1.,0.)); #191637=DIRECTION('center_axis',(0.,1.,0.)); #191638=DIRECTION('ref_axis',(0.407503605388768,0.,-0.913203598106772)); #191639=DIRECTION('',(0.,-1.,0.)); #191640=DIRECTION('center_axis',(0.,-1.,0.)); #191641=DIRECTION('ref_axis',(0.997746942932905,0.,-0.0670897746906501)); #191642=DIRECTION('center_axis',(0.,1.,0.)); #191643=DIRECTION('ref_axis',(0.997746942932905,0.,-0.0670897746906501)); #191644=DIRECTION('',(0.,-1.,0.)); #191645=DIRECTION('center_axis',(0.,1.,0.)); #191646=DIRECTION('ref_axis',(0.997746942932905,0.,-0.0670897746906501)); #191647=DIRECTION('center_axis',(0.,-1.,0.)); #191648=DIRECTION('ref_axis',(0.99930546761785,0.,0.0372636872715358)); #191649=DIRECTION('center_axis',(0.,1.,0.)); #191650=DIRECTION('ref_axis',(0.99930546761785,0.,0.0372636872715358)); #191651=DIRECTION('',(0.,-1.,0.)); #191652=DIRECTION('center_axis',(0.,1.,0.)); #191653=DIRECTION('ref_axis',(0.99930546761785,0.,0.0372636872715358)); #191654=DIRECTION('center_axis',(0.,-1.,0.)); #191655=DIRECTION('ref_axis',(0.040401699532457,0.,0.999183518016029)); #191656=DIRECTION('center_axis',(0.,1.,0.)); #191657=DIRECTION('ref_axis',(0.040401699532457,0.,0.999183518016029)); #191658=DIRECTION('',(0.,-1.,0.)); #191659=DIRECTION('center_axis',(0.,1.,0.)); #191660=DIRECTION('ref_axis',(0.040401699532457,0.,0.999183518016029)); #191661=DIRECTION('center_axis',(0.,-1.,0.)); #191662=DIRECTION('ref_axis',(-0.0148666762689842,0.,0.999889484861559)); #191663=DIRECTION('center_axis',(0.,1.,0.)); #191664=DIRECTION('ref_axis',(-0.0148666762689842,0.,0.999889484861559)); #191665=DIRECTION('',(0.,-1.,0.)); #191666=DIRECTION('center_axis',(0.,1.,0.)); #191667=DIRECTION('ref_axis',(-0.0148666762689842,0.,0.999889484861559)); #191668=DIRECTION('center_axis',(0.,-1.,0.)); #191669=DIRECTION('ref_axis',(-0.707615721872997,0.,0.706597473925684)); #191670=DIRECTION('center_axis',(0.,1.,0.)); #191671=DIRECTION('ref_axis',(-0.707615721872997,0.,0.706597473925684)); #191672=DIRECTION('',(0.,-1.,0.)); #191673=DIRECTION('center_axis',(0.,1.,0.)); #191674=DIRECTION('ref_axis',(-0.707615721872997,0.,0.706597473925684)); #191675=DIRECTION('center_axis',(0.,-1.,0.)); #191676=DIRECTION('ref_axis',(-0.992293583346561,0.,0.123909016819764)); #191677=DIRECTION('center_axis',(0.,1.,0.)); #191678=DIRECTION('ref_axis',(-0.992293583346561,0.,0.123909016819764)); #191679=DIRECTION('',(0.,-1.,0.)); #191680=DIRECTION('center_axis',(0.,1.,0.)); #191681=DIRECTION('ref_axis',(-0.992293583346561,0.,0.123909016819764)); #191682=DIRECTION('center_axis',(0.999999999998435,0.,-1.76915061412932E-6)); #191683=DIRECTION('ref_axis',(1.76915061412932E-6,0.,0.999999999998435)); #191684=DIRECTION('',(1.76915061412932E-6,0.,0.999999999998435)); #191685=DIRECTION('',(0.,-1.,0.)); #191686=DIRECTION('',(1.76915061412932E-6,0.,0.999999999998435)); #191687=DIRECTION('center_axis',(0.,-1.,0.)); #191688=DIRECTION('ref_axis',(-0.978903070996708,0.,0.204325176112033)); #191689=DIRECTION('center_axis',(0.,1.,0.)); #191690=DIRECTION('ref_axis',(-0.978903070996708,0.,0.204325176112033)); #191691=DIRECTION('',(0.,-1.,0.)); #191692=DIRECTION('center_axis',(0.,1.,0.)); #191693=DIRECTION('ref_axis',(-0.978903070996708,0.,0.204325176112033)); #191694=DIRECTION('center_axis',(0.,-1.,0.)); #191695=DIRECTION('ref_axis',(-0.540454918340909,0.,-0.841372973918893)); #191696=DIRECTION('center_axis',(0.,1.,0.)); #191697=DIRECTION('ref_axis',(-0.540454918340909,0.,-0.841372973918893)); #191698=DIRECTION('',(0.,-1.,0.)); #191699=DIRECTION('center_axis',(0.,1.,0.)); #191700=DIRECTION('ref_axis',(-0.540454918340909,0.,-0.841372973918893)); #191701=DIRECTION('center_axis',(0.,-1.,0.)); #191702=DIRECTION('ref_axis',(-0.106617729847154,0.,-0.994300085327483)); #191703=DIRECTION('center_axis',(0.,1.,0.)); #191704=DIRECTION('ref_axis',(-0.106617729847154,0.,-0.994300085327483)); #191705=DIRECTION('center_axis',(0.,1.,0.)); #191706=DIRECTION('ref_axis',(-0.106617729847154,0.,-0.994300085327483)); #191707=DIRECTION('center_axis',(-0.999999998215872,0.,5.97348856114457E-5)); #191708=DIRECTION('ref_axis',(-5.97348856114457E-5,0.,-0.999999998215872)); #191709=DIRECTION('',(-5.97348856114457E-5,0.,-0.999999998215872)); #191710=DIRECTION('',(0.,-1.,0.)); #191711=DIRECTION('',(-5.97348856114457E-5,0.,-0.999999998215872)); #191712=DIRECTION('',(0.,-1.,0.)); #191713=DIRECTION('center_axis',(0.,-1.,0.)); #191714=DIRECTION('ref_axis',(-0.99420369699466,0.,-0.107512831244235)); #191715=DIRECTION('center_axis',(0.,-1.,0.)); #191716=DIRECTION('ref_axis',(-0.99420369699466,0.,-0.107512831244235)); #191717=DIRECTION('',(0.,-1.,0.)); #191718=DIRECTION('center_axis',(0.,-1.,0.)); #191719=DIRECTION('ref_axis',(-0.99420369699466,0.,-0.107512831244235)); #191720=DIRECTION('center_axis',(0.,-1.,0.)); #191721=DIRECTION('ref_axis',(-0.292404589408284,0.,0.956294701487451)); #191722=DIRECTION('center_axis',(0.,-1.,0.)); #191723=DIRECTION('ref_axis',(-0.292404589408284,0.,0.956294701487451)); #191724=DIRECTION('',(0.,-1.,0.)); #191725=DIRECTION('center_axis',(0.,-1.,0.)); #191726=DIRECTION('ref_axis',(-0.292404589408284,0.,0.956294701487451)); #191727=DIRECTION('center_axis',(0.,-1.,0.)); #191728=DIRECTION('ref_axis',(-0.114464220015536,0.,0.993427371445057)); #191729=DIRECTION('center_axis',(0.,-1.,0.)); #191730=DIRECTION('ref_axis',(-0.114464220015536,0.,0.993427371445057)); #191731=DIRECTION('',(0.,-1.,0.)); #191732=DIRECTION('center_axis',(0.,-1.,0.)); #191733=DIRECTION('ref_axis',(-0.114464220015536,0.,0.993427371445057)); #191734=DIRECTION('center_axis',(0.,-1.,0.)); #191735=DIRECTION('ref_axis',(0.503830436592927,0.,0.863802576496841)); #191736=DIRECTION('center_axis',(0.,-1.,0.)); #191737=DIRECTION('ref_axis',(0.503830436592927,0.,0.863802576496841)); #191738=DIRECTION('',(0.,-1.,0.)); #191739=DIRECTION('center_axis',(0.,-1.,0.)); #191740=DIRECTION('ref_axis',(0.503830436592927,0.,0.863802576496841)); #191741=DIRECTION('center_axis',(0.,-1.,0.)); #191742=DIRECTION('ref_axis',(0.994984937532505,0.,0.100024867325262)); #191743=DIRECTION('center_axis',(0.,-1.,0.)); #191744=DIRECTION('ref_axis',(0.994984937532505,0.,0.100024867325262)); #191745=DIRECTION('',(0.,-1.,0.)); #191746=DIRECTION('center_axis',(0.,-1.,0.)); #191747=DIRECTION('ref_axis',(0.994984937532505,0.,0.100024867325262)); #191748=DIRECTION('center_axis',(0.,-1.,0.)); #191749=DIRECTION('ref_axis',(0.941487386736394,0.,-0.337048217049544)); #191750=DIRECTION('center_axis',(0.,-1.,0.)); #191751=DIRECTION('ref_axis',(0.941487386736394,0.,-0.337048217049544)); #191752=DIRECTION('',(0.,-1.,0.)); #191753=DIRECTION('center_axis',(0.,-1.,0.)); #191754=DIRECTION('ref_axis',(0.941487386736394,0.,-0.337048217049544)); #191755=DIRECTION('center_axis',(0.,-1.,0.)); #191756=DIRECTION('ref_axis',(0.0723689511889692,0.,-0.997377929825905)); #191757=DIRECTION('center_axis',(0.,-1.,0.)); #191758=DIRECTION('ref_axis',(0.0723689511889692,0.,-0.997377929825905)); #191759=DIRECTION('',(0.,-1.,0.)); #191760=DIRECTION('center_axis',(0.,-1.,0.)); #191761=DIRECTION('ref_axis',(0.0723689511889692,0.,-0.997377929825905)); #191762=DIRECTION('center_axis',(0.,-1.,0.)); #191763=DIRECTION('ref_axis',(-0.074159233573374,0.,-0.997246412916993)); #191764=DIRECTION('center_axis',(0.,-1.,0.)); #191765=DIRECTION('ref_axis',(-0.074159233573374,0.,-0.997246412916993)); #191766=DIRECTION('',(0.,-1.,0.)); #191767=DIRECTION('center_axis',(0.,-1.,0.)); #191768=DIRECTION('ref_axis',(-0.074159233573374,0.,-0.997246412916993)); #191769=DIRECTION('center_axis',(0.,-1.,0.)); #191770=DIRECTION('ref_axis',(-0.997877284188034,0.,-0.0651223901704665)); #191771=DIRECTION('center_axis',(0.,-1.,0.)); #191772=DIRECTION('ref_axis',(-0.997877284188034,0.,-0.0651223901704665)); #191773=DIRECTION('center_axis',(0.,-1.,0.)); #191774=DIRECTION('ref_axis',(-0.997877284188034,0.,-0.0651223901704665)); #191775=DIRECTION('center_axis',(0.,1.,0.)); #191776=DIRECTION('ref_axis',(1.,0.,0.)); #191777=DIRECTION('center_axis',(0.,-1.,0.)); #191778=DIRECTION('ref_axis',(-0.752124627624074,0.,-0.659020898394996)); #191779=DIRECTION('center_axis',(0.,-1.,0.)); #191780=DIRECTION('ref_axis',(-0.752124627624074,0.,-0.659020898394996)); #191781=DIRECTION('',(0.,-1.,0.)); #191782=DIRECTION('center_axis',(0.,-1.,0.)); #191783=DIRECTION('ref_axis',(-0.752124627624074,0.,-0.659020898394996)); #191784=DIRECTION('',(0.,-1.,0.)); #191785=DIRECTION('center_axis',(0.,-1.,0.)); #191786=DIRECTION('ref_axis',(-0.831793775953933,0.,-0.555084781167975)); #191787=DIRECTION('center_axis',(0.,-1.,0.)); #191788=DIRECTION('ref_axis',(-0.831793775953933,0.,-0.555084781167975)); #191789=DIRECTION('',(0.,-1.,0.)); #191790=DIRECTION('center_axis',(0.,-1.,0.)); #191791=DIRECTION('ref_axis',(-0.831793775953933,0.,-0.555084781167975)); #191792=DIRECTION('center_axis',(-0.835757650679427,0.,-0.549098487824184)); #191793=DIRECTION('ref_axis',(0.549098487824184,0.,-0.835757650679427)); #191794=DIRECTION('',(0.549098487824184,0.,-0.835757650679427)); #191795=DIRECTION('',(0.,-1.,0.)); #191796=DIRECTION('',(0.549098487824184,0.,-0.835757650679427)); #191797=DIRECTION('center_axis',(0.,-1.,0.)); #191798=DIRECTION('ref_axis',(0.830256737782253,0.,0.557381152683844)); #191799=DIRECTION('center_axis',(0.,1.,0.)); #191800=DIRECTION('ref_axis',(0.830256737782253,0.,0.557381152683844)); #191801=DIRECTION('',(0.,-1.,0.)); #191802=DIRECTION('center_axis',(0.,1.,0.)); #191803=DIRECTION('ref_axis',(0.830256737782253,0.,0.557381152683844)); #191804=DIRECTION('center_axis',(-0.832659623089877,0.,-0.553785113627862)); #191805=DIRECTION('ref_axis',(0.553785113627862,0.,-0.832659623089877)); #191806=DIRECTION('',(0.553785113627862,0.,-0.832659623089877)); #191807=DIRECTION('',(0.,-1.,0.)); #191808=DIRECTION('',(0.553785113627862,0.,-0.832659623089877)); #191809=DIRECTION('center_axis',(0.,-1.,0.)); #191810=DIRECTION('ref_axis',(-0.835046749351099,0.,-0.550178994871817)); #191811=DIRECTION('center_axis',(0.,-1.,0.)); #191812=DIRECTION('ref_axis',(-0.835046749351099,0.,-0.550178994871817)); #191813=DIRECTION('',(0.,-1.,0.)); #191814=DIRECTION('center_axis',(0.,-1.,0.)); #191815=DIRECTION('ref_axis',(-0.835046749351099,0.,-0.550178994871817)); #191816=DIRECTION('center_axis',(-0.837075886048526,0.,-0.547086794755708)); #191817=DIRECTION('ref_axis',(0.547086794755708,0.,-0.837075886048526)); #191818=DIRECTION('',(0.547086794755708,0.,-0.837075886048526)); #191819=DIRECTION('',(0.,-1.,0.)); #191820=DIRECTION('',(0.547086794755708,0.,-0.837075886048526)); #191821=DIRECTION('center_axis',(-0.836647918888633,0.,-0.547741051793016)); #191822=DIRECTION('ref_axis',(0.547741051793016,0.,-0.836647918888633)); #191823=DIRECTION('',(0.547741051793016,0.,-0.836647918888633)); #191824=DIRECTION('',(0.,-1.,0.)); #191825=DIRECTION('',(0.547741051793016,0.,-0.836647918888633)); #191826=DIRECTION('center_axis',(-0.842421116922569,0.,-0.538819693184029)); #191827=DIRECTION('ref_axis',(0.538819693184029,0.,-0.842421116922569)); #191828=DIRECTION('',(0.538819693184029,0.,-0.842421116922569)); #191829=DIRECTION('',(0.,-1.,0.)); #191830=DIRECTION('',(0.538819693184029,0.,-0.842421116922569)); #191831=DIRECTION('center_axis',(0.,-1.,0.)); #191832=DIRECTION('ref_axis',(0.839165518445394,0.,0.543876118847181)); #191833=DIRECTION('center_axis',(0.,1.,0.)); #191834=DIRECTION('ref_axis',(0.839165518445394,0.,0.543876118847181)); #191835=DIRECTION('',(0.,-1.,0.)); #191836=DIRECTION('center_axis',(0.,1.,0.)); #191837=DIRECTION('ref_axis',(0.839165518445394,0.,0.543876118847181)); #191838=DIRECTION('center_axis',(-0.837323168823938,0.,-0.546708250304163)); #191839=DIRECTION('ref_axis',(0.546708250304163,0.,-0.837323168823938)); #191840=DIRECTION('',(0.546708250304163,0.,-0.837323168823938)); #191841=DIRECTION('',(0.,-1.,0.)); #191842=DIRECTION('',(0.546708250304163,0.,-0.837323168823938)); #191843=DIRECTION('center_axis',(-0.837315107927464,0.,-0.546720595950454)); #191844=DIRECTION('ref_axis',(0.546720595950454,0.,-0.837315107927464)); #191845=DIRECTION('',(0.546720595950454,0.,-0.837315107927464)); #191846=DIRECTION('',(0.,-1.,0.)); #191847=DIRECTION('',(0.546720595950454,0.,-0.837315107927464)); #191848=DIRECTION('center_axis',(0.000633349152336072,0.,0.999999799434406)); #191849=DIRECTION('ref_axis',(-0.999999799434405,0.,0.000633349152336072)); #191850=DIRECTION('',(-0.999999799434405,0.,0.000633349152336072)); #191851=DIRECTION('',(0.,-1.,0.)); #191852=DIRECTION('',(-0.999999799434405,0.,0.000633349152336072)); #191853=DIRECTION('center_axis',(0.,-1.,0.)); #191854=DIRECTION('ref_axis',(0.220026107661563,0.,0.975493983552591)); #191855=DIRECTION('center_axis',(0.,-1.,0.)); #191856=DIRECTION('ref_axis',(0.220026107661563,0.,0.975493983552591)); #191857=DIRECTION('',(0.,-1.,0.)); #191858=DIRECTION('center_axis',(0.,-1.,0.)); #191859=DIRECTION('ref_axis',(0.220026107661563,0.,0.975493983552591)); #191860=DIRECTION('center_axis',(0.,-1.,0.)); #191861=DIRECTION('ref_axis',(0.396341826581513,0.,0.91810302063659)); #191862=DIRECTION('center_axis',(0.,-1.,0.)); #191863=DIRECTION('ref_axis',(0.396341826581513,0.,0.91810302063659)); #191864=DIRECTION('',(0.,-1.,0.)); #191865=DIRECTION('center_axis',(0.,-1.,0.)); #191866=DIRECTION('ref_axis',(0.396341826581513,0.,0.91810302063659)); #191867=DIRECTION('center_axis',(0.396716572338922,0.,0.917941153468814)); #191868=DIRECTION('ref_axis',(-0.917941153468814,0.,0.396716572338922)); #191869=DIRECTION('',(-0.917941153468814,0.,0.396716572338922)); #191870=DIRECTION('',(0.,-1.,0.)); #191871=DIRECTION('',(-0.917941153468814,0.,0.396716572338922)); #191872=DIRECTION('center_axis',(0.395007664400764,0.,0.918677824411068)); #191873=DIRECTION('ref_axis',(-0.918677824411068,0.,0.395007664400764)); #191874=DIRECTION('',(-0.918677824411068,0.,0.395007664400764)); #191875=DIRECTION('',(0.,-1.,0.)); #191876=DIRECTION('',(-0.918677824411068,0.,0.395007664400764)); #191877=DIRECTION('center_axis',(0.,-1.,0.)); #191878=DIRECTION('ref_axis',(0.399716976603069,0.,0.916638608512265)); #191879=DIRECTION('center_axis',(0.,-1.,0.)); #191880=DIRECTION('ref_axis',(0.399716976603069,0.,0.916638608512265)); #191881=DIRECTION('',(0.,-1.,0.)); #191882=DIRECTION('center_axis',(0.,-1.,0.)); #191883=DIRECTION('ref_axis',(0.399716976603069,0.,0.916638608512265)); #191884=DIRECTION('center_axis',(0.389449822862507,0.,0.921047683604037)); #191885=DIRECTION('ref_axis',(-0.921047683604037,0.,0.389449822862507)); #191886=DIRECTION('',(-0.921047683604037,0.,0.389449822862507)); #191887=DIRECTION('',(0.,-1.,0.)); #191888=DIRECTION('',(-0.921047683604037,0.,0.389449822862507)); #191889=DIRECTION('center_axis',(0.383189556268491,0.,0.923669726670068)); #191890=DIRECTION('ref_axis',(-0.923669726670068,0.,0.383189556268491)); #191891=DIRECTION('',(-0.923669726670068,0.,0.383189556268491)); #191892=DIRECTION('',(0.,-1.,0.)); #191893=DIRECTION('',(-0.923669726670068,0.,0.383189556268491)); #191894=DIRECTION('center_axis',(0.398634103217368,0.,0.917110054329405)); #191895=DIRECTION('ref_axis',(-0.917110054329405,0.,0.398634103217368)); #191896=DIRECTION('',(-0.917110054329405,0.,0.398634103217368)); #191897=DIRECTION('',(0.,-1.,0.)); #191898=DIRECTION('',(-0.917110054329405,0.,0.398634103217368)); #191899=DIRECTION('center_axis',(0.384305638547954,0.,0.923205922955572)); #191900=DIRECTION('ref_axis',(-0.923205922955572,0.,0.384305638547954)); #191901=DIRECTION('',(-0.923205922955572,0.,0.384305638547954)); #191902=DIRECTION('',(0.,-1.,0.)); #191903=DIRECTION('',(-0.923205922955572,0.,0.384305638547954)); #191904=DIRECTION('center_axis',(0.544632384559267,0.,0.838674886764404)); #191905=DIRECTION('ref_axis',(-0.838674886764404,0.,0.544632384559267)); #191906=DIRECTION('',(-0.838674886764404,0.,0.544632384559267)); #191907=DIRECTION('',(0.,-1.,0.)); #191908=DIRECTION('',(-0.838674886764404,0.,0.544632384559267)); #191909=DIRECTION('center_axis',(0.871527550727801,0.,0.490346539013379)); #191910=DIRECTION('ref_axis',(-0.49034653901338,0.,0.871527550727801)); #191911=DIRECTION('',(-0.49034653901338,0.,0.871527550727801)); #191912=DIRECTION('',(0.,-1.,0.)); #191913=DIRECTION('',(-0.49034653901338,0.,0.871527550727801)); #191914=DIRECTION('center_axis',(0.,-1.,0.)); #191915=DIRECTION('ref_axis',(0.997661997312538,0.,0.0683413426730676)); #191916=DIRECTION('center_axis',(0.,-1.,0.)); #191917=DIRECTION('ref_axis',(0.997661997312538,0.,0.0683413426730676)); #191918=DIRECTION('',(0.,-1.,0.)); #191919=DIRECTION('center_axis',(0.,-1.,0.)); #191920=DIRECTION('ref_axis',(0.997661997312538,0.,0.0683413426730676)); #191921=DIRECTION('center_axis',(0.,-1.,0.)); #191922=DIRECTION('ref_axis',(0.0169073524684862,0.,-0.999857060500403)); #191923=DIRECTION('center_axis',(0.,-1.,0.)); #191924=DIRECTION('ref_axis',(0.0169073524684862,0.,-0.999857060500403)); #191925=DIRECTION('',(0.,-1.,0.)); #191926=DIRECTION('center_axis',(0.,-1.,0.)); #191927=DIRECTION('ref_axis',(0.0169073524684862,0.,-0.999857060500403)); #191928=DIRECTION('center_axis',(0.,-1.,0.)); #191929=DIRECTION('ref_axis',(-0.318439838651809,0.,-0.947943072741929)); #191930=DIRECTION('center_axis',(0.,-1.,0.)); #191931=DIRECTION('ref_axis',(-0.318439838651809,0.,-0.947943072741929)); #191932=DIRECTION('',(0.,-1.,0.)); #191933=DIRECTION('center_axis',(0.,-1.,0.)); #191934=DIRECTION('ref_axis',(-0.318439838651809,0.,-0.947943072741929)); #191935=DIRECTION('center_axis',(0.,-1.,0.)); #191936=DIRECTION('ref_axis',(-0.311011811018352,0.,-0.950406046596446)); #191937=DIRECTION('center_axis',(0.,-1.,0.)); #191938=DIRECTION('ref_axis',(-0.311011811018352,0.,-0.950406046596446)); #191939=DIRECTION('',(0.,-1.,0.)); #191940=DIRECTION('center_axis',(0.,-1.,0.)); #191941=DIRECTION('ref_axis',(-0.311011811018352,0.,-0.950406046596446)); #191942=DIRECTION('center_axis',(0.993674781483362,0.,-0.112296164867701)); #191943=DIRECTION('ref_axis',(0.112296164867701,0.,0.993674781483361)); #191944=DIRECTION('',(0.112296164867701,0.,0.993674781483361)); #191945=DIRECTION('',(0.,-1.,0.)); #191946=DIRECTION('',(0.112296164867701,0.,0.993674781483361)); #191947=DIRECTION('center_axis',(0.,-1.,0.)); #191948=DIRECTION('ref_axis',(0.839926031223543,0.,0.542700895588967)); #191949=DIRECTION('center_axis',(0.,-1.,0.)); #191950=DIRECTION('ref_axis',(0.839926031223543,0.,0.542700895588967)); #191951=DIRECTION('',(0.,-1.,0.)); #191952=DIRECTION('center_axis',(0.,-1.,0.)); #191953=DIRECTION('ref_axis',(0.839926031223543,0.,0.542700895588967)); #191954=DIRECTION('center_axis',(-0.675024657073626,0.,0.737795169638995)); #191955=DIRECTION('ref_axis',(-0.737795169638995,0.,-0.675024657073626)); #191956=DIRECTION('',(-0.737795169638995,0.,-0.675024657073626)); #191957=DIRECTION('',(0.,-1.,0.)); #191958=DIRECTION('',(-0.737795169638995,0.,-0.675024657073626)); #191959=DIRECTION('center_axis',(0.,-1.,0.)); #191960=DIRECTION('ref_axis',(0.832105344701367,0.,-0.554617611800617)); #191961=DIRECTION('center_axis',(0.,1.,0.)); #191962=DIRECTION('ref_axis',(0.832105344701367,0.,-0.554617611800617)); #191963=DIRECTION('',(0.,-1.,0.)); #191964=DIRECTION('center_axis',(0.,1.,0.)); #191965=DIRECTION('ref_axis',(0.832105344701367,0.,-0.554617611800617)); #191966=DIRECTION('center_axis',(0.,0.,1.)); #191967=DIRECTION('ref_axis',(-1.,0.,0.)); #191968=DIRECTION('',(-1.,0.,0.)); #191969=DIRECTION('',(0.,-1.,0.)); #191970=DIRECTION('',(-1.,0.,0.)); #191971=DIRECTION('center_axis',(0.,-1.,0.)); #191972=DIRECTION('ref_axis',(0.911610470346561,0.,-0.411055167045158)); #191973=DIRECTION('center_axis',(0.,-1.,0.)); #191974=DIRECTION('ref_axis',(0.911610470346561,0.,-0.411055167045158)); #191975=DIRECTION('',(0.,-1.,0.)); #191976=DIRECTION('center_axis',(0.,-1.,0.)); #191977=DIRECTION('ref_axis',(0.911610470346561,0.,-0.411055167045158)); #191978=DIRECTION('center_axis',(0.837375559913431,0.,-0.546628001166851)); #191979=DIRECTION('ref_axis',(0.546628001166851,0.,0.837375559913431)); #191980=DIRECTION('',(0.546628001166851,0.,0.837375559913431)); #191981=DIRECTION('',(0.,-1.,0.)); #191982=DIRECTION('',(0.546628001166851,0.,0.837375559913431)); #191983=DIRECTION('center_axis',(0.842339000869192,0.,-0.538948056508873)); #191984=DIRECTION('ref_axis',(0.538948056508873,0.,0.842339000869192)); #191985=DIRECTION('',(0.538948056508873,0.,0.842339000869192)); #191986=DIRECTION('',(0.,-1.,0.)); #191987=DIRECTION('',(0.538948056508873,0.,0.842339000869192)); #191988=DIRECTION('center_axis',(0.,-1.,0.)); #191989=DIRECTION('ref_axis',(0.836797617669924,0.,-0.547512325945215)); #191990=DIRECTION('center_axis',(0.,-1.,0.)); #191991=DIRECTION('ref_axis',(0.836797617669924,0.,-0.547512325945215)); #191992=DIRECTION('',(0.,-1.,0.)); #191993=DIRECTION('center_axis',(0.,-1.,0.)); #191994=DIRECTION('ref_axis',(0.836797617669924,0.,-0.547512325945215)); #191995=DIRECTION('center_axis',(0.836431866598669,0.,-0.548070919259785)); #191996=DIRECTION('ref_axis',(0.548070919259785,0.,0.836431866598669)); #191997=DIRECTION('',(0.548070919259785,0.,0.836431866598669)); #191998=DIRECTION('',(0.,-1.,0.)); #191999=DIRECTION('',(0.548070919259785,0.,0.836431866598669)); #192000=DIRECTION('center_axis',(0.,-1.,0.)); #192001=DIRECTION('ref_axis',(-0.838228222081035,0.,0.545319583094965)); #192002=DIRECTION('center_axis',(0.,1.,0.)); #192003=DIRECTION('ref_axis',(-0.838228222081035,0.,0.545319583094965)); #192004=DIRECTION('',(0.,-1.,0.)); #192005=DIRECTION('center_axis',(0.,1.,0.)); #192006=DIRECTION('ref_axis',(-0.838228222081035,0.,0.545319583094965)); #192007=DIRECTION('center_axis',(0.843376150924324,0.,-0.537323615758763)); #192008=DIRECTION('ref_axis',(0.537323615758763,0.,0.843376150924324)); #192009=DIRECTION('',(0.537323615758763,0.,0.843376150924324)); #192010=DIRECTION('',(0.,-1.,0.)); #192011=DIRECTION('',(0.537323615758763,0.,0.843376150924324)); #192012=DIRECTION('center_axis',(0.,-1.,0.)); #192013=DIRECTION('ref_axis',(0.837460862717086,0.,-0.546497304126153)); #192014=DIRECTION('center_axis',(0.,-1.,0.)); #192015=DIRECTION('ref_axis',(0.837460862717086,0.,-0.546497304126153)); #192016=DIRECTION('',(0.,-1.,0.)); #192017=DIRECTION('center_axis',(0.,-1.,0.)); #192018=DIRECTION('ref_axis',(0.837460862717086,0.,-0.546497304126153)); #192019=DIRECTION('center_axis',(0.839922167038801,0.,-0.54270687605451)); #192020=DIRECTION('ref_axis',(0.54270687605451,0.,0.839922167038801)); #192021=DIRECTION('',(0.54270687605451,0.,0.839922167038801)); #192022=DIRECTION('',(0.,-1.,0.)); #192023=DIRECTION('',(0.54270687605451,0.,0.839922167038801)); #192024=DIRECTION('center_axis',(0.851601790925321,0.,-0.524189268959968)); #192025=DIRECTION('ref_axis',(0.524189268959968,0.,0.851601790925321)); #192026=DIRECTION('',(0.524189268959968,0.,0.851601790925321)); #192027=DIRECTION('',(0.,-1.,0.)); #192028=DIRECTION('',(0.524189268959968,0.,0.851601790925321)); #192029=DIRECTION('center_axis',(0.401138570844953,0.,-0.916017383558013)); #192030=DIRECTION('ref_axis',(0.916017383558014,0.,0.401138570844953)); #192031=DIRECTION('',(0.916017383558014,0.,0.401138570844953)); #192032=DIRECTION('',(0.916017383558014,0.,0.401138570844953)); #192033=DIRECTION('center_axis',(0.,1.,0.)); #192034=DIRECTION('ref_axis',(1.,0.,0.)); #192035=DIRECTION('center_axis',(0.977214381140049,0.,0.212254689684519)); #192036=DIRECTION('ref_axis',(-0.212254689684519,0.,0.977214381140049)); #192037=DIRECTION('',(-0.212254689684519,0.,0.977214381140049)); #192038=DIRECTION('',(0.,-1.,0.)); #192039=DIRECTION('',(-0.212254689684519,0.,0.977214381140049)); #192040=DIRECTION('',(0.,-1.,0.)); #192041=DIRECTION('center_axis',(0.993665151470063,0.,0.112381345222315)); #192042=DIRECTION('ref_axis',(-0.112381345222315,0.,0.993665151470063)); #192043=DIRECTION('',(-0.112381345222315,0.,0.993665151470063)); #192044=DIRECTION('',(0.,-1.,0.)); #192045=DIRECTION('',(-0.112381345222315,0.,0.993665151470063)); #192046=DIRECTION('center_axis',(0.999832639159366,0.,0.0182946350501352)); #192047=DIRECTION('ref_axis',(-0.0182946350501352,0.,0.999832639159366)); #192048=DIRECTION('',(-0.0182946350501352,0.,0.999832639159366)); #192049=DIRECTION('',(0.,-1.,0.)); #192050=DIRECTION('',(-0.0182946350501352,0.,0.999832639159366)); #192051=DIRECTION('center_axis',(0.997357825070374,0.,-0.0726455006926971)); #192052=DIRECTION('ref_axis',(0.0726455006926971,0.,0.997357825070374)); #192053=DIRECTION('',(0.0726455006926971,0.,0.997357825070374)); #192054=DIRECTION('',(0.,-1.,0.)); #192055=DIRECTION('',(0.0726455006926971,0.,0.997357825070374)); #192056=DIRECTION('center_axis',(0.985393402397929,0.,-0.170293401253932)); #192057=DIRECTION('ref_axis',(0.170293401253932,0.,0.985393402397929)); #192058=DIRECTION('',(0.170293401253932,0.,0.985393402397929)); #192059=DIRECTION('',(0.,-1.,0.)); #192060=DIRECTION('',(0.170293401253932,0.,0.985393402397929)); #192061=DIRECTION('center_axis',(0.962083357873212,0.,-0.272755591149668)); #192062=DIRECTION('ref_axis',(0.272755591149668,0.,0.962083357873212)); #192063=DIRECTION('',(0.272755591149668,0.,0.962083357873212)); #192064=DIRECTION('',(0.,-1.,0.)); #192065=DIRECTION('',(0.272755591149668,0.,0.962083357873212)); #192066=DIRECTION('center_axis',(0.926459037332291,0.,-0.376395605905973)); #192067=DIRECTION('ref_axis',(0.376395605905973,0.,0.926459037332291)); #192068=DIRECTION('',(0.376395605905973,0.,0.926459037332291)); #192069=DIRECTION('',(0.,-1.,0.)); #192070=DIRECTION('',(0.376395605905973,0.,0.926459037332291)); #192071=DIRECTION('center_axis',(0.877583029257374,0.,-0.479424683093655)); #192072=DIRECTION('ref_axis',(0.479424683093655,0.,0.877583029257374)); #192073=DIRECTION('',(0.479424683093655,0.,0.877583029257374)); #192074=DIRECTION('',(0.,-1.,0.)); #192075=DIRECTION('',(0.479424683093655,0.,0.877583029257374)); #192076=DIRECTION('center_axis',(0.81711690330294,0.,-0.576471999611962)); #192077=DIRECTION('ref_axis',(0.576471999611962,0.,0.81711690330294)); #192078=DIRECTION('',(0.576471999611962,0.,0.81711690330294)); #192079=DIRECTION('',(0.,-1.,0.)); #192080=DIRECTION('',(0.576471999611962,0.,0.81711690330294)); #192081=DIRECTION('center_axis',(0.746360719850021,0.,-0.665541640969939)); #192082=DIRECTION('ref_axis',(0.665541640969939,0.,0.74636071985002)); #192083=DIRECTION('',(0.665541640969939,0.,0.74636071985002)); #192084=DIRECTION('',(0.,-1.,0.)); #192085=DIRECTION('',(0.665541640969939,0.,0.74636071985002)); #192086=DIRECTION('center_axis',(0.675236877804173,0.,-0.737600948245915)); #192087=DIRECTION('ref_axis',(0.737600948245915,0.,0.675236877804173)); #192088=DIRECTION('',(0.737600948245915,0.,0.675236877804173)); #192089=DIRECTION('',(0.,-1.,0.)); #192090=DIRECTION('',(0.737600948245915,0.,0.675236877804173)); #192091=DIRECTION('center_axis',(0.584680882888797,0.,-0.811263375966386)); #192092=DIRECTION('ref_axis',(0.811263375966386,0.,0.584680882888797)); #192093=DIRECTION('',(0.811263375966386,0.,0.584680882888797)); #192094=DIRECTION('',(0.,-1.,0.)); #192095=DIRECTION('',(0.811263375966386,0.,0.584680882888797)); #192096=DIRECTION('center_axis',(0.472069667485348,0.,-0.881561245200964)); #192097=DIRECTION('ref_axis',(0.881561245200963,0.,0.472069667485348)); #192098=DIRECTION('',(0.881561245200963,0.,0.472069667485348)); #192099=DIRECTION('',(0.,-1.,0.)); #192100=DIRECTION('',(0.881561245200963,0.,0.472069667485348)); #192101=DIRECTION('center_axis',(0.365558413388041,0.,-0.930788400444063)); #192102=DIRECTION('ref_axis',(0.930788400444063,0.,0.365558413388041)); #192103=DIRECTION('',(0.930788400444063,0.,0.365558413388041)); #192104=DIRECTION('',(0.,-1.,0.)); #192105=DIRECTION('',(0.930788400444063,0.,0.365558413388041)); #192106=DIRECTION('center_axis',(0.,-1.,0.)); #192107=DIRECTION('ref_axis',(0.176622810779118,0.,-0.984278610309339)); #192108=DIRECTION('center_axis',(0.,-1.,0.)); #192109=DIRECTION('ref_axis',(0.176622810779118,0.,-0.984278610309339)); #192110=DIRECTION('',(0.,-1.,0.)); #192111=DIRECTION('center_axis',(0.,-1.,0.)); #192112=DIRECTION('ref_axis',(0.176622810779118,0.,-0.984278610309339)); #192113=DIRECTION('center_axis',(0.,-1.,0.)); #192114=DIRECTION('ref_axis',(-0.0860524343069032,0.,-0.996290609485935)); #192115=DIRECTION('center_axis',(0.,-1.,0.)); #192116=DIRECTION('ref_axis',(-0.0860524343069032,0.,-0.996290609485935)); #192117=DIRECTION('',(0.,-1.,0.)); #192118=DIRECTION('center_axis',(0.,-1.,0.)); #192119=DIRECTION('ref_axis',(-0.0860524343069032,0.,-0.996290609485935)); #192120=DIRECTION('center_axis',(-0.183618974401216,0.,-0.98299749350639)); #192121=DIRECTION('ref_axis',(0.98299749350639,0.,-0.183618974401216)); #192122=DIRECTION('',(0.98299749350639,0.,-0.183618974401216)); #192123=DIRECTION('',(0.,-1.,0.)); #192124=DIRECTION('',(0.98299749350639,0.,-0.183618974401216)); #192125=DIRECTION('center_axis',(-0.267534784160551,0.,-0.963548202875273)); #192126=DIRECTION('ref_axis',(0.963548202875272,0.,-0.267534784160551)); #192127=DIRECTION('',(0.963548202875272,0.,-0.267534784160551)); #192128=DIRECTION('',(0.,-1.,0.)); #192129=DIRECTION('',(0.963548202875272,0.,-0.267534784160551)); #192130=DIRECTION('center_axis',(-0.363271839595844,0.,-0.931683192161719)); #192131=DIRECTION('ref_axis',(0.931683192161719,0.,-0.363271839595844)); #192132=DIRECTION('',(0.931683192161719,0.,-0.363271839595844)); #192133=DIRECTION('',(0.,-1.,0.)); #192134=DIRECTION('',(0.931683192161719,0.,-0.363271839595844)); #192135=DIRECTION('center_axis',(-0.468855714310931,0.,-0.883274769909108)); #192136=DIRECTION('ref_axis',(0.883274769909108,0.,-0.468855714310931)); #192137=DIRECTION('',(0.883274769909108,0.,-0.468855714310931)); #192138=DIRECTION('',(0.,-1.,0.)); #192139=DIRECTION('',(0.883274769909107,0.,-0.468855714310931)); #192140=DIRECTION('center_axis',(-0.580602673533347,0.,-0.814187039620461)); #192141=DIRECTION('ref_axis',(0.814187039620461,0.,-0.580602673533347)); #192142=DIRECTION('',(0.814187039620461,0.,-0.580602673533347)); #192143=DIRECTION('',(0.,-1.,0.)); #192144=DIRECTION('',(0.814187039620461,0.,-0.580602673533347)); #192145=DIRECTION('center_axis',(-0.670793531252971,0.,-0.741644145415556)); #192146=DIRECTION('ref_axis',(0.741644145415556,0.,-0.670793531252971)); #192147=DIRECTION('',(0.741644145415556,0.,-0.670793531252971)); #192148=DIRECTION('',(0.,-1.,0.)); #192149=DIRECTION('',(0.741644145415556,0.,-0.670793531252971)); #192150=DIRECTION('center_axis',(-0.741236652633233,0.,-0.671243789388833)); #192151=DIRECTION('ref_axis',(0.671243789388833,0.,-0.741236652633233)); #192152=DIRECTION('',(0.671243789388833,0.,-0.741236652633233)); #192153=DIRECTION('',(0.,-1.,0.)); #192154=DIRECTION('',(0.671243789388833,0.,-0.741236652633233)); #192155=DIRECTION('center_axis',(-0.814121360304838,0.,-0.580694765514035)); #192156=DIRECTION('ref_axis',(0.580694765514035,0.,-0.814121360304838)); #192157=DIRECTION('',(0.580694765514035,0.,-0.814121360304838)); #192158=DIRECTION('',(0.,-1.,0.)); #192159=DIRECTION('',(0.580694765514035,0.,-0.814121360304838)); #192160=DIRECTION('center_axis',(-0.875968936465356,0.,-0.482367517923578)); #192161=DIRECTION('ref_axis',(0.482367517923578,0.,-0.875968936465356)); #192162=DIRECTION('',(0.482367517923578,0.,-0.875968936465356)); #192163=DIRECTION('',(0.,-1.,0.)); #192164=DIRECTION('',(0.482367517923578,0.,-0.875968936465356)); #192165=DIRECTION('center_axis',(-0.925547692445401,0.,-0.378631046018406)); #192166=DIRECTION('ref_axis',(0.378631046018406,0.,-0.925547692445401)); #192167=DIRECTION('',(0.378631046018406,0.,-0.925547692445401)); #192168=DIRECTION('',(0.,-1.,0.)); #192169=DIRECTION('',(0.378631046018406,0.,-0.925547692445401)); #192170=DIRECTION('center_axis',(-0.96184921329117,0.,-0.273580136141421)); #192171=DIRECTION('ref_axis',(0.273580136141421,0.,-0.96184921329117)); #192172=DIRECTION('',(0.273580136141421,0.,-0.96184921329117)); #192173=DIRECTION('',(0.,-1.,0.)); #192174=DIRECTION('',(0.273580136141421,0.,-0.96184921329117)); #192175=DIRECTION('center_axis',(-0.985177448338646,0.,-0.171538320164778)); #192176=DIRECTION('ref_axis',(0.171538320164778,0.,-0.985177448338646)); #192177=DIRECTION('',(0.171538320164778,0.,-0.985177448338646)); #192178=DIRECTION('',(0.,-1.,0.)); #192179=DIRECTION('',(0.171538320164778,0.,-0.985177448338646)); #192180=DIRECTION('center_axis',(-0.997184829876526,0.,-0.0749827651138875)); #192181=DIRECTION('ref_axis',(0.0749827651138875,0.,-0.997184829876526)); #192182=DIRECTION('',(0.0749827651138875,0.,-0.997184829876526)); #192183=DIRECTION('',(0.,-1.,0.)); #192184=DIRECTION('',(0.0749827651138875,0.,-0.997184829876526)); #192185=DIRECTION('center_axis',(-0.999891806076543,0.,0.0147097294668545)); #192186=DIRECTION('ref_axis',(-0.0147097294668545,0.,-0.999891806076543)); #192187=DIRECTION('',(-0.0147097294668545,0.,-0.999891806076543)); #192188=DIRECTION('',(0.,-1.,0.)); #192189=DIRECTION('',(-0.0147097294668545,0.,-0.999891806076543)); #192190=DIRECTION('center_axis',(-0.994255165916296,0.,0.10703581199187)); #192191=DIRECTION('ref_axis',(-0.10703581199187,0.,-0.994255165916296)); #192192=DIRECTION('',(-0.10703581199187,0.,-0.994255165916296)); #192193=DIRECTION('',(0.,-1.,0.)); #192194=DIRECTION('',(-0.10703581199187,0.,-0.994255165916296)); #192195=DIRECTION('center_axis',(-0.978601281348543,0.,0.205765721496538)); #192196=DIRECTION('ref_axis',(-0.205765721496538,0.,-0.978601281348543)); #192197=DIRECTION('',(-0.205765721496538,0.,-0.978601281348543)); #192198=DIRECTION('',(0.,-1.,0.)); #192199=DIRECTION('',(-0.205765721496538,0.,-0.978601281348543)); #192200=DIRECTION('center_axis',(0.,-1.,0.)); #192201=DIRECTION('ref_axis',(-0.910721149283475,0.,0.413021776965558)); #192202=DIRECTION('center_axis',(0.,-1.,0.)); #192203=DIRECTION('ref_axis',(-0.910721149283475,0.,0.413021776965558)); #192204=DIRECTION('',(0.,-1.,0.)); #192205=DIRECTION('center_axis',(0.,-1.,0.)); #192206=DIRECTION('ref_axis',(-0.910721149283475,0.,0.413021776965558)); #192207=DIRECTION('center_axis',(-0.857297055259949,0.,0.514822065419325)); #192208=DIRECTION('ref_axis',(-0.514822065419325,0.,-0.857297055259949)); #192209=DIRECTION('',(-0.514822065419325,0.,-0.857297055259949)); #192210=DIRECTION('',(0.,-1.,0.)); #192211=DIRECTION('',(-0.514822065419325,0.,-0.857297055259949)); #192212=DIRECTION('center_axis',(-0.792484173857495,0.,0.609892477560926)); #192213=DIRECTION('ref_axis',(-0.609892477560926,0.,-0.792484173857495)); #192214=DIRECTION('',(-0.609892477560926,0.,-0.792484173857495)); #192215=DIRECTION('',(0.,-1.,0.)); #192216=DIRECTION('',(-0.609892477560926,0.,-0.792484173857495)); #192217=DIRECTION('center_axis',(-0.719094670258511,0.,0.694912120491363)); #192218=DIRECTION('ref_axis',(-0.694912120491363,0.,-0.719094670258511)); #192219=DIRECTION('',(-0.694912120491363,0.,-0.719094670258511)); #192220=DIRECTION('',(0.,-1.,0.)); #192221=DIRECTION('',(-0.694912120491363,0.,-0.719094670258511)); #192222=DIRECTION('center_axis',(-0.645082096511643,0.,0.76411326958779)); #192223=DIRECTION('ref_axis',(-0.76411326958779,0.,-0.645082096511643)); #192224=DIRECTION('',(-0.76411326958779,0.,-0.645082096511643)); #192225=DIRECTION('',(0.,-1.,0.)); #192226=DIRECTION('',(-0.76411326958779,0.,-0.645082096511643)); #192227=DIRECTION('center_axis',(-0.539082378842273,0.,0.84225304322499)); #192228=DIRECTION('ref_axis',(-0.84225304322499,0.,-0.539082378842273)); #192229=DIRECTION('',(-0.84225304322499,0.,-0.539082378842273)); #192230=DIRECTION('',(0.,-1.,0.)); #192231=DIRECTION('',(-0.84225304322499,0.,-0.539082378842273)); #192232=DIRECTION('center_axis',(-0.429779318489089,0.,0.902933960707567)); #192233=DIRECTION('ref_axis',(-0.902933960707567,0.,-0.429779318489089)); #192234=DIRECTION('',(-0.902933960707567,0.,-0.429779318489089)); #192235=DIRECTION('',(0.,-1.,0.)); #192236=DIRECTION('',(-0.902933960707567,0.,-0.429779318489089)); #192237=DIRECTION('center_axis',(-0.327435956844331,0.,0.944873374672732)); #192238=DIRECTION('ref_axis',(-0.944873374672732,0.,-0.327435956844331)); #192239=DIRECTION('',(-0.944873374672732,0.,-0.327435956844331)); #192240=DIRECTION('',(0.,-1.,0.)); #192241=DIRECTION('',(-0.944873374672732,0.,-0.327435956844331)); #192242=DIRECTION('center_axis',(0.,-1.,0.)); #192243=DIRECTION('ref_axis',(-0.00200428810675269,0.,0.999997991412575)); #192244=DIRECTION('center_axis',(0.,-1.,0.)); #192245=DIRECTION('ref_axis',(-0.00200428810675269,0.,0.999997991412575)); #192246=DIRECTION('',(0.,-1.,0.)); #192247=DIRECTION('center_axis',(0.,-1.,0.)); #192248=DIRECTION('ref_axis',(-0.00200428810675269,0.,0.999997991412575)); #192249=DIRECTION('center_axis',(-1.91657163635949E-5,0.,0.999999999816338)); #192250=DIRECTION('ref_axis',(-0.999999999816338,0.,-1.91657163635949E-5)); #192251=DIRECTION('',(-0.999999999816338,0.,-1.91657163635949E-5)); #192252=DIRECTION('',(0.,-1.,0.)); #192253=DIRECTION('',(-0.999999999816338,0.,-1.91657163635949E-5)); #192254=DIRECTION('center_axis',(0.,-1.,0.)); #192255=DIRECTION('ref_axis',(0.999994425529282,0.,0.00333899840692877)); #192256=DIRECTION('center_axis',(0.,1.,0.)); #192257=DIRECTION('ref_axis',(0.999994425529282,0.,0.00333899840692877)); #192258=DIRECTION('',(0.,-1.,0.)); #192259=DIRECTION('center_axis',(0.,1.,0.)); #192260=DIRECTION('ref_axis',(0.999994425529282,0.,0.00333899840692877)); #192261=DIRECTION('center_axis',(0.,-1.,0.)); #192262=DIRECTION('ref_axis',(-0.121352088164592,0.,-0.992609525794556)); #192263=DIRECTION('center_axis',(0.,-1.,0.)); #192264=DIRECTION('ref_axis',(-0.121352088164592,0.,-0.992609525794556)); #192265=DIRECTION('',(0.,-1.,0.)); #192266=DIRECTION('center_axis',(0.,-1.,0.)); #192267=DIRECTION('ref_axis',(-0.121352088164592,0.,-0.992609525794556)); #192268=DIRECTION('center_axis',(-0.227900215629887,0.,-0.973684492900986)); #192269=DIRECTION('ref_axis',(0.973684492900986,0.,-0.227900215629887)); #192270=DIRECTION('',(0.973684492900986,0.,-0.227900215629887)); #192271=DIRECTION('',(0.,-1.,0.)); #192272=DIRECTION('',(0.973684492900986,0.,-0.227900215629887)); #192273=DIRECTION('center_axis',(-0.31656847669309,0.,-0.948569659837493)); #192274=DIRECTION('ref_axis',(0.948569659837493,0.,-0.31656847669309)); #192275=DIRECTION('',(0.948569659837493,0.,-0.31656847669309)); #192276=DIRECTION('',(0.,-1.,0.)); #192277=DIRECTION('',(0.948569659837493,0.,-0.31656847669309)); #192278=DIRECTION('center_axis',(-0.416120648319032,0.,-0.909309411610013)); #192279=DIRECTION('ref_axis',(0.909309411610013,0.,-0.416120648319032)); #192280=DIRECTION('',(0.909309411610013,0.,-0.416120648319032)); #192281=DIRECTION('',(0.,-1.,0.)); #192282=DIRECTION('',(0.909309411610013,0.,-0.416120648319032)); #192283=DIRECTION('center_axis',(-0.524683041120792,0.,-0.851297660257702)); #192284=DIRECTION('ref_axis',(0.851297660257702,0.,-0.524683041120792)); #192285=DIRECTION('',(0.851297660257702,0.,-0.524683041120792)); #192286=DIRECTION('',(0.,-1.,0.)); #192287=DIRECTION('',(0.851297660257702,0.,-0.524683041120792)); #192288=DIRECTION('center_axis',(-0.632573671986597,0.,-0.774500193356589)); #192289=DIRECTION('ref_axis',(0.774500193356588,0.,-0.632573671986597)); #192290=DIRECTION('',(0.774500193356588,0.,-0.632573671986597)); #192291=DIRECTION('',(0.,-1.,0.)); #192292=DIRECTION('',(0.774500193356588,0.,-0.632573671986597)); #192293=DIRECTION('center_axis',(-0.707662847535833,0.,-0.706550277204303)); #192294=DIRECTION('ref_axis',(0.706550277204303,0.,-0.707662847535833)); #192295=DIRECTION('',(0.706550277204303,0.,-0.707662847535833)); #192296=DIRECTION('',(0.,-1.,0.)); #192297=DIRECTION('',(0.706550277204303,0.,-0.707662847535833)); #192298=DIRECTION('center_axis',(-0.782053906279327,0.,-0.623210789118133)); #192299=DIRECTION('ref_axis',(0.623210789118133,0.,-0.782053906279327)); #192300=DIRECTION('',(0.623210789118133,0.,-0.782053906279327)); #192301=DIRECTION('',(0.,-1.,0.)); #192302=DIRECTION('',(0.623210789118133,0.,-0.782053906279327)); #192303=DIRECTION('center_axis',(-0.848297371198334,0.,-0.529520131834472)); #192304=DIRECTION('ref_axis',(0.529520131834472,0.,-0.848297371198334)); #192305=DIRECTION('',(0.529520131834472,0.,-0.848297371198334)); #192306=DIRECTION('',(0.,-1.,0.)); #192307=DIRECTION('',(0.529520131834472,0.,-0.848297371198334)); #192308=DIRECTION('center_axis',(-0.903545110804892,0.,-0.428492978636261)); #192309=DIRECTION('ref_axis',(0.428492978636261,0.,-0.903545110804892)); #192310=DIRECTION('',(0.428492978636261,0.,-0.903545110804892)); #192311=DIRECTION('',(0.,-1.,0.)); #192312=DIRECTION('',(0.428492978636261,0.,-0.903545110804892)); #192313=DIRECTION('center_axis',(-0.945916667759203,0.,-0.324409706475202)); #192314=DIRECTION('ref_axis',(0.324409706475202,0.,-0.945916667759202)); #192315=DIRECTION('',(0.324409706475202,0.,-0.945916667759202)); #192316=DIRECTION('',(0.,-1.,0.)); #192317=DIRECTION('',(0.324409706475202,0.,-0.945916667759202)); #192318=DIRECTION('center_axis',(-0.975306414280145,0.,-0.220856057793321)); #192319=DIRECTION('ref_axis',(0.220856057793321,0.,-0.975306414280145)); #192320=DIRECTION('',(0.220856057793321,0.,-0.975306414280145)); #192321=DIRECTION('',(0.,-1.,0.)); #192322=DIRECTION('',(0.220856057793321,0.,-0.975306414280145)); #192323=DIRECTION('center_axis',(-0.992601946346114,0.,-0.121414068830203)); #192324=DIRECTION('ref_axis',(0.121414068830203,0.,-0.992601946346115)); #192325=DIRECTION('',(0.121414068830203,0.,-0.992601946346115)); #192326=DIRECTION('',(0.,-1.,0.)); #192327=DIRECTION('',(0.121414068830203,0.,-0.992601946346115)); #192328=DIRECTION('center_axis',(-0.99960746769708,0.,-0.0280162546431829)); #192329=DIRECTION('ref_axis',(0.0280162546431829,0.,-0.99960746769708)); #192330=DIRECTION('',(0.0280162546431829,0.,-0.99960746769708)); #192331=DIRECTION('',(0.,-1.,0.)); #192332=DIRECTION('',(0.0280162546431829,0.,-0.99960746769708)); #192333=DIRECTION('center_axis',(-0.997922581272137,0.,0.0644245433600909)); #192334=DIRECTION('ref_axis',(-0.0644245433600909,0.,-0.997922581272137)); #192335=DIRECTION('',(-0.0644245433600909,0.,-0.997922581272137)); #192336=DIRECTION('',(0.,-1.,0.)); #192337=DIRECTION('',(-0.0644245433600909,0.,-0.997922581272136)); #192338=DIRECTION('center_axis',(-0.986463724659554,0.,0.163979632670647)); #192339=DIRECTION('ref_axis',(-0.163979632670647,0.,-0.986463724659554)); #192340=DIRECTION('',(-0.163979632670647,0.,-0.986463724659554)); #192341=DIRECTION('',(0.,-1.,0.)); #192342=DIRECTION('',(-0.163979632670647,0.,-0.986463724659554)); #192343=DIRECTION('center_axis',(-0.963744445878851,0.,0.26682699083426)); #192344=DIRECTION('ref_axis',(-0.26682699083426,0.,-0.963744445878851)); #192345=DIRECTION('',(-0.26682699083426,0.,-0.963744445878851)); #192346=DIRECTION('',(0.,-1.,0.)); #192347=DIRECTION('',(-0.26682699083426,0.,-0.963744445878851)); #192348=DIRECTION('center_axis',(-0.929150000811243,0.,0.369702956429168)); #192349=DIRECTION('ref_axis',(-0.369702956429168,0.,-0.929150000811243)); #192350=DIRECTION('',(-0.369702956429168,0.,-0.929150000811243)); #192351=DIRECTION('',(0.,-1.,0.)); #192352=DIRECTION('',(-0.369702956429168,0.,-0.929150000811243)); #192353=DIRECTION('center_axis',(-0.883650145845094,0.,0.468147860988326)); #192354=DIRECTION('ref_axis',(-0.468147860988326,0.,-0.883650145845094)); #192355=DIRECTION('',(-0.468147860988326,0.,-0.883650145845094)); #192356=DIRECTION('',(0.,-1.,0.)); #192357=DIRECTION('',(-0.468147860988326,0.,-0.883650145845094)); #192358=DIRECTION('center_axis',(-0.829362579728195,0.,0.558710758216265)); #192359=DIRECTION('ref_axis',(-0.558710758216265,0.,-0.829362579728195)); #192360=DIRECTION('',(-0.558710758216265,0.,-0.829362579728195)); #192361=DIRECTION('',(0.,-1.,0.)); #192362=DIRECTION('',(-0.558710758216265,0.,-0.829362579728195)); #192363=DIRECTION('center_axis',(-0.768751367644945,0.,0.639547757985302)); #192364=DIRECTION('ref_axis',(-0.639547757985302,0.,-0.768751367644945)); #192365=DIRECTION('',(-0.639547757985302,0.,-0.768751367644945)); #192366=DIRECTION('',(0.,-1.,0.)); #192367=DIRECTION('',(-0.639547757985302,0.,-0.768751367644945)); #192368=DIRECTION('center_axis',(-0.701668412767992,0.,0.712503641059922)); #192369=DIRECTION('ref_axis',(-0.712503641059922,0.,-0.701668412767992)); #192370=DIRECTION('',(-0.712503641059922,0.,-0.701668412767992)); #192371=DIRECTION('',(0.,-1.,0.)); #192372=DIRECTION('',(-0.712503641059922,0.,-0.701668412767992)); #192373=DIRECTION('center_axis',(-0.596757330425584,0.,0.802421764774193)); #192374=DIRECTION('ref_axis',(-0.802421764774193,0.,-0.596757330425584)); #192375=DIRECTION('',(-0.802421764774193,0.,-0.596757330425584)); #192376=DIRECTION('',(0.,-1.,0.)); #192377=DIRECTION('',(-0.802421764774193,0.,-0.596757330425584)); #192378=DIRECTION('center_axis',(-0.489515465409989,0.,0.871994615307023)); #192379=DIRECTION('ref_axis',(-0.871994615307022,0.,-0.489515465409989)); #192380=DIRECTION('',(-0.871994615307022,0.,-0.489515465409989)); #192381=DIRECTION('',(0.,-1.,0.)); #192382=DIRECTION('',(-0.871994615307022,0.,-0.489515465409989)); #192383=DIRECTION('center_axis',(-0.386786393487423,0.,0.922169336842747)); #192384=DIRECTION('ref_axis',(-0.922169336842747,0.,-0.386786393487423)); #192385=DIRECTION('',(-0.922169336842747,0.,-0.386786393487423)); #192386=DIRECTION('',(0.,-1.,0.)); #192387=DIRECTION('',(-0.922169336842747,0.,-0.386786393487423)); #192388=DIRECTION('center_axis',(0.,-1.,0.)); #192389=DIRECTION('ref_axis',(-0.186233374731346,0.,0.982505536949372)); #192390=DIRECTION('center_axis',(0.,-1.,0.)); #192391=DIRECTION('ref_axis',(-0.186233374731346,0.,0.982505536949372)); #192392=DIRECTION('',(0.,-1.,0.)); #192393=DIRECTION('center_axis',(0.,-1.,0.)); #192394=DIRECTION('ref_axis',(-0.186233374731346,0.,0.982505536949372)); #192395=DIRECTION('center_axis',(-0.130692417348032,0.,0.991422963244108)); #192396=DIRECTION('ref_axis',(-0.991422963244108,0.,-0.130692417348032)); #192397=DIRECTION('',(-0.991422963244108,0.,-0.130692417348032)); #192398=DIRECTION('',(0.,-1.,0.)); #192399=DIRECTION('',(-0.991422963244108,0.,-0.130692417348032)); #192400=DIRECTION('center_axis',(-0.066088961648639,0.,0.997813734696113)); #192401=DIRECTION('ref_axis',(-0.997813734696113,0.,-0.066088961648639)); #192402=DIRECTION('',(-0.997813734696113,0.,-0.066088961648639)); #192403=DIRECTION('',(0.,-1.,0.)); #192404=DIRECTION('',(-0.997813734696113,0.,-0.066088961648639)); #192405=DIRECTION('center_axis',(-9.11209954355564E-5,0.,0.999999995848482)); #192406=DIRECTION('ref_axis',(-0.999999995848482,0.,-9.11209954355564E-5)); #192407=DIRECTION('',(-0.999999995848482,0.,-9.11209954355564E-5)); #192408=DIRECTION('',(0.,-1.,0.)); #192409=DIRECTION('',(-0.999999995848482,0.,-9.11209954355564E-5)); #192410=DIRECTION('center_axis',(0.790635446202792,0.,0.612287180339187)); #192411=DIRECTION('ref_axis',(-0.612287180339186,0.,0.790635446202792)); #192412=DIRECTION('',(-0.612287180339186,0.,0.790635446202792)); #192413=DIRECTION('',(0.,-1.,0.)); #192414=DIRECTION('',(-0.612287180339187,0.,0.790635446202792)); #192415=DIRECTION('center_axis',(0.,-1.,0.)); #192416=DIRECTION('ref_axis',(0.9985086736235,0.,-0.0545933026903417)); #192417=DIRECTION('center_axis',(0.,-1.,0.)); #192418=DIRECTION('ref_axis',(0.9985086736235,0.,-0.0545933026903417)); #192419=DIRECTION('',(0.,-1.,0.)); #192420=DIRECTION('center_axis',(0.,-1.,0.)); #192421=DIRECTION('ref_axis',(0.9985086736235,0.,-0.0545933026903417)); #192422=DIRECTION('center_axis',(0.993142641788272,0.,-0.116908909249094)); #192423=DIRECTION('ref_axis',(0.116908909249094,0.,0.993142641788271)); #192424=DIRECTION('',(0.116908909249094,0.,0.993142641788271)); #192425=DIRECTION('',(0.,-1.,0.)); #192426=DIRECTION('',(0.116908909249094,0.,0.993142641788271)); #192427=DIRECTION('center_axis',(0.981876451542884,0.,-0.189522119831842)); #192428=DIRECTION('ref_axis',(0.189522119831842,0.,0.981876451542884)); #192429=DIRECTION('',(0.189522119831842,0.,0.981876451542884)); #192430=DIRECTION('',(0.,-1.,0.)); #192431=DIRECTION('',(0.189522119831842,0.,0.981876451542884)); #192432=DIRECTION('center_axis',(0.962155486645111,0.,-0.272501044986456)); #192433=DIRECTION('ref_axis',(0.272501044986456,0.,0.962155486645111)); #192434=DIRECTION('',(0.272501044986456,0.,0.962155486645111)); #192435=DIRECTION('',(0.,-1.,0.)); #192436=DIRECTION('',(0.272501044986456,0.,0.96215548664511)); #192437=DIRECTION('center_axis',(0.930099131010126,0.,-0.36730859844851)); #192438=DIRECTION('ref_axis',(0.36730859844851,0.,0.930099131010126)); #192439=DIRECTION('',(0.36730859844851,0.,0.930099131010126)); #192440=DIRECTION('',(0.,-1.,0.)); #192441=DIRECTION('',(0.36730859844851,0.,0.930099131010126)); #192442=DIRECTION('center_axis',(0.881827568013033,0.,-0.471571988451626)); #192443=DIRECTION('ref_axis',(0.471571988451626,0.,0.881827568013033)); #192444=DIRECTION('',(0.471571988451626,0.,0.881827568013033)); #192445=DIRECTION('',(0.,-1.,0.)); #192446=DIRECTION('',(0.471571988451626,0.,0.881827568013033)); #192447=DIRECTION('center_axis',(0.812282053795422,0.,-0.583264832714858)); #192448=DIRECTION('ref_axis',(0.583264832714858,0.,0.812282053795422)); #192449=DIRECTION('',(0.583264832714858,0.,0.812282053795422)); #192450=DIRECTION('',(0.,-1.,0.)); #192451=DIRECTION('',(0.583264832714858,0.,0.812282053795422)); #192452=DIRECTION('center_axis',(0.721859724452831,0.,-0.692039405101244)); #192453=DIRECTION('ref_axis',(0.692039405101244,0.,0.721859724452831)); #192454=DIRECTION('',(0.692039405101244,0.,0.721859724452831)); #192455=DIRECTION('',(0.,-1.,0.)); #192456=DIRECTION('',(0.692039405101244,0.,0.721859724452831)); #192457=DIRECTION('center_axis',(0.644841995922468,0.,-0.764315903468407)); #192458=DIRECTION('ref_axis',(0.764315903468407,0.,0.644841995922468)); #192459=DIRECTION('',(0.764315903468407,0.,0.644841995922468)); #192460=DIRECTION('',(0.,-1.,0.)); #192461=DIRECTION('',(0.764315903468407,0.,0.644841995922468)); #192462=DIRECTION('center_axis',(0.562732270291707,0.,-0.82663921511887)); #192463=DIRECTION('ref_axis',(0.82663921511887,0.,0.562732270291707)); #192464=DIRECTION('',(0.82663921511887,0.,0.562732270291707)); #192465=DIRECTION('',(0.,-1.,0.)); #192466=DIRECTION('',(0.82663921511887,0.,0.562732270291707)); #192467=DIRECTION('center_axis',(0.47186682503312,0.,-0.881669835841718)); #192468=DIRECTION('ref_axis',(0.881669835841718,0.,0.47186682503312)); #192469=DIRECTION('',(0.881669835841718,0.,0.47186682503312)); #192470=DIRECTION('',(0.,-1.,0.)); #192471=DIRECTION('',(0.881669835841718,0.,0.47186682503312)); #192472=DIRECTION('center_axis',(0.374593885543539,0.,-0.927188988779199)); #192473=DIRECTION('ref_axis',(0.927188988779199,0.,0.374593885543539)); #192474=DIRECTION('',(0.927188988779199,0.,0.374593885543539)); #192475=DIRECTION('',(0.,-1.,0.)); #192476=DIRECTION('',(0.927188988779199,0.,0.374593885543539)); #192477=DIRECTION('center_axis',(0.274134849943752,0.,-0.961691262332313)); #192478=DIRECTION('ref_axis',(0.961691262332313,0.,0.274134849943752)); #192479=DIRECTION('',(0.961691262332313,0.,0.274134849943752)); #192480=DIRECTION('',(0.,-1.,0.)); #192481=DIRECTION('',(0.961691262332313,0.,0.274134849943752)); #192482=DIRECTION('center_axis',(0.175437456535764,0.,-0.984490578342049)); #192483=DIRECTION('ref_axis',(0.984490578342049,0.,0.175437456535764)); #192484=DIRECTION('',(0.984490578342049,0.,0.175437456535764)); #192485=DIRECTION('',(0.,-1.,0.)); #192486=DIRECTION('',(0.984490578342049,0.,0.175437456535764)); #192487=DIRECTION('center_axis',(0.0783880647827759,0.,-0.996922921443585)); #192488=DIRECTION('ref_axis',(0.996922921443585,0.,0.0783880647827759)); #192489=DIRECTION('',(0.996922921443585,0.,0.0783880647827759)); #192490=DIRECTION('',(0.,-1.,0.)); #192491=DIRECTION('',(0.996922921443585,0.,0.0783880647827759)); #192492=DIRECTION('center_axis',(-0.0121409009045324,0.,-0.999926296546514)); #192493=DIRECTION('ref_axis',(0.999926296546514,0.,-0.0121409009045324)); #192494=DIRECTION('',(0.999926296546514,0.,-0.0121409009045324)); #192495=DIRECTION('',(0.,-1.,0.)); #192496=DIRECTION('',(0.999926296546514,0.,-0.0121409009045324)); #192497=DIRECTION('center_axis',(-0.107738958486867,0.,-0.994179217658549)); #192498=DIRECTION('ref_axis',(0.994179217658549,0.,-0.107738958486867)); #192499=DIRECTION('',(0.994179217658549,0.,-0.107738958486867)); #192500=DIRECTION('',(0.,-1.,0.)); #192501=DIRECTION('',(0.994179217658549,0.,-0.107738958486867)); #192502=DIRECTION('center_axis',(-0.20672032708841,0.,-0.978400074799906)); #192503=DIRECTION('ref_axis',(0.978400074799906,0.,-0.20672032708841)); #192504=DIRECTION('',(0.978400074799906,0.,-0.20672032708841)); #192505=DIRECTION('',(0.,-1.,0.)); #192506=DIRECTION('',(0.978400074799906,0.,-0.20672032708841)); #192507=DIRECTION('center_axis',(-0.309091247882224,0.,-0.951032386663362)); #192508=DIRECTION('ref_axis',(0.951032386663362,0.,-0.309091247882224)); #192509=DIRECTION('',(0.951032386663362,0.,-0.309091247882224)); #192510=DIRECTION('',(0.,-1.,0.)); #192511=DIRECTION('',(0.951032386663362,0.,-0.309091247882224)); #192512=DIRECTION('center_axis',(0.,-1.,0.)); #192513=DIRECTION('ref_axis',(-0.507598931780562,0.,-0.861593479812395)); #192514=DIRECTION('center_axis',(0.,-1.,0.)); #192515=DIRECTION('ref_axis',(-0.507598931780562,0.,-0.861593479812395)); #192516=DIRECTION('',(0.,-1.,0.)); #192517=DIRECTION('center_axis',(0.,-1.,0.)); #192518=DIRECTION('ref_axis',(-0.507598931780562,0.,-0.861593479812395)); #192519=DIRECTION('center_axis',(-0.598100280905006,0.,-0.801421271230901)); #192520=DIRECTION('ref_axis',(0.801421271230901,0.,-0.598100280905006)); #192521=DIRECTION('',(0.801421271230901,0.,-0.598100280905006)); #192522=DIRECTION('',(0.,-1.,0.)); #192523=DIRECTION('',(0.801421271230901,0.,-0.598100280905006)); #192524=DIRECTION('center_axis',(-0.678911295516762,0.,-0.734220302647477)); #192525=DIRECTION('ref_axis',(0.734220302647477,0.,-0.678911295516762)); #192526=DIRECTION('',(0.734220302647477,0.,-0.678911295516762)); #192527=DIRECTION('',(0.,-1.,0.)); #192528=DIRECTION('',(0.734220302647477,0.,-0.678911295516762)); #192529=DIRECTION('center_axis',(-0.758444794865658,0.,-0.651737288438517)); #192530=DIRECTION('ref_axis',(0.651737288438517,0.,-0.758444794865657)); #192531=DIRECTION('',(0.651737288438517,0.,-0.758444794865657)); #192532=DIRECTION('',(0.,-1.,0.)); #192533=DIRECTION('',(0.651737288438517,0.,-0.758444794865657)); #192534=DIRECTION('center_axis',(-0.837344328038829,0.,-0.546675842068407)); #192535=DIRECTION('ref_axis',(0.546675842068407,0.,-0.837344328038829)); #192536=DIRECTION('',(0.546675842068407,0.,-0.837344328038829)); #192537=DIRECTION('',(0.,-1.,0.)); #192538=DIRECTION('',(0.546675842068407,0.,-0.837344328038829)); #192539=DIRECTION('center_axis',(-0.897147320452455,0.,-0.441731463000973)); #192540=DIRECTION('ref_axis',(0.441731463000973,0.,-0.897147320452455)); #192541=DIRECTION('',(0.441731463000973,0.,-0.897147320452455)); #192542=DIRECTION('',(0.,-1.,0.)); #192543=DIRECTION('',(0.441731463000973,0.,-0.897147320452455)); #192544=DIRECTION('center_axis',(-0.939645618802047,0.,-0.342149252616629)); #192545=DIRECTION('ref_axis',(0.342149252616629,0.,-0.939645618802047)); #192546=DIRECTION('',(0.342149252616629,0.,-0.939645618802047)); #192547=DIRECTION('',(0.,-1.,0.)); #192548=DIRECTION('',(0.342149252616629,0.,-0.939645618802047)); #192549=DIRECTION('center_axis',(0.,-1.,0.)); #192550=DIRECTION('ref_axis',(-0.988687429941432,0.,-0.149990552621846)); #192551=DIRECTION('center_axis',(0.,-1.,0.)); #192552=DIRECTION('ref_axis',(-0.988687429941432,0.,-0.149990552621846)); #192553=DIRECTION('',(0.,-1.,0.)); #192554=DIRECTION('center_axis',(0.,-1.,0.)); #192555=DIRECTION('ref_axis',(-0.988687429941432,0.,-0.149990552621846)); #192556=DIRECTION('center_axis',(0.,-1.,0.)); #192557=DIRECTION('ref_axis',(-0.999931099645491,0.,-0.0117386524677542)); #192558=DIRECTION('center_axis',(0.,-1.,0.)); #192559=DIRECTION('ref_axis',(-0.999931099645491,0.,-0.0117386524677542)); #192560=DIRECTION('',(0.,-1.,0.)); #192561=DIRECTION('center_axis',(0.,-1.,0.)); #192562=DIRECTION('ref_axis',(-0.999931099645491,0.,-0.0117386524677542)); #192563=DIRECTION('center_axis',(-0.999999999583193,0.,-2.88723860602075E-5)); #192564=DIRECTION('ref_axis',(2.88723860602075E-5,0.,-0.999999999583193)); #192565=DIRECTION('',(2.88723860602075E-5,0.,-0.999999999583193)); #192566=DIRECTION('',(0.,-1.,0.)); #192567=DIRECTION('',(2.88723860602075E-5,0.,-0.999999999583193)); #192568=DIRECTION('center_axis',(0.,-1.,0.)); #192569=DIRECTION('ref_axis',(-0.0038269160063337,0.,0.99999267733013)); #192570=DIRECTION('center_axis',(0.,1.,0.)); #192571=DIRECTION('ref_axis',(-0.0038269160063337,0.,0.99999267733013)); #192572=DIRECTION('',(0.,-1.,0.)); #192573=DIRECTION('center_axis',(0.,1.,0.)); #192574=DIRECTION('ref_axis',(-0.0038269160063337,0.,0.99999267733013)); #192575=DIRECTION('center_axis',(0.999999517087924,0.,-0.000982763408899556)); #192576=DIRECTION('ref_axis',(0.000982763408899556,0.,0.999999517087925)); #192577=DIRECTION('',(0.000982763408899556,0.,0.999999517087925)); #192578=DIRECTION('',(0.,-1.,0.)); #192579=DIRECTION('',(0.000982763408899556,0.,0.999999517087925)); #192580=DIRECTION('center_axis',(1.,0.,0.)); #192581=DIRECTION('ref_axis',(0.,0.,1.)); #192582=DIRECTION('',(0.,0.,1.)); #192583=DIRECTION('',(0.,-1.,0.)); #192584=DIRECTION('',(0.,0.,1.)); #192585=DIRECTION('center_axis',(0.,-1.,0.)); #192586=DIRECTION('ref_axis',(0.0541975512799334,0.,0.998530232609538)); #192587=DIRECTION('center_axis',(0.,-1.,0.)); #192588=DIRECTION('ref_axis',(0.0541975512799334,0.,0.998530232609538)); #192589=DIRECTION('',(0.,-1.,0.)); #192590=DIRECTION('center_axis',(0.,-1.,0.)); #192591=DIRECTION('ref_axis',(0.0541975512799334,0.,0.998530232609538)); #192592=DIRECTION('center_axis',(0.155222376656035,0.,0.987879554290528)); #192593=DIRECTION('ref_axis',(-0.987879554290528,0.,0.155222376656035)); #192594=DIRECTION('',(-0.987879554290528,0.,0.155222376656035)); #192595=DIRECTION('',(0.,-1.,0.)); #192596=DIRECTION('',(-0.987879554290528,0.,0.155222376656035)); #192597=DIRECTION('center_axis',(0.233748309201746,0.,0.972297139739352)); #192598=DIRECTION('ref_axis',(-0.972297139739352,0.,0.233748309201746)); #192599=DIRECTION('',(-0.972297139739352,0.,0.233748309201746)); #192600=DIRECTION('',(0.,-1.,0.)); #192601=DIRECTION('',(-0.972297139739352,0.,0.233748309201746)); #192602=DIRECTION('center_axis',(0.323083396642112,0.,0.946370497645714)); #192603=DIRECTION('ref_axis',(-0.946370497645714,0.,0.323083396642112)); #192604=DIRECTION('',(-0.946370497645714,0.,0.323083396642112)); #192605=DIRECTION('',(0.,-1.,0.)); #192606=DIRECTION('',(-0.946370497645714,0.,0.323083396642112)); #192607=DIRECTION('center_axis',(0.424920370072531,0.,0.905230732519297)); #192608=DIRECTION('ref_axis',(-0.905230732519297,0.,0.424920370072531)); #192609=DIRECTION('',(-0.905230732519297,0.,0.424920370072531)); #192610=DIRECTION('',(0.,-1.,0.)); #192611=DIRECTION('',(-0.905230732519297,0.,0.424920370072531)); #192612=DIRECTION('center_axis',(0.533898236806608,0.,0.84554874060269)); #192613=DIRECTION('ref_axis',(-0.84554874060269,0.,0.533898236806608)); #192614=DIRECTION('',(-0.84554874060269,0.,0.533898236806608)); #192615=DIRECTION('',(0.,-1.,0.)); #192616=DIRECTION('',(-0.84554874060269,0.,0.533898236806607)); #192617=DIRECTION('center_axis',(0.,-1.,0.)); #192618=DIRECTION('ref_axis',(0.720983563029959,0.,0.692952164179192)); #192619=DIRECTION('center_axis',(0.,-1.,0.)); #192620=DIRECTION('ref_axis',(0.720983563029959,0.,0.692952164179192)); #192621=DIRECTION('',(0.,-1.,0.)); #192622=DIRECTION('center_axis',(0.,-1.,0.)); #192623=DIRECTION('ref_axis',(0.720983563029959,0.,0.692952164179192)); #192624=DIRECTION('center_axis',(0.791249247355896,0.,0.611493768209234)); #192625=DIRECTION('ref_axis',(-0.611493768209234,0.,0.791249247355896)); #192626=DIRECTION('',(-0.611493768209234,0.,0.791249247355896)); #192627=DIRECTION('',(0.,-1.,0.)); #192628=DIRECTION('',(-0.611493768209234,0.,0.791249247355896)); #192629=DIRECTION('center_axis',(0.855135094366211,0.,0.518405218321818)); #192630=DIRECTION('ref_axis',(-0.518405218321818,0.,0.855135094366211)); #192631=DIRECTION('',(-0.518405218321818,0.,0.855135094366211)); #192632=DIRECTION('',(0.,-1.,0.)); #192633=DIRECTION('',(-0.518405218321818,0.,0.855135094366211)); #192634=DIRECTION('center_axis',(0.908176580983555,0.,0.418587264203081)); #192635=DIRECTION('ref_axis',(-0.418587264203081,0.,0.908176580983555)); #192636=DIRECTION('',(-0.418587264203081,0.,0.908176580983555)); #192637=DIRECTION('',(0.,-1.,0.)); #192638=DIRECTION('',(-0.418587264203081,0.,0.908176580983555)); #192639=DIRECTION('center_axis',(0.948956811483023,0.,0.315406039796282)); #192640=DIRECTION('ref_axis',(-0.315406039796282,0.,0.948956811483023)); #192641=DIRECTION('',(-0.315406039796282,0.,0.948956811483023)); #192642=DIRECTION('',(-0.315406039796282,0.,0.948956811483023)); #192643=DIRECTION('center_axis',(0.,1.,0.)); #192644=DIRECTION('ref_axis',(1.,0.,0.)); #192645=DIRECTION('center_axis',(0.,-1.,0.)); #192646=DIRECTION('ref_axis',(-1.,0.,0.)); #192647=DIRECTION('',(0.707106781186548,0.,-0.707106781186547)); #192648=DIRECTION('',(0.,0.,-1.)); #192649=DIRECTION('',(-0.70710678118655,0.,-0.707106781186545)); #192650=DIRECTION('',(-1.,0.,0.)); #192651=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #192652=DIRECTION('center_axis',(0.,-1.,0.)); #192653=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #192654=DIRECTION('',(1.,0.,0.)); #192655=DIRECTION('center_axis',(0.,-1.,0.)); #192656=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #192657=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #192658=DIRECTION('',(-1.,0.,0.)); #192659=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #192660=DIRECTION('center_axis',(0.,-1.,0.)); #192661=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #192662=DIRECTION('',(1.,0.,0.)); #192663=DIRECTION('center_axis',(0.,-1.,0.)); #192664=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #192665=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #192666=DIRECTION('',(-1.,0.,0.)); #192667=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #192668=DIRECTION('center_axis',(0.,-1.,0.)); #192669=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #192670=DIRECTION('',(1.,0.,0.)); #192671=DIRECTION('center_axis',(0.,-1.,0.)); #192672=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #192673=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #192674=DIRECTION('',(-1.,0.,0.)); #192675=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #192676=DIRECTION('center_axis',(0.,-1.,0.)); #192677=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #192678=DIRECTION('',(1.,0.,0.)); #192679=DIRECTION('',(0.,0.,-1.)); #192680=DIRECTION('',(-1.,0.,0.)); #192681=DIRECTION('center_axis',(0.,-1.,0.)); #192682=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #192683=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #192684=DIRECTION('',(1.,0.,0.)); #192685=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #192686=DIRECTION('center_axis',(0.,-1.,0.)); #192687=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #192688=DIRECTION('',(-1.,0.,0.)); #192689=DIRECTION('center_axis',(0.,-1.,0.)); #192690=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #192691=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #192692=DIRECTION('',(1.,0.,0.)); #192693=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #192694=DIRECTION('center_axis',(0.,-1.,0.)); #192695=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #192696=DIRECTION('',(-1.,0.,0.)); #192697=DIRECTION('center_axis',(0.,-1.,0.)); #192698=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #192699=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #192700=DIRECTION('',(1.,0.,0.)); #192701=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #192702=DIRECTION('center_axis',(0.,-1.,0.)); #192703=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #192704=DIRECTION('',(-1.,0.,0.)); #192705=DIRECTION('center_axis',(0.,-1.,0.)); #192706=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #192707=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #192708=DIRECTION('',(1.,0.,0.)); #192709=DIRECTION('center_axis',(0.,1.,0.)); #192710=DIRECTION('ref_axis',(-1.,0.,0.)); #192711=DIRECTION('center_axis',(0.,1.,0.)); #192712=DIRECTION('ref_axis',(-1.,0.,0.)); #192713=DIRECTION('center_axis',(0.,1.,0.)); #192714=DIRECTION('ref_axis',(-1.,0.,0.)); #192715=DIRECTION('center_axis',(0.,1.,0.)); #192716=DIRECTION('ref_axis',(-1.,0.,0.)); #192717=DIRECTION('center_axis',(0.,-1.,0.)); #192718=DIRECTION('ref_axis',(-1.,0.,0.)); #192719=DIRECTION('center_axis',(0.,-1.,0.)); #192720=DIRECTION('ref_axis',(-1.,0.,0.)); #192721=DIRECTION('center_axis',(0.,-1.,0.)); #192722=DIRECTION('ref_axis',(-1.,0.,0.)); #192723=DIRECTION('center_axis',(0.,-1.,0.)); #192724=DIRECTION('ref_axis',(-1.,0.,0.)); #192725=DIRECTION('center_axis',(0.,-1.,0.)); #192726=DIRECTION('ref_axis',(-1.,0.,0.)); #192727=DIRECTION('center_axis',(0.,-1.,0.)); #192728=DIRECTION('ref_axis',(-1.,0.,0.)); #192729=DIRECTION('center_axis',(0.,-1.,0.)); #192730=DIRECTION('ref_axis',(-1.,0.,0.)); #192731=DIRECTION('center_axis',(0.,-1.,0.)); #192732=DIRECTION('ref_axis',(-1.,0.,0.)); #192733=DIRECTION('center_axis',(0.,-1.,0.)); #192734=DIRECTION('ref_axis',(-1.,0.,0.)); #192735=DIRECTION('center_axis',(0.,-1.,0.)); #192736=DIRECTION('ref_axis',(-1.,0.,0.)); #192737=DIRECTION('center_axis',(0.,-1.,0.)); #192738=DIRECTION('ref_axis',(-1.,0.,0.)); #192739=DIRECTION('center_axis',(0.,-1.,0.)); #192740=DIRECTION('ref_axis',(-1.,0.,0.)); #192741=DIRECTION('center_axis',(0.,-1.,0.)); #192742=DIRECTION('ref_axis',(-1.,0.,0.)); #192743=DIRECTION('center_axis',(0.,-1.,0.)); #192744=DIRECTION('ref_axis',(-1.,0.,0.)); #192745=DIRECTION('center_axis',(0.,-1.,0.)); #192746=DIRECTION('ref_axis',(-1.,0.,0.)); #192747=DIRECTION('center_axis',(0.,-1.,0.)); #192748=DIRECTION('ref_axis',(-1.,0.,0.)); #192749=DIRECTION('center_axis',(0.,-1.,0.)); #192750=DIRECTION('ref_axis',(-1.,0.,0.)); #192751=DIRECTION('center_axis',(0.,-1.,0.)); #192752=DIRECTION('ref_axis',(-1.,0.,0.)); #192753=DIRECTION('center_axis',(0.,-1.,0.)); #192754=DIRECTION('ref_axis',(-1.,0.,0.)); #192755=DIRECTION('center_axis',(0.,-1.,0.)); #192756=DIRECTION('ref_axis',(-1.,0.,0.)); #192757=DIRECTION('center_axis',(0.,-1.,0.)); #192758=DIRECTION('ref_axis',(-1.,0.,0.)); #192759=DIRECTION('center_axis',(0.,1.,0.)); #192760=DIRECTION('ref_axis',(0.880830329272057,0.,0.473432076473997)); #192761=DIRECTION('center_axis',(0.,-1.,0.)); #192762=DIRECTION('ref_axis',(0.880830329272057,0.,0.473432076473997)); #192763=DIRECTION('',(0.,-1.,0.)); #192764=DIRECTION('center_axis',(0.,-1.,0.)); #192765=DIRECTION('ref_axis',(0.880830329272057,0.,0.473432076473997)); #192766=DIRECTION('',(0.,1.,0.)); #192767=DIRECTION('center_axis',(1.,0.,0.)); #192768=DIRECTION('ref_axis',(0.,0.,-1.)); #192769=DIRECTION('',(0.,0.,-1.)); #192770=DIRECTION('',(0.,1.,0.)); #192771=DIRECTION('',(0.,0.,-1.)); #192772=DIRECTION('center_axis',(0.,1.,0.)); #192773=DIRECTION('ref_axis',(0.880830329272057,0.,-0.473432076473997)); #192774=DIRECTION('center_axis',(0.,-1.,0.)); #192775=DIRECTION('ref_axis',(0.880830329272057,0.,-0.473432076473997)); #192776=DIRECTION('',(0.,-1.,0.)); #192777=DIRECTION('center_axis',(0.,-1.,0.)); #192778=DIRECTION('ref_axis',(0.880830329272057,0.,-0.473432076473997)); #192779=DIRECTION('',(0.,1.,0.)); #192780=DIRECTION('center_axis',(0.,-1.,0.)); #192781=DIRECTION('ref_axis',(1.,0.,0.)); #192782=DIRECTION('center_axis',(0.,-1.,0.)); #192783=DIRECTION('ref_axis',(1.,0.,0.)); #192784=DIRECTION('',(0.,0.,-1.)); #192785=DIRECTION('center_axis',(0.,1.,0.)); #192786=DIRECTION('ref_axis',(1.,0.,0.)); #192787=DIRECTION('',(1.,0.,0.)); #192788=DIRECTION('center_axis',(0.,-1.,0.)); #192789=DIRECTION('ref_axis',(0.707106781186544,0.,0.707106781186551)); #192790=DIRECTION('',(0.,0.,-1.)); #192791=DIRECTION('center_axis',(0.,-1.,0.)); #192792=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #192793=DIRECTION('',(-1.,0.,0.)); #192794=DIRECTION('center_axis',(0.,1.,0.)); #192795=DIRECTION('ref_axis',(1.,0.,0.)); #192796=DIRECTION('center_axis',(0.,-1.,0.)); #192797=DIRECTION('ref_axis',(-1.,0.,0.)); #192798=DIRECTION('center_axis',(0.,-1.,0.)); #192799=DIRECTION('ref_axis',(-1.,0.,0.)); #192800=DIRECTION('center_axis',(0.,-1.,0.)); #192801=DIRECTION('ref_axis',(-1.,0.,0.)); #192802=DIRECTION('center_axis',(1.,0.,0.)); #192803=DIRECTION('ref_axis',(0.,0.,-1.)); #192804=DIRECTION('',(0.,0.,-1.)); #192805=DIRECTION('',(0.,1.,0.)); #192806=DIRECTION('center_axis',(1.,0.,0.)); #192807=DIRECTION('ref_axis',(0.,0.,1.)); #192808=DIRECTION('center_axis',(-1.,0.,0.)); #192809=DIRECTION('ref_axis',(0.,0.,1.)); #192810=DIRECTION('',(1.,0.,0.)); #192811=DIRECTION('center_axis',(1.,0.,0.)); #192812=DIRECTION('ref_axis',(0.,0.,1.)); #192813=DIRECTION('',(-1.,0.,0.)); #192814=DIRECTION('center_axis',(-1.,0.,0.)); #192815=DIRECTION('ref_axis',(0.,0.,1.)); #192816=DIRECTION('',(0.,0.,-1.)); #192817=DIRECTION('',(0.,-1.,0.)); #192818=DIRECTION('',(0.,1.,0.)); #192819=DIRECTION('',(0.,0.,-1.)); #192820=DIRECTION('center_axis',(0.,1.,0.)); #192821=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #192822=DIRECTION('center_axis',(0.,-1.,0.)); #192823=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #192824=DIRECTION('',(0.,-1.,0.)); #192825=DIRECTION('center_axis',(0.,-1.,0.)); #192826=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #192827=DIRECTION('',(0.,1.,0.)); #192828=DIRECTION('center_axis',(0.,1.,0.)); #192829=DIRECTION('ref_axis',(0.,0.,1.)); #192830=DIRECTION('',(-1.,0.,0.)); #192831=DIRECTION('center_axis',(0.,-1.,0.)); #192832=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #192833=DIRECTION('',(0.,0.,-1.)); #192834=DIRECTION('center_axis',(0.,-1.,0.)); #192835=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #192836=DIRECTION('',(1.,0.,0.)); #192837=DIRECTION('center_axis',(0.,-1.,0.)); #192838=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #192839=DIRECTION('',(0.,0.,1.)); #192840=DIRECTION('center_axis',(0.,0.,-1.)); #192841=DIRECTION('ref_axis',(-1.,0.,0.)); #192842=DIRECTION('',(-1.,0.,0.)); #192843=DIRECTION('',(0.,-1.,0.)); #192844=DIRECTION('center_axis',(0.,1.,0.)); #192845=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #192846=DIRECTION('center_axis',(0.,-1.,0.)); #192847=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #192848=DIRECTION('',(0.,1.,0.)); #192849=DIRECTION('center_axis',(0.,-1.,0.)); #192850=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #192851=DIRECTION('',(0.,1.,0.)); #192852=DIRECTION('center_axis',(0.,1.,0.)); #192853=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #192854=DIRECTION('',(0.,-1.,0.)); #192855=DIRECTION('center_axis',(0.,-1.,0.)); #192856=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #192857=DIRECTION('',(0.,1.,0.)); #192858=DIRECTION('center_axis',(0.,0.,1.)); #192859=DIRECTION('ref_axis',(1.,0.,0.)); #192860=DIRECTION('',(1.,0.,0.)); #192861=DIRECTION('',(0.,-1.,0.)); #192862=DIRECTION('center_axis',(0.,1.,0.)); #192863=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #192864=DIRECTION('center_axis',(0.,-1.,0.)); #192865=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #192866=DIRECTION('',(0.,-1.,0.)); #192867=DIRECTION('center_axis',(0.,-1.,0.)); #192868=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #192869=DIRECTION('',(0.,1.,0.)); #192870=DIRECTION('center_axis',(-1.,0.,0.)); #192871=DIRECTION('ref_axis',(0.,0.,1.)); #192872=DIRECTION('',(0.,0.,1.)); #192873=DIRECTION('',(0.,0.,1.)); #192874=DIRECTION('',(0.,1.,0.)); #192875=DIRECTION('',(0.,0.,1.)); #192876=DIRECTION('center_axis',(1.,0.,0.)); #192877=DIRECTION('ref_axis',(0.,0.,-1.)); #192878=DIRECTION('',(0.,0.,-1.)); #192879=DIRECTION('',(0.,-1.,0.)); #192880=DIRECTION('',(0.,0.,-1.)); #192881=DIRECTION('center_axis',(0.,-1.,0.)); #192882=DIRECTION('ref_axis',(1.,0.,0.)); #192883=DIRECTION('',(-1.,0.,2.58592319400269E-17)); #192884=DIRECTION('center_axis',(0.,-1.,0.)); #192885=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #192886=DIRECTION('',(0.,0.,-1.)); #192887=DIRECTION('center_axis',(0.,1.,0.)); #192888=DIRECTION('ref_axis',(1.,0.,0.)); #192889=DIRECTION('center_axis',(0.,-1.,0.)); #192890=DIRECTION('ref_axis',(1.,0.,0.)); #192891=DIRECTION('center_axis',(0.,1.,0.)); #192892=DIRECTION('ref_axis',(1.,0.,0.)); #192893=DIRECTION('',(-1.,0.,0.)); #192894=DIRECTION('center_axis',(0.,-1.,0.)); #192895=DIRECTION('ref_axis',(1.,0.,0.)); #192896=DIRECTION('center_axis',(0.,1.,0.)); #192897=DIRECTION('ref_axis',(1.,0.,0.)); #192898=DIRECTION('center_axis',(0.,-1.,0.)); #192899=DIRECTION('ref_axis',(1.,0.,0.)); #192900=DIRECTION('center_axis',(0.,1.,0.)); #192901=DIRECTION('ref_axis',(1.,0.,0.)); #192902=DIRECTION('',(0.,0.,1.)); #192903=DIRECTION('center_axis',(0.,1.,0.)); #192904=DIRECTION('ref_axis',(1.,0.,0.)); #192905=DIRECTION('',(1.,0.,0.)); #192906=DIRECTION('center_axis',(0.,1.,0.)); #192907=DIRECTION('ref_axis',(1.,0.,0.)); #192908=DIRECTION('center_axis',(0.,-1.,0.)); #192909=DIRECTION('ref_axis',(1.,0.,0.)); #192910=DIRECTION('center_axis',(0.,1.,0.)); #192911=DIRECTION('ref_axis',(1.,0.,0.)); #192912=DIRECTION('',(0.,0.,-1.)); #192913=DIRECTION('center_axis',(0.,-1.,0.)); #192914=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #192915=DIRECTION('',(1.,0.,0.)); #192916=DIRECTION('center_axis',(0.,-1.,0.)); #192917=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #192918=DIRECTION('',(0.,0.,1.)); #192919=DIRECTION('center_axis',(0.,1.,0.)); #192920=DIRECTION('ref_axis',(1.,0.,0.)); #192921=DIRECTION('center_axis',(0.,-1.,0.)); #192922=DIRECTION('ref_axis',(1.,0.,0.)); #192923=DIRECTION('center_axis',(0.,1.,0.)); #192924=DIRECTION('ref_axis',(1.,0.,0.)); #192925=DIRECTION('center_axis',(0.,1.,0.)); #192926=DIRECTION('ref_axis',(-0.793868109309267,0.,0.608089981023967)); #192927=DIRECTION('center_axis',(0.,1.,0.)); #192928=DIRECTION('ref_axis',(0.502364332246722,0.,0.864656045885475)); #192929=DIRECTION('',(0.,1.,0.)); #192930=DIRECTION('center_axis',(0.,-1.,0.)); #192931=DIRECTION('ref_axis',(1.,0.,0.)); #192932=DIRECTION('',(-1.,0.,0.)); #192933=DIRECTION('center_axis',(0.,-1.,0.)); #192934=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #192935=DIRECTION('',(0.,0.,-1.)); #192936=DIRECTION('center_axis',(0.,-1.,0.)); #192937=DIRECTION('ref_axis',(0.707106781186544,0.,0.707106781186551)); #192938=DIRECTION('',(1.,0.,0.)); #192939=DIRECTION('center_axis',(0.,-1.,0.)); #192940=DIRECTION('ref_axis',(-0.707106781186545,0.,0.70710678118655)); #192941=DIRECTION('',(0.,0.,-1.)); #192942=DIRECTION('center_axis',(0.,1.,0.)); #192943=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #192944=DIRECTION('',(0.,0.,1.)); #192945=DIRECTION('center_axis',(0.,-1.,0.)); #192946=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #192947=DIRECTION('',(1.,0.,0.)); #192948=DIRECTION('center_axis',(0.,1.,0.)); #192949=DIRECTION('ref_axis',(0.8,0.,0.6)); #192950=DIRECTION('',(2.08492586784067E-16,0.,1.)); #192951=DIRECTION('center_axis',(0.,1.,0.)); #192952=DIRECTION('ref_axis',(-0.600000000000001,0.,0.799999999999999)); #192953=DIRECTION('center_axis',(0.,-1.,0.)); #192954=DIRECTION('ref_axis',(0.667542345276564,0.,-0.744571834857232)); #192955=DIRECTION('center_axis',(0.,-1.,0.)); #192956=DIRECTION('ref_axis',(-1.,0.,0.)); #192957=DIRECTION('center_axis',(0.,1.,0.)); #192958=DIRECTION('ref_axis',(-0.707106781186545,0.,0.70710678118655)); #192959=DIRECTION('',(0.,-1.,0.)); #192960=DIRECTION('center_axis',(0.,-1.,0.)); #192961=DIRECTION('ref_axis',(-0.707106781186545,0.,0.70710678118655)); #192962=DIRECTION('',(0.,1.,0.)); #192963=DIRECTION('center_axis',(1.,0.,0.)); #192964=DIRECTION('ref_axis',(0.,0.,1.)); #192965=DIRECTION('',(0.,0.,1.)); #192966=DIRECTION('',(0.,-1.,0.)); #192967=DIRECTION('center_axis',(0.,-1.,0.)); #192968=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #192969=DIRECTION('center_axis',(0.,1.,0.)); #192970=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #192971=DIRECTION('',(0.,1.,0.)); #192972=DIRECTION('center_axis',(0.,-1.,0.)); #192973=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #192974=DIRECTION('',(0.,-1.,0.)); #192975=DIRECTION('center_axis',(0.,-1.,0.)); #192976=DIRECTION('ref_axis',(0.707106781186549,0.,0.707106781186546)); #192977=DIRECTION('',(0.,1.,0.)); #192978=DIRECTION('center_axis',(0.,0.,1.)); #192979=DIRECTION('ref_axis',(1.,0.,0.)); #192980=DIRECTION('',(0.,1.,0.)); #192981=DIRECTION('',(0.,1.,0.)); #192982=DIRECTION('',(0.,1.,0.)); #192983=DIRECTION('',(1.,0.,0.)); #192984=DIRECTION('center_axis',(-1.,0.,0.)); #192985=DIRECTION('ref_axis',(0.,0.,-1.)); #192986=DIRECTION('',(0.,0.,-1.)); #192987=DIRECTION('center_axis',(0.,-1.,0.)); #192988=DIRECTION('ref_axis',(1.,0.,0.)); #192989=DIRECTION('center_axis',(0.,1.,0.)); #192990=DIRECTION('ref_axis',(1.,0.,0.)); #192991=DIRECTION('center_axis',(0.,1.,0.)); #192992=DIRECTION('ref_axis',(1.,0.,0.)); #192993=DIRECTION('center_axis',(0.,1.,0.)); #192994=DIRECTION('ref_axis',(1.,0.,0.)); #192995=DIRECTION('center_axis',(0.,1.,0.)); #192996=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #192997=DIRECTION('',(0.,-1.,0.)); #192998=DIRECTION('',(0.,1.,0.)); #192999=DIRECTION('center_axis',(1.,0.,0.)); #193000=DIRECTION('ref_axis',(0.,0.,-1.)); #193001=DIRECTION('',(0.,-1.,0.)); #193002=DIRECTION('center_axis',(0.,1.,0.)); #193003=DIRECTION('ref_axis',(0.707106781186544,0.,0.707106781186551)); #193004=DIRECTION('center_axis',(0.,1.,0.)); #193005=DIRECTION('ref_axis',(1.,0.,0.)); #193006=DIRECTION('',(0.,1.,0.)); #193007=DIRECTION('center_axis',(0.,1.,0.)); #193008=DIRECTION('ref_axis',(1.,0.,0.)); #193009=DIRECTION('center_axis',(0.,1.,0.)); #193010=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #193011=DIRECTION('',(0.,1.,0.)); #193012=DIRECTION('center_axis',(-1.,0.,0.)); #193013=DIRECTION('ref_axis',(0.,0.,1.)); #193014=DIRECTION('',(0.,1.,0.)); #193015=DIRECTION('center_axis',(0.,1.,0.)); #193016=DIRECTION('ref_axis',(-0.833758429450745,0.,-0.552129406316876)); #193017=DIRECTION('',(0.,1.,0.)); #193018=DIRECTION('center_axis',(0.,1.,0.)); #193019=DIRECTION('ref_axis',(1.,0.,0.)); #193020=DIRECTION('center_axis',(0.,0.,-1.)); #193021=DIRECTION('ref_axis',(-1.,0.,0.)); #193022=DIRECTION('center_axis',(-2.58592319400269E-17,0.,-1.)); #193023=DIRECTION('ref_axis',(-1.,0.,2.58592319400269E-17)); #193024=DIRECTION('',(-1.,0.,2.58592319400269E-17)); #193025=DIRECTION('',(0.,-1.,0.)); #193026=DIRECTION('center_axis',(0.,1.,0.)); #193027=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #193028=DIRECTION('center_axis',(0.,-1.,0.)); #193029=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549)); #193030=DIRECTION('',(0.,1.,0.)); #193031=DIRECTION('center_axis',(1.,0.,0.)); #193032=DIRECTION('ref_axis',(0.,0.,-1.)); #193033=DIRECTION('',(0.,0.,-1.)); #193034=DIRECTION('',(0.,1.,0.)); #193035=DIRECTION('center_axis',(0.,1.,0.)); #193036=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #193037=DIRECTION('',(0.,-1.,0.)); #193038=DIRECTION('center_axis',(0.,-1.,0.)); #193039=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #193040=DIRECTION('',(0.,1.,0.)); #193041=DIRECTION('center_axis',(0.,0.,1.)); #193042=DIRECTION('ref_axis',(1.,0.,0.)); #193043=DIRECTION('',(1.,0.,0.)); #193044=DIRECTION('',(0.,-1.,0.)); #193045=DIRECTION('center_axis',(0.,1.,0.)); #193046=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #193047=DIRECTION('center_axis',(0.,-1.,0.)); #193048=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #193049=DIRECTION('',(0.,1.,0.)); #193050=DIRECTION('center_axis',(-1.,0.,0.)); #193051=DIRECTION('ref_axis',(0.,0.,1.)); #193052=DIRECTION('',(0.,0.,1.)); #193053=DIRECTION('',(0.,1.,0.)); #193054=DIRECTION('center_axis',(0.,1.,0.)); #193055=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #193056=DIRECTION('',(0.,1.,0.)); #193057=DIRECTION('center_axis',(0.,-1.,0.)); #193058=DIRECTION('ref_axis',(1.,0.,0.)); #193059=DIRECTION('',(0.,1.,0.)); #193060=DIRECTION('center_axis',(0.,1.,0.)); #193061=DIRECTION('ref_axis',(1.,0.,0.)); #193062=DIRECTION('center_axis',(0.,1.,0.)); #193063=DIRECTION('ref_axis',(1.,0.,0.)); #193064=DIRECTION('',(0.,1.,0.)); #193065=DIRECTION('center_axis',(1.,0.,0.)); #193066=DIRECTION('ref_axis',(0.,0.,-1.)); #193067=DIRECTION('',(0.,0.,-1.)); #193068=DIRECTION('center_axis',(0.,1.,0.)); #193069=DIRECTION('ref_axis',(-0.813109811592181,0.,-0.582110328281957)); #193070=DIRECTION('center_axis',(0.,1.,0.)); #193071=DIRECTION('ref_axis',(1.,0.,0.)); #193072=DIRECTION('',(0.,1.,0.)); #193073=DIRECTION('center_axis',(0.,1.,0.)); #193074=DIRECTION('ref_axis',(1.,0.,0.)); #193075=DIRECTION('center_axis',(0.,-1.,0.)); #193076=DIRECTION('ref_axis',(1.,0.,0.)); #193077=DIRECTION('',(0.,1.,0.)); #193078=DIRECTION('center_axis',(0.,1.,0.)); #193079=DIRECTION('ref_axis',(-0.813109811592181,0.,0.582110328281957)); #193080=DIRECTION('center_axis',(0.,1.,0.)); #193081=DIRECTION('ref_axis',(1.,0.,0.)); #193082=DIRECTION('center_axis',(0.,1.,0.)); #193083=DIRECTION('ref_axis',(1.,0.,0.)); #193084=DIRECTION('center_axis',(0.,1.,0.)); #193085=DIRECTION('ref_axis',(1.,0.,0.)); #193086=DIRECTION('center_axis',(0.,1.,0.)); #193087=DIRECTION('ref_axis',(1.,0.,0.)); #193088=DIRECTION('center_axis',(0.,-1.,0.)); #193089=DIRECTION('ref_axis',(1.,0.,0.)); #193090=DIRECTION('',(0.,1.,0.)); #193091=DIRECTION('center_axis',(0.,1.,0.)); #193092=DIRECTION('ref_axis',(1.,0.,0.)); #193093=DIRECTION('center_axis',(0.,-1.,0.)); #193094=DIRECTION('ref_axis',(1.,0.,0.)); #193095=DIRECTION('center_axis',(0.,1.,0.)); #193096=DIRECTION('ref_axis',(1.,0.,0.)); #193097=DIRECTION('center_axis',(0.,-1.,0.)); #193098=DIRECTION('ref_axis',(1.,0.,0.)); #193099=DIRECTION('',(0.,1.,0.)); #193100=DIRECTION('center_axis',(0.,1.,0.)); #193101=DIRECTION('ref_axis',(1.,0.,0.)); #193102=DIRECTION('center_axis',(0.,-1.,0.)); #193103=DIRECTION('ref_axis',(1.,0.,0.)); #193104=DIRECTION('center_axis',(0.,1.,0.)); #193105=DIRECTION('ref_axis',(-1.,0.,0.)); #193106=DIRECTION('',(-0.500444341617354,-0.86576871099802,6.12867561113097E-17)); #193107=DIRECTION('center_axis',(0.,-1.,0.)); #193108=DIRECTION('ref_axis',(-1.,0.,0.)); #193109=DIRECTION('center_axis',(0.,-1.,0.)); #193110=DIRECTION('ref_axis',(-1.,0.,0.)); #193111=DIRECTION('center_axis',(0.,-1.,0.)); #193112=DIRECTION('ref_axis',(-1.,0.,0.)); #193113=DIRECTION('',(0.,-1.,0.)); #193114=DIRECTION('center_axis',(0.,1.,0.)); #193115=DIRECTION('ref_axis',(-1.,0.,0.)); #193116=DIRECTION('',(-0.500444341617354,-0.86576871099802,6.12867561113097E-17)); #193117=DIRECTION('center_axis',(0.,-1.,0.)); #193118=DIRECTION('ref_axis',(-1.,0.,0.)); #193119=DIRECTION('center_axis',(0.,-1.,0.)); #193120=DIRECTION('ref_axis',(-1.,0.,0.)); #193121=DIRECTION('',(0.,-1.,0.)); #193122=DIRECTION('center_axis',(0.,1.,0.)); #193123=DIRECTION('ref_axis',(-1.,0.,0.)); #193124=DIRECTION('',(-0.500444341617354,-0.86576871099802,6.12867561113097E-17)); #193125=DIRECTION('center_axis',(0.,-1.,0.)); #193126=DIRECTION('ref_axis',(-1.,0.,0.)); #193127=DIRECTION('center_axis',(0.,-1.,0.)); #193128=DIRECTION('ref_axis',(-1.,0.,0.)); #193129=DIRECTION('',(0.,-1.,0.)); #193130=DIRECTION('center_axis',(0.,1.,0.)); #193131=DIRECTION('ref_axis',(-1.,0.,0.)); #193132=DIRECTION('',(-0.500444341617354,-0.86576871099802,6.12867561113097E-17)); #193133=DIRECTION('center_axis',(0.,-1.,0.)); #193134=DIRECTION('ref_axis',(-1.,0.,0.)); #193135=DIRECTION('center_axis',(0.,-1.,0.)); #193136=DIRECTION('ref_axis',(-1.,0.,0.)); #193137=DIRECTION('',(0.,-1.,0.)); #193138=DIRECTION('center_axis',(0.,1.,0.)); #193139=DIRECTION('ref_axis',(-1.,0.,0.)); #193140=DIRECTION('center_axis',(0.,1.,0.)); #193141=DIRECTION('ref_axis',(-1.,0.,0.)); #193142=DIRECTION('',(-0.707106781186546,-0.707106781186549,8.65956056235491E-17)); #193143=DIRECTION('center_axis',(0.,-1.,0.)); #193144=DIRECTION('ref_axis',(-1.,0.,0.)); #193145=DIRECTION('center_axis',(0.,1.,0.)); #193146=DIRECTION('ref_axis',(0.,0.,1.)); #193147=DIRECTION('',(1.,0.,0.)); #193148=DIRECTION('',(0.,0.,-1.)); #193149=DIRECTION('',(-1.,0.,0.)); #193150=DIRECTION('',(0.,0.,1.)); #193151=DIRECTION('center_axis',(0.,1.,0.)); #193152=DIRECTION('ref_axis',(-1.,0.,0.)); #193153=DIRECTION('center_axis',(0.,1.,0.)); #193154=DIRECTION('ref_axis',(-1.,0.,0.)); #193155=DIRECTION('center_axis',(0.,-1.,0.)); #193156=DIRECTION('ref_axis',(-1.,0.,0.)); #193157=DIRECTION('',(0.,-1.,0.)); #193158=DIRECTION('center_axis',(0.,1.,0.)); #193159=DIRECTION('ref_axis',(-1.,0.,0.)); #193160=DIRECTION('',(-0.707106781186546,-0.707106781186549,8.65956056235491E-17)); #193161=DIRECTION('center_axis',(0.,-1.,0.)); #193162=DIRECTION('ref_axis',(-1.,0.,0.)); #193163=DIRECTION('center_axis',(0.,-1.,0.)); #193164=DIRECTION('ref_axis',(-1.,0.,0.)); #193165=DIRECTION('',(0.,-1.,0.)); #193166=DIRECTION('center_axis',(0.,1.,0.)); #193167=DIRECTION('ref_axis',(-1.,0.,0.)); #193168=DIRECTION('',(-0.707106781186546,-0.707106781186549,8.65956056235491E-17)); #193169=DIRECTION('center_axis',(0.,-1.,0.)); #193170=DIRECTION('ref_axis',(-1.,0.,0.)); #193171=DIRECTION('center_axis',(0.,-1.,0.)); #193172=DIRECTION('ref_axis',(-1.,0.,0.)); #193173=DIRECTION('',(0.,-1.,0.)); #193174=DIRECTION('center_axis',(-0.707106781186547,0.,-0.707106781186548)); #193175=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #193176=DIRECTION('',(0.,-1.,0.)); #193177=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #193178=DIRECTION('center_axis',(0.,0.,-1.)); #193179=DIRECTION('ref_axis',(-1.,0.,0.)); #193180=DIRECTION('',(0.,-1.,0.)); #193181=DIRECTION('',(1.,0.,0.)); #193182=DIRECTION('center_axis',(0.70710678118655,0.,-0.707106781186545)); #193183=DIRECTION('ref_axis',(-0.707106781186545,0.,-0.70710678118655)); #193184=DIRECTION('',(0.707106781186545,0.,0.70710678118655)); #193185=DIRECTION('',(0.,-1.,0.)); #193186=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #193187=DIRECTION('',(0.,1.,0.)); #193188=DIRECTION('center_axis',(0.,0.,1.)); #193189=DIRECTION('ref_axis',(1.,0.,0.)); #193190=DIRECTION('',(1.,0.,0.)); #193191=DIRECTION('',(0.,1.,0.)); #193192=DIRECTION('',(-0.500000000000001,-0.866025403784438,0.)); #193193=DIRECTION('',(-0.499999999999999,0.866025403784439,0.)); #193194=DIRECTION('',(-1.,0.,0.)); #193195=DIRECTION('center_axis',(0.,1.,0.)); #193196=DIRECTION('ref_axis',(1.,0.,0.)); #193197=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #193198=DIRECTION('center_axis',(0.,1.,0.)); #193199=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193200=DIRECTION('',(1.,0.,0.)); #193201=DIRECTION('center_axis',(0.,1.,0.)); #193202=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #193203=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #193204=DIRECTION('',(1.,0.,0.)); #193205=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #193206=DIRECTION('center_axis',(0.,1.,0.)); #193207=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193208=DIRECTION('',(1.,0.,0.)); #193209=DIRECTION('center_axis',(0.,1.,0.)); #193210=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #193211=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #193212=DIRECTION('',(1.,0.,0.)); #193213=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #193214=DIRECTION('center_axis',(0.,1.,0.)); #193215=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193216=DIRECTION('',(1.,0.,0.)); #193217=DIRECTION('center_axis',(0.,1.,0.)); #193218=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #193219=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #193220=DIRECTION('',(1.,0.,0.)); #193221=DIRECTION('',(0.707106781186545,0.,0.70710678118655)); #193222=DIRECTION('center_axis',(0.,1.,0.)); #193223=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193224=DIRECTION('',(0.,0.,1.)); #193225=DIRECTION('',(0.707106781186542,0.,-0.707106781186553)); #193226=DIRECTION('',(-1.,0.,0.)); #193227=DIRECTION('center_axis',(0.,1.,0.)); #193228=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193229=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #193230=DIRECTION('',(-1.,0.,0.)); #193231=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #193232=DIRECTION('center_axis',(0.,1.,0.)); #193233=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #193234=DIRECTION('',(-1.,0.,0.)); #193235=DIRECTION('center_axis',(0.,1.,0.)); #193236=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193237=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #193238=DIRECTION('',(-1.,0.,0.)); #193239=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #193240=DIRECTION('center_axis',(0.,1.,0.)); #193241=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #193242=DIRECTION('',(-1.,0.,0.)); #193243=DIRECTION('center_axis',(0.,1.,0.)); #193244=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193245=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #193246=DIRECTION('',(-1.,0.,0.)); #193247=DIRECTION('',(-0.707106781186547,0.,-0.707106781186547)); #193248=DIRECTION('center_axis',(0.,1.,0.)); #193249=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #193250=DIRECTION('',(-1.,0.,0.)); #193251=DIRECTION('center_axis',(0.,1.,0.)); #193252=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193253=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #193254=DIRECTION('',(-1.,0.,0.)); #193255=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #193256=DIRECTION('center_axis',(0.707106781186553,0.,0.707106781186542)); #193257=DIRECTION('ref_axis',(0.707106781186542,0.,-0.707106781186553)); #193258=DIRECTION('',(0.,1.,0.)); #193259=DIRECTION('',(-0.707106781186542,0.,0.707106781186553)); #193260=DIRECTION('',(0.,-1.,0.)); #193261=DIRECTION('center_axis',(0.,0.,-1.)); #193262=DIRECTION('ref_axis',(-1.,0.,0.)); #193263=DIRECTION('',(1.,0.,0.)); #193264=DIRECTION('',(0.499999999999999,-0.866025403784439,0.)); #193265=DIRECTION('',(0.500000000000001,0.866025403784438,0.)); #193266=DIRECTION('',(0.,1.,0.)); #193267=DIRECTION('center_axis',(-0.707106781186545,0.,0.70710678118655)); #193268=DIRECTION('ref_axis',(0.70710678118655,0.,0.707106781186545)); #193269=DIRECTION('',(0.,1.,0.)); #193270=DIRECTION('center_axis',(0.,0.,1.)); #193271=DIRECTION('ref_axis',(1.,0.,0.)); #193272=DIRECTION('',(0.,1.,0.)); #193273=DIRECTION('center_axis',(0.,1.,0.)); #193274=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193275=DIRECTION('',(0.,1.,0.)); #193276=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #193277=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #193278=DIRECTION('',(0.,1.,0.)); #193279=DIRECTION('center_axis',(0.,1.,0.)); #193280=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #193281=DIRECTION('',(0.,1.,0.)); #193282=DIRECTION('',(0.,1.,0.)); #193283=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #193284=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #193285=DIRECTION('',(0.,-1.,0.)); #193286=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #193287=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #193288=DIRECTION('',(0.,1.,0.)); #193289=DIRECTION('',(0.,1.,0.)); #193290=DIRECTION('center_axis',(0.,1.,0.)); #193291=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193292=DIRECTION('',(0.,1.,0.)); #193293=DIRECTION('center_axis',(0.,0.,-1.)); #193294=DIRECTION('ref_axis',(-1.,0.,0.)); #193295=DIRECTION('center_axis',(-0.70710678118655,0.,0.707106781186545)); #193296=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655)); #193297=DIRECTION('',(0.,1.,0.)); #193298=DIRECTION('',(0.,-1.,0.)); #193299=DIRECTION('center_axis',(0.,1.,0.)); #193300=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193301=DIRECTION('center_axis',(0.,1.,0.)); #193302=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193303=DIRECTION('',(0.,1.,0.)); #193304=DIRECTION('',(0.,1.,0.)); #193305=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #193306=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #193307=DIRECTION('center_axis',(0.,1.,0.)); #193308=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #193309=DIRECTION('',(0.,1.,0.)); #193310=DIRECTION('',(0.,1.,0.)); #193311=DIRECTION('center_axis',(0.,0.,1.)); #193312=DIRECTION('ref_axis',(1.,0.,0.)); #193313=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #193314=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #193315=DIRECTION('',(0.,1.,0.)); #193316=DIRECTION('center_axis',(0.,1.,0.)); #193317=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #193318=DIRECTION('',(0.,1.,0.)); #193319=DIRECTION('',(0.,1.,0.)); #193320=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #193321=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #193322=DIRECTION('',(0.,-1.,0.)); #193323=DIRECTION('center_axis',(0.,1.,0.)); #193324=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193325=DIRECTION('',(0.,1.,0.)); #193326=DIRECTION('',(0.,1.,0.)); #193327=DIRECTION('center_axis',(0.,0.,-1.)); #193328=DIRECTION('ref_axis',(-1.,0.,0.)); #193329=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #193330=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #193331=DIRECTION('center_axis',(0.,1.,0.)); #193332=DIRECTION('ref_axis',(0.70710678118655,0.,-0.707106781186545)); #193333=DIRECTION('',(0.,1.,0.)); #193334=DIRECTION('',(0.,1.,0.)); #193335=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548)); #193336=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #193337=DIRECTION('',(0.,-1.,0.)); #193338=DIRECTION('center_axis',(-0.707106781186548,0.,-0.707106781186548)); #193339=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #193340=DIRECTION('',(0.,1.,0.)); #193341=DIRECTION('',(0.,1.,0.)); #193342=DIRECTION('center_axis',(0.,1.,0.)); #193343=DIRECTION('ref_axis',(2.96059473233378E-15,0.,-1.)); #193344=DIRECTION('',(0.,1.,0.)); #193345=DIRECTION('center_axis',(0.,0.,-1.)); #193346=DIRECTION('ref_axis',(-1.,0.,0.)); #193347=DIRECTION('center_axis',(0.,0.,1.)); #193348=DIRECTION('ref_axis',(1.,0.,0.)); #193349=DIRECTION('',(0.,1.,0.)); #193350=DIRECTION('',(0.,1.,0.)); #193351=DIRECTION('center_axis',(0.,1.,0.)); #193352=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193353=DIRECTION('',(0.,1.,0.)); #193354=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #193355=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #193356=DIRECTION('',(0.,-1.,0.)); #193357=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #193358=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #193359=DIRECTION('',(0.,1.,0.)); #193360=DIRECTION('',(0.,1.,0.)); #193361=DIRECTION('center_axis',(0.,1.,0.)); #193362=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #193363=DIRECTION('center_axis',(0.,0.,1.)); #193364=DIRECTION('ref_axis',(1.,0.,0.)); #193365=DIRECTION('',(0.,1.,0.)); #193366=DIRECTION('',(0.,1.,0.)); #193367=DIRECTION('center_axis',(0.,1.,0.)); #193368=DIRECTION('ref_axis',(-0.70710678118655,0.,0.707106781186545)); #193369=DIRECTION('',(0.,1.,0.)); #193370=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548)); #193371=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548)); #193372=DIRECTION('',(0.,-1.,0.)); #193373=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548)); #193374=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #193375=DIRECTION('',(0.,1.,0.)); #193376=DIRECTION('',(0.,1.,0.)); #193377=DIRECTION('center_axis',(0.,1.,0.)); #193378=DIRECTION('ref_axis',(-4.44089209850067E-15,0.,1.)); #193379=DIRECTION('center_axis',(0.866025403784438,-0.500000000000001,0.)); #193380=DIRECTION('ref_axis',(-0.500000000000001,-0.866025403784438,0.)); #193381=DIRECTION('center_axis',(0.,0.,1.)); #193382=DIRECTION('ref_axis',(1.,0.,0.)); #193383=DIRECTION('center_axis',(0.,0.,1.)); #193384=DIRECTION('ref_axis',(1.,0.,0.)); #193385=DIRECTION('center_axis',(0.,0.,1.)); #193386=DIRECTION('ref_axis',(1.,0.,0.)); #193387=DIRECTION('center_axis',(0.,0.,-1.)); #193388=DIRECTION('ref_axis',(-1.,0.,0.)); #193389=DIRECTION('center_axis',(0.,0.,-1.)); #193390=DIRECTION('ref_axis',(-1.,0.,0.)); #193391=DIRECTION('center_axis',(0.,0.,-1.)); #193392=DIRECTION('ref_axis',(-1.,0.,0.)); #193393=DIRECTION('center_axis',(-0.866025403784439,-0.499999999999999, 0.)); #193394=DIRECTION('ref_axis',(-0.499999999999999,0.866025403784439,0.)); #193395=DIRECTION('',(0.,0.,-1.)); #193396=DIRECTION('center_axis',(0.,-1.,0.)); #193397=DIRECTION('ref_axis',(-1.,0.,0.)); #193398=DIRECTION('',(0.,0.,1.)); #193399=DIRECTION('center_axis',(1.,0.,0.)); #193400=DIRECTION('ref_axis',(0.,0.,-1.)); #193401=DIRECTION('axis',(0.,0.,1.)); #193402=DIRECTION('refdir',(1.,0.,0.)); #193403=DIRECTION('center_axis',(0.,1.,0.)); #193404=DIRECTION('ref_axis',(0.,0.,1.)); #193405=DIRECTION('center_axis',(0.,1.,0.)); #193406=DIRECTION('ref_axis',(0.,0.,1.)); #193407=DIRECTION('center_axis',(0.,1.,0.)); #193408=DIRECTION('ref_axis',(0.,0.,1.)); #193409=DIRECTION('center_axis',(0.,1.,0.)); #193410=DIRECTION('ref_axis',(0.,0.,1.)); #193411=DIRECTION('',(0.,-1.,0.)); #193412=DIRECTION('center_axis',(0.,1.,0.)); #193413=DIRECTION('ref_axis',(0.,0.,1.)); #193414=DIRECTION('center_axis',(0.,1.,0.)); #193415=DIRECTION('ref_axis',(0.,0.,1.)); #193416=DIRECTION('',(6.12323399573667E-17,-0.866025403784443,0.499999999999992)); #193417=DIRECTION('center_axis',(0.,-1.,0.)); #193418=DIRECTION('ref_axis',(0.,0.,-1.)); #193419=DIRECTION('axis',(0.,0.,1.)); #193420=DIRECTION('refdir',(1.,0.,0.)); #193421=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193422=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193423=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193424=DIRECTION('center_axis',(-2.03220953701355E-11,-3.70280352963865E-11, 1.)); #193425=DIRECTION('ref_axis',(-0.0455556622643527,-0.99896180189017,-3.79153308344445E-11)); #193426=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193427=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193428=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193429=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193430=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193431=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193432=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193433=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193434=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193435=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193436=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193437=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193438=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193439=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193440=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193441=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193442=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193443=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193444=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193445=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193446=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193447=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193448=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193449=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193450=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193451=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193452=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193453=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193454=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193455=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193456=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193457=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193458=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193459=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193460=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193461=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193462=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193463=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193464=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193465=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193466=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193467=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193468=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193469=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193470=DIRECTION('',(1.81602685338198E-15,3.17206578464329E-15,1.)); #193471=DIRECTION('center_axis',(0.,-1.36618045503504E-16,1.)); #193472=DIRECTION('ref_axis',(0.,-1.,-1.36618045503504E-16)); #193473=DIRECTION('',(8.65956056235489E-17,-0.707106781186544,-0.707106781186552)); #193474=DIRECTION('center_axis',(0.,1.36618045503504E-16,-1.)); #193475=DIRECTION('ref_axis',(0.,1.,1.36618045503504E-16)); #193476=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193477=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193478=DIRECTION('',(8.65956056235496E-17,-0.70710678118655,-0.707106781186546)); #193479=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193480=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193481=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193482=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193483=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193484=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193485=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193486=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193487=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193488=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193489=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193490=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193491=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193492=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193493=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193494=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193495=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193496=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193497=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193498=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193499=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193500=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193501=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193502=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193503=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193504=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193505=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193506=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193507=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193508=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193509=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193510=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193511=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193512=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193513=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193514=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193515=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193516=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193517=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193518=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193519=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193520=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193521=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193522=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193523=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193524=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193525=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193526=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193527=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193528=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193529=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193530=DIRECTION('center_axis',(0.,1.36618045503504E-16,-1.)); #193531=DIRECTION('ref_axis',(0.,1.,1.36618045503504E-16)); #193532=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193533=DIRECTION('center_axis',(0.,1.36618045503504E-16,-1.)); #193534=DIRECTION('ref_axis',(0.,1.,1.36618045503504E-16)); #193535=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193536=DIRECTION('center_axis',(0.,1.36618045503504E-16,-1.)); #193537=DIRECTION('ref_axis',(0.,1.,1.36618045503504E-16)); #193538=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193539=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193540=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193541=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193542=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193543=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193544=DIRECTION('center_axis',(0.,-1.36618045503504E-16,1.)); #193545=DIRECTION('ref_axis',(0.,-1.,-1.36618045503504E-16)); #193546=DIRECTION('center_axis',(0.,1.36618045503504E-16,-1.)); #193547=DIRECTION('ref_axis',(0.,1.,0.)); #193548=DIRECTION('center_axis',(1.,-1.22464679914735E-16,-1.67308852131633E-32)); #193549=DIRECTION('ref_axis',(-1.22464679914735E-16,-1.,0.)); #193550=DIRECTION('center_axis',(0.,1.36618045503504E-16,-1.)); #193551=DIRECTION('ref_axis',(0.,1.,1.36618045503504E-16)); #193552=DIRECTION('center_axis',(0.,0.,1.)); #193553=DIRECTION('ref_axis',(1.,0.,0.)); #193554=DIRECTION('',(-0.86602540378444,-1.06057523872491E-16,0.499999999999998)); #193555=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193556=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193557=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193558=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193559=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193560=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193561=DIRECTION('center_axis',(0.,0.,1.)); #193562=DIRECTION('ref_axis',(1.,0.,0.)); #193563=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193564=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193565=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193566=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193567=DIRECTION('center_axis',(0.,0.,1.)); #193568=DIRECTION('ref_axis',(1.,0.,0.)); #193569=DIRECTION('',(-0.707106781186549,-8.65956056235495E-17,0.707106781186546)); #193570=DIRECTION('center_axis',(0.,0.,1.)); #193571=DIRECTION('ref_axis',(1.,0.,0.)); #193572=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193573=DIRECTION('ref_axis',(0.,-1.,0.)); #193574=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193575=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193576=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,-1.55169996169465E-48)); #193577=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,0.)); #193578=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193579=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193580=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193581=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193582=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193583=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193584=DIRECTION('',(8.65956056235493E-17,-0.707106781186547,-0.707106781186547)); #193585=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193586=DIRECTION('ref_axis',(0.,-1.,0.)); #193587=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193588=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193589=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,-1.55169996169465E-48)); #193590=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,0.)); #193591=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193592=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193593=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193594=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193595=DIRECTION('',(0.,1.26705917393897E-32,-1.)); #193596=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193597=DIRECTION('ref_axis',(0.,-1.,0.)); #193598=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193599=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193600=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193601=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193602=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,-1.55169996169465E-48)); #193603=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,0.)); #193604=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193605=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193606=DIRECTION('',(8.65956056235495E-17,0.707106781186549,0.707106781186546)); #193607=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193608=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193609=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193610=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193611=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193612=DIRECTION('ref_axis',(0.,-1.,0.)); #193613=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193614=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193615=DIRECTION('center_axis',(-1.,-1.22464679914735E-16,-1.55169996169465E-48)); #193616=DIRECTION('ref_axis',(-1.22464679914735E-16,1.,0.)); #193617=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193618=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193619=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193620=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193621=DIRECTION('',(3.7557864968429E-16,1.,0.)); #193622=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193623=DIRECTION('ref_axis',(-1.44560289664734E-15,1.,1.26705917393897E-32)); #193624=DIRECTION('',(-0.866025403784439,0.5,0.)); #193625=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193626=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193627=DIRECTION('',(0.866025403784439,0.5,0.)); #193628=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193629=DIRECTION('ref_axis',(-1.44560289664734E-15,1.,1.26705917393897E-32)); #193630=DIRECTION('',(3.7557864968429E-16,1.,0.)); #193631=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193632=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193633=DIRECTION('',(0.866025403784438,-0.5,0.)); #193634=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193635=DIRECTION('ref_axis',(1.77635683940025E-15,1.,1.26705917393897E-32)); #193636=DIRECTION('',(0.866025403784439,0.5,0.)); #193637=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193638=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193639=DIRECTION('',(0.,-1.,0.)); #193640=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193641=DIRECTION('ref_axis',(1.44560289664734E-15,1.,1.26705917393897E-32)); #193642=DIRECTION('',(0.866025403784438,-0.5,0.)); #193643=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193644=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193645=DIRECTION('',(-0.866025403784439,-0.5,0.)); #193646=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193647=DIRECTION('ref_axis',(1.44560289664734E-15,1.,1.26705917393897E-32)); #193648=DIRECTION('',(0.,-1.,0.)); #193649=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193650=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193651=DIRECTION('center_axis',(0.5,0.866025403784439,0.)); #193652=DIRECTION('ref_axis',(-0.866025403784439,0.5,0.)); #193653=DIRECTION('',(0.,0.,-1.)); #193654=DIRECTION('',(-0.866025403784439,0.5,0.)); #193655=DIRECTION('',(0.,0.,1.)); #193656=DIRECTION('center_axis',(1.,-3.7557864968429E-16,0.)); #193657=DIRECTION('ref_axis',(3.7557864968429E-16,1.,0.)); #193658=DIRECTION('',(0.,0.,-1.)); #193659=DIRECTION('',(0.,0.,1.)); #193660=DIRECTION('center_axis',(0.5,-0.866025403784439,0.)); #193661=DIRECTION('ref_axis',(0.866025403784439,0.5,0.)); #193662=DIRECTION('',(0.,0.,-1.)); #193663=DIRECTION('',(0.,0.,1.)); #193664=DIRECTION('center_axis',(-0.5,-0.866025403784439,0.)); #193665=DIRECTION('ref_axis',(0.866025403784439,-0.5,0.)); #193666=DIRECTION('',(0.,0.,-1.)); #193667=DIRECTION('',(0.,0.,1.)); #193668=DIRECTION('center_axis',(-1.,0.,0.)); #193669=DIRECTION('ref_axis',(0.,0.,1.)); #193670=DIRECTION('',(0.,0.,1.)); #193671=DIRECTION('',(0.,0.,-1.)); #193672=DIRECTION('center_axis',(-0.5,0.866025403784439,0.)); #193673=DIRECTION('ref_axis',(-0.866025403784439,-0.5,0.)); #193674=DIRECTION('',(0.,0.,-1.)); #193675=DIRECTION('',(-0.866025403784439,-0.5,0.)); #193676=DIRECTION('',(0.,0.,1.)); #193677=DIRECTION('center_axis',(0.,1.26705917393897E-32,-1.)); #193678=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193679=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193680=DIRECTION('ref_axis',(0.,1.,1.26705917393897E-32)); #193681=DIRECTION('center_axis',(0.,0.,-1.)); #193682=DIRECTION('ref_axis',(-1.,0.,0.)); #193683=DIRECTION('center_axis',(0.,0.,-1.)); #193684=DIRECTION('ref_axis',(-1.,0.,0.)); #193685=DIRECTION('center_axis',(0.,0.,-1.)); #193686=DIRECTION('ref_axis',(-1.,0.,0.)); #193687=DIRECTION('center_axis',(0.,0.,-1.)); #193688=DIRECTION('ref_axis',(-1.,0.,0.)); #193689=DIRECTION('center_axis',(0.,0.,-1.)); #193690=DIRECTION('ref_axis',(-1.,0.,0.)); #193691=DIRECTION('center_axis',(0.,0.,-1.)); #193692=DIRECTION('ref_axis',(-1.,0.,0.)); #193693=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193694=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193695=DIRECTION('center_axis',(0.,-1.26705917393897E-32,1.)); #193696=DIRECTION('ref_axis',(0.,-1.,-1.26705917393897E-32)); #193697=DIRECTION('center_axis',(-1.81602685338198E-15,-3.17206578464329E-15, -1.)); #193698=DIRECTION('ref_axis',(-5.82744356147111E-16,1.,-2.90802624366629E-15)); #193699=DIRECTION('axis',(0.,0.,1.)); #193700=DIRECTION('refdir',(1.,0.,0.)); #193701=DIRECTION('center_axis',(0.,-1.,0.)); #193702=DIRECTION('ref_axis',(0.884105186667436,0.,0.467287940040975)); #193703=DIRECTION('center_axis',(0.,1.,0.)); #193704=DIRECTION('ref_axis',(0.884105186667436,0.,0.467287940040975)); #193705=DIRECTION('',(0.,-1.,0.)); #193706=DIRECTION('center_axis',(0.,1.,0.)); #193707=DIRECTION('ref_axis',(0.884105186667436,0.,0.467287940040975)); #193708=DIRECTION('',(0.,-1.,0.)); #193709=DIRECTION('center_axis',(0.,-1.,0.)); #193710=DIRECTION('ref_axis',(0.0485642805221345,0.,0.998820059198536)); #193711=DIRECTION('center_axis',(0.,1.,0.)); #193712=DIRECTION('ref_axis',(0.0485642805221345,0.,0.998820059198536)); #193713=DIRECTION('',(0.,-1.,0.)); #193714=DIRECTION('center_axis',(0.,1.,0.)); #193715=DIRECTION('ref_axis',(0.0485642805221345,0.,0.998820059198536)); #193716=DIRECTION('center_axis',(0.,-1.,0.)); #193717=DIRECTION('ref_axis',(-0.525940975468471,0.,0.850521069887909)); #193718=DIRECTION('center_axis',(0.,1.,0.)); #193719=DIRECTION('ref_axis',(-0.525940975468471,0.,0.850521069887909)); #193720=DIRECTION('',(0.,-1.,0.)); #193721=DIRECTION('center_axis',(0.,1.,0.)); #193722=DIRECTION('ref_axis',(-0.525940975468471,0.,0.850521069887909)); #193723=DIRECTION('center_axis',(0.,-1.,0.)); #193724=DIRECTION('ref_axis',(-0.809652839494254,0.,0.586909089637307)); #193725=DIRECTION('center_axis',(0.,1.,0.)); #193726=DIRECTION('ref_axis',(-0.809652839494254,0.,0.586909089637307)); #193727=DIRECTION('',(0.,-1.,0.)); #193728=DIRECTION('center_axis',(0.,1.,0.)); #193729=DIRECTION('ref_axis',(-0.809652839494254,0.,0.586909089637307)); #193730=DIRECTION('center_axis',(0.,-1.,0.)); #193731=DIRECTION('ref_axis',(-0.959186962910567,0.,0.282772647514577)); #193732=DIRECTION('center_axis',(0.,1.,0.)); #193733=DIRECTION('ref_axis',(-0.959186962910567,0.,0.282772647514577)); #193734=DIRECTION('',(0.,-1.,0.)); #193735=DIRECTION('center_axis',(0.,1.,0.)); #193736=DIRECTION('ref_axis',(-0.959186962910567,0.,0.282772647514577)); #193737=DIRECTION('center_axis',(0.,-1.,0.)); #193738=DIRECTION('ref_axis',(-0.999972296603115,0.,-0.00744352243848581)); #193739=DIRECTION('center_axis',(0.,1.,0.)); #193740=DIRECTION('ref_axis',(-0.999972296603115,0.,-0.00744352243848581)); #193741=DIRECTION('',(0.,-1.,0.)); #193742=DIRECTION('center_axis',(0.,1.,0.)); #193743=DIRECTION('ref_axis',(-0.999972296603115,0.,-0.00744352243848581)); #193744=DIRECTION('center_axis',(1.,0.,0.)); #193745=DIRECTION('ref_axis',(0.,0.,1.)); #193746=DIRECTION('',(0.,0.,1.)); #193747=DIRECTION('',(0.,-1.,0.)); #193748=DIRECTION('',(0.,0.,1.)); #193749=DIRECTION('center_axis',(0.,-1.,0.)); #193750=DIRECTION('ref_axis',(-0.883602111866383,0.,-0.468238516042057)); #193751=DIRECTION('center_axis',(0.,1.,0.)); #193752=DIRECTION('ref_axis',(-0.883602111866383,0.,-0.468238516042057)); #193753=DIRECTION('',(0.,-1.,0.)); #193754=DIRECTION('center_axis',(0.,1.,0.)); #193755=DIRECTION('ref_axis',(-0.883602111866383,0.,-0.468238516042057)); #193756=DIRECTION('center_axis',(0.,-1.,0.)); #193757=DIRECTION('ref_axis',(-0.0456071347170407,0.,-0.998959453262695)); #193758=DIRECTION('center_axis',(0.,1.,0.)); #193759=DIRECTION('ref_axis',(-0.0456071347170407,0.,-0.998959453262695)); #193760=DIRECTION('',(0.,-1.,0.)); #193761=DIRECTION('center_axis',(0.,1.,0.)); #193762=DIRECTION('ref_axis',(-0.0456071347170407,0.,-0.998959453262695)); #193763=DIRECTION('center_axis',(0.,-1.,0.)); #193764=DIRECTION('ref_axis',(0.516052346956074,0.,-0.856557047254372)); #193765=DIRECTION('center_axis',(0.,1.,0.)); #193766=DIRECTION('ref_axis',(0.516052346956074,0.,-0.856557047254372)); #193767=DIRECTION('',(0.,-1.,0.)); #193768=DIRECTION('center_axis',(0.,1.,0.)); #193769=DIRECTION('ref_axis',(0.516052346956074,0.,-0.856557047254372)); #193770=DIRECTION('center_axis',(0.,-1.,0.)); #193771=DIRECTION('ref_axis',(0.808380945326312,0.,-0.588659704101901)); #193772=DIRECTION('center_axis',(0.,1.,0.)); #193773=DIRECTION('ref_axis',(0.808380945326312,0.,-0.588659704101901)); #193774=DIRECTION('',(0.,-1.,0.)); #193775=DIRECTION('center_axis',(0.,1.,0.)); #193776=DIRECTION('ref_axis',(0.808380945326312,0.,-0.588659704101901)); #193777=DIRECTION('center_axis',(0.,-1.,0.)); #193778=DIRECTION('ref_axis',(0.958521003017541,0.,-0.285021905779621)); #193779=DIRECTION('center_axis',(0.,1.,0.)); #193780=DIRECTION('ref_axis',(0.958521003017541,0.,-0.285021905779621)); #193781=DIRECTION('',(0.,-1.,0.)); #193782=DIRECTION('center_axis',(0.,1.,0.)); #193783=DIRECTION('ref_axis',(0.958521003017541,0.,-0.285021905779621)); #193784=DIRECTION('center_axis',(0.,-1.,0.)); #193785=DIRECTION('ref_axis',(0.999969408082956,0.,0.00782194977111535)); #193786=DIRECTION('center_axis',(0.,1.,0.)); #193787=DIRECTION('ref_axis',(0.999969408082956,0.,0.00782194977111535)); #193788=DIRECTION('',(0.,-1.,0.)); #193789=DIRECTION('center_axis',(0.,1.,0.)); #193790=DIRECTION('ref_axis',(0.999969408082956,0.,0.00782194977111535)); #193791=DIRECTION('center_axis',(-1.,0.,0.)); #193792=DIRECTION('ref_axis',(0.,0.,-1.)); #193793=DIRECTION('',(0.,0.,-1.)); #193794=DIRECTION('',(0.,0.,-1.)); #193795=DIRECTION('center_axis',(0.,-1.,0.)); #193796=DIRECTION('ref_axis',(0.998737487443916,0.,-0.0502337652800728)); #193797=DIRECTION('center_axis',(0.,-1.,0.)); #193798=DIRECTION('ref_axis',(0.998737487443916,0.,-0.0502337652800728)); #193799=DIRECTION('',(0.,-1.,0.)); #193800=DIRECTION('center_axis',(0.,-1.,0.)); #193801=DIRECTION('ref_axis',(0.998737487443916,0.,-0.0502337652800728)); #193802=DIRECTION('',(0.,-1.,0.)); #193803=DIRECTION('center_axis',(1.,0.,0.)); #193804=DIRECTION('ref_axis',(0.,0.,1.)); #193805=DIRECTION('',(0.,0.,1.)); #193806=DIRECTION('',(0.,-1.,0.)); #193807=DIRECTION('',(0.,0.,1.)); #193808=DIRECTION('center_axis',(0.,-1.,0.)); #193809=DIRECTION('ref_axis',(0.972810635370549,0.,-0.231601959641858)); #193810=DIRECTION('center_axis',(0.,-1.,0.)); #193811=DIRECTION('ref_axis',(0.972810635370549,0.,-0.231601959641858)); #193812=DIRECTION('',(0.,-1.,0.)); #193813=DIRECTION('center_axis',(0.,-1.,0.)); #193814=DIRECTION('ref_axis',(0.972810635370549,0.,-0.231601959641858)); #193815=DIRECTION('center_axis',(0.,-1.,0.)); #193816=DIRECTION('ref_axis',(0.901656865715562,0.,-0.432452189852229)); #193817=DIRECTION('center_axis',(0.,-1.,0.)); #193818=DIRECTION('ref_axis',(0.901656865715562,0.,-0.432452189852229)); #193819=DIRECTION('',(0.,-1.,0.)); #193820=DIRECTION('center_axis',(0.,-1.,0.)); #193821=DIRECTION('ref_axis',(0.901656865715562,0.,-0.432452189852229)); #193822=DIRECTION('center_axis',(0.,-1.,0.)); #193823=DIRECTION('ref_axis',(0.775924492190661,0.,-0.63082579403403)); #193824=DIRECTION('center_axis',(0.,-1.,0.)); #193825=DIRECTION('ref_axis',(0.775924492190661,0.,-0.63082579403403)); #193826=DIRECTION('',(0.,-1.,0.)); #193827=DIRECTION('center_axis',(0.,-1.,0.)); #193828=DIRECTION('ref_axis',(0.775924492190661,0.,-0.63082579403403)); #193829=DIRECTION('center_axis',(0.,-1.,0.)); #193830=DIRECTION('ref_axis',(0.41156368039751,0.,-0.911381005385594)); #193831=DIRECTION('center_axis',(0.,-1.,0.)); #193832=DIRECTION('ref_axis',(0.41156368039751,0.,-0.911381005385594)); #193833=DIRECTION('',(0.,-1.,0.)); #193834=DIRECTION('center_axis',(0.,-1.,0.)); #193835=DIRECTION('ref_axis',(0.41156368039751,0.,-0.911381005385594)); #193836=DIRECTION('center_axis',(0.,-1.,0.)); #193837=DIRECTION('ref_axis',(-0.00530866369460768,0.,-0.99998590894561)); #193838=DIRECTION('center_axis',(0.,-1.,0.)); #193839=DIRECTION('ref_axis',(-0.00530866369460768,0.,-0.99998590894561)); #193840=DIRECTION('',(0.,-1.,0.)); #193841=DIRECTION('center_axis',(0.,-1.,0.)); #193842=DIRECTION('ref_axis',(-0.00530866369460768,0.,-0.99998590894561)); #193843=DIRECTION('center_axis',(0.,-1.,0.)); #193844=DIRECTION('ref_axis',(-0.780976712895742,0.,-0.624560144353258)); #193845=DIRECTION('center_axis',(0.,-1.,0.)); #193846=DIRECTION('ref_axis',(-0.780976712895742,0.,-0.624560144353258)); #193847=DIRECTION('',(0.,-1.,0.)); #193848=DIRECTION('center_axis',(0.,-1.,0.)); #193849=DIRECTION('ref_axis',(-0.780976712895742,0.,-0.624560144353258)); #193850=DIRECTION('center_axis',(0.,-1.,0.)); #193851=DIRECTION('ref_axis',(-0.998705243969666,0.,0.0508707741978697)); #193852=DIRECTION('center_axis',(0.,-1.,0.)); #193853=DIRECTION('ref_axis',(-0.998705243969666,0.,0.0508707741978697)); #193854=DIRECTION('',(0.,-1.,0.)); #193855=DIRECTION('center_axis',(0.,-1.,0.)); #193856=DIRECTION('ref_axis',(-0.998705243969666,0.,0.0508707741978697)); #193857=DIRECTION('center_axis',(-1.,0.,0.)); #193858=DIRECTION('ref_axis',(0.,0.,-1.)); #193859=DIRECTION('',(0.,0.,-1.)); #193860=DIRECTION('',(0.,-1.,0.)); #193861=DIRECTION('',(0.,0.,-1.)); #193862=DIRECTION('center_axis',(0.,-1.,0.)); #193863=DIRECTION('ref_axis',(-0.970228618727019,0.,0.242190890421297)); #193864=DIRECTION('center_axis',(0.,-1.,0.)); #193865=DIRECTION('ref_axis',(-0.970228618727019,0.,0.242190890421297)); #193866=DIRECTION('',(0.,-1.,0.)); #193867=DIRECTION('center_axis',(0.,-1.,0.)); #193868=DIRECTION('ref_axis',(-0.970228618727019,0.,0.242190890421297)); #193869=DIRECTION('center_axis',(0.,-1.,0.)); #193870=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #193871=DIRECTION('center_axis',(0.,-1.,0.)); #193872=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #193873=DIRECTION('',(0.,-1.,0.)); #193874=DIRECTION('center_axis',(0.,-1.,0.)); #193875=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #193876=DIRECTION('center_axis',(0.,-1.,0.)); #193877=DIRECTION('ref_axis',(-0.77789209172467,0.,0.628397878443441)); #193878=DIRECTION('center_axis',(0.,-1.,0.)); #193879=DIRECTION('ref_axis',(-0.77789209172467,0.,0.628397878443441)); #193880=DIRECTION('',(0.,-1.,0.)); #193881=DIRECTION('center_axis',(0.,-1.,0.)); #193882=DIRECTION('ref_axis',(-0.77789209172467,0.,0.628397878443441)); #193883=DIRECTION('center_axis',(0.,-1.,0.)); #193884=DIRECTION('ref_axis',(-0.408383029426122,0.,0.912810660146311)); #193885=DIRECTION('center_axis',(0.,-1.,0.)); #193886=DIRECTION('ref_axis',(-0.408383029426122,0.,0.912810660146311)); #193887=DIRECTION('',(0.,-1.,0.)); #193888=DIRECTION('center_axis',(0.,-1.,0.)); #193889=DIRECTION('ref_axis',(-0.408383029426122,0.,0.912810660146311)); #193890=DIRECTION('center_axis',(0.,-1.,0.)); #193891=DIRECTION('ref_axis',(0.00325389039708078,0.,0.999994706084629)); #193892=DIRECTION('center_axis',(0.,-1.,0.)); #193893=DIRECTION('ref_axis',(0.00325389039708078,0.,0.999994706084629)); #193894=DIRECTION('',(0.,-1.,0.)); #193895=DIRECTION('center_axis',(0.,-1.,0.)); #193896=DIRECTION('ref_axis',(0.00325389039708078,0.,0.999994706084629)); #193897=DIRECTION('center_axis',(0.,-1.,0.)); #193898=DIRECTION('ref_axis',(0.78097381255195,0.,0.6245637710499)); #193899=DIRECTION('center_axis',(0.,-1.,0.)); #193900=DIRECTION('ref_axis',(0.78097381255195,0.,0.6245637710499)); #193901=DIRECTION('center_axis',(0.,-1.,0.)); #193902=DIRECTION('ref_axis',(0.78097381255195,0.,0.6245637710499)); #193903=DIRECTION('center_axis',(0.,1.,0.)); #193904=DIRECTION('ref_axis',(1.,0.,0.)); #193905=DIRECTION('center_axis',(-1.,0.,0.)); #193906=DIRECTION('ref_axis',(0.,0.,-1.)); #193907=DIRECTION('',(0.,0.,-1.)); #193908=DIRECTION('',(0.,-1.,0.)); #193909=DIRECTION('',(0.,0.,-1.)); #193910=DIRECTION('',(0.,-1.,0.)); #193911=DIRECTION('center_axis',(0.,0.,1.)); #193912=DIRECTION('ref_axis',(-1.,0.,0.)); #193913=DIRECTION('',(-1.,0.,0.)); #193914=DIRECTION('',(0.,-1.,0.)); #193915=DIRECTION('',(-1.,0.,0.)); #193916=DIRECTION('center_axis',(1.,0.,0.)); #193917=DIRECTION('ref_axis',(0.,0.,1.)); #193918=DIRECTION('',(0.,0.,1.)); #193919=DIRECTION('',(0.,-1.,0.)); #193920=DIRECTION('',(0.,0.,1.)); #193921=DIRECTION('center_axis',(0.,0.,-1.)); #193922=DIRECTION('ref_axis',(1.,0.,0.)); #193923=DIRECTION('',(1.,0.,0.)); #193924=DIRECTION('',(1.,0.,0.)); #193925=DIRECTION('center_axis',(0.,-1.,0.)); #193926=DIRECTION('ref_axis',(0.,0.,-1.)); #193927=DIRECTION('center_axis',(0.,0.,1.)); #193928=DIRECTION('ref_axis',(-1.,0.,0.)); #193929=DIRECTION('',(-1.,0.,0.)); #193930=DIRECTION('',(0.,-1.,0.)); #193931=DIRECTION('',(-1.,0.,0.)); #193932=DIRECTION('',(0.,-1.,0.)); #193933=DIRECTION('center_axis',(-0.935888665682422,0.,0.352295906089151)); #193934=DIRECTION('ref_axis',(-0.352295906089151,0.,-0.935888665682422)); #193935=DIRECTION('',(-0.352295906089151,0.,-0.935888665682422)); #193936=DIRECTION('',(0.,-1.,0.)); #193937=DIRECTION('',(-0.352295906089151,0.,-0.935888665682422)); #193938=DIRECTION('center_axis',(0.,0.,-1.)); #193939=DIRECTION('ref_axis',(1.,0.,0.)); #193940=DIRECTION('',(1.,0.,0.)); #193941=DIRECTION('',(0.,-1.,0.)); #193942=DIRECTION('',(1.,0.,0.)); #193943=DIRECTION('center_axis',(0.939360021981413,0.,0.342932572239909)); #193944=DIRECTION('ref_axis',(-0.342932572239908,0.,0.939360021981413)); #193945=DIRECTION('',(-0.342932572239908,0.,0.939360021981413)); #193946=DIRECTION('',(-0.342932572239908,0.,0.939360021981413)); #193947=DIRECTION('center_axis',(0.,-1.,0.)); #193948=DIRECTION('ref_axis',(-0.10056407537043,0.,-0.994930583882559)); #193949=DIRECTION('center_axis',(0.,-1.,0.)); #193950=DIRECTION('ref_axis',(-0.10056407537043,0.,-0.994930583882559)); #193951=DIRECTION('',(0.,-1.,0.)); #193952=DIRECTION('center_axis',(0.,-1.,0.)); #193953=DIRECTION('ref_axis',(-0.10056407537043,0.,-0.994930583882559)); #193954=DIRECTION('',(0.,-1.,0.)); #193955=DIRECTION('center_axis',(0.,0.,-1.)); #193956=DIRECTION('ref_axis',(1.,0.,0.)); #193957=DIRECTION('',(1.,0.,0.)); #193958=DIRECTION('',(0.,-1.,0.)); #193959=DIRECTION('',(1.,0.,0.)); #193960=DIRECTION('center_axis',(0.936547977658957,0.,-0.35053942081158)); #193961=DIRECTION('ref_axis',(0.35053942081158,0.,0.936547977658957)); #193962=DIRECTION('',(0.35053942081158,0.,0.936547977658957)); #193963=DIRECTION('',(0.,-1.,0.)); #193964=DIRECTION('',(0.35053942081158,0.,0.936547977658957)); #193965=DIRECTION('center_axis',(0.,0.,-1.)); #193966=DIRECTION('ref_axis',(1.,0.,0.)); #193967=DIRECTION('',(1.,0.,0.)); #193968=DIRECTION('',(0.,-1.,0.)); #193969=DIRECTION('',(1.,0.,0.)); #193970=DIRECTION('center_axis',(0.,-1.,0.)); #193971=DIRECTION('ref_axis',(-0.587325820287071,0.,-0.80935059203297)); #193972=DIRECTION('center_axis',(0.,-1.,0.)); #193973=DIRECTION('ref_axis',(-0.587325820287071,0.,-0.80935059203297)); #193974=DIRECTION('',(0.,-1.,0.)); #193975=DIRECTION('center_axis',(0.,-1.,0.)); #193976=DIRECTION('ref_axis',(-0.587325820287071,0.,-0.80935059203297)); #193977=DIRECTION('center_axis',(0.,-1.,0.)); #193978=DIRECTION('ref_axis',(-0.999776662764984,0.,0.0211334945172671)); #193979=DIRECTION('center_axis',(0.,-1.,0.)); #193980=DIRECTION('ref_axis',(-0.999776662764984,0.,0.0211334945172671)); #193981=DIRECTION('',(0.,-1.,0.)); #193982=DIRECTION('center_axis',(0.,-1.,0.)); #193983=DIRECTION('ref_axis',(-0.999776662764984,0.,0.0211334945172671)); #193984=DIRECTION('center_axis',(0.,-1.,0.)); #193985=DIRECTION('ref_axis',(-0.681587820360038,0.,0.731736320771938)); #193986=DIRECTION('center_axis',(0.,-1.,0.)); #193987=DIRECTION('ref_axis',(-0.681587820360038,0.,0.731736320771938)); #193988=DIRECTION('',(0.,-1.,0.)); #193989=DIRECTION('center_axis',(0.,-1.,0.)); #193990=DIRECTION('ref_axis',(-0.681587820360038,0.,0.731736320771938)); #193991=DIRECTION('center_axis',(0.,-1.,0.)); #193992=DIRECTION('ref_axis',(0.100626976081161,0.,0.994924224091846)); #193993=DIRECTION('center_axis',(0.,-1.,0.)); #193994=DIRECTION('ref_axis',(0.100626976081161,0.,0.994924224091846)); #193995=DIRECTION('',(0.,-1.,0.)); #193996=DIRECTION('center_axis',(0.,-1.,0.)); #193997=DIRECTION('ref_axis',(0.100626976081161,0.,0.994924224091846)); #193998=DIRECTION('center_axis',(0.,0.,1.)); #193999=DIRECTION('ref_axis',(-1.,0.,0.)); #194000=DIRECTION('',(-1.,0.,0.)); #194001=DIRECTION('',(0.,-1.,0.)); #194002=DIRECTION('',(-1.,0.,0.)); #194003=DIRECTION('center_axis',(-0.938755952092604,0.,-0.344582736669596)); #194004=DIRECTION('ref_axis',(0.344582736669596,0.,-0.938755952092604)); #194005=DIRECTION('',(0.344582736669596,0.,-0.938755952092604)); #194006=DIRECTION('',(0.,-1.,0.)); #194007=DIRECTION('',(0.344582736669596,0.,-0.938755952092604)); #194008=DIRECTION('center_axis',(-3.85159765698395E-13,0.,-1.)); #194009=DIRECTION('ref_axis',(1.,0.,-3.85159765698395E-13)); #194010=DIRECTION('',(1.,0.,-3.85159765698395E-13)); #194011=DIRECTION('',(0.,-1.,0.)); #194012=DIRECTION('',(1.,0.,-3.85159765698395E-13)); #194013=DIRECTION('center_axis',(0.,-1.,0.)); #194014=DIRECTION('ref_axis',(-0.587328027772741,0.,-0.809348990110313)); #194015=DIRECTION('center_axis',(0.,-1.,0.)); #194016=DIRECTION('ref_axis',(-0.587328027772741,0.,-0.809348990110313)); #194017=DIRECTION('',(0.,-1.,0.)); #194018=DIRECTION('center_axis',(0.,-1.,0.)); #194019=DIRECTION('ref_axis',(-0.587328027772741,0.,-0.809348990110313)); #194020=DIRECTION('center_axis',(0.,-1.,0.)); #194021=DIRECTION('ref_axis',(-0.99977235385866,0.,0.0213363647305443)); #194022=DIRECTION('center_axis',(0.,-1.,0.)); #194023=DIRECTION('ref_axis',(-0.99977235385866,0.,0.0213363647305443)); #194024=DIRECTION('',(0.,-1.,0.)); #194025=DIRECTION('center_axis',(0.,-1.,0.)); #194026=DIRECTION('ref_axis',(-0.99977235385866,0.,0.0213363647305443)); #194027=DIRECTION('center_axis',(0.,-1.,0.)); #194028=DIRECTION('ref_axis',(-0.684915558594675,0.,0.728622452025015)); #194029=DIRECTION('center_axis',(0.,-1.,0.)); #194030=DIRECTION('ref_axis',(-0.684915558594675,0.,0.728622452025015)); #194031=DIRECTION('',(0.,-1.,0.)); #194032=DIRECTION('center_axis',(0.,-1.,0.)); #194033=DIRECTION('ref_axis',(-0.684915558594675,0.,0.728622452025015)); #194034=DIRECTION('center_axis',(0.,-1.,0.)); #194035=DIRECTION('ref_axis',(0.100560009681342,0.,0.994930994819685)); #194036=DIRECTION('center_axis',(0.,-1.,0.)); #194037=DIRECTION('ref_axis',(0.100560009681342,0.,0.994930994819685)); #194038=DIRECTION('',(0.,-1.,0.)); #194039=DIRECTION('center_axis',(0.,-1.,0.)); #194040=DIRECTION('ref_axis',(0.100560009681342,0.,0.994930994819685)); #194041=DIRECTION('center_axis',(-7.97337731904274E-14,0.,1.)); #194042=DIRECTION('ref_axis',(-1.,0.,-7.97337731904274E-14)); #194043=DIRECTION('',(-1.,0.,-7.97337731904274E-14)); #194044=DIRECTION('',(0.,-1.,0.)); #194045=DIRECTION('',(-1.,0.,-7.97337731904274E-14)); #194046=DIRECTION('center_axis',(0.,-1.,0.)); #194047=DIRECTION('ref_axis',(0.604396567763752,0.,0.796683619058028)); #194048=DIRECTION('center_axis',(0.,-1.,0.)); #194049=DIRECTION('ref_axis',(0.604396567763752,0.,0.796683619058028)); #194050=DIRECTION('',(0.,-1.,0.)); #194051=DIRECTION('center_axis',(0.,-1.,0.)); #194052=DIRECTION('ref_axis',(0.604396567763752,0.,0.796683619058028)); #194053=DIRECTION('center_axis',(0.,-1.,0.)); #194054=DIRECTION('ref_axis',(0.999987178534013,0.,-0.00506386883560371)); #194055=DIRECTION('center_axis',(0.,-1.,0.)); #194056=DIRECTION('ref_axis',(0.999987178534013,0.,-0.00506386883560371)); #194057=DIRECTION('',(0.,-1.,0.)); #194058=DIRECTION('center_axis',(0.,-1.,0.)); #194059=DIRECTION('ref_axis',(0.999987178534013,0.,-0.00506386883560371)); #194060=DIRECTION('center_axis',(0.,-1.,0.)); #194061=DIRECTION('ref_axis',(0.681787102577417,0.,-0.731550645382184)); #194062=DIRECTION('center_axis',(0.,-1.,0.)); #194063=DIRECTION('ref_axis',(0.681787102577417,0.,-0.731550645382184)); #194064=DIRECTION('',(0.,-1.,0.)); #194065=DIRECTION('center_axis',(0.,-1.,0.)); #194066=DIRECTION('ref_axis',(0.681787102577417,0.,-0.731550645382184)); #194067=DIRECTION('center_axis',(0.,-1.,0.)); #194068=DIRECTION('ref_axis',(-0.100629689715392,0.,-0.994923949630214)); #194069=DIRECTION('center_axis',(0.,-1.,0.)); #194070=DIRECTION('ref_axis',(-0.100629689715392,0.,-0.994923949630214)); #194071=DIRECTION('',(0.,-1.,0.)); #194072=DIRECTION('center_axis',(0.,-1.,0.)); #194073=DIRECTION('ref_axis',(-0.100629689715392,0.,-0.994923949630214)); #194074=DIRECTION('center_axis',(0.,0.,-1.)); #194075=DIRECTION('ref_axis',(1.,0.,0.)); #194076=DIRECTION('',(1.,0.,0.)); #194077=DIRECTION('',(0.,-1.,0.)); #194078=DIRECTION('',(1.,0.,0.)); #194079=DIRECTION('center_axis',(0.939404950414571,0.,0.342809479356388)); #194080=DIRECTION('ref_axis',(-0.342809479356388,0.,0.939404950414571)); #194081=DIRECTION('',(-0.342809479356388,0.,0.939404950414571)); #194082=DIRECTION('',(0.,-1.,0.)); #194083=DIRECTION('',(-0.342809479356388,0.,0.939404950414571)); #194084=DIRECTION('center_axis',(0.,0.,1.)); #194085=DIRECTION('ref_axis',(-1.,0.,0.)); #194086=DIRECTION('',(-1.,0.,0.)); #194087=DIRECTION('',(0.,-1.,0.)); #194088=DIRECTION('',(-1.,0.,0.)); #194089=DIRECTION('center_axis',(-0.93745113324833,0.,0.34811689526856)); #194090=DIRECTION('ref_axis',(-0.34811689526856,0.,-0.93745113324833)); #194091=DIRECTION('',(-0.34811689526856,0.,-0.93745113324833)); #194092=DIRECTION('',(0.,-1.,0.)); #194093=DIRECTION('',(-0.34811689526856,0.,-0.93745113324833)); #194094=DIRECTION('center_axis',(0.,0.,-1.)); #194095=DIRECTION('ref_axis',(1.,0.,0.)); #194096=DIRECTION('',(1.,0.,0.)); #194097=DIRECTION('',(0.,-1.,0.)); #194098=DIRECTION('',(1.,0.,0.)); #194099=DIRECTION('center_axis',(0.,-1.,0.)); #194100=DIRECTION('ref_axis',(-0.582683092406049,0.,-0.812699460947357)); #194101=DIRECTION('center_axis',(0.,-1.,0.)); #194102=DIRECTION('ref_axis',(-0.582683092406049,0.,-0.812699460947357)); #194103=DIRECTION('',(0.,-1.,0.)); #194104=DIRECTION('center_axis',(0.,-1.,0.)); #194105=DIRECTION('ref_axis',(-0.582683092406049,0.,-0.812699460947357)); #194106=DIRECTION('center_axis',(0.,-1.,0.)); #194107=DIRECTION('ref_axis',(-0.999772353858659,0.,0.0213363647305574)); #194108=DIRECTION('center_axis',(0.,-1.,0.)); #194109=DIRECTION('ref_axis',(-0.999772353858659,0.,0.0213363647305574)); #194110=DIRECTION('',(0.,-1.,0.)); #194111=DIRECTION('center_axis',(0.,-1.,0.)); #194112=DIRECTION('ref_axis',(-0.999772353858659,0.,0.0213363647305574)); #194113=DIRECTION('center_axis',(0.,-1.,0.)); #194114=DIRECTION('ref_axis',(-0.684915558594997,0.,0.728622452024712)); #194115=DIRECTION('center_axis',(0.,-1.,0.)); #194116=DIRECTION('ref_axis',(-0.684915558594997,0.,0.728622452024712)); #194117=DIRECTION('',(0.,-1.,0.)); #194118=DIRECTION('center_axis',(0.,-1.,0.)); #194119=DIRECTION('ref_axis',(-0.684915558594997,0.,0.728622452024712)); #194120=DIRECTION('center_axis',(0.,-1.,0.)); #194121=DIRECTION('ref_axis',(0.10646466575506,0.,0.994316486308893)); #194122=DIRECTION('center_axis',(0.,-1.,0.)); #194123=DIRECTION('ref_axis',(0.10646466575506,0.,0.994316486308893)); #194124=DIRECTION('',(0.,-1.,0.)); #194125=DIRECTION('center_axis',(0.,-1.,0.)); #194126=DIRECTION('ref_axis',(0.10646466575506,0.,0.994316486308893)); #194127=DIRECTION('center_axis',(0.,0.,1.)); #194128=DIRECTION('ref_axis',(-1.,0.,0.)); #194129=DIRECTION('',(-1.,0.,0.)); #194130=DIRECTION('',(0.,-1.,0.)); #194131=DIRECTION('',(-1.,0.,0.)); #194132=DIRECTION('center_axis',(0.,-1.,0.)); #194133=DIRECTION('ref_axis',(0.604451020242747,0.,0.796642306262668)); #194134=DIRECTION('center_axis',(0.,-1.,0.)); #194135=DIRECTION('ref_axis',(0.604451020242747,0.,0.796642306262668)); #194136=DIRECTION('',(0.,-1.,0.)); #194137=DIRECTION('center_axis',(0.,-1.,0.)); #194138=DIRECTION('ref_axis',(0.604451020242747,0.,0.796642306262668)); #194139=DIRECTION('center_axis',(0.,-1.,0.)); #194140=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #194141=DIRECTION('center_axis',(0.,-1.,0.)); #194142=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #194143=DIRECTION('',(0.,-1.,0.)); #194144=DIRECTION('center_axis',(0.,-1.,0.)); #194145=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #194146=DIRECTION('center_axis',(0.,-1.,0.)); #194147=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #194148=DIRECTION('center_axis',(0.,-1.,0.)); #194149=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #194150=DIRECTION('center_axis',(0.,-1.,0.)); #194151=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #194152=DIRECTION('center_axis',(0.,1.,0.)); #194153=DIRECTION('ref_axis',(1.,0.,0.)); #194154=DIRECTION('center_axis',(0.,0.,1.)); #194155=DIRECTION('ref_axis',(-1.,0.,0.)); #194156=DIRECTION('',(-1.,0.,0.)); #194157=DIRECTION('',(0.,-1.,0.)); #194158=DIRECTION('',(-1.,0.,0.)); #194159=DIRECTION('',(0.,-1.,0.)); #194160=DIRECTION('center_axis',(0.,-1.,0.)); #194161=DIRECTION('ref_axis',(0.604381141061283,0.,0.79669532214609)); #194162=DIRECTION('center_axis',(0.,-1.,0.)); #194163=DIRECTION('ref_axis',(0.604381141061283,0.,0.79669532214609)); #194164=DIRECTION('',(0.,-1.,0.)); #194165=DIRECTION('center_axis',(0.,-1.,0.)); #194166=DIRECTION('ref_axis',(0.604381141061283,0.,0.79669532214609)); #194167=DIRECTION('center_axis',(0.,-1.,0.)); #194168=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #194169=DIRECTION('center_axis',(0.,-1.,0.)); #194170=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #194171=DIRECTION('',(0.,-1.,0.)); #194172=DIRECTION('center_axis',(0.,-1.,0.)); #194173=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #194174=DIRECTION('center_axis',(0.,-1.,0.)); #194175=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #194176=DIRECTION('center_axis',(0.,-1.,0.)); #194177=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #194178=DIRECTION('',(0.,-1.,0.)); #194179=DIRECTION('center_axis',(0.,-1.,0.)); #194180=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #194181=DIRECTION('center_axis',(0.,-1.,0.)); #194182=DIRECTION('ref_axis',(-0.100610482528043,0.,-0.994925892117335)); #194183=DIRECTION('center_axis',(0.,-1.,0.)); #194184=DIRECTION('ref_axis',(-0.100610482528043,0.,-0.994925892117335)); #194185=DIRECTION('',(0.,-1.,0.)); #194186=DIRECTION('center_axis',(0.,-1.,0.)); #194187=DIRECTION('ref_axis',(-0.100610482528043,0.,-0.994925892117335)); #194188=DIRECTION('center_axis',(0.,0.,-1.)); #194189=DIRECTION('ref_axis',(1.,0.,0.)); #194190=DIRECTION('',(1.,0.,0.)); #194191=DIRECTION('',(0.,-1.,0.)); #194192=DIRECTION('',(1.,0.,0.)); #194193=DIRECTION('center_axis',(1.,0.,0.)); #194194=DIRECTION('ref_axis',(0.,0.,1.)); #194195=DIRECTION('',(0.,0.,1.)); #194196=DIRECTION('',(0.,-1.,0.)); #194197=DIRECTION('',(0.,0.,1.)); #194198=DIRECTION('center_axis',(-0.302926141399087,0.,-0.953014036023111)); #194199=DIRECTION('ref_axis',(0.953014036023111,0.,-0.302926141399087)); #194200=DIRECTION('',(0.953014036023111,0.,-0.302926141399087)); #194201=DIRECTION('',(0.,-1.,0.)); #194202=DIRECTION('',(0.953014036023111,0.,-0.302926141399087)); #194203=DIRECTION('center_axis',(0.,-1.,0.)); #194204=DIRECTION('ref_axis',(-0.643993215597083,0.,-0.765031200844076)); #194205=DIRECTION('center_axis',(0.,-1.,0.)); #194206=DIRECTION('ref_axis',(-0.643993215597083,0.,-0.765031200844076)); #194207=DIRECTION('',(0.,-1.,0.)); #194208=DIRECTION('center_axis',(0.,-1.,0.)); #194209=DIRECTION('ref_axis',(-0.643993215597083,0.,-0.765031200844076)); #194210=DIRECTION('center_axis',(0.,-1.,0.)); #194211=DIRECTION('ref_axis',(-0.999894214482556,0.,-0.0145450969165717)); #194212=DIRECTION('center_axis',(0.,-1.,0.)); #194213=DIRECTION('ref_axis',(-0.999894214482556,0.,-0.0145450969165717)); #194214=DIRECTION('',(0.,-1.,0.)); #194215=DIRECTION('center_axis',(0.,-1.,0.)); #194216=DIRECTION('ref_axis',(-0.999894214482556,0.,-0.0145450969165717)); #194217=DIRECTION('center_axis',(0.,-1.,0.)); #194218=DIRECTION('ref_axis',(-0.704958095909938,0.,0.70924895700384)); #194219=DIRECTION('center_axis',(0.,-1.,0.)); #194220=DIRECTION('ref_axis',(-0.704958095909938,0.,0.70924895700384)); #194221=DIRECTION('',(0.,-1.,0.)); #194222=DIRECTION('center_axis',(0.,-1.,0.)); #194223=DIRECTION('ref_axis',(-0.704958095909938,0.,0.70924895700384)); #194224=DIRECTION('center_axis',(0.,-1.,0.)); #194225=DIRECTION('ref_axis',(-0.035241990185126,0.,0.999378808124223)); #194226=DIRECTION('center_axis',(0.,-1.,0.)); #194227=DIRECTION('ref_axis',(-0.035241990185126,0.,0.999378808124223)); #194228=DIRECTION('',(0.,-1.,0.)); #194229=DIRECTION('center_axis',(0.,-1.,0.)); #194230=DIRECTION('ref_axis',(-0.035241990185126,0.,0.999378808124223)); #194231=DIRECTION('center_axis',(0.,-1.,0.)); #194232=DIRECTION('ref_axis',(0.345220293529251,0.,0.938521682719999)); #194233=DIRECTION('center_axis',(0.,-1.,0.)); #194234=DIRECTION('ref_axis',(0.345220293529251,0.,0.938521682719999)); #194235=DIRECTION('',(0.,-1.,0.)); #194236=DIRECTION('center_axis',(0.,-1.,0.)); #194237=DIRECTION('ref_axis',(0.345220293529251,0.,0.938521682719999)); #194238=DIRECTION('center_axis',(0.301840076875046,0.,0.95335857262211)); #194239=DIRECTION('ref_axis',(-0.95335857262211,0.,0.301840076875046)); #194240=DIRECTION('',(-0.95335857262211,0.,0.301840076875046)); #194241=DIRECTION('',(0.,-1.,0.)); #194242=DIRECTION('',(-0.95335857262211,0.,0.301840076875046)); #194243=DIRECTION('center_axis',(-1.,0.,0.)); #194244=DIRECTION('ref_axis',(0.,0.,-1.)); #194245=DIRECTION('',(0.,0.,-1.)); #194246=DIRECTION('',(0.,-1.,0.)); #194247=DIRECTION('',(0.,0.,-1.)); #194248=DIRECTION('center_axis',(0.,0.,-1.)); #194249=DIRECTION('ref_axis',(1.,0.,0.)); #194250=DIRECTION('',(1.,0.,0.)); #194251=DIRECTION('',(0.,-1.,0.)); #194252=DIRECTION('',(1.,0.,0.)); #194253=DIRECTION('center_axis',(0.,-1.,0.)); #194254=DIRECTION('ref_axis',(-0.587328027771052,0.,-0.809348990111538)); #194255=DIRECTION('center_axis',(0.,-1.,0.)); #194256=DIRECTION('ref_axis',(-0.587328027771052,0.,-0.809348990111538)); #194257=DIRECTION('',(0.,-1.,0.)); #194258=DIRECTION('center_axis',(0.,-1.,0.)); #194259=DIRECTION('ref_axis',(-0.587328027771052,0.,-0.809348990111538)); #194260=DIRECTION('center_axis',(0.,-1.,0.)); #194261=DIRECTION('ref_axis',(-0.999771941914549,0.,0.0213556587445098)); #194262=DIRECTION('center_axis',(0.,-1.,0.)); #194263=DIRECTION('ref_axis',(-0.999771941914549,0.,0.0213556587445098)); #194264=DIRECTION('',(0.,-1.,0.)); #194265=DIRECTION('center_axis',(0.,-1.,0.)); #194266=DIRECTION('ref_axis',(-0.999771941914549,0.,0.0213556587445098)); #194267=DIRECTION('center_axis',(0.,-1.,0.)); #194268=DIRECTION('ref_axis',(-0.684901437822421,0.,0.728635725495792)); #194269=DIRECTION('center_axis',(0.,-1.,0.)); #194270=DIRECTION('ref_axis',(-0.684901437822421,0.,0.728635725495792)); #194271=DIRECTION('',(0.,-1.,0.)); #194272=DIRECTION('center_axis',(0.,-1.,0.)); #194273=DIRECTION('ref_axis',(-0.684901437822421,0.,0.728635725495792)); #194274=DIRECTION('center_axis',(0.,-1.,0.)); #194275=DIRECTION('ref_axis',(0.100560009681017,0.,0.994930994819718)); #194276=DIRECTION('center_axis',(0.,-1.,0.)); #194277=DIRECTION('ref_axis',(0.100560009681017,0.,0.994930994819718)); #194278=DIRECTION('center_axis',(0.,-1.,0.)); #194279=DIRECTION('ref_axis',(0.100560009681017,0.,0.994930994819718)); #194280=DIRECTION('center_axis',(0.,1.,0.)); #194281=DIRECTION('ref_axis',(1.,0.,0.)); #194282=DIRECTION('center_axis',(1.,0.,0.)); #194283=DIRECTION('ref_axis',(0.,0.,1.)); #194284=DIRECTION('',(0.,0.,1.)); #194285=DIRECTION('',(0.,-1.,0.)); #194286=DIRECTION('',(0.,0.,1.)); #194287=DIRECTION('',(0.,-1.,0.)); #194288=DIRECTION('center_axis',(0.,0.,1.)); #194289=DIRECTION('ref_axis',(-1.,0.,0.)); #194290=DIRECTION('',(-1.,0.,0.)); #194291=DIRECTION('',(0.,-1.,0.)); #194292=DIRECTION('',(-1.,0.,0.)); #194293=DIRECTION('center_axis',(0.,-1.,0.)); #194294=DIRECTION('ref_axis',(0.462945353037745,0.,-0.886386823063586)); #194295=DIRECTION('center_axis',(0.,1.,0.)); #194296=DIRECTION('ref_axis',(0.462945353037745,0.,-0.886386823063586)); #194297=DIRECTION('',(0.,-1.,0.)); #194298=DIRECTION('center_axis',(0.,1.,0.)); #194299=DIRECTION('ref_axis',(0.462945353037745,0.,-0.886386823063586)); #194300=DIRECTION('center_axis',(0.,-1.,0.)); #194301=DIRECTION('ref_axis',(0.755396090374292,0.,-0.655268453877672)); #194302=DIRECTION('center_axis',(0.,1.,0.)); #194303=DIRECTION('ref_axis',(0.755396090374292,0.,-0.655268453877672)); #194304=DIRECTION('',(0.,-1.,0.)); #194305=DIRECTION('center_axis',(0.,1.,0.)); #194306=DIRECTION('ref_axis',(0.755396090374292,0.,-0.655268453877672)); #194307=DIRECTION('center_axis',(0.,-1.,0.)); #194308=DIRECTION('ref_axis',(0.925996027540825,0.,-0.377533252811739)); #194309=DIRECTION('center_axis',(0.,1.,0.)); #194310=DIRECTION('ref_axis',(0.925996027540825,0.,-0.377533252811739)); #194311=DIRECTION('',(0.,-1.,0.)); #194312=DIRECTION('center_axis',(0.,1.,0.)); #194313=DIRECTION('ref_axis',(0.925996027540825,0.,-0.377533252811739)); #194314=DIRECTION('center_axis',(0.,-1.,0.)); #194315=DIRECTION('ref_axis',(0.999863937750434,0.,0.0164956353680848)); #194316=DIRECTION('center_axis',(0.,1.,0.)); #194317=DIRECTION('ref_axis',(0.999863937750434,0.,0.0164956353680848)); #194318=DIRECTION('',(0.,-1.,0.)); #194319=DIRECTION('center_axis',(0.,1.,0.)); #194320=DIRECTION('ref_axis',(0.999863937750434,0.,0.0164956353680848)); #194321=DIRECTION('center_axis',(-1.,0.,0.)); #194322=DIRECTION('ref_axis',(0.,0.,-1.)); #194323=DIRECTION('',(0.,0.,-1.)); #194324=DIRECTION('',(0.,-1.,0.)); #194325=DIRECTION('',(0.,0.,-1.)); #194326=DIRECTION('center_axis',(0.,-1.,0.)); #194327=DIRECTION('ref_axis',(0.895918324518452,0.,0.444218815216161)); #194328=DIRECTION('center_axis',(0.,1.,0.)); #194329=DIRECTION('ref_axis',(0.895918324518452,0.,0.444218815216161)); #194330=DIRECTION('',(0.,-1.,0.)); #194331=DIRECTION('center_axis',(0.,1.,0.)); #194332=DIRECTION('ref_axis',(0.895918324518452,0.,0.444218815216161)); #194333=DIRECTION('center_axis',(0.,-1.,0.)); #194334=DIRECTION('ref_axis',(0.594328372530021,0.,0.804222472706288)); #194335=DIRECTION('center_axis',(0.,1.,0.)); #194336=DIRECTION('ref_axis',(0.594328372530021,0.,0.804222472706288)); #194337=DIRECTION('',(0.,-1.,0.)); #194338=DIRECTION('center_axis',(0.,1.,0.)); #194339=DIRECTION('ref_axis',(0.594328372530021,0.,0.804222472706288)); #194340=DIRECTION('center_axis',(0.,-1.,0.)); #194341=DIRECTION('ref_axis',(0.0147589702428493,0.,0.999891080466953)); #194342=DIRECTION('center_axis',(0.,1.,0.)); #194343=DIRECTION('ref_axis',(0.0147589702428493,0.,0.999891080466953)); #194344=DIRECTION('',(0.,-1.,0.)); #194345=DIRECTION('center_axis',(0.,1.,0.)); #194346=DIRECTION('ref_axis',(0.0147589702428493,0.,0.999891080466953)); #194347=DIRECTION('center_axis',(0.,0.,-1.)); #194348=DIRECTION('ref_axis',(1.,0.,0.)); #194349=DIRECTION('',(1.,0.,0.)); #194350=DIRECTION('',(1.,0.,0.)); #194351=DIRECTION('center_axis',(0.,-1.,0.)); #194352=DIRECTION('ref_axis',(0.547098357670838,0.,0.837068328772431)); #194353=DIRECTION('center_axis',(0.,-1.,0.)); #194354=DIRECTION('ref_axis',(0.547098357670838,0.,0.837068328772431)); #194355=DIRECTION('',(0.,-1.,0.)); #194356=DIRECTION('center_axis',(0.,-1.,0.)); #194357=DIRECTION('ref_axis',(0.547098357670838,0.,0.837068328772431)); #194358=DIRECTION('',(0.,-1.,0.)); #194359=DIRECTION('center_axis',(0.,-1.,0.)); #194360=DIRECTION('ref_axis',(0.895467641907386,0.,0.445126613781771)); #194361=DIRECTION('center_axis',(0.,-1.,0.)); #194362=DIRECTION('ref_axis',(0.895467641907386,0.,0.445126613781771)); #194363=DIRECTION('',(0.,-1.,0.)); #194364=DIRECTION('center_axis',(0.,-1.,0.)); #194365=DIRECTION('ref_axis',(0.895467641907386,0.,0.445126613781771)); #194366=DIRECTION('center_axis',(0.,-1.,0.)); #194367=DIRECTION('ref_axis',(0.999992608754082,0.,0.0038447935193106)); #194368=DIRECTION('center_axis',(0.,-1.,0.)); #194369=DIRECTION('ref_axis',(0.999992608754082,0.,0.0038447935193106)); #194370=DIRECTION('',(0.,-1.,0.)); #194371=DIRECTION('center_axis',(0.,-1.,0.)); #194372=DIRECTION('ref_axis',(0.999992608754082,0.,0.0038447935193106)); #194373=DIRECTION('center_axis',(1.,0.,-1.06983668959296E-13)); #194374=DIRECTION('ref_axis',(1.06983668959296E-13,0.,1.)); #194375=DIRECTION('',(1.06983668959296E-13,0.,1.)); #194376=DIRECTION('',(0.,-1.,0.)); #194377=DIRECTION('',(1.06983668959296E-13,0.,1.)); #194378=DIRECTION('center_axis',(0.,-1.,0.)); #194379=DIRECTION('ref_axis',(0.944293904339361,0.,-0.32910336100916)); #194380=DIRECTION('center_axis',(0.,-1.,0.)); #194381=DIRECTION('ref_axis',(0.944293904339361,0.,-0.32910336100916)); #194382=DIRECTION('',(0.,-1.,0.)); #194383=DIRECTION('center_axis',(0.,-1.,0.)); #194384=DIRECTION('ref_axis',(0.944293904339361,0.,-0.32910336100916)); #194385=DIRECTION('center_axis',(0.,-1.,0.)); #194386=DIRECTION('ref_axis',(0.767387835780797,0.,-0.641183210553478)); #194387=DIRECTION('center_axis',(0.,-1.,0.)); #194388=DIRECTION('ref_axis',(0.767387835780797,0.,-0.641183210553478)); #194389=DIRECTION('',(0.,-1.,0.)); #194390=DIRECTION('center_axis',(0.,-1.,0.)); #194391=DIRECTION('ref_axis',(0.767387835780797,0.,-0.641183210553478)); #194392=DIRECTION('center_axis',(0.,-1.,0.)); #194393=DIRECTION('ref_axis',(0.424160821974385,0.,-0.905586879930365)); #194394=DIRECTION('center_axis',(0.,-1.,0.)); #194395=DIRECTION('ref_axis',(0.424160821974385,0.,-0.905586879930365)); #194396=DIRECTION('',(0.,-1.,0.)); #194397=DIRECTION('center_axis',(0.,-1.,0.)); #194398=DIRECTION('ref_axis',(0.424160821974385,0.,-0.905586879930365)); #194399=DIRECTION('center_axis',(0.,-1.,0.)); #194400=DIRECTION('ref_axis',(-0.00923736955023617,0.,-0.999957334591728)); #194401=DIRECTION('center_axis',(0.,-1.,0.)); #194402=DIRECTION('ref_axis',(-0.00923736955023617,0.,-0.999957334591728)); #194403=DIRECTION('',(0.,-1.,0.)); #194404=DIRECTION('center_axis',(0.,-1.,0.)); #194405=DIRECTION('ref_axis',(-0.00923736955023617,0.,-0.999957334591728)); #194406=DIRECTION('center_axis',(0.,0.,-1.)); #194407=DIRECTION('ref_axis',(1.,0.,0.)); #194408=DIRECTION('',(1.,0.,0.)); #194409=DIRECTION('',(0.,-1.,0.)); #194410=DIRECTION('',(1.,0.,0.)); #194411=DIRECTION('center_axis',(0.,-1.,0.)); #194412=DIRECTION('ref_axis',(-0.58732192194997,0.,-0.809353420946)); #194413=DIRECTION('center_axis',(0.,-1.,0.)); #194414=DIRECTION('ref_axis',(-0.58732192194997,0.,-0.809353420946)); #194415=DIRECTION('',(0.,-1.,0.)); #194416=DIRECTION('center_axis',(0.,-1.,0.)); #194417=DIRECTION('ref_axis',(-0.58732192194997,0.,-0.809353420946)); #194418=DIRECTION('center_axis',(0.,-1.,0.)); #194419=DIRECTION('ref_axis',(-0.999775730905807,0.,0.0211775327117823)); #194420=DIRECTION('center_axis',(0.,-1.,0.)); #194421=DIRECTION('ref_axis',(-0.999775730905807,0.,0.0211775327117823)); #194422=DIRECTION('',(0.,-1.,0.)); #194423=DIRECTION('center_axis',(0.,-1.,0.)); #194424=DIRECTION('ref_axis',(-0.999775730905807,0.,0.0211775327117823)); #194425=DIRECTION('center_axis',(0.,-1.,0.)); #194426=DIRECTION('ref_axis',(-0.681555588140497,0.,0.731766342676719)); #194427=DIRECTION('center_axis',(0.,-1.,0.)); #194428=DIRECTION('ref_axis',(-0.681555588140497,0.,0.731766342676719)); #194429=DIRECTION('',(0.,-1.,0.)); #194430=DIRECTION('center_axis',(0.,-1.,0.)); #194431=DIRECTION('ref_axis',(-0.681555588140497,0.,0.731766342676719)); #194432=DIRECTION('center_axis',(0.,-1.,0.)); #194433=DIRECTION('ref_axis',(0.100622183914725,0.,0.994924708761538)); #194434=DIRECTION('center_axis',(0.,-1.,0.)); #194435=DIRECTION('ref_axis',(0.100622183914725,0.,0.994924708761538)); #194436=DIRECTION('',(0.,-1.,0.)); #194437=DIRECTION('center_axis',(0.,-1.,0.)); #194438=DIRECTION('ref_axis',(0.100622183914725,0.,0.994924708761538)); #194439=DIRECTION('center_axis',(0.,0.,1.)); #194440=DIRECTION('ref_axis',(-1.,0.,0.)); #194441=DIRECTION('',(-1.,0.,0.)); #194442=DIRECTION('',(0.,-1.,0.)); #194443=DIRECTION('',(-1.,0.,0.)); #194444=DIRECTION('center_axis',(-1.,0.,0.)); #194445=DIRECTION('ref_axis',(0.,0.,-1.)); #194446=DIRECTION('',(0.,0.,-1.)); #194447=DIRECTION('',(0.,-1.,0.)); #194448=DIRECTION('',(0.,0.,-1.)); #194449=DIRECTION('center_axis',(0.,0.,-1.)); #194450=DIRECTION('ref_axis',(1.,0.,0.)); #194451=DIRECTION('',(1.,0.,0.)); #194452=DIRECTION('',(0.,-1.,0.)); #194453=DIRECTION('',(1.,0.,0.)); #194454=DIRECTION('center_axis',(0.,-1.,0.)); #194455=DIRECTION('ref_axis',(-0.587324129445365,0.,-0.809351819032517)); #194456=DIRECTION('center_axis',(0.,-1.,0.)); #194457=DIRECTION('ref_axis',(-0.587324129445365,0.,-0.809351819032517)); #194458=DIRECTION('',(0.,-1.,0.)); #194459=DIRECTION('center_axis',(0.,-1.,0.)); #194460=DIRECTION('ref_axis',(-0.587324129445365,0.,-0.809351819032517)); #194461=DIRECTION('center_axis',(0.,-1.,0.)); #194462=DIRECTION('ref_axis',(-0.999772237947535,0.,0.0213417953644234)); #194463=DIRECTION('center_axis',(0.,-1.,0.)); #194464=DIRECTION('ref_axis',(-0.999772237947535,0.,0.0213417953644234)); #194465=DIRECTION('',(0.,-1.,0.)); #194466=DIRECTION('center_axis',(0.,-1.,0.)); #194467=DIRECTION('ref_axis',(-0.999772237947535,0.,0.0213417953644234)); #194468=DIRECTION('center_axis',(0.,-1.,0.)); #194469=DIRECTION('ref_axis',(-0.684911577980804,0.,0.728626193838683)); #194470=DIRECTION('center_axis',(0.,-1.,0.)); #194471=DIRECTION('ref_axis',(-0.684911577980804,0.,0.728626193838683)); #194472=DIRECTION('',(0.,-1.,0.)); #194473=DIRECTION('center_axis',(0.,-1.,0.)); #194474=DIRECTION('ref_axis',(-0.684911577980804,0.,0.728626193838683)); #194475=DIRECTION('center_axis',(0.,-1.,0.)); #194476=DIRECTION('ref_axis',(0.100555188544541,0.,0.994931482091492)); #194477=DIRECTION('center_axis',(0.,-1.,0.)); #194478=DIRECTION('ref_axis',(0.100555188544541,0.,0.994931482091492)); #194479=DIRECTION('',(0.,-1.,0.)); #194480=DIRECTION('center_axis',(0.,-1.,0.)); #194481=DIRECTION('ref_axis',(0.100555188544541,0.,0.994931482091492)); #194482=DIRECTION('center_axis',(0.,0.,1.)); #194483=DIRECTION('ref_axis',(-1.,0.,0.)); #194484=DIRECTION('',(-1.,0.,0.)); #194485=DIRECTION('',(-1.,0.,0.)); #194486=DIRECTION('center_axis',(0.,1.,0.)); #194487=DIRECTION('ref_axis',(1.,0.,0.)); #194488=DIRECTION('center_axis',(0.,-1.,0.)); #194489=DIRECTION('ref_axis',(0.100728515624694,0.,0.994913949113211)); #194490=DIRECTION('center_axis',(0.,-1.,0.)); #194491=DIRECTION('ref_axis',(0.100728515624694,0.,0.994913949113211)); #194492=DIRECTION('',(0.,-1.,0.)); #194493=DIRECTION('center_axis',(0.,-1.,0.)); #194494=DIRECTION('ref_axis',(0.100728515624694,0.,0.994913949113211)); #194495=DIRECTION('',(0.,-1.,0.)); #194496=DIRECTION('center_axis',(0.,0.,1.)); #194497=DIRECTION('ref_axis',(-1.,0.,0.)); #194498=DIRECTION('',(-1.,0.,0.)); #194499=DIRECTION('',(0.,-1.,0.)); #194500=DIRECTION('',(-1.,0.,0.)); #194501=DIRECTION('center_axis',(-1.,0.,0.)); #194502=DIRECTION('ref_axis',(0.,0.,-1.)); #194503=DIRECTION('',(0.,0.,-1.)); #194504=DIRECTION('',(0.,-1.,0.)); #194505=DIRECTION('',(0.,0.,-1.)); #194506=DIRECTION('center_axis',(0.860877880320861,0.,-0.508811630344925)); #194507=DIRECTION('ref_axis',(0.508811630344925,0.,0.860877880320861)); #194508=DIRECTION('',(0.508811630344925,0.,0.860877880320861)); #194509=DIRECTION('',(0.,-1.,0.)); #194510=DIRECTION('',(0.508811630344925,0.,0.860877880320861)); #194511=DIRECTION('center_axis',(0.,0.,-1.)); #194512=DIRECTION('ref_axis',(1.,0.,0.)); #194513=DIRECTION('',(1.,0.,0.)); #194514=DIRECTION('',(0.,-1.,0.)); #194515=DIRECTION('',(1.,0.,0.)); #194516=DIRECTION('center_axis',(0.,-1.,0.)); #194517=DIRECTION('ref_axis',(-0.583755969536381,0.,-0.811929164416847)); #194518=DIRECTION('center_axis',(0.,-1.,0.)); #194519=DIRECTION('ref_axis',(-0.583755969536381,0.,-0.811929164416847)); #194520=DIRECTION('',(0.,-1.,0.)); #194521=DIRECTION('center_axis',(0.,-1.,0.)); #194522=DIRECTION('ref_axis',(-0.583755969536381,0.,-0.811929164416847)); #194523=DIRECTION('center_axis',(0.,-1.,0.)); #194524=DIRECTION('ref_axis',(-0.999788274995715,0.,0.0205768117329513)); #194525=DIRECTION('center_axis',(0.,-1.,0.)); #194526=DIRECTION('ref_axis',(-0.999788274995715,0.,0.0205768117329513)); #194527=DIRECTION('',(0.,-1.,0.)); #194528=DIRECTION('center_axis',(0.,-1.,0.)); #194529=DIRECTION('ref_axis',(-0.999788274995715,0.,0.0205768117329513)); #194530=DIRECTION('center_axis',(0.,-1.,0.)); #194531=DIRECTION('ref_axis',(-0.677724324403606,0.,0.735316081771422)); #194532=DIRECTION('center_axis',(0.,-1.,0.)); #194533=DIRECTION('ref_axis',(-0.677724324403606,0.,0.735316081771422)); #194534=DIRECTION('',(0.,-1.,0.)); #194535=DIRECTION('center_axis',(0.,-1.,0.)); #194536=DIRECTION('ref_axis',(-0.677724324403606,0.,0.735316081771422)); #194537=DIRECTION('center_axis',(0.,-1.,0.)); #194538=DIRECTION('ref_axis',(0.100728515624694,0.,0.994913949113211)); #194539=DIRECTION('center_axis',(0.,-1.,0.)); #194540=DIRECTION('ref_axis',(0.100728515624694,0.,0.994913949113211)); #194541=DIRECTION('',(0.,-1.,0.)); #194542=DIRECTION('center_axis',(0.,-1.,0.)); #194543=DIRECTION('ref_axis',(0.100728515624694,0.,0.994913949113211)); #194544=DIRECTION('center_axis',(0.,0.,1.)); #194545=DIRECTION('ref_axis',(-1.,0.,0.)); #194546=DIRECTION('',(-1.,0.,0.)); #194547=DIRECTION('',(0.,-1.,0.)); #194548=DIRECTION('',(-1.,0.,0.)); #194549=DIRECTION('center_axis',(-1.,0.,0.)); #194550=DIRECTION('ref_axis',(0.,0.,-1.)); #194551=DIRECTION('',(0.,0.,-1.)); #194552=DIRECTION('',(0.,-1.,0.)); #194553=DIRECTION('',(0.,0.,-1.)); #194554=DIRECTION('center_axis',(0.,0.,-1.)); #194555=DIRECTION('ref_axis',(1.,0.,0.)); #194556=DIRECTION('',(1.,0.,0.)); #194557=DIRECTION('',(0.,-1.,0.)); #194558=DIRECTION('',(1.,0.,0.)); #194559=DIRECTION('center_axis',(0.,-1.,0.)); #194560=DIRECTION('ref_axis',(-0.579176979003009,0.,-0.815201832059367)); #194561=DIRECTION('center_axis',(0.,-1.,0.)); #194562=DIRECTION('ref_axis',(-0.579176979003009,0.,-0.815201832059367)); #194563=DIRECTION('',(0.,-1.,0.)); #194564=DIRECTION('center_axis',(0.,-1.,0.)); #194565=DIRECTION('ref_axis',(-0.579176979003009,0.,-0.815201832059367)); #194566=DIRECTION('center_axis',(0.,-1.,0.)); #194567=DIRECTION('ref_axis',(-0.999797210184035,0.,0.0201379866973112)); #194568=DIRECTION('center_axis',(0.,-1.,0.)); #194569=DIRECTION('ref_axis',(-0.999797210184035,0.,0.0201379866973112)); #194570=DIRECTION('',(0.,-1.,0.)); #194571=DIRECTION('center_axis',(0.,-1.,0.)); #194572=DIRECTION('ref_axis',(-0.999797210184035,0.,0.0201379866973112)); #194573=DIRECTION('center_axis',(0.,-1.,0.)); #194574=DIRECTION('ref_axis',(-0.680935230427567,0.,0.732343643355055)); #194575=DIRECTION('center_axis',(0.,-1.,0.)); #194576=DIRECTION('ref_axis',(-0.680935230427567,0.,0.732343643355055)); #194577=DIRECTION('',(0.,-1.,0.)); #194578=DIRECTION('center_axis',(0.,-1.,0.)); #194579=DIRECTION('ref_axis',(-0.680935230427567,0.,0.732343643355055)); #194580=DIRECTION('center_axis',(0.,-1.,0.)); #194581=DIRECTION('ref_axis',(0.106129575061697,0.,0.994352308438626)); #194582=DIRECTION('center_axis',(0.,-1.,0.)); #194583=DIRECTION('ref_axis',(0.106129575061697,0.,0.994352308438626)); #194584=DIRECTION('',(0.,-1.,0.)); #194585=DIRECTION('center_axis',(0.,-1.,0.)); #194586=DIRECTION('ref_axis',(0.106129575061697,0.,0.994352308438626)); #194587=DIRECTION('center_axis',(0.,0.,1.)); #194588=DIRECTION('ref_axis',(-1.,0.,0.)); #194589=DIRECTION('',(-1.,0.,0.)); #194590=DIRECTION('',(0.,-1.,0.)); #194591=DIRECTION('',(-1.,0.,0.)); #194592=DIRECTION('center_axis',(0.,-1.,0.)); #194593=DIRECTION('ref_axis',(0.59646468073462,0.,0.802639324127686)); #194594=DIRECTION('center_axis',(0.,-1.,0.)); #194595=DIRECTION('ref_axis',(0.59646468073462,0.,0.802639324127686)); #194596=DIRECTION('',(0.,-1.,0.)); #194597=DIRECTION('center_axis',(0.,-1.,0.)); #194598=DIRECTION('ref_axis',(0.59646468073462,0.,0.802639324127686)); #194599=DIRECTION('center_axis',(0.,-1.,0.)); #194600=DIRECTION('ref_axis',(0.999993565022201,0.,-0.00358746626300105)); #194601=DIRECTION('center_axis',(0.,-1.,0.)); #194602=DIRECTION('ref_axis',(0.999993565022201,0.,-0.00358746626300105)); #194603=DIRECTION('',(0.,-1.,0.)); #194604=DIRECTION('center_axis',(0.,-1.,0.)); #194605=DIRECTION('ref_axis',(0.999993565022201,0.,-0.00358746626300105)); #194606=DIRECTION('center_axis',(0.,-1.,0.)); #194607=DIRECTION('ref_axis',(0.6774901921671,0.,-0.735531807277827)); #194608=DIRECTION('center_axis',(0.,-1.,0.)); #194609=DIRECTION('ref_axis',(0.6774901921671,0.,-0.735531807277827)); #194610=DIRECTION('',(0.,-1.,0.)); #194611=DIRECTION('center_axis',(0.,-1.,0.)); #194612=DIRECTION('ref_axis',(0.6774901921671,0.,-0.735531807277827)); #194613=DIRECTION('center_axis',(0.,-1.,0.)); #194614=DIRECTION('ref_axis',(-0.106331976025146,0.,-0.994330684870274)); #194615=DIRECTION('center_axis',(0.,-1.,0.)); #194616=DIRECTION('ref_axis',(-0.106331976025146,0.,-0.994330684870274)); #194617=DIRECTION('',(0.,-1.,0.)); #194618=DIRECTION('center_axis',(0.,-1.,0.)); #194619=DIRECTION('ref_axis',(-0.106331976025146,0.,-0.994330684870274)); #194620=DIRECTION('center_axis',(0.,0.,-1.)); #194621=DIRECTION('ref_axis',(1.,0.,0.)); #194622=DIRECTION('',(1.,0.,0.)); #194623=DIRECTION('',(0.,-1.,0.)); #194624=DIRECTION('',(1.,0.,0.)); #194625=DIRECTION('center_axis',(1.,0.,0.)); #194626=DIRECTION('ref_axis',(0.,0.,1.)); #194627=DIRECTION('',(0.,0.,1.)); #194628=DIRECTION('',(0.,-1.,0.)); #194629=DIRECTION('',(0.,0.,1.)); #194630=DIRECTION('center_axis',(-0.860811608277036,0.,0.508923741886251)); #194631=DIRECTION('ref_axis',(-0.508923741886251,0.,-0.860811608277036)); #194632=DIRECTION('',(-0.508923741886251,0.,-0.860811608277036)); #194633=DIRECTION('',(0.,-1.,0.)); #194634=DIRECTION('',(-0.508923741886251,0.,-0.860811608277036)); #194635=DIRECTION('center_axis',(0.,0.,1.)); #194636=DIRECTION('ref_axis',(-1.,0.,0.)); #194637=DIRECTION('',(-1.,0.,0.)); #194638=DIRECTION('',(0.,-1.,0.)); #194639=DIRECTION('',(-1.,0.,0.)); #194640=DIRECTION('center_axis',(1.,0.,0.)); #194641=DIRECTION('ref_axis',(0.,0.,1.)); #194642=DIRECTION('',(0.,0.,1.)); #194643=DIRECTION('',(0.,-1.,0.)); #194644=DIRECTION('',(0.,0.,1.)); #194645=DIRECTION('center_axis',(0.,0.,1.)); #194646=DIRECTION('ref_axis',(-1.,0.,0.)); #194647=DIRECTION('',(-1.,0.,0.)); #194648=DIRECTION('',(0.,-1.,0.)); #194649=DIRECTION('',(-1.,0.,0.)); #194650=DIRECTION('center_axis',(0.,-1.,0.)); #194651=DIRECTION('ref_axis',(0.583805293259933,0.,0.811893699668672)); #194652=DIRECTION('center_axis',(0.,-1.,0.)); #194653=DIRECTION('ref_axis',(0.583805293259933,0.,0.811893699668672)); #194654=DIRECTION('',(0.,-1.,0.)); #194655=DIRECTION('center_axis',(0.,-1.,0.)); #194656=DIRECTION('ref_axis',(0.583805293259933,0.,0.811893699668672)); #194657=DIRECTION('center_axis',(0.,-1.,0.)); #194658=DIRECTION('ref_axis',(0.999791696717378,0.,-0.020409879347684)); #194659=DIRECTION('center_axis',(0.,-1.,0.)); #194660=DIRECTION('ref_axis',(0.999791696717378,0.,-0.020409879347684)); #194661=DIRECTION('',(0.,-1.,0.)); #194662=DIRECTION('center_axis',(0.,-1.,0.)); #194663=DIRECTION('ref_axis',(0.999791696717378,0.,-0.020409879347684)); #194664=DIRECTION('center_axis',(0.,-1.,0.)); #194665=DIRECTION('ref_axis',(0.677847102862131,0.,-0.735202900661726)); #194666=DIRECTION('center_axis',(0.,-1.,0.)); #194667=DIRECTION('ref_axis',(0.677847102862131,0.,-0.735202900661726)); #194668=DIRECTION('',(0.,-1.,0.)); #194669=DIRECTION('center_axis',(0.,-1.,0.)); #194670=DIRECTION('ref_axis',(0.677847102862131,0.,-0.735202900661726)); #194671=DIRECTION('center_axis',(0.,-1.,0.)); #194672=DIRECTION('ref_axis',(-0.100658486205701,0.,-0.994921036642997)); #194673=DIRECTION('center_axis',(0.,-1.,0.)); #194674=DIRECTION('ref_axis',(-0.100658486205701,0.,-0.994921036642997)); #194675=DIRECTION('',(0.,-1.,0.)); #194676=DIRECTION('center_axis',(0.,-1.,0.)); #194677=DIRECTION('ref_axis',(-0.100658486205701,0.,-0.994921036642997)); #194678=DIRECTION('center_axis',(0.,0.,-1.)); #194679=DIRECTION('ref_axis',(1.,0.,0.)); #194680=DIRECTION('',(1.,0.,0.)); #194681=DIRECTION('',(0.,-1.,0.)); #194682=DIRECTION('',(1.,0.,0.)); #194683=DIRECTION('center_axis',(0.,-1.,0.)); #194684=DIRECTION('ref_axis',(-0.589351319872532,0.,-0.807876860520528)); #194685=DIRECTION('center_axis',(0.,-1.,0.)); #194686=DIRECTION('ref_axis',(-0.589351319872532,0.,-0.807876860520528)); #194687=DIRECTION('',(0.,-1.,0.)); #194688=DIRECTION('center_axis',(0.,-1.,0.)); #194689=DIRECTION('ref_axis',(-0.589351319872532,0.,-0.807876860520528)); #194690=DIRECTION('center_axis',(0.,-1.,0.)); #194691=DIRECTION('ref_axis',(-0.99969599337163,0.,0.0246560507119254)); #194692=DIRECTION('center_axis',(0.,-1.,0.)); #194693=DIRECTION('ref_axis',(-0.99969599337163,0.,0.0246560507119254)); #194694=DIRECTION('',(0.,-1.,0.)); #194695=DIRECTION('center_axis',(0.,-1.,0.)); #194696=DIRECTION('ref_axis',(-0.99969599337163,0.,0.0246560507119254)); #194697=DIRECTION('center_axis',(0.,-1.,0.)); #194698=DIRECTION('ref_axis',(-0.677720781932245,0.,0.735319346771963)); #194699=DIRECTION('center_axis',(0.,-1.,0.)); #194700=DIRECTION('ref_axis',(-0.677720781932245,0.,0.735319346771963)); #194701=DIRECTION('center_axis',(0.,-1.,0.)); #194702=DIRECTION('ref_axis',(-0.677720781932245,0.,0.735319346771963)); #194703=DIRECTION('center_axis',(0.,1.,0.)); #194704=DIRECTION('ref_axis',(1.,0.,0.)); #194705=DIRECTION('center_axis',(0.,0.,1.)); #194706=DIRECTION('ref_axis',(-1.,0.,0.)); #194707=DIRECTION('',(-1.,0.,0.)); #194708=DIRECTION('',(0.,-1.,0.)); #194709=DIRECTION('',(-1.,0.,0.)); #194710=DIRECTION('',(0.,-1.,0.)); #194711=DIRECTION('center_axis',(1.,0.,0.)); #194712=DIRECTION('ref_axis',(0.,0.,1.)); #194713=DIRECTION('',(0.,0.,1.)); #194714=DIRECTION('',(0.,-1.,0.)); #194715=DIRECTION('',(0.,0.,1.)); #194716=DIRECTION('center_axis',(0.,0.,-1.)); #194717=DIRECTION('ref_axis',(1.,0.,0.)); #194718=DIRECTION('',(1.,0.,0.)); #194719=DIRECTION('',(0.,-1.,0.)); #194720=DIRECTION('',(1.,0.,0.)); #194721=DIRECTION('center_axis',(-1.,0.,0.)); #194722=DIRECTION('ref_axis',(0.,0.,-1.)); #194723=DIRECTION('',(0.,0.,-1.)); #194724=DIRECTION('',(0.,0.,-1.)); #194725=DIRECTION('center_axis',(0.,-1.,0.)); #194726=DIRECTION('ref_axis',(0.,0.,-1.)); #194727=DIRECTION('center_axis',(1.,0.,0.)); #194728=DIRECTION('ref_axis',(0.,0.,1.)); #194729=DIRECTION('',(0.,0.,1.)); #194730=DIRECTION('',(0.,-1.,0.)); #194731=DIRECTION('',(0.,0.,1.)); #194732=DIRECTION('',(0.,-1.,0.)); #194733=DIRECTION('center_axis',(0.,0.,-1.)); #194734=DIRECTION('ref_axis',(1.,0.,0.)); #194735=DIRECTION('',(1.,0.,0.)); #194736=DIRECTION('',(0.,-1.,0.)); #194737=DIRECTION('',(1.,0.,0.)); #194738=DIRECTION('center_axis',(-1.,0.,0.)); #194739=DIRECTION('ref_axis',(0.,0.,-1.)); #194740=DIRECTION('',(0.,0.,-1.)); #194741=DIRECTION('',(0.,-1.,0.)); #194742=DIRECTION('',(0.,0.,-1.)); #194743=DIRECTION('center_axis',(0.,0.,1.)); #194744=DIRECTION('ref_axis',(-1.,0.,0.)); #194745=DIRECTION('',(-1.,0.,0.)); #194746=DIRECTION('',(0.,-1.,0.)); #194747=DIRECTION('',(-1.,0.,0.)); #194748=DIRECTION('center_axis',(-1.,0.,0.)); #194749=DIRECTION('ref_axis',(0.,0.,-1.)); #194750=DIRECTION('',(0.,0.,-1.)); #194751=DIRECTION('',(0.,-1.,0.)); #194752=DIRECTION('',(0.,0.,-1.)); #194753=DIRECTION('center_axis',(0.,0.,1.)); #194754=DIRECTION('ref_axis',(-1.,0.,0.)); #194755=DIRECTION('',(-1.,0.,0.)); #194756=DIRECTION('',(0.,-1.,0.)); #194757=DIRECTION('',(-1.,0.,0.)); #194758=DIRECTION('center_axis',(0.,-1.,0.)); #194759=DIRECTION('ref_axis',(0.,0.,-1.)); #194760=DIRECTION('center_axis',(1.,0.,0.)); #194761=DIRECTION('ref_axis',(0.,0.,1.)); #194762=DIRECTION('',(0.,0.,1.)); #194763=DIRECTION('',(0.,-1.,0.)); #194764=DIRECTION('',(0.,0.,1.)); #194765=DIRECTION('',(0.,-1.,0.)); #194766=DIRECTION('center_axis',(0.,0.,-1.)); #194767=DIRECTION('ref_axis',(1.,0.,0.)); #194768=DIRECTION('',(1.,0.,0.)); #194769=DIRECTION('',(0.,-1.,0.)); #194770=DIRECTION('',(1.,0.,0.)); #194771=DIRECTION('center_axis',(-1.,0.,0.)); #194772=DIRECTION('ref_axis',(0.,0.,-1.)); #194773=DIRECTION('',(0.,0.,-1.)); #194774=DIRECTION('',(0.,-1.,0.)); #194775=DIRECTION('',(0.,0.,-1.)); #194776=DIRECTION('center_axis',(0.,0.,1.)); #194777=DIRECTION('ref_axis',(-1.,0.,0.)); #194778=DIRECTION('',(-1.,0.,0.)); #194779=DIRECTION('',(-1.,0.,0.)); #194780=DIRECTION('',(0.,-1.,0.)); #194781=DIRECTION('center_axis',(0.,-1.,0.)); #194782=DIRECTION('ref_axis',(0.,0.,-1.)); #194783=DIRECTION('center_axis',(0.,0.,-1.)); #194784=DIRECTION('ref_axis',(1.,0.,0.)); #194785=DIRECTION('',(1.,0.,0.)); #194786=DIRECTION('',(0.,-1.,0.)); #194787=DIRECTION('',(1.,0.,0.)); #194788=DIRECTION('',(0.,-1.,0.)); #194789=DIRECTION('center_axis',(-1.,0.,0.)); #194790=DIRECTION('ref_axis',(0.,0.,-1.)); #194791=DIRECTION('',(0.,0.,-1.)); #194792=DIRECTION('',(0.,-1.,0.)); #194793=DIRECTION('',(0.,0.,-1.)); #194794=DIRECTION('center_axis',(0.,0.,1.)); #194795=DIRECTION('ref_axis',(-1.,0.,0.)); #194796=DIRECTION('',(-1.,0.,0.)); #194797=DIRECTION('',(0.,-1.,0.)); #194798=DIRECTION('',(-1.,0.,0.)); #194799=DIRECTION('center_axis',(1.,0.,0.)); #194800=DIRECTION('ref_axis',(0.,0.,1.)); #194801=DIRECTION('',(0.,0.,1.)); #194802=DIRECTION('',(0.,-1.,0.)); #194803=DIRECTION('',(0.,0.,1.)); #194804=DIRECTION('center_axis',(0.,-1.,0.)); #194805=DIRECTION('ref_axis',(0.,0.,-1.)); #194806=DIRECTION('center_axis',(0.,0.,1.)); #194807=DIRECTION('ref_axis',(-1.,0.,0.)); #194808=DIRECTION('',(-1.,0.,0.)); #194809=DIRECTION('',(0.,-1.,0.)); #194810=DIRECTION('',(-1.,0.,0.)); #194811=DIRECTION('',(0.,-1.,0.)); #194812=DIRECTION('center_axis',(1.,0.,0.)); #194813=DIRECTION('ref_axis',(0.,0.,1.)); #194814=DIRECTION('',(0.,0.,1.)); #194815=DIRECTION('',(0.,-1.,0.)); #194816=DIRECTION('',(0.,0.,1.)); #194817=DIRECTION('center_axis',(0.,0.,-1.)); #194818=DIRECTION('ref_axis',(1.,0.,0.)); #194819=DIRECTION('',(1.,0.,0.)); #194820=DIRECTION('',(0.,-1.,0.)); #194821=DIRECTION('',(1.,0.,0.)); #194822=DIRECTION('',(0.,-1.,0.)); #194823=DIRECTION('center_axis',(1.,0.,0.)); #194824=DIRECTION('ref_axis',(0.,0.,1.)); #194825=DIRECTION('',(0.,0.,1.)); #194826=DIRECTION('',(0.,0.,1.)); #194827=DIRECTION('center_axis',(0.,0.,-1.)); #194828=DIRECTION('ref_axis',(1.,0.,0.)); #194829=DIRECTION('',(1.,0.,0.)); #194830=DIRECTION('',(0.,-1.,0.)); #194831=DIRECTION('',(1.,0.,0.)); #194832=DIRECTION('center_axis',(-1.,0.,0.)); #194833=DIRECTION('ref_axis',(0.,0.,-1.)); #194834=DIRECTION('',(0.,0.,-1.)); #194835=DIRECTION('',(0.,-1.,0.)); #194836=DIRECTION('',(0.,0.,-1.)); #194837=DIRECTION('center_axis',(0.,0.,1.)); #194838=DIRECTION('ref_axis',(-1.,0.,0.)); #194839=DIRECTION('',(-1.,0.,0.)); #194840=DIRECTION('',(0.,-1.,0.)); #194841=DIRECTION('',(-1.,0.,0.)); #194842=DIRECTION('center_axis',(-1.,0.,0.)); #194843=DIRECTION('ref_axis',(0.,0.,-1.)); #194844=DIRECTION('',(0.,0.,-1.)); #194845=DIRECTION('',(0.,-1.,0.)); #194846=DIRECTION('',(0.,0.,-1.)); #194847=DIRECTION('center_axis',(0.,0.,1.)); #194848=DIRECTION('ref_axis',(-1.,0.,0.)); #194849=DIRECTION('',(-1.,0.,0.)); #194850=DIRECTION('',(0.,-1.,0.)); #194851=DIRECTION('',(-1.,0.,0.)); #194852=DIRECTION('center_axis',(-1.,0.,0.)); #194853=DIRECTION('ref_axis',(0.,0.,-1.)); #194854=DIRECTION('',(0.,0.,-1.)); #194855=DIRECTION('',(0.,0.,-1.)); #194856=DIRECTION('center_axis',(0.,0.,1.)); #194857=DIRECTION('ref_axis',(-1.,0.,0.)); #194858=DIRECTION('',(-1.,0.,0.)); #194859=DIRECTION('',(0.,-1.,0.)); #194860=DIRECTION('',(-1.,0.,0.)); #194861=DIRECTION('center_axis',(-1.,0.,0.)); #194862=DIRECTION('ref_axis',(0.,0.,-1.)); #194863=DIRECTION('',(0.,0.,-1.)); #194864=DIRECTION('',(0.,-1.,0.)); #194865=DIRECTION('',(0.,0.,-1.)); #194866=DIRECTION('center_axis',(0.,0.,1.)); #194867=DIRECTION('ref_axis',(-1.,0.,0.)); #194868=DIRECTION('',(-1.,0.,0.)); #194869=DIRECTION('',(0.,-1.,0.)); #194870=DIRECTION('',(-1.,0.,0.)); #194871=DIRECTION('center_axis',(1.,0.,0.)); #194872=DIRECTION('ref_axis',(0.,0.,1.)); #194873=DIRECTION('',(0.,0.,1.)); #194874=DIRECTION('',(0.,0.,1.)); #194875=DIRECTION('center_axis',(0.,-1.,0.)); #194876=DIRECTION('ref_axis',(0.,0.,-1.)); #194877=DIRECTION('center_axis',(1.,0.,0.)); #194878=DIRECTION('ref_axis',(0.,0.,1.)); #194879=DIRECTION('',(0.,0.,1.)); #194880=DIRECTION('',(0.,-1.,0.)); #194881=DIRECTION('',(0.,0.,1.)); #194882=DIRECTION('',(0.,-1.,0.)); #194883=DIRECTION('center_axis',(0.,0.,-1.)); #194884=DIRECTION('ref_axis',(1.,0.,0.)); #194885=DIRECTION('',(1.,0.,0.)); #194886=DIRECTION('',(0.,-1.,0.)); #194887=DIRECTION('',(1.,0.,0.)); #194888=DIRECTION('center_axis',(-1.,0.,0.)); #194889=DIRECTION('ref_axis',(0.,0.,-1.)); #194890=DIRECTION('',(0.,0.,-1.)); #194891=DIRECTION('',(0.,-1.,0.)); #194892=DIRECTION('',(0.,0.,-1.)); #194893=DIRECTION('',(0.,-1.,0.)); #194894=DIRECTION('center_axis',(0.,0.,1.)); #194895=DIRECTION('ref_axis',(-1.,0.,0.)); #194896=DIRECTION('',(-1.,0.,0.)); #194897=DIRECTION('',(0.,-1.,0.)); #194898=DIRECTION('',(-1.,0.,0.)); #194899=DIRECTION('center_axis',(0.,-1.,0.)); #194900=DIRECTION('ref_axis',(0.,0.,-1.)); #194901=DIRECTION('center_axis',(-1.,0.,0.)); #194902=DIRECTION('ref_axis',(0.,0.,-1.)); #194903=DIRECTION('',(0.,0.,-1.)); #194904=DIRECTION('',(0.,-1.,0.)); #194905=DIRECTION('',(0.,0.,-1.)); #194906=DIRECTION('',(0.,-1.,0.)); #194907=DIRECTION('center_axis',(0.,0.,1.)); #194908=DIRECTION('ref_axis',(-1.,0.,0.)); #194909=DIRECTION('',(-1.,0.,0.)); #194910=DIRECTION('',(0.,-1.,0.)); #194911=DIRECTION('',(-1.,0.,0.)); #194912=DIRECTION('center_axis',(1.,0.,0.)); #194913=DIRECTION('ref_axis',(0.,0.,1.)); #194914=DIRECTION('',(0.,0.,1.)); #194915=DIRECTION('',(0.,-1.,0.)); #194916=DIRECTION('',(0.,0.,1.)); #194917=DIRECTION('center_axis',(0.,0.,-1.)); #194918=DIRECTION('ref_axis',(1.,0.,0.)); #194919=DIRECTION('',(1.,0.,0.)); #194920=DIRECTION('',(1.,0.,0.)); #194921=DIRECTION('',(0.,-1.,0.)); #194922=DIRECTION('center_axis',(0.,-1.,0.)); #194923=DIRECTION('ref_axis',(0.,0.,-1.)); #194924=DIRECTION('center_axis',(1.,0.,0.)); #194925=DIRECTION('ref_axis',(0.,0.,1.)); #194926=DIRECTION('',(0.,0.,1.)); #194927=DIRECTION('',(0.,-1.,0.)); #194928=DIRECTION('',(0.,0.,1.)); #194929=DIRECTION('',(0.,-1.,0.)); #194930=DIRECTION('center_axis',(0.,0.,-1.)); #194931=DIRECTION('ref_axis',(1.,0.,0.)); #194932=DIRECTION('',(1.,0.,0.)); #194933=DIRECTION('',(0.,-1.,0.)); #194934=DIRECTION('',(1.,0.,0.)); #194935=DIRECTION('center_axis',(1.,0.,0.)); #194936=DIRECTION('ref_axis',(0.,0.,1.)); #194937=DIRECTION('',(0.,0.,1.)); #194938=DIRECTION('',(0.,-1.,0.)); #194939=DIRECTION('',(0.,0.,1.)); #194940=DIRECTION('center_axis',(0.,0.,-1.)); #194941=DIRECTION('ref_axis',(1.,0.,0.)); #194942=DIRECTION('',(1.,0.,0.)); #194943=DIRECTION('',(0.,-1.,0.)); #194944=DIRECTION('',(1.,0.,0.)); #194945=DIRECTION('',(0.,-1.,0.)); #194946=DIRECTION('center_axis',(1.,0.,0.)); #194947=DIRECTION('ref_axis',(0.,0.,1.)); #194948=DIRECTION('',(0.,0.,1.)); #194949=DIRECTION('',(0.,-1.,0.)); #194950=DIRECTION('',(0.,0.,1.)); #194951=DIRECTION('center_axis',(0.,0.,-1.)); #194952=DIRECTION('ref_axis',(1.,0.,0.)); #194953=DIRECTION('',(1.,0.,0.)); #194954=DIRECTION('',(1.,0.,0.)); #194955=DIRECTION('center_axis',(-1.,0.,0.)); #194956=DIRECTION('ref_axis',(0.,0.,-1.)); #194957=DIRECTION('',(0.,0.,-1.)); #194958=DIRECTION('',(0.,-1.,0.)); #194959=DIRECTION('',(0.,0.,-1.)); #194960=DIRECTION('center_axis',(0.,0.,-1.)); #194961=DIRECTION('ref_axis',(1.,0.,0.)); #194962=DIRECTION('',(1.,0.,0.)); #194963=DIRECTION('',(0.,-1.,0.)); #194964=DIRECTION('',(1.,0.,0.)); #194965=DIRECTION('center_axis',(-1.,0.,0.)); #194966=DIRECTION('ref_axis',(0.,0.,-1.)); #194967=DIRECTION('',(0.,0.,-1.)); #194968=DIRECTION('',(0.,0.,-1.)); #194969=DIRECTION('center_axis',(0.,0.,1.)); #194970=DIRECTION('ref_axis',(-1.,0.,0.)); #194971=DIRECTION('',(-1.,0.,0.)); #194972=DIRECTION('',(0.,-1.,0.)); #194973=DIRECTION('',(-1.,0.,0.)); #194974=DIRECTION('center_axis',(-1.,0.,0.)); #194975=DIRECTION('ref_axis',(0.,0.,-1.)); #194976=DIRECTION('',(0.,0.,-1.)); #194977=DIRECTION('',(0.,-1.,0.)); #194978=DIRECTION('',(0.,0.,-1.)); #194979=DIRECTION('center_axis',(0.,0.,1.)); #194980=DIRECTION('ref_axis',(-1.,0.,0.)); #194981=DIRECTION('',(-1.,0.,0.)); #194982=DIRECTION('',(-1.,0.,0.)); #194983=DIRECTION('center_axis',(0.,-1.,0.)); #194984=DIRECTION('ref_axis',(0.,0.,-1.)); #194985=DIRECTION('center_axis',(0.,0.,-1.)); #194986=DIRECTION('ref_axis',(1.,0.,0.)); #194987=DIRECTION('',(1.,0.,0.)); #194988=DIRECTION('',(0.,-1.,0.)); #194989=DIRECTION('',(1.,0.,0.)); #194990=DIRECTION('',(0.,-1.,0.)); #194991=DIRECTION('center_axis',(-1.,0.,0.)); #194992=DIRECTION('ref_axis',(0.,0.,-1.)); #194993=DIRECTION('',(0.,0.,-1.)); #194994=DIRECTION('',(0.,0.,-1.)); #194995=DIRECTION('center_axis',(0.,0.,1.)); #194996=DIRECTION('ref_axis',(-1.,0.,0.)); #194997=DIRECTION('',(-1.,0.,0.)); #194998=DIRECTION('',(0.,-1.,0.)); #194999=DIRECTION('',(-1.,0.,0.)); #195000=DIRECTION('center_axis',(1.,0.,0.)); #195001=DIRECTION('ref_axis',(0.,0.,1.)); #195002=DIRECTION('',(0.,0.,1.)); #195003=DIRECTION('',(0.,-1.,0.)); #195004=DIRECTION('',(0.,0.,1.)); #195005=DIRECTION('center_axis',(0.,-1.,0.)); #195006=DIRECTION('ref_axis',(0.,0.,-1.)); #195007=DIRECTION('center_axis',(0.,0.,1.)); #195008=DIRECTION('ref_axis',(-1.,0.,0.)); #195009=DIRECTION('',(-1.,0.,0.)); #195010=DIRECTION('',(0.,-1.,0.)); #195011=DIRECTION('',(-1.,0.,0.)); #195012=DIRECTION('',(0.,-1.,0.)); #195013=DIRECTION('center_axis',(1.,0.,0.)); #195014=DIRECTION('ref_axis',(0.,0.,1.)); #195015=DIRECTION('',(0.,0.,1.)); #195016=DIRECTION('',(0.,-1.,0.)); #195017=DIRECTION('',(0.,0.,1.)); #195018=DIRECTION('center_axis',(0.,0.,-1.)); #195019=DIRECTION('ref_axis',(1.,0.,0.)); #195020=DIRECTION('',(1.,0.,0.)); #195021=DIRECTION('',(0.,-1.,0.)); #195022=DIRECTION('',(1.,0.,0.)); #195023=DIRECTION('center_axis',(-1.,0.,0.)); #195024=DIRECTION('ref_axis',(0.,0.,-1.)); #195025=DIRECTION('',(0.,0.,-1.)); #195026=DIRECTION('',(0.,-1.,0.)); #195027=DIRECTION('',(0.,0.,-1.)); #195028=DIRECTION('center_axis',(0.,0.,-1.)); #195029=DIRECTION('ref_axis',(1.,0.,0.)); #195030=DIRECTION('',(1.,0.,0.)); #195031=DIRECTION('',(0.,-1.,0.)); #195032=DIRECTION('',(1.,0.,0.)); #195033=DIRECTION('center_axis',(-1.,0.,0.)); #195034=DIRECTION('ref_axis',(0.,0.,-1.)); #195035=DIRECTION('',(0.,0.,-1.)); #195036=DIRECTION('',(0.,0.,-1.)); #195037=DIRECTION('',(0.,-1.,0.)); #195038=DIRECTION('center_axis',(0.,0.,1.)); #195039=DIRECTION('ref_axis',(-1.,0.,0.)); #195040=DIRECTION('',(-1.,0.,0.)); #195041=DIRECTION('',(0.,-1.,0.)); #195042=DIRECTION('',(-1.,0.,0.)); #195043=DIRECTION('center_axis',(-1.,0.,0.)); #195044=DIRECTION('ref_axis',(0.,0.,-1.)); #195045=DIRECTION('',(0.,0.,-1.)); #195046=DIRECTION('',(0.,0.,-1.)); #195047=DIRECTION('center_axis',(0.,-1.,0.)); #195048=DIRECTION('ref_axis',(0.,0.,-1.)); #195049=DIRECTION('center_axis',(-1.,0.,0.)); #195050=DIRECTION('ref_axis',(0.,0.,-1.)); #195051=DIRECTION('',(0.,0.,-1.)); #195052=DIRECTION('',(0.,-1.,0.)); #195053=DIRECTION('',(0.,0.,-1.)); #195054=DIRECTION('',(0.,-1.,0.)); #195055=DIRECTION('center_axis',(0.,0.,1.)); #195056=DIRECTION('ref_axis',(-1.,0.,0.)); #195057=DIRECTION('',(-1.,0.,0.)); #195058=DIRECTION('',(-1.,0.,0.)); #195059=DIRECTION('center_axis',(1.,0.,0.)); #195060=DIRECTION('ref_axis',(0.,0.,1.)); #195061=DIRECTION('',(0.,0.,1.)); #195062=DIRECTION('',(0.,-1.,0.)); #195063=DIRECTION('',(0.,0.,1.)); #195064=DIRECTION('center_axis',(0.,0.,-1.)); #195065=DIRECTION('ref_axis',(1.,0.,0.)); #195066=DIRECTION('',(1.,0.,0.)); #195067=DIRECTION('',(0.,-1.,0.)); #195068=DIRECTION('',(1.,0.,0.)); #195069=DIRECTION('center_axis',(0.,-1.,0.)); #195070=DIRECTION('ref_axis',(0.,0.,-1.)); #195071=DIRECTION('center_axis',(0.,0.,-1.)); #195072=DIRECTION('ref_axis',(1.,0.,0.)); #195073=DIRECTION('',(1.,0.,0.)); #195074=DIRECTION('',(0.,-1.,0.)); #195075=DIRECTION('',(1.,0.,0.)); #195076=DIRECTION('',(0.,-1.,0.)); #195077=DIRECTION('center_axis',(-1.,0.,0.)); #195078=DIRECTION('ref_axis',(0.,0.,-1.)); #195079=DIRECTION('',(0.,0.,-1.)); #195080=DIRECTION('',(0.,-1.,0.)); #195081=DIRECTION('',(0.,0.,-1.)); #195082=DIRECTION('center_axis',(0.,0.,1.)); #195083=DIRECTION('ref_axis',(-1.,0.,0.)); #195084=DIRECTION('',(-1.,0.,0.)); #195085=DIRECTION('',(0.,-1.,0.)); #195086=DIRECTION('',(-1.,0.,0.)); #195087=DIRECTION('',(0.,-1.,0.)); #195088=DIRECTION('center_axis',(1.,0.,0.)); #195089=DIRECTION('ref_axis',(0.,0.,1.)); #195090=DIRECTION('',(0.,0.,1.)); #195091=DIRECTION('',(0.,-1.,0.)); #195092=DIRECTION('',(0.,0.,1.)); #195093=DIRECTION('center_axis',(0.,-1.,0.)); #195094=DIRECTION('ref_axis',(0.,0.,-1.)); #195095=DIRECTION('center_axis',(0.,0.,1.)); #195096=DIRECTION('ref_axis',(-1.,0.,0.)); #195097=DIRECTION('',(-1.,0.,0.)); #195098=DIRECTION('',(-1.,0.,0.)); #195099=DIRECTION('',(0.,-1.,0.)); #195100=DIRECTION('center_axis',(1.,0.,0.)); #195101=DIRECTION('ref_axis',(0.,0.,1.)); #195102=DIRECTION('',(0.,0.,1.)); #195103=DIRECTION('',(0.,0.,1.)); #195104=DIRECTION('center_axis',(0.,0.,-1.)); #195105=DIRECTION('ref_axis',(1.,0.,0.)); #195106=DIRECTION('',(1.,0.,0.)); #195107=DIRECTION('',(0.,-1.,0.)); #195108=DIRECTION('',(1.,0.,0.)); #195109=DIRECTION('center_axis',(1.,0.,0.)); #195110=DIRECTION('ref_axis',(0.,0.,1.)); #195111=DIRECTION('',(0.,0.,1.)); #195112=DIRECTION('',(0.,-1.,0.)); #195113=DIRECTION('',(0.,0.,1.)); #195114=DIRECTION('center_axis',(0.,0.,-1.)); #195115=DIRECTION('ref_axis',(1.,0.,0.)); #195116=DIRECTION('',(1.,0.,0.)); #195117=DIRECTION('',(0.,-1.,0.)); #195118=DIRECTION('',(1.,0.,0.)); #195119=DIRECTION('center_axis',(-1.,0.,0.)); #195120=DIRECTION('ref_axis',(0.,0.,-1.)); #195121=DIRECTION('',(0.,0.,-1.)); #195122=DIRECTION('',(0.,-1.,0.)); #195123=DIRECTION('',(0.,0.,-1.)); #195124=DIRECTION('',(0.,-1.,0.)); #195125=DIRECTION('center_axis',(0.,0.,-1.)); #195126=DIRECTION('ref_axis',(1.,0.,0.)); #195127=DIRECTION('',(1.,0.,0.)); #195128=DIRECTION('',(0.,-1.,0.)); #195129=DIRECTION('',(1.,0.,0.)); #195130=DIRECTION('center_axis',(-1.,0.,0.)); #195131=DIRECTION('ref_axis',(0.,0.,-1.)); #195132=DIRECTION('',(0.,0.,-1.)); #195133=DIRECTION('',(0.,-1.,0.)); #195134=DIRECTION('',(0.,0.,-1.)); #195135=DIRECTION('',(0.,-1.,0.)); #195136=DIRECTION('center_axis',(0.,0.,1.)); #195137=DIRECTION('ref_axis',(-1.,0.,0.)); #195138=DIRECTION('',(-1.,0.,0.)); #195139=DIRECTION('',(0.,-1.,0.)); #195140=DIRECTION('',(-1.,0.,0.)); #195141=DIRECTION('center_axis',(-1.,0.,0.)); #195142=DIRECTION('ref_axis',(0.,0.,-1.)); #195143=DIRECTION('',(0.,0.,-1.)); #195144=DIRECTION('',(0.,0.,-1.)); #195145=DIRECTION('center_axis',(0.,0.,1.)); #195146=DIRECTION('ref_axis',(-1.,0.,0.)); #195147=DIRECTION('',(-1.,0.,0.)); #195148=DIRECTION('',(0.,-1.,0.)); #195149=DIRECTION('',(-1.,0.,0.)); #195150=DIRECTION('center_axis',(1.,0.,0.)); #195151=DIRECTION('ref_axis',(0.,0.,1.)); #195152=DIRECTION('',(0.,0.,1.)); #195153=DIRECTION('',(0.,0.,1.)); #195154=DIRECTION('center_axis',(0.,-1.,0.)); #195155=DIRECTION('ref_axis',(0.,0.,-1.)); #195156=DIRECTION('center_axis',(-1.,0.,0.)); #195157=DIRECTION('ref_axis',(0.,0.,-1.)); #195158=DIRECTION('',(0.,0.,-1.)); #195159=DIRECTION('',(0.,-1.,0.)); #195160=DIRECTION('',(0.,0.,-1.)); #195161=DIRECTION('',(0.,-1.,0.)); #195162=DIRECTION('center_axis',(0.,0.,1.)); #195163=DIRECTION('ref_axis',(-1.,0.,0.)); #195164=DIRECTION('',(-1.,0.,0.)); #195165=DIRECTION('',(-1.,0.,0.)); #195166=DIRECTION('',(0.,-1.,0.)); #195167=DIRECTION('center_axis',(1.,0.,0.)); #195168=DIRECTION('ref_axis',(0.,0.,1.)); #195169=DIRECTION('',(0.,0.,1.)); #195170=DIRECTION('',(0.,-1.,0.)); #195171=DIRECTION('',(0.,0.,1.)); #195172=DIRECTION('center_axis',(0.,0.,-1.)); #195173=DIRECTION('ref_axis',(1.,0.,0.)); #195174=DIRECTION('',(1.,0.,0.)); #195175=DIRECTION('',(1.,0.,0.)); #195176=DIRECTION('center_axis',(0.,-1.,0.)); #195177=DIRECTION('ref_axis',(0.,0.,-1.)); #195178=DIRECTION('center_axis',(0.,0.,-1.)); #195179=DIRECTION('ref_axis',(1.,0.,0.)); #195180=DIRECTION('',(1.,0.,0.)); #195181=DIRECTION('',(0.,-1.,0.)); #195182=DIRECTION('',(1.,0.,0.)); #195183=DIRECTION('',(0.,-1.,0.)); #195184=DIRECTION('center_axis',(-1.,0.,0.)); #195185=DIRECTION('ref_axis',(0.,0.,-1.)); #195186=DIRECTION('',(0.,0.,-1.)); #195187=DIRECTION('',(0.,-1.,0.)); #195188=DIRECTION('',(0.,0.,-1.)); #195189=DIRECTION('center_axis',(0.,0.,1.)); #195190=DIRECTION('ref_axis',(-1.,0.,0.)); #195191=DIRECTION('',(-1.,0.,0.)); #195192=DIRECTION('',(0.,-1.,0.)); #195193=DIRECTION('',(-1.,0.,0.)); #195194=DIRECTION('center_axis',(-1.,0.,0.)); #195195=DIRECTION('ref_axis',(0.,0.,-1.)); #195196=DIRECTION('',(0.,0.,-1.)); #195197=DIRECTION('',(0.,-1.,0.)); #195198=DIRECTION('',(0.,0.,-1.)); #195199=DIRECTION('center_axis',(0.,0.,1.)); #195200=DIRECTION('ref_axis',(-1.,0.,0.)); #195201=DIRECTION('',(-1.,0.,0.)); #195202=DIRECTION('',(-1.,0.,0.)); #195203=DIRECTION('center_axis',(1.,0.,0.)); #195204=DIRECTION('ref_axis',(0.,0.,1.)); #195205=DIRECTION('',(0.,0.,1.)); #195206=DIRECTION('',(0.,0.,1.)); #195207=DIRECTION('center_axis',(0.,0.,-1.)); #195208=DIRECTION('ref_axis',(1.,0.,0.)); #195209=DIRECTION('',(1.,0.,0.)); #195210=DIRECTION('',(0.,-1.,0.)); #195211=DIRECTION('',(1.,0.,0.)); #195212=DIRECTION('center_axis',(1.,0.,0.)); #195213=DIRECTION('ref_axis',(0.,0.,1.)); #195214=DIRECTION('',(0.,0.,1.)); #195215=DIRECTION('',(0.,-1.,0.)); #195216=DIRECTION('',(0.,0.,1.)); #195217=DIRECTION('center_axis',(0.,0.,1.)); #195218=DIRECTION('ref_axis',(-1.,0.,0.)); #195219=DIRECTION('',(-1.,0.,0.)); #195220=DIRECTION('',(0.,-1.,0.)); #195221=DIRECTION('',(-1.,0.,0.)); #195222=DIRECTION('center_axis',(1.,0.,0.)); #195223=DIRECTION('ref_axis',(0.,0.,1.)); #195224=DIRECTION('',(0.,0.,1.)); #195225=DIRECTION('',(0.,-1.,0.)); #195226=DIRECTION('',(0.,0.,1.)); #195227=DIRECTION('center_axis',(0.,0.,-1.)); #195228=DIRECTION('ref_axis',(1.,0.,0.)); #195229=DIRECTION('',(1.,0.,0.)); #195230=DIRECTION('',(0.,-1.,0.)); #195231=DIRECTION('',(1.,0.,0.)); #195232=DIRECTION('',(0.,-1.,0.)); #195233=DIRECTION('center_axis',(-1.,0.,0.)); #195234=DIRECTION('ref_axis',(0.,0.,-1.)); #195235=DIRECTION('',(0.,0.,-1.)); #195236=DIRECTION('',(0.,0.,-1.)); #195237=DIRECTION('',(0.,-1.,0.)); #195238=DIRECTION('center_axis',(0.,-1.,0.)); #195239=DIRECTION('ref_axis',(0.,0.,-1.)); #195240=DIRECTION('center_axis',(0.,0.,1.)); #195241=DIRECTION('ref_axis',(-1.,0.,0.)); #195242=DIRECTION('',(-1.,0.,0.)); #195243=DIRECTION('',(0.,-1.,0.)); #195244=DIRECTION('',(-1.,0.,0.)); #195245=DIRECTION('',(0.,-1.,0.)); #195246=DIRECTION('center_axis',(1.,0.,0.)); #195247=DIRECTION('ref_axis',(0.,0.,1.)); #195248=DIRECTION('',(0.,0.,1.)); #195249=DIRECTION('',(0.,-1.,0.)); #195250=DIRECTION('',(0.,0.,1.)); #195251=DIRECTION('',(0.,-1.,0.)); #195252=DIRECTION('center_axis',(0.,0.,-1.)); #195253=DIRECTION('ref_axis',(1.,0.,0.)); #195254=DIRECTION('',(1.,0.,0.)); #195255=DIRECTION('',(0.,-1.,0.)); #195256=DIRECTION('',(1.,0.,0.)); #195257=DIRECTION('center_axis',(-1.,0.,0.)); #195258=DIRECTION('ref_axis',(0.,0.,-1.)); #195259=DIRECTION('',(0.,0.,-1.)); #195260=DIRECTION('',(0.,0.,-1.)); #195261=DIRECTION('center_axis',(0.,-1.,0.)); #195262=DIRECTION('ref_axis',(0.,0.,-1.)); #195263=DIRECTION('center_axis',(-1.,0.,0.)); #195264=DIRECTION('ref_axis',(0.,0.,-1.)); #195265=DIRECTION('',(0.,0.,-1.)); #195266=DIRECTION('',(0.,-1.,0.)); #195267=DIRECTION('',(0.,0.,-1.)); #195268=DIRECTION('',(0.,-1.,0.)); #195269=DIRECTION('center_axis',(0.,0.,-1.)); #195270=DIRECTION('ref_axis',(1.,0.,0.)); #195271=DIRECTION('',(1.,0.,0.)); #195272=DIRECTION('',(0.,-1.,0.)); #195273=DIRECTION('',(1.,0.,0.)); #195274=DIRECTION('center_axis',(1.,0.,0.)); #195275=DIRECTION('ref_axis',(0.,0.,1.)); #195276=DIRECTION('',(0.,0.,1.)); #195277=DIRECTION('',(0.,-1.,0.)); #195278=DIRECTION('',(0.,0.,1.)); #195279=DIRECTION('center_axis',(0.,0.,1.)); #195280=DIRECTION('ref_axis',(-1.,0.,0.)); #195281=DIRECTION('',(-1.,0.,0.)); #195282=DIRECTION('',(-1.,0.,0.)); #195283=DIRECTION('center_axis',(1.,0.,0.)); #195284=DIRECTION('ref_axis',(0.,0.,1.)); #195285=DIRECTION('',(0.,0.,1.)); #195286=DIRECTION('',(0.,-1.,0.)); #195287=DIRECTION('',(0.,0.,1.)); #195288=DIRECTION('',(0.,-1.,0.)); #195289=DIRECTION('center_axis',(0.,0.,1.)); #195290=DIRECTION('ref_axis',(-1.,0.,0.)); #195291=DIRECTION('',(-1.,0.,0.)); #195292=DIRECTION('',(0.,-1.,0.)); #195293=DIRECTION('',(-1.,0.,0.)); #195294=DIRECTION('center_axis',(1.,0.,0.)); #195295=DIRECTION('ref_axis',(0.,0.,1.)); #195296=DIRECTION('',(0.,0.,1.)); #195297=DIRECTION('',(0.,-1.,0.)); #195298=DIRECTION('',(0.,0.,1.)); #195299=DIRECTION('center_axis',(0.,0.,-1.)); #195300=DIRECTION('ref_axis',(1.,0.,0.)); #195301=DIRECTION('',(1.,0.,0.)); #195302=DIRECTION('',(0.,-1.,0.)); #195303=DIRECTION('',(1.,0.,0.)); #195304=DIRECTION('center_axis',(1.,0.,0.)); #195305=DIRECTION('ref_axis',(0.,0.,1.)); #195306=DIRECTION('',(0.,0.,1.)); #195307=DIRECTION('',(0.,-1.,0.)); #195308=DIRECTION('',(0.,0.,1.)); #195309=DIRECTION('center_axis',(0.,0.,-1.)); #195310=DIRECTION('ref_axis',(1.,0.,0.)); #195311=DIRECTION('',(1.,0.,0.)); #195312=DIRECTION('',(0.,-1.,0.)); #195313=DIRECTION('',(1.,0.,0.)); #195314=DIRECTION('center_axis',(-1.,0.,0.)); #195315=DIRECTION('ref_axis',(0.,0.,-1.)); #195316=DIRECTION('',(0.,0.,-1.)); #195317=DIRECTION('',(0.,-1.,0.)); #195318=DIRECTION('',(0.,0.,-1.)); #195319=DIRECTION('center_axis',(0.,0.,1.)); #195320=DIRECTION('ref_axis',(-1.,0.,0.)); #195321=DIRECTION('',(-1.,0.,0.)); #195322=DIRECTION('',(0.,-1.,0.)); #195323=DIRECTION('',(-1.,0.,0.)); #195324=DIRECTION('center_axis',(1.,0.,0.)); #195325=DIRECTION('ref_axis',(0.,0.,1.)); #195326=DIRECTION('',(0.,0.,1.)); #195327=DIRECTION('',(0.,-1.,0.)); #195328=DIRECTION('',(0.,0.,1.)); #195329=DIRECTION('',(0.,-1.,0.)); #195330=DIRECTION('center_axis',(0.,0.,1.)); #195331=DIRECTION('ref_axis',(-1.,0.,0.)); #195332=DIRECTION('',(-1.,0.,0.)); #195333=DIRECTION('',(0.,-1.,0.)); #195334=DIRECTION('',(-1.,0.,0.)); #195335=DIRECTION('center_axis',(-1.,0.,0.)); #195336=DIRECTION('ref_axis',(0.,0.,-1.)); #195337=DIRECTION('',(0.,0.,-1.)); #195338=DIRECTION('',(0.,-1.,0.)); #195339=DIRECTION('',(0.,0.,-1.)); #195340=DIRECTION('center_axis',(0.,0.,-1.)); #195341=DIRECTION('ref_axis',(1.,0.,0.)); #195342=DIRECTION('',(1.,0.,0.)); #195343=DIRECTION('',(1.,0.,0.)); #195344=DIRECTION('center_axis',(-1.,0.,0.)); #195345=DIRECTION('ref_axis',(0.,0.,-1.)); #195346=DIRECTION('',(0.,0.,-1.)); #195347=DIRECTION('',(0.,-1.,0.)); #195348=DIRECTION('',(0.,0.,-1.)); #195349=DIRECTION('center_axis',(0.,0.,1.)); #195350=DIRECTION('ref_axis',(-1.,0.,0.)); #195351=DIRECTION('',(-1.,0.,0.)); #195352=DIRECTION('',(0.,-1.,0.)); #195353=DIRECTION('',(-1.,0.,0.)); #195354=DIRECTION('center_axis',(-1.,0.,0.)); #195355=DIRECTION('ref_axis',(0.,0.,-1.)); #195356=DIRECTION('',(0.,0.,-1.)); #195357=DIRECTION('',(0.,-1.,0.)); #195358=DIRECTION('',(0.,0.,-1.)); #195359=DIRECTION('center_axis',(0.,0.,-1.)); #195360=DIRECTION('ref_axis',(1.,0.,0.)); #195361=DIRECTION('',(1.,0.,0.)); #195362=DIRECTION('',(0.,-1.,0.)); #195363=DIRECTION('',(1.,0.,0.)); #195364=DIRECTION('center_axis',(-1.,0.,0.)); #195365=DIRECTION('ref_axis',(0.,0.,-1.)); #195366=DIRECTION('',(0.,0.,-1.)); #195367=DIRECTION('',(0.,-1.,0.)); #195368=DIRECTION('',(0.,0.,-1.)); #195369=DIRECTION('center_axis',(0.,0.,-1.)); #195370=DIRECTION('ref_axis',(1.,0.,0.)); #195371=DIRECTION('',(1.,0.,0.)); #195372=DIRECTION('',(1.,0.,0.)); #195373=DIRECTION('center_axis',(-1.,0.,0.)); #195374=DIRECTION('ref_axis',(0.,0.,-1.)); #195375=DIRECTION('',(0.,0.,-1.)); #195376=DIRECTION('',(0.,-1.,0.)); #195377=DIRECTION('',(0.,0.,-1.)); #195378=DIRECTION('center_axis',(0.,0.,1.)); #195379=DIRECTION('ref_axis',(-1.,0.,0.)); #195380=DIRECTION('',(-1.,0.,0.)); #195381=DIRECTION('',(0.,-1.,0.)); #195382=DIRECTION('',(-1.,0.,0.)); #195383=DIRECTION('center_axis',(-1.,0.,0.)); #195384=DIRECTION('ref_axis',(0.,0.,-1.)); #195385=DIRECTION('',(0.,0.,-1.)); #195386=DIRECTION('',(0.,0.,-1.)); #195387=DIRECTION('center_axis',(0.,0.,1.)); #195388=DIRECTION('ref_axis',(-1.,0.,0.)); #195389=DIRECTION('',(-1.,0.,0.)); #195390=DIRECTION('',(0.,-1.,0.)); #195391=DIRECTION('',(-1.,0.,0.)); #195392=DIRECTION('center_axis',(1.,0.,0.)); #195393=DIRECTION('ref_axis',(0.,0.,1.)); #195394=DIRECTION('',(0.,0.,1.)); #195395=DIRECTION('',(0.,-1.,0.)); #195396=DIRECTION('',(0.,0.,1.)); #195397=DIRECTION('center_axis',(0.,0.,1.)); #195398=DIRECTION('ref_axis',(-1.,0.,0.)); #195399=DIRECTION('',(-1.,0.,0.)); #195400=DIRECTION('',(0.,-1.,0.)); #195401=DIRECTION('',(-1.,0.,0.)); #195402=DIRECTION('center_axis',(1.,0.,0.)); #195403=DIRECTION('ref_axis',(0.,0.,1.)); #195404=DIRECTION('',(0.,0.,1.)); #195405=DIRECTION('',(0.,-1.,0.)); #195406=DIRECTION('',(0.,0.,1.)); #195407=DIRECTION('center_axis',(0.,0.,-1.)); #195408=DIRECTION('ref_axis',(1.,0.,0.)); #195409=DIRECTION('',(1.,0.,0.)); #195410=DIRECTION('',(1.,0.,0.)); #195411=DIRECTION('center_axis',(0.,-1.,0.)); #195412=DIRECTION('ref_axis',(0.,0.,-1.)); #195413=DIRECTION('center_axis',(0.,0.,-1.)); #195414=DIRECTION('ref_axis',(1.,0.,0.)); #195415=DIRECTION('',(1.,0.,0.)); #195416=DIRECTION('',(0.,-1.,0.)); #195417=DIRECTION('',(1.,0.,0.)); #195418=DIRECTION('',(0.,-1.,0.)); #195419=DIRECTION('center_axis',(-1.,0.,0.)); #195420=DIRECTION('ref_axis',(0.,0.,-1.)); #195421=DIRECTION('',(0.,0.,-1.)); #195422=DIRECTION('',(0.,-1.,0.)); #195423=DIRECTION('',(0.,0.,-1.)); #195424=DIRECTION('center_axis',(0.,0.,1.)); #195425=DIRECTION('ref_axis',(-1.,0.,0.)); #195426=DIRECTION('',(-1.,0.,0.)); #195427=DIRECTION('',(-1.,0.,0.)); #195428=DIRECTION('center_axis',(1.,0.,0.)); #195429=DIRECTION('ref_axis',(0.,0.,1.)); #195430=DIRECTION('',(0.,0.,1.)); #195431=DIRECTION('',(0.,0.,1.)); #195432=DIRECTION('center_axis',(0.,-1.,0.)); #195433=DIRECTION('ref_axis',(0.,0.,-1.)); #195434=DIRECTION('center_axis',(-1.,0.,0.)); #195435=DIRECTION('ref_axis',(0.,0.,-1.)); #195436=DIRECTION('',(0.,0.,-1.)); #195437=DIRECTION('',(0.,-1.,0.)); #195438=DIRECTION('',(0.,0.,-1.)); #195439=DIRECTION('',(0.,-1.,0.)); #195440=DIRECTION('center_axis',(0.,0.,1.)); #195441=DIRECTION('ref_axis',(-1.,0.,0.)); #195442=DIRECTION('',(-1.,0.,0.)); #195443=DIRECTION('',(0.,-1.,0.)); #195444=DIRECTION('',(-1.,0.,0.)); #195445=DIRECTION('',(0.,-1.,0.)); #195446=DIRECTION('center_axis',(1.,0.,0.)); #195447=DIRECTION('ref_axis',(0.,0.,1.)); #195448=DIRECTION('',(0.,0.,1.)); #195449=DIRECTION('',(0.,-1.,0.)); #195450=DIRECTION('',(0.,0.,1.)); #195451=DIRECTION('center_axis',(0.,0.,-1.)); #195452=DIRECTION('ref_axis',(1.,0.,0.)); #195453=DIRECTION('',(1.,0.,0.)); #195454=DIRECTION('',(1.,0.,0.)); #195455=DIRECTION('center_axis',(0.,-1.,0.)); #195456=DIRECTION('ref_axis',(0.,0.,-1.)); #195457=DIRECTION('center_axis',(0.,0.,1.)); #195458=DIRECTION('ref_axis',(-1.,0.,0.)); #195459=DIRECTION('',(-1.,0.,0.)); #195460=DIRECTION('',(0.,-1.,0.)); #195461=DIRECTION('',(-1.,0.,0.)); #195462=DIRECTION('',(0.,-1.,0.)); #195463=DIRECTION('center_axis',(1.,0.,0.)); #195464=DIRECTION('ref_axis',(0.,0.,1.)); #195465=DIRECTION('',(0.,0.,1.)); #195466=DIRECTION('',(0.,-1.,0.)); #195467=DIRECTION('',(0.,0.,1.)); #195468=DIRECTION('center_axis',(0.,0.,-1.)); #195469=DIRECTION('ref_axis',(1.,0.,0.)); #195470=DIRECTION('',(1.,0.,0.)); #195471=DIRECTION('',(0.,-1.,0.)); #195472=DIRECTION('',(1.,0.,0.)); #195473=DIRECTION('',(0.,-1.,0.)); #195474=DIRECTION('center_axis',(1.,0.,0.)); #195475=DIRECTION('ref_axis',(0.,0.,1.)); #195476=DIRECTION('',(0.,0.,1.)); #195477=DIRECTION('',(0.,0.,1.)); #195478=DIRECTION('center_axis',(0.,0.,-1.)); #195479=DIRECTION('ref_axis',(1.,0.,0.)); #195480=DIRECTION('',(1.,0.,0.)); #195481=DIRECTION('',(0.,-1.,0.)); #195482=DIRECTION('',(1.,0.,0.)); #195483=DIRECTION('center_axis',(-1.,0.,0.)); #195484=DIRECTION('ref_axis',(0.,0.,-1.)); #195485=DIRECTION('',(0.,0.,-1.)); #195486=DIRECTION('',(0.,-1.,0.)); #195487=DIRECTION('',(0.,0.,-1.)); #195488=DIRECTION('center_axis',(0.,0.,-1.)); #195489=DIRECTION('ref_axis',(1.,0.,0.)); #195490=DIRECTION('',(1.,0.,0.)); #195491=DIRECTION('',(1.,0.,0.)); #195492=DIRECTION('center_axis',(-1.,0.,0.)); #195493=DIRECTION('ref_axis',(0.,0.,-1.)); #195494=DIRECTION('',(0.,0.,-1.)); #195495=DIRECTION('',(0.,-1.,0.)); #195496=DIRECTION('',(0.,0.,-1.)); #195497=DIRECTION('center_axis',(0.,0.,1.)); #195498=DIRECTION('ref_axis',(-1.,0.,0.)); #195499=DIRECTION('',(-1.,0.,0.)); #195500=DIRECTION('',(0.,-1.,0.)); #195501=DIRECTION('',(-1.,0.,0.)); #195502=DIRECTION('center_axis',(-1.,0.,0.)); #195503=DIRECTION('ref_axis',(0.,0.,-1.)); #195504=DIRECTION('',(0.,0.,-1.)); #195505=DIRECTION('',(0.,0.,-1.)); #195506=DIRECTION('center_axis',(0.,0.,1.)); #195507=DIRECTION('ref_axis',(-1.,0.,0.)); #195508=DIRECTION('',(-1.,0.,0.)); #195509=DIRECTION('',(0.,-1.,0.)); #195510=DIRECTION('',(-1.,0.,0.)); #195511=DIRECTION('center_axis',(-1.,0.,0.)); #195512=DIRECTION('ref_axis',(0.,0.,-1.)); #195513=DIRECTION('',(0.,0.,-1.)); #195514=DIRECTION('',(0.,-1.,0.)); #195515=DIRECTION('',(0.,0.,-1.)); #195516=DIRECTION('center_axis',(0.,0.,1.)); #195517=DIRECTION('ref_axis',(-1.,0.,0.)); #195518=DIRECTION('',(-1.,0.,0.)); #195519=DIRECTION('',(-1.,0.,0.)); #195520=DIRECTION('center_axis',(1.,0.,0.)); #195521=DIRECTION('ref_axis',(0.,0.,1.)); #195522=DIRECTION('',(0.,0.,1.)); #195523=DIRECTION('',(0.,0.,1.)); #195524=DIRECTION('center_axis',(0.,-1.,0.)); #195525=DIRECTION('ref_axis',(0.,0.,-1.)); #195526=DIRECTION('center_axis',(1.,0.,0.)); #195527=DIRECTION('ref_axis',(0.,0.,1.)); #195528=DIRECTION('',(0.,0.,1.)); #195529=DIRECTION('',(0.,-1.,0.)); #195530=DIRECTION('',(0.,0.,1.)); #195531=DIRECTION('',(0.,-1.,0.)); #195532=DIRECTION('center_axis',(0.,0.,-1.)); #195533=DIRECTION('ref_axis',(1.,0.,0.)); #195534=DIRECTION('',(1.,0.,0.)); #195535=DIRECTION('',(0.,-1.,0.)); #195536=DIRECTION('',(1.,0.,0.)); #195537=DIRECTION('center_axis',(-1.,0.,0.)); #195538=DIRECTION('ref_axis',(0.,0.,-1.)); #195539=DIRECTION('',(0.,0.,-1.)); #195540=DIRECTION('',(0.,-1.,0.)); #195541=DIRECTION('',(0.,0.,-1.)); #195542=DIRECTION('center_axis',(0.,0.,-1.)); #195543=DIRECTION('ref_axis',(1.,0.,0.)); #195544=DIRECTION('',(1.,0.,0.)); #195545=DIRECTION('',(0.,-1.,0.)); #195546=DIRECTION('',(1.,0.,0.)); #195547=DIRECTION('center_axis',(-1.,0.,0.)); #195548=DIRECTION('ref_axis',(0.,0.,-1.)); #195549=DIRECTION('',(0.,0.,-1.)); #195550=DIRECTION('',(0.,0.,-1.)); #195551=DIRECTION('center_axis',(0.,0.,1.)); #195552=DIRECTION('ref_axis',(-1.,0.,0.)); #195553=DIRECTION('',(-1.,0.,0.)); #195554=DIRECTION('',(-1.,0.,0.)); #195555=DIRECTION('center_axis',(0.,-1.,0.)); #195556=DIRECTION('ref_axis',(0.,0.,-1.)); #195557=DIRECTION('center_axis',(-1.,0.,0.)); #195558=DIRECTION('ref_axis',(0.,0.,-1.)); #195559=DIRECTION('',(0.,0.,-1.)); #195560=DIRECTION('',(0.,-1.,0.)); #195561=DIRECTION('',(0.,0.,-1.)); #195562=DIRECTION('',(0.,-1.,0.)); #195563=DIRECTION('center_axis',(0.,0.,-1.)); #195564=DIRECTION('ref_axis',(1.,0.,0.)); #195565=DIRECTION('',(1.,0.,0.)); #195566=DIRECTION('',(0.,-1.,0.)); #195567=DIRECTION('',(1.,0.,0.)); #195568=DIRECTION('center_axis',(-1.,0.,0.)); #195569=DIRECTION('ref_axis',(0.,0.,-1.)); #195570=DIRECTION('',(0.,0.,-1.)); #195571=DIRECTION('',(0.,-1.,0.)); #195572=DIRECTION('',(0.,0.,-1.)); #195573=DIRECTION('center_axis',(0.,0.,1.)); #195574=DIRECTION('ref_axis',(-1.,0.,0.)); #195575=DIRECTION('',(-1.,0.,0.)); #195576=DIRECTION('',(0.,-1.,0.)); #195577=DIRECTION('',(-1.,0.,0.)); #195578=DIRECTION('center_axis',(1.,0.,0.)); #195579=DIRECTION('ref_axis',(0.,0.,1.)); #195580=DIRECTION('',(0.,0.,1.)); #195581=DIRECTION('',(0.,-1.,0.)); #195582=DIRECTION('',(0.,0.,1.)); #195583=DIRECTION('center_axis',(0.,0.,1.)); #195584=DIRECTION('ref_axis',(-1.,0.,0.)); #195585=DIRECTION('',(-1.,0.,0.)); #195586=DIRECTION('',(0.,-1.,0.)); #195587=DIRECTION('',(-1.,0.,0.)); #195588=DIRECTION('center_axis',(-1.,0.,0.)); #195589=DIRECTION('ref_axis',(0.,0.,-1.)); #195590=DIRECTION('',(0.,0.,-1.)); #195591=DIRECTION('',(0.,-1.,0.)); #195592=DIRECTION('',(0.,0.,-1.)); #195593=DIRECTION('center_axis',(0.,0.,1.)); #195594=DIRECTION('ref_axis',(-1.,0.,0.)); #195595=DIRECTION('',(-1.,0.,0.)); #195596=DIRECTION('',(0.,-1.,0.)); #195597=DIRECTION('',(-1.,0.,0.)); #195598=DIRECTION('center_axis',(-1.,0.,0.)); #195599=DIRECTION('ref_axis',(0.,0.,-1.)); #195600=DIRECTION('',(0.,0.,-1.)); #195601=DIRECTION('',(0.,-1.,0.)); #195602=DIRECTION('',(0.,0.,-1.)); #195603=DIRECTION('center_axis',(0.,0.,1.)); #195604=DIRECTION('ref_axis',(-1.,0.,0.)); #195605=DIRECTION('',(-1.,0.,0.)); #195606=DIRECTION('',(0.,-1.,0.)); #195607=DIRECTION('',(-1.,0.,0.)); #195608=DIRECTION('center_axis',(1.,0.,0.)); #195609=DIRECTION('ref_axis',(0.,0.,1.)); #195610=DIRECTION('',(0.,0.,1.)); #195611=DIRECTION('',(0.,-1.,0.)); #195612=DIRECTION('',(0.,0.,1.)); #195613=DIRECTION('center_axis',(0.,0.,-1.)); #195614=DIRECTION('ref_axis',(1.,0.,0.)); #195615=DIRECTION('',(1.,0.,0.)); #195616=DIRECTION('',(0.,-1.,0.)); #195617=DIRECTION('',(1.,0.,0.)); #195618=DIRECTION('center_axis',(1.,0.,0.)); #195619=DIRECTION('ref_axis',(0.,0.,1.)); #195620=DIRECTION('',(0.,0.,1.)); #195621=DIRECTION('',(0.,-1.,0.)); #195622=DIRECTION('',(0.,0.,1.)); #195623=DIRECTION('center_axis',(0.,0.,1.)); #195624=DIRECTION('ref_axis',(-1.,0.,0.)); #195625=DIRECTION('',(-1.,0.,0.)); #195626=DIRECTION('',(0.,-1.,0.)); #195627=DIRECTION('',(-1.,0.,0.)); #195628=DIRECTION('center_axis',(1.,0.,0.)); #195629=DIRECTION('ref_axis',(0.,0.,1.)); #195630=DIRECTION('',(0.,0.,1.)); #195631=DIRECTION('',(0.,-1.,0.)); #195632=DIRECTION('',(0.,0.,1.)); #195633=DIRECTION('center_axis',(0.,0.,-1.)); #195634=DIRECTION('ref_axis',(1.,0.,0.)); #195635=DIRECTION('',(1.,0.,0.)); #195636=DIRECTION('',(0.,-1.,0.)); #195637=DIRECTION('',(1.,0.,0.)); #195638=DIRECTION('center_axis',(1.,0.,0.)); #195639=DIRECTION('ref_axis',(0.,0.,1.)); #195640=DIRECTION('',(0.,0.,1.)); #195641=DIRECTION('',(0.,-1.,0.)); #195642=DIRECTION('',(0.,0.,1.)); #195643=DIRECTION('center_axis',(0.,0.,-1.)); #195644=DIRECTION('ref_axis',(1.,0.,0.)); #195645=DIRECTION('',(1.,0.,0.)); #195646=DIRECTION('',(0.,-1.,0.)); #195647=DIRECTION('',(1.,0.,0.)); #195648=DIRECTION('',(0.,-1.,0.)); #195649=DIRECTION('center_axis',(-1.,0.,0.)); #195650=DIRECTION('ref_axis',(0.,0.,-1.)); #195651=DIRECTION('',(0.,0.,-1.)); #195652=DIRECTION('',(0.,-1.,0.)); #195653=DIRECTION('',(0.,0.,-1.)); #195654=DIRECTION('',(0.,-1.,0.)); #195655=DIRECTION('center_axis',(0.,0.,-1.)); #195656=DIRECTION('ref_axis',(1.,0.,0.)); #195657=DIRECTION('',(1.,0.,0.)); #195658=DIRECTION('',(0.,-1.,0.)); #195659=DIRECTION('',(1.,0.,0.)); #195660=DIRECTION('center_axis',(0.,-1.,0.)); #195661=DIRECTION('ref_axis',(0.,0.,-1.)); #195662=DIRECTION('center_axis',(1.,0.,0.)); #195663=DIRECTION('ref_axis',(0.,0.,1.)); #195664=DIRECTION('',(0.,0.,1.)); #195665=DIRECTION('',(0.,-1.,0.)); #195666=DIRECTION('',(0.,0.,1.)); #195667=DIRECTION('',(0.,-1.,0.)); #195668=DIRECTION('center_axis',(0.,0.,1.)); #195669=DIRECTION('ref_axis',(-1.,0.,0.)); #195670=DIRECTION('',(-1.,0.,0.)); #195671=DIRECTION('',(-1.,0.,0.)); #195672=DIRECTION('center_axis',(1.,0.,0.)); #195673=DIRECTION('ref_axis',(0.,0.,1.)); #195674=DIRECTION('',(0.,0.,1.)); #195675=DIRECTION('',(0.,-1.,0.)); #195676=DIRECTION('',(0.,0.,1.)); #195677=DIRECTION('center_axis',(0.,0.,-1.)); #195678=DIRECTION('ref_axis',(1.,0.,0.)); #195679=DIRECTION('',(1.,0.,0.)); #195680=DIRECTION('',(0.,-1.,0.)); #195681=DIRECTION('',(1.,0.,0.)); #195682=DIRECTION('center_axis',(-1.,0.,0.)); #195683=DIRECTION('ref_axis',(0.,0.,-1.)); #195684=DIRECTION('',(0.,0.,-1.)); #195685=DIRECTION('',(0.,-1.,0.)); #195686=DIRECTION('',(0.,0.,-1.)); #195687=DIRECTION('center_axis',(0.,0.,1.)); #195688=DIRECTION('ref_axis',(-1.,0.,0.)); #195689=DIRECTION('',(-1.,0.,0.)); #195690=DIRECTION('',(-1.,0.,0.)); #195691=DIRECTION('center_axis',(0.,-1.,0.)); #195692=DIRECTION('ref_axis',(0.,0.,-1.)); #195693=DIRECTION('center_axis',(-1.,0.,0.)); #195694=DIRECTION('ref_axis',(0.,0.,-1.)); #195695=DIRECTION('',(0.,0.,-1.)); #195696=DIRECTION('',(0.,0.,-1.)); #195697=DIRECTION('',(0.,-1.,0.)); #195698=DIRECTION('center_axis',(0.,0.,1.)); #195699=DIRECTION('ref_axis',(-1.,0.,0.)); #195700=DIRECTION('',(-1.,0.,0.)); #195701=DIRECTION('',(0.,-1.,0.)); #195702=DIRECTION('',(-1.,0.,0.)); #195703=DIRECTION('center_axis',(1.,0.,0.)); #195704=DIRECTION('ref_axis',(0.,0.,1.)); #195705=DIRECTION('',(0.,0.,1.)); #195706=DIRECTION('',(0.,-1.,0.)); #195707=DIRECTION('',(0.,0.,1.)); #195708=DIRECTION('center_axis',(0.,0.,-1.)); #195709=DIRECTION('ref_axis',(1.,0.,0.)); #195710=DIRECTION('',(1.,0.,0.)); #195711=DIRECTION('',(1.,0.,0.)); #195712=DIRECTION('center_axis',(0.,-1.,0.)); #195713=DIRECTION('ref_axis',(0.,0.,-1.)); #195714=DIRECTION('center_axis',(-1.,0.,0.)); #195715=DIRECTION('ref_axis',(0.,0.,-1.)); #195716=DIRECTION('',(0.,0.,-1.)); #195717=DIRECTION('',(0.,-1.,0.)); #195718=DIRECTION('',(0.,0.,-1.)); #195719=DIRECTION('',(0.,-1.,0.)); #195720=DIRECTION('center_axis',(0.,0.,1.)); #195721=DIRECTION('ref_axis',(-1.,0.,0.)); #195722=DIRECTION('',(-1.,0.,0.)); #195723=DIRECTION('',(-1.,0.,0.)); #195724=DIRECTION('center_axis',(1.,0.,0.)); #195725=DIRECTION('ref_axis',(0.,0.,1.)); #195726=DIRECTION('',(0.,0.,1.)); #195727=DIRECTION('',(0.,-1.,0.)); #195728=DIRECTION('',(0.,0.,1.)); #195729=DIRECTION('center_axis',(0.,0.,-1.)); #195730=DIRECTION('ref_axis',(1.,0.,0.)); #195731=DIRECTION('',(1.,0.,0.)); #195732=DIRECTION('',(1.,0.,0.)); #195733=DIRECTION('center_axis',(0.,-1.,0.)); #195734=DIRECTION('ref_axis',(0.,0.,-1.)); #195735=DIRECTION('center_axis',(1.,0.,0.)); #195736=DIRECTION('ref_axis',(0.,0.,1.)); #195737=DIRECTION('',(0.,0.,1.)); #195738=DIRECTION('',(0.,-1.,0.)); #195739=DIRECTION('',(0.,0.,1.)); #195740=DIRECTION('',(0.,-1.,0.)); #195741=DIRECTION('center_axis',(0.,0.,1.)); #195742=DIRECTION('ref_axis',(-1.,0.,0.)); #195743=DIRECTION('',(-1.,0.,0.)); #195744=DIRECTION('',(0.,-1.,0.)); #195745=DIRECTION('',(-1.,0.,0.)); #195746=DIRECTION('center_axis',(-1.,0.,0.)); #195747=DIRECTION('ref_axis',(0.,0.,-1.)); #195748=DIRECTION('',(0.,0.,-1.)); #195749=DIRECTION('',(0.,-1.,0.)); #195750=DIRECTION('',(0.,0.,-1.)); #195751=DIRECTION('center_axis',(0.,0.,-1.)); #195752=DIRECTION('ref_axis',(1.,0.,0.)); #195753=DIRECTION('',(1.,0.,0.)); #195754=DIRECTION('',(1.,0.,0.)); #195755=DIRECTION('center_axis',(0.,0.,-1.)); #195756=DIRECTION('ref_axis',(1.,0.,0.)); #195757=DIRECTION('',(1.,0.,0.)); #195758=DIRECTION('',(0.,-1.,0.)); #195759=DIRECTION('',(1.,0.,0.)); #195760=DIRECTION('',(0.,-1.,0.)); #195761=DIRECTION('center_axis',(-1.,0.,0.)); #195762=DIRECTION('ref_axis',(0.,0.,-1.)); #195763=DIRECTION('',(0.,0.,-1.)); #195764=DIRECTION('',(0.,-1.,0.)); #195765=DIRECTION('',(0.,0.,-1.)); #195766=DIRECTION('center_axis',(0.,0.,1.)); #195767=DIRECTION('ref_axis',(-1.,0.,0.)); #195768=DIRECTION('',(-1.,0.,0.)); #195769=DIRECTION('',(0.,-1.,0.)); #195770=DIRECTION('',(-1.,0.,0.)); #195771=DIRECTION('center_axis',(1.,0.,0.)); #195772=DIRECTION('ref_axis',(0.,0.,1.)); #195773=DIRECTION('',(0.,0.,1.)); #195774=DIRECTION('',(0.,0.,1.)); #195775=DIRECTION('center_axis',(0.,-1.,0.)); #195776=DIRECTION('ref_axis',(0.,0.,-1.)); #195777=DIRECTION('center_axis',(-0.947032100120081,0.,-0.321138912843256)); #195778=DIRECTION('ref_axis',(0.321138912843256,0.,-0.947032100120081)); #195779=DIRECTION('',(0.321138912843256,0.,-0.947032100120081)); #195780=DIRECTION('',(0.,-1.,0.)); #195781=DIRECTION('',(0.321138912843256,0.,-0.947032100120081)); #195782=DIRECTION('',(0.,-1.,0.)); #195783=DIRECTION('center_axis',(-0.974039761433119,0.,-0.226376993414331)); #195784=DIRECTION('ref_axis',(0.226376993414331,0.,-0.974039761433119)); #195785=DIRECTION('',(0.226376993414331,0.,-0.974039761433119)); #195786=DIRECTION('',(0.,-1.,0.)); #195787=DIRECTION('',(0.226376993414331,0.,-0.974039761433119)); #195788=DIRECTION('center_axis',(-0.991134289155683,0.,-0.132863918577842)); #195789=DIRECTION('ref_axis',(0.132863918577842,0.,-0.991134289155683)); #195790=DIRECTION('',(0.132863918577842,0.,-0.991134289155683)); #195791=DIRECTION('',(0.,-1.,0.)); #195792=DIRECTION('',(0.132863918577842,0.,-0.991134289155683)); #195793=DIRECTION('center_axis',(-0.999097164149766,0.,-0.0424836037536385)); #195794=DIRECTION('ref_axis',(0.0424836037536385,0.,-0.999097164149766)); #195795=DIRECTION('',(0.0424836037536385,0.,-0.999097164149766)); #195796=DIRECTION('',(0.,-1.,0.)); #195797=DIRECTION('',(0.0424836037536385,0.,-0.999097164149766)); #195798=DIRECTION('center_axis',(-1.,0.,0.)); #195799=DIRECTION('ref_axis',(0.,0.,-1.)); #195800=DIRECTION('',(0.,0.,-1.)); #195801=DIRECTION('',(0.,-1.,0.)); #195802=DIRECTION('',(0.,0.,-1.)); #195803=DIRECTION('center_axis',(0.,-1.,0.)); #195804=DIRECTION('ref_axis',(-0.979755826060379,0.,-0.200196207008888)); #195805=DIRECTION('center_axis',(0.,-1.,0.)); #195806=DIRECTION('ref_axis',(-0.979755826060379,0.,-0.200196207008888)); #195807=DIRECTION('',(0.,-1.,0.)); #195808=DIRECTION('center_axis',(0.,-1.,0.)); #195809=DIRECTION('ref_axis',(-0.979755826060379,0.,-0.200196207008888)); #195810=DIRECTION('center_axis',(-0.894461069533981,0.,0.447145832014709)); #195811=DIRECTION('ref_axis',(-0.447145832014709,0.,-0.894461069533981)); #195812=DIRECTION('',(-0.447145832014709,0.,-0.894461069533981)); #195813=DIRECTION('',(0.,-1.,0.)); #195814=DIRECTION('',(-0.447145832014709,0.,-0.894461069533981)); #195815=DIRECTION('center_axis',(-0.753630083895388,0.,0.657298787955547)); #195816=DIRECTION('ref_axis',(-0.657298787955547,0.,-0.753630083895388)); #195817=DIRECTION('',(-0.657298787955547,0.,-0.753630083895388)); #195818=DIRECTION('',(0.,-1.,0.)); #195819=DIRECTION('',(-0.657298787955547,0.,-0.753630083895388)); #195820=DIRECTION('center_axis',(-0.583498732915772,0.,0.812114049063115)); #195821=DIRECTION('ref_axis',(-0.812114049063115,0.,-0.583498732915772)); #195822=DIRECTION('',(-0.812114049063115,0.,-0.583498732915772)); #195823=DIRECTION('',(0.,-1.,0.)); #195824=DIRECTION('',(-0.812114049063115,0.,-0.583498732915772)); #195825=DIRECTION('center_axis',(-0.421838285188983,0.,0.906671087632565)); #195826=DIRECTION('ref_axis',(-0.906671087632565,0.,-0.421838285188983)); #195827=DIRECTION('',(-0.906671087632565,0.,-0.421838285188983)); #195828=DIRECTION('',(0.,-1.,0.)); #195829=DIRECTION('',(-0.906671087632565,0.,-0.421838285188983)); #195830=DIRECTION('center_axis',(0.,-1.,0.)); #195831=DIRECTION('ref_axis',(-0.403340590339937,0.,0.915049926607412)); #195832=DIRECTION('center_axis',(0.,-1.,0.)); #195833=DIRECTION('ref_axis',(-0.403340590339937,0.,0.915049926607412)); #195834=DIRECTION('',(0.,-1.,0.)); #195835=DIRECTION('center_axis',(0.,-1.,0.)); #195836=DIRECTION('ref_axis',(-0.403340590339937,0.,0.915049926607412)); #195837=DIRECTION('center_axis',(0.937783005092861,0.,0.347221882027909)); #195838=DIRECTION('ref_axis',(-0.347221882027909,0.,0.937783005092861)); #195839=DIRECTION('',(-0.347221882027909,0.,0.937783005092861)); #195840=DIRECTION('',(0.,-1.,0.)); #195841=DIRECTION('',(-0.347221882027909,0.,0.937783005092861)); #195842=DIRECTION('center_axis',(0.98453936804854,0.,0.175163445851529)); #195843=DIRECTION('ref_axis',(-0.175163445851529,0.,0.98453936804854)); #195844=DIRECTION('',(-0.175163445851529,0.,0.98453936804854)); #195845=DIRECTION('',(0.,-1.,0.)); #195846=DIRECTION('',(-0.175163445851529,0.,0.98453936804854)); #195847=DIRECTION('center_axis',(0.997361215073169,0.,0.0725989439852377)); #195848=DIRECTION('ref_axis',(-0.0725989439852377,0.,0.997361215073169)); #195849=DIRECTION('',(-0.0725989439852377,0.,0.997361215073169)); #195850=DIRECTION('',(0.,-1.,0.)); #195851=DIRECTION('',(-0.0725989439852377,0.,0.997361215073169)); #195852=DIRECTION('center_axis',(0.,-1.,0.)); #195853=DIRECTION('ref_axis',(0.99999627827407,0.,0.00272826648414379)); #195854=DIRECTION('center_axis',(0.,-1.,0.)); #195855=DIRECTION('ref_axis',(0.99999627827407,0.,0.00272826648414379)); #195856=DIRECTION('',(0.,-1.,0.)); #195857=DIRECTION('center_axis',(0.,-1.,0.)); #195858=DIRECTION('ref_axis',(0.99999627827407,0.,0.00272826648414379)); #195859=DIRECTION('center_axis',(0.960798568062979,0.,-0.277247383410788)); #195860=DIRECTION('ref_axis',(0.277247383410788,0.,0.960798568062979)); #195861=DIRECTION('',(0.277247383410788,0.,0.960798568062979)); #195862=DIRECTION('',(0.,-1.,0.)); #195863=DIRECTION('',(0.277247383410788,0.,0.960798568062979)); #195864=DIRECTION('center_axis',(0.799508985204454,0.,-0.600654128910594)); #195865=DIRECTION('ref_axis',(0.600654128910594,0.,0.799508985204454)); #195866=DIRECTION('',(0.600654128910594,0.,0.799508985204454)); #195867=DIRECTION('',(0.,-1.,0.)); #195868=DIRECTION('',(0.600654128910594,0.,0.799508985204454)); #195869=DIRECTION('center_axis',(0.591367700993649,0.,-0.806402035105)); #195870=DIRECTION('ref_axis',(0.806402035105,0.,0.591367700993649)); #195871=DIRECTION('',(0.806402035105,0.,0.591367700993649)); #195872=DIRECTION('',(0.,-1.,0.)); #195873=DIRECTION('',(0.806402035105,0.,0.591367700993649)); #195874=DIRECTION('center_axis',(0.412314258607879,0.,-0.911041685187146)); #195875=DIRECTION('ref_axis',(0.911041685187146,0.,0.412314258607879)); #195876=DIRECTION('',(0.911041685187146,0.,0.412314258607879)); #195877=DIRECTION('',(0.,-1.,0.)); #195878=DIRECTION('',(0.911041685187146,0.,0.412314258607879)); #195879=DIRECTION('center_axis',(0.,-1.,0.)); #195880=DIRECTION('ref_axis',(0.387723185277204,0.,-0.921775857569778)); #195881=DIRECTION('center_axis',(0.,-1.,0.)); #195882=DIRECTION('ref_axis',(0.387723185277204,0.,-0.921775857569778)); #195883=DIRECTION('',(0.,-1.,0.)); #195884=DIRECTION('center_axis',(0.,-1.,0.)); #195885=DIRECTION('ref_axis',(0.387723185277204,0.,-0.921775857569778)); #195886=DIRECTION('center_axis',(-0.722980952212225,0.,-0.69086796331738)); #195887=DIRECTION('ref_axis',(0.69086796331738,0.,-0.722980952212225)); #195888=DIRECTION('',(0.69086796331738,0.,-0.722980952212225)); #195889=DIRECTION('',(0.,-1.,0.)); #195890=DIRECTION('',(0.69086796331738,0.,-0.722980952212225)); #195891=DIRECTION('center_axis',(-0.796346839406063,0.,-0.604840236234308)); #195892=DIRECTION('ref_axis',(0.604840236234308,0.,-0.796346839406063)); #195893=DIRECTION('',(0.604840236234308,0.,-0.796346839406063)); #195894=DIRECTION('',(0.,-1.,0.)); #195895=DIRECTION('',(0.604840236234308,0.,-0.796346839406063)); #195896=DIRECTION('center_axis',(-0.857872685052911,0.,-0.513862293070924)); #195897=DIRECTION('ref_axis',(0.513862293070924,0.,-0.857872685052911)); #195898=DIRECTION('',(0.513862293070924,0.,-0.857872685052911)); #195899=DIRECTION('',(0.,-1.,0.)); #195900=DIRECTION('',(0.513862293070924,0.,-0.857872685052911)); #195901=DIRECTION('center_axis',(-0.907939662645388,0.,-0.41910090550532)); #195902=DIRECTION('ref_axis',(0.41910090550532,0.,-0.907939662645388)); #195903=DIRECTION('',(0.41910090550532,0.,-0.907939662645388)); #195904=DIRECTION('',(0.41910090550532,0.,-0.907939662645388)); #195905=DIRECTION('center_axis',(0.,1.,0.)); #195906=DIRECTION('ref_axis',(1.,0.,0.)); #195907=DIRECTION('center_axis',(0.00972581481465605,0.,-0.999952703144599)); #195908=DIRECTION('ref_axis',(0.999952703144599,0.,0.00972581481465605)); #195909=DIRECTION('',(0.999952703144599,0.,0.00972581481465605)); #195910=DIRECTION('',(0.,-1.,0.)); #195911=DIRECTION('',(0.999952703144599,0.,0.00972581481465605)); #195912=DIRECTION('',(0.,-1.,0.)); #195913=DIRECTION('center_axis',(1.,0.,0.)); #195914=DIRECTION('ref_axis',(0.,0.,1.)); #195915=DIRECTION('',(0.,0.,1.)); #195916=DIRECTION('',(0.,-1.,0.)); #195917=DIRECTION('',(0.,0.,1.)); #195918=DIRECTION('center_axis',(0.999694973242434,0.,0.0246973778731577)); #195919=DIRECTION('ref_axis',(-0.0246973778731577,0.,0.999694973242434)); #195920=DIRECTION('',(-0.0246973778731577,0.,0.999694973242434)); #195921=DIRECTION('',(0.,-1.,0.)); #195922=DIRECTION('',(-0.0246973778731577,0.,0.999694973242434)); #195923=DIRECTION('center_axis',(0.,-1.,0.)); #195924=DIRECTION('ref_axis',(-0.991436162856438,0.,0.130592247015287)); #195925=DIRECTION('center_axis',(0.,1.,0.)); #195926=DIRECTION('ref_axis',(-0.991436162856438,0.,0.130592247015287)); #195927=DIRECTION('',(0.,-1.,0.)); #195928=DIRECTION('center_axis',(0.,1.,0.)); #195929=DIRECTION('ref_axis',(-0.991436162856438,0.,0.130592247015287)); #195930=DIRECTION('center_axis',(0.,-1.,0.)); #195931=DIRECTION('ref_axis',(-0.782412508200381,0.,-0.622760521397743)); #195932=DIRECTION('center_axis',(0.,1.,0.)); #195933=DIRECTION('ref_axis',(-0.782412508200381,0.,-0.622760521397743)); #195934=DIRECTION('',(0.,-1.,0.)); #195935=DIRECTION('center_axis',(0.,1.,0.)); #195936=DIRECTION('ref_axis',(-0.782412508200381,0.,-0.622760521397743)); #195937=DIRECTION('center_axis',(0.,-1.,0.)); #195938=DIRECTION('ref_axis',(-0.169656464349581,0.,-0.985503264380387)); #195939=DIRECTION('center_axis',(0.,1.,0.)); #195940=DIRECTION('ref_axis',(-0.169656464349581,0.,-0.985503264380387)); #195941=DIRECTION('',(0.,-1.,0.)); #195942=DIRECTION('center_axis',(0.,1.,0.)); #195943=DIRECTION('ref_axis',(-0.169656464349581,0.,-0.985503264380387)); #195944=DIRECTION('center_axis',(0.,-1.,0.)); #195945=DIRECTION('ref_axis',(0.550864497886754,0.,-0.834594695027457)); #195946=DIRECTION('center_axis',(0.,1.,0.)); #195947=DIRECTION('ref_axis',(0.550864497886754,0.,-0.834594695027457)); #195948=DIRECTION('',(0.,-1.,0.)); #195949=DIRECTION('center_axis',(0.,1.,0.)); #195950=DIRECTION('ref_axis',(0.550864497886754,0.,-0.834594695027457)); #195951=DIRECTION('center_axis',(0.,-1.,0.)); #195952=DIRECTION('ref_axis',(0.999605139833781,0.,-0.0280991889186561)); #195953=DIRECTION('center_axis',(0.,1.,0.)); #195954=DIRECTION('ref_axis',(0.999605139833781,0.,-0.0280991889186561)); #195955=DIRECTION('',(0.,-1.,0.)); #195956=DIRECTION('center_axis',(0.,1.,0.)); #195957=DIRECTION('ref_axis',(0.999605139833781,0.,-0.0280991889186561)); #195958=DIRECTION('center_axis',(0.,0.,-1.)); #195959=DIRECTION('ref_axis',(1.,0.,0.)); #195960=DIRECTION('',(1.,0.,0.)); #195961=DIRECTION('',(1.,0.,0.)); #195962=DIRECTION('center_axis',(0.,-1.,0.)); #195963=DIRECTION('ref_axis',(0.26661255057925,0.,-0.96380379116998)); #195964=DIRECTION('center_axis',(0.,-1.,0.)); #195965=DIRECTION('ref_axis',(0.26661255057925,0.,-0.96380379116998)); #195966=DIRECTION('',(0.,-1.,0.)); #195967=DIRECTION('center_axis',(0.,-1.,0.)); #195968=DIRECTION('ref_axis',(0.26661255057925,0.,-0.96380379116998)); #195969=DIRECTION('',(0.,-1.,0.)); #195970=DIRECTION('center_axis',(0.,-1.,0.)); #195971=DIRECTION('ref_axis',(0.00820972631815045,0.,-0.999966299629033)); #195972=DIRECTION('center_axis',(0.,-1.,0.)); #195973=DIRECTION('ref_axis',(0.00820972631815045,0.,-0.999966299629033)); #195974=DIRECTION('',(0.,-1.,0.)); #195975=DIRECTION('center_axis',(0.,-1.,0.)); #195976=DIRECTION('ref_axis',(0.00820972631815045,0.,-0.999966299629033)); #195977=DIRECTION('center_axis',(0.0399603164477777,0.,-0.999201267567948)); #195978=DIRECTION('ref_axis',(0.999201267567948,0.,0.0399603164477777)); #195979=DIRECTION('',(0.999201267567948,0.,0.0399603164477777)); #195980=DIRECTION('',(0.,-1.,0.)); #195981=DIRECTION('',(0.999201267567948,0.,0.0399603164477777)); #195982=DIRECTION('center_axis',(0.,-1.,0.)); #195983=DIRECTION('ref_axis',(0.0794748143508656,0.,0.996836874259723)); #195984=DIRECTION('center_axis',(0.,1.,0.)); #195985=DIRECTION('ref_axis',(0.0794748143508656,0.,0.996836874259723)); #195986=DIRECTION('',(0.,-1.,0.)); #195987=DIRECTION('center_axis',(0.,1.,0.)); #195988=DIRECTION('ref_axis',(0.0794748143508656,0.,0.996836874259723)); #195989=DIRECTION('center_axis',(0.,-1.,0.)); #195990=DIRECTION('ref_axis',(-0.669618667724217,0.,0.74270508267767)); #195991=DIRECTION('center_axis',(0.,1.,0.)); #195992=DIRECTION('ref_axis',(-0.669618667724217,0.,0.74270508267767)); #195993=DIRECTION('',(0.,-1.,0.)); #195994=DIRECTION('center_axis',(0.,1.,0.)); #195995=DIRECTION('ref_axis',(-0.669618667724217,0.,0.74270508267767)); #195996=DIRECTION('center_axis',(0.,-1.,0.)); #195997=DIRECTION('ref_axis',(-0.996048704842773,0.,0.0888086571288795)); #195998=DIRECTION('center_axis',(0.,1.,0.)); #195999=DIRECTION('ref_axis',(-0.996048704842773,0.,0.0888086571288795)); #196000=DIRECTION('',(0.,-1.,0.)); #196001=DIRECTION('center_axis',(0.,1.,0.)); #196002=DIRECTION('ref_axis',(-0.996048704842773,0.,0.0888086571288795)); #196003=DIRECTION('center_axis',(4.90278992740393E-5,0.,0.999999998798133)); #196004=DIRECTION('ref_axis',(-0.999999998798133,0.,4.90278992740394E-5)); #196005=DIRECTION('',(-0.999999998798133,0.,4.90278992740394E-5)); #196006=DIRECTION('',(0.,-1.,0.)); #196007=DIRECTION('',(-0.999999998798133,0.,4.90278992740393E-5)); #196008=DIRECTION('center_axis',(0.0876230405705964,0.,0.996153704385605)); #196009=DIRECTION('ref_axis',(-0.996153704385605,0.,0.0876230405705963)); #196010=DIRECTION('',(-0.996153704385605,0.,0.0876230405705963)); #196011=DIRECTION('',(0.,-1.,0.)); #196012=DIRECTION('',(-0.996153704385605,0.,0.0876230405705963)); #196013=DIRECTION('center_axis',(0.17319452631824,0.,0.984887636257761)); #196014=DIRECTION('ref_axis',(-0.984887636257761,0.,0.17319452631824)); #196015=DIRECTION('',(-0.984887636257761,0.,0.17319452631824)); #196016=DIRECTION('',(0.,-1.,0.)); #196017=DIRECTION('',(-0.984887636257761,0.,0.17319452631824)); #196018=DIRECTION('center_axis',(0.314789706615135,0.,0.949161440751339)); #196019=DIRECTION('ref_axis',(-0.949161440751339,0.,0.314789706615135)); #196020=DIRECTION('',(-0.949161440751339,0.,0.314789706615135)); #196021=DIRECTION('',(0.,-1.,0.)); #196022=DIRECTION('',(-0.949161440751339,0.,0.314789706615135)); #196023=DIRECTION('center_axis',(0.503177671232678,0.,0.864182984773976)); #196024=DIRECTION('ref_axis',(-0.864182984773976,0.,0.503177671232678)); #196025=DIRECTION('',(-0.864182984773976,0.,0.503177671232678)); #196026=DIRECTION('',(0.,-1.,0.)); #196027=DIRECTION('',(-0.864182984773976,0.,0.503177671232678)); #196028=DIRECTION('center_axis',(0.67722462116197,0.,0.735776333196458)); #196029=DIRECTION('ref_axis',(-0.735776333196458,0.,0.67722462116197)); #196030=DIRECTION('',(-0.735776333196458,0.,0.67722462116197)); #196031=DIRECTION('',(0.,-1.,0.)); #196032=DIRECTION('',(-0.735776333196458,0.,0.67722462116197)); #196033=DIRECTION('center_axis',(0.82073014739732,0.,0.571316046644214)); #196034=DIRECTION('ref_axis',(-0.571316046644214,0.,0.82073014739732)); #196035=DIRECTION('',(-0.571316046644214,0.,0.82073014739732)); #196036=DIRECTION('',(0.,-1.,0.)); #196037=DIRECTION('',(-0.571316046644214,0.,0.82073014739732)); #196038=DIRECTION('center_axis',(0.92291789469331,0.,0.384996830707564)); #196039=DIRECTION('ref_axis',(-0.384996830707564,0.,0.92291789469331)); #196040=DIRECTION('',(-0.384996830707564,0.,0.92291789469331)); #196041=DIRECTION('',(0.,-1.,0.)); #196042=DIRECTION('',(-0.384996830707564,0.,0.92291789469331)); #196043=DIRECTION('center_axis',(0.,-1.,0.)); #196044=DIRECTION('ref_axis',(0.988002443732173,0.,0.154438243901094)); #196045=DIRECTION('center_axis',(0.,-1.,0.)); #196046=DIRECTION('ref_axis',(0.988002443732173,0.,0.154438243901094)); #196047=DIRECTION('',(0.,-1.,0.)); #196048=DIRECTION('center_axis',(0.,-1.,0.)); #196049=DIRECTION('ref_axis',(0.988002443732173,0.,0.154438243901094)); #196050=DIRECTION('center_axis',(0.,-1.,0.)); #196051=DIRECTION('ref_axis',(0.858832311098691,0.,-0.512256831494594)); #196052=DIRECTION('center_axis',(0.,-1.,0.)); #196053=DIRECTION('ref_axis',(0.858832311098691,0.,-0.512256831494594)); #196054=DIRECTION('',(0.,-1.,0.)); #196055=DIRECTION('center_axis',(0.,-1.,0.)); #196056=DIRECTION('ref_axis',(0.858832311098691,0.,-0.512256831494594)); #196057=DIRECTION('center_axis',(0.,-1.,0.)); #196058=DIRECTION('ref_axis',(0.125866013471646,0.,-0.992047250211781)); #196059=DIRECTION('center_axis',(0.,-1.,0.)); #196060=DIRECTION('ref_axis',(0.125866013471646,0.,-0.992047250211781)); #196061=DIRECTION('',(0.,-1.,0.)); #196062=DIRECTION('center_axis',(0.,-1.,0.)); #196063=DIRECTION('ref_axis',(0.125866013471646,0.,-0.992047250211781)); #196064=DIRECTION('center_axis',(0.,-1.,0.)); #196065=DIRECTION('ref_axis',(-0.485942035755531,0.,-0.873991039934489)); #196066=DIRECTION('center_axis',(0.,-1.,0.)); #196067=DIRECTION('ref_axis',(-0.485942035755531,0.,-0.873991039934489)); #196068=DIRECTION('',(0.,-1.,0.)); #196069=DIRECTION('center_axis',(0.,-1.,0.)); #196070=DIRECTION('ref_axis',(-0.485942035755531,0.,-0.873991039934489)); #196071=DIRECTION('center_axis',(0.,-1.,0.)); #196072=DIRECTION('ref_axis',(-0.999508565096966,0.,-0.031346902523273)); #196073=DIRECTION('center_axis',(0.,-1.,0.)); #196074=DIRECTION('ref_axis',(-0.999508565096966,0.,-0.031346902523273)); #196075=DIRECTION('',(0.,-1.,0.)); #196076=DIRECTION('center_axis',(0.,-1.,0.)); #196077=DIRECTION('ref_axis',(-0.999508565096966,0.,-0.031346902523273)); #196078=DIRECTION('center_axis',(-0.999991980124736,0.,-0.004004957703961)); #196079=DIRECTION('ref_axis',(0.004004957703961,0.,-0.999991980124735)); #196080=DIRECTION('',(0.004004957703961,0.,-0.999991980124735)); #196081=DIRECTION('',(0.,-1.,0.)); #196082=DIRECTION('',(0.00400495770396099,0.,-0.999991980124735)); #196083=DIRECTION('center_axis',(0.,-1.,0.)); #196084=DIRECTION('ref_axis',(-0.987246148481685,0.,-0.159201263525387)); #196085=DIRECTION('center_axis',(0.,-1.,0.)); #196086=DIRECTION('ref_axis',(-0.987246148481685,0.,-0.159201263525387)); #196087=DIRECTION('',(0.,-1.,0.)); #196088=DIRECTION('center_axis',(0.,-1.,0.)); #196089=DIRECTION('ref_axis',(-0.987246148481685,0.,-0.159201263525387)); #196090=DIRECTION('center_axis',(0.,-1.,0.)); #196091=DIRECTION('ref_axis',(-0.703701012398289,0.,0.71049622458506)); #196092=DIRECTION('center_axis',(0.,-1.,0.)); #196093=DIRECTION('ref_axis',(-0.703701012398289,0.,0.71049622458506)); #196094=DIRECTION('',(0.,-1.,0.)); #196095=DIRECTION('center_axis',(0.,-1.,0.)); #196096=DIRECTION('ref_axis',(-0.703701012398289,0.,0.71049622458506)); #196097=DIRECTION('center_axis',(0.,0.,1.)); #196098=DIRECTION('ref_axis',(-1.,0.,0.)); #196099=DIRECTION('',(-1.,0.,0.)); #196100=DIRECTION('',(0.,-1.,0.)); #196101=DIRECTION('',(-1.,0.,0.)); #196102=DIRECTION('center_axis',(0.0753991254804151,0.,0.997153434470738)); #196103=DIRECTION('ref_axis',(-0.997153434470738,0.,0.075399125480415)); #196104=DIRECTION('',(-0.997153434470738,0.,0.075399125480415)); #196105=DIRECTION('',(0.,-1.,0.)); #196106=DIRECTION('',(-0.997153434470738,0.,0.075399125480415)); #196107=DIRECTION('center_axis',(0.256597773077469,0.,0.966518278591607)); #196108=DIRECTION('ref_axis',(-0.966518278591607,0.,0.256597773077469)); #196109=DIRECTION('',(-0.966518278591607,0.,0.256597773077469)); #196110=DIRECTION('',(0.,-1.,0.)); #196111=DIRECTION('',(-0.966518278591607,0.,0.256597773077469)); #196112=DIRECTION('center_axis',(0.465339895168977,0.,0.885132070351157)); #196113=DIRECTION('ref_axis',(-0.885132070351157,0.,0.465339895168977)); #196114=DIRECTION('',(-0.885132070351157,0.,0.465339895168977)); #196115=DIRECTION('',(0.,-1.,0.)); #196116=DIRECTION('',(-0.885132070351157,0.,0.465339895168977)); #196117=DIRECTION('center_axis',(0.667003240338567,0.,0.745054815015547)); #196118=DIRECTION('ref_axis',(-0.745054815015547,0.,0.667003240338567)); #196119=DIRECTION('',(-0.745054815015547,0.,0.667003240338567)); #196120=DIRECTION('',(0.,-1.,0.)); #196121=DIRECTION('',(-0.745054815015547,0.,0.667003240338567)); #196122=DIRECTION('center_axis',(0.820353715032012,0.,0.571856434984496)); #196123=DIRECTION('ref_axis',(-0.571856434984496,0.,0.820353715032012)); #196124=DIRECTION('',(-0.571856434984496,0.,0.820353715032012)); #196125=DIRECTION('',(0.,-1.,0.)); #196126=DIRECTION('',(-0.571856434984496,0.,0.820353715032012)); #196127=DIRECTION('center_axis',(0.915600031140987,0.,0.402090267197087)); #196128=DIRECTION('ref_axis',(-0.402090267197087,0.,0.915600031140986)); #196129=DIRECTION('',(-0.402090267197087,0.,0.915600031140986)); #196130=DIRECTION('',(0.,-1.,0.)); #196131=DIRECTION('',(-0.402090267197087,0.,0.915600031140987)); #196132=DIRECTION('center_axis',(0.,-1.,0.)); #196133=DIRECTION('ref_axis',(0.916223845727804,0.,0.40066677491371)); #196134=DIRECTION('center_axis',(0.,-1.,0.)); #196135=DIRECTION('ref_axis',(0.916223845727804,0.,0.40066677491371)); #196136=DIRECTION('',(0.,-1.,0.)); #196137=DIRECTION('center_axis',(0.,-1.,0.)); #196138=DIRECTION('ref_axis',(0.916223845727804,0.,0.40066677491371)); #196139=DIRECTION('center_axis',(0.45972270671961,0.,-0.888062516339022)); #196140=DIRECTION('ref_axis',(0.888062516339022,0.,0.45972270671961)); #196141=DIRECTION('',(0.888062516339022,0.,0.45972270671961)); #196142=DIRECTION('',(0.888062516339022,0.,0.45972270671961)); #196143=DIRECTION('center_axis',(0.,1.,0.)); #196144=DIRECTION('ref_axis',(1.,0.,0.)); #196145=DIRECTION('center_axis',(0.997199309888502,0.,0.0747899482410226)); #196146=DIRECTION('ref_axis',(-0.0747899482410226,0.,0.997199309888502)); #196147=DIRECTION('',(-0.0747899482410226,0.,0.997199309888502)); #196148=DIRECTION('',(0.,-1.,0.)); #196149=DIRECTION('',(-0.0747899482410226,0.,0.997199309888502)); #196150=DIRECTION('',(0.,-1.,0.)); #196151=DIRECTION('center_axis',(0.95555894673938,0.,-0.294800100587375)); #196152=DIRECTION('ref_axis',(0.294800100587375,0.,0.95555894673938)); #196153=DIRECTION('',(0.294800100587375,0.,0.95555894673938)); #196154=DIRECTION('',(0.,-1.,0.)); #196155=DIRECTION('',(0.294800100587375,0.,0.95555894673938)); #196156=DIRECTION('center_axis',(0.936070112825863,0.,-0.351813507236683)); #196157=DIRECTION('ref_axis',(0.351813507236683,0.,0.936070112825863)); #196158=DIRECTION('',(0.351813507236683,0.,0.936070112825863)); #196159=DIRECTION('',(0.,-1.,0.)); #196160=DIRECTION('',(0.351813507236683,0.,0.936070112825863)); #196161=DIRECTION('center_axis',(0.,-1.,0.)); #196162=DIRECTION('ref_axis',(0.879061936418712,0.,-0.476707574871414)); #196163=DIRECTION('center_axis',(0.,-1.,0.)); #196164=DIRECTION('ref_axis',(0.879061936418712,0.,-0.476707574871414)); #196165=DIRECTION('',(0.,-1.,0.)); #196166=DIRECTION('center_axis',(0.,-1.,0.)); #196167=DIRECTION('ref_axis',(0.879061936418712,0.,-0.476707574871414)); #196168=DIRECTION('center_axis',(-0.925553035807832,0.,-0.378617984130318)); #196169=DIRECTION('ref_axis',(0.378617984130318,0.,-0.925553035807832)); #196170=DIRECTION('',(0.378617984130318,0.,-0.925553035807832)); #196171=DIRECTION('',(0.,-1.,0.)); #196172=DIRECTION('',(0.378617984130318,0.,-0.925553035807832)); #196173=DIRECTION('center_axis',(0.,-1.,0.)); #196174=DIRECTION('ref_axis',(0.843244167552528,0.,0.537530719018591)); #196175=DIRECTION('center_axis',(0.,1.,0.)); #196176=DIRECTION('ref_axis',(0.843244167552528,0.,0.537530719018591)); #196177=DIRECTION('',(0.,-1.,0.)); #196178=DIRECTION('center_axis',(0.,1.,0.)); #196179=DIRECTION('ref_axis',(0.843244167552528,0.,0.537530719018591)); #196180=DIRECTION('center_axis',(-0.941921024469084,0.,-0.335834458719042)); #196181=DIRECTION('ref_axis',(0.335834458719042,0.,-0.941921024469084)); #196182=DIRECTION('',(0.335834458719042,0.,-0.941921024469084)); #196183=DIRECTION('',(0.,-1.,0.)); #196184=DIRECTION('',(0.335834458719042,0.,-0.941921024469084)); #196185=DIRECTION('center_axis',(-0.96315357171075,0.,-0.268952035316382)); #196186=DIRECTION('ref_axis',(0.268952035316382,0.,-0.96315357171075)); #196187=DIRECTION('',(0.268952035316382,0.,-0.96315357171075)); #196188=DIRECTION('',(0.,-1.,0.)); #196189=DIRECTION('',(0.268952035316382,0.,-0.96315357171075)); #196190=DIRECTION('center_axis',(-0.980257803488025,0.,-0.197723642240459)); #196191=DIRECTION('ref_axis',(0.197723642240459,0.,-0.980257803488025)); #196192=DIRECTION('',(0.197723642240459,0.,-0.980257803488025)); #196193=DIRECTION('',(0.,-1.,0.)); #196194=DIRECTION('',(0.197723642240459,0.,-0.980257803488025)); #196195=DIRECTION('center_axis',(-0.992407401672887,0.,-0.122994101910903)); #196196=DIRECTION('ref_axis',(0.122994101910903,0.,-0.992407401672887)); #196197=DIRECTION('',(0.122994101910903,0.,-0.992407401672887)); #196198=DIRECTION('',(0.,-1.,0.)); #196199=DIRECTION('',(0.122994101910903,0.,-0.992407401672887)); #196200=DIRECTION('center_axis',(0.,0.,1.)); #196201=DIRECTION('ref_axis',(-1.,0.,0.)); #196202=DIRECTION('',(-1.,0.,0.)); #196203=DIRECTION('',(-1.,0.,0.)); #196204=DIRECTION('center_axis',(0.,1.,0.)); #196205=DIRECTION('ref_axis',(1.,0.,0.)); #196206=DIRECTION('center_axis',(0.,-1.,0.)); #196207=DIRECTION('ref_axis',(-0.958269046723617,0.,0.285867861242585)); #196208=DIRECTION('center_axis',(0.,1.,0.)); #196209=DIRECTION('ref_axis',(-0.958269046723617,0.,0.285867861242585)); #196210=DIRECTION('',(0.,-1.,0.)); #196211=DIRECTION('center_axis',(0.,1.,0.)); #196212=DIRECTION('ref_axis',(-0.958269046723617,0.,0.285867861242585)); #196213=DIRECTION('',(0.,-1.,0.)); #196214=DIRECTION('center_axis',(0.,-1.,0.)); #196215=DIRECTION('ref_axis',(-0.313430095131999,0.,-0.949611275978516)); #196216=DIRECTION('center_axis',(0.,1.,0.)); #196217=DIRECTION('ref_axis',(-0.313430095131999,0.,-0.949611275978516)); #196218=DIRECTION('',(0.,-1.,0.)); #196219=DIRECTION('center_axis',(0.,1.,0.)); #196220=DIRECTION('ref_axis',(-0.313430095131999,0.,-0.949611275978516)); #196221=DIRECTION('center_axis',(0.,-1.,0.)); #196222=DIRECTION('ref_axis',(-0.116397188711144,0.,-0.99320274589841)); #196223=DIRECTION('center_axis',(0.,1.,0.)); #196224=DIRECTION('ref_axis',(-0.116397188711144,0.,-0.99320274589841)); #196225=DIRECTION('',(0.,-1.,0.)); #196226=DIRECTION('center_axis',(0.,1.,0.)); #196227=DIRECTION('ref_axis',(-0.116397188711144,0.,-0.99320274589841)); #196228=DIRECTION('center_axis',(0.,-1.,0.)); #196229=DIRECTION('ref_axis',(0.37504563998299,0.,-0.927006347297444)); #196230=DIRECTION('center_axis',(0.,1.,0.)); #196231=DIRECTION('ref_axis',(0.37504563998299,0.,-0.927006347297444)); #196232=DIRECTION('',(0.,-1.,0.)); #196233=DIRECTION('center_axis',(0.,1.,0.)); #196234=DIRECTION('ref_axis',(0.37504563998299,0.,-0.927006347297444)); #196235=DIRECTION('center_axis',(0.,-1.,0.)); #196236=DIRECTION('ref_axis',(0.994314074152134,0.,-0.106487191450355)); #196237=DIRECTION('center_axis',(0.,1.,0.)); #196238=DIRECTION('ref_axis',(0.994314074152134,0.,-0.106487191450355)); #196239=DIRECTION('',(0.,-1.,0.)); #196240=DIRECTION('center_axis',(0.,1.,0.)); #196241=DIRECTION('ref_axis',(0.994314074152134,0.,-0.106487191450355)); #196242=DIRECTION('center_axis',(0.,-1.,0.)); #196243=DIRECTION('ref_axis',(0.967959895744146,0.,0.251104839122987)); #196244=DIRECTION('center_axis',(0.,1.,0.)); #196245=DIRECTION('ref_axis',(0.967959895744146,0.,0.251104839122987)); #196246=DIRECTION('',(0.,-1.,0.)); #196247=DIRECTION('center_axis',(0.,1.,0.)); #196248=DIRECTION('ref_axis',(0.967959895744146,0.,0.251104839122987)); #196249=DIRECTION('center_axis',(0.,-1.,0.)); #196250=DIRECTION('ref_axis',(0.079683419763619,0.,0.996820220809537)); #196251=DIRECTION('center_axis',(0.,1.,0.)); #196252=DIRECTION('ref_axis',(0.079683419763619,0.,0.996820220809537)); #196253=DIRECTION('',(0.,-1.,0.)); #196254=DIRECTION('center_axis',(0.,1.,0.)); #196255=DIRECTION('ref_axis',(0.079683419763619,0.,0.996820220809537)); #196256=DIRECTION('center_axis',(0.,-1.,0.)); #196257=DIRECTION('ref_axis',(-0.156769487921396,0.,0.987635219935409)); #196258=DIRECTION('center_axis',(0.,1.,0.)); #196259=DIRECTION('ref_axis',(-0.156769487921396,0.,0.987635219935409)); #196260=DIRECTION('',(0.,-1.,0.)); #196261=DIRECTION('center_axis',(0.,1.,0.)); #196262=DIRECTION('ref_axis',(-0.156769487921396,0.,0.987635219935409)); #196263=DIRECTION('center_axis',(0.,-1.,0.)); #196264=DIRECTION('ref_axis',(-0.996750907698346,0.,0.080545813066381)); #196265=DIRECTION('center_axis',(0.,1.,0.)); #196266=DIRECTION('ref_axis',(-0.996750907698346,0.,0.080545813066381)); #196267=DIRECTION('',(0.,-1.,0.)); #196268=DIRECTION('center_axis',(0.,1.,0.)); #196269=DIRECTION('ref_axis',(-0.996750907698346,0.,0.080545813066381)); #196270=DIRECTION('center_axis',(1.,0.,0.)); #196271=DIRECTION('ref_axis',(0.,0.,1.)); #196272=DIRECTION('',(0.,0.,1.)); #196273=DIRECTION('',(0.,0.,1.)); #196274=DIRECTION('center_axis',(0.,-1.,0.)); #196275=DIRECTION('ref_axis',(0.968986927537304,0.,0.247111987288792)); #196276=DIRECTION('center_axis',(0.,-1.,0.)); #196277=DIRECTION('ref_axis',(0.968986927537304,0.,0.247111987288792)); #196278=DIRECTION('',(0.,-1.,0.)); #196279=DIRECTION('center_axis',(0.,-1.,0.)); #196280=DIRECTION('ref_axis',(0.968986927537304,0.,0.247111987288792)); #196281=DIRECTION('',(0.,-1.,0.)); #196282=DIRECTION('center_axis',(0.,-1.,0.)); #196283=DIRECTION('ref_axis',(0.991468062488517,0.,0.130349841063449)); #196284=DIRECTION('center_axis',(0.,-1.,0.)); #196285=DIRECTION('ref_axis',(0.991468062488517,0.,0.130349841063449)); #196286=DIRECTION('',(0.,-1.,0.)); #196287=DIRECTION('center_axis',(0.,-1.,0.)); #196288=DIRECTION('ref_axis',(0.991468062488517,0.,0.130349841063449)); #196289=DIRECTION('center_axis',(0.,-1.,0.)); #196290=DIRECTION('ref_axis',(0.589863726056906,0.,-0.807502807847913)); #196291=DIRECTION('center_axis',(0.,-1.,0.)); #196292=DIRECTION('ref_axis',(0.589863726056906,0.,-0.807502807847913)); #196293=DIRECTION('',(0.,-1.,0.)); #196294=DIRECTION('center_axis',(0.,-1.,0.)); #196295=DIRECTION('ref_axis',(0.589863726056906,0.,-0.807502807847913)); #196296=DIRECTION('center_axis',(0.,-1.,0.)); #196297=DIRECTION('ref_axis',(0.159369248976972,0.,-0.987219044832765)); #196298=DIRECTION('center_axis',(0.,-1.,0.)); #196299=DIRECTION('ref_axis',(0.159369248976972,0.,-0.987219044832765)); #196300=DIRECTION('',(0.,-1.,0.)); #196301=DIRECTION('center_axis',(0.,-1.,0.)); #196302=DIRECTION('ref_axis',(0.159369248976972,0.,-0.987219044832765)); #196303=DIRECTION('center_axis',(0.,-1.,0.)); #196304=DIRECTION('ref_axis',(-0.482524106556261,0.,-0.875882689971712)); #196305=DIRECTION('center_axis',(0.,-1.,0.)); #196306=DIRECTION('ref_axis',(-0.482524106556261,0.,-0.875882689971712)); #196307=DIRECTION('',(0.,-1.,0.)); #196308=DIRECTION('center_axis',(0.,-1.,0.)); #196309=DIRECTION('ref_axis',(-0.482524106556261,0.,-0.875882689971712)); #196310=DIRECTION('center_axis',(0.,-1.,0.)); #196311=DIRECTION('ref_axis',(-0.999985341778903,0.,0.00541444617026028)); #196312=DIRECTION('center_axis',(0.,1.,0.)); #196313=DIRECTION('ref_axis',(-0.999985341778903,0.,0.00541444617026028)); #196314=DIRECTION('',(0.,-1.,0.)); #196315=DIRECTION('center_axis',(0.,1.,0.)); #196316=DIRECTION('ref_axis',(-0.999985341778903,0.,0.00541444617026028)); #196317=DIRECTION('center_axis',(0.999998743914658,0.,-0.00158498236801598)); #196318=DIRECTION('ref_axis',(0.00158498236801598,0.,0.999998743914658)); #196319=DIRECTION('',(0.00158498236801598,0.,0.999998743914658)); #196320=DIRECTION('',(0.,-1.,0.)); #196321=DIRECTION('',(0.00158498236801598,0.,0.999998743914658)); #196322=DIRECTION('center_axis',(0.,-1.,0.)); #196323=DIRECTION('ref_axis',(0.999993234338738,0.,-0.00367848837838457)); #196324=DIRECTION('center_axis',(0.,-1.,0.)); #196325=DIRECTION('ref_axis',(0.999993234338738,0.,-0.00367848837838457)); #196326=DIRECTION('',(0.,-1.,0.)); #196327=DIRECTION('center_axis',(0.,-1.,0.)); #196328=DIRECTION('ref_axis',(0.999993234338738,0.,-0.00367848837838457)); #196329=DIRECTION('center_axis',(0.936498611341821,0.,-0.350671286185283)); #196330=DIRECTION('ref_axis',(0.350671286185283,0.,0.936498611341821)); #196331=DIRECTION('',(0.350671286185283,0.,0.936498611341821)); #196332=DIRECTION('',(0.,-1.,0.)); #196333=DIRECTION('',(0.350671286185283,0.,0.936498611341821)); #196334=DIRECTION('center_axis',(0.799820983487587,0.,-0.600238614530045)); #196335=DIRECTION('ref_axis',(0.600238614530045,0.,0.799820983487587)); #196336=DIRECTION('',(0.600238614530045,0.,0.799820983487587)); #196337=DIRECTION('',(0.,-1.,0.)); #196338=DIRECTION('',(0.600238614530045,0.,0.799820983487587)); #196339=DIRECTION('center_axis',(0.562756501863212,0.,-0.82662271902645)); #196340=DIRECTION('ref_axis',(0.82662271902645,0.,0.562756501863212)); #196341=DIRECTION('',(0.82662271902645,0.,0.562756501863212)); #196342=DIRECTION('',(0.,-1.,0.)); #196343=DIRECTION('',(0.82662271902645,0.,0.562756501863212)); #196344=DIRECTION('center_axis',(0.322299595241162,0.,-0.946637718933375)); #196345=DIRECTION('ref_axis',(0.946637718933375,0.,0.322299595241162)); #196346=DIRECTION('',(0.946637718933375,0.,0.322299595241162)); #196347=DIRECTION('',(0.,-1.,0.)); #196348=DIRECTION('',(0.946637718933375,0.,0.322299595241162)); #196349=DIRECTION('center_axis',(0.,-1.,0.)); #196350=DIRECTION('ref_axis',(0.271180194378196,0.,-0.96252859810865)); #196351=DIRECTION('center_axis',(0.,-1.,0.)); #196352=DIRECTION('ref_axis',(0.271180194378196,0.,-0.96252859810865)); #196353=DIRECTION('',(0.,-1.,0.)); #196354=DIRECTION('center_axis',(0.,-1.,0.)); #196355=DIRECTION('ref_axis',(0.271180194378196,0.,-0.96252859810865)); #196356=DIRECTION('center_axis',(-0.549789839659652,0.,-0.835303018195801)); #196357=DIRECTION('ref_axis',(0.835303018195801,0.,-0.549789839659652)); #196358=DIRECTION('',(0.835303018195801,0.,-0.549789839659652)); #196359=DIRECTION('',(0.,-1.,0.)); #196360=DIRECTION('',(0.835303018195801,0.,-0.549789839659652)); #196361=DIRECTION('center_axis',(-0.647940943649826,0.,-0.761690575983564)); #196362=DIRECTION('ref_axis',(0.761690575983564,0.,-0.647940943649826)); #196363=DIRECTION('',(0.761690575983564,0.,-0.647940943649826)); #196364=DIRECTION('',(0.,-1.,0.)); #196365=DIRECTION('',(0.761690575983564,0.,-0.647940943649826)); #196366=DIRECTION('center_axis',(-0.737754315116372,0.,-0.675069307943394)); #196367=DIRECTION('ref_axis',(0.675069307943394,0.,-0.737754315116372)); #196368=DIRECTION('',(0.675069307943394,0.,-0.737754315116372)); #196369=DIRECTION('',(0.,-1.,0.)); #196370=DIRECTION('',(0.675069307943394,0.,-0.737754315116372)); #196371=DIRECTION('center_axis',(-0.81800475399293,0.,-0.57521145889574)); #196372=DIRECTION('ref_axis',(0.57521145889574,0.,-0.81800475399293)); #196373=DIRECTION('',(0.57521145889574,0.,-0.81800475399293)); #196374=DIRECTION('',(0.,-1.,0.)); #196375=DIRECTION('',(0.57521145889574,0.,-0.81800475399293)); #196376=DIRECTION('center_axis',(-0.8809445818817,0.,-0.473219445557002)); #196377=DIRECTION('ref_axis',(0.473219445557002,0.,-0.8809445818817)); #196378=DIRECTION('',(0.473219445557002,0.,-0.8809445818817)); #196379=DIRECTION('',(0.,-1.,0.)); #196380=DIRECTION('',(0.473219445557002,0.,-0.8809445818817)); #196381=DIRECTION('center_axis',(-0.928247336098039,0.,-0.371963550669813)); #196382=DIRECTION('ref_axis',(0.371963550669813,0.,-0.928247336098039)); #196383=DIRECTION('',(0.371963550669813,0.,-0.928247336098039)); #196384=DIRECTION('',(0.,-1.,0.)); #196385=DIRECTION('',(0.371963550669813,0.,-0.928247336098039)); #196386=DIRECTION('center_axis',(-0.962007010218913,0.,-0.27302474666167)); #196387=DIRECTION('ref_axis',(0.27302474666167,0.,-0.962007010218913)); #196388=DIRECTION('',(0.27302474666167,0.,-0.962007010218913)); #196389=DIRECTION('',(0.,-1.,0.)); #196390=DIRECTION('',(0.27302474666167,0.,-0.962007010218913)); #196391=DIRECTION('center_axis',(-0.982376916288741,0.,-0.186910658719676)); #196392=DIRECTION('ref_axis',(0.186910658719676,0.,-0.982376916288741)); #196393=DIRECTION('',(0.186910658719676,0.,-0.982376916288741)); #196394=DIRECTION('',(0.,-1.,0.)); #196395=DIRECTION('',(0.186910658719676,0.,-0.982376916288741)); #196396=DIRECTION('center_axis',(-0.994522918396296,0.,-0.104518729347971)); #196397=DIRECTION('ref_axis',(0.104518729347971,0.,-0.994522918396296)); #196398=DIRECTION('',(0.104518729347971,0.,-0.994522918396296)); #196399=DIRECTION('',(0.,-1.,0.)); #196400=DIRECTION('',(0.10451872934797,0.,-0.994522918396296)); #196401=DIRECTION('center_axis',(-0.999483213817383,0.,-0.0321450664531047)); #196402=DIRECTION('ref_axis',(0.0321450664531047,0.,-0.999483213817383)); #196403=DIRECTION('',(0.0321450664531047,0.,-0.999483213817383)); #196404=DIRECTION('',(0.,-1.,0.)); #196405=DIRECTION('',(0.0321450664531047,0.,-0.999483213817383)); #196406=DIRECTION('center_axis',(-0.999999999411321,0.,3.43126528895222E-5)); #196407=DIRECTION('ref_axis',(-3.43126528895222E-5,0.,-0.999999999411321)); #196408=DIRECTION('',(-3.43126528895222E-5,0.,-0.999999999411321)); #196409=DIRECTION('',(0.,-1.,0.)); #196410=DIRECTION('',(-3.43126528895222E-5,0.,-0.999999999411321)); #196411=DIRECTION('center_axis',(-0.989994031348024,0.,0.141109240998906)); #196412=DIRECTION('ref_axis',(-0.141109240998906,0.,-0.989994031348024)); #196413=DIRECTION('',(-0.141109240998906,0.,-0.989994031348024)); #196414=DIRECTION('',(0.,-1.,0.)); #196415=DIRECTION('',(-0.141109240998906,0.,-0.989994031348024)); #196416=DIRECTION('center_axis',(-0.93352300260856,0.,0.358517508081124)); #196417=DIRECTION('ref_axis',(-0.358517508081124,0.,-0.93352300260856)); #196418=DIRECTION('',(-0.358517508081124,0.,-0.93352300260856)); #196419=DIRECTION('',(0.,-1.,0.)); #196420=DIRECTION('',(-0.358517508081124,0.,-0.93352300260856)); #196421=DIRECTION('center_axis',(-0.814976109885259,0.,0.57949455589875)); #196422=DIRECTION('ref_axis',(-0.57949455589875,0.,-0.814976109885259)); #196423=DIRECTION('',(-0.57949455589875,0.,-0.814976109885259)); #196424=DIRECTION('',(0.,-1.,0.)); #196425=DIRECTION('',(-0.57949455589875,0.,-0.814976109885259)); #196426=DIRECTION('center_axis',(-0.651634031754735,0.,0.75853351188927)); #196427=DIRECTION('ref_axis',(-0.75853351188927,0.,-0.651634031754735)); #196428=DIRECTION('',(-0.75853351188927,0.,-0.651634031754735)); #196429=DIRECTION('',(0.,-1.,0.)); #196430=DIRECTION('',(-0.75853351188927,0.,-0.651634031754735)); #196431=DIRECTION('center_axis',(-0.48008193810862,0.,0.877223650332041)); #196432=DIRECTION('ref_axis',(-0.877223650332041,0.,-0.48008193810862)); #196433=DIRECTION('',(-0.877223650332041,0.,-0.48008193810862)); #196434=DIRECTION('',(0.,-1.,0.)); #196435=DIRECTION('',(-0.877223650332041,0.,-0.48008193810862)); #196436=DIRECTION('center_axis',(0.,-1.,0.)); #196437=DIRECTION('ref_axis',(-0.312215400558631,0.,0.950011338697604)); #196438=DIRECTION('center_axis',(0.,-1.,0.)); #196439=DIRECTION('ref_axis',(-0.312215400558631,0.,0.950011338697604)); #196440=DIRECTION('',(0.,-1.,0.)); #196441=DIRECTION('center_axis',(0.,-1.,0.)); #196442=DIRECTION('ref_axis',(-0.312215400558631,0.,0.950011338697604)); #196443=DIRECTION('center_axis',(0.908928158467719,0.,0.416952758408528)); #196444=DIRECTION('ref_axis',(-0.416952758408528,0.,0.908928158467719)); #196445=DIRECTION('',(-0.416952758408528,0.,0.908928158467719)); #196446=DIRECTION('',(0.,-1.,0.)); #196447=DIRECTION('',(-0.416952758408528,0.,0.908928158467719)); #196448=DIRECTION('center_axis',(0.,-1.,0.)); #196449=DIRECTION('ref_axis',(0.982229626191044,0.,0.187683141045226)); #196450=DIRECTION('center_axis',(0.,-1.,0.)); #196451=DIRECTION('ref_axis',(0.982229626191044,0.,0.187683141045226)); #196452=DIRECTION('',(0.,-1.,0.)); #196453=DIRECTION('center_axis',(0.,-1.,0.)); #196454=DIRECTION('ref_axis',(0.982229626191044,0.,0.187683141045226)); #196455=DIRECTION('center_axis',(0.,-1.,0.)); #196456=DIRECTION('ref_axis',(0.77869117759014,0.,-0.627407403481408)); #196457=DIRECTION('center_axis',(0.,1.,0.)); #196458=DIRECTION('ref_axis',(0.77869117759014,0.,-0.627407403481408)); #196459=DIRECTION('',(0.,-1.,0.)); #196460=DIRECTION('center_axis',(0.,1.,0.)); #196461=DIRECTION('ref_axis',(0.77869117759014,0.,-0.627407403481408)); #196462=DIRECTION('center_axis',(-0.729626828740722,0.,0.683845516751961)); #196463=DIRECTION('ref_axis',(-0.683845516751961,0.,-0.729626828740722)); #196464=DIRECTION('',(-0.683845516751961,0.,-0.729626828740722)); #196465=DIRECTION('',(0.,-1.,0.)); #196466=DIRECTION('',(-0.683845516751961,0.,-0.729626828740722)); #196467=DIRECTION('center_axis',(0.,-1.,0.)); #196468=DIRECTION('ref_axis',(-0.549233181998113,0.,0.835669140145924)); #196469=DIRECTION('center_axis',(0.,-1.,0.)); #196470=DIRECTION('ref_axis',(-0.549233181998113,0.,0.835669140145924)); #196471=DIRECTION('',(0.,-1.,0.)); #196472=DIRECTION('center_axis',(0.,-1.,0.)); #196473=DIRECTION('ref_axis',(-0.549233181998113,0.,0.835669140145924)); #196474=DIRECTION('center_axis',(0.0106154719909377,0.,0.999943654289785)); #196475=DIRECTION('ref_axis',(-0.999943654289785,0.,0.0106154719909377)); #196476=DIRECTION('',(-0.999943654289785,0.,0.0106154719909377)); #196477=DIRECTION('',(0.,-1.,0.)); #196478=DIRECTION('',(-0.999943654289785,0.,0.0106154719909377)); #196479=DIRECTION('center_axis',(0.00452750373869104,0.,0.999989750802425)); #196480=DIRECTION('ref_axis',(-0.999989750802425,0.,0.00452750373869104)); #196481=DIRECTION('',(-0.999989750802425,0.,0.00452750373869104)); #196482=DIRECTION('',(0.,-1.,0.)); #196483=DIRECTION('',(-0.999989750802425,0.,0.00452750373869104)); #196484=DIRECTION('center_axis',(0.,-1.,0.)); #196485=DIRECTION('ref_axis',(-0.167548111669544,0.,0.985863900483211)); #196486=DIRECTION('center_axis',(0.,-1.,0.)); #196487=DIRECTION('ref_axis',(-0.167548111669544,0.,0.985863900483211)); #196488=DIRECTION('center_axis',(0.,-1.,0.)); #196489=DIRECTION('ref_axis',(-0.167548111669544,0.,0.985863900483211)); #196490=DIRECTION('center_axis',(0.,1.,0.)); #196491=DIRECTION('ref_axis',(1.,0.,0.)); #196492=DIRECTION('center_axis',(0.,-1.,0.)); #196493=DIRECTION('ref_axis',(-8.84616515944385E-5,0.,-0.999999996087268)); #196494=DIRECTION('center_axis',(0.,1.,0.)); #196495=DIRECTION('ref_axis',(-8.84616515944385E-5,0.,-0.999999996087268)); #196496=DIRECTION('',(0.,-1.,0.)); #196497=DIRECTION('center_axis',(0.,1.,0.)); #196498=DIRECTION('ref_axis',(-8.84616515944385E-5,0.,-0.999999996087268)); #196499=DIRECTION('',(0.,-1.,0.)); #196500=DIRECTION('center_axis',(0.00252809273383821,0.,0.999996804368459)); #196501=DIRECTION('ref_axis',(-0.999996804368459,0.,0.00252809273383821)); #196502=DIRECTION('',(-0.999996804368459,0.,0.00252809273383821)); #196503=DIRECTION('',(0.,-1.,0.)); #196504=DIRECTION('',(-0.999996804368459,0.,0.00252809273383821)); #196505=DIRECTION('center_axis',(0.,-1.,0.)); #196506=DIRECTION('ref_axis',(-0.196383515215857,0.,0.980527161761194)); #196507=DIRECTION('center_axis',(0.,-1.,0.)); #196508=DIRECTION('ref_axis',(-0.196383515215857,0.,0.980527161761194)); #196509=DIRECTION('',(0.,-1.,0.)); #196510=DIRECTION('center_axis',(0.,-1.,0.)); #196511=DIRECTION('ref_axis',(-0.196383515215857,0.,0.980527161761194)); #196512=DIRECTION('center_axis',(0.821964504964972,0.,0.569538719120736)); #196513=DIRECTION('ref_axis',(-0.569538719120736,0.,0.821964504964972)); #196514=DIRECTION('',(-0.569538719120736,0.,0.821964504964972)); #196515=DIRECTION('',(0.,-1.,0.)); #196516=DIRECTION('',(-0.569538719120736,0.,0.821964504964972)); #196517=DIRECTION('center_axis',(0.,-1.,0.)); #196518=DIRECTION('ref_axis',(0.89703861530375,0.,0.441952172360235)); #196519=DIRECTION('center_axis',(0.,-1.,0.)); #196520=DIRECTION('ref_axis',(0.89703861530375,0.,0.441952172360235)); #196521=DIRECTION('',(0.,-1.,0.)); #196522=DIRECTION('center_axis',(0.,-1.,0.)); #196523=DIRECTION('ref_axis',(0.89703861530375,0.,0.441952172360235)); #196524=DIRECTION('center_axis',(0.304298526676763,0.,-0.952576719567695)); #196525=DIRECTION('ref_axis',(0.952576719567695,0.,0.304298526676763)); #196526=DIRECTION('',(0.952576719567695,0.,0.304298526676763)); #196527=DIRECTION('',(0.,-1.,0.)); #196528=DIRECTION('',(0.952576719567695,0.,0.304298526676763)); #196529=DIRECTION('center_axis',(0.137626370087088,0.,-0.990484216056294)); #196530=DIRECTION('ref_axis',(0.990484216056294,0.,0.137626370087088)); #196531=DIRECTION('',(0.990484216056294,0.,0.137626370087088)); #196532=DIRECTION('',(0.,-1.,0.)); #196533=DIRECTION('',(0.990484216056294,0.,0.137626370087088)); #196534=DIRECTION('center_axis',(0.,-1.,0.)); #196535=DIRECTION('ref_axis',(0.0379695683217563,0.,-0.999278895945201)); #196536=DIRECTION('center_axis',(0.,-1.,0.)); #196537=DIRECTION('ref_axis',(0.0379695683217563,0.,-0.999278895945201)); #196538=DIRECTION('',(0.,-1.,0.)); #196539=DIRECTION('center_axis',(0.,-1.,0.)); #196540=DIRECTION('ref_axis',(0.0379695683217563,0.,-0.999278895945201)); #196541=DIRECTION('center_axis',(0.,-1.,0.)); #196542=DIRECTION('ref_axis',(0.0801893510998623,0.,-0.996779648653695)); #196543=DIRECTION('center_axis',(0.,-1.,0.)); #196544=DIRECTION('ref_axis',(0.0801893510998623,0.,-0.996779648653695)); #196545=DIRECTION('',(0.,-1.,0.)); #196546=DIRECTION('center_axis',(0.,-1.,0.)); #196547=DIRECTION('ref_axis',(0.0801893510998623,0.,-0.996779648653695)); #196548=DIRECTION('center_axis',(0.,-1.,0.)); #196549=DIRECTION('ref_axis',(-0.689107858114357,0.,-0.724658788592979)); #196550=DIRECTION('center_axis',(0.,-1.,0.)); #196551=DIRECTION('ref_axis',(-0.689107858114357,0.,-0.724658788592979)); #196552=DIRECTION('',(0.,-1.,0.)); #196553=DIRECTION('center_axis',(0.,-1.,0.)); #196554=DIRECTION('ref_axis',(-0.689107858114357,0.,-0.724658788592979)); #196555=DIRECTION('center_axis',(0.,-1.,0.)); #196556=DIRECTION('ref_axis',(-0.99100108033261,0.,-0.133853870992211)); #196557=DIRECTION('center_axis',(0.,-1.,0.)); #196558=DIRECTION('ref_axis',(-0.99100108033261,0.,-0.133853870992211)); #196559=DIRECTION('',(0.,-1.,0.)); #196560=DIRECTION('center_axis',(0.,-1.,0.)); #196561=DIRECTION('ref_axis',(-0.99100108033261,0.,-0.133853870992211)); #196562=DIRECTION('center_axis',(0.,-1.,0.)); #196563=DIRECTION('ref_axis',(-0.775488096771252,0.,0.631362187469365)); #196564=DIRECTION('center_axis',(0.,-1.,0.)); #196565=DIRECTION('ref_axis',(-0.775488096771252,0.,0.631362187469365)); #196566=DIRECTION('',(0.,-1.,0.)); #196567=DIRECTION('center_axis',(0.,-1.,0.)); #196568=DIRECTION('ref_axis',(-0.775488096771252,0.,0.631362187469365)); #196569=DIRECTION('center_axis',(0.,-1.,0.)); #196570=DIRECTION('ref_axis',(-0.113862359815404,0.,0.993496533973455)); #196571=DIRECTION('center_axis',(0.,-1.,0.)); #196572=DIRECTION('ref_axis',(-0.113862359815404,0.,0.993496533973455)); #196573=DIRECTION('',(0.,-1.,0.)); #196574=DIRECTION('center_axis',(0.,-1.,0.)); #196575=DIRECTION('ref_axis',(-0.113862359815404,0.,0.993496533973455)); #196576=DIRECTION('center_axis',(-0.219954853263159,0.,0.975510052498683)); #196577=DIRECTION('ref_axis',(-0.975510052498682,0.,-0.219954853263159)); #196578=DIRECTION('',(-0.975510052498682,0.,-0.219954853263159)); #196579=DIRECTION('',(0.,-1.,0.)); #196580=DIRECTION('',(-0.975510052498682,0.,-0.219954853263159)); #196581=DIRECTION('center_axis',(0.,-1.,0.)); #196582=DIRECTION('ref_axis',(0.147725143582459,0.,-0.989028453561141)); #196583=DIRECTION('center_axis',(0.,1.,0.)); #196584=DIRECTION('ref_axis',(0.147725143582459,0.,-0.989028453561141)); #196585=DIRECTION('',(0.,-1.,0.)); #196586=DIRECTION('center_axis',(0.,1.,0.)); #196587=DIRECTION('ref_axis',(0.147725143582459,0.,-0.989028453561141)); #196588=DIRECTION('center_axis',(0.,-1.,0.)); #196589=DIRECTION('ref_axis',(0.805668003668949,0.,-0.592367341996578)); #196590=DIRECTION('center_axis',(0.,1.,0.)); #196591=DIRECTION('ref_axis',(0.805668003668949,0.,-0.592367341996578)); #196592=DIRECTION('',(0.,-1.,0.)); #196593=DIRECTION('center_axis',(0.,1.,0.)); #196594=DIRECTION('ref_axis',(0.805668003668949,0.,-0.592367341996578)); #196595=DIRECTION('center_axis',(0.,-1.,0.)); #196596=DIRECTION('ref_axis',(0.999841489455995,0.,-0.0178043804277634)); #196597=DIRECTION('center_axis',(0.,1.,0.)); #196598=DIRECTION('ref_axis',(0.999841489455995,0.,-0.0178043804277634)); #196599=DIRECTION('',(0.,-1.,0.)); #196600=DIRECTION('center_axis',(0.,1.,0.)); #196601=DIRECTION('ref_axis',(0.999841489455995,0.,-0.0178043804277634)); #196602=DIRECTION('center_axis',(0.,-1.,0.)); #196603=DIRECTION('ref_axis',(0.665079985392314,0.,0.746772129254004)); #196604=DIRECTION('center_axis',(0.,1.,0.)); #196605=DIRECTION('ref_axis',(0.665079985392314,0.,0.746772129254004)); #196606=DIRECTION('',(0.,-1.,0.)); #196607=DIRECTION('center_axis',(0.,1.,0.)); #196608=DIRECTION('ref_axis',(0.665079985392314,0.,0.746772129254004)); #196609=DIRECTION('center_axis',(0.,-1.,0.)); #196610=DIRECTION('ref_axis',(0.0190668355479716,0.,0.99981821136754)); #196611=DIRECTION('center_axis',(0.,1.,0.)); #196612=DIRECTION('ref_axis',(0.0190668355479716,0.,0.99981821136754)); #196613=DIRECTION('',(0.,-1.,0.)); #196614=DIRECTION('center_axis',(0.,1.,0.)); #196615=DIRECTION('ref_axis',(0.0190668355479716,0.,0.99981821136754)); #196616=DIRECTION('center_axis',(-0.143279526782623,0.,-0.989682260730659)); #196617=DIRECTION('ref_axis',(0.989682260730659,0.,-0.143279526782623)); #196618=DIRECTION('',(0.989682260730659,0.,-0.143279526782623)); #196619=DIRECTION('',(0.,-1.,0.)); #196620=DIRECTION('',(0.989682260730659,0.,-0.143279526782623)); #196621=DIRECTION('center_axis',(-0.24343713932815,0.,-0.969916676419025)); #196622=DIRECTION('ref_axis',(0.969916676419025,0.,-0.24343713932815)); #196623=DIRECTION('',(0.969916676419025,0.,-0.24343713932815)); #196624=DIRECTION('',(0.,-1.,0.)); #196625=DIRECTION('',(0.969916676419025,0.,-0.24343713932815)); #196626=DIRECTION('center_axis',(-0.371473494474288,0.,-0.928443559352458)); #196627=DIRECTION('ref_axis',(0.928443559352458,0.,-0.371473494474288)); #196628=DIRECTION('',(0.928443559352458,0.,-0.371473494474288)); #196629=DIRECTION('',(0.,-1.,0.)); #196630=DIRECTION('',(0.928443559352458,0.,-0.371473494474288)); #196631=DIRECTION('center_axis',(-0.526608226161937,0.,-0.850108096737455)); #196632=DIRECTION('ref_axis',(0.850108096737455,0.,-0.526608226161937)); #196633=DIRECTION('',(0.850108096737455,0.,-0.526608226161937)); #196634=DIRECTION('',(0.,-1.,0.)); #196635=DIRECTION('',(0.850108096737455,0.,-0.526608226161937)); #196636=DIRECTION('center_axis',(-0.687163729610944,0.,-0.726502586855117)); #196637=DIRECTION('ref_axis',(0.726502586855117,0.,-0.687163729610944)); #196638=DIRECTION('',(0.726502586855117,0.,-0.687163729610944)); #196639=DIRECTION('',(0.,-1.,0.)); #196640=DIRECTION('',(0.726502586855117,0.,-0.687163729610944)); #196641=DIRECTION('center_axis',(-0.828604216013886,0.,-0.559834844578303)); #196642=DIRECTION('ref_axis',(0.559834844578303,0.,-0.828604216013886)); #196643=DIRECTION('',(0.559834844578303,0.,-0.828604216013886)); #196644=DIRECTION('',(0.,-1.,0.)); #196645=DIRECTION('',(0.559834844578303,0.,-0.828604216013886)); #196646=DIRECTION('center_axis',(-0.92639560651958,0.,-0.376551696611792)); #196647=DIRECTION('ref_axis',(0.376551696611792,0.,-0.92639560651958)); #196648=DIRECTION('',(0.376551696611792,0.,-0.92639560651958)); #196649=DIRECTION('',(0.,-1.,0.)); #196650=DIRECTION('',(0.376551696611792,0.,-0.92639560651958)); #196651=DIRECTION('center_axis',(0.,-1.,0.)); #196652=DIRECTION('ref_axis',(-0.962210010870149,0.,-0.272308455581658)); #196653=DIRECTION('center_axis',(0.,-1.,0.)); #196654=DIRECTION('ref_axis',(-0.962210010870149,0.,-0.272308455581658)); #196655=DIRECTION('',(0.,-1.,0.)); #196656=DIRECTION('center_axis',(0.,-1.,0.)); #196657=DIRECTION('ref_axis',(-0.962210010870149,0.,-0.272308455581658)); #196658=DIRECTION('center_axis',(-0.999855033192292,0.,-0.0170268200213711)); #196659=DIRECTION('ref_axis',(0.0170268200213711,0.,-0.999855033192292)); #196660=DIRECTION('',(0.0170268200213711,0.,-0.999855033192292)); #196661=DIRECTION('',(0.,-1.,0.)); #196662=DIRECTION('',(0.0170268200213711,0.,-0.999855033192292)); #196663=DIRECTION('center_axis',(0.,-1.,0.)); #196664=DIRECTION('ref_axis',(-0.974727205076485,0.,-0.223398468400711)); #196665=DIRECTION('center_axis',(0.,-1.,0.)); #196666=DIRECTION('ref_axis',(-0.974727205076485,0.,-0.223398468400711)); #196667=DIRECTION('',(0.,-1.,0.)); #196668=DIRECTION('center_axis',(0.,-1.,0.)); #196669=DIRECTION('ref_axis',(-0.974727205076485,0.,-0.223398468400711)); #196670=DIRECTION('center_axis',(-0.50994117314372,0.,0.860209276823266)); #196671=DIRECTION('ref_axis',(-0.860209276823266,0.,-0.50994117314372)); #196672=DIRECTION('',(-0.860209276823266,0.,-0.50994117314372)); #196673=DIRECTION('',(0.,-1.,0.)); #196674=DIRECTION('',(-0.860209276823266,0.,-0.50994117314372)); #196675=DIRECTION('center_axis',(-0.330729633347318,0.,0.943725547829425)); #196676=DIRECTION('ref_axis',(-0.943725547829425,0.,-0.330729633347318)); #196677=DIRECTION('',(-0.943725547829425,0.,-0.330729633347318)); #196678=DIRECTION('',(0.,-1.,0.)); #196679=DIRECTION('',(-0.943725547829425,0.,-0.330729633347318)); #196680=DIRECTION('center_axis',(0.,-1.,0.)); #196681=DIRECTION('ref_axis',(-0.231146308684538,0.,0.972919001757861)); #196682=DIRECTION('center_axis',(0.,-1.,0.)); #196683=DIRECTION('ref_axis',(-0.231146308684538,0.,0.972919001757861)); #196684=DIRECTION('',(0.,-1.,0.)); #196685=DIRECTION('center_axis',(0.,-1.,0.)); #196686=DIRECTION('ref_axis',(-0.231146308684538,0.,0.972919001757861)); #196687=DIRECTION('center_axis',(0.,-1.,0.)); #196688=DIRECTION('ref_axis',(-0.0566184966838302,0.,0.998395886326292)); #196689=DIRECTION('center_axis',(0.,-1.,0.)); #196690=DIRECTION('ref_axis',(-0.0566184966838302,0.,0.998395886326292)); #196691=DIRECTION('',(0.,-1.,0.)); #196692=DIRECTION('center_axis',(0.,-1.,0.)); #196693=DIRECTION('ref_axis',(-0.0566184966838302,0.,0.998395886326292)); #196694=DIRECTION('center_axis',(0.,-1.,0.)); #196695=DIRECTION('ref_axis',(-0.100086813204739,0.,0.994978708225719)); #196696=DIRECTION('center_axis',(0.,-1.,0.)); #196697=DIRECTION('ref_axis',(-0.100086813204739,0.,0.994978708225719)); #196698=DIRECTION('',(0.,-1.,0.)); #196699=DIRECTION('center_axis',(0.,-1.,0.)); #196700=DIRECTION('ref_axis',(-0.100086813204739,0.,0.994978708225719)); #196701=DIRECTION('center_axis',(0.,-1.,0.)); #196702=DIRECTION('ref_axis',(0.648948323049485,0.,0.760832487484112)); #196703=DIRECTION('center_axis',(0.,-1.,0.)); #196704=DIRECTION('ref_axis',(0.648948323049485,0.,0.760832487484112)); #196705=DIRECTION('',(0.,-1.,0.)); #196706=DIRECTION('center_axis',(0.,-1.,0.)); #196707=DIRECTION('ref_axis',(0.648948323049485,0.,0.760832487484112)); #196708=DIRECTION('center_axis',(0.,-1.,0.)); #196709=DIRECTION('ref_axis',(0.974033415996792,0.,0.226404294397477)); #196710=DIRECTION('center_axis',(0.,-1.,0.)); #196711=DIRECTION('ref_axis',(0.974033415996792,0.,0.226404294397477)); #196712=DIRECTION('',(0.,-1.,0.)); #196713=DIRECTION('center_axis',(0.,-1.,0.)); #196714=DIRECTION('ref_axis',(0.974033415996792,0.,0.226404294397477)); #196715=DIRECTION('center_axis',(0.,-1.,0.)); #196716=DIRECTION('ref_axis',(0.826693768707318,0.,-0.562652124123327)); #196717=DIRECTION('center_axis',(0.,-1.,0.)); #196718=DIRECTION('ref_axis',(0.826693768707318,0.,-0.562652124123327)); #196719=DIRECTION('',(0.,-1.,0.)); #196720=DIRECTION('center_axis',(0.,-1.,0.)); #196721=DIRECTION('ref_axis',(0.826693768707318,0.,-0.562652124123327)); #196722=DIRECTION('center_axis',(0.,-1.,0.)); #196723=DIRECTION('ref_axis',(0.13997260988356,0.,-0.990155375929649)); #196724=DIRECTION('center_axis',(0.,-1.,0.)); #196725=DIRECTION('ref_axis',(0.13997260988356,0.,-0.990155375929649)); #196726=DIRECTION('',(0.,-1.,0.)); #196727=DIRECTION('center_axis',(0.,-1.,0.)); #196728=DIRECTION('ref_axis',(0.13997260988356,0.,-0.990155375929649)); #196729=DIRECTION('center_axis',(0.36216980415921,0.,-0.932112135397496)); #196730=DIRECTION('ref_axis',(0.932112135397496,0.,0.36216980415921)); #196731=DIRECTION('',(0.932112135397496,0.,0.36216980415921)); #196732=DIRECTION('',(0.,-1.,0.)); #196733=DIRECTION('',(0.932112135397496,0.,0.36216980415921)); #196734=DIRECTION('center_axis',(0.,-1.,0.)); #196735=DIRECTION('ref_axis',(-0.532191500804566,0.,0.84662400537156)); #196736=DIRECTION('center_axis',(0.,1.,0.)); #196737=DIRECTION('ref_axis',(-0.532191500804566,0.,0.84662400537156)); #196738=DIRECTION('',(0.,-1.,0.)); #196739=DIRECTION('center_axis',(0.,1.,0.)); #196740=DIRECTION('ref_axis',(-0.532191500804566,0.,0.84662400537156)); #196741=DIRECTION('center_axis',(0.,-1.,0.)); #196742=DIRECTION('ref_axis',(-0.898391306211421,0.,-0.439195925440727)); #196743=DIRECTION('center_axis',(0.,1.,0.)); #196744=DIRECTION('ref_axis',(-0.898391306211421,0.,-0.439195925440727)); #196745=DIRECTION('center_axis',(0.,1.,0.)); #196746=DIRECTION('ref_axis',(-0.898391306211421,0.,-0.439195925440727)); #196747=DIRECTION('center_axis',(0.,1.,0.)); #196748=DIRECTION('ref_axis',(1.,0.,0.)); #196749=DIRECTION('center_axis',(-1.,0.,0.)); #196750=DIRECTION('ref_axis',(0.,0.,-1.)); #196751=DIRECTION('',(0.,0.,-1.)); #196752=DIRECTION('',(0.,-1.,0.)); #196753=DIRECTION('',(0.,0.,-1.)); #196754=DIRECTION('',(0.,-1.,0.)); #196755=DIRECTION('center_axis',(0.,0.,1.)); #196756=DIRECTION('ref_axis',(-1.,0.,0.)); #196757=DIRECTION('',(-1.,0.,0.)); #196758=DIRECTION('',(0.,-1.,0.)); #196759=DIRECTION('',(-1.,0.,0.)); #196760=DIRECTION('center_axis',(1.,0.,0.)); #196761=DIRECTION('ref_axis',(0.,0.,1.)); #196762=DIRECTION('',(0.,0.,1.)); #196763=DIRECTION('',(0.,-1.,0.)); #196764=DIRECTION('',(0.,0.,1.)); #196765=DIRECTION('center_axis',(0.,0.,-1.)); #196766=DIRECTION('ref_axis',(1.,0.,0.)); #196767=DIRECTION('',(1.,0.,0.)); #196768=DIRECTION('',(1.,0.,0.)); #196769=DIRECTION('center_axis',(0.,-1.,0.)); #196770=DIRECTION('ref_axis',(0.,0.,-1.)); #196771=DIRECTION('center_axis',(0.,0.,1.)); #196772=DIRECTION('ref_axis',(-1.,0.,0.)); #196773=DIRECTION('',(-1.,0.,0.)); #196774=DIRECTION('',(0.,-1.,0.)); #196775=DIRECTION('',(-1.,0.,0.)); #196776=DIRECTION('',(0.,-1.,0.)); #196777=DIRECTION('center_axis',(-1.,0.,0.)); #196778=DIRECTION('ref_axis',(0.,0.,-1.)); #196779=DIRECTION('',(0.,0.,-1.)); #196780=DIRECTION('',(0.,-1.,0.)); #196781=DIRECTION('',(0.,0.,-1.)); #196782=DIRECTION('center_axis',(0.,0.,-1.)); #196783=DIRECTION('ref_axis',(1.,0.,0.)); #196784=DIRECTION('',(1.,0.,0.)); #196785=DIRECTION('',(0.,-1.,0.)); #196786=DIRECTION('',(1.,0.,0.)); #196787=DIRECTION('center_axis',(1.,0.,0.)); #196788=DIRECTION('ref_axis',(0.,0.,1.)); #196789=DIRECTION('',(0.,0.,1.)); #196790=DIRECTION('',(0.,0.,1.)); #196791=DIRECTION('center_axis',(0.,0.,-1.)); #196792=DIRECTION('ref_axis',(1.,0.,0.)); #196793=DIRECTION('',(1.,0.,0.)); #196794=DIRECTION('',(0.,-1.,0.)); #196795=DIRECTION('',(1.,0.,0.)); #196796=DIRECTION('',(0.,-1.,0.)); #196797=DIRECTION('center_axis',(-1.,0.,0.)); #196798=DIRECTION('ref_axis',(0.,0.,-1.)); #196799=DIRECTION('',(0.,0.,-1.)); #196800=DIRECTION('',(0.,-1.,0.)); #196801=DIRECTION('',(0.,0.,-1.)); #196802=DIRECTION('center_axis',(0.,0.,1.)); #196803=DIRECTION('ref_axis',(-1.,0.,0.)); #196804=DIRECTION('',(-1.,0.,0.)); #196805=DIRECTION('',(0.,-1.,0.)); #196806=DIRECTION('',(-1.,0.,0.)); #196807=DIRECTION('center_axis',(1.,0.,0.)); #196808=DIRECTION('ref_axis',(0.,0.,1.)); #196809=DIRECTION('',(0.,0.,1.)); #196810=DIRECTION('',(0.,0.,1.)); #196811=DIRECTION('center_axis',(0.,-1.,0.)); #196812=DIRECTION('ref_axis',(0.,0.,-1.)); #196813=DIRECTION('center_axis',(1.,0.,0.)); #196814=DIRECTION('ref_axis',(0.,0.,1.)); #196815=DIRECTION('',(0.,0.,1.)); #196816=DIRECTION('',(0.,-1.,0.)); #196817=DIRECTION('',(0.,0.,1.)); #196818=DIRECTION('',(0.,-1.,0.)); #196819=DIRECTION('center_axis',(0.,0.,1.)); #196820=DIRECTION('ref_axis',(-1.,0.,0.)); #196821=DIRECTION('',(-1.,0.,0.)); #196822=DIRECTION('',(0.,-1.,0.)); #196823=DIRECTION('',(-1.,0.,0.)); #196824=DIRECTION('center_axis',(0.,-1.,0.)); #196825=DIRECTION('ref_axis',(0.566458840131605,0.,-0.824090032967731)); #196826=DIRECTION('center_axis',(0.,1.,0.)); #196827=DIRECTION('ref_axis',(0.566458840131605,0.,-0.824090032967731)); #196828=DIRECTION('',(0.,-1.,0.)); #196829=DIRECTION('center_axis',(0.,1.,0.)); #196830=DIRECTION('ref_axis',(0.566458840131605,0.,-0.824090032967731)); #196831=DIRECTION('center_axis',(0.,-1.,0.)); #196832=DIRECTION('ref_axis',(0.997169348422394,0.,-0.0751883672309628)); #196833=DIRECTION('center_axis',(0.,1.,0.)); #196834=DIRECTION('ref_axis',(0.997169348422394,0.,-0.0751883672309628)); #196835=DIRECTION('',(0.,-1.,0.)); #196836=DIRECTION('center_axis',(0.,1.,0.)); #196837=DIRECTION('ref_axis',(0.997169348422394,0.,-0.0751883672309628)); #196838=DIRECTION('center_axis',(0.,-1.,0.)); #196839=DIRECTION('ref_axis',(0.742944185300501,0.,0.669353372687533)); #196840=DIRECTION('center_axis',(0.,1.,0.)); #196841=DIRECTION('ref_axis',(0.742944185300501,0.,0.669353372687533)); #196842=DIRECTION('',(0.,-1.,0.)); #196843=DIRECTION('center_axis',(0.,1.,0.)); #196844=DIRECTION('ref_axis',(0.742944185300501,0.,0.669353372687533)); #196845=DIRECTION('center_axis',(0.,-1.,0.)); #196846=DIRECTION('ref_axis',(0.274861752657725,0.,0.961483758014624)); #196847=DIRECTION('center_axis',(0.,1.,0.)); #196848=DIRECTION('ref_axis',(0.274861752657725,0.,0.961483758014624)); #196849=DIRECTION('',(0.,-1.,0.)); #196850=DIRECTION('center_axis',(0.,1.,0.)); #196851=DIRECTION('ref_axis',(0.274861752657725,0.,0.961483758014624)); #196852=DIRECTION('center_axis',(0.,-1.,0.)); #196853=DIRECTION('ref_axis',(-0.0158819586577836,0.,0.99987387374068)); #196854=DIRECTION('center_axis',(0.,1.,0.)); #196855=DIRECTION('ref_axis',(-0.0158819586577836,0.,0.99987387374068)); #196856=DIRECTION('',(0.,-1.,0.)); #196857=DIRECTION('center_axis',(0.,1.,0.)); #196858=DIRECTION('ref_axis',(-0.0158819586577836,0.,0.99987387374068)); #196859=DIRECTION('center_axis',(0.,0.,-1.)); #196860=DIRECTION('ref_axis',(1.,0.,0.)); #196861=DIRECTION('',(1.,0.,0.)); #196862=DIRECTION('',(1.,0.,0.)); #196863=DIRECTION('center_axis',(0.,-1.,0.)); #196864=DIRECTION('ref_axis',(0.788018704381436,0.,-0.615651298662646)); #196865=DIRECTION('center_axis',(0.,-1.,0.)); #196866=DIRECTION('ref_axis',(0.788018704381436,0.,-0.615651298662646)); #196867=DIRECTION('',(0.,-1.,0.)); #196868=DIRECTION('center_axis',(0.,-1.,0.)); #196869=DIRECTION('ref_axis',(0.788018704381436,0.,-0.615651298662646)); #196870=DIRECTION('',(0.,-1.,0.)); #196871=DIRECTION('center_axis',(0.,-1.,0.)); #196872=DIRECTION('ref_axis',(0.666623004057736,0.,-0.745395043222746)); #196873=DIRECTION('center_axis',(0.,-1.,0.)); #196874=DIRECTION('ref_axis',(0.666623004057736,0.,-0.745395043222746)); #196875=DIRECTION('',(0.,-1.,0.)); #196876=DIRECTION('center_axis',(0.,-1.,0.)); #196877=DIRECTION('ref_axis',(0.666623004057736,0.,-0.745395043222746)); #196878=DIRECTION('center_axis',(0.,-1.,0.)); #196879=DIRECTION('ref_axis',(0.793459011149349,0.,0.608623691311714)); #196880=DIRECTION('center_axis',(0.,-1.,0.)); #196881=DIRECTION('ref_axis',(0.793459011149349,0.,0.608623691311714)); #196882=DIRECTION('',(0.,-1.,0.)); #196883=DIRECTION('center_axis',(0.,-1.,0.)); #196884=DIRECTION('ref_axis',(0.793459011149349,0.,0.608623691311714)); #196885=DIRECTION('center_axis',(0.,-1.,0.)); #196886=DIRECTION('ref_axis',(0.999999553521777,0.,0.000944963622364237)); #196887=DIRECTION('center_axis',(0.,-1.,0.)); #196888=DIRECTION('ref_axis',(0.999999553521777,0.,0.000944963622364237)); #196889=DIRECTION('',(0.,-1.,0.)); #196890=DIRECTION('center_axis',(0.,-1.,0.)); #196891=DIRECTION('ref_axis',(0.999999553521777,0.,0.000944963622364237)); #196892=DIRECTION('center_axis',(0.,-1.,0.)); #196893=DIRECTION('ref_axis',(0.660158833237886,0.,-0.751126031301001)); #196894=DIRECTION('center_axis',(0.,-1.,0.)); #196895=DIRECTION('ref_axis',(0.660158833237886,0.,-0.751126031301001)); #196896=DIRECTION('',(0.,-1.,0.)); #196897=DIRECTION('center_axis',(0.,-1.,0.)); #196898=DIRECTION('ref_axis',(0.660158833237886,0.,-0.751126031301001)); #196899=DIRECTION('center_axis',(0.,-1.,0.)); #196900=DIRECTION('ref_axis',(-0.0571818649742,0.,-0.998363778548717)); #196901=DIRECTION('center_axis',(0.,-1.,0.)); #196902=DIRECTION('ref_axis',(-0.0571818649742,0.,-0.998363778548717)); #196903=DIRECTION('',(0.,-1.,0.)); #196904=DIRECTION('center_axis',(0.,-1.,0.)); #196905=DIRECTION('ref_axis',(-0.0571818649742,0.,-0.998363778548717)); #196906=DIRECTION('center_axis',(0.00214767691168316,0.,-0.999997693739282)); #196907=DIRECTION('ref_axis',(0.999997693739282,0.,0.00214767691168316)); #196908=DIRECTION('',(0.999997693739282,0.,0.00214767691168316)); #196909=DIRECTION('',(0.,-1.,0.)); #196910=DIRECTION('',(0.999997693739282,0.,0.00214767691168316)); #196911=DIRECTION('center_axis',(0.,-1.,0.)); #196912=DIRECTION('ref_axis',(-0.589100712197924,0.,-0.808059620874536)); #196913=DIRECTION('center_axis',(0.,-1.,0.)); #196914=DIRECTION('ref_axis',(-0.589100712197924,0.,-0.808059620874536)); #196915=DIRECTION('',(0.,-1.,0.)); #196916=DIRECTION('center_axis',(0.,-1.,0.)); #196917=DIRECTION('ref_axis',(-0.589100712197924,0.,-0.808059620874536)); #196918=DIRECTION('center_axis',(0.,-1.,0.)); #196919=DIRECTION('ref_axis',(-0.999852049563015,0.,0.0172011332370251)); #196920=DIRECTION('center_axis',(0.,-1.,0.)); #196921=DIRECTION('ref_axis',(-0.999852049563015,0.,0.0172011332370251)); #196922=DIRECTION('',(0.,-1.,0.)); #196923=DIRECTION('center_axis',(0.,-1.,0.)); #196924=DIRECTION('ref_axis',(-0.999852049563015,0.,0.0172011332370251)); #196925=DIRECTION('center_axis',(0.,-1.,0.)); #196926=DIRECTION('ref_axis',(-0.682435999799613,0.,0.73094535101983)); #196927=DIRECTION('center_axis',(0.,-1.,0.)); #196928=DIRECTION('ref_axis',(-0.682435999799613,0.,0.73094535101983)); #196929=DIRECTION('',(0.,-1.,0.)); #196930=DIRECTION('center_axis',(0.,-1.,0.)); #196931=DIRECTION('ref_axis',(-0.682435999799613,0.,0.73094535101983)); #196932=DIRECTION('center_axis',(0.,-1.,0.)); #196933=DIRECTION('ref_axis',(0.103688218529253,0.,0.994609849809577)); #196934=DIRECTION('center_axis',(0.,-1.,0.)); #196935=DIRECTION('ref_axis',(0.103688218529253,0.,0.994609849809577)); #196936=DIRECTION('',(0.,-1.,0.)); #196937=DIRECTION('center_axis',(0.,-1.,0.)); #196938=DIRECTION('ref_axis',(0.103688218529253,0.,0.994609849809577)); #196939=DIRECTION('center_axis',(0.,0.,1.)); #196940=DIRECTION('ref_axis',(-1.,0.,0.)); #196941=DIRECTION('',(-1.,0.,0.)); #196942=DIRECTION('',(0.,-1.,0.)); #196943=DIRECTION('',(-1.,0.,0.)); #196944=DIRECTION('center_axis',(-1.,0.,0.)); #196945=DIRECTION('ref_axis',(0.,0.,-1.)); #196946=DIRECTION('',(0.,0.,-1.)); #196947=DIRECTION('',(0.,-1.,0.)); #196948=DIRECTION('',(0.,0.,-1.)); #196949=DIRECTION('center_axis',(0.,0.,-1.)); #196950=DIRECTION('ref_axis',(1.,0.,0.)); #196951=DIRECTION('',(1.,0.,0.)); #196952=DIRECTION('',(0.,-1.,0.)); #196953=DIRECTION('',(1.,0.,0.)); #196954=DIRECTION('center_axis',(0.,-1.,0.)); #196955=DIRECTION('ref_axis',(-0.586809501120948,0.,-0.809725020852255)); #196956=DIRECTION('center_axis',(0.,-1.,0.)); #196957=DIRECTION('ref_axis',(-0.586809501120948,0.,-0.809725020852255)); #196958=DIRECTION('',(0.,-1.,0.)); #196959=DIRECTION('center_axis',(0.,-1.,0.)); #196960=DIRECTION('ref_axis',(-0.586809501120948,0.,-0.809725020852255)); #196961=DIRECTION('center_axis',(0.,-1.,0.)); #196962=DIRECTION('ref_axis',(-0.99994473308639,0.,0.010513361631224)); #196963=DIRECTION('center_axis',(0.,-1.,0.)); #196964=DIRECTION('ref_axis',(-0.99994473308639,0.,0.010513361631224)); #196965=DIRECTION('',(0.,-1.,0.)); #196966=DIRECTION('center_axis',(0.,-1.,0.)); #196967=DIRECTION('ref_axis',(-0.99994473308639,0.,0.010513361631224)); #196968=DIRECTION('center_axis',(0.,-1.,0.)); #196969=DIRECTION('ref_axis',(-0.682387133588571,0.,0.730990971156808)); #196970=DIRECTION('center_axis',(0.,-1.,0.)); #196971=DIRECTION('ref_axis',(-0.682387133588571,0.,0.730990971156808)); #196972=DIRECTION('',(0.,-1.,0.)); #196973=DIRECTION('center_axis',(0.,-1.,0.)); #196974=DIRECTION('ref_axis',(-0.682387133588571,0.,0.730990971156808)); #196975=DIRECTION('center_axis',(0.,-1.,0.)); #196976=DIRECTION('ref_axis',(0.103687122407633,0.,0.994609964079802)); #196977=DIRECTION('center_axis',(0.,-1.,0.)); #196978=DIRECTION('ref_axis',(0.103687122407633,0.,0.994609964079802)); #196979=DIRECTION('',(0.,-1.,0.)); #196980=DIRECTION('center_axis',(0.,-1.,0.)); #196981=DIRECTION('ref_axis',(0.103687122407633,0.,0.994609964079802)); #196982=DIRECTION('center_axis',(0.,0.,1.)); #196983=DIRECTION('ref_axis',(-1.,0.,0.)); #196984=DIRECTION('',(-1.,0.,0.)); #196985=DIRECTION('',(0.,-1.,0.)); #196986=DIRECTION('',(-1.,0.,0.)); #196987=DIRECTION('center_axis',(0.,-1.,0.)); #196988=DIRECTION('ref_axis',(0.589100634081587,0.,0.808059677823781)); #196989=DIRECTION('center_axis',(0.,-1.,0.)); #196990=DIRECTION('ref_axis',(0.589100634081587,0.,0.808059677823781)); #196991=DIRECTION('',(0.,-1.,0.)); #196992=DIRECTION('center_axis',(0.,-1.,0.)); #196993=DIRECTION('ref_axis',(0.589100634081587,0.,0.808059677823781)); #196994=DIRECTION('center_axis',(0.,-1.,0.)); #196995=DIRECTION('ref_axis',(0.999922515575409,0.,-0.012448407341773)); #196996=DIRECTION('center_axis',(0.,-1.,0.)); #196997=DIRECTION('ref_axis',(0.999922515575409,0.,-0.012448407341773)); #196998=DIRECTION('',(0.,-1.,0.)); #196999=DIRECTION('center_axis',(0.,-1.,0.)); #197000=DIRECTION('ref_axis',(0.999922515575409,0.,-0.012448407341773)); #197001=DIRECTION('center_axis',(0.,-1.,0.)); #197002=DIRECTION('ref_axis',(0.682530473017812,0.,-0.730857136109433)); #197003=DIRECTION('center_axis',(0.,-1.,0.)); #197004=DIRECTION('ref_axis',(0.682530473017812,0.,-0.730857136109433)); #197005=DIRECTION('',(0.,-1.,0.)); #197006=DIRECTION('center_axis',(0.,-1.,0.)); #197007=DIRECTION('ref_axis',(0.682530473017812,0.,-0.730857136109433)); #197008=DIRECTION('center_axis',(0.,-1.,0.)); #197009=DIRECTION('ref_axis',(-0.103811503296458,0.,-0.994596989631142)); #197010=DIRECTION('center_axis',(0.,-1.,0.)); #197011=DIRECTION('ref_axis',(-0.103811503296458,0.,-0.994596989631142)); #197012=DIRECTION('',(0.,-1.,0.)); #197013=DIRECTION('center_axis',(0.,-1.,0.)); #197014=DIRECTION('ref_axis',(-0.103811503296458,0.,-0.994596989631142)); #197015=DIRECTION('center_axis',(0.,0.,-1.)); #197016=DIRECTION('ref_axis',(1.,0.,0.)); #197017=DIRECTION('',(1.,0.,0.)); #197018=DIRECTION('',(0.,-1.,0.)); #197019=DIRECTION('',(1.,0.,0.)); #197020=DIRECTION('center_axis',(1.,0.,0.)); #197021=DIRECTION('ref_axis',(0.,0.,1.)); #197022=DIRECTION('',(0.,0.,1.)); #197023=DIRECTION('',(0.,-1.,0.)); #197024=DIRECTION('',(0.,0.,1.)); #197025=DIRECTION('center_axis',(6.91400361351937E-5,0.,0.999999997609828)); #197026=DIRECTION('ref_axis',(-0.999999997609828,0.,6.91400361351937E-5)); #197027=DIRECTION('',(-0.999999997609828,0.,6.91400361351937E-5)); #197028=DIRECTION('',(0.,-1.,0.)); #197029=DIRECTION('',(-0.999999997609828,0.,6.91400361351937E-5)); #197030=DIRECTION('center_axis',(-0.553389394311434,0.,0.832922672439419)); #197031=DIRECTION('ref_axis',(-0.832922672439419,0.,-0.553389394311434)); #197032=DIRECTION('',(-0.832922672439419,0.,-0.553389394311434)); #197033=DIRECTION('',(0.,-1.,0.)); #197034=DIRECTION('',(-0.832922672439419,0.,-0.553389394311434)); #197035=DIRECTION('center_axis',(-0.700905886189183,0.,0.713253768798565)); #197036=DIRECTION('ref_axis',(-0.713253768798565,0.,-0.700905886189183)); #197037=DIRECTION('',(-0.713253768798565,0.,-0.700905886189183)); #197038=DIRECTION('',(0.,-1.,0.)); #197039=DIRECTION('',(-0.713253768798565,0.,-0.700905886189183)); #197040=DIRECTION('center_axis',(-0.77815608297928,0.,0.628070943860918)); #197041=DIRECTION('ref_axis',(-0.628070943860918,0.,-0.77815608297928)); #197042=DIRECTION('',(-0.628070943860918,0.,-0.77815608297928)); #197043=DIRECTION('',(0.,-1.,0.)); #197044=DIRECTION('',(-0.628070943860918,0.,-0.77815608297928)); #197045=DIRECTION('center_axis',(-0.823090432169456,0.,0.567910327843313)); #197046=DIRECTION('ref_axis',(-0.567910327843313,0.,-0.823090432169456)); #197047=DIRECTION('',(-0.567910327843313,0.,-0.823090432169456)); #197048=DIRECTION('',(0.,-1.,0.)); #197049=DIRECTION('',(-0.567910327843313,0.,-0.823090432169456)); #197050=DIRECTION('center_axis',(0.,0.,1.)); #197051=DIRECTION('ref_axis',(-1.,0.,0.)); #197052=DIRECTION('',(-1.,0.,0.)); #197053=DIRECTION('',(0.,-1.,0.)); #197054=DIRECTION('',(-1.,0.,0.)); #197055=DIRECTION('center_axis',(0.,-1.,0.)); #197056=DIRECTION('ref_axis',(0.593260748726368,0.,0.805010362679034)); #197057=DIRECTION('center_axis',(0.,-1.,0.)); #197058=DIRECTION('ref_axis',(0.593260748726368,0.,0.805010362679034)); #197059=DIRECTION('',(0.,-1.,0.)); #197060=DIRECTION('center_axis',(0.,-1.,0.)); #197061=DIRECTION('ref_axis',(0.593260748726368,0.,0.805010362679034)); #197062=DIRECTION('center_axis',(0.,-1.,0.)); #197063=DIRECTION('ref_axis',(0.999899772252559,0.,-0.0141578758746317)); #197064=DIRECTION('center_axis',(0.,-1.,0.)); #197065=DIRECTION('ref_axis',(0.999899772252559,0.,-0.0141578758746317)); #197066=DIRECTION('',(0.,-1.,0.)); #197067=DIRECTION('center_axis',(0.,-1.,0.)); #197068=DIRECTION('ref_axis',(0.999899772252559,0.,-0.0141578758746317)); #197069=DIRECTION('center_axis',(0.,-1.,0.)); #197070=DIRECTION('ref_axis',(0.570811370066976,0.,-0.821081226068567)); #197071=DIRECTION('center_axis',(0.,-1.,0.)); #197072=DIRECTION('ref_axis',(0.570811370066976,0.,-0.821081226068567)); #197073=DIRECTION('',(0.,-1.,0.)); #197074=DIRECTION('center_axis',(0.,-1.,0.)); #197075=DIRECTION('ref_axis',(0.570811370066976,0.,-0.821081226068567)); #197076=DIRECTION('center_axis',(0.,-1.,0.)); #197077=DIRECTION('ref_axis',(-0.129955843766079,0.,-0.991519782289313)); #197078=DIRECTION('center_axis',(0.,-1.,0.)); #197079=DIRECTION('ref_axis',(-0.129955843766079,0.,-0.991519782289313)); #197080=DIRECTION('center_axis',(0.,-1.,0.)); #197081=DIRECTION('ref_axis',(-0.129955843766079,0.,-0.991519782289313)); #197082=DIRECTION('center_axis',(0.,1.,0.)); #197083=DIRECTION('ref_axis',(1.,0.,0.)); #197084=DIRECTION('center_axis',(-1.,0.,0.)); #197085=DIRECTION('ref_axis',(0.,0.,-1.)); #197086=DIRECTION('',(0.,0.,-1.)); #197087=DIRECTION('',(0.,-1.,0.)); #197088=DIRECTION('',(0.,0.,-1.)); #197089=DIRECTION('',(0.,-1.,0.)); #197090=DIRECTION('center_axis',(0.,-1.,0.)); #197091=DIRECTION('ref_axis',(-0.799162005758743,0.,0.60111570313182)); #197092=DIRECTION('center_axis',(0.,-1.,0.)); #197093=DIRECTION('ref_axis',(-0.799162005758743,0.,0.60111570313182)); #197094=DIRECTION('',(0.,-1.,0.)); #197095=DIRECTION('center_axis',(0.,-1.,0.)); #197096=DIRECTION('ref_axis',(-0.799162005758743,0.,0.60111570313182)); #197097=DIRECTION('center_axis',(0.,-1.,0.)); #197098=DIRECTION('ref_axis',(0.00393935086262129,0.,0.999992240727287)); #197099=DIRECTION('center_axis',(0.,-1.,0.)); #197100=DIRECTION('ref_axis',(0.00393935086262129,0.,0.999992240727287)); #197101=DIRECTION('',(0.,-1.,0.)); #197102=DIRECTION('center_axis',(0.,-1.,0.)); #197103=DIRECTION('ref_axis',(0.00393935086262129,0.,0.999992240727287)); #197104=DIRECTION('center_axis',(0.,-1.,0.)); #197105=DIRECTION('ref_axis',(0.735279114141287,0.,0.677764431279485)); #197106=DIRECTION('center_axis',(0.,-1.,0.)); #197107=DIRECTION('ref_axis',(0.735279114141287,0.,0.677764431279485)); #197108=DIRECTION('',(0.,-1.,0.)); #197109=DIRECTION('center_axis',(0.,-1.,0.)); #197110=DIRECTION('ref_axis',(0.735279114141287,0.,0.677764431279485)); #197111=DIRECTION('center_axis',(0.,-1.,0.)); #197112=DIRECTION('ref_axis',(0.994916006226598,0.,-0.100708195069296)); #197113=DIRECTION('center_axis',(0.,-1.,0.)); #197114=DIRECTION('ref_axis',(0.994916006226598,0.,-0.100708195069296)); #197115=DIRECTION('',(0.,-1.,0.)); #197116=DIRECTION('center_axis',(0.,-1.,0.)); #197117=DIRECTION('ref_axis',(0.994916006226598,0.,-0.100708195069296)); #197118=DIRECTION('center_axis',(1.,0.,0.)); #197119=DIRECTION('ref_axis',(0.,0.,1.)); #197120=DIRECTION('',(0.,0.,1.)); #197121=DIRECTION('',(0.,-1.,0.)); #197122=DIRECTION('',(0.,0.,1.)); #197123=DIRECTION('center_axis',(0.,-1.,0.)); #197124=DIRECTION('ref_axis',(0.0307810715716919,0.,0.999526150549798)); #197125=DIRECTION('center_axis',(0.,-1.,0.)); #197126=DIRECTION('ref_axis',(0.0307810715716919,0.,0.999526150549798)); #197127=DIRECTION('',(0.,-1.,0.)); #197128=DIRECTION('center_axis',(0.,-1.,0.)); #197129=DIRECTION('ref_axis',(0.0307810715716919,0.,0.999526150549798)); #197130=DIRECTION('center_axis',(0.,-1.,0.)); #197131=DIRECTION('ref_axis',(0.627244580420473,0.,0.77882233939015)); #197132=DIRECTION('center_axis',(0.,-1.,0.)); #197133=DIRECTION('ref_axis',(0.627244580420473,0.,0.77882233939015)); #197134=DIRECTION('',(0.,-1.,0.)); #197135=DIRECTION('center_axis',(0.,-1.,0.)); #197136=DIRECTION('ref_axis',(0.627244580420473,0.,0.77882233939015)); #197137=DIRECTION('center_axis',(0.,-1.,0.)); #197138=DIRECTION('ref_axis',(0.999898745779498,0.,0.0142301858240504)); #197139=DIRECTION('center_axis',(0.,-1.,0.)); #197140=DIRECTION('ref_axis',(0.999898745779498,0.,0.0142301858240504)); #197141=DIRECTION('',(0.,-1.,0.)); #197142=DIRECTION('center_axis',(0.,-1.,0.)); #197143=DIRECTION('ref_axis',(0.999898745779498,0.,0.0142301858240504)); #197144=DIRECTION('center_axis',(0.,-1.,0.)); #197145=DIRECTION('ref_axis',(0.851011302014849,0.,-0.525147373451482)); #197146=DIRECTION('center_axis',(0.,-1.,0.)); #197147=DIRECTION('ref_axis',(0.851011302014849,0.,-0.525147373451482)); #197148=DIRECTION('',(0.,-1.,0.)); #197149=DIRECTION('center_axis',(0.,-1.,0.)); #197150=DIRECTION('ref_axis',(0.851011302014849,0.,-0.525147373451482)); #197151=DIRECTION('center_axis',(0.,-1.,0.)); #197152=DIRECTION('ref_axis',(0.388776431385506,0.,-0.921332125999713)); #197153=DIRECTION('center_axis',(0.,-1.,0.)); #197154=DIRECTION('ref_axis',(0.388776431385506,0.,-0.921332125999713)); #197155=DIRECTION('',(0.,-1.,0.)); #197156=DIRECTION('center_axis',(0.,-1.,0.)); #197157=DIRECTION('ref_axis',(0.388776431385506,0.,-0.921332125999713)); #197158=DIRECTION('center_axis',(0.,-1.,0.)); #197159=DIRECTION('ref_axis',(0.108072732779289,0.,-0.994142989931336)); #197160=DIRECTION('center_axis',(0.,-1.,0.)); #197161=DIRECTION('ref_axis',(0.108072732779289,0.,-0.994142989931336)); #197162=DIRECTION('',(0.,-1.,0.)); #197163=DIRECTION('center_axis',(0.,-1.,0.)); #197164=DIRECTION('ref_axis',(0.108072732779289,0.,-0.994142989931336)); #197165=DIRECTION('center_axis',(0.,-1.,0.)); #197166=DIRECTION('ref_axis',(-0.283043063611178,0.,0.959107201589895)); #197167=DIRECTION('center_axis',(0.,1.,0.)); #197168=DIRECTION('ref_axis',(-0.283043063611178,0.,0.959107201589895)); #197169=DIRECTION('',(0.,-1.,0.)); #197170=DIRECTION('center_axis',(0.,1.,0.)); #197171=DIRECTION('ref_axis',(-0.283043063611178,0.,0.959107201589895)); #197172=DIRECTION('center_axis',(0.,-1.,0.)); #197173=DIRECTION('ref_axis',(-0.551555527121453,0.,0.834138178302478)); #197174=DIRECTION('center_axis',(0.,1.,0.)); #197175=DIRECTION('ref_axis',(-0.551555527121453,0.,0.834138178302478)); #197176=DIRECTION('',(0.,-1.,0.)); #197177=DIRECTION('center_axis',(0.,1.,0.)); #197178=DIRECTION('ref_axis',(-0.551555527121453,0.,0.834138178302478)); #197179=DIRECTION('center_axis',(0.,-1.,0.)); #197180=DIRECTION('ref_axis',(-0.873687747139784,0.,0.486487122643353)); #197181=DIRECTION('center_axis',(0.,1.,0.)); #197182=DIRECTION('ref_axis',(-0.873687747139784,0.,0.486487122643353)); #197183=DIRECTION('',(0.,-1.,0.)); #197184=DIRECTION('center_axis',(0.,1.,0.)); #197185=DIRECTION('ref_axis',(-0.873687747139784,0.,0.486487122643353)); #197186=DIRECTION('center_axis',(0.,-1.,0.)); #197187=DIRECTION('ref_axis',(-0.999967165169461,0.,-0.00810361542472148)); #197188=DIRECTION('center_axis',(0.,1.,0.)); #197189=DIRECTION('ref_axis',(-0.999967165169461,0.,-0.00810361542472148)); #197190=DIRECTION('',(0.,-1.,0.)); #197191=DIRECTION('center_axis',(0.,1.,0.)); #197192=DIRECTION('ref_axis',(-0.999967165169461,0.,-0.00810361542472148)); #197193=DIRECTION('center_axis',(0.,-1.,0.)); #197194=DIRECTION('ref_axis',(-0.63736477179809,0.,-0.770562228292283)); #197195=DIRECTION('center_axis',(0.,1.,0.)); #197196=DIRECTION('ref_axis',(-0.63736477179809,0.,-0.770562228292283)); #197197=DIRECTION('',(0.,-1.,0.)); #197198=DIRECTION('center_axis',(0.,1.,0.)); #197199=DIRECTION('ref_axis',(-0.63736477179809,0.,-0.770562228292283)); #197200=DIRECTION('center_axis',(0.,-1.,0.)); #197201=DIRECTION('ref_axis',(0.0456501057848957,0.,-0.998957490507893)); #197202=DIRECTION('center_axis',(0.,1.,0.)); #197203=DIRECTION('ref_axis',(0.0456501057848957,0.,-0.998957490507893)); #197204=DIRECTION('',(0.,-1.,0.)); #197205=DIRECTION('center_axis',(0.,1.,0.)); #197206=DIRECTION('ref_axis',(0.0456501057848957,0.,-0.998957490507893)); #197207=DIRECTION('center_axis',(0.,-1.,0.)); #197208=DIRECTION('ref_axis',(0.603651989447927,0.,-0.797247938621079)); #197209=DIRECTION('center_axis',(0.,1.,0.)); #197210=DIRECTION('ref_axis',(0.603651989447927,0.,-0.797247938621079)); #197211=DIRECTION('',(0.,-1.,0.)); #197212=DIRECTION('center_axis',(0.,1.,0.)); #197213=DIRECTION('ref_axis',(0.603651989447927,0.,-0.797247938621079)); #197214=DIRECTION('center_axis',(0.,-1.,0.)); #197215=DIRECTION('ref_axis',(0.99411308049179,0.,-0.108347511254869)); #197216=DIRECTION('center_axis',(0.,1.,0.)); #197217=DIRECTION('ref_axis',(0.99411308049179,0.,-0.108347511254869)); #197218=DIRECTION('',(0.,-1.,0.)); #197219=DIRECTION('center_axis',(0.,1.,0.)); #197220=DIRECTION('ref_axis',(0.99411308049179,0.,-0.108347511254869)); #197221=DIRECTION('center_axis',(0.,-1.,0.)); #197222=DIRECTION('ref_axis',(-0.781473338052373,0.,0.623938636336364)); #197223=DIRECTION('center_axis',(0.,-1.,0.)); #197224=DIRECTION('ref_axis',(-0.781473338052373,0.,0.623938636336364)); #197225=DIRECTION('',(0.,-1.,0.)); #197226=DIRECTION('center_axis',(0.,-1.,0.)); #197227=DIRECTION('ref_axis',(-0.781473338052373,0.,0.623938636336364)); #197228=DIRECTION('center_axis',(0.,-1.,0.)); #197229=DIRECTION('ref_axis',(0.0168823253065695,0.,0.99985748339063)); #197230=DIRECTION('center_axis',(0.,-1.,0.)); #197231=DIRECTION('ref_axis',(0.0168823253065695,0.,0.99985748339063)); #197232=DIRECTION('',(0.,-1.,0.)); #197233=DIRECTION('center_axis',(0.,-1.,0.)); #197234=DIRECTION('ref_axis',(0.0168823253065695,0.,0.99985748339063)); #197235=DIRECTION('center_axis',(0.,-1.,0.)); #197236=DIRECTION('ref_axis',(0.735280034096783,0.,0.677763433255758)); #197237=DIRECTION('center_axis',(0.,-1.,0.)); #197238=DIRECTION('ref_axis',(0.735280034096783,0.,0.677763433255758)); #197239=DIRECTION('',(0.,-1.,0.)); #197240=DIRECTION('center_axis',(0.,-1.,0.)); #197241=DIRECTION('ref_axis',(0.735280034096783,0.,0.677763433255758)); #197242=DIRECTION('center_axis',(0.,-1.,0.)); #197243=DIRECTION('ref_axis',(0.994915936067685,0.,-0.100708888180544)); #197244=DIRECTION('center_axis',(0.,-1.,0.)); #197245=DIRECTION('ref_axis',(0.994915936067685,0.,-0.100708888180544)); #197246=DIRECTION('',(0.,-1.,0.)); #197247=DIRECTION('center_axis',(0.,-1.,0.)); #197248=DIRECTION('ref_axis',(0.994915936067685,0.,-0.100708888180544)); #197249=DIRECTION('center_axis',(1.,0.,0.)); #197250=DIRECTION('ref_axis',(0.,0.,1.)); #197251=DIRECTION('',(0.,0.,1.)); #197252=DIRECTION('',(0.,-1.,0.)); #197253=DIRECTION('',(0.,0.,1.)); #197254=DIRECTION('center_axis',(0.,-1.,0.)); #197255=DIRECTION('ref_axis',(0.811866607730723,0.,-0.583842967973246)); #197256=DIRECTION('center_axis',(0.,-1.,0.)); #197257=DIRECTION('ref_axis',(0.811866607730723,0.,-0.583842967973246)); #197258=DIRECTION('',(0.,-1.,0.)); #197259=DIRECTION('center_axis',(0.,-1.,0.)); #197260=DIRECTION('ref_axis',(0.811866607730723,0.,-0.583842967973246)); #197261=DIRECTION('center_axis',(0.,-1.,0.)); #197262=DIRECTION('ref_axis',(-0.0200766448142167,0.,-0.999798443854062)); #197263=DIRECTION('center_axis',(0.,-1.,0.)); #197264=DIRECTION('ref_axis',(-0.0200766448142167,0.,-0.999798443854062)); #197265=DIRECTION('',(0.,-1.,0.)); #197266=DIRECTION('center_axis',(0.,-1.,0.)); #197267=DIRECTION('ref_axis',(-0.0200766448142167,0.,-0.999798443854062)); #197268=DIRECTION('center_axis',(0.,-1.,0.)); #197269=DIRECTION('ref_axis',(-0.735455035365279,0.,-0.677573531770431)); #197270=DIRECTION('center_axis',(0.,-1.,0.)); #197271=DIRECTION('ref_axis',(-0.735455035365279,0.,-0.677573531770431)); #197272=DIRECTION('',(0.,-1.,0.)); #197273=DIRECTION('center_axis',(0.,-1.,0.)); #197274=DIRECTION('ref_axis',(-0.735455035365279,0.,-0.677573531770431)); #197275=DIRECTION('center_axis',(0.,-1.,0.)); #197276=DIRECTION('ref_axis',(-0.994924462349445,0.,0.100624620340488)); #197277=DIRECTION('center_axis',(0.,-1.,0.)); #197278=DIRECTION('ref_axis',(-0.994924462349445,0.,0.100624620340488)); #197279=DIRECTION('',(0.,-1.,0.)); #197280=DIRECTION('center_axis',(0.,-1.,0.)); #197281=DIRECTION('ref_axis',(-0.994924462349445,0.,0.100624620340488)); #197282=DIRECTION('center_axis',(-1.,0.,0.)); #197283=DIRECTION('ref_axis',(0.,0.,-1.)); #197284=DIRECTION('',(0.,0.,-1.)); #197285=DIRECTION('',(0.,-1.,0.)); #197286=DIRECTION('',(0.,0.,-1.)); #197287=DIRECTION('center_axis',(0.,-1.,0.)); #197288=DIRECTION('ref_axis',(-0.00801354402366646,0.,-0.999967891040598)); #197289=DIRECTION('center_axis',(0.,-1.,0.)); #197290=DIRECTION('ref_axis',(-0.00801354402366646,0.,-0.999967891040598)); #197291=DIRECTION('',(0.,-1.,0.)); #197292=DIRECTION('center_axis',(0.,-1.,0.)); #197293=DIRECTION('ref_axis',(-0.00801354402366646,0.,-0.999967891040598)); #197294=DIRECTION('center_axis',(0.,-1.,0.)); #197295=DIRECTION('ref_axis',(-0.640591470249688,0.,-0.767881871281868)); #197296=DIRECTION('center_axis',(0.,-1.,0.)); #197297=DIRECTION('ref_axis',(-0.640591470249688,0.,-0.767881871281868)); #197298=DIRECTION('',(0.,-1.,0.)); #197299=DIRECTION('center_axis',(0.,-1.,0.)); #197300=DIRECTION('ref_axis',(-0.640591470249688,0.,-0.767881871281868)); #197301=DIRECTION('center_axis',(0.,-1.,0.)); #197302=DIRECTION('ref_axis',(-0.999868155547488,0.,-0.0162379654533326)); #197303=DIRECTION('center_axis',(0.,-1.,0.)); #197304=DIRECTION('ref_axis',(-0.999868155547488,0.,-0.0162379654533326)); #197305=DIRECTION('',(0.,-1.,0.)); #197306=DIRECTION('center_axis',(0.,-1.,0.)); #197307=DIRECTION('ref_axis',(-0.999868155547488,0.,-0.0162379654533326)); #197308=DIRECTION('center_axis',(0.,-1.,0.)); #197309=DIRECTION('ref_axis',(-0.640814730418726,0.,0.767695565493494)); #197310=DIRECTION('center_axis',(0.,-1.,0.)); #197311=DIRECTION('ref_axis',(-0.640814730418726,0.,0.767695565493494)); #197312=DIRECTION('',(0.,-1.,0.)); #197313=DIRECTION('center_axis',(0.,-1.,0.)); #197314=DIRECTION('ref_axis',(-0.640814730418726,0.,0.767695565493494)); #197315=DIRECTION('center_axis',(0.,-1.,0.)); #197316=DIRECTION('ref_axis',(-0.0923677802920057,0.,0.995724958592446)); #197317=DIRECTION('center_axis',(0.,-1.,0.)); #197318=DIRECTION('ref_axis',(-0.0923677802920057,0.,0.995724958592446)); #197319=DIRECTION('',(0.,-1.,0.)); #197320=DIRECTION('center_axis',(0.,-1.,0.)); #197321=DIRECTION('ref_axis',(-0.0923677802920057,0.,0.995724958592446)); #197322=DIRECTION('center_axis',(0.,-1.,0.)); #197323=DIRECTION('ref_axis',(0.345028241835883,0.,-0.938592303577884)); #197324=DIRECTION('center_axis',(0.,1.,0.)); #197325=DIRECTION('ref_axis',(0.345028241835883,0.,-0.938592303577884)); #197326=DIRECTION('',(0.,-1.,0.)); #197327=DIRECTION('center_axis',(0.,1.,0.)); #197328=DIRECTION('ref_axis',(0.345028241835883,0.,-0.938592303577884)); #197329=DIRECTION('center_axis',(0.,-1.,0.)); #197330=DIRECTION('ref_axis',(0.811456355037115,0.,-0.584413025068641)); #197331=DIRECTION('center_axis',(0.,1.,0.)); #197332=DIRECTION('ref_axis',(0.811456355037115,0.,-0.584413025068641)); #197333=DIRECTION('',(0.,-1.,0.)); #197334=DIRECTION('center_axis',(0.,1.,0.)); #197335=DIRECTION('ref_axis',(0.811456355037115,0.,-0.584413025068641)); #197336=DIRECTION('center_axis',(0.,-1.,0.)); #197337=DIRECTION('ref_axis',(0.999959929511561,0.,0.00895205960851937)); #197338=DIRECTION('center_axis',(0.,1.,0.)); #197339=DIRECTION('ref_axis',(0.999959929511561,0.,0.00895205960851937)); #197340=DIRECTION('',(0.,-1.,0.)); #197341=DIRECTION('center_axis',(0.,1.,0.)); #197342=DIRECTION('ref_axis',(0.999959929511561,0.,0.00895205960851937)); #197343=DIRECTION('center_axis',(0.,-1.,0.)); #197344=DIRECTION('ref_axis',(0.633810086401143,0.,0.773488703457378)); #197345=DIRECTION('center_axis',(0.,1.,0.)); #197346=DIRECTION('ref_axis',(0.633810086401143,0.,0.773488703457378)); #197347=DIRECTION('',(0.,-1.,0.)); #197348=DIRECTION('center_axis',(0.,1.,0.)); #197349=DIRECTION('ref_axis',(0.633810086401143,0.,0.773488703457378)); #197350=DIRECTION('center_axis',(0.,-1.,0.)); #197351=DIRECTION('ref_axis',(-0.0494598385968837,0.,0.998776113233577)); #197352=DIRECTION('center_axis',(0.,1.,0.)); #197353=DIRECTION('ref_axis',(-0.0494598385968837,0.,0.998776113233577)); #197354=DIRECTION('',(0.,-1.,0.)); #197355=DIRECTION('center_axis',(0.,1.,0.)); #197356=DIRECTION('ref_axis',(-0.0494598385968837,0.,0.998776113233577)); #197357=DIRECTION('center_axis',(0.,-1.,0.)); #197358=DIRECTION('ref_axis',(-0.590205457146769,0.,0.807253069584857)); #197359=DIRECTION('center_axis',(0.,1.,0.)); #197360=DIRECTION('ref_axis',(-0.590205457146769,0.,0.807253069584857)); #197361=DIRECTION('',(0.,-1.,0.)); #197362=DIRECTION('center_axis',(0.,1.,0.)); #197363=DIRECTION('ref_axis',(-0.590205457146769,0.,0.807253069584857)); #197364=DIRECTION('center_axis',(0.,-1.,0.)); #197365=DIRECTION('ref_axis',(-0.993622323392337,0.,0.112759383008302)); #197366=DIRECTION('center_axis',(0.,1.,0.)); #197367=DIRECTION('ref_axis',(-0.993622323392337,0.,0.112759383008302)); #197368=DIRECTION('',(0.,-1.,0.)); #197369=DIRECTION('center_axis',(0.,1.,0.)); #197370=DIRECTION('ref_axis',(-0.993622323392337,0.,0.112759383008302)); #197371=DIRECTION('center_axis',(0.,-1.,0.)); #197372=DIRECTION('ref_axis',(0.781492973198161,0.,-0.623914042831141)); #197373=DIRECTION('center_axis',(0.,-1.,0.)); #197374=DIRECTION('ref_axis',(0.781492973198161,0.,-0.623914042831141)); #197375=DIRECTION('',(0.,-1.,0.)); #197376=DIRECTION('center_axis',(0.,-1.,0.)); #197377=DIRECTION('ref_axis',(0.781492973198161,0.,-0.623914042831141)); #197378=DIRECTION('center_axis',(0.,-1.,0.)); #197379=DIRECTION('ref_axis',(-0.0167660115070153,0.,-0.999859440550594)); #197380=DIRECTION('center_axis',(0.,-1.,0.)); #197381=DIRECTION('ref_axis',(-0.0167660115070153,0.,-0.999859440550594)); #197382=DIRECTION('',(0.,-1.,0.)); #197383=DIRECTION('center_axis',(0.,-1.,0.)); #197384=DIRECTION('ref_axis',(-0.0167660115070153,0.,-0.999859440550594)); #197385=DIRECTION('center_axis',(0.,-1.,0.)); #197386=DIRECTION('ref_axis',(-0.735454115666107,0.,-0.677574530033254)); #197387=DIRECTION('center_axis',(0.,-1.,0.)); #197388=DIRECTION('ref_axis',(-0.735454115666107,0.,-0.677574530033254)); #197389=DIRECTION('',(0.,-1.,0.)); #197390=DIRECTION('center_axis',(0.,-1.,0.)); #197391=DIRECTION('ref_axis',(-0.735454115666107,0.,-0.677574530033254)); #197392=DIRECTION('center_axis',(0.,-1.,0.)); #197393=DIRECTION('ref_axis',(-0.994331593881771,0.,0.106323475340761)); #197394=DIRECTION('center_axis',(0.,-1.,0.)); #197395=DIRECTION('ref_axis',(-0.994331593881771,0.,0.106323475340761)); #197396=DIRECTION('center_axis',(0.,-1.,0.)); #197397=DIRECTION('ref_axis',(-0.994331593881771,0.,0.106323475340761)); #197398=DIRECTION('center_axis',(0.,1.,0.)); #197399=DIRECTION('ref_axis',(1.,0.,0.)); #197400=DIRECTION('center_axis',(0.,-1.,0.)); #197401=DIRECTION('ref_axis',(-0.0128999708167881,0.,0.99991679191467)); #197402=DIRECTION('center_axis',(0.,1.,0.)); #197403=DIRECTION('ref_axis',(-0.0128999708167881,0.,0.99991679191467)); #197404=DIRECTION('',(0.,-1.,0.)); #197405=DIRECTION('center_axis',(0.,1.,0.)); #197406=DIRECTION('ref_axis',(-0.0128999708167881,0.,0.99991679191467)); #197407=DIRECTION('',(0.,-1.,0.)); #197408=DIRECTION('center_axis',(0.,-1.,0.)); #197409=DIRECTION('ref_axis',(-0.728162087404035,0.,0.685404971142899)); #197410=DIRECTION('center_axis',(0.,1.,0.)); #197411=DIRECTION('ref_axis',(-0.728162087404035,0.,0.685404971142899)); #197412=DIRECTION('',(0.,-1.,0.)); #197413=DIRECTION('center_axis',(0.,1.,0.)); #197414=DIRECTION('ref_axis',(-0.728162087404035,0.,0.685404971142899)); #197415=DIRECTION('center_axis',(0.,-1.,0.)); #197416=DIRECTION('ref_axis',(-0.999984227901068,0.,0.00561640001287936)); #197417=DIRECTION('center_axis',(0.,1.,0.)); #197418=DIRECTION('ref_axis',(-0.999984227901068,0.,0.00561640001287936)); #197419=DIRECTION('',(0.,-1.,0.)); #197420=DIRECTION('center_axis',(0.,1.,0.)); #197421=DIRECTION('ref_axis',(-0.999984227901068,0.,0.00561640001287936)); #197422=DIRECTION('center_axis',(1.,0.,0.)); #197423=DIRECTION('ref_axis',(0.,0.,1.)); #197424=DIRECTION('',(0.,0.,1.)); #197425=DIRECTION('',(0.,-1.,0.)); #197426=DIRECTION('',(0.,0.,1.)); #197427=DIRECTION('center_axis',(0.,-1.,0.)); #197428=DIRECTION('ref_axis',(-0.934943192364291,0.,-0.354797445103074)); #197429=DIRECTION('center_axis',(0.,1.,0.)); #197430=DIRECTION('ref_axis',(-0.934943192364291,0.,-0.354797445103074)); #197431=DIRECTION('',(0.,-1.,0.)); #197432=DIRECTION('center_axis',(0.,1.,0.)); #197433=DIRECTION('ref_axis',(-0.934943192364291,0.,-0.354797445103074)); #197434=DIRECTION('center_axis',(0.,-1.,0.)); #197435=DIRECTION('ref_axis',(-0.766523622648632,0.,-0.642216113097155)); #197436=DIRECTION('center_axis',(0.,1.,0.)); #197437=DIRECTION('ref_axis',(-0.766523622648632,0.,-0.642216113097155)); #197438=DIRECTION('',(0.,-1.,0.)); #197439=DIRECTION('center_axis',(0.,1.,0.)); #197440=DIRECTION('ref_axis',(-0.766523622648632,0.,-0.642216113097155)); #197441=DIRECTION('center_axis',(0.,-1.,0.)); #197442=DIRECTION('ref_axis',(-0.421245168348385,0.,-0.906946805575245)); #197443=DIRECTION('center_axis',(0.,1.,0.)); #197444=DIRECTION('ref_axis',(-0.421245168348385,0.,-0.906946805575245)); #197445=DIRECTION('',(0.,-1.,0.)); #197446=DIRECTION('center_axis',(0.,1.,0.)); #197447=DIRECTION('ref_axis',(-0.421245168348385,0.,-0.906946805575245)); #197448=DIRECTION('center_axis',(0.,-1.,0.)); #197449=DIRECTION('ref_axis',(0.000789469985639162,0.,-0.999999688368522)); #197450=DIRECTION('center_axis',(0.,1.,0.)); #197451=DIRECTION('ref_axis',(0.000789469985639162,0.,-0.999999688368522)); #197452=DIRECTION('',(0.,-1.,0.)); #197453=DIRECTION('center_axis',(0.,1.,0.)); #197454=DIRECTION('ref_axis',(0.000789469985639162,0.,-0.999999688368522)); #197455=DIRECTION('center_axis',(0.,-1.,0.)); #197456=DIRECTION('ref_axis',(0.610841142628824,0.,-0.791753180272686)); #197457=DIRECTION('center_axis',(0.,1.,0.)); #197458=DIRECTION('ref_axis',(0.610841142628824,0.,-0.791753180272686)); #197459=DIRECTION('',(0.,-1.,0.)); #197460=DIRECTION('center_axis',(0.,1.,0.)); #197461=DIRECTION('ref_axis',(0.610841142628824,0.,-0.791753180272686)); #197462=DIRECTION('center_axis',(0.,-1.,0.)); #197463=DIRECTION('ref_axis',(0.993488132088061,0.,-0.113935645871588)); #197464=DIRECTION('center_axis',(0.,1.,0.)); #197465=DIRECTION('ref_axis',(0.993488132088061,0.,-0.113935645871588)); #197466=DIRECTION('',(0.,-1.,0.)); #197467=DIRECTION('center_axis',(0.,1.,0.)); #197468=DIRECTION('ref_axis',(0.993488132088061,0.,-0.113935645871588)); #197469=DIRECTION('center_axis',(0.,-1.,0.)); #197470=DIRECTION('ref_axis',(-0.78135250498949,0.,0.624089947801316)); #197471=DIRECTION('center_axis',(0.,-1.,0.)); #197472=DIRECTION('ref_axis',(-0.78135250498949,0.,0.624089947801316)); #197473=DIRECTION('',(0.,-1.,0.)); #197474=DIRECTION('center_axis',(0.,-1.,0.)); #197475=DIRECTION('ref_axis',(-0.78135250498949,0.,0.624089947801316)); #197476=DIRECTION('center_axis',(0.,-1.,0.)); #197477=DIRECTION('ref_axis',(0.00415260288580182,0.,0.999991377907466)); #197478=DIRECTION('center_axis',(0.,-1.,0.)); #197479=DIRECTION('ref_axis',(0.00415260288580182,0.,0.999991377907466)); #197480=DIRECTION('',(0.,-1.,0.)); #197481=DIRECTION('center_axis',(0.,-1.,0.)); #197482=DIRECTION('ref_axis',(0.00415260288580182,0.,0.999991377907466)); #197483=DIRECTION('center_axis',(0.,-1.,0.)); #197484=DIRECTION('ref_axis',(0.738755437972694,0.,0.673973592113054)); #197485=DIRECTION('center_axis',(0.,-1.,0.)); #197486=DIRECTION('ref_axis',(0.738755437972694,0.,0.673973592113054)); #197487=DIRECTION('',(0.,-1.,0.)); #197488=DIRECTION('center_axis',(0.,-1.,0.)); #197489=DIRECTION('ref_axis',(0.738755437972694,0.,0.673973592113054)); #197490=DIRECTION('center_axis',(0.,-1.,0.)); #197491=DIRECTION('ref_axis',(0.994337277433122,0.,-0.106270309620738)); #197492=DIRECTION('center_axis',(0.,-1.,0.)); #197493=DIRECTION('ref_axis',(0.994337277433122,0.,-0.106270309620738)); #197494=DIRECTION('',(0.,-1.,0.)); #197495=DIRECTION('center_axis',(0.,-1.,0.)); #197496=DIRECTION('ref_axis',(0.994337277433122,0.,-0.106270309620738)); #197497=DIRECTION('center_axis',(1.,0.,0.)); #197498=DIRECTION('ref_axis',(0.,0.,1.)); #197499=DIRECTION('',(0.,0.,1.)); #197500=DIRECTION('',(0.,-1.,0.)); #197501=DIRECTION('',(0.,0.,1.)); #197502=DIRECTION('center_axis',(0.,-1.,0.)); #197503=DIRECTION('ref_axis',(0.814455157270656,0.,-0.58022650473348)); #197504=DIRECTION('center_axis',(0.,-1.,0.)); #197505=DIRECTION('ref_axis',(0.814455157270656,0.,-0.58022650473348)); #197506=DIRECTION('',(0.,-1.,0.)); #197507=DIRECTION('center_axis',(0.,-1.,0.)); #197508=DIRECTION('ref_axis',(0.814455157270656,0.,-0.58022650473348)); #197509=DIRECTION('center_axis',(0.,-1.,0.)); #197510=DIRECTION('ref_axis',(-0.0195977241357183,0.,-0.999807946162012)); #197511=DIRECTION('center_axis',(0.,-1.,0.)); #197512=DIRECTION('ref_axis',(-0.0195977241357183,0.,-0.999807946162012)); #197513=DIRECTION('',(0.,-1.,0.)); #197514=DIRECTION('center_axis',(0.,-1.,0.)); #197515=DIRECTION('ref_axis',(-0.0195977241357183,0.,-0.999807946162012)); #197516=DIRECTION('center_axis',(0.,-1.,0.)); #197517=DIRECTION('ref_axis',(-0.738845809065365,0.,-0.673874521277179)); #197518=DIRECTION('center_axis',(0.,-1.,0.)); #197519=DIRECTION('ref_axis',(-0.738845809065365,0.,-0.673874521277179)); #197520=DIRECTION('',(0.,-1.,0.)); #197521=DIRECTION('center_axis',(0.,-1.,0.)); #197522=DIRECTION('ref_axis',(-0.738845809065365,0.,-0.673874521277179)); #197523=DIRECTION('center_axis',(0.,-1.,0.)); #197524=DIRECTION('ref_axis',(-0.994929481119102,0.,0.100574984961839)); #197525=DIRECTION('center_axis',(0.,-1.,0.)); #197526=DIRECTION('ref_axis',(-0.994929481119102,0.,0.100574984961839)); #197527=DIRECTION('',(0.,-1.,0.)); #197528=DIRECTION('center_axis',(0.,-1.,0.)); #197529=DIRECTION('ref_axis',(-0.994929481119102,0.,0.100574984961839)); #197530=DIRECTION('center_axis',(-1.,0.,0.)); #197531=DIRECTION('ref_axis',(0.,0.,-1.)); #197532=DIRECTION('',(0.,0.,-1.)); #197533=DIRECTION('',(0.,-1.,0.)); #197534=DIRECTION('',(0.,0.,-1.)); #197535=DIRECTION('center_axis',(0.,-1.,0.)); #197536=DIRECTION('ref_axis',(-0.000585310511104692,0.,-0.999999828705788)); #197537=DIRECTION('center_axis',(0.,-1.,0.)); #197538=DIRECTION('ref_axis',(-0.000585310511104692,0.,-0.999999828705788)); #197539=DIRECTION('',(0.,-1.,0.)); #197540=DIRECTION('center_axis',(0.,-1.,0.)); #197541=DIRECTION('ref_axis',(-0.000585310511104692,0.,-0.999999828705788)); #197542=DIRECTION('center_axis',(0.,-1.,0.)); #197543=DIRECTION('ref_axis',(-0.518916253639219,0.,-0.854825082522172)); #197544=DIRECTION('center_axis',(0.,-1.,0.)); #197545=DIRECTION('ref_axis',(-0.518916253639219,0.,-0.854825082522172)); #197546=DIRECTION('',(0.,-1.,0.)); #197547=DIRECTION('center_axis',(0.,-1.,0.)); #197548=DIRECTION('ref_axis',(-0.518916253639219,0.,-0.854825082522172)); #197549=DIRECTION('center_axis',(0.,-1.,0.)); #197550=DIRECTION('ref_axis',(-0.8963643595173,0.,-0.443318096841468)); #197551=DIRECTION('center_axis',(0.,-1.,0.)); #197552=DIRECTION('ref_axis',(-0.8963643595173,0.,-0.443318096841468)); #197553=DIRECTION('',(0.,-1.,0.)); #197554=DIRECTION('center_axis',(0.,-1.,0.)); #197555=DIRECTION('ref_axis',(-0.8963643595173,0.,-0.443318096841468)); #197556=DIRECTION('center_axis',(0.,-1.,0.)); #197557=DIRECTION('ref_axis',(-0.999819964362961,0.,-0.0189746900171562)); #197558=DIRECTION('center_axis',(0.,-1.,0.)); #197559=DIRECTION('ref_axis',(-0.999819964362961,0.,-0.0189746900171562)); #197560=DIRECTION('',(0.,-1.,0.)); #197561=DIRECTION('center_axis',(0.,-1.,0.)); #197562=DIRECTION('ref_axis',(-0.999819964362961,0.,-0.0189746900171562)); #197563=DIRECTION('center_axis',(-1.,0.,0.)); #197564=DIRECTION('ref_axis',(0.,0.,-1.)); #197565=DIRECTION('',(0.,0.,-1.)); #197566=DIRECTION('',(0.,-1.,0.)); #197567=DIRECTION('',(0.,0.,-1.)); #197568=DIRECTION('center_axis',(0.,-1.,0.)); #197569=DIRECTION('ref_axis',(-0.949592801918144,0.,0.313486061165802)); #197570=DIRECTION('center_axis',(0.,-1.,0.)); #197571=DIRECTION('ref_axis',(-0.949592801918144,0.,0.313486061165802)); #197572=DIRECTION('',(0.,-1.,0.)); #197573=DIRECTION('center_axis',(0.,-1.,0.)); #197574=DIRECTION('ref_axis',(-0.949592801918144,0.,0.313486061165802)); #197575=DIRECTION('center_axis',(0.,-1.,0.)); #197576=DIRECTION('ref_axis',(-0.763875328865603,0.,0.645363836878444)); #197577=DIRECTION('center_axis',(0.,-1.,0.)); #197578=DIRECTION('ref_axis',(-0.763875328865603,0.,0.645363836878444)); #197579=DIRECTION('',(0.,-1.,0.)); #197580=DIRECTION('center_axis',(0.,-1.,0.)); #197581=DIRECTION('ref_axis',(-0.763875328865603,0.,0.645363836878444)); #197582=DIRECTION('center_axis',(0.,-1.,0.)); #197583=DIRECTION('ref_axis',(-0.63972480837629,0.,0.768604039507938)); #197584=DIRECTION('center_axis',(0.,-1.,0.)); #197585=DIRECTION('ref_axis',(-0.63972480837629,0.,0.768604039507938)); #197586=DIRECTION('',(0.,-1.,0.)); #197587=DIRECTION('center_axis',(0.,-1.,0.)); #197588=DIRECTION('ref_axis',(-0.63972480837629,0.,0.768604039507938)); #197589=DIRECTION('center_axis',(0.,-1.,0.)); #197590=DIRECTION('ref_axis',(-0.335744538595528,0.,0.941953079937252)); #197591=DIRECTION('center_axis',(0.,-1.,0.)); #197592=DIRECTION('ref_axis',(-0.335744538595528,0.,0.941953079937252)); #197593=DIRECTION('',(0.,-1.,0.)); #197594=DIRECTION('center_axis',(0.,-1.,0.)); #197595=DIRECTION('ref_axis',(-0.335744538595528,0.,0.941953079937252)); #197596=DIRECTION('center_axis',(0.,-1.,0.)); #197597=DIRECTION('ref_axis',(-0.00894396554735626,0.,0.999960001940221)); #197598=DIRECTION('center_axis',(0.,-1.,0.)); #197599=DIRECTION('ref_axis',(-0.00894396554735626,0.,0.999960001940221)); #197600=DIRECTION('',(0.,-1.,0.)); #197601=DIRECTION('center_axis',(0.,-1.,0.)); #197602=DIRECTION('ref_axis',(-0.00894396554735626,0.,0.999960001940221)); #197603=DIRECTION('center_axis',(0.,-1.,0.)); #197604=DIRECTION('ref_axis',(0.423133344010962,0.,0.906067421986963)); #197605=DIRECTION('center_axis',(0.,-1.,0.)); #197606=DIRECTION('ref_axis',(0.423133344010962,0.,0.906067421986963)); #197607=DIRECTION('',(0.,-1.,0.)); #197608=DIRECTION('center_axis',(0.,-1.,0.)); #197609=DIRECTION('ref_axis',(0.423133344010962,0.,0.906067421986963)); #197610=DIRECTION('center_axis',(0.,-1.,0.)); #197611=DIRECTION('ref_axis',(0.755920400015532,0.,0.654663538652)); #197612=DIRECTION('center_axis',(0.,-1.,0.)); #197613=DIRECTION('ref_axis',(0.755920400015532,0.,0.654663538652)); #197614=DIRECTION('',(0.,-1.,0.)); #197615=DIRECTION('center_axis',(0.,-1.,0.)); #197616=DIRECTION('ref_axis',(0.755920400015532,0.,0.654663538652)); #197617=DIRECTION('center_axis',(0.,-1.,0.)); #197618=DIRECTION('ref_axis',(0.98406753107736,0.,0.177795090706436)); #197619=DIRECTION('center_axis',(0.,-1.,0.)); #197620=DIRECTION('ref_axis',(0.98406753107736,0.,0.177795090706436)); #197621=DIRECTION('',(0.,-1.,0.)); #197622=DIRECTION('center_axis',(0.,-1.,0.)); #197623=DIRECTION('ref_axis',(0.98406753107736,0.,0.177795090706436)); #197624=DIRECTION('center_axis',(0.,-1.,0.)); #197625=DIRECTION('ref_axis',(0.723622030150253,0.,-0.690196462959081)); #197626=DIRECTION('center_axis',(0.,-1.,0.)); #197627=DIRECTION('ref_axis',(0.723622030150253,0.,-0.690196462959081)); #197628=DIRECTION('',(0.,-1.,0.)); #197629=DIRECTION('center_axis',(0.,-1.,0.)); #197630=DIRECTION('ref_axis',(0.723622030150253,0.,-0.690196462959081)); #197631=DIRECTION('center_axis',(0.,-1.,0.)); #197632=DIRECTION('ref_axis',(-0.0299796951347158,0.,-0.999550507918249)); #197633=DIRECTION('center_axis',(0.,-1.,0.)); #197634=DIRECTION('ref_axis',(-0.0299796951347158,0.,-0.999550507918249)); #197635=DIRECTION('',(0.,-1.,0.)); #197636=DIRECTION('center_axis',(0.,-1.,0.)); #197637=DIRECTION('ref_axis',(-0.0299796951347158,0.,-0.999550507918249)); #197638=DIRECTION('center_axis',(0.,-1.,0.)); #197639=DIRECTION('ref_axis',(-0.816773017352686,0.,-0.576959130376312)); #197640=DIRECTION('center_axis',(0.,-1.,0.)); #197641=DIRECTION('ref_axis',(-0.816773017352686,0.,-0.576959130376312)); #197642=DIRECTION('',(0.,-1.,0.)); #197643=DIRECTION('center_axis',(0.,-1.,0.)); #197644=DIRECTION('ref_axis',(-0.816773017352686,0.,-0.576959130376312)); #197645=DIRECTION('center_axis',(0.,-1.,0.)); #197646=DIRECTION('ref_axis',(0.504611132739824,0.,0.86334674651326)); #197647=DIRECTION('center_axis',(0.,1.,0.)); #197648=DIRECTION('ref_axis',(0.504611132739824,0.,0.86334674651326)); #197649=DIRECTION('center_axis',(0.,1.,0.)); #197650=DIRECTION('ref_axis',(0.504611132739824,0.,0.86334674651326)); #197651=DIRECTION('center_axis',(0.,1.,0.)); #197652=DIRECTION('ref_axis',(1.,0.,0.)); #197653=DIRECTION('center_axis',(-0.907747778322876,0.,-0.419516353614353)); #197654=DIRECTION('ref_axis',(0.419516353614353,0.,-0.907747778322876)); #197655=DIRECTION('',(0.419516353614353,0.,-0.907747778322876)); #197656=DIRECTION('',(0.,-1.,0.)); #197657=DIRECTION('',(0.419516353614353,0.,-0.907747778322876)); #197658=DIRECTION('',(0.,-1.,0.)); #197659=DIRECTION('center_axis',(0.,-1.,0.)); #197660=DIRECTION('ref_axis',(-0.997461807549614,0.,-0.0712035285632472)); #197661=DIRECTION('center_axis',(0.,-1.,0.)); #197662=DIRECTION('ref_axis',(-0.997461807549614,0.,-0.0712035285632472)); #197663=DIRECTION('',(0.,-1.,0.)); #197664=DIRECTION('center_axis',(0.,-1.,0.)); #197665=DIRECTION('ref_axis',(-0.997461807549614,0.,-0.0712035285632472)); #197666=DIRECTION('center_axis',(0.,-1.,0.)); #197667=DIRECTION('ref_axis',(-0.686766864979324,0.,0.7268777566871)); #197668=DIRECTION('center_axis',(0.,-1.,0.)); #197669=DIRECTION('ref_axis',(-0.686766864979324,0.,0.7268777566871)); #197670=DIRECTION('',(0.,-1.,0.)); #197671=DIRECTION('center_axis',(0.,-1.,0.)); #197672=DIRECTION('ref_axis',(-0.686766864979324,0.,0.7268777566871)); #197673=DIRECTION('center_axis',(0.,-1.,0.)); #197674=DIRECTION('ref_axis',(-0.0092534763302018,0.,0.99995718567137)); #197675=DIRECTION('center_axis',(0.,-1.,0.)); #197676=DIRECTION('ref_axis',(-0.0092534763302018,0.,0.99995718567137)); #197677=DIRECTION('',(0.,-1.,0.)); #197678=DIRECTION('center_axis',(0.,-1.,0.)); #197679=DIRECTION('ref_axis',(-0.0092534763302018,0.,0.99995718567137)); #197680=DIRECTION('center_axis',(0.,-1.,0.)); #197681=DIRECTION('ref_axis',(0.956612418178431,0.,0.291363486708293)); #197682=DIRECTION('center_axis',(0.,-1.,0.)); #197683=DIRECTION('ref_axis',(0.956612418178431,0.,0.291363486708293)); #197684=DIRECTION('',(0.,-1.,0.)); #197685=DIRECTION('center_axis',(0.,-1.,0.)); #197686=DIRECTION('ref_axis',(0.956612418178431,0.,0.291363486708293)); #197687=DIRECTION('center_axis',(0.907510058595489,0.,0.420030348365463)); #197688=DIRECTION('ref_axis',(-0.420030348365463,0.,0.907510058595489)); #197689=DIRECTION('',(-0.420030348365463,0.,0.907510058595489)); #197690=DIRECTION('',(0.,-1.,0.)); #197691=DIRECTION('',(-0.420030348365463,0.,0.907510058595489)); #197692=DIRECTION('center_axis',(0.,-1.,0.)); #197693=DIRECTION('ref_axis',(0.996805294212195,0.,0.07986992819916)); #197694=DIRECTION('center_axis',(0.,-1.,0.)); #197695=DIRECTION('ref_axis',(0.996805294212195,0.,0.07986992819916)); #197696=DIRECTION('',(0.,-1.,0.)); #197697=DIRECTION('center_axis',(0.,-1.,0.)); #197698=DIRECTION('ref_axis',(0.996805294212195,0.,0.07986992819916)); #197699=DIRECTION('center_axis',(0.,-1.,0.)); #197700=DIRECTION('ref_axis',(0.686872583792758,0.,-0.726777857143337)); #197701=DIRECTION('center_axis',(0.,-1.,0.)); #197702=DIRECTION('ref_axis',(0.686872583792758,0.,-0.726777857143337)); #197703=DIRECTION('',(0.,-1.,0.)); #197704=DIRECTION('center_axis',(0.,-1.,0.)); #197705=DIRECTION('ref_axis',(0.686872583792758,0.,-0.726777857143337)); #197706=DIRECTION('center_axis',(0.,-1.,0.)); #197707=DIRECTION('ref_axis',(0.00886673480501083,0.,-0.9999606897343)); #197708=DIRECTION('center_axis',(0.,-1.,0.)); #197709=DIRECTION('ref_axis',(0.00886673480501083,0.,-0.9999606897343)); #197710=DIRECTION('',(0.,-1.,0.)); #197711=DIRECTION('center_axis',(0.,-1.,0.)); #197712=DIRECTION('ref_axis',(0.00886673480501083,0.,-0.9999606897343)); #197713=DIRECTION('center_axis',(0.,-1.,0.)); #197714=DIRECTION('ref_axis',(-0.559287216214239,0.,-0.828973950000437)); #197715=DIRECTION('center_axis',(0.,-1.,0.)); #197716=DIRECTION('ref_axis',(-0.559287216214239,0.,-0.828973950000437)); #197717=DIRECTION('',(0.,-1.,0.)); #197718=DIRECTION('center_axis',(0.,-1.,0.)); #197719=DIRECTION('ref_axis',(-0.559287216214239,0.,-0.828973950000437)); #197720=DIRECTION('center_axis',(0.,-1.,0.)); #197721=DIRECTION('ref_axis',(-0.939352719341423,0.,-0.342952574951515)); #197722=DIRECTION('center_axis',(0.,-1.,0.)); #197723=DIRECTION('ref_axis',(-0.939352719341423,0.,-0.342952574951515)); #197724=DIRECTION('center_axis',(0.,-1.,0.)); #197725=DIRECTION('ref_axis',(-0.939352719341423,0.,-0.342952574951515)); #197726=DIRECTION('center_axis',(0.,1.,0.)); #197727=DIRECTION('ref_axis',(1.,0.,0.)); #197728=DIRECTION('center_axis',(0.,0.,-1.)); #197729=DIRECTION('ref_axis',(1.,0.,0.)); #197730=DIRECTION('',(1.,0.,0.)); #197731=DIRECTION('',(0.,-1.,0.)); #197732=DIRECTION('',(1.,0.,0.)); #197733=DIRECTION('',(0.,-1.,0.)); #197734=DIRECTION('center_axis',(0.,-1.,0.)); #197735=DIRECTION('ref_axis',(-0.604686185144086,0.,-0.796463820581884)); #197736=DIRECTION('center_axis',(0.,-1.,0.)); #197737=DIRECTION('ref_axis',(-0.604686185144086,0.,-0.796463820581884)); #197738=DIRECTION('',(0.,-1.,0.)); #197739=DIRECTION('center_axis',(0.,-1.,0.)); #197740=DIRECTION('ref_axis',(-0.604686185144086,0.,-0.796463820581884)); #197741=DIRECTION('center_axis',(0.,-1.,0.)); #197742=DIRECTION('ref_axis',(-0.999989510429683,0.,0.0045802871746844)); #197743=DIRECTION('center_axis',(0.,-1.,0.)); #197744=DIRECTION('ref_axis',(-0.999989510429683,0.,0.0045802871746844)); #197745=DIRECTION('',(0.,-1.,0.)); #197746=DIRECTION('center_axis',(0.,-1.,0.)); #197747=DIRECTION('ref_axis',(-0.999989510429683,0.,0.0045802871746844)); #197748=DIRECTION('center_axis',(0.,-1.,0.)); #197749=DIRECTION('ref_axis',(-0.693484992241755,0.,0.720471071962957)); #197750=DIRECTION('center_axis',(0.,-1.,0.)); #197751=DIRECTION('ref_axis',(-0.693484992241755,0.,0.720471071962957)); #197752=DIRECTION('',(0.,-1.,0.)); #197753=DIRECTION('center_axis',(0.,-1.,0.)); #197754=DIRECTION('ref_axis',(-0.693484992241755,0.,0.720471071962957)); #197755=DIRECTION('center_axis',(0.,-1.,0.)); #197756=DIRECTION('ref_axis',(0.100200879489606,0.,0.994967227475111)); #197757=DIRECTION('center_axis',(0.,-1.,0.)); #197758=DIRECTION('ref_axis',(0.100200879489606,0.,0.994967227475111)); #197759=DIRECTION('',(0.,-1.,0.)); #197760=DIRECTION('center_axis',(0.,-1.,0.)); #197761=DIRECTION('ref_axis',(0.100200879489606,0.,0.994967227475111)); #197762=DIRECTION('center_axis',(0.,0.,1.)); #197763=DIRECTION('ref_axis',(-1.,0.,0.)); #197764=DIRECTION('',(-1.,0.,0.)); #197765=DIRECTION('',(0.,-1.,0.)); #197766=DIRECTION('',(-1.,0.,0.)); #197767=DIRECTION('center_axis',(-1.,0.,0.)); #197768=DIRECTION('ref_axis',(0.,0.,-1.)); #197769=DIRECTION('',(0.,0.,-1.)); #197770=DIRECTION('',(0.,-1.,0.)); #197771=DIRECTION('',(0.,0.,-1.)); #197772=DIRECTION('center_axis',(0.,0.,-1.)); #197773=DIRECTION('ref_axis',(1.,0.,0.)); #197774=DIRECTION('',(1.,0.,0.)); #197775=DIRECTION('',(0.,-1.,0.)); #197776=DIRECTION('',(1.,0.,0.)); #197777=DIRECTION('center_axis',(0.,-1.,0.)); #197778=DIRECTION('ref_axis',(-0.587374729074289,0.,-0.809315097872828)); #197779=DIRECTION('center_axis',(0.,-1.,0.)); #197780=DIRECTION('ref_axis',(-0.587374729074289,0.,-0.809315097872828)); #197781=DIRECTION('',(0.,-1.,0.)); #197782=DIRECTION('center_axis',(0.,-1.,0.)); #197783=DIRECTION('ref_axis',(-0.587374729074289,0.,-0.809315097872828)); #197784=DIRECTION('center_axis',(0.,-1.,0.)); #197785=DIRECTION('ref_axis',(-0.999772353858659,0.,0.0213363647305574)); #197786=DIRECTION('center_axis',(0.,-1.,0.)); #197787=DIRECTION('ref_axis',(-0.999772353858659,0.,0.0213363647305574)); #197788=DIRECTION('',(0.,-1.,0.)); #197789=DIRECTION('center_axis',(0.,-1.,0.)); #197790=DIRECTION('ref_axis',(-0.999772353858659,0.,0.0213363647305574)); #197791=DIRECTION('center_axis',(0.,-1.,0.)); #197792=DIRECTION('ref_axis',(-0.684915558594997,0.,0.728622452024712)); #197793=DIRECTION('center_axis',(0.,-1.,0.)); #197794=DIRECTION('ref_axis',(-0.684915558594997,0.,0.728622452024712)); #197795=DIRECTION('',(0.,-1.,0.)); #197796=DIRECTION('center_axis',(0.,-1.,0.)); #197797=DIRECTION('ref_axis',(-0.684915558594997,0.,0.728622452024712)); #197798=DIRECTION('center_axis',(0.,-1.,0.)); #197799=DIRECTION('ref_axis',(0.100500507596192,0.,0.994937007037585)); #197800=DIRECTION('center_axis',(0.,-1.,0.)); #197801=DIRECTION('ref_axis',(0.100500507596192,0.,0.994937007037585)); #197802=DIRECTION('',(0.,-1.,0.)); #197803=DIRECTION('center_axis',(0.,-1.,0.)); #197804=DIRECTION('ref_axis',(0.100500507596192,0.,0.994937007037585)); #197805=DIRECTION('center_axis',(0.,0.,1.)); #197806=DIRECTION('ref_axis',(-1.,0.,0.)); #197807=DIRECTION('',(-1.,0.,0.)); #197808=DIRECTION('',(0.,-1.,0.)); #197809=DIRECTION('',(-1.,0.,0.)); #197810=DIRECTION('center_axis',(0.,-1.,0.)); #197811=DIRECTION('ref_axis',(0.60447509430189,0.,0.796624039537297)); #197812=DIRECTION('center_axis',(0.,-1.,0.)); #197813=DIRECTION('ref_axis',(0.60447509430189,0.,0.796624039537297)); #197814=DIRECTION('',(0.,-1.,0.)); #197815=DIRECTION('center_axis',(0.,-1.,0.)); #197816=DIRECTION('ref_axis',(0.60447509430189,0.,0.796624039537297)); #197817=DIRECTION('center_axis',(0.,-1.,0.)); #197818=DIRECTION('ref_axis',(0.999988534610161,0.,-0.00478859564197314)); #197819=DIRECTION('center_axis',(0.,-1.,0.)); #197820=DIRECTION('ref_axis',(0.999988534610161,0.,-0.00478859564197314)); #197821=DIRECTION('',(0.,-1.,0.)); #197822=DIRECTION('center_axis',(0.,-1.,0.)); #197823=DIRECTION('ref_axis',(0.999988534610161,0.,-0.00478859564197314)); #197824=DIRECTION('center_axis',(0.,-1.,0.)); #197825=DIRECTION('ref_axis',(0.681588005772098,0.,-0.731736148066784)); #197826=DIRECTION('center_axis',(0.,-1.,0.)); #197827=DIRECTION('ref_axis',(0.681588005772098,0.,-0.731736148066784)); #197828=DIRECTION('',(0.,-1.,0.)); #197829=DIRECTION('center_axis',(0.,-1.,0.)); #197830=DIRECTION('ref_axis',(0.681588005772098,0.,-0.731736148066784)); #197831=DIRECTION('center_axis',(0.,-1.,0.)); #197832=DIRECTION('ref_axis',(-0.100610693225151,0.,-0.994925870810863)); #197833=DIRECTION('center_axis',(0.,-1.,0.)); #197834=DIRECTION('ref_axis',(-0.100610693225151,0.,-0.994925870810863)); #197835=DIRECTION('',(0.,-1.,0.)); #197836=DIRECTION('center_axis',(0.,-1.,0.)); #197837=DIRECTION('ref_axis',(-0.100610693225151,0.,-0.994925870810863)); #197838=DIRECTION('center_axis',(0.,0.,-1.)); #197839=DIRECTION('ref_axis',(1.,0.,0.)); #197840=DIRECTION('',(1.,0.,0.)); #197841=DIRECTION('',(0.,-1.,0.)); #197842=DIRECTION('',(1.,0.,0.)); #197843=DIRECTION('center_axis',(1.,0.,0.)); #197844=DIRECTION('ref_axis',(0.,0.,1.)); #197845=DIRECTION('',(0.,0.,1.)); #197846=DIRECTION('',(0.,-1.,0.)); #197847=DIRECTION('',(0.,0.,1.)); #197848=DIRECTION('center_axis',(0.,-1.,0.)); #197849=DIRECTION('ref_axis',(-0.600128603188606,0.,-0.799903531455445)); #197850=DIRECTION('center_axis',(0.,1.,0.)); #197851=DIRECTION('ref_axis',(-0.600128603188606,0.,-0.799903531455445)); #197852=DIRECTION('',(0.,-1.,0.)); #197853=DIRECTION('center_axis',(0.,1.,0.)); #197854=DIRECTION('ref_axis',(-0.600128603188606,0.,-0.799903531455445)); #197855=DIRECTION('center_axis',(0.,-1.,0.)); #197856=DIRECTION('ref_axis',(-0.0241152817442531,0.,-0.999709184306314)); #197857=DIRECTION('center_axis',(0.,1.,0.)); #197858=DIRECTION('ref_axis',(-0.0241152817442531,0.,-0.999709184306314)); #197859=DIRECTION('',(0.,-1.,0.)); #197860=DIRECTION('center_axis',(0.,1.,0.)); #197861=DIRECTION('ref_axis',(-0.0241152817442531,0.,-0.999709184306314)); #197862=DIRECTION('center_axis',(0.,-1.,0.)); #197863=DIRECTION('ref_axis',(0.770223256356413,0.,-0.637774360857916)); #197864=DIRECTION('center_axis',(0.,1.,0.)); #197865=DIRECTION('ref_axis',(0.770223256356413,0.,-0.637774360857916)); #197866=DIRECTION('',(0.,-1.,0.)); #197867=DIRECTION('center_axis',(0.,1.,0.)); #197868=DIRECTION('ref_axis',(0.770223256356413,0.,-0.637774360857916)); #197869=DIRECTION('center_axis',(0.,-1.,0.)); #197870=DIRECTION('ref_axis',(0.999663134767905,0.,0.0259541323493125)); #197871=DIRECTION('center_axis',(0.,1.,0.)); #197872=DIRECTION('ref_axis',(0.999663134767905,0.,0.0259541323493125)); #197873=DIRECTION('',(0.,-1.,0.)); #197874=DIRECTION('center_axis',(0.,1.,0.)); #197875=DIRECTION('ref_axis',(0.999663134767905,0.,0.0259541323493125)); #197876=DIRECTION('center_axis',(-1.,0.,0.)); #197877=DIRECTION('ref_axis',(0.,0.,-1.)); #197878=DIRECTION('',(0.,0.,-1.)); #197879=DIRECTION('',(0.,-1.,0.)); #197880=DIRECTION('',(0.,0.,-1.)); #197881=DIRECTION('center_axis',(0.,0.,1.)); #197882=DIRECTION('ref_axis',(-1.,0.,0.)); #197883=DIRECTION('',(-1.,0.,0.)); #197884=DIRECTION('',(0.,-1.,0.)); #197885=DIRECTION('',(-1.,0.,0.)); #197886=DIRECTION('center_axis',(0.,-1.,0.)); #197887=DIRECTION('ref_axis',(0.604396567763752,0.,0.796683619058028)); #197888=DIRECTION('center_axis',(0.,-1.,0.)); #197889=DIRECTION('ref_axis',(0.604396567763752,0.,0.796683619058028)); #197890=DIRECTION('',(0.,-1.,0.)); #197891=DIRECTION('center_axis',(0.,-1.,0.)); #197892=DIRECTION('ref_axis',(0.604396567763752,0.,0.796683619058028)); #197893=DIRECTION('center_axis',(0.,-1.,0.)); #197894=DIRECTION('ref_axis',(0.999987178534013,0.,-0.00506386883560064)); #197895=DIRECTION('center_axis',(0.,-1.,0.)); #197896=DIRECTION('ref_axis',(0.999987178534013,0.,-0.00506386883560064)); #197897=DIRECTION('',(0.,-1.,0.)); #197898=DIRECTION('center_axis',(0.,-1.,0.)); #197899=DIRECTION('ref_axis',(0.999987178534013,0.,-0.00506386883560064)); #197900=DIRECTION('center_axis',(0.,-1.,0.)); #197901=DIRECTION('ref_axis',(0.681787102577089,0.,-0.73155064538249)); #197902=DIRECTION('center_axis',(0.,-1.,0.)); #197903=DIRECTION('ref_axis',(0.681787102577089,0.,-0.73155064538249)); #197904=DIRECTION('',(0.,-1.,0.)); #197905=DIRECTION('center_axis',(0.,-1.,0.)); #197906=DIRECTION('ref_axis',(0.681787102577089,0.,-0.73155064538249)); #197907=DIRECTION('center_axis',(0.,-1.,0.)); #197908=DIRECTION('ref_axis',(-0.100629689715789,0.,-0.994923949630174)); #197909=DIRECTION('center_axis',(0.,-1.,0.)); #197910=DIRECTION('ref_axis',(-0.100629689715789,0.,-0.994923949630174)); #197911=DIRECTION('',(0.,-1.,0.)); #197912=DIRECTION('center_axis',(0.,-1.,0.)); #197913=DIRECTION('ref_axis',(-0.100629689715789,0.,-0.994923949630174)); #197914=DIRECTION('center_axis',(0.,0.,-1.)); #197915=DIRECTION('ref_axis',(1.,0.,0.)); #197916=DIRECTION('',(1.,0.,0.)); #197917=DIRECTION('',(0.,-1.,0.)); #197918=DIRECTION('',(1.,0.,0.)); #197919=DIRECTION('center_axis',(1.,0.,0.)); #197920=DIRECTION('ref_axis',(0.,0.,1.)); #197921=DIRECTION('',(0.,0.,1.)); #197922=DIRECTION('',(0.,-1.,0.)); #197923=DIRECTION('',(0.,0.,1.)); #197924=DIRECTION('center_axis',(0.,-1.,0.)); #197925=DIRECTION('ref_axis',(-0.617069970891041,0.,-0.78690828628534)); #197926=DIRECTION('center_axis',(0.,1.,0.)); #197927=DIRECTION('ref_axis',(-0.617069970891041,0.,-0.78690828628534)); #197928=DIRECTION('',(0.,-1.,0.)); #197929=DIRECTION('center_axis',(0.,1.,0.)); #197930=DIRECTION('ref_axis',(-0.617069970891041,0.,-0.78690828628534)); #197931=DIRECTION('center_axis',(0.,-1.,0.)); #197932=DIRECTION('ref_axis',(-0.0450359991900161,0.,-0.998985364646028)); #197933=DIRECTION('center_axis',(0.,1.,0.)); #197934=DIRECTION('ref_axis',(-0.0450359991900161,0.,-0.998985364646028)); #197935=DIRECTION('',(0.,-1.,0.)); #197936=DIRECTION('center_axis',(0.,1.,0.)); #197937=DIRECTION('ref_axis',(-0.0450359991900161,0.,-0.998985364646028)); #197938=DIRECTION('center_axis',(0.,-1.,0.)); #197939=DIRECTION('ref_axis',(0.753473183139332,0.,-0.657478640177674)); #197940=DIRECTION('center_axis',(0.,1.,0.)); #197941=DIRECTION('ref_axis',(0.753473183139332,0.,-0.657478640177674)); #197942=DIRECTION('',(0.,-1.,0.)); #197943=DIRECTION('center_axis',(0.,1.,0.)); #197944=DIRECTION('ref_axis',(0.753473183139332,0.,-0.657478640177674)); #197945=DIRECTION('center_axis',(0.,-1.,0.)); #197946=DIRECTION('ref_axis',(0.999752190084359,0.,0.0222611415144529)); #197947=DIRECTION('center_axis',(0.,1.,0.)); #197948=DIRECTION('ref_axis',(0.999752190084359,0.,0.0222611415144529)); #197949=DIRECTION('',(0.,-1.,0.)); #197950=DIRECTION('center_axis',(0.,1.,0.)); #197951=DIRECTION('ref_axis',(0.999752190084359,0.,0.0222611415144529)); #197952=DIRECTION('center_axis',(-1.,0.,0.)); #197953=DIRECTION('ref_axis',(0.,0.,-1.)); #197954=DIRECTION('',(0.,0.,-1.)); #197955=DIRECTION('',(0.,-1.,0.)); #197956=DIRECTION('',(0.,0.,-1.)); #197957=DIRECTION('center_axis',(0.,0.,1.)); #197958=DIRECTION('ref_axis',(-1.,0.,0.)); #197959=DIRECTION('',(-1.,0.,0.)); #197960=DIRECTION('',(0.,-1.,0.)); #197961=DIRECTION('',(-1.,0.,0.)); #197962=DIRECTION('center_axis',(0.,-1.,0.)); #197963=DIRECTION('ref_axis',(0.604403373029315,0.,0.796678456261236)); #197964=DIRECTION('center_axis',(0.,-1.,0.)); #197965=DIRECTION('ref_axis',(0.604403373029315,0.,0.796678456261236)); #197966=DIRECTION('',(0.,-1.,0.)); #197967=DIRECTION('center_axis',(0.,-1.,0.)); #197968=DIRECTION('ref_axis',(0.604403373029315,0.,0.796678456261236)); #197969=DIRECTION('center_axis',(0.,-1.,0.)); #197970=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #197971=DIRECTION('center_axis',(0.,-1.,0.)); #197972=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #197973=DIRECTION('',(0.,-1.,0.)); #197974=DIRECTION('center_axis',(0.,-1.,0.)); #197975=DIRECTION('ref_axis',(0.99998872212428,0.,-0.00474927618156547)); #197976=DIRECTION('center_axis',(0.,-1.,0.)); #197977=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #197978=DIRECTION('center_axis',(0.,-1.,0.)); #197979=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #197980=DIRECTION('',(0.,-1.,0.)); #197981=DIRECTION('center_axis',(0.,-1.,0.)); #197982=DIRECTION('ref_axis',(0.681559562988348,0.,-0.73176264054619)); #197983=DIRECTION('center_axis',(0.,-1.,0.)); #197984=DIRECTION('ref_axis',(-0.100621489701068,0.,-0.994924778970922)); #197985=DIRECTION('center_axis',(0.,-1.,0.)); #197986=DIRECTION('ref_axis',(-0.100621489701068,0.,-0.994924778970922)); #197987=DIRECTION('',(0.,-1.,0.)); #197988=DIRECTION('center_axis',(0.,-1.,0.)); #197989=DIRECTION('ref_axis',(-0.100621489701068,0.,-0.994924778970922)); #197990=DIRECTION('center_axis',(0.,0.,-1.)); #197991=DIRECTION('ref_axis',(1.,0.,0.)); #197992=DIRECTION('',(1.,0.,0.)); #197993=DIRECTION('',(0.,-1.,0.)); #197994=DIRECTION('',(1.,0.,0.)); #197995=DIRECTION('center_axis',(1.,0.,-4.22841428088616E-14)); #197996=DIRECTION('ref_axis',(4.22841428088616E-14,0.,1.)); #197997=DIRECTION('',(4.22841428088616E-14,0.,1.)); #197998=DIRECTION('',(0.,-1.,0.)); #197999=DIRECTION('',(4.22841428088616E-14,0.,1.)); #198000=DIRECTION('center_axis',(0.,-1.,0.)); #198001=DIRECTION('ref_axis',(0.714696225090581,0.,-0.699434990432473)); #198002=DIRECTION('center_axis',(0.,-1.,0.)); #198003=DIRECTION('ref_axis',(0.714696225090581,0.,-0.699434990432473)); #198004=DIRECTION('',(0.,-1.,0.)); #198005=DIRECTION('center_axis',(0.,-1.,0.)); #198006=DIRECTION('ref_axis',(0.714696225090581,0.,-0.699434990432473)); #198007=DIRECTION('center_axis',(0.,-1.,0.)); #198008=DIRECTION('ref_axis',(-0.0275113014629244,0.,-0.999621492511949)); #198009=DIRECTION('center_axis',(0.,-1.,0.)); #198010=DIRECTION('ref_axis',(-0.0275113014629244,0.,-0.999621492511949)); #198011=DIRECTION('',(0.,-1.,0.)); #198012=DIRECTION('center_axis',(0.,-1.,0.)); #198013=DIRECTION('ref_axis',(-0.0275113014629244,0.,-0.999621492511949)); #198014=DIRECTION('center_axis',(0.,-1.,0.)); #198015=DIRECTION('ref_axis',(-0.535212788426129,0.,-0.844717272881955)); #198016=DIRECTION('center_axis',(0.,-1.,0.)); #198017=DIRECTION('ref_axis',(-0.535212788426129,0.,-0.844717272881955)); #198018=DIRECTION('',(0.,-1.,0.)); #198019=DIRECTION('center_axis',(0.,-1.,0.)); #198020=DIRECTION('ref_axis',(-0.535212788426129,0.,-0.844717272881955)); #198021=DIRECTION('center_axis',(0.,-1.,0.)); #198022=DIRECTION('ref_axis',(-0.799467524558701,0.,-0.600709311710734)); #198023=DIRECTION('center_axis',(0.,-1.,0.)); #198024=DIRECTION('ref_axis',(-0.799467524558701,0.,-0.600709311710734)); #198025=DIRECTION('',(0.,-1.,0.)); #198026=DIRECTION('center_axis',(0.,-1.,0.)); #198027=DIRECTION('ref_axis',(-0.799467524558701,0.,-0.600709311710734)); #198028=DIRECTION('center_axis',(0.,-1.,0.)); #198029=DIRECTION('ref_axis',(0.606434278869629,0.,-0.795133614816952)); #198030=DIRECTION('center_axis',(0.,-1.,0.)); #198031=DIRECTION('ref_axis',(0.606434278869629,0.,-0.795133614816952)); #198032=DIRECTION('',(0.,-1.,0.)); #198033=DIRECTION('center_axis',(0.,-1.,0.)); #198034=DIRECTION('ref_axis',(0.606434278869629,0.,-0.795133614816952)); #198035=DIRECTION('center_axis',(0.,-1.,0.)); #198036=DIRECTION('ref_axis',(-0.0124922313665765,0.,-0.999921969033326)); #198037=DIRECTION('center_axis',(0.,-1.,0.)); #198038=DIRECTION('ref_axis',(-0.0124922313665765,0.,-0.999921969033326)); #198039=DIRECTION('',(0.,-1.,0.)); #198040=DIRECTION('center_axis',(0.,-1.,0.)); #198041=DIRECTION('ref_axis',(-0.0124922313665765,0.,-0.999921969033326)); #198042=DIRECTION('center_axis',(0.,-1.,0.)); #198043=DIRECTION('ref_axis',(-0.788045498517323,0.,-0.615617001281303)); #198044=DIRECTION('center_axis',(0.,-1.,0.)); #198045=DIRECTION('ref_axis',(-0.788045498517323,0.,-0.615617001281303)); #198046=DIRECTION('',(0.,-1.,0.)); #198047=DIRECTION('center_axis',(0.,-1.,0.)); #198048=DIRECTION('ref_axis',(-0.788045498517323,0.,-0.615617001281303)); #198049=DIRECTION('center_axis',(1.,0.,0.)); #198050=DIRECTION('ref_axis',(0.,0.,1.)); #198051=DIRECTION('',(0.,0.,1.)); #198052=DIRECTION('',(0.,0.,1.)); #198053=DIRECTION('center_axis',(0.,1.,0.)); #198054=DIRECTION('ref_axis',(1.,0.,0.)); #198055=DIRECTION('center_axis',(0.,-1.,0.)); #198056=DIRECTION('ref_axis',(-0.999972296603115,0.,-0.00744352243848568)); #198057=DIRECTION('center_axis',(0.,1.,0.)); #198058=DIRECTION('ref_axis',(-0.999972296603115,0.,-0.00744352243848568)); #198059=DIRECTION('',(0.,-1.,0.)); #198060=DIRECTION('center_axis',(0.,1.,0.)); #198061=DIRECTION('ref_axis',(-0.999972296603115,0.,-0.00744352243848568)); #198062=DIRECTION('',(0.,-1.,0.)); #198063=DIRECTION('center_axis',(1.,0.,0.)); #198064=DIRECTION('ref_axis',(0.,0.,1.)); #198065=DIRECTION('',(0.,0.,1.)); #198066=DIRECTION('',(0.,-1.,0.)); #198067=DIRECTION('',(0.,0.,1.)); #198068=DIRECTION('center_axis',(0.,-1.,0.)); #198069=DIRECTION('ref_axis',(-0.883603365112162,0.,-0.468236151063182)); #198070=DIRECTION('center_axis',(0.,1.,0.)); #198071=DIRECTION('ref_axis',(-0.883603365112162,0.,-0.468236151063182)); #198072=DIRECTION('',(0.,-1.,0.)); #198073=DIRECTION('center_axis',(0.,1.,0.)); #198074=DIRECTION('ref_axis',(-0.883603365112162,0.,-0.468236151063182)); #198075=DIRECTION('center_axis',(0.,-1.,0.)); #198076=DIRECTION('ref_axis',(-0.0456044564123851,0.,-0.998959575536133)); #198077=DIRECTION('center_axis',(0.,1.,0.)); #198078=DIRECTION('ref_axis',(-0.0456044564123851,0.,-0.998959575536133)); #198079=DIRECTION('',(0.,-1.,0.)); #198080=DIRECTION('center_axis',(0.,1.,0.)); #198081=DIRECTION('ref_axis',(-0.0456044564123851,0.,-0.998959575536133)); #198082=DIRECTION('center_axis',(0.,-1.,0.)); #198083=DIRECTION('ref_axis',(0.516071583436007,0.,-0.856545457503484)); #198084=DIRECTION('center_axis',(0.,1.,0.)); #198085=DIRECTION('ref_axis',(0.516071583436007,0.,-0.856545457503484)); #198086=DIRECTION('',(0.,-1.,0.)); #198087=DIRECTION('center_axis',(0.,1.,0.)); #198088=DIRECTION('ref_axis',(0.516071583436007,0.,-0.856545457503484)); #198089=DIRECTION('center_axis',(0.,-1.,0.)); #198090=DIRECTION('ref_axis',(0.808372285758428,0.,-0.588671595728632)); #198091=DIRECTION('center_axis',(0.,1.,0.)); #198092=DIRECTION('ref_axis',(0.808372285758428,0.,-0.588671595728632)); #198093=DIRECTION('',(0.,-1.,0.)); #198094=DIRECTION('center_axis',(0.,1.,0.)); #198095=DIRECTION('ref_axis',(0.808372285758428,0.,-0.588671595728632)); #198096=DIRECTION('center_axis',(0.,-1.,0.)); #198097=DIRECTION('ref_axis',(0.958522700151961,0.,-0.285016198300017)); #198098=DIRECTION('center_axis',(0.,1.,0.)); #198099=DIRECTION('ref_axis',(0.958522700151961,0.,-0.285016198300017)); #198100=DIRECTION('',(0.,-1.,0.)); #198101=DIRECTION('center_axis',(0.,1.,0.)); #198102=DIRECTION('ref_axis',(0.958522700151961,0.,-0.285016198300017)); #198103=DIRECTION('center_axis',(0.,-1.,0.)); #198104=DIRECTION('ref_axis',(0.999969408082956,0.,0.00782194977115595)); #198105=DIRECTION('center_axis',(0.,1.,0.)); #198106=DIRECTION('ref_axis',(0.999969408082956,0.,0.00782194977115595)); #198107=DIRECTION('',(0.,-1.,0.)); #198108=DIRECTION('center_axis',(0.,1.,0.)); #198109=DIRECTION('ref_axis',(0.999969408082956,0.,0.00782194977115595)); #198110=DIRECTION('center_axis',(-1.,0.,0.)); #198111=DIRECTION('ref_axis',(0.,0.,-1.)); #198112=DIRECTION('',(0.,0.,-1.)); #198113=DIRECTION('',(0.,-1.,0.)); #198114=DIRECTION('',(0.,0.,-1.)); #198115=DIRECTION('center_axis',(0.,-1.,0.)); #198116=DIRECTION('ref_axis',(0.88410643748595,0.,0.467285573494305)); #198117=DIRECTION('center_axis',(0.,1.,0.)); #198118=DIRECTION('ref_axis',(0.88410643748595,0.,0.467285573494305)); #198119=DIRECTION('',(0.,-1.,0.)); #198120=DIRECTION('center_axis',(0.,1.,0.)); #198121=DIRECTION('ref_axis',(0.88410643748595,0.,0.467285573494305)); #198122=DIRECTION('center_axis',(0.,-1.,0.)); #198123=DIRECTION('ref_axis',(0.0485645641991837,0.,0.998820045405649)); #198124=DIRECTION('center_axis',(0.,1.,0.)); #198125=DIRECTION('ref_axis',(0.0485645641991837,0.,0.998820045405649)); #198126=DIRECTION('',(0.,-1.,0.)); #198127=DIRECTION('center_axis',(0.,1.,0.)); #198128=DIRECTION('ref_axis',(0.0485645641991837,0.,0.998820045405649)); #198129=DIRECTION('center_axis',(0.,-1.,0.)); #198130=DIRECTION('ref_axis',(-0.525941966000022,0.,0.850520457367154)); #198131=DIRECTION('center_axis',(0.,1.,0.)); #198132=DIRECTION('ref_axis',(-0.525941966000022,0.,0.850520457367154)); #198133=DIRECTION('',(0.,-1.,0.)); #198134=DIRECTION('center_axis',(0.,1.,0.)); #198135=DIRECTION('ref_axis',(-0.525941966000022,0.,0.850520457367154)); #198136=DIRECTION('center_axis',(0.,-1.,0.)); #198137=DIRECTION('ref_axis',(-0.809701984889042,0.,0.58684128660716)); #198138=DIRECTION('center_axis',(0.,1.,0.)); #198139=DIRECTION('ref_axis',(-0.809701984889042,0.,0.58684128660716)); #198140=DIRECTION('',(0.,-1.,0.)); #198141=DIRECTION('center_axis',(0.,1.,0.)); #198142=DIRECTION('ref_axis',(-0.809701984889042,0.,0.58684128660716)); #198143=DIRECTION('center_axis',(0.,-1.,0.)); #198144=DIRECTION('ref_axis',(-0.95919103499889,0.,0.282758834305415)); #198145=DIRECTION('center_axis',(0.,1.,0.)); #198146=DIRECTION('ref_axis',(-0.95919103499889,0.,0.282758834305415)); #198147=DIRECTION('center_axis',(0.,1.,0.)); #198148=DIRECTION('ref_axis',(-0.95919103499889,0.,0.282758834305415)); #198149=DIRECTION('center_axis',(1.,0.,0.)); #198150=DIRECTION('ref_axis',(0.,0.,1.)); #198151=DIRECTION('',(0.,0.,1.)); #198152=DIRECTION('',(0.,-1.,0.)); #198153=DIRECTION('',(0.,0.,1.)); #198154=DIRECTION('',(0.,-1.,0.)); #198155=DIRECTION('center_axis',(0.,-1.,0.)); #198156=DIRECTION('ref_axis',(0.972822161476171,0.,-0.231553540549116)); #198157=DIRECTION('center_axis',(0.,-1.,0.)); #198158=DIRECTION('ref_axis',(0.972822161476171,0.,-0.231553540549116)); #198159=DIRECTION('',(0.,-1.,0.)); #198160=DIRECTION('center_axis',(0.,-1.,0.)); #198161=DIRECTION('ref_axis',(0.972822161476171,0.,-0.231553540549116)); #198162=DIRECTION('center_axis',(0.,-1.,0.)); #198163=DIRECTION('ref_axis',(0.901651947751182,0.,-0.432462443590769)); #198164=DIRECTION('center_axis',(0.,-1.,0.)); #198165=DIRECTION('ref_axis',(0.901651947751182,0.,-0.432462443590769)); #198166=DIRECTION('',(0.,-1.,0.)); #198167=DIRECTION('center_axis',(0.,-1.,0.)); #198168=DIRECTION('ref_axis',(0.901651947751182,0.,-0.432462443590769)); #198169=DIRECTION('center_axis',(0.,-1.,0.)); #198170=DIRECTION('ref_axis',(0.775924492190661,0.,-0.63082579403403)); #198171=DIRECTION('center_axis',(0.,-1.,0.)); #198172=DIRECTION('ref_axis',(0.775924492190661,0.,-0.63082579403403)); #198173=DIRECTION('',(0.,-1.,0.)); #198174=DIRECTION('center_axis',(0.,-1.,0.)); #198175=DIRECTION('ref_axis',(0.775924492190661,0.,-0.63082579403403)); #198176=DIRECTION('center_axis',(0.,-1.,0.)); #198177=DIRECTION('ref_axis',(0.41157625680787,0.,-0.911375325994742)); #198178=DIRECTION('center_axis',(0.,-1.,0.)); #198179=DIRECTION('ref_axis',(0.41157625680787,0.,-0.911375325994742)); #198180=DIRECTION('',(0.,-1.,0.)); #198181=DIRECTION('center_axis',(0.,-1.,0.)); #198182=DIRECTION('ref_axis',(0.41157625680787,0.,-0.911375325994742)); #198183=DIRECTION('center_axis',(0.,-1.,0.)); #198184=DIRECTION('ref_axis',(-0.0053115901998847,0.,-0.999985893405276)); #198185=DIRECTION('center_axis',(0.,-1.,0.)); #198186=DIRECTION('ref_axis',(-0.0053115901998847,0.,-0.999985893405276)); #198187=DIRECTION('',(0.,-1.,0.)); #198188=DIRECTION('center_axis',(0.,-1.,0.)); #198189=DIRECTION('ref_axis',(-0.0053115901998847,0.,-0.999985893405276)); #198190=DIRECTION('center_axis',(0.,-1.,0.)); #198191=DIRECTION('ref_axis',(-0.780976712895816,0.,-0.624560144353165)); #198192=DIRECTION('center_axis',(0.,-1.,0.)); #198193=DIRECTION('ref_axis',(-0.780976712895816,0.,-0.624560144353165)); #198194=DIRECTION('',(0.,-1.,0.)); #198195=DIRECTION('center_axis',(0.,-1.,0.)); #198196=DIRECTION('ref_axis',(-0.780976712895816,0.,-0.624560144353165)); #198197=DIRECTION('center_axis',(0.,-1.,0.)); #198198=DIRECTION('ref_axis',(-0.998705341769385,0.,0.0508688541378285)); #198199=DIRECTION('center_axis',(0.,-1.,0.)); #198200=DIRECTION('ref_axis',(-0.998705341769385,0.,0.0508688541378285)); #198201=DIRECTION('',(0.,-1.,0.)); #198202=DIRECTION('center_axis',(0.,-1.,0.)); #198203=DIRECTION('ref_axis',(-0.998705341769385,0.,0.0508688541378285)); #198204=DIRECTION('center_axis',(-1.,0.,0.)); #198205=DIRECTION('ref_axis',(0.,0.,-1.)); #198206=DIRECTION('',(0.,0.,-1.)); #198207=DIRECTION('',(0.,-1.,0.)); #198208=DIRECTION('',(0.,0.,-1.)); #198209=DIRECTION('center_axis',(0.,-1.,0.)); #198210=DIRECTION('ref_axis',(-0.97024205608658,0.,0.242137053341462)); #198211=DIRECTION('center_axis',(0.,-1.,0.)); #198212=DIRECTION('ref_axis',(-0.97024205608658,0.,0.242137053341462)); #198213=DIRECTION('',(0.,-1.,0.)); #198214=DIRECTION('center_axis',(0.,-1.,0.)); #198215=DIRECTION('ref_axis',(-0.97024205608658,0.,0.242137053341462)); #198216=DIRECTION('center_axis',(0.,-1.,0.)); #198217=DIRECTION('ref_axis',(-0.898661205253991,0.,0.43864340662028)); #198218=DIRECTION('center_axis',(0.,-1.,0.)); #198219=DIRECTION('ref_axis',(-0.898661205253991,0.,0.43864340662028)); #198220=DIRECTION('',(0.,-1.,0.)); #198221=DIRECTION('center_axis',(0.,-1.,0.)); #198222=DIRECTION('ref_axis',(-0.898661205253991,0.,0.43864340662028)); #198223=DIRECTION('center_axis',(0.,-1.,0.)); #198224=DIRECTION('ref_axis',(-0.777952237595602,0.,0.628323416736951)); #198225=DIRECTION('center_axis',(0.,-1.,0.)); #198226=DIRECTION('ref_axis',(-0.777952237595602,0.,0.628323416736951)); #198227=DIRECTION('',(0.,-1.,0.)); #198228=DIRECTION('center_axis',(0.,-1.,0.)); #198229=DIRECTION('ref_axis',(-0.777952237595602,0.,0.628323416736951)); #198230=DIRECTION('center_axis',(0.,-1.,0.)); #198231=DIRECTION('ref_axis',(-0.408346088209043,0.,0.912827186407357)); #198232=DIRECTION('center_axis',(0.,-1.,0.)); #198233=DIRECTION('ref_axis',(-0.408346088209043,0.,0.912827186407357)); #198234=DIRECTION('',(0.,-1.,0.)); #198235=DIRECTION('center_axis',(0.,-1.,0.)); #198236=DIRECTION('ref_axis',(-0.408346088209043,0.,0.912827186407357)); #198237=DIRECTION('center_axis',(0.,-1.,0.)); #198238=DIRECTION('ref_axis',(0.0032395481187838,0.,0.999994752650226)); #198239=DIRECTION('center_axis',(0.,-1.,0.)); #198240=DIRECTION('ref_axis',(0.0032395481187838,0.,0.999994752650226)); #198241=DIRECTION('',(0.,-1.,0.)); #198242=DIRECTION('center_axis',(0.,-1.,0.)); #198243=DIRECTION('ref_axis',(0.0032395481187838,0.,0.999994752650226)); #198244=DIRECTION('center_axis',(0.,-1.,0.)); #198245=DIRECTION('ref_axis',(0.78097686742159,0.,0.62455995112748)); #198246=DIRECTION('center_axis',(0.,-1.,0.)); #198247=DIRECTION('ref_axis',(0.78097686742159,0.,0.62455995112748)); #198248=DIRECTION('',(0.,-1.,0.)); #198249=DIRECTION('center_axis',(0.,-1.,0.)); #198250=DIRECTION('ref_axis',(0.78097686742159,0.,0.62455995112748)); #198251=DIRECTION('center_axis',(0.,-1.,0.)); #198252=DIRECTION('ref_axis',(0.998737239183927,0.,-0.0502387008915242)); #198253=DIRECTION('center_axis',(0.,-1.,0.)); #198254=DIRECTION('ref_axis',(0.998737239183927,0.,-0.0502387008915242)); #198255=DIRECTION('center_axis',(0.,-1.,0.)); #198256=DIRECTION('ref_axis',(0.998737239183927,0.,-0.0502387008915242)); #198257=DIRECTION('center_axis',(0.,1.,0.)); #198258=DIRECTION('ref_axis',(1.,0.,0.)); #198259=DIRECTION('center_axis',(0.,-1.,0.)); #198260=DIRECTION('ref_axis',(0.999969338394829,0.,0.00783085373433998)); #198261=DIRECTION('center_axis',(0.,1.,0.)); #198262=DIRECTION('ref_axis',(0.999969338394829,0.,0.00783085373433998)); #198263=DIRECTION('',(0.,-1.,0.)); #198264=DIRECTION('center_axis',(0.,1.,0.)); #198265=DIRECTION('ref_axis',(0.999969338394829,0.,0.00783085373433998)); #198266=DIRECTION('',(0.,-1.,0.)); #198267=DIRECTION('center_axis',(-1.,0.,0.)); #198268=DIRECTION('ref_axis',(0.,0.,-1.)); #198269=DIRECTION('',(0.,0.,-1.)); #198270=DIRECTION('',(0.,-1.,0.)); #198271=DIRECTION('',(0.,0.,-1.)); #198272=DIRECTION('center_axis',(0.,-1.,0.)); #198273=DIRECTION('ref_axis',(0.88410518666744,0.,0.467287940040967)); #198274=DIRECTION('center_axis',(0.,1.,0.)); #198275=DIRECTION('ref_axis',(0.88410518666744,0.,0.467287940040967)); #198276=DIRECTION('',(0.,-1.,0.)); #198277=DIRECTION('center_axis',(0.,1.,0.)); #198278=DIRECTION('ref_axis',(0.88410518666744,0.,0.467287940040967)); #198279=DIRECTION('center_axis',(0.,-1.,0.)); #198280=DIRECTION('ref_axis',(0.0485672230005507,0.,0.998819916126033)); #198281=DIRECTION('center_axis',(0.,1.,0.)); #198282=DIRECTION('ref_axis',(0.0485672230005507,0.,0.998819916126033)); #198283=DIRECTION('',(0.,-1.,0.)); #198284=DIRECTION('center_axis',(0.,1.,0.)); #198285=DIRECTION('ref_axis',(0.0485672230005507,0.,0.998819916126033)); #198286=DIRECTION('center_axis',(0.,-1.,0.)); #198287=DIRECTION('ref_axis',(-0.525945367751215,0.,0.850518353794343)); #198288=DIRECTION('center_axis',(0.,1.,0.)); #198289=DIRECTION('ref_axis',(-0.525945367751215,0.,0.850518353794343)); #198290=DIRECTION('',(0.,-1.,0.)); #198291=DIRECTION('center_axis',(0.,1.,0.)); #198292=DIRECTION('ref_axis',(-0.525945367751215,0.,0.850518353794343)); #198293=DIRECTION('center_axis',(0.,-1.,0.)); #198294=DIRECTION('ref_axis',(-0.809692835349374,0.,0.586853910597766)); #198295=DIRECTION('center_axis',(0.,1.,0.)); #198296=DIRECTION('ref_axis',(-0.809692835349374,0.,0.586853910597766)); #198297=DIRECTION('',(0.,-1.,0.)); #198298=DIRECTION('center_axis',(0.,1.,0.)); #198299=DIRECTION('ref_axis',(-0.809692835349374,0.,0.586853910597766)); #198300=DIRECTION('center_axis',(0.,-1.,0.)); #198301=DIRECTION('ref_axis',(-0.959172340435988,0.,0.282822243365952)); #198302=DIRECTION('center_axis',(0.,1.,0.)); #198303=DIRECTION('ref_axis',(-0.959172340435988,0.,0.282822243365952)); #198304=DIRECTION('',(0.,-1.,0.)); #198305=DIRECTION('center_axis',(0.,1.,0.)); #198306=DIRECTION('ref_axis',(-0.959172340435988,0.,0.282822243365952)); #198307=DIRECTION('center_axis',(0.,-1.,0.)); #198308=DIRECTION('ref_axis',(-0.999971905080859,0.,-0.00749593549576469)); #198309=DIRECTION('center_axis',(0.,1.,0.)); #198310=DIRECTION('ref_axis',(-0.999971905080859,0.,-0.00749593549576469)); #198311=DIRECTION('',(0.,-1.,0.)); #198312=DIRECTION('center_axis',(0.,1.,0.)); #198313=DIRECTION('ref_axis',(-0.999971905080859,0.,-0.00749593549576469)); #198314=DIRECTION('center_axis',(1.,0.,0.)); #198315=DIRECTION('ref_axis',(0.,0.,1.)); #198316=DIRECTION('',(0.,0.,1.)); #198317=DIRECTION('',(0.,-1.,0.)); #198318=DIRECTION('',(0.,0.,1.)); #198319=DIRECTION('center_axis',(0.,-1.,0.)); #198320=DIRECTION('ref_axis',(-0.883604627567053,0.,-0.468233768690479)); #198321=DIRECTION('center_axis',(0.,1.,0.)); #198322=DIRECTION('ref_axis',(-0.883604627567053,0.,-0.468233768690479)); #198323=DIRECTION('',(0.,-1.,0.)); #198324=DIRECTION('center_axis',(0.,1.,0.)); #198325=DIRECTION('ref_axis',(-0.883604627567053,0.,-0.468233768690479)); #198326=DIRECTION('center_axis',(0.,-1.,0.)); #198327=DIRECTION('ref_axis',(-0.0456275759808313,0.,-0.998958519814568)); #198328=DIRECTION('center_axis',(0.,1.,0.)); #198329=DIRECTION('ref_axis',(-0.0456275759808313,0.,-0.998958519814568)); #198330=DIRECTION('',(0.,-1.,0.)); #198331=DIRECTION('center_axis',(0.,1.,0.)); #198332=DIRECTION('ref_axis',(-0.0456275759808313,0.,-0.998958519814568)); #198333=DIRECTION('center_axis',(0.,-1.,0.)); #198334=DIRECTION('ref_axis',(0.516054751357638,0.,-0.856555598663161)); #198335=DIRECTION('center_axis',(0.,1.,0.)); #198336=DIRECTION('ref_axis',(0.516054751357638,0.,-0.856555598663161)); #198337=DIRECTION('',(0.,-1.,0.)); #198338=DIRECTION('center_axis',(0.,1.,0.)); #198339=DIRECTION('ref_axis',(0.516054751357638,0.,-0.856555598663161)); #198340=DIRECTION('center_axis',(0.,-1.,0.)); #198341=DIRECTION('ref_axis',(0.808374570092827,0.,-0.588668458835054)); #198342=DIRECTION('center_axis',(0.,1.,0.)); #198343=DIRECTION('ref_axis',(0.808374570092827,0.,-0.588668458835054)); #198344=DIRECTION('',(0.,-1.,0.)); #198345=DIRECTION('center_axis',(0.,1.,0.)); #198346=DIRECTION('ref_axis',(0.808374570092827,0.,-0.588668458835054)); #198347=DIRECTION('center_axis',(0.,-1.,0.)); #198348=DIRECTION('ref_axis',(0.95852236839735,0.,-0.28501731400379)); #198349=DIRECTION('center_axis',(0.,1.,0.)); #198350=DIRECTION('ref_axis',(0.95852236839735,0.,-0.28501731400379)); #198351=DIRECTION('center_axis',(0.,1.,0.)); #198352=DIRECTION('ref_axis',(0.95852236839735,0.,-0.28501731400379)); #198353=DIRECTION('center_axis',(0.,-1.,0.)); #198354=DIRECTION('ref_axis',(-0.998706512350453,0.,0.0508458669784819)); #198355=DIRECTION('center_axis',(0.,-1.,0.)); #198356=DIRECTION('ref_axis',(-0.998706512350453,0.,0.0508458669784819)); #198357=DIRECTION('',(0.,-1.,0.)); #198358=DIRECTION('center_axis',(0.,-1.,0.)); #198359=DIRECTION('ref_axis',(-0.998706512350453,0.,0.0508458669784819)); #198360=DIRECTION('',(0.,-1.,0.)); #198361=DIRECTION('center_axis',(-1.,0.,0.)); #198362=DIRECTION('ref_axis',(0.,0.,-1.)); #198363=DIRECTION('',(0.,0.,-1.)); #198364=DIRECTION('',(0.,-1.,0.)); #198365=DIRECTION('',(0.,0.,-1.)); #198366=DIRECTION('center_axis',(0.,-1.,0.)); #198367=DIRECTION('ref_axis',(-0.970227643409961,0.,0.242194797555919)); #198368=DIRECTION('center_axis',(0.,-1.,0.)); #198369=DIRECTION('ref_axis',(-0.970227643409961,0.,0.242194797555919)); #198370=DIRECTION('',(0.,-1.,0.)); #198371=DIRECTION('center_axis',(0.,-1.,0.)); #198372=DIRECTION('ref_axis',(-0.970227643409961,0.,0.242194797555919)); #198373=DIRECTION('center_axis',(0.,-1.,0.)); #198374=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #198375=DIRECTION('center_axis',(0.,-1.,0.)); #198376=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #198377=DIRECTION('',(0.,-1.,0.)); #198378=DIRECTION('center_axis',(0.,-1.,0.)); #198379=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #198380=DIRECTION('center_axis',(0.,-1.,0.)); #198381=DIRECTION('ref_axis',(-0.777902944917569,0.,0.62838444306696)); #198382=DIRECTION('center_axis',(0.,-1.,0.)); #198383=DIRECTION('ref_axis',(-0.777902944917569,0.,0.62838444306696)); #198384=DIRECTION('',(0.,-1.,0.)); #198385=DIRECTION('center_axis',(0.,-1.,0.)); #198386=DIRECTION('ref_axis',(-0.777902944917569,0.,0.62838444306696)); #198387=DIRECTION('center_axis',(0.,-1.,0.)); #198388=DIRECTION('ref_axis',(-0.408387424118092,0.,0.912808693989157)); #198389=DIRECTION('center_axis',(0.,-1.,0.)); #198390=DIRECTION('ref_axis',(-0.408387424118092,0.,0.912808693989157)); #198391=DIRECTION('',(0.,-1.,0.)); #198392=DIRECTION('center_axis',(0.,-1.,0.)); #198393=DIRECTION('ref_axis',(-0.408387424118092,0.,0.912808693989157)); #198394=DIRECTION('center_axis',(0.,-1.,0.)); #198395=DIRECTION('ref_axis',(0.00325389039708078,0.,0.999994706084629)); #198396=DIRECTION('center_axis',(0.,-1.,0.)); #198397=DIRECTION('ref_axis',(0.00325389039708078,0.,0.999994706084629)); #198398=DIRECTION('',(0.,-1.,0.)); #198399=DIRECTION('center_axis',(0.,-1.,0.)); #198400=DIRECTION('ref_axis',(0.00325389039708078,0.,0.999994706084629)); #198401=DIRECTION('center_axis',(0.,-1.,0.)); #198402=DIRECTION('ref_axis',(0.78097381255195,0.,0.6245637710499)); #198403=DIRECTION('center_axis',(0.,-1.,0.)); #198404=DIRECTION('ref_axis',(0.78097381255195,0.,0.6245637710499)); #198405=DIRECTION('',(0.,-1.,0.)); #198406=DIRECTION('center_axis',(0.,-1.,0.)); #198407=DIRECTION('ref_axis',(0.78097381255195,0.,0.6245637710499)); #198408=DIRECTION('center_axis',(0.,-1.,0.)); #198409=DIRECTION('ref_axis',(0.99873733576848,0.,-0.0502367807694566)); #198410=DIRECTION('center_axis',(0.,-1.,0.)); #198411=DIRECTION('ref_axis',(0.99873733576848,0.,-0.0502367807694566)); #198412=DIRECTION('',(0.,-1.,0.)); #198413=DIRECTION('center_axis',(0.,-1.,0.)); #198414=DIRECTION('ref_axis',(0.99873733576848,0.,-0.0502367807694566)); #198415=DIRECTION('center_axis',(1.,0.,0.)); #198416=DIRECTION('ref_axis',(0.,0.,1.)); #198417=DIRECTION('',(0.,0.,1.)); #198418=DIRECTION('',(0.,-1.,0.)); #198419=DIRECTION('',(0.,0.,1.)); #198420=DIRECTION('center_axis',(0.,-1.,0.)); #198421=DIRECTION('ref_axis',(0.972823437862187,0.,-0.231548178023485)); #198422=DIRECTION('center_axis',(0.,-1.,0.)); #198423=DIRECTION('ref_axis',(0.972823437862187,0.,-0.231548178023485)); #198424=DIRECTION('',(0.,-1.,0.)); #198425=DIRECTION('center_axis',(0.,-1.,0.)); #198426=DIRECTION('ref_axis',(0.972823437862187,0.,-0.231548178023485)); #198427=DIRECTION('center_axis',(0.,-1.,0.)); #198428=DIRECTION('ref_axis',(0.901656865715589,0.,-0.432452189852173)); #198429=DIRECTION('center_axis',(0.,-1.,0.)); #198430=DIRECTION('ref_axis',(0.901656865715589,0.,-0.432452189852173)); #198431=DIRECTION('',(0.,-1.,0.)); #198432=DIRECTION('center_axis',(0.,-1.,0.)); #198433=DIRECTION('ref_axis',(0.901656865715589,0.,-0.432452189852173)); #198434=DIRECTION('center_axis',(0.,-1.,0.)); #198435=DIRECTION('ref_axis',(0.775881559402401,0.,-0.630878598289163)); #198436=DIRECTION('center_axis',(0.,-1.,0.)); #198437=DIRECTION('ref_axis',(0.775881559402401,0.,-0.630878598289163)); #198438=DIRECTION('',(0.,-1.,0.)); #198439=DIRECTION('center_axis',(0.,-1.,0.)); #198440=DIRECTION('ref_axis',(0.775881559402401,0.,-0.630878598289163)); #198441=DIRECTION('center_axis',(0.,-1.,0.)); #198442=DIRECTION('ref_axis',(0.411617789390735,0.,-0.911356568779248)); #198443=DIRECTION('center_axis',(0.,-1.,0.)); #198444=DIRECTION('ref_axis',(0.411617789390735,0.,-0.911356568779248)); #198445=DIRECTION('',(0.,-1.,0.)); #198446=DIRECTION('center_axis',(0.,-1.,0.)); #198447=DIRECTION('ref_axis',(0.411617789390735,0.,-0.911356568779248)); #198448=DIRECTION('center_axis',(0.,-1.,0.)); #198449=DIRECTION('ref_axis',(-0.00530866369464318,0.,-0.99998590894561)); #198450=DIRECTION('center_axis',(0.,-1.,0.)); #198451=DIRECTION('ref_axis',(-0.00530866369464318,0.,-0.99998590894561)); #198452=DIRECTION('',(0.,-1.,0.)); #198453=DIRECTION('center_axis',(0.,-1.,0.)); #198454=DIRECTION('ref_axis',(-0.00530866369464318,0.,-0.99998590894561)); #198455=DIRECTION('center_axis',(0.,-1.,0.)); #198456=DIRECTION('ref_axis',(-0.780973646137997,0.,-0.624563979138986)); #198457=DIRECTION('center_axis',(0.,-1.,0.)); #198458=DIRECTION('ref_axis',(-0.780973646137997,0.,-0.624563979138986)); #198459=DIRECTION('center_axis',(0.,-1.,0.)); #198460=DIRECTION('ref_axis',(-0.780973646137997,0.,-0.624563979138986)); #198461=DIRECTION('center_axis',(0.,1.,0.)); #198462=DIRECTION('ref_axis',(1.,0.,0.)); #198463=DIRECTION('center_axis',(0.,-1.,0.)); #198464=DIRECTION('ref_axis',(0.95851780104069,0.,-0.285032673720261)); #198465=DIRECTION('center_axis',(0.,1.,0.)); #198466=DIRECTION('ref_axis',(0.95851780104069,0.,-0.285032673720261)); #198467=DIRECTION('',(0.,-1.,0.)); #198468=DIRECTION('center_axis',(0.,1.,0.)); #198469=DIRECTION('ref_axis',(0.95851780104069,0.,-0.285032673720261)); #198470=DIRECTION('',(0.,-1.,0.)); #198471=DIRECTION('center_axis',(0.,-1.,0.)); #198472=DIRECTION('ref_axis',(0.999969289556941,0.,0.00783708765980516)); #198473=DIRECTION('center_axis',(0.,1.,0.)); #198474=DIRECTION('ref_axis',(0.999969289556941,0.,0.00783708765980516)); #198475=DIRECTION('',(0.,-1.,0.)); #198476=DIRECTION('center_axis',(0.,1.,0.)); #198477=DIRECTION('ref_axis',(0.999969289556941,0.,0.00783708765980516)); #198478=DIRECTION('center_axis',(-1.,0.,0.)); #198479=DIRECTION('ref_axis',(0.,0.,-1.)); #198480=DIRECTION('',(0.,0.,-1.)); #198481=DIRECTION('',(0.,-1.,0.)); #198482=DIRECTION('',(0.,0.,-1.)); #198483=DIRECTION('center_axis',(0.,-1.,0.)); #198484=DIRECTION('ref_axis',(0.88410704307383,0.,0.467284427717476)); #198485=DIRECTION('center_axis',(0.,1.,0.)); #198486=DIRECTION('ref_axis',(0.88410704307383,0.,0.467284427717476)); #198487=DIRECTION('',(0.,-1.,0.)); #198488=DIRECTION('center_axis',(0.,1.,0.)); #198489=DIRECTION('ref_axis',(0.88410704307383,0.,0.467284427717476)); #198490=DIRECTION('center_axis',(0.,-1.,0.)); #198491=DIRECTION('ref_axis',(0.0485642805222217,0.,0.998820059198532)); #198492=DIRECTION('center_axis',(0.,1.,0.)); #198493=DIRECTION('ref_axis',(0.0485642805222217,0.,0.998820059198532)); #198494=DIRECTION('',(0.,-1.,0.)); #198495=DIRECTION('center_axis',(0.,1.,0.)); #198496=DIRECTION('ref_axis',(0.0485642805222217,0.,0.998820059198532)); #198497=DIRECTION('center_axis',(0.,-1.,0.)); #198498=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #198499=DIRECTION('center_axis',(0.,1.,0.)); #198500=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #198501=DIRECTION('',(0.,-1.,0.)); #198502=DIRECTION('center_axis',(0.,1.,0.)); #198503=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #198504=DIRECTION('center_axis',(0.,-1.,0.)); #198505=DIRECTION('ref_axis',(-0.809641200433511,0.,0.586925145619595)); #198506=DIRECTION('center_axis',(0.,1.,0.)); #198507=DIRECTION('ref_axis',(-0.809641200433511,0.,0.586925145619595)); #198508=DIRECTION('',(0.,-1.,0.)); #198509=DIRECTION('center_axis',(0.,1.,0.)); #198510=DIRECTION('ref_axis',(-0.809641200433511,0.,0.586925145619595)); #198511=DIRECTION('center_axis',(0.,-1.,0.)); #198512=DIRECTION('ref_axis',(-0.959189471084622,0.,0.28276413945266)); #198513=DIRECTION('center_axis',(0.,1.,0.)); #198514=DIRECTION('ref_axis',(-0.959189471084622,0.,0.28276413945266)); #198515=DIRECTION('',(0.,-1.,0.)); #198516=DIRECTION('center_axis',(0.,1.,0.)); #198517=DIRECTION('ref_axis',(-0.959189471084622,0.,0.28276413945266)); #198518=DIRECTION('center_axis',(0.,-1.,0.)); #198519=DIRECTION('ref_axis',(-0.999972230532656,0.,-0.00745239314213204)); #198520=DIRECTION('center_axis',(0.,1.,0.)); #198521=DIRECTION('ref_axis',(-0.999972230532656,0.,-0.00745239314213204)); #198522=DIRECTION('',(0.,-1.,0.)); #198523=DIRECTION('center_axis',(0.,1.,0.)); #198524=DIRECTION('ref_axis',(-0.999972230532656,0.,-0.00745239314213204)); #198525=DIRECTION('center_axis',(1.,0.,0.)); #198526=DIRECTION('ref_axis',(0.,0.,1.)); #198527=DIRECTION('',(0.,0.,1.)); #198528=DIRECTION('',(0.,-1.,0.)); #198529=DIRECTION('',(0.,0.,1.)); #198530=DIRECTION('center_axis',(0.,-1.,0.)); #198531=DIRECTION('ref_axis',(-0.883602111866364,0.,-0.468238516042093)); #198532=DIRECTION('center_axis',(0.,1.,0.)); #198533=DIRECTION('ref_axis',(-0.883602111866364,0.,-0.468238516042093)); #198534=DIRECTION('',(0.,-1.,0.)); #198535=DIRECTION('center_axis',(0.,1.,0.)); #198536=DIRECTION('ref_axis',(-0.883602111866364,0.,-0.468238516042093)); #198537=DIRECTION('center_axis',(0.,-1.,0.)); #198538=DIRECTION('ref_axis',(-0.0456071347174869,0.,-0.998959453262674)); #198539=DIRECTION('center_axis',(0.,1.,0.)); #198540=DIRECTION('ref_axis',(-0.0456071347174869,0.,-0.998959453262674)); #198541=DIRECTION('',(0.,-1.,0.)); #198542=DIRECTION('center_axis',(0.,1.,0.)); #198543=DIRECTION('ref_axis',(-0.0456071347174869,0.,-0.998959453262674)); #198544=DIRECTION('center_axis',(0.,-1.,0.)); #198545=DIRECTION('ref_axis',(0.516052346956036,0.,-0.856557047254394)); #198546=DIRECTION('center_axis',(0.,1.,0.)); #198547=DIRECTION('ref_axis',(0.516052346956036,0.,-0.856557047254394)); #198548=DIRECTION('',(0.,-1.,0.)); #198549=DIRECTION('center_axis',(0.,1.,0.)); #198550=DIRECTION('ref_axis',(0.516052346956036,0.,-0.856557047254394)); #198551=DIRECTION('center_axis',(0.,-1.,0.)); #198552=DIRECTION('ref_axis',(0.808380945326365,0.,-0.588659704101829)); #198553=DIRECTION('center_axis',(0.,1.,0.)); #198554=DIRECTION('ref_axis',(0.808380945326365,0.,-0.588659704101829)); #198555=DIRECTION('center_axis',(0.,1.,0.)); #198556=DIRECTION('ref_axis',(0.808380945326365,0.,-0.588659704101829)); #198557=DIRECTION('center_axis',(1.,0.,0.)); #198558=DIRECTION('ref_axis',(0.,0.,1.)); #198559=DIRECTION('',(0.,0.,1.)); #198560=DIRECTION('',(0.,-1.,0.)); #198561=DIRECTION('',(0.,0.,1.)); #198562=DIRECTION('',(0.,-1.,0.)); #198563=DIRECTION('center_axis',(0.,-1.,0.)); #198564=DIRECTION('ref_axis',(0.972809034860855,0.,-0.231608682248941)); #198565=DIRECTION('center_axis',(0.,-1.,0.)); #198566=DIRECTION('ref_axis',(0.972809034860855,0.,-0.231608682248941)); #198567=DIRECTION('',(0.,-1.,0.)); #198568=DIRECTION('center_axis',(0.,-1.,0.)); #198569=DIRECTION('ref_axis',(0.972809034860855,0.,-0.231608682248941)); #198570=DIRECTION('center_axis',(0.,-1.,0.)); #198571=DIRECTION('ref_axis',(0.901649173259214,0.,-0.432468228152052)); #198572=DIRECTION('center_axis',(0.,-1.,0.)); #198573=DIRECTION('ref_axis',(0.901649173259214,0.,-0.432468228152052)); #198574=DIRECTION('',(0.,-1.,0.)); #198575=DIRECTION('center_axis',(0.,-1.,0.)); #198576=DIRECTION('ref_axis',(0.901649173259214,0.,-0.432468228152052)); #198577=DIRECTION('center_axis',(0.,-1.,0.)); #198578=DIRECTION('ref_axis',(0.775917317629657,0.,-0.630834618741234)); #198579=DIRECTION('center_axis',(0.,-1.,0.)); #198580=DIRECTION('ref_axis',(0.775917317629657,0.,-0.630834618741234)); #198581=DIRECTION('',(0.,-1.,0.)); #198582=DIRECTION('center_axis',(0.,-1.,0.)); #198583=DIRECTION('ref_axis',(0.775917317629657,0.,-0.630834618741234)); #198584=DIRECTION('center_axis',(0.,-1.,0.)); #198585=DIRECTION('ref_axis',(0.411573590181609,0.,-0.911376530236006)); #198586=DIRECTION('center_axis',(0.,-1.,0.)); #198587=DIRECTION('ref_axis',(0.411573590181609,0.,-0.911376530236006)); #198588=DIRECTION('',(0.,-1.,0.)); #198589=DIRECTION('center_axis',(0.,-1.,0.)); #198590=DIRECTION('ref_axis',(0.411573590181609,0.,-0.911376530236006)); #198591=DIRECTION('center_axis',(0.,-1.,0.)); #198592=DIRECTION('ref_axis',(-0.00530866369468182,0.,-0.999985908945609)); #198593=DIRECTION('center_axis',(0.,-1.,0.)); #198594=DIRECTION('ref_axis',(-0.00530866369468182,0.,-0.999985908945609)); #198595=DIRECTION('',(0.,-1.,0.)); #198596=DIRECTION('center_axis',(0.,-1.,0.)); #198597=DIRECTION('ref_axis',(-0.00530866369468182,0.,-0.999985908945609)); #198598=DIRECTION('center_axis',(0.,-1.,0.)); #198599=DIRECTION('ref_axis',(-0.780973646138019,0.,-0.624563979138958)); #198600=DIRECTION('center_axis',(0.,-1.,0.)); #198601=DIRECTION('ref_axis',(-0.780973646138019,0.,-0.624563979138958)); #198602=DIRECTION('',(0.,-1.,0.)); #198603=DIRECTION('center_axis',(0.,-1.,0.)); #198604=DIRECTION('ref_axis',(-0.780973646138019,0.,-0.624563979138958)); #198605=DIRECTION('center_axis',(0.,-1.,0.)); #198606=DIRECTION('ref_axis',(-0.99870543956544,0.,0.0508669340770671)); #198607=DIRECTION('center_axis',(0.,-1.,0.)); #198608=DIRECTION('ref_axis',(-0.99870543956544,0.,0.0508669340770671)); #198609=DIRECTION('',(0.,-1.,0.)); #198610=DIRECTION('center_axis',(0.,-1.,0.)); #198611=DIRECTION('ref_axis',(-0.99870543956544,0.,0.0508669340770671)); #198612=DIRECTION('center_axis',(-1.,0.,0.)); #198613=DIRECTION('ref_axis',(0.,0.,-1.)); #198614=DIRECTION('',(0.,0.,-1.)); #198615=DIRECTION('',(0.,-1.,0.)); #198616=DIRECTION('',(0.,0.,-1.)); #198617=DIRECTION('center_axis',(0.,-1.,0.)); #198618=DIRECTION('ref_axis',(-0.970225963512115,0.,0.242201527094666)); #198619=DIRECTION('center_axis',(0.,-1.,0.)); #198620=DIRECTION('ref_axis',(-0.970225963512115,0.,0.242201527094666)); #198621=DIRECTION('',(0.,-1.,0.)); #198622=DIRECTION('center_axis',(0.,-1.,0.)); #198623=DIRECTION('ref_axis',(-0.970225963512115,0.,0.242201527094666)); #198624=DIRECTION('center_axis',(0.,-1.,0.)); #198625=DIRECTION('ref_axis',(-0.898691269715172,0.,0.438581807349247)); #198626=DIRECTION('center_axis',(0.,-1.,0.)); #198627=DIRECTION('ref_axis',(-0.898691269715172,0.,0.438581807349247)); #198628=DIRECTION('',(0.,-1.,0.)); #198629=DIRECTION('center_axis',(0.,-1.,0.)); #198630=DIRECTION('ref_axis',(-0.898691269715172,0.,0.438581807349247)); #198631=DIRECTION('center_axis',(0.,-1.,0.)); #198632=DIRECTION('ref_axis',(-0.777902944917716,0.,0.628384443066778)); #198633=DIRECTION('center_axis',(0.,-1.,0.)); #198634=DIRECTION('ref_axis',(-0.777902944917716,0.,0.628384443066778)); #198635=DIRECTION('',(0.,-1.,0.)); #198636=DIRECTION('center_axis',(0.,-1.,0.)); #198637=DIRECTION('ref_axis',(-0.777902944917716,0.,0.628384443066778)); #198638=DIRECTION('center_axis',(0.,-1.,0.)); #198639=DIRECTION('ref_axis',(-0.408392953212,0.,0.91280622027174)); #198640=DIRECTION('center_axis',(0.,-1.,0.)); #198641=DIRECTION('ref_axis',(-0.408392953212,0.,0.91280622027174)); #198642=DIRECTION('',(0.,-1.,0.)); #198643=DIRECTION('center_axis',(0.,-1.,0.)); #198644=DIRECTION('ref_axis',(-0.408392953212,0.,0.91280622027174)); #198645=DIRECTION('center_axis',(0.,-1.,0.)); #198646=DIRECTION('ref_axis',(0.00325966524492278,0.,0.999994687277133)); #198647=DIRECTION('center_axis',(0.,-1.,0.)); #198648=DIRECTION('ref_axis',(0.00325966524492278,0.,0.999994687277133)); #198649=DIRECTION('',(0.,-1.,0.)); #198650=DIRECTION('center_axis',(0.,-1.,0.)); #198651=DIRECTION('ref_axis',(0.00325966524492278,0.,0.999994687277133)); #198652=DIRECTION('center_axis',(0.,-1.,0.)); #198653=DIRECTION('ref_axis',(0.780976867421805,0.,0.624559951127212)); #198654=DIRECTION('center_axis',(0.,-1.,0.)); #198655=DIRECTION('ref_axis',(0.780976867421805,0.,0.624559951127212)); #198656=DIRECTION('',(0.,-1.,0.)); #198657=DIRECTION('center_axis',(0.,-1.,0.)); #198658=DIRECTION('ref_axis',(0.780976867421805,0.,0.624559951127212)); #198659=DIRECTION('center_axis',(0.,-1.,0.)); #198660=DIRECTION('ref_axis',(0.998737294282735,0.,-0.050237605524174)); #198661=DIRECTION('center_axis',(0.,-1.,0.)); #198662=DIRECTION('ref_axis',(0.998737294282735,0.,-0.050237605524174)); #198663=DIRECTION('center_axis',(0.,-1.,0.)); #198664=DIRECTION('ref_axis',(0.998737294282735,0.,-0.050237605524174)); #198665=DIRECTION('center_axis',(0.,1.,0.)); #198666=DIRECTION('ref_axis',(1.,0.,0.)); #198667=DIRECTION('center_axis',(0.,-1.,0.)); #198668=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #198669=DIRECTION('center_axis',(0.,1.,0.)); #198670=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #198671=DIRECTION('',(0.,-1.,0.)); #198672=DIRECTION('center_axis',(0.,1.,0.)); #198673=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #198674=DIRECTION('',(0.,-1.,0.)); #198675=DIRECTION('center_axis',(0.,-1.,0.)); #198676=DIRECTION('ref_axis',(-0.809707803621487,0.,0.586833258050758)); #198677=DIRECTION('center_axis',(0.,1.,0.)); #198678=DIRECTION('ref_axis',(-0.809707803621487,0.,0.586833258050758)); #198679=DIRECTION('',(0.,-1.,0.)); #198680=DIRECTION('center_axis',(0.,1.,0.)); #198681=DIRECTION('ref_axis',(-0.809707803621487,0.,0.586833258050758)); #198682=DIRECTION('center_axis',(0.,-1.,0.)); #198683=DIRECTION('ref_axis',(-0.959173778989107,0.,0.282817364565465)); #198684=DIRECTION('center_axis',(0.,1.,0.)); #198685=DIRECTION('ref_axis',(-0.959173778989107,0.,0.282817364565465)); #198686=DIRECTION('',(0.,-1.,0.)); #198687=DIRECTION('center_axis',(0.,1.,0.)); #198688=DIRECTION('ref_axis',(-0.959173778989107,0.,0.282817364565465)); #198689=DIRECTION('center_axis',(0.,-1.,0.)); #198690=DIRECTION('ref_axis',(-0.999971924944523,0.,-0.00749328517712892)); #198691=DIRECTION('center_axis',(0.,1.,0.)); #198692=DIRECTION('ref_axis',(-0.999971924944523,0.,-0.00749328517712892)); #198693=DIRECTION('',(0.,-1.,0.)); #198694=DIRECTION('center_axis',(0.,1.,0.)); #198695=DIRECTION('ref_axis',(-0.999971924944523,0.,-0.00749328517712892)); #198696=DIRECTION('center_axis',(1.,0.,0.)); #198697=DIRECTION('ref_axis',(0.,0.,1.)); #198698=DIRECTION('',(0.,0.,1.)); #198699=DIRECTION('',(0.,-1.,0.)); #198700=DIRECTION('',(0.,0.,1.)); #198701=DIRECTION('center_axis',(0.,-1.,0.)); #198702=DIRECTION('ref_axis',(-0.883603365112162,0.,-0.468236151063182)); #198703=DIRECTION('center_axis',(0.,1.,0.)); #198704=DIRECTION('ref_axis',(-0.883603365112162,0.,-0.468236151063182)); #198705=DIRECTION('',(0.,-1.,0.)); #198706=DIRECTION('center_axis',(0.,1.,0.)); #198707=DIRECTION('ref_axis',(-0.883603365112162,0.,-0.468236151063182)); #198708=DIRECTION('center_axis',(0.,-1.,0.)); #198709=DIRECTION('ref_axis',(-0.0456278179627692,0.,-0.998958508761979)); #198710=DIRECTION('center_axis',(0.,1.,0.)); #198711=DIRECTION('ref_axis',(-0.0456278179627692,0.,-0.998958508761979)); #198712=DIRECTION('',(0.,-1.,0.)); #198713=DIRECTION('center_axis',(0.,1.,0.)); #198714=DIRECTION('ref_axis',(-0.0456278179627692,0.,-0.998958508761979)); #198715=DIRECTION('center_axis',(0.,-1.,0.)); #198716=DIRECTION('ref_axis',(0.516048951634303,0.,-0.85655909283431)); #198717=DIRECTION('center_axis',(0.,1.,0.)); #198718=DIRECTION('ref_axis',(0.516048951634303,0.,-0.85655909283431)); #198719=DIRECTION('',(0.,-1.,0.)); #198720=DIRECTION('center_axis',(0.,1.,0.)); #198721=DIRECTION('ref_axis',(0.516048951634303,0.,-0.85655909283431)); #198722=DIRECTION('center_axis',(0.,-1.,0.)); #198723=DIRECTION('ref_axis',(0.808380945326227,0.,-0.588659704102019)); #198724=DIRECTION('center_axis',(0.,1.,0.)); #198725=DIRECTION('ref_axis',(0.808380945326227,0.,-0.588659704102019)); #198726=DIRECTION('',(0.,-1.,0.)); #198727=DIRECTION('center_axis',(0.,1.,0.)); #198728=DIRECTION('ref_axis',(0.808380945326227,0.,-0.588659704102019)); #198729=DIRECTION('center_axis',(0.,-1.,0.)); #198730=DIRECTION('ref_axis',(0.958519069709798,0.,-0.285028407360851)); #198731=DIRECTION('center_axis',(0.,1.,0.)); #198732=DIRECTION('ref_axis',(0.958519069709798,0.,-0.285028407360851)); #198733=DIRECTION('',(0.,-1.,0.)); #198734=DIRECTION('center_axis',(0.,1.,0.)); #198735=DIRECTION('ref_axis',(0.958519069709798,0.,-0.285028407360851)); #198736=DIRECTION('center_axis',(0.,-1.,0.)); #198737=DIRECTION('ref_axis',(0.999969373248797,0.,0.00782640175362121)); #198738=DIRECTION('center_axis',(0.,1.,0.)); #198739=DIRECTION('ref_axis',(0.999969373248797,0.,0.00782640175362121)); #198740=DIRECTION('',(0.,-1.,0.)); #198741=DIRECTION('center_axis',(0.,1.,0.)); #198742=DIRECTION('ref_axis',(0.999969373248797,0.,0.00782640175362121)); #198743=DIRECTION('center_axis',(-1.,0.,0.)); #198744=DIRECTION('ref_axis',(0.,0.,-1.)); #198745=DIRECTION('',(0.,0.,-1.)); #198746=DIRECTION('',(0.,-1.,0.)); #198747=DIRECTION('',(0.,0.,-1.)); #198748=DIRECTION('center_axis',(0.,-1.,0.)); #198749=DIRECTION('ref_axis',(0.884105186667385,0.,0.467287940041072)); #198750=DIRECTION('center_axis',(0.,1.,0.)); #198751=DIRECTION('ref_axis',(0.884105186667385,0.,0.467287940041072)); #198752=DIRECTION('',(0.,-1.,0.)); #198753=DIRECTION('center_axis',(0.,1.,0.)); #198754=DIRECTION('ref_axis',(0.884105186667385,0.,0.467287940041072)); #198755=DIRECTION('center_axis',(0.,-1.,0.)); #198756=DIRECTION('ref_axis',(0.0485642805221734,0.,0.998820059198534)); #198757=DIRECTION('center_axis',(0.,1.,0.)); #198758=DIRECTION('ref_axis',(0.0485642805221734,0.,0.998820059198534)); #198759=DIRECTION('center_axis',(0.,1.,0.)); #198760=DIRECTION('ref_axis',(0.0485642805221734,0.,0.998820059198534)); #198761=DIRECTION('center_axis',(1.,0.,0.)); #198762=DIRECTION('ref_axis',(0.,0.,1.)); #198763=DIRECTION('',(0.,0.,1.)); #198764=DIRECTION('',(0.,-1.,0.)); #198765=DIRECTION('',(0.,0.,1.)); #198766=DIRECTION('',(0.,-1.,0.)); #198767=DIRECTION('center_axis',(0.,-1.,0.)); #198768=DIRECTION('ref_axis',(0.972821837704928,0.,-0.231554900799801)); #198769=DIRECTION('center_axis',(0.,-1.,0.)); #198770=DIRECTION('ref_axis',(0.972821837704928,0.,-0.231554900799801)); #198771=DIRECTION('',(0.,-1.,0.)); #198772=DIRECTION('center_axis',(0.,-1.,0.)); #198773=DIRECTION('ref_axis',(0.972821837704928,0.,-0.231554900799801)); #198774=DIRECTION('center_axis',(0.,-1.,0.)); #198775=DIRECTION('ref_axis',(0.901658429966842,0.,-0.432448928394706)); #198776=DIRECTION('center_axis',(0.,-1.,0.)); #198777=DIRECTION('ref_axis',(0.901658429966842,0.,-0.432448928394706)); #198778=DIRECTION('',(0.,-1.,0.)); #198779=DIRECTION('center_axis',(0.,-1.,0.)); #198780=DIRECTION('ref_axis',(0.901658429966842,0.,-0.432448928394706)); #198781=DIRECTION('center_axis',(0.,-1.,0.)); #198782=DIRECTION('ref_axis',(0.775920904921878,0.,-0.630830206398848)); #198783=DIRECTION('center_axis',(0.,-1.,0.)); #198784=DIRECTION('ref_axis',(0.775920904921878,0.,-0.630830206398848)); #198785=DIRECTION('',(0.,-1.,0.)); #198786=DIRECTION('center_axis',(0.,-1.,0.)); #198787=DIRECTION('ref_axis',(0.775920904921878,0.,-0.630830206398848)); #198788=DIRECTION('center_axis',(0.,-1.,0.)); #198789=DIRECTION('ref_axis',(0.411573590181625,0.,-0.911376530235999)); #198790=DIRECTION('center_axis',(0.,-1.,0.)); #198791=DIRECTION('ref_axis',(0.411573590181625,0.,-0.911376530235999)); #198792=DIRECTION('',(0.,-1.,0.)); #198793=DIRECTION('center_axis',(0.,-1.,0.)); #198794=DIRECTION('ref_axis',(0.411573590181625,0.,-0.911376530235999)); #198795=DIRECTION('center_axis',(0.,-1.,0.)); #198796=DIRECTION('ref_axis',(-0.00531069943793159,0.,-0.999985898136309)); #198797=DIRECTION('center_axis',(0.,-1.,0.)); #198798=DIRECTION('ref_axis',(-0.00531069943793159,0.,-0.999985898136309)); #198799=DIRECTION('',(0.,-1.,0.)); #198800=DIRECTION('center_axis',(0.,-1.,0.)); #198801=DIRECTION('ref_axis',(-0.00531069943793159,0.,-0.999985898136309)); #198802=DIRECTION('center_axis',(0.,-1.,0.)); #198803=DIRECTION('ref_axis',(-0.780976712895749,0.,-0.624560144353249)); #198804=DIRECTION('center_axis',(0.,-1.,0.)); #198805=DIRECTION('ref_axis',(-0.780976712895749,0.,-0.624560144353249)); #198806=DIRECTION('',(0.,-1.,0.)); #198807=DIRECTION('center_axis',(0.,-1.,0.)); #198808=DIRECTION('ref_axis',(-0.780976712895749,0.,-0.624560144353249)); #198809=DIRECTION('center_axis',(0.,-1.,0.)); #198810=DIRECTION('ref_axis',(-0.998706414594902,0.,0.0508477870412871)); #198811=DIRECTION('center_axis',(0.,-1.,0.)); #198812=DIRECTION('ref_axis',(-0.998706414594902,0.,0.0508477870412871)); #198813=DIRECTION('',(0.,-1.,0.)); #198814=DIRECTION('center_axis',(0.,-1.,0.)); #198815=DIRECTION('ref_axis',(-0.998706414594902,0.,0.0508477870412871)); #198816=DIRECTION('center_axis',(-1.,0.,0.)); #198817=DIRECTION('ref_axis',(0.,0.,-1.)); #198818=DIRECTION('',(0.,0.,-1.)); #198819=DIRECTION('',(0.,-1.,0.)); #198820=DIRECTION('',(0.,0.,-1.)); #198821=DIRECTION('center_axis',(0.,-1.,0.)); #198822=DIRECTION('ref_axis',(-0.970227290892818,0.,0.242196209728361)); #198823=DIRECTION('center_axis',(0.,-1.,0.)); #198824=DIRECTION('ref_axis',(-0.970227290892818,0.,0.242196209728361)); #198825=DIRECTION('',(0.,-1.,0.)); #198826=DIRECTION('center_axis',(0.,-1.,0.)); #198827=DIRECTION('ref_axis',(-0.970227290892818,0.,0.242196209728361)); #198828=DIRECTION('center_axis',(0.,-1.,0.)); #198829=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #198830=DIRECTION('center_axis',(0.,-1.,0.)); #198831=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #198832=DIRECTION('',(0.,-1.,0.)); #198833=DIRECTION('center_axis',(0.,-1.,0.)); #198834=DIRECTION('ref_axis',(-0.898696230704788,0.,0.438571641715475)); #198835=DIRECTION('center_axis',(0.,-1.,0.)); #198836=DIRECTION('ref_axis',(-0.777906499234641,0.,0.628380043006226)); #198837=DIRECTION('center_axis',(0.,-1.,0.)); #198838=DIRECTION('ref_axis',(-0.777906499234641,0.,0.628380043006226)); #198839=DIRECTION('',(0.,-1.,0.)); #198840=DIRECTION('center_axis',(0.,-1.,0.)); #198841=DIRECTION('ref_axis',(-0.777906499234641,0.,0.628380043006226)); #198842=DIRECTION('center_axis',(0.,-1.,0.)); #198843=DIRECTION('ref_axis',(-0.408392953211837,0.,0.912806220271813)); #198844=DIRECTION('center_axis',(0.,-1.,0.)); #198845=DIRECTION('ref_axis',(-0.408392953211837,0.,0.912806220271813)); #198846=DIRECTION('',(0.,-1.,0.)); #198847=DIRECTION('center_axis',(0.,-1.,0.)); #198848=DIRECTION('ref_axis',(-0.408392953211837,0.,0.912806220271813)); #198849=DIRECTION('center_axis',(0.,-1.,0.)); #198850=DIRECTION('ref_axis',(0.00325100297110267,0.,0.999994715475878)); #198851=DIRECTION('center_axis',(0.,-1.,0.)); #198852=DIRECTION('ref_axis',(0.00325100297110267,0.,0.999994715475878)); #198853=DIRECTION('',(0.,-1.,0.)); #198854=DIRECTION('center_axis',(0.,-1.,0.)); #198855=DIRECTION('ref_axis',(0.00325100297110267,0.,0.999994715475878)); #198856=DIRECTION('center_axis',(0.,-1.,0.)); #198857=DIRECTION('ref_axis',(0.780975334046166,0.,0.62456186852183)); #198858=DIRECTION('center_axis',(0.,-1.,0.)); #198859=DIRECTION('ref_axis',(0.780975334046166,0.,0.62456186852183)); #198860=DIRECTION('',(0.,-1.,0.)); #198861=DIRECTION('center_axis',(0.,-1.,0.)); #198862=DIRECTION('ref_axis',(0.780975334046166,0.,0.62456186852183)); #198863=DIRECTION('center_axis',(0.,-1.,0.)); #198864=DIRECTION('ref_axis',(0.998737390865156,0.,-0.0502356854025186)); #198865=DIRECTION('center_axis',(0.,-1.,0.)); #198866=DIRECTION('ref_axis',(0.998737390865156,0.,-0.0502356854025186)); #198867=DIRECTION('center_axis',(0.,-1.,0.)); #198868=DIRECTION('ref_axis',(0.998737390865156,0.,-0.0502356854025186)); #198869=DIRECTION('center_axis',(0.,1.,0.)); #198870=DIRECTION('ref_axis',(1.,0.,0.)); #198871=DIRECTION('center_axis',(0.,-1.,0.)); #198872=DIRECTION('ref_axis',(0.999948442642932,0.,-0.0101544106660963)); #198873=DIRECTION('center_axis',(0.,-1.,0.)); #198874=DIRECTION('ref_axis',(0.999948442642932,0.,-0.0101544106660963)); #198875=DIRECTION('',(0.,-1.,0.)); #198876=DIRECTION('center_axis',(0.,-1.,0.)); #198877=DIRECTION('ref_axis',(0.999948442642932,0.,-0.0101544106660963)); #198878=DIRECTION('',(0.,-1.,0.)); #198879=DIRECTION('center_axis',(0.,-1.,0.)); #198880=DIRECTION('ref_axis',(0.674319089518626,0.,-0.738440089317185)); #198881=DIRECTION('center_axis',(0.,-1.,0.)); #198882=DIRECTION('ref_axis',(0.674319089518626,0.,-0.738440089317185)); #198883=DIRECTION('',(0.,-1.,0.)); #198884=DIRECTION('center_axis',(0.,-1.,0.)); #198885=DIRECTION('ref_axis',(0.674319089518626,0.,-0.738440089317185)); #198886=DIRECTION('center_axis',(0.,-1.,0.)); #198887=DIRECTION('ref_axis',(-8.18058040877633E-6,0.,-0.999999999966539)); #198888=DIRECTION('center_axis',(0.,-1.,0.)); #198889=DIRECTION('ref_axis',(-8.18058040877633E-6,0.,-0.999999999966539)); #198890=DIRECTION('',(0.,-1.,0.)); #198891=DIRECTION('center_axis',(0.,-1.,0.)); #198892=DIRECTION('ref_axis',(-8.18058040877633E-6,0.,-0.999999999966539)); #198893=DIRECTION('center_axis',(0.,-1.,0.)); #198894=DIRECTION('ref_axis',(-0.460175961145821,0.,-0.887827733731899)); #198895=DIRECTION('center_axis',(0.,-1.,0.)); #198896=DIRECTION('ref_axis',(-0.460175961145821,0.,-0.887827733731899)); #198897=DIRECTION('',(0.,-1.,0.)); #198898=DIRECTION('center_axis',(0.,-1.,0.)); #198899=DIRECTION('ref_axis',(-0.460175961145821,0.,-0.887827733731899)); #198900=DIRECTION('center_axis',(0.,-1.,0.)); #198901=DIRECTION('ref_axis',(-0.837479010479086,0.,-0.546469493207965)); #198902=DIRECTION('center_axis',(0.,-1.,0.)); #198903=DIRECTION('ref_axis',(-0.837479010479086,0.,-0.546469493207965)); #198904=DIRECTION('',(0.,-1.,0.)); #198905=DIRECTION('center_axis',(0.,-1.,0.)); #198906=DIRECTION('ref_axis',(-0.837479010479086,0.,-0.546469493207965)); #198907=DIRECTION('center_axis',(0.,-1.,0.)); #198908=DIRECTION('ref_axis',(-0.995095227113573,0.,-0.0989216304747738)); #198909=DIRECTION('center_axis',(0.,-1.,0.)); #198910=DIRECTION('ref_axis',(-0.995095227113573,0.,-0.0989216304747738)); #198911=DIRECTION('',(0.,-1.,0.)); #198912=DIRECTION('center_axis',(0.,-1.,0.)); #198913=DIRECTION('ref_axis',(-0.995095227113573,0.,-0.0989216304747738)); #198914=DIRECTION('center_axis',(0.,-1.,0.)); #198915=DIRECTION('ref_axis',(-0.704740125872595,0.,0.709465541788379)); #198916=DIRECTION('center_axis',(0.,-1.,0.)); #198917=DIRECTION('ref_axis',(-0.704740125872595,0.,0.709465541788379)); #198918=DIRECTION('',(0.,-1.,0.)); #198919=DIRECTION('center_axis',(0.,-1.,0.)); #198920=DIRECTION('ref_axis',(-0.704740125872595,0.,0.709465541788379)); #198921=DIRECTION('center_axis',(0.,-1.,0.)); #198922=DIRECTION('ref_axis',(0.00927112261795621,0.,0.999957022219157)); #198923=DIRECTION('center_axis',(0.,-1.,0.)); #198924=DIRECTION('ref_axis',(0.00927112261795621,0.,0.999957022219157)); #198925=DIRECTION('',(0.,-1.,0.)); #198926=DIRECTION('center_axis',(0.,-1.,0.)); #198927=DIRECTION('ref_axis',(0.00927112261795621,0.,0.999957022219157)); #198928=DIRECTION('center_axis',(0.,-1.,0.)); #198929=DIRECTION('ref_axis',(0.636776319481264,0.,0.771048584038577)); #198930=DIRECTION('center_axis',(0.,-1.,0.)); #198931=DIRECTION('ref_axis',(0.636776319481264,0.,0.771048584038577)); #198932=DIRECTION('',(0.,-1.,0.)); #198933=DIRECTION('center_axis',(0.,-1.,0.)); #198934=DIRECTION('ref_axis',(0.636776319481264,0.,0.771048584038577)); #198935=DIRECTION('center_axis',(0.,-1.,0.)); #198936=DIRECTION('ref_axis',(0.993018897485293,0.,0.117955369683168)); #198937=DIRECTION('center_axis',(0.,-1.,0.)); #198938=DIRECTION('ref_axis',(0.993018897485293,0.,0.117955369683168)); #198939=DIRECTION('',(0.,-1.,0.)); #198940=DIRECTION('center_axis',(0.,-1.,0.)); #198941=DIRECTION('ref_axis',(0.993018897485293,0.,0.117955369683168)); #198942=DIRECTION('center_axis',(0.,-1.,0.)); #198943=DIRECTION('ref_axis',(-0.582189431555074,0.,-0.813053175250906)); #198944=DIRECTION('center_axis',(0.,1.,0.)); #198945=DIRECTION('ref_axis',(-0.582189431555074,0.,-0.813053175250906)); #198946=DIRECTION('',(0.,-1.,0.)); #198947=DIRECTION('center_axis',(0.,1.,0.)); #198948=DIRECTION('ref_axis',(-0.582189431555074,0.,-0.813053175250906)); #198949=DIRECTION('center_axis',(0.,-1.,0.)); #198950=DIRECTION('ref_axis',(0.00638748267798723,0.,-0.999979599824436)); #198951=DIRECTION('center_axis',(0.,1.,0.)); #198952=DIRECTION('ref_axis',(0.00638748267798723,0.,-0.999979599824436)); #198953=DIRECTION('',(0.,-1.,0.)); #198954=DIRECTION('center_axis',(0.,1.,0.)); #198955=DIRECTION('ref_axis',(0.00638748267798723,0.,-0.999979599824436)); #198956=DIRECTION('center_axis',(0.,-1.,0.)); #198957=DIRECTION('ref_axis',(0.687007060387173,0.,-0.726650740712603)); #198958=DIRECTION('center_axis',(0.,1.,0.)); #198959=DIRECTION('ref_axis',(0.687007060387173,0.,-0.726650740712603)); #198960=DIRECTION('',(0.,-1.,0.)); #198961=DIRECTION('center_axis',(0.,1.,0.)); #198962=DIRECTION('ref_axis',(0.687007060387173,0.,-0.726650740712603)); #198963=DIRECTION('center_axis',(0.,-1.,0.)); #198964=DIRECTION('ref_axis',(0.999736575551938,0.,-0.0229516775788463)); #198965=DIRECTION('center_axis',(0.,1.,0.)); #198966=DIRECTION('ref_axis',(0.999736575551938,0.,-0.0229516775788463)); #198967=DIRECTION('',(0.,-1.,0.)); #198968=DIRECTION('center_axis',(0.,1.,0.)); #198969=DIRECTION('ref_axis',(0.999736575551938,0.,-0.0229516775788463)); #198970=DIRECTION('center_axis',(0.,-1.,0.)); #198971=DIRECTION('ref_axis',(0.928868932561958,0.,0.370408566479244)); #198972=DIRECTION('center_axis',(0.,1.,0.)); #198973=DIRECTION('ref_axis',(0.928868932561958,0.,0.370408566479244)); #198974=DIRECTION('',(0.,-1.,0.)); #198975=DIRECTION('center_axis',(0.,1.,0.)); #198976=DIRECTION('ref_axis',(0.928868932561958,0.,0.370408566479244)); #198977=DIRECTION('center_axis',(0.,-1.,0.)); #198978=DIRECTION('ref_axis',(0.755880714625413,0.,0.654709359378171)); #198979=DIRECTION('center_axis',(0.,1.,0.)); #198980=DIRECTION('ref_axis',(0.755880714625413,0.,0.654709359378171)); #198981=DIRECTION('',(0.,-1.,0.)); #198982=DIRECTION('center_axis',(0.,1.,0.)); #198983=DIRECTION('ref_axis',(0.755880714625413,0.,0.654709359378171)); #198984=DIRECTION('center_axis',(0.,-1.,0.)); #198985=DIRECTION('ref_axis',(0.683919079242777,0.,0.729557875050165)); #198986=DIRECTION('center_axis',(0.,1.,0.)); #198987=DIRECTION('ref_axis',(0.683919079242777,0.,0.729557875050165)); #198988=DIRECTION('',(0.,-1.,0.)); #198989=DIRECTION('center_axis',(0.,1.,0.)); #198990=DIRECTION('ref_axis',(0.683919079242777,0.,0.729557875050165)); #198991=DIRECTION('center_axis',(0.,-1.,0.)); #198992=DIRECTION('ref_axis',(0.668203246532372,0.,0.74397877746855)); #198993=DIRECTION('center_axis',(0.,1.,0.)); #198994=DIRECTION('ref_axis',(0.668203246532372,0.,0.74397877746855)); #198995=DIRECTION('',(0.,-1.,0.)); #198996=DIRECTION('center_axis',(0.,1.,0.)); #198997=DIRECTION('ref_axis',(0.668203246532372,0.,0.74397877746855)); #198998=DIRECTION('center_axis',(-1.,0.,0.)); #198999=DIRECTION('ref_axis',(0.,0.,-1.)); #199000=DIRECTION('',(0.,0.,-1.)); #199001=DIRECTION('',(0.,-1.,0.)); #199002=DIRECTION('',(0.,0.,-1.)); #199003=DIRECTION('center_axis',(0.,0.,1.)); #199004=DIRECTION('ref_axis',(-1.,0.,0.)); #199005=DIRECTION('',(-1.,0.,0.)); #199006=DIRECTION('',(0.,-1.,0.)); #199007=DIRECTION('',(-1.,0.,0.)); #199008=DIRECTION('center_axis',(1.,0.,0.)); #199009=DIRECTION('ref_axis',(0.,0.,1.)); #199010=DIRECTION('',(0.,0.,1.)); #199011=DIRECTION('',(0.,-1.,0.)); #199012=DIRECTION('',(0.,0.,1.)); #199013=DIRECTION('center_axis',(0.,-1.,0.)); #199014=DIRECTION('ref_axis',(0.799103380509528,0.,-0.601193635410626)); #199015=DIRECTION('center_axis',(0.,-1.,0.)); #199016=DIRECTION('ref_axis',(0.799103380509528,0.,-0.601193635410626)); #199017=DIRECTION('',(0.,-1.,0.)); #199018=DIRECTION('center_axis',(0.,-1.,0.)); #199019=DIRECTION('ref_axis',(0.799103380509528,0.,-0.601193635410626)); #199020=DIRECTION('center_axis',(0.,-1.,0.)); #199021=DIRECTION('ref_axis',(-0.00356802757945182,0.,-0.999993634569337)); #199022=DIRECTION('center_axis',(0.,-1.,0.)); #199023=DIRECTION('ref_axis',(-0.00356802757945182,0.,-0.999993634569337)); #199024=DIRECTION('',(0.,-1.,0.)); #199025=DIRECTION('center_axis',(0.,-1.,0.)); #199026=DIRECTION('ref_axis',(-0.00356802757945182,0.,-0.999993634569337)); #199027=DIRECTION('center_axis',(0.,-1.,0.)); #199028=DIRECTION('ref_axis',(-0.724360240479774,0.,-0.689421672136932)); #199029=DIRECTION('center_axis',(0.,-1.,0.)); #199030=DIRECTION('ref_axis',(-0.724360240479774,0.,-0.689421672136932)); #199031=DIRECTION('',(0.,-1.,0.)); #199032=DIRECTION('center_axis',(0.,-1.,0.)); #199033=DIRECTION('ref_axis',(-0.724360240479774,0.,-0.689421672136932)); #199034=DIRECTION('center_axis',(0.,-1.,0.)); #199035=DIRECTION('ref_axis',(-0.994959008524464,0.,0.100282457867839)); #199036=DIRECTION('center_axis',(0.,-1.,0.)); #199037=DIRECTION('ref_axis',(-0.994959008524464,0.,0.100282457867839)); #199038=DIRECTION('',(0.,-1.,0.)); #199039=DIRECTION('center_axis',(0.,-1.,0.)); #199040=DIRECTION('ref_axis',(-0.994959008524464,0.,0.100282457867839)); #199041=DIRECTION('center_axis',(-1.,0.,0.)); #199042=DIRECTION('ref_axis',(0.,0.,-1.)); #199043=DIRECTION('',(0.,0.,-1.)); #199044=DIRECTION('',(0.,-1.,0.)); #199045=DIRECTION('',(0.,0.,-1.)); #199046=DIRECTION('center_axis',(0.,0.,-1.)); #199047=DIRECTION('ref_axis',(1.,0.,0.)); #199048=DIRECTION('',(1.,0.,0.)); #199049=DIRECTION('',(0.,-1.,0.)); #199050=DIRECTION('',(1.,0.,0.)); #199051=DIRECTION('center_axis',(1.,0.,0.)); #199052=DIRECTION('ref_axis',(0.,0.,1.)); #199053=DIRECTION('',(0.,0.,1.)); #199054=DIRECTION('',(0.,-1.,0.)); #199055=DIRECTION('',(0.,0.,1.)); #199056=DIRECTION('center_axis',(0.,-1.,0.)); #199057=DIRECTION('ref_axis',(0.708203653904931,0.,0.706008204340222)); #199058=DIRECTION('center_axis',(0.,-1.,0.)); #199059=DIRECTION('ref_axis',(0.708203653904931,0.,0.706008204340222)); #199060=DIRECTION('',(0.,-1.,0.)); #199061=DIRECTION('center_axis',(0.,-1.,0.)); #199062=DIRECTION('ref_axis',(0.708203653904931,0.,0.706008204340222)); #199063=DIRECTION('center_axis',(0.,-1.,0.)); #199064=DIRECTION('ref_axis',(0.769055297478073,0.,0.63918225055215)); #199065=DIRECTION('center_axis',(0.,-1.,0.)); #199066=DIRECTION('ref_axis',(0.769055297478073,0.,0.63918225055215)); #199067=DIRECTION('',(0.,-1.,0.)); #199068=DIRECTION('center_axis',(0.,-1.,0.)); #199069=DIRECTION('ref_axis',(0.769055297478073,0.,0.63918225055215)); #199070=DIRECTION('center_axis',(0.,-1.,0.)); #199071=DIRECTION('ref_axis',(0.921589218926782,0.,0.388166602836881)); #199072=DIRECTION('center_axis',(0.,-1.,0.)); #199073=DIRECTION('ref_axis',(0.921589218926782,0.,0.388166602836881)); #199074=DIRECTION('center_axis',(0.,-1.,0.)); #199075=DIRECTION('ref_axis',(0.921589218926782,0.,0.388166602836881)); #199076=DIRECTION('center_axis',(0.,1.,0.)); #199077=DIRECTION('ref_axis',(1.,0.,0.)); #199078=DIRECTION('center_axis',(0.,-1.,0.)); #199079=DIRECTION('ref_axis',(-0.0154656318431928,0.,0.999880399963761)); #199080=DIRECTION('center_axis',(0.,1.,0.)); #199081=DIRECTION('ref_axis',(-0.0154656318431928,0.,0.999880399963761)); #199082=DIRECTION('',(0.,-1.,0.)); #199083=DIRECTION('center_axis',(0.,1.,0.)); #199084=DIRECTION('ref_axis',(-0.0154656318431928,0.,0.999880399963761)); #199085=DIRECTION('',(0.,-1.,0.)); #199086=DIRECTION('center_axis',(0.,-1.,0.)); #199087=DIRECTION('ref_axis',(-0.614032464560876,0.,0.789280769096332)); #199088=DIRECTION('center_axis',(0.,1.,0.)); #199089=DIRECTION('ref_axis',(-0.614032464560876,0.,0.789280769096332)); #199090=DIRECTION('',(0.,-1.,0.)); #199091=DIRECTION('center_axis',(0.,1.,0.)); #199092=DIRECTION('ref_axis',(-0.614032464560876,0.,0.789280769096332)); #199093=DIRECTION('center_axis',(0.,-1.,0.)); #199094=DIRECTION('ref_axis',(0.0741255216633308,0.,-0.997248919296551)); #199095=DIRECTION('center_axis',(0.,-1.,0.)); #199096=DIRECTION('ref_axis',(0.0741255216633308,0.,-0.997248919296551)); #199097=DIRECTION('',(0.,-1.,0.)); #199098=DIRECTION('center_axis',(0.,-1.,0.)); #199099=DIRECTION('ref_axis',(0.0741255216633308,0.,-0.997248919296551)); #199100=DIRECTION('center_axis',(0.,-1.,0.)); #199101=DIRECTION('ref_axis',(-0.731225076032558,0.,-0.682136268044135)); #199102=DIRECTION('center_axis',(0.,-1.,0.)); #199103=DIRECTION('ref_axis',(-0.731225076032558,0.,-0.682136268044135)); #199104=DIRECTION('',(0.,-1.,0.)); #199105=DIRECTION('center_axis',(0.,-1.,0.)); #199106=DIRECTION('ref_axis',(-0.731225076032558,0.,-0.682136268044135)); #199107=DIRECTION('center_axis',(0.,-1.,0.)); #199108=DIRECTION('ref_axis',(-0.999978231059152,0.,-0.00659828824838731)); #199109=DIRECTION('center_axis',(0.,-1.,0.)); #199110=DIRECTION('ref_axis',(-0.999978231059152,0.,-0.00659828824838731)); #199111=DIRECTION('',(0.,-1.,0.)); #199112=DIRECTION('center_axis',(0.,-1.,0.)); #199113=DIRECTION('ref_axis',(-0.999978231059152,0.,-0.00659828824838731)); #199114=DIRECTION('center_axis',(0.,-1.,0.)); #199115=DIRECTION('ref_axis',(-0.706127170478609,0.,0.708085036638873)); #199116=DIRECTION('center_axis',(0.,-1.,0.)); #199117=DIRECTION('ref_axis',(-0.706127170478609,0.,0.708085036638873)); #199118=DIRECTION('',(0.,-1.,0.)); #199119=DIRECTION('center_axis',(0.,-1.,0.)); #199120=DIRECTION('ref_axis',(-0.706127170478609,0.,0.708085036638873)); #199121=DIRECTION('center_axis',(0.,-1.,0.)); #199122=DIRECTION('ref_axis',(-0.309133072804677,0.,0.951018792295052)); #199123=DIRECTION('center_axis',(0.,-1.,0.)); #199124=DIRECTION('ref_axis',(-0.309133072804677,0.,0.951018792295052)); #199125=DIRECTION('',(0.,-1.,0.)); #199126=DIRECTION('center_axis',(0.,-1.,0.)); #199127=DIRECTION('ref_axis',(-0.309133072804677,0.,0.951018792295052)); #199128=DIRECTION('center_axis',(0.,-1.,0.)); #199129=DIRECTION('ref_axis',(-0.0139972432417942,0.,0.999902033792126)); #199130=DIRECTION('center_axis',(0.,-1.,0.)); #199131=DIRECTION('ref_axis',(-0.0139972432417942,0.,0.999902033792126)); #199132=DIRECTION('',(0.,-1.,0.)); #199133=DIRECTION('center_axis',(0.,-1.,0.)); #199134=DIRECTION('ref_axis',(-0.0139972432417942,0.,0.999902033792126)); #199135=DIRECTION('center_axis',(0.,-1.,0.)); #199136=DIRECTION('ref_axis',(0.676796944803852,0.,0.736169746392889)); #199137=DIRECTION('center_axis',(0.,-1.,0.)); #199138=DIRECTION('ref_axis',(0.676796944803852,0.,0.736169746392889)); #199139=DIRECTION('',(0.,-1.,0.)); #199140=DIRECTION('center_axis',(0.,-1.,0.)); #199141=DIRECTION('ref_axis',(0.676796944803852,0.,0.736169746392889)); #199142=DIRECTION('center_axis',(0.,-1.,0.)); #199143=DIRECTION('ref_axis',(0.999750182346306,0.,0.0223511274553917)); #199144=DIRECTION('center_axis',(0.,-1.,0.)); #199145=DIRECTION('ref_axis',(0.999750182346306,0.,0.0223511274553917)); #199146=DIRECTION('',(0.,-1.,0.)); #199147=DIRECTION('center_axis',(0.,-1.,0.)); #199148=DIRECTION('ref_axis',(0.999750182346306,0.,0.0223511274553917)); #199149=DIRECTION('center_axis',(0.,-1.,0.)); #199150=DIRECTION('ref_axis',(0.822525563175083,0.,-0.568728140611586)); #199151=DIRECTION('center_axis',(0.,-1.,0.)); #199152=DIRECTION('ref_axis',(0.822525563175083,0.,-0.568728140611586)); #199153=DIRECTION('',(0.,-1.,0.)); #199154=DIRECTION('center_axis',(0.,-1.,0.)); #199155=DIRECTION('ref_axis',(0.822525563175083,0.,-0.568728140611586)); #199156=DIRECTION('center_axis',(0.,-1.,0.)); #199157=DIRECTION('ref_axis',(0.392644091535957,0.,-0.91969050086532)); #199158=DIRECTION('center_axis',(0.,-1.,0.)); #199159=DIRECTION('ref_axis',(0.392644091535957,0.,-0.91969050086532)); #199160=DIRECTION('',(0.,-1.,0.)); #199161=DIRECTION('center_axis',(0.,-1.,0.)); #199162=DIRECTION('ref_axis',(0.392644091535957,0.,-0.91969050086532)); #199163=DIRECTION('center_axis',(0.,-1.,0.)); #199164=DIRECTION('ref_axis',(0.827244014350775,0.,0.561842807839359)); #199165=DIRECTION('center_axis',(0.,-1.,0.)); #199166=DIRECTION('ref_axis',(0.827244014350775,0.,0.561842807839359)); #199167=DIRECTION('',(0.,-1.,0.)); #199168=DIRECTION('center_axis',(0.,-1.,0.)); #199169=DIRECTION('ref_axis',(0.827244014350775,0.,0.561842807839359)); #199170=DIRECTION('center_axis',(0.,-1.,0.)); #199171=DIRECTION('ref_axis',(0.999957968997768,0.,0.00916843704559937)); #199172=DIRECTION('center_axis',(0.,-1.,0.)); #199173=DIRECTION('ref_axis',(0.999957968997768,0.,0.00916843704559937)); #199174=DIRECTION('',(0.,-1.,0.)); #199175=DIRECTION('center_axis',(0.,-1.,0.)); #199176=DIRECTION('ref_axis',(0.999957968997768,0.,0.00916843704559937)); #199177=DIRECTION('center_axis',(0.,-1.,0.)); #199178=DIRECTION('ref_axis',(0.678370360804703,0.,-0.734720119216628)); #199179=DIRECTION('center_axis',(0.,-1.,0.)); #199180=DIRECTION('ref_axis',(0.678370360804703,0.,-0.734720119216628)); #199181=DIRECTION('',(0.,-1.,0.)); #199182=DIRECTION('center_axis',(0.,-1.,0.)); #199183=DIRECTION('ref_axis',(0.678370360804703,0.,-0.734720119216628)); #199184=DIRECTION('center_axis',(0.,-1.,0.)); #199185=DIRECTION('ref_axis',(-0.024865606244996,0.,-0.999690803011646)); #199186=DIRECTION('center_axis',(0.,-1.,0.)); #199187=DIRECTION('ref_axis',(-0.024865606244996,0.,-0.999690803011646)); #199188=DIRECTION('',(0.,-1.,0.)); #199189=DIRECTION('center_axis',(0.,-1.,0.)); #199190=DIRECTION('ref_axis',(-0.024865606244996,0.,-0.999690803011646)); #199191=DIRECTION('center_axis',(0.,-1.,0.)); #199192=DIRECTION('ref_axis',(-0.573232970476959,0.,-0.819392434403786)); #199193=DIRECTION('center_axis',(0.,-1.,0.)); #199194=DIRECTION('ref_axis',(-0.573232970476959,0.,-0.819392434403786)); #199195=DIRECTION('',(0.,-1.,0.)); #199196=DIRECTION('center_axis',(0.,-1.,0.)); #199197=DIRECTION('ref_axis',(-0.573232970476959,0.,-0.819392434403786)); #199198=DIRECTION('center_axis',(0.,-1.,0.)); #199199=DIRECTION('ref_axis',(-0.973858275674444,0.,-0.227156463479249)); #199200=DIRECTION('center_axis',(0.,-1.,0.)); #199201=DIRECTION('ref_axis',(-0.973858275674444,0.,-0.227156463479249)); #199202=DIRECTION('',(0.,-1.,0.)); #199203=DIRECTION('center_axis',(0.,-1.,0.)); #199204=DIRECTION('ref_axis',(-0.973858275674444,0.,-0.227156463479249)); #199205=DIRECTION('center_axis',(0.,-1.,0.)); #199206=DIRECTION('ref_axis',(-0.689875934633438,0.,0.723927617109363)); #199207=DIRECTION('center_axis',(0.,-1.,0.)); #199208=DIRECTION('ref_axis',(-0.689875934633438,0.,0.723927617109363)); #199209=DIRECTION('',(0.,-1.,0.)); #199210=DIRECTION('center_axis',(0.,-1.,0.)); #199211=DIRECTION('ref_axis',(-0.689875934633438,0.,0.723927617109363)); #199212=DIRECTION('center_axis',(0.,-1.,0.)); #199213=DIRECTION('ref_axis',(0.003600253118769,0.,0.999993519067739)); #199214=DIRECTION('center_axis',(0.,-1.,0.)); #199215=DIRECTION('ref_axis',(0.003600253118769,0.,0.999993519067739)); #199216=DIRECTION('',(0.,-1.,0.)); #199217=DIRECTION('center_axis',(0.,-1.,0.)); #199218=DIRECTION('ref_axis',(0.003600253118769,0.,0.999993519067739)); #199219=DIRECTION('center_axis',(0.,-1.,0.)); #199220=DIRECTION('ref_axis',(0.456296808194077,0.,0.889827636585816)); #199221=DIRECTION('center_axis',(0.,-1.,0.)); #199222=DIRECTION('ref_axis',(0.456296808194077,0.,0.889827636585816)); #199223=DIRECTION('',(0.,-1.,0.)); #199224=DIRECTION('center_axis',(0.,-1.,0.)); #199225=DIRECTION('ref_axis',(0.456296808194077,0.,0.889827636585816)); #199226=DIRECTION('center_axis',(0.,-1.,0.)); #199227=DIRECTION('ref_axis',(0.838527622720916,0.,0.544859088144823)); #199228=DIRECTION('center_axis',(0.,-1.,0.)); #199229=DIRECTION('ref_axis',(0.838527622720916,0.,0.544859088144823)); #199230=DIRECTION('',(0.,-1.,0.)); #199231=DIRECTION('center_axis',(0.,-1.,0.)); #199232=DIRECTION('ref_axis',(0.838527622720916,0.,0.544859088144823)); #199233=DIRECTION('center_axis',(0.,-1.,0.)); #199234=DIRECTION('ref_axis',(-0.36663878752382,0.,-0.930363369594409)); #199235=DIRECTION('center_axis',(0.,1.,0.)); #199236=DIRECTION('ref_axis',(-0.36663878752382,0.,-0.930363369594409)); #199237=DIRECTION('',(0.,-1.,0.)); #199238=DIRECTION('center_axis',(0.,1.,0.)); #199239=DIRECTION('ref_axis',(-0.36663878752382,0.,-0.930363369594409)); #199240=DIRECTION('center_axis',(0.,-1.,0.)); #199241=DIRECTION('ref_axis',(0.00955621216866578,0.,-0.999954338362001)); #199242=DIRECTION('center_axis',(0.,1.,0.)); #199243=DIRECTION('ref_axis',(0.00955621216866578,0.,-0.999954338362001)); #199244=DIRECTION('',(0.,-1.,0.)); #199245=DIRECTION('center_axis',(0.,1.,0.)); #199246=DIRECTION('ref_axis',(0.00955621216866578,0.,-0.999954338362001)); #199247=DIRECTION('center_axis',(0.,-1.,0.)); #199248=DIRECTION('ref_axis',(0.662958164214293,0.,-0.748656444907552)); #199249=DIRECTION('center_axis',(0.,1.,0.)); #199250=DIRECTION('ref_axis',(0.662958164214293,0.,-0.748656444907552)); #199251=DIRECTION('',(0.,-1.,0.)); #199252=DIRECTION('center_axis',(0.,1.,0.)); #199253=DIRECTION('ref_axis',(0.662958164214293,0.,-0.748656444907552)); #199254=DIRECTION('center_axis',(0.,-1.,0.)); #199255=DIRECTION('ref_axis',(0.999718474042436,0.,-0.0237270448700188)); #199256=DIRECTION('center_axis',(0.,1.,0.)); #199257=DIRECTION('ref_axis',(0.999718474042436,0.,-0.0237270448700188)); #199258=DIRECTION('',(0.,-1.,0.)); #199259=DIRECTION('center_axis',(0.,1.,0.)); #199260=DIRECTION('ref_axis',(0.999718474042436,0.,-0.0237270448700188)); #199261=DIRECTION('center_axis',(0.,-1.,0.)); #199262=DIRECTION('ref_axis',(0.672149873279536,0.,0.740415118599224)); #199263=DIRECTION('center_axis',(0.,1.,0.)); #199264=DIRECTION('ref_axis',(0.672149873279536,0.,0.740415118599224)); #199265=DIRECTION('',(0.,-1.,0.)); #199266=DIRECTION('center_axis',(0.,1.,0.)); #199267=DIRECTION('ref_axis',(0.672149873279536,0.,0.740415118599224)); #199268=DIRECTION('center_axis',(0.,-1.,0.)); #199269=DIRECTION('ref_axis',(-0.0218354000758462,0.,0.999761579229532)); #199270=DIRECTION('center_axis',(0.,1.,0.)); #199271=DIRECTION('ref_axis',(-0.0218354000758462,0.,0.999761579229532)); #199272=DIRECTION('',(0.,-1.,0.)); #199273=DIRECTION('center_axis',(0.,1.,0.)); #199274=DIRECTION('ref_axis',(-0.0218354000758462,0.,0.999761579229532)); #199275=DIRECTION('center_axis',(0.0140922055311426,0.,-0.999900699941383)); #199276=DIRECTION('ref_axis',(0.999900699941383,0.,0.0140922055311426)); #199277=DIRECTION('',(0.999900699941383,0.,0.0140922055311426)); #199278=DIRECTION('',(0.,-1.,0.)); #199279=DIRECTION('',(0.999900699941383,0.,0.0140922055311426)); #199280=DIRECTION('center_axis',(0.,-1.,0.)); #199281=DIRECTION('ref_axis',(-0.641904074514688,0.,-0.76678494972283)); #199282=DIRECTION('center_axis',(0.,-1.,0.)); #199283=DIRECTION('ref_axis',(-0.641904074514688,0.,-0.76678494972283)); #199284=DIRECTION('',(0.,-1.,0.)); #199285=DIRECTION('center_axis',(0.,-1.,0.)); #199286=DIRECTION('ref_axis',(-0.641904074514688,0.,-0.76678494972283)); #199287=DIRECTION('center_axis',(0.,-1.,0.)); #199288=DIRECTION('ref_axis',(-0.999958098205556,0.,0.00915433411709155)); #199289=DIRECTION('center_axis',(0.,-1.,0.)); #199290=DIRECTION('ref_axis',(-0.999958098205556,0.,0.00915433411709155)); #199291=DIRECTION('',(0.,-1.,0.)); #199292=DIRECTION('center_axis',(0.,-1.,0.)); #199293=DIRECTION('ref_axis',(-0.999958098205556,0.,0.00915433411709155)); #199294=DIRECTION('center_axis',(0.,-1.,0.)); #199295=DIRECTION('ref_axis',(-0.68178511573045,0.,0.73155249706936)); #199296=DIRECTION('center_axis',(0.,-1.,0.)); #199297=DIRECTION('ref_axis',(-0.68178511573045,0.,0.73155249706936)); #199298=DIRECTION('',(0.,-1.,0.)); #199299=DIRECTION('center_axis',(0.,-1.,0.)); #199300=DIRECTION('ref_axis',(-0.68178511573045,0.,0.73155249706936)); #199301=DIRECTION('center_axis',(0.,-1.,0.)); #199302=DIRECTION('ref_axis',(0.100914482461551,0.,0.994895103631291)); #199303=DIRECTION('center_axis',(0.,-1.,0.)); #199304=DIRECTION('ref_axis',(0.100914482461551,0.,0.994895103631291)); #199305=DIRECTION('',(0.,-1.,0.)); #199306=DIRECTION('center_axis',(0.,-1.,0.)); #199307=DIRECTION('ref_axis',(0.100914482461551,0.,0.994895103631291)); #199308=DIRECTION('center_axis',(0.,-1.,0.)); #199309=DIRECTION('ref_axis',(0.425331866980616,0.,-0.905037459407501)); #199310=DIRECTION('center_axis',(0.,1.,0.)); #199311=DIRECTION('ref_axis',(0.425331866980616,0.,-0.905037459407501)); #199312=DIRECTION('',(0.,-1.,0.)); #199313=DIRECTION('center_axis',(0.,1.,0.)); #199314=DIRECTION('ref_axis',(0.425331866980616,0.,-0.905037459407501)); #199315=DIRECTION('center_axis',(0.,-1.,0.)); #199316=DIRECTION('ref_axis',(0.820714785294259,0.,-0.571338114604127)); #199317=DIRECTION('center_axis',(0.,1.,0.)); #199318=DIRECTION('ref_axis',(0.820714785294259,0.,-0.571338114604127)); #199319=DIRECTION('',(0.,-1.,0.)); #199320=DIRECTION('center_axis',(0.,1.,0.)); #199321=DIRECTION('ref_axis',(0.820714785294259,0.,-0.571338114604127)); #199322=DIRECTION('center_axis',(0.,-1.,0.)); #199323=DIRECTION('ref_axis',(0.999764358473641,0.,-0.0217077756987725)); #199324=DIRECTION('center_axis',(0.,1.,0.)); #199325=DIRECTION('ref_axis',(0.999764358473641,0.,-0.0217077756987725)); #199326=DIRECTION('',(0.,-1.,0.)); #199327=DIRECTION('center_axis',(0.,1.,0.)); #199328=DIRECTION('ref_axis',(0.999764358473641,0.,-0.0217077756987725)); #199329=DIRECTION('center_axis',(0.,-1.,0.)); #199330=DIRECTION('ref_axis',(0.655177377507505,0.,0.755475084964678)); #199331=DIRECTION('center_axis',(0.,1.,0.)); #199332=DIRECTION('ref_axis',(0.655177377507505,0.,0.755475084964678)); #199333=DIRECTION('center_axis',(0.,1.,0.)); #199334=DIRECTION('ref_axis',(0.655177377507505,0.,0.755475084964678)); #199335=DIRECTION('center_axis',(0.,1.,0.)); #199336=DIRECTION('ref_axis',(1.,0.,0.)); #199337=DIRECTION('center_axis',(0.,-1.,0.)); #199338=DIRECTION('ref_axis',(-0.0111053252653532,0.,0.999938333974026)); #199339=DIRECTION('center_axis',(0.,1.,0.)); #199340=DIRECTION('ref_axis',(-0.0111053252653532,0.,0.999938333974026)); #199341=DIRECTION('',(0.,-1.,0.)); #199342=DIRECTION('center_axis',(0.,1.,0.)); #199343=DIRECTION('ref_axis',(-0.0111053252653532,0.,0.999938333974026)); #199344=DIRECTION('',(0.,-1.,0.)); #199345=DIRECTION('center_axis',(0.,-1.,0.)); #199346=DIRECTION('ref_axis',(-0.707370775794986,0.,0.706842687980289)); #199347=DIRECTION('center_axis',(0.,1.,0.)); #199348=DIRECTION('ref_axis',(-0.707370775794986,0.,0.706842687980289)); #199349=DIRECTION('',(0.,-1.,0.)); #199350=DIRECTION('center_axis',(0.,1.,0.)); #199351=DIRECTION('ref_axis',(-0.707370775794986,0.,0.706842687980289)); #199352=DIRECTION('center_axis',(0.,-1.,0.)); #199353=DIRECTION('ref_axis',(0.085706901298743,0.,-0.996320393783931)); #199354=DIRECTION('center_axis',(0.,-1.,0.)); #199355=DIRECTION('ref_axis',(0.085706901298743,0.,-0.996320393783931)); #199356=DIRECTION('',(0.,-1.,0.)); #199357=DIRECTION('center_axis',(0.,-1.,0.)); #199358=DIRECTION('ref_axis',(0.085706901298743,0.,-0.996320393783931)); #199359=DIRECTION('center_axis',(0.,-1.,0.)); #199360=DIRECTION('ref_axis',(-0.736517232452174,0.,-0.676418780269287)); #199361=DIRECTION('center_axis',(0.,-1.,0.)); #199362=DIRECTION('ref_axis',(-0.736517232452174,0.,-0.676418780269287)); #199363=DIRECTION('',(0.,-1.,0.)); #199364=DIRECTION('center_axis',(0.,-1.,0.)); #199365=DIRECTION('ref_axis',(-0.736517232452174,0.,-0.676418780269287)); #199366=DIRECTION('center_axis',(0.,-1.,0.)); #199367=DIRECTION('ref_axis',(-0.999964458828277,0.,0.00843095962927597)); #199368=DIRECTION('center_axis',(0.,-1.,0.)); #199369=DIRECTION('ref_axis',(-0.999964458828277,0.,0.00843095962927597)); #199370=DIRECTION('',(0.,-1.,0.)); #199371=DIRECTION('center_axis',(0.,-1.,0.)); #199372=DIRECTION('ref_axis',(-0.999964458828277,0.,0.00843095962927597)); #199373=DIRECTION('center_axis',(0.,-1.,0.)); #199374=DIRECTION('ref_axis',(-0.517967197683183,0.,0.85540048054945)); #199375=DIRECTION('center_axis',(0.,-1.,0.)); #199376=DIRECTION('ref_axis',(-0.517967197683183,0.,0.85540048054945)); #199377=DIRECTION('',(0.,-1.,0.)); #199378=DIRECTION('center_axis',(0.,-1.,0.)); #199379=DIRECTION('ref_axis',(-0.517967197683183,0.,0.85540048054945)); #199380=DIRECTION('center_axis',(0.,-1.,0.)); #199381=DIRECTION('ref_axis',(-0.430363855514224,0.,0.902655500103407)); #199382=DIRECTION('center_axis',(0.,-1.,0.)); #199383=DIRECTION('ref_axis',(-0.430363855514224,0.,0.902655500103407)); #199384=DIRECTION('',(0.,-1.,0.)); #199385=DIRECTION('center_axis',(0.,-1.,0.)); #199386=DIRECTION('ref_axis',(-0.430363855514224,0.,0.902655500103407)); #199387=DIRECTION('center_axis',(0.,-1.,0.)); #199388=DIRECTION('ref_axis',(-0.00315133184905215,0.,0.999995034541461)); #199389=DIRECTION('center_axis',(0.,-1.,0.)); #199390=DIRECTION('ref_axis',(-0.00315133184905215,0.,0.999995034541461)); #199391=DIRECTION('',(0.,-1.,0.)); #199392=DIRECTION('center_axis',(0.,-1.,0.)); #199393=DIRECTION('ref_axis',(-0.00315133184905215,0.,0.999995034541461)); #199394=DIRECTION('center_axis',(0.,-1.,0.)); #199395=DIRECTION('ref_axis',(0.715996820435537,0.,0.698103540405148)); #199396=DIRECTION('center_axis',(0.,-1.,0.)); #199397=DIRECTION('ref_axis',(0.715996820435537,0.,0.698103540405148)); #199398=DIRECTION('',(0.,-1.,0.)); #199399=DIRECTION('center_axis',(0.,-1.,0.)); #199400=DIRECTION('ref_axis',(0.715996820435537,0.,0.698103540405148)); #199401=DIRECTION('center_axis',(0.,-1.,0.)); #199402=DIRECTION('ref_axis',(0.999536306850641,0.,-0.0304494874732147)); #199403=DIRECTION('center_axis',(0.,-1.,0.)); #199404=DIRECTION('ref_axis',(0.999536306850641,0.,-0.0304494874732147)); #199405=DIRECTION('',(0.,-1.,0.)); #199406=DIRECTION('center_axis',(0.,-1.,0.)); #199407=DIRECTION('ref_axis',(0.999536306850641,0.,-0.0304494874732147)); #199408=DIRECTION('center_axis',(0.,-1.,0.)); #199409=DIRECTION('ref_axis',(0.747077636639959,0.,-0.664736793650279)); #199410=DIRECTION('center_axis',(0.,-1.,0.)); #199411=DIRECTION('ref_axis',(0.747077636639959,0.,-0.664736793650279)); #199412=DIRECTION('',(0.,-1.,0.)); #199413=DIRECTION('center_axis',(0.,-1.,0.)); #199414=DIRECTION('ref_axis',(0.747077636639959,0.,-0.664736793650279)); #199415=DIRECTION('center_axis',(0.,-1.,0.)); #199416=DIRECTION('ref_axis',(-0.00480218790874562,0.,-0.999988469429168)); #199417=DIRECTION('center_axis',(0.,-1.,0.)); #199418=DIRECTION('ref_axis',(-0.00480218790874562,0.,-0.999988469429168)); #199419=DIRECTION('',(0.,-1.,0.)); #199420=DIRECTION('center_axis',(0.,-1.,0.)); #199421=DIRECTION('ref_axis',(-0.00480218790874562,0.,-0.999988469429168)); #199422=DIRECTION('center_axis',(0.,-1.,0.)); #199423=DIRECTION('ref_axis',(-0.23145375847215,0.,-0.972845906446193)); #199424=DIRECTION('center_axis',(0.,-1.,0.)); #199425=DIRECTION('ref_axis',(-0.23145375847215,0.,-0.972845906446193)); #199426=DIRECTION('',(0.,-1.,0.)); #199427=DIRECTION('center_axis',(0.,-1.,0.)); #199428=DIRECTION('ref_axis',(-0.23145375847215,0.,-0.972845906446193)); #199429=DIRECTION('center_axis',(0.,-1.,0.)); #199430=DIRECTION('ref_axis',(-0.394892066983337,0.,-0.918727519688851)); #199431=DIRECTION('center_axis',(0.,-1.,0.)); #199432=DIRECTION('ref_axis',(-0.394892066983337,0.,-0.918727519688851)); #199433=DIRECTION('',(0.,-1.,0.)); #199434=DIRECTION('center_axis',(0.,-1.,0.)); #199435=DIRECTION('ref_axis',(-0.394892066983337,0.,-0.918727519688851)); #199436=DIRECTION('center_axis',(1.,0.,0.)); #199437=DIRECTION('ref_axis',(0.,0.,1.)); #199438=DIRECTION('',(0.,0.,1.)); #199439=DIRECTION('',(0.,-1.,0.)); #199440=DIRECTION('',(0.,0.,1.)); #199441=DIRECTION('center_axis',(0.,0.,1.)); #199442=DIRECTION('ref_axis',(-1.,0.,0.)); #199443=DIRECTION('',(-1.,0.,0.)); #199444=DIRECTION('',(0.,-1.,0.)); #199445=DIRECTION('',(-1.,0.,0.)); #199446=DIRECTION('center_axis',(0.,-1.,0.)); #199447=DIRECTION('ref_axis',(0.604638504134293,0.,0.796500018404422)); #199448=DIRECTION('center_axis',(0.,-1.,0.)); #199449=DIRECTION('ref_axis',(0.604638504134293,0.,0.796500018404422)); #199450=DIRECTION('',(0.,-1.,0.)); #199451=DIRECTION('center_axis',(0.,-1.,0.)); #199452=DIRECTION('ref_axis',(0.604638504134293,0.,0.796500018404422)); #199453=DIRECTION('center_axis',(0.,-1.,0.)); #199454=DIRECTION('ref_axis',(0.999988734593226,0.,-0.00474665004380851)); #199455=DIRECTION('center_axis',(0.,-1.,0.)); #199456=DIRECTION('ref_axis',(0.999988734593226,0.,-0.00474665004380851)); #199457=DIRECTION('',(0.,-1.,0.)); #199458=DIRECTION('center_axis',(0.,-1.,0.)); #199459=DIRECTION('ref_axis',(0.999988734593226,0.,-0.00474665004380851)); #199460=DIRECTION('center_axis',(0.,-1.,0.)); #199461=DIRECTION('ref_axis',(0.693369859062223,0.,-0.720581874976073)); #199462=DIRECTION('center_axis',(0.,-1.,0.)); #199463=DIRECTION('ref_axis',(0.693369859062223,0.,-0.720581874976073)); #199464=DIRECTION('',(0.,-1.,0.)); #199465=DIRECTION('center_axis',(0.,-1.,0.)); #199466=DIRECTION('ref_axis',(0.693369859062223,0.,-0.720581874976073)); #199467=DIRECTION('center_axis',(0.,-1.,0.)); #199468=DIRECTION('ref_axis',(-0.100257830222009,0.,-0.994961490450347)); #199469=DIRECTION('center_axis',(0.,-1.,0.)); #199470=DIRECTION('ref_axis',(-0.100257830222009,0.,-0.994961490450347)); #199471=DIRECTION('',(0.,-1.,0.)); #199472=DIRECTION('center_axis',(0.,-1.,0.)); #199473=DIRECTION('ref_axis',(-0.100257830222009,0.,-0.994961490450347)); #199474=DIRECTION('center_axis',(0.,0.,-1.)); #199475=DIRECTION('ref_axis',(1.,0.,0.)); #199476=DIRECTION('',(1.,0.,0.)); #199477=DIRECTION('',(0.,-1.,0.)); #199478=DIRECTION('',(1.,0.,0.)); #199479=DIRECTION('center_axis',(-1.,0.,0.)); #199480=DIRECTION('ref_axis',(0.,0.,-1.)); #199481=DIRECTION('',(0.,0.,-1.)); #199482=DIRECTION('',(0.,-1.,0.)); #199483=DIRECTION('',(0.,0.,-1.)); #199484=DIRECTION('center_axis',(0.,-1.,0.)); #199485=DIRECTION('ref_axis',(-0.778069137019483,0.,0.628178651354658)); #199486=DIRECTION('center_axis',(0.,-1.,0.)); #199487=DIRECTION('ref_axis',(-0.778069137019483,0.,0.628178651354658)); #199488=DIRECTION('',(0.,-1.,0.)); #199489=DIRECTION('center_axis',(0.,-1.,0.)); #199490=DIRECTION('ref_axis',(-0.778069137019483,0.,0.628178651354658)); #199491=DIRECTION('center_axis',(0.,-1.,0.)); #199492=DIRECTION('ref_axis',(0.0211127500500704,0.,0.999777101050691)); #199493=DIRECTION('center_axis',(0.,-1.,0.)); #199494=DIRECTION('ref_axis',(0.0211127500500704,0.,0.999777101050691)); #199495=DIRECTION('',(0.,-1.,0.)); #199496=DIRECTION('center_axis',(0.,-1.,0.)); #199497=DIRECTION('ref_axis',(0.0211127500500704,0.,0.999777101050691)); #199498=DIRECTION('center_axis',(0.,-1.,0.)); #199499=DIRECTION('ref_axis',(0.546250073963272,0.,0.837622144343809)); #199500=DIRECTION('center_axis',(0.,-1.,0.)); #199501=DIRECTION('ref_axis',(0.546250073963272,0.,0.837622144343809)); #199502=DIRECTION('',(0.,-1.,0.)); #199503=DIRECTION('center_axis',(0.,-1.,0.)); #199504=DIRECTION('ref_axis',(0.546250073963272,0.,0.837622144343809)); #199505=DIRECTION('center_axis',(0.,-1.,0.)); #199506=DIRECTION('ref_axis',(-0.0154619254887779,0.,-0.999880457284859)); #199507=DIRECTION('center_axis',(0.,1.,0.)); #199508=DIRECTION('ref_axis',(-0.0154619254887779,0.,-0.999880457284859)); #199509=DIRECTION('',(0.,-1.,0.)); #199510=DIRECTION('center_axis',(0.,1.,0.)); #199511=DIRECTION('ref_axis',(-0.0154619254887779,0.,-0.999880457284859)); #199512=DIRECTION('center_axis',(0.,-1.,0.)); #199513=DIRECTION('ref_axis',(0.715441360581757,0.,-0.698672784333928)); #199514=DIRECTION('center_axis',(0.,1.,0.)); #199515=DIRECTION('ref_axis',(0.715441360581757,0.,-0.698672784333928)); #199516=DIRECTION('',(0.,-1.,0.)); #199517=DIRECTION('center_axis',(0.,1.,0.)); #199518=DIRECTION('ref_axis',(0.715441360581757,0.,-0.698672784333928)); #199519=DIRECTION('center_axis',(0.,-1.,0.)); #199520=DIRECTION('ref_axis',(0.999403124115839,0.,0.0345455569863937)); #199521=DIRECTION('center_axis',(0.,1.,0.)); #199522=DIRECTION('ref_axis',(0.999403124115839,0.,0.0345455569863937)); #199523=DIRECTION('',(0.,-1.,0.)); #199524=DIRECTION('center_axis',(0.,1.,0.)); #199525=DIRECTION('ref_axis',(0.999403124115839,0.,0.0345455569863937)); #199526=DIRECTION('center_axis',(0.,-1.,0.)); #199527=DIRECTION('ref_axis',(0.75120400561958,0.,0.660070103807996)); #199528=DIRECTION('center_axis',(0.,1.,0.)); #199529=DIRECTION('ref_axis',(0.75120400561958,0.,0.660070103807996)); #199530=DIRECTION('center_axis',(0.,1.,0.)); #199531=DIRECTION('ref_axis',(0.75120400561958,0.,0.660070103807996)); #199532=DIRECTION('center_axis',(0.,1.,0.)); #199533=DIRECTION('ref_axis',(1.,0.,0.)); #199534=DIRECTION('center_axis',(0.,-1.,0.)); #199535=DIRECTION('ref_axis',(0.696797637356887,0.,0.717267769088965)); #199536=DIRECTION('center_axis',(0.,-1.,0.)); #199537=DIRECTION('ref_axis',(0.696797637356887,0.,0.717267769088965)); #199538=DIRECTION('',(0.,-1.,0.)); #199539=DIRECTION('center_axis',(0.,-1.,0.)); #199540=DIRECTION('ref_axis',(0.696797637356887,0.,0.717267769088965)); #199541=DIRECTION('',(0.,-1.,0.)); #199542=DIRECTION('center_axis',(0.,-1.,0.)); #199543=DIRECTION('ref_axis',(0.999977757389749,0.,-0.00666968708170512)); #199544=DIRECTION('center_axis',(0.,-1.,0.)); #199545=DIRECTION('ref_axis',(0.999977757389749,0.,-0.00666968708170512)); #199546=DIRECTION('',(0.,-1.,0.)); #199547=DIRECTION('center_axis',(0.,-1.,0.)); #199548=DIRECTION('ref_axis',(0.999977757389749,0.,-0.00666968708170512)); #199549=DIRECTION('center_axis',(0.,-1.,0.)); #199550=DIRECTION('ref_axis',(0.702096158354603,0.,-0.712082147244058)); #199551=DIRECTION('center_axis',(0.,-1.,0.)); #199552=DIRECTION('ref_axis',(0.702096158354603,0.,-0.712082147244058)); #199553=DIRECTION('',(0.,-1.,0.)); #199554=DIRECTION('center_axis',(0.,-1.,0.)); #199555=DIRECTION('ref_axis',(0.702096158354603,0.,-0.712082147244058)); #199556=DIRECTION('center_axis',(0.,-1.,0.)); #199557=DIRECTION('ref_axis',(0.000703584463188429,0.,-0.999999752484421)); #199558=DIRECTION('center_axis',(0.,-1.,0.)); #199559=DIRECTION('ref_axis',(0.000703584463188429,0.,-0.999999752484421)); #199560=DIRECTION('',(0.,-1.,0.)); #199561=DIRECTION('center_axis',(0.,-1.,0.)); #199562=DIRECTION('ref_axis',(0.000703584463188429,0.,-0.999999752484421)); #199563=DIRECTION('center_axis',(0.,0.,-1.)); #199564=DIRECTION('ref_axis',(1.,0.,0.)); #199565=DIRECTION('',(1.,0.,0.)); #199566=DIRECTION('',(0.,-1.,0.)); #199567=DIRECTION('',(1.,0.,0.)); #199568=DIRECTION('center_axis',(0.,-1.,0.)); #199569=DIRECTION('ref_axis',(-0.696823044643896,0.,-0.717243086026774)); #199570=DIRECTION('center_axis',(0.,-1.,0.)); #199571=DIRECTION('ref_axis',(-0.696823044643896,0.,-0.717243086026774)); #199572=DIRECTION('',(0.,-1.,0.)); #199573=DIRECTION('center_axis',(0.,-1.,0.)); #199574=DIRECTION('ref_axis',(-0.696823044643896,0.,-0.717243086026774)); #199575=DIRECTION('center_axis',(0.,-1.,0.)); #199576=DIRECTION('ref_axis',(-0.999977783637016,0.,0.0066657507004719)); #199577=DIRECTION('center_axis',(0.,-1.,0.)); #199578=DIRECTION('ref_axis',(-0.999977783637016,0.,0.0066657507004719)); #199579=DIRECTION('',(0.,-1.,0.)); #199580=DIRECTION('center_axis',(0.,-1.,0.)); #199581=DIRECTION('ref_axis',(-0.999977783637016,0.,0.0066657507004719)); #199582=DIRECTION('center_axis',(0.,-1.,0.)); #199583=DIRECTION('ref_axis',(-0.702058630626844,0.,0.712119146746077)); #199584=DIRECTION('center_axis',(0.,-1.,0.)); #199585=DIRECTION('ref_axis',(-0.702058630626844,0.,0.712119146746077)); #199586=DIRECTION('',(0.,-1.,0.)); #199587=DIRECTION('center_axis',(0.,-1.,0.)); #199588=DIRECTION('ref_axis',(-0.702058630626844,0.,0.712119146746077)); #199589=DIRECTION('center_axis',(0.,-1.,0.)); #199590=DIRECTION('ref_axis',(-0.000865654617773384,0.,0.999999625320971)); #199591=DIRECTION('center_axis',(0.,-1.,0.)); #199592=DIRECTION('ref_axis',(-0.000865654617773384,0.,0.999999625320971)); #199593=DIRECTION('',(0.,-1.,0.)); #199594=DIRECTION('center_axis',(0.,-1.,0.)); #199595=DIRECTION('ref_axis',(-0.000865654617773384,0.,0.999999625320971)); #199596=DIRECTION('center_axis',(0.,0.,1.)); #199597=DIRECTION('ref_axis',(-1.,0.,0.)); #199598=DIRECTION('',(-1.,0.,0.)); #199599=DIRECTION('',(-1.,0.,0.)); #199600=DIRECTION('center_axis',(0.,1.,0.)); #199601=DIRECTION('ref_axis',(1.,0.,0.)); #199602=DIRECTION('center_axis',(0.,-1.,0.)); #199603=DIRECTION('ref_axis',(-0.682388588444919,0.,0.730989613031642)); #199604=DIRECTION('center_axis',(0.,-1.,0.)); #199605=DIRECTION('ref_axis',(-0.682388588444919,0.,0.730989613031642)); #199606=DIRECTION('',(0.,-1.,0.)); #199607=DIRECTION('center_axis',(0.,-1.,0.)); #199608=DIRECTION('ref_axis',(-0.682388588444919,0.,0.730989613031642)); #199609=DIRECTION('',(0.,-1.,0.)); #199610=DIRECTION('center_axis',(0.,-1.,0.)); #199611=DIRECTION('ref_axis',(0.103682800153989,0.,0.994610414661051)); #199612=DIRECTION('center_axis',(0.,-1.,0.)); #199613=DIRECTION('ref_axis',(0.103682800153989,0.,0.994610414661051)); #199614=DIRECTION('',(0.,-1.,0.)); #199615=DIRECTION('center_axis',(0.,-1.,0.)); #199616=DIRECTION('ref_axis',(0.103682800153989,0.,0.994610414661051)); #199617=DIRECTION('center_axis',(0.,0.,1.)); #199618=DIRECTION('ref_axis',(-1.,0.,0.)); #199619=DIRECTION('',(-1.,0.,0.)); #199620=DIRECTION('',(0.,-1.,0.)); #199621=DIRECTION('',(-1.,0.,0.)); #199622=DIRECTION('center_axis',(0.,-1.,0.)); #199623=DIRECTION('ref_axis',(0.589102243285302,0.,0.808058504661776)); #199624=DIRECTION('center_axis',(0.,-1.,0.)); #199625=DIRECTION('ref_axis',(0.589102243285302,0.,0.808058504661776)); #199626=DIRECTION('',(0.,-1.,0.)); #199627=DIRECTION('center_axis',(0.,-1.,0.)); #199628=DIRECTION('ref_axis',(0.589102243285302,0.,0.808058504661776)); #199629=DIRECTION('center_axis',(0.,-1.,0.)); #199630=DIRECTION('ref_axis',(0.999924092849578,0.,-0.0123210607882719)); #199631=DIRECTION('center_axis',(0.,-1.,0.)); #199632=DIRECTION('ref_axis',(0.999924092849578,0.,-0.0123210607882719)); #199633=DIRECTION('',(0.,-1.,0.)); #199634=DIRECTION('center_axis',(0.,-1.,0.)); #199635=DIRECTION('ref_axis',(0.999924092849578,0.,-0.0123210607882719)); #199636=DIRECTION('center_axis',(0.,-1.,0.)); #199637=DIRECTION('ref_axis',(0.682435342356793,0.,-0.730945964830758)); #199638=DIRECTION('center_axis',(0.,-1.,0.)); #199639=DIRECTION('ref_axis',(0.682435342356793,0.,-0.730945964830758)); #199640=DIRECTION('',(0.,-1.,0.)); #199641=DIRECTION('center_axis',(0.,-1.,0.)); #199642=DIRECTION('ref_axis',(0.682435342356793,0.,-0.730945964830758)); #199643=DIRECTION('center_axis',(0.,-1.,0.)); #199644=DIRECTION('ref_axis',(-0.103813483979766,0.,-0.994596782894447)); #199645=DIRECTION('center_axis',(0.,-1.,0.)); #199646=DIRECTION('ref_axis',(-0.103813483979766,0.,-0.994596782894447)); #199647=DIRECTION('',(0.,-1.,0.)); #199648=DIRECTION('center_axis',(0.,-1.,0.)); #199649=DIRECTION('ref_axis',(-0.103813483979766,0.,-0.994596782894447)); #199650=DIRECTION('center_axis',(0.,0.,-1.)); #199651=DIRECTION('ref_axis',(1.,0.,0.)); #199652=DIRECTION('',(1.,0.,0.)); #199653=DIRECTION('',(0.,-1.,0.)); #199654=DIRECTION('',(1.,0.,0.)); #199655=DIRECTION('center_axis',(1.,0.,0.)); #199656=DIRECTION('ref_axis',(0.,0.,1.)); #199657=DIRECTION('',(0.,0.,1.)); #199658=DIRECTION('',(0.,-1.,0.)); #199659=DIRECTION('',(0.,0.,1.)); #199660=DIRECTION('center_axis',(-0.249524535340507,0.,-0.968368476491828)); #199661=DIRECTION('ref_axis',(0.968368476491828,0.,-0.249524535340507)); #199662=DIRECTION('',(0.968368476491828,0.,-0.249524535340507)); #199663=DIRECTION('',(0.,-1.,0.)); #199664=DIRECTION('',(0.968368476491828,0.,-0.249524535340507)); #199665=DIRECTION('center_axis',(0.,-1.,0.)); #199666=DIRECTION('ref_axis',(-0.665763554978484,0.,-0.746162776384893)); #199667=DIRECTION('center_axis',(0.,-1.,0.)); #199668=DIRECTION('ref_axis',(-0.665763554978484,0.,-0.746162776384893)); #199669=DIRECTION('',(0.,-1.,0.)); #199670=DIRECTION('center_axis',(0.,-1.,0.)); #199671=DIRECTION('ref_axis',(-0.665763554978484,0.,-0.746162776384893)); #199672=DIRECTION('center_axis',(0.,-1.,0.)); #199673=DIRECTION('ref_axis',(-0.998765547658763,0.,0.049672737088785)); #199674=DIRECTION('center_axis',(0.,-1.,0.)); #199675=DIRECTION('ref_axis',(-0.998765547658763,0.,0.049672737088785)); #199676=DIRECTION('',(0.,-1.,0.)); #199677=DIRECTION('center_axis',(0.,-1.,0.)); #199678=DIRECTION('ref_axis',(-0.998765547658763,0.,0.049672737088785)); #199679=DIRECTION('center_axis',(0.,-1.,0.)); #199680=DIRECTION('ref_axis',(-0.743672157364484,0.,0.668544480465477)); #199681=DIRECTION('center_axis',(0.,-1.,0.)); #199682=DIRECTION('ref_axis',(-0.743672157364484,0.,0.668544480465477)); #199683=DIRECTION('',(0.,-1.,0.)); #199684=DIRECTION('center_axis',(0.,-1.,0.)); #199685=DIRECTION('ref_axis',(-0.743672157364484,0.,0.668544480465477)); #199686=DIRECTION('center_axis',(0.,-1.,0.)); #199687=DIRECTION('ref_axis',(-0.0162911899363031,0.,0.999867289759226)); #199688=DIRECTION('center_axis',(0.,-1.,0.)); #199689=DIRECTION('ref_axis',(-0.0162911899363031,0.,0.999867289759226)); #199690=DIRECTION('',(0.,-1.,0.)); #199691=DIRECTION('center_axis',(0.,-1.,0.)); #199692=DIRECTION('ref_axis',(-0.0162911899363031,0.,0.999867289759226)); #199693=DIRECTION('center_axis',(0.,-1.,0.)); #199694=DIRECTION('ref_axis',(0.306930995859685,0.,0.951731770921084)); #199695=DIRECTION('center_axis',(0.,-1.,0.)); #199696=DIRECTION('ref_axis',(0.306930995859685,0.,0.951731770921084)); #199697=DIRECTION('',(0.,-1.,0.)); #199698=DIRECTION('center_axis',(0.,-1.,0.)); #199699=DIRECTION('ref_axis',(0.306930995859685,0.,0.951731770921084)); #199700=DIRECTION('center_axis',(0.252951668544337,0.,0.967478916246052)); #199701=DIRECTION('ref_axis',(-0.967478916246052,0.,0.252951668544337)); #199702=DIRECTION('',(-0.967478916246052,0.,0.252951668544337)); #199703=DIRECTION('',(0.,-1.,0.)); #199704=DIRECTION('',(-0.967478916246052,0.,0.252951668544337)); #199705=DIRECTION('center_axis',(-1.,0.,0.)); #199706=DIRECTION('ref_axis',(0.,0.,-1.)); #199707=DIRECTION('',(0.,0.,-1.)); #199708=DIRECTION('',(0.,-1.,0.)); #199709=DIRECTION('',(0.,0.,-1.)); #199710=DIRECTION('center_axis',(0.,0.,-1.)); #199711=DIRECTION('ref_axis',(1.,0.,0.)); #199712=DIRECTION('',(1.,0.,0.)); #199713=DIRECTION('',(0.,-1.,0.)); #199714=DIRECTION('',(1.,0.,0.)); #199715=DIRECTION('center_axis',(0.,-1.,0.)); #199716=DIRECTION('ref_axis',(-0.589001462716111,0.,-0.808131967514144)); #199717=DIRECTION('center_axis',(0.,-1.,0.)); #199718=DIRECTION('ref_axis',(-0.589001462716111,0.,-0.808131967514144)); #199719=DIRECTION('',(0.,-1.,0.)); #199720=DIRECTION('center_axis',(0.,-1.,0.)); #199721=DIRECTION('ref_axis',(-0.589001462716111,0.,-0.808131967514144)); #199722=DIRECTION('center_axis',(0.,-1.,0.)); #199723=DIRECTION('ref_axis',(-0.999923283270867,0.,0.0123865884250085)); #199724=DIRECTION('center_axis',(0.,-1.,0.)); #199725=DIRECTION('ref_axis',(-0.999923283270867,0.,0.0123865884250085)); #199726=DIRECTION('center_axis',(0.,-1.,0.)); #199727=DIRECTION('ref_axis',(-0.999923283270867,0.,0.0123865884250085)); #199728=DIRECTION('center_axis',(0.,1.,0.)); #199729=DIRECTION('ref_axis',(1.,0.,0.)); #199730=DIRECTION('center_axis',(0.,0.,1.)); #199731=DIRECTION('ref_axis',(-1.,0.,0.)); #199732=DIRECTION('',(-1.,0.,0.)); #199733=DIRECTION('',(0.,-1.,0.)); #199734=DIRECTION('',(-1.,0.,0.)); #199735=DIRECTION('',(0.,-1.,0.)); #199736=DIRECTION('center_axis',(1.,0.,0.)); #199737=DIRECTION('ref_axis',(0.,0.,1.)); #199738=DIRECTION('',(0.,0.,1.)); #199739=DIRECTION('',(0.,-1.,0.)); #199740=DIRECTION('',(0.,0.,1.)); #199741=DIRECTION('center_axis',(0.,0.,1.)); #199742=DIRECTION('ref_axis',(-1.,0.,0.)); #199743=DIRECTION('',(-1.,0.,0.)); #199744=DIRECTION('',(0.,-1.,0.)); #199745=DIRECTION('',(-1.,0.,0.)); #199746=DIRECTION('center_axis',(1.,0.,0.)); #199747=DIRECTION('ref_axis',(0.,0.,1.)); #199748=DIRECTION('',(0.,0.,1.)); #199749=DIRECTION('',(0.,-1.,0.)); #199750=DIRECTION('',(0.,0.,1.)); #199751=DIRECTION('center_axis',(0.,0.,-1.)); #199752=DIRECTION('ref_axis',(1.,0.,0.)); #199753=DIRECTION('',(1.,0.,0.)); #199754=DIRECTION('',(0.,-1.,0.)); #199755=DIRECTION('',(1.,0.,0.)); #199756=DIRECTION('center_axis',(-1.,0.,0.)); #199757=DIRECTION('ref_axis',(0.,0.,-1.)); #199758=DIRECTION('',(0.,0.,-1.)); #199759=DIRECTION('',(0.,-1.,0.)); #199760=DIRECTION('',(0.,0.,-1.)); #199761=DIRECTION('center_axis',(0.,0.,-1.)); #199762=DIRECTION('ref_axis',(1.,0.,0.)); #199763=DIRECTION('',(1.,0.,0.)); #199764=DIRECTION('',(0.,-1.,0.)); #199765=DIRECTION('',(1.,0.,0.)); #199766=DIRECTION('center_axis',(-1.,0.,0.)); #199767=DIRECTION('ref_axis',(0.,0.,-1.)); #199768=DIRECTION('',(0.,0.,-1.)); #199769=DIRECTION('',(0.,0.,-1.)); #199770=DIRECTION('',(0.,-1.,0.)); #199771=DIRECTION('center_axis',(0.,-1.,0.)); #199772=DIRECTION('ref_axis',(0.,0.,-1.)); #199773=DIRECTION('center_axis',(-1.,0.,0.)); #199774=DIRECTION('ref_axis',(0.,0.,-1.)); #199775=DIRECTION('',(0.,0.,-1.)); #199776=DIRECTION('',(0.,-1.,0.)); #199777=DIRECTION('',(0.,0.,-1.)); #199778=DIRECTION('',(0.,-1.,0.)); #199779=DIRECTION('center_axis',(0.,0.,-1.)); #199780=DIRECTION('ref_axis',(1.,0.,0.)); #199781=DIRECTION('',(1.,0.,0.)); #199782=DIRECTION('',(0.,-1.,0.)); #199783=DIRECTION('',(1.,0.,0.)); #199784=DIRECTION('center_axis',(-1.,0.,0.)); #199785=DIRECTION('ref_axis',(0.,0.,-1.)); #199786=DIRECTION('',(0.,0.,-1.)); #199787=DIRECTION('',(0.,-1.,0.)); #199788=DIRECTION('',(0.,0.,-1.)); #199789=DIRECTION('center_axis',(0.,0.,1.)); #199790=DIRECTION('ref_axis',(-1.,0.,0.)); #199791=DIRECTION('',(-1.,0.,0.)); #199792=DIRECTION('',(0.,-1.,0.)); #199793=DIRECTION('',(-1.,0.,0.)); #199794=DIRECTION('center_axis',(1.,0.,0.)); #199795=DIRECTION('ref_axis',(0.,0.,1.)); #199796=DIRECTION('',(0.,0.,1.)); #199797=DIRECTION('',(0.,-1.,0.)); #199798=DIRECTION('',(0.,0.,1.)); #199799=DIRECTION('center_axis',(0.,0.,1.)); #199800=DIRECTION('ref_axis',(-1.,0.,0.)); #199801=DIRECTION('',(-1.,0.,0.)); #199802=DIRECTION('',(-1.,0.,0.)); #199803=DIRECTION('center_axis',(1.,0.,0.)); #199804=DIRECTION('ref_axis',(0.,0.,1.)); #199805=DIRECTION('',(0.,0.,1.)); #199806=DIRECTION('',(0.,-1.,0.)); #199807=DIRECTION('',(0.,0.,1.)); #199808=DIRECTION('center_axis',(0.,0.,-1.)); #199809=DIRECTION('ref_axis',(1.,0.,0.)); #199810=DIRECTION('',(1.,0.,0.)); #199811=DIRECTION('',(1.,0.,0.)); #199812=DIRECTION('center_axis',(0.,-1.,0.)); #199813=DIRECTION('ref_axis',(0.,0.,-1.)); #199814=DIRECTION('center_axis',(1.,0.,0.)); #199815=DIRECTION('ref_axis',(0.,0.,1.)); #199816=DIRECTION('',(0.,0.,1.)); #199817=DIRECTION('',(0.,-1.,0.)); #199818=DIRECTION('',(0.,0.,1.)); #199819=DIRECTION('',(0.,-1.,0.)); #199820=DIRECTION('center_axis',(0.,0.,1.)); #199821=DIRECTION('ref_axis',(-1.,0.,0.)); #199822=DIRECTION('',(-1.,0.,0.)); #199823=DIRECTION('',(0.,-1.,0.)); #199824=DIRECTION('',(-1.,0.,0.)); #199825=DIRECTION('center_axis',(-1.,0.,0.)); #199826=DIRECTION('ref_axis',(0.,0.,-1.)); #199827=DIRECTION('',(0.,0.,-1.)); #199828=DIRECTION('',(0.,-1.,0.)); #199829=DIRECTION('',(0.,0.,-1.)); #199830=DIRECTION('center_axis',(0.,0.,-1.)); #199831=DIRECTION('ref_axis',(1.,0.,0.)); #199832=DIRECTION('',(1.,0.,0.)); #199833=DIRECTION('',(1.,0.,0.)); #199834=DIRECTION('center_axis',(1.,0.,0.)); #199835=DIRECTION('ref_axis',(0.,0.,1.)); #199836=DIRECTION('',(0.,0.,1.)); #199837=DIRECTION('',(0.,-1.,0.)); #199838=DIRECTION('',(0.,0.,1.)); #199839=DIRECTION('',(0.,-1.,0.)); #199840=DIRECTION('center_axis',(0.,0.,-1.)); #199841=DIRECTION('ref_axis',(1.,0.,0.)); #199842=DIRECTION('',(1.,0.,0.)); #199843=DIRECTION('',(0.,-1.,0.)); #199844=DIRECTION('',(1.,0.,0.)); #199845=DIRECTION('center_axis',(-1.,0.,0.)); #199846=DIRECTION('ref_axis',(0.,0.,-1.)); #199847=DIRECTION('',(0.,0.,-1.)); #199848=DIRECTION('',(0.,-1.,0.)); #199849=DIRECTION('',(0.,0.,-1.)); #199850=DIRECTION('center_axis',(0.,0.,1.)); #199851=DIRECTION('ref_axis',(-1.,0.,0.)); #199852=DIRECTION('',(-1.,0.,0.)); #199853=DIRECTION('',(-1.,0.,0.)); #199854=DIRECTION('center_axis',(0.,-1.,0.)); #199855=DIRECTION('ref_axis',(0.,0.,-1.)); #199856=DIRECTION('center_axis',(0.,0.,-1.)); #199857=DIRECTION('ref_axis',(1.,0.,0.)); #199858=DIRECTION('',(1.,0.,0.)); #199859=DIRECTION('',(0.,-1.,0.)); #199860=DIRECTION('',(1.,0.,0.)); #199861=DIRECTION('',(0.,-1.,0.)); #199862=DIRECTION('center_axis',(-1.,0.,0.)); #199863=DIRECTION('ref_axis',(0.,0.,-1.)); #199864=DIRECTION('',(0.,0.,-1.)); #199865=DIRECTION('',(0.,-1.,0.)); #199866=DIRECTION('',(0.,0.,-1.)); #199867=DIRECTION('center_axis',(0.,0.,1.)); #199868=DIRECTION('ref_axis',(-1.,0.,0.)); #199869=DIRECTION('',(-1.,0.,0.)); #199870=DIRECTION('',(0.,-1.,0.)); #199871=DIRECTION('',(-1.,0.,0.)); #199872=DIRECTION('center_axis',(1.,0.,0.)); #199873=DIRECTION('ref_axis',(0.,0.,1.)); #199874=DIRECTION('',(0.,0.,1.)); #199875=DIRECTION('',(0.,0.,1.)); #199876=DIRECTION('center_axis',(0.,-1.,0.)); #199877=DIRECTION('ref_axis',(0.,0.,-1.)); #199878=DIRECTION('center_axis',(1.,0.,0.)); #199879=DIRECTION('ref_axis',(0.,0.,1.)); #199880=DIRECTION('',(0.,0.,1.)); #199881=DIRECTION('',(0.,-1.,0.)); #199882=DIRECTION('',(0.,0.,1.)); #199883=DIRECTION('',(0.,-1.,0.)); #199884=DIRECTION('center_axis',(0.,0.,-1.)); #199885=DIRECTION('ref_axis',(1.,0.,0.)); #199886=DIRECTION('',(1.,0.,0.)); #199887=DIRECTION('',(0.,-1.,0.)); #199888=DIRECTION('',(1.,0.,0.)); #199889=DIRECTION('center_axis',(-1.,0.,0.)); #199890=DIRECTION('ref_axis',(0.,0.,-1.)); #199891=DIRECTION('',(0.,0.,-1.)); #199892=DIRECTION('',(0.,-1.,0.)); #199893=DIRECTION('',(0.,0.,-1.)); #199894=DIRECTION('center_axis',(0.,0.,1.)); #199895=DIRECTION('ref_axis',(-1.,0.,0.)); #199896=DIRECTION('',(-1.,0.,0.)); #199897=DIRECTION('',(-1.,0.,0.)); #199898=DIRECTION('center_axis',(0.,-1.,0.)); #199899=DIRECTION('ref_axis',(0.,0.,-1.)); #199900=DIRECTION('center_axis',(0.991079136202301,0.,0.133274700466744)); #199901=DIRECTION('ref_axis',(-0.133274700466744,0.,0.991079136202301)); #199902=DIRECTION('',(-0.133274700466744,0.,0.991079136202301)); #199903=DIRECTION('',(0.,-1.,0.)); #199904=DIRECTION('',(-0.133274700466744,0.,0.991079136202301)); #199905=DIRECTION('',(0.,-1.,0.)); #199906=DIRECTION('center_axis',(0.,-1.,0.)); #199907=DIRECTION('ref_axis',(0.993376672527108,0.,0.114903378884043)); #199908=DIRECTION('center_axis',(0.,-1.,0.)); #199909=DIRECTION('ref_axis',(0.993376672527108,0.,0.114903378884043)); #199910=DIRECTION('',(0.,-1.,0.)); #199911=DIRECTION('center_axis',(0.,-1.,0.)); #199912=DIRECTION('ref_axis',(0.993376672527108,0.,0.114903378884043)); #199913=DIRECTION('center_axis',(0.857392039582129,0.,-0.514663861623484)); #199914=DIRECTION('ref_axis',(0.514663861623484,0.,0.857392039582129)); #199915=DIRECTION('',(0.514663861623484,0.,0.857392039582129)); #199916=DIRECTION('',(0.,-1.,0.)); #199917=DIRECTION('',(0.514663861623484,0.,0.857392039582129)); #199918=DIRECTION('center_axis',(0.786334628732711,0.,-0.617800818756167)); #199919=DIRECTION('ref_axis',(0.617800818756167,0.,0.786334628732711)); #199920=DIRECTION('',(0.617800818756167,0.,0.786334628732711)); #199921=DIRECTION('',(0.,-1.,0.)); #199922=DIRECTION('',(0.617800818756167,0.,0.786334628732711)); #199923=DIRECTION('center_axis',(0.701061942836598,0.,-0.713100380245429)); #199924=DIRECTION('ref_axis',(0.713100380245429,0.,0.701061942836598)); #199925=DIRECTION('',(0.713100380245429,0.,0.701061942836598)); #199926=DIRECTION('',(0.,-1.,0.)); #199927=DIRECTION('',(0.713100380245429,0.,0.701061942836598)); #199928=DIRECTION('center_axis',(0.603249777333875,0.,-0.797552321886552)); #199929=DIRECTION('ref_axis',(0.797552321886552,0.,0.603249777333875)); #199930=DIRECTION('',(0.797552321886552,0.,0.603249777333875)); #199931=DIRECTION('',(0.,-1.,0.)); #199932=DIRECTION('',(0.797552321886552,0.,0.603249777333875)); #199933=DIRECTION('center_axis',(0.499426290223719,0.,-0.866356382000718)); #199934=DIRECTION('ref_axis',(0.866356382000718,0.,0.499426290223719)); #199935=DIRECTION('',(0.866356382000718,0.,0.499426290223719)); #199936=DIRECTION('',(0.,-1.,0.)); #199937=DIRECTION('',(0.866356382000718,0.,0.499426290223719)); #199938=DIRECTION('center_axis',(0.395430036077738,0.,-0.918496100463992)); #199939=DIRECTION('ref_axis',(0.918496100463992,0.,0.395430036077738)); #199940=DIRECTION('',(0.918496100463992,0.,0.395430036077738)); #199941=DIRECTION('',(0.,-1.,0.)); #199942=DIRECTION('',(0.918496100463992,0.,0.395430036077738)); #199943=DIRECTION('center_axis',(0.294349889271428,0.,-0.955697725583722)); #199944=DIRECTION('ref_axis',(0.955697725583722,0.,0.294349889271428)); #199945=DIRECTION('',(0.955697725583722,0.,0.294349889271428)); #199946=DIRECTION('',(0.,-1.,0.)); #199947=DIRECTION('',(0.955697725583722,0.,0.294349889271427)); #199948=DIRECTION('center_axis',(0.203088057190576,0.,-0.979160477667761)); #199949=DIRECTION('ref_axis',(0.979160477667761,0.,0.203088057190576)); #199950=DIRECTION('',(0.979160477667761,0.,0.203088057190576)); #199951=DIRECTION('',(0.,-1.,0.)); #199952=DIRECTION('',(0.979160477667761,0.,0.203088057190576)); #199953=DIRECTION('center_axis',(0.,-1.,0.)); #199954=DIRECTION('ref_axis',(0.126574384735377,0.,-0.991957118593773)); #199955=DIRECTION('center_axis',(0.,-1.,0.)); #199956=DIRECTION('ref_axis',(0.126574384735377,0.,-0.991957118593773)); #199957=DIRECTION('',(0.,-1.,0.)); #199958=DIRECTION('center_axis',(0.,-1.,0.)); #199959=DIRECTION('ref_axis',(0.126574384735377,0.,-0.991957118593773)); #199960=DIRECTION('center_axis',(0.0313380233135171,0.,-0.999508843530062)); #199961=DIRECTION('ref_axis',(0.999508843530062,0.,0.0313380233135171)); #199962=DIRECTION('',(0.999508843530062,0.,0.0313380233135171)); #199963=DIRECTION('',(0.,-1.,0.)); #199964=DIRECTION('',(0.999508843530062,0.,0.0313380233135171)); #199965=DIRECTION('center_axis',(0.0376450022535411,0.,-0.999291175686712)); #199966=DIRECTION('ref_axis',(0.999291175686712,0.,0.0376450022535411)); #199967=DIRECTION('',(0.999291175686712,0.,0.0376450022535411)); #199968=DIRECTION('',(0.,-1.,0.)); #199969=DIRECTION('',(0.999291175686712,0.,0.0376450022535411)); #199970=DIRECTION('center_axis',(0.,-1.,0.)); #199971=DIRECTION('ref_axis',(0.255981422310992,0.,-0.966681701198301)); #199972=DIRECTION('center_axis',(0.,-1.,0.)); #199973=DIRECTION('ref_axis',(0.255981422310992,0.,-0.966681701198301)); #199974=DIRECTION('',(0.,-1.,0.)); #199975=DIRECTION('center_axis',(0.,-1.,0.)); #199976=DIRECTION('ref_axis',(0.255981422310992,0.,-0.966681701198301)); #199977=DIRECTION('center_axis',(0.,-1.,0.)); #199978=DIRECTION('ref_axis',(-0.873084611438395,0.,-0.487568724662962)); #199979=DIRECTION('center_axis',(0.,-1.,0.)); #199980=DIRECTION('ref_axis',(-0.873084611438395,0.,-0.487568724662962)); #199981=DIRECTION('',(0.,-1.,0.)); #199982=DIRECTION('center_axis',(0.,-1.,0.)); #199983=DIRECTION('ref_axis',(-0.873084611438395,0.,-0.487568724662962)); #199984=DIRECTION('center_axis',(-1.,0.,0.)); #199985=DIRECTION('ref_axis',(0.,0.,-1.)); #199986=DIRECTION('',(0.,0.,-1.)); #199987=DIRECTION('',(0.,-1.,0.)); #199988=DIRECTION('',(0.,0.,-1.)); #199989=DIRECTION('center_axis',(0.,-1.,0.)); #199990=DIRECTION('ref_axis',(-0.997293776252183,0.,-0.07351954739156)); #199991=DIRECTION('center_axis',(0.,-1.,0.)); #199992=DIRECTION('ref_axis',(-0.997293776252183,0.,-0.07351954739156)); #199993=DIRECTION('',(0.,-1.,0.)); #199994=DIRECTION('center_axis',(0.,-1.,0.)); #199995=DIRECTION('ref_axis',(-0.997293776252183,0.,-0.07351954739156)); #199996=DIRECTION('center_axis',(-0.908612646161751,0.,0.417639867870563)); #199997=DIRECTION('ref_axis',(-0.417639867870563,0.,-0.908612646161751)); #199998=DIRECTION('',(-0.417639867870563,0.,-0.908612646161751)); #199999=DIRECTION('',(0.,-1.,0.)); #200000=DIRECTION('',(-0.417639867870563,0.,-0.908612646161751)); #200001=DIRECTION('center_axis',(-0.854912518844282,0.,0.518772190005715)); #200002=DIRECTION('ref_axis',(-0.518772190005715,0.,-0.854912518844282)); #200003=DIRECTION('',(-0.518772190005715,0.,-0.854912518844282)); #200004=DIRECTION('',(0.,-1.,0.)); #200005=DIRECTION('',(-0.518772190005715,0.,-0.854912518844282)); #200006=DIRECTION('center_axis',(-0.784927522893048,0.,0.619587591713217)); #200007=DIRECTION('ref_axis',(-0.619587591713217,0.,-0.784927522893048)); #200008=DIRECTION('',(-0.619587591713217,0.,-0.784927522893048)); #200009=DIRECTION('',(0.,-1.,0.)); #200010=DIRECTION('',(-0.619587591713217,0.,-0.784927522893048)); #200011=DIRECTION('center_axis',(-0.702467575263351,0.,0.711715747826074)); #200012=DIRECTION('ref_axis',(-0.711715747826074,0.,-0.702467575263351)); #200013=DIRECTION('',(-0.711715747826074,0.,-0.702467575263351)); #200014=DIRECTION('',(0.,-1.,0.)); #200015=DIRECTION('',(-0.711715747826074,0.,-0.702467575263351)); #200016=DIRECTION('center_axis',(-0.609993270630896,0.,0.79240659372889)); #200017=DIRECTION('ref_axis',(-0.79240659372889,0.,-0.609993270630896)); #200018=DIRECTION('',(-0.79240659372889,0.,-0.609993270630896)); #200019=DIRECTION('',(0.,-1.,0.)); #200020=DIRECTION('',(-0.79240659372889,0.,-0.609993270630896)); #200021=DIRECTION('center_axis',(-0.513740055654189,0.,0.857945892942225)); #200022=DIRECTION('ref_axis',(-0.857945892942225,0.,-0.513740055654189)); #200023=DIRECTION('',(-0.857945892942225,0.,-0.513740055654189)); #200024=DIRECTION('',(0.,-1.,0.)); #200025=DIRECTION('',(-0.857945892942225,0.,-0.513740055654189)); #200026=DIRECTION('center_axis',(-0.424246463603963,0.,0.90554676197286)); #200027=DIRECTION('ref_axis',(-0.90554676197286,0.,-0.424246463603963)); #200028=DIRECTION('',(-0.90554676197286,0.,-0.424246463603963)); #200029=DIRECTION('',(0.,-1.,0.)); #200030=DIRECTION('',(-0.90554676197286,0.,-0.424246463603963)); #200031=DIRECTION('center_axis',(-0.337603858748478,0.,0.941288284511253)); #200032=DIRECTION('ref_axis',(-0.941288284511253,0.,-0.337603858748478)); #200033=DIRECTION('',(-0.941288284511253,0.,-0.337603858748478)); #200034=DIRECTION('',(0.,-1.,0.)); #200035=DIRECTION('',(-0.941288284511253,0.,-0.337603858748478)); #200036=DIRECTION('center_axis',(0.,-1.,0.)); #200037=DIRECTION('ref_axis',(-0.360582258414229,0.,0.932727417264494)); #200038=DIRECTION('center_axis',(0.,-1.,0.)); #200039=DIRECTION('ref_axis',(-0.360582258414229,0.,0.932727417264494)); #200040=DIRECTION('',(0.,-1.,0.)); #200041=DIRECTION('center_axis',(0.,-1.,0.)); #200042=DIRECTION('ref_axis',(-0.360582258414229,0.,0.932727417264494)); #200043=DIRECTION('center_axis',(0.842915578833214,0.,0.53804584094691)); #200044=DIRECTION('ref_axis',(-0.53804584094691,0.,0.842915578833214)); #200045=DIRECTION('',(-0.53804584094691,0.,0.842915578833214)); #200046=DIRECTION('',(0.,-1.,0.)); #200047=DIRECTION('',(-0.53804584094691,0.,0.842915578833214)); #200048=DIRECTION('center_axis',(0.905368306007412,0.,0.424627166438123)); #200049=DIRECTION('ref_axis',(-0.424627166438123,0.,0.905368306007412)); #200050=DIRECTION('',(-0.424627166438123,0.,0.905368306007412)); #200051=DIRECTION('',(0.,-1.,0.)); #200052=DIRECTION('',(-0.424627166438123,0.,0.905368306007412)); #200053=DIRECTION('center_axis',(0.957095163694446,0.,0.289773787000657)); #200054=DIRECTION('ref_axis',(-0.289773787000657,0.,0.957095163694446)); #200055=DIRECTION('',(-0.289773787000657,0.,0.957095163694446)); #200056=DIRECTION('',(-0.289773787000657,0.,0.957095163694446)); #200057=DIRECTION('center_axis',(0.,1.,0.)); #200058=DIRECTION('ref_axis',(1.,0.,0.)); #200059=DIRECTION('center_axis',(-0.989961544391198,0.,-0.141336975440241)); #200060=DIRECTION('ref_axis',(0.14133697544024,0.,-0.989961544391197)); #200061=DIRECTION('',(0.14133697544024,0.,-0.989961544391197)); #200062=DIRECTION('',(0.,-1.,0.)); #200063=DIRECTION('',(0.14133697544024,0.,-0.989961544391197)); #200064=DIRECTION('',(0.,-1.,0.)); #200065=DIRECTION('center_axis',(-0.999002114441173,0.,-0.0446629078998046)); #200066=DIRECTION('ref_axis',(0.0446629078998046,0.,-0.999002114441173)); #200067=DIRECTION('',(0.0446629078998046,0.,-0.999002114441173)); #200068=DIRECTION('',(0.,-1.,0.)); #200069=DIRECTION('',(0.0446629078998046,0.,-0.999002114441173)); #200070=DIRECTION('center_axis',(-0.999999998650479,0.,5.19523131688993E-5)); #200071=DIRECTION('ref_axis',(-5.19523131688993E-5,0.,-0.999999998650479)); #200072=DIRECTION('',(-5.19523131688993E-5,0.,-0.999999998650479)); #200073=DIRECTION('',(0.,-1.,0.)); #200074=DIRECTION('',(-5.19523131688993E-5,0.,-0.999999998650478)); #200075=DIRECTION('center_axis',(-0.989049325229524,0.,0.147585338916243)); #200076=DIRECTION('ref_axis',(-0.147585338916243,0.,-0.989049325229524)); #200077=DIRECTION('',(-0.147585338916243,0.,-0.989049325229524)); #200078=DIRECTION('',(0.,-1.,0.)); #200079=DIRECTION('',(-0.147585338916243,0.,-0.989049325229524)); #200080=DIRECTION('center_axis',(-0.927308794506864,0.,0.374297207617459)); #200081=DIRECTION('ref_axis',(-0.374297207617459,0.,-0.927308794506864)); #200082=DIRECTION('',(-0.374297207617459,0.,-0.927308794506864)); #200083=DIRECTION('',(0.,-1.,0.)); #200084=DIRECTION('',(-0.374297207617459,0.,-0.927308794506864)); #200085=DIRECTION('center_axis',(-0.800668488647922,0.,0.599107645825233)); #200086=DIRECTION('ref_axis',(-0.599107645825233,0.,-0.800668488647922)); #200087=DIRECTION('',(-0.599107645825233,0.,-0.800668488647922)); #200088=DIRECTION('',(0.,-1.,0.)); #200089=DIRECTION('',(-0.599107645825233,0.,-0.800668488647922)); #200090=DIRECTION('center_axis',(-0.629786515038231,0.,0.776768270126941)); #200091=DIRECTION('ref_axis',(-0.776768270126941,0.,-0.629786515038231)); #200092=DIRECTION('',(-0.776768270126941,0.,-0.629786515038231)); #200093=DIRECTION('',(0.,-1.,0.)); #200094=DIRECTION('',(-0.776768270126941,0.,-0.629786515038231)); #200095=DIRECTION('center_axis',(-0.458638400360973,0.,0.888622989638648)); #200096=DIRECTION('ref_axis',(-0.888622989638648,0.,-0.458638400360973)); #200097=DIRECTION('',(-0.888622989638648,0.,-0.458638400360973)); #200098=DIRECTION('',(0.,-1.,0.)); #200099=DIRECTION('',(-0.888622989638648,0.,-0.458638400360973)); #200100=DIRECTION('center_axis',(0.,-1.,0.)); #200101=DIRECTION('ref_axis',(-0.367012992366912,0.,0.930215815514811)); #200102=DIRECTION('center_axis',(0.,-1.,0.)); #200103=DIRECTION('ref_axis',(-0.367012992366912,0.,0.930215815514811)); #200104=DIRECTION('',(0.,-1.,0.)); #200105=DIRECTION('center_axis',(0.,-1.,0.)); #200106=DIRECTION('ref_axis',(-0.367012992366912,0.,0.930215815514811)); #200107=DIRECTION('center_axis',(0.,-1.,0.)); #200108=DIRECTION('ref_axis',(0.970722606186317,0.,0.240203292731061)); #200109=DIRECTION('center_axis',(0.,-1.,0.)); #200110=DIRECTION('ref_axis',(0.970722606186317,0.,0.240203292731061)); #200111=DIRECTION('',(0.,-1.,0.)); #200112=DIRECTION('center_axis',(0.,-1.,0.)); #200113=DIRECTION('ref_axis',(0.970722606186317,0.,0.240203292731061)); #200114=DIRECTION('center_axis',(0.999999266744387,0.,0.00121099574271621)); #200115=DIRECTION('ref_axis',(-0.00121099574271621,0.,0.999999266744387)); #200116=DIRECTION('',(-0.00121099574271621,0.,0.999999266744387)); #200117=DIRECTION('',(0.,-1.,0.)); #200118=DIRECTION('',(-0.00121099574271621,0.,0.999999266744387)); #200119=DIRECTION('center_axis',(0.,-1.,0.)); #200120=DIRECTION('ref_axis',(-0.990167373879133,0.,0.139887711058909)); #200121=DIRECTION('center_axis',(0.,1.,0.)); #200122=DIRECTION('ref_axis',(-0.990167373879133,0.,0.139887711058909)); #200123=DIRECTION('',(0.,-1.,0.)); #200124=DIRECTION('center_axis',(0.,1.,0.)); #200125=DIRECTION('ref_axis',(-0.990167373879133,0.,0.139887711058909)); #200126=DIRECTION('center_axis',(0.,-1.,0.)); #200127=DIRECTION('ref_axis',(-0.729335497552903,0.,-0.684156219009415)); #200128=DIRECTION('center_axis',(0.,1.,0.)); #200129=DIRECTION('ref_axis',(-0.729335497552903,0.,-0.684156219009415)); #200130=DIRECTION('',(0.,-1.,0.)); #200131=DIRECTION('center_axis',(0.,1.,0.)); #200132=DIRECTION('ref_axis',(-0.729335497552903,0.,-0.684156219009415)); #200133=DIRECTION('center_axis',(0.,-1.,0.)); #200134=DIRECTION('ref_axis',(-0.0546537543516805,0.,-0.998505366603138)); #200135=DIRECTION('center_axis',(0.,1.,0.)); #200136=DIRECTION('ref_axis',(-0.0546537543516805,0.,-0.998505366603138)); #200137=DIRECTION('',(0.,-1.,0.)); #200138=DIRECTION('center_axis',(0.,1.,0.)); #200139=DIRECTION('ref_axis',(-0.0546537543516805,0.,-0.998505366603138)); #200140=DIRECTION('center_axis',(0.38623597604993,0.,0.922400005856872)); #200141=DIRECTION('ref_axis',(-0.922400005856872,0.,0.38623597604993)); #200142=DIRECTION('',(-0.922400005856872,0.,0.38623597604993)); #200143=DIRECTION('',(0.,-1.,0.)); #200144=DIRECTION('',(-0.922400005856872,0.,0.38623597604993)); #200145=DIRECTION('center_axis',(0.569253669820487,0.,0.822161942317879)); #200146=DIRECTION('ref_axis',(-0.822161942317879,0.,0.569253669820487)); #200147=DIRECTION('',(-0.822161942317879,0.,0.569253669820487)); #200148=DIRECTION('',(0.,-1.,0.)); #200149=DIRECTION('',(-0.822161942317879,0.,0.569253669820487)); #200150=DIRECTION('center_axis',(0.744862395728329,0.,0.66721811383524)); #200151=DIRECTION('ref_axis',(-0.66721811383524,0.,0.744862395728328)); #200152=DIRECTION('',(-0.66721811383524,0.,0.744862395728328)); #200153=DIRECTION('',(0.,-1.,0.)); #200154=DIRECTION('',(-0.66721811383524,0.,0.744862395728328)); #200155=DIRECTION('center_axis',(0.873017334695759,0.,0.487689176956709)); #200156=DIRECTION('ref_axis',(-0.487689176956709,0.,0.873017334695759)); #200157=DIRECTION('',(-0.487689176956709,0.,0.873017334695759)); #200158=DIRECTION('',(0.,-1.,0.)); #200159=DIRECTION('',(-0.487689176956709,0.,0.873017334695759)); #200160=DIRECTION('center_axis',(0.947247478883389,0.,0.320503063556439)); #200161=DIRECTION('ref_axis',(-0.320503063556439,0.,0.947247478883389)); #200162=DIRECTION('',(-0.320503063556439,0.,0.947247478883389)); #200163=DIRECTION('',(0.,-1.,0.)); #200164=DIRECTION('',(-0.320503063556439,0.,0.947247478883389)); #200165=DIRECTION('center_axis',(0.,-1.,0.)); #200166=DIRECTION('ref_axis',(0.930875602797261,0.,0.365336300026202)); #200167=DIRECTION('center_axis',(0.,-1.,0.)); #200168=DIRECTION('ref_axis',(0.930875602797261,0.,0.365336300026202)); #200169=DIRECTION('',(0.,-1.,0.)); #200170=DIRECTION('center_axis',(0.,-1.,0.)); #200171=DIRECTION('ref_axis',(0.930875602797261,0.,0.365336300026202)); #200172=DIRECTION('center_axis',(0.295968247469619,0.,-0.955197778729496)); #200173=DIRECTION('ref_axis',(0.955197778729496,0.,0.295968247469619)); #200174=DIRECTION('',(0.955197778729496,0.,0.295968247469619)); #200175=DIRECTION('',(0.,-1.,0.)); #200176=DIRECTION('',(0.955197778729496,0.,0.295968247469619)); #200177=DIRECTION('center_axis',(0.,-1.,0.)); #200178=DIRECTION('ref_axis',(0.147176415906154,0.,-0.989110258060758)); #200179=DIRECTION('center_axis',(0.,-1.,0.)); #200180=DIRECTION('ref_axis',(0.147176415906154,0.,-0.989110258060758)); #200181=DIRECTION('',(0.,-1.,0.)); #200182=DIRECTION('center_axis',(0.,-1.,0.)); #200183=DIRECTION('ref_axis',(0.147176415906154,0.,-0.989110258060758)); #200184=DIRECTION('center_axis',(0.,-1.,0.)); #200185=DIRECTION('ref_axis',(-0.583712815118149,0.,-0.811960189582498)); #200186=DIRECTION('center_axis',(0.,-1.,0.)); #200187=DIRECTION('ref_axis',(-0.583712815118149,0.,-0.811960189582498)); #200188=DIRECTION('',(0.,-1.,0.)); #200189=DIRECTION('center_axis',(0.,-1.,0.)); #200190=DIRECTION('ref_axis',(-0.583712815118149,0.,-0.811960189582498)); #200191=DIRECTION('center_axis',(0.,-1.,0.)); #200192=DIRECTION('ref_axis',(-0.999992589802017,0.,0.00384971960723874)); #200193=DIRECTION('center_axis',(0.,1.,0.)); #200194=DIRECTION('ref_axis',(-0.999992589802017,0.,0.00384971960723874)); #200195=DIRECTION('',(0.,-1.,0.)); #200196=DIRECTION('center_axis',(0.,1.,0.)); #200197=DIRECTION('ref_axis',(-0.999992589802017,0.,0.00384971960723874)); #200198=DIRECTION('center_axis',(0.999994565917983,0.,0.00329668538163237)); #200199=DIRECTION('ref_axis',(-0.00329668538163237,0.,0.999994565917983)); #200200=DIRECTION('',(-0.00329668538163237,0.,0.999994565917983)); #200201=DIRECTION('',(0.,-1.,0.)); #200202=DIRECTION('',(-0.00329668538163237,0.,0.999994565917983)); #200203=DIRECTION('center_axis',(0.,-1.,0.)); #200204=DIRECTION('ref_axis',(0.997046794081957,0.,0.0767964218625508)); #200205=DIRECTION('center_axis',(0.,-1.,0.)); #200206=DIRECTION('ref_axis',(0.997046794081957,0.,0.0767964218625508)); #200207=DIRECTION('',(0.,-1.,0.)); #200208=DIRECTION('center_axis',(0.,-1.,0.)); #200209=DIRECTION('ref_axis',(0.997046794081957,0.,0.0767964218625508)); #200210=DIRECTION('center_axis',(0.754270975914391,0.,-0.65656324515857)); #200211=DIRECTION('ref_axis',(0.65656324515857,0.,0.754270975914391)); #200212=DIRECTION('',(0.65656324515857,0.,0.754270975914391)); #200213=DIRECTION('',(0.,-1.,0.)); #200214=DIRECTION('',(0.65656324515857,0.,0.754270975914391)); #200215=DIRECTION('center_axis',(0.590737660240852,0.,-0.806863691569501)); #200216=DIRECTION('ref_axis',(0.806863691569501,0.,0.590737660240852)); #200217=DIRECTION('',(0.806863691569501,0.,0.590737660240852)); #200218=DIRECTION('',(0.,-1.,0.)); #200219=DIRECTION('',(0.806863691569501,0.,0.590737660240852)); #200220=DIRECTION('center_axis',(0.421174033190227,0.,-0.906979841984527)); #200221=DIRECTION('ref_axis',(0.906979841984527,0.,0.421174033190227)); #200222=DIRECTION('',(0.906979841984527,0.,0.421174033190227)); #200223=DIRECTION('',(0.,-1.,0.)); #200224=DIRECTION('',(0.906979841984527,0.,0.421174033190227)); #200225=DIRECTION('center_axis',(0.,-1.,0.)); #200226=DIRECTION('ref_axis',(0.366966633925758,0.,-0.930234104720526)); #200227=DIRECTION('center_axis',(0.,-1.,0.)); #200228=DIRECTION('ref_axis',(0.366966633925758,0.,-0.930234104720526)); #200229=DIRECTION('',(0.,-1.,0.)); #200230=DIRECTION('center_axis',(0.,-1.,0.)); #200231=DIRECTION('ref_axis',(0.366966633925758,0.,-0.930234104720526)); #200232=DIRECTION('center_axis',(-0.803098028236973,0.,-0.595846924169191)); #200233=DIRECTION('ref_axis',(0.595846924169191,0.,-0.803098028236973)); #200234=DIRECTION('',(0.595846924169191,0.,-0.803098028236973)); #200235=DIRECTION('',(0.,-1.,0.)); #200236=DIRECTION('',(0.595846924169191,0.,-0.803098028236973)); #200237=DIRECTION('center_axis',(-0.876253894786881,0.,-0.481849677670144)); #200238=DIRECTION('ref_axis',(0.481849677670144,0.,-0.876253894786881)); #200239=DIRECTION('',(0.481849677670144,0.,-0.876253894786881)); #200240=DIRECTION('',(0.,-1.,0.)); #200241=DIRECTION('',(0.481849677670144,0.,-0.876253894786881)); #200242=DIRECTION('center_axis',(-0.931006454254799,0.,-0.365002715244567)); #200243=DIRECTION('ref_axis',(0.365002715244567,0.,-0.931006454254799)); #200244=DIRECTION('',(0.365002715244567,0.,-0.931006454254799)); #200245=DIRECTION('',(0.,-1.,0.)); #200246=DIRECTION('',(0.365002715244567,0.,-0.931006454254799)); #200247=DIRECTION('center_axis',(-0.968465071967305,0.,-0.249149361587309)); #200248=DIRECTION('ref_axis',(0.249149361587309,0.,-0.968465071967305)); #200249=DIRECTION('',(0.249149361587309,0.,-0.968465071967305)); #200250=DIRECTION('',(0.249149361587309,0.,-0.968465071967305)); #200251=DIRECTION('center_axis',(0.,1.,0.)); #200252=DIRECTION('ref_axis',(1.,0.,0.)); #200253=DIRECTION('center_axis',(0.,-1.,0.)); #200254=DIRECTION('ref_axis',(-0.832175745174766,0.,-0.55451197384982)); #200255=DIRECTION('center_axis',(0.,-1.,0.)); #200256=DIRECTION('ref_axis',(-0.832175745174766,0.,-0.55451197384982)); #200257=DIRECTION('',(0.,-1.,0.)); #200258=DIRECTION('center_axis',(0.,-1.,0.)); #200259=DIRECTION('ref_axis',(-0.832175745174766,0.,-0.55451197384982)); #200260=DIRECTION('',(0.,-1.,0.)); #200261=DIRECTION('center_axis',(-0.836723027638919,0.,-0.547626309648067)); #200262=DIRECTION('ref_axis',(0.547626309648067,0.,-0.836723027638919)); #200263=DIRECTION('',(0.547626309648067,0.,-0.836723027638919)); #200264=DIRECTION('',(0.,-1.,0.)); #200265=DIRECTION('',(0.547626309648067,0.,-0.836723027638919)); #200266=DIRECTION('center_axis',(-0.837320379031737,0.,-0.546712523048584)); #200267=DIRECTION('ref_axis',(0.546712523048584,0.,-0.837320379031737)); #200268=DIRECTION('',(0.546712523048584,0.,-0.837320379031737)); #200269=DIRECTION('',(0.,-1.,0.)); #200270=DIRECTION('',(0.546712523048584,0.,-0.837320379031737)); #200271=DIRECTION('center_axis',(-0.83744441502485,0.,-0.546522507993666)); #200272=DIRECTION('ref_axis',(0.546522507993666,0.,-0.83744441502485)); #200273=DIRECTION('',(0.546522507993666,0.,-0.83744441502485)); #200274=DIRECTION('',(0.,-1.,0.)); #200275=DIRECTION('',(0.546522507993666,0.,-0.83744441502485)); #200276=DIRECTION('center_axis',(0.000671922218198815,0.,0.999999774260241)); #200277=DIRECTION('ref_axis',(-0.999999774260241,0.,0.000671922218198815)); #200278=DIRECTION('',(-0.999999774260241,0.,0.000671922218198815)); #200279=DIRECTION('',(0.,-1.,0.)); #200280=DIRECTION('',(-0.999999774260241,0.,0.000671922218198815)); #200281=DIRECTION('center_axis',(0.,-1.,0.)); #200282=DIRECTION('ref_axis',(0.223543171843941,0.,0.974694029078844)); #200283=DIRECTION('center_axis',(0.,-1.,0.)); #200284=DIRECTION('ref_axis',(0.223543171843941,0.,0.974694029078844)); #200285=DIRECTION('',(0.,-1.,0.)); #200286=DIRECTION('center_axis',(0.,-1.,0.)); #200287=DIRECTION('ref_axis',(0.223543171843941,0.,0.974694029078844)); #200288=DIRECTION('center_axis',(0.,-1.,0.)); #200289=DIRECTION('ref_axis',(0.395749956907656,0.,0.918358302411204)); #200290=DIRECTION('center_axis',(0.,-1.,0.)); #200291=DIRECTION('ref_axis',(0.395749956907656,0.,0.918358302411204)); #200292=DIRECTION('',(0.,-1.,0.)); #200293=DIRECTION('center_axis',(0.,-1.,0.)); #200294=DIRECTION('ref_axis',(0.395749956907656,0.,0.918358302411204)); #200295=DIRECTION('center_axis',(0.397030610327567,0.,0.917805368508443)); #200296=DIRECTION('ref_axis',(-0.917805368508443,0.,0.397030610327567)); #200297=DIRECTION('',(-0.917805368508443,0.,0.397030610327567)); #200298=DIRECTION('',(0.,-1.,0.)); #200299=DIRECTION('',(-0.917805368508443,0.,0.397030610327567)); #200300=DIRECTION('center_axis',(0.395764806798101,0.,0.918351902976229)); #200301=DIRECTION('ref_axis',(-0.918351902976229,0.,0.395764806798101)); #200302=DIRECTION('',(-0.918351902976229,0.,0.395764806798101)); #200303=DIRECTION('',(0.,-1.,0.)); #200304=DIRECTION('',(-0.918351902976229,0.,0.395764806798101)); #200305=DIRECTION('center_axis',(0.,-1.,0.)); #200306=DIRECTION('ref_axis',(0.400242198564989,0.,0.916409396769732)); #200307=DIRECTION('center_axis',(0.,-1.,0.)); #200308=DIRECTION('ref_axis',(0.400242198564989,0.,0.916409396769732)); #200309=DIRECTION('',(0.,-1.,0.)); #200310=DIRECTION('center_axis',(0.,-1.,0.)); #200311=DIRECTION('ref_axis',(0.400242198564989,0.,0.916409396769732)); #200312=DIRECTION('center_axis',(0.398215431142156,0.,0.917291922127447)); #200313=DIRECTION('ref_axis',(-0.917291922127447,0.,0.398215431142156)); #200314=DIRECTION('',(-0.917291922127447,0.,0.398215431142156)); #200315=DIRECTION('',(0.,-1.,0.)); #200316=DIRECTION('',(-0.917291922127447,0.,0.398215431142156)); #200317=DIRECTION('center_axis',(0.,-1.,0.)); #200318=DIRECTION('ref_axis',(-0.391511367458349,0.,-0.920173271265197)); #200319=DIRECTION('center_axis',(0.,1.,0.)); #200320=DIRECTION('ref_axis',(-0.391511367458349,0.,-0.920173271265197)); #200321=DIRECTION('',(0.,-1.,0.)); #200322=DIRECTION('center_axis',(0.,1.,0.)); #200323=DIRECTION('ref_axis',(-0.391511367458349,0.,-0.920173271265197)); #200324=DIRECTION('center_axis',(0.,-1.,0.)); #200325=DIRECTION('ref_axis',(-0.412829027669905,0.,-0.910808538559626)); #200326=DIRECTION('center_axis',(0.,1.,0.)); #200327=DIRECTION('ref_axis',(-0.412829027669905,0.,-0.910808538559626)); #200328=DIRECTION('',(0.,-1.,0.)); #200329=DIRECTION('center_axis',(0.,1.,0.)); #200330=DIRECTION('ref_axis',(-0.412829027669905,0.,-0.910808538559626)); #200331=DIRECTION('center_axis',(0.54429691046555,0.,0.838892647039928)); #200332=DIRECTION('ref_axis',(-0.838892647039928,0.,0.54429691046555)); #200333=DIRECTION('',(-0.838892647039928,0.,0.54429691046555)); #200334=DIRECTION('',(0.,-1.,0.)); #200335=DIRECTION('',(-0.838892647039928,0.,0.54429691046555)); #200336=DIRECTION('center_axis',(0.871803384292997,0.,0.489855957537802)); #200337=DIRECTION('ref_axis',(-0.489855957537802,0.,0.871803384292997)); #200338=DIRECTION('',(-0.489855957537802,0.,0.871803384292997)); #200339=DIRECTION('',(0.,-1.,0.)); #200340=DIRECTION('',(-0.489855957537802,0.,0.871803384292997)); #200341=DIRECTION('center_axis',(0.,-1.,0.)); #200342=DIRECTION('ref_axis',(0.995919062663018,0.,0.0902508760312919)); #200343=DIRECTION('center_axis',(0.,-1.,0.)); #200344=DIRECTION('ref_axis',(0.995919062663018,0.,0.0902508760312919)); #200345=DIRECTION('',(0.,-1.,0.)); #200346=DIRECTION('center_axis',(0.,-1.,0.)); #200347=DIRECTION('ref_axis',(0.995919062663018,0.,0.0902508760312919)); #200348=DIRECTION('center_axis',(0.,-1.,0.)); #200349=DIRECTION('ref_axis',(0.016906467896373,0.,-0.999857075457922)); #200350=DIRECTION('center_axis',(0.,-1.,0.)); #200351=DIRECTION('ref_axis',(0.016906467896373,0.,-0.999857075457922)); #200352=DIRECTION('',(0.,-1.,0.)); #200353=DIRECTION('center_axis',(0.,-1.,0.)); #200354=DIRECTION('ref_axis',(0.016906467896373,0.,-0.999857075457922)); #200355=DIRECTION('center_axis',(0.,-1.,0.)); #200356=DIRECTION('ref_axis',(-0.318319615261198,0.,-0.947983450562278)); #200357=DIRECTION('center_axis',(0.,-1.,0.)); #200358=DIRECTION('ref_axis',(-0.318319615261198,0.,-0.947983450562278)); #200359=DIRECTION('',(0.,-1.,0.)); #200360=DIRECTION('center_axis',(0.,-1.,0.)); #200361=DIRECTION('ref_axis',(-0.318319615261198,0.,-0.947983450562278)); #200362=DIRECTION('center_axis',(0.99371136540718,0.,-0.111971970870386)); #200363=DIRECTION('ref_axis',(0.111971970870386,0.,0.99371136540718)); #200364=DIRECTION('',(0.111971970870386,0.,0.99371136540718)); #200365=DIRECTION('',(0.,-1.,0.)); #200366=DIRECTION('',(0.111971970870386,0.,0.99371136540718)); #200367=DIRECTION('center_axis',(0.,-1.,0.)); #200368=DIRECTION('ref_axis',(-0.840199565529766,0.,-0.542277318429964)); #200369=DIRECTION('center_axis',(0.,1.,0.)); #200370=DIRECTION('ref_axis',(-0.840199565529766,0.,-0.542277318429964)); #200371=DIRECTION('',(0.,-1.,0.)); #200372=DIRECTION('center_axis',(0.,1.,0.)); #200373=DIRECTION('ref_axis',(-0.840199565529766,0.,-0.542277318429964)); #200374=DIRECTION('center_axis',(0.955919475919557,0.,0.293628941961926)); #200375=DIRECTION('ref_axis',(-0.293628941961926,0.,0.955919475919557)); #200376=DIRECTION('',(-0.293628941961926,0.,0.955919475919557)); #200377=DIRECTION('',(0.,-1.,0.)); #200378=DIRECTION('',(-0.293628941961926,0.,0.955919475919557)); #200379=DIRECTION('center_axis',(-0.675562874574358,0.,0.737302381995901)); #200380=DIRECTION('ref_axis',(-0.737302381995901,0.,-0.675562874574358)); #200381=DIRECTION('',(-0.737302381995901,0.,-0.675562874574358)); #200382=DIRECTION('',(0.,-1.,0.)); #200383=DIRECTION('',(-0.737302381995901,0.,-0.675562874574358)); #200384=DIRECTION('center_axis',(0.,-1.,0.)); #200385=DIRECTION('ref_axis',(0.831033746521844,0.,-0.556221998973312)); #200386=DIRECTION('center_axis',(0.,1.,0.)); #200387=DIRECTION('ref_axis',(0.831033746521844,0.,-0.556221998973312)); #200388=DIRECTION('',(0.,-1.,0.)); #200389=DIRECTION('center_axis',(0.,1.,0.)); #200390=DIRECTION('ref_axis',(0.831033746521844,0.,-0.556221998973312)); #200391=DIRECTION('center_axis',(-1.77802462649993E-14,0.,1.)); #200392=DIRECTION('ref_axis',(-1.,0.,-1.77802462649993E-14)); #200393=DIRECTION('',(-1.,0.,-1.77802462649993E-14)); #200394=DIRECTION('',(0.,-1.,0.)); #200395=DIRECTION('',(-1.,0.,-1.77802462649993E-14)); #200396=DIRECTION('center_axis',(0.709539931388318,0.,0.704665229570369)); #200397=DIRECTION('ref_axis',(-0.704665229570369,0.,0.709539931388318)); #200398=DIRECTION('',(-0.704665229570369,0.,0.709539931388318)); #200399=DIRECTION('',(0.,-1.,0.)); #200400=DIRECTION('',(-0.704665229570369,0.,0.709539931388318)); #200401=DIRECTION('center_axis',(0.,-1.,0.)); #200402=DIRECTION('ref_axis',(0.922471738624655,0.,-0.386064620806939)); #200403=DIRECTION('center_axis',(0.,-1.,0.)); #200404=DIRECTION('ref_axis',(0.922471738624655,0.,-0.386064620806939)); #200405=DIRECTION('',(0.,-1.,0.)); #200406=DIRECTION('center_axis',(0.,-1.,0.)); #200407=DIRECTION('ref_axis',(0.922471738624655,0.,-0.386064620806939)); #200408=DIRECTION('center_axis',(0.837410568845698,0.,-0.546574367479417)); #200409=DIRECTION('ref_axis',(0.546574367479417,0.,0.837410568845698)); #200410=DIRECTION('',(0.546574367479417,0.,0.837410568845698)); #200411=DIRECTION('',(0.,-1.,0.)); #200412=DIRECTION('',(0.546574367479417,0.,0.837410568845698)); #200413=DIRECTION('center_axis',(0.842395671699982,0.,-0.538859473611754)); #200414=DIRECTION('ref_axis',(0.538859473611754,0.,0.842395671699982)); #200415=DIRECTION('',(0.538859473611754,0.,0.842395671699982)); #200416=DIRECTION('',(0.,-1.,0.)); #200417=DIRECTION('',(0.538859473611754,0.,0.842395671699982)); #200418=DIRECTION('center_axis',(0.,-1.,0.)); #200419=DIRECTION('ref_axis',(0.836114878843311,0.,-0.548554381421601)); #200420=DIRECTION('center_axis',(0.,-1.,0.)); #200421=DIRECTION('ref_axis',(0.836114878843311,0.,-0.548554381421601)); #200422=DIRECTION('',(0.,-1.,0.)); #200423=DIRECTION('center_axis',(0.,-1.,0.)); #200424=DIRECTION('ref_axis',(0.836114878843311,0.,-0.548554381421601)); #200425=DIRECTION('center_axis',(0.840802240382719,0.,-0.541342398641932)); #200426=DIRECTION('ref_axis',(0.541342398641932,0.,0.840802240382719)); #200427=DIRECTION('',(0.541342398641932,0.,0.840802240382719)); #200428=DIRECTION('',(0.,-1.,0.)); #200429=DIRECTION('',(0.541342398641932,0.,0.840802240382719)); #200430=DIRECTION('center_axis',(0.846079023921302,0.,-0.533057487781926)); #200431=DIRECTION('ref_axis',(0.533057487781926,0.,0.846079023921301)); #200432=DIRECTION('',(0.533057487781926,0.,0.846079023921301)); #200433=DIRECTION('',(0.,-1.,0.)); #200434=DIRECTION('',(0.533057487781926,0.,0.846079023921302)); #200435=DIRECTION('center_axis',(0.,-1.,0.)); #200436=DIRECTION('ref_axis',(0.838362334496065,0.,-0.54511337912246)); #200437=DIRECTION('center_axis',(0.,-1.,0.)); #200438=DIRECTION('ref_axis',(0.838362334496065,0.,-0.54511337912246)); #200439=DIRECTION('',(0.,-1.,0.)); #200440=DIRECTION('center_axis',(0.,-1.,0.)); #200441=DIRECTION('ref_axis',(0.838362334496065,0.,-0.54511337912246)); #200442=DIRECTION('center_axis',(0.860867124790886,0.,-0.508829827598848)); #200443=DIRECTION('ref_axis',(0.508829827598848,0.,0.860867124790886)); #200444=DIRECTION('',(0.508829827598848,0.,0.860867124790886)); #200445=DIRECTION('',(0.,-1.,0.)); #200446=DIRECTION('',(0.508829827598848,0.,0.860867124790886)); #200447=DIRECTION('center_axis',(0.402325835486871,0.,-0.915496544013024)); #200448=DIRECTION('ref_axis',(0.915496544013024,0.,0.402325835486871)); #200449=DIRECTION('',(0.915496544013024,0.,0.402325835486871)); #200450=DIRECTION('',(0.,-1.,0.)); #200451=DIRECTION('',(0.915496544013024,0.,0.402325835486871)); #200452=DIRECTION('center_axis',(0.,-1.,0.)); #200453=DIRECTION('ref_axis',(-0.750742297860477,0.,-0.660595187844395)); #200454=DIRECTION('center_axis',(0.,-1.,0.)); #200455=DIRECTION('ref_axis',(-0.750742297860477,0.,-0.660595187844395)); #200456=DIRECTION('',(0.,-1.,0.)); #200457=DIRECTION('center_axis',(0.,-1.,0.)); #200458=DIRECTION('ref_axis',(-0.750742297860477,0.,-0.660595187844395)); #200459=DIRECTION('center_axis',(0.,-1.,0.)); #200460=DIRECTION('ref_axis',(-0.831858616721832,0.,-0.554987605073879)); #200461=DIRECTION('center_axis',(0.,-1.,0.)); #200462=DIRECTION('ref_axis',(-0.831858616721832,0.,-0.554987605073879)); #200463=DIRECTION('',(0.,-1.,0.)); #200464=DIRECTION('center_axis',(0.,-1.,0.)); #200465=DIRECTION('ref_axis',(-0.831858616721832,0.,-0.554987605073879)); #200466=DIRECTION('center_axis',(-0.833446215501084,0.,-0.552600584388871)); #200467=DIRECTION('ref_axis',(0.552600584388871,0.,-0.833446215501084)); #200468=DIRECTION('',(0.552600584388871,0.,-0.833446215501084)); #200469=DIRECTION('',(0.,-1.,0.)); #200470=DIRECTION('',(0.552600584388871,0.,-0.833446215501084)); #200471=DIRECTION('center_axis',(-0.826614920103684,0.,-0.562767957387394)); #200472=DIRECTION('ref_axis',(0.562767957387394,0.,-0.826614920103684)); #200473=DIRECTION('',(0.562767957387394,0.,-0.826614920103684)); #200474=DIRECTION('',(0.,-1.,0.)); #200475=DIRECTION('',(0.562767957387394,0.,-0.826614920103684)); #200476=DIRECTION('center_axis',(0.,-1.,0.)); #200477=DIRECTION('ref_axis',(-0.836006403130425,0.,-0.548719686110248)); #200478=DIRECTION('center_axis',(0.,-1.,0.)); #200479=DIRECTION('ref_axis',(-0.836006403130425,0.,-0.548719686110248)); #200480=DIRECTION('center_axis',(0.,-1.,0.)); #200481=DIRECTION('ref_axis',(-0.836006403130425,0.,-0.548719686110248)); #200482=DIRECTION('center_axis',(0.,1.,0.)); #200483=DIRECTION('ref_axis',(1.,0.,0.)); #200484=DIRECTION('center_axis',(0.,-1.,0.)); #200485=DIRECTION('ref_axis',(0.0841032827214918,0.,0.996457042644322)); #200486=DIRECTION('center_axis',(0.,1.,0.)); #200487=DIRECTION('ref_axis',(0.0841032827214918,0.,0.996457042644322)); #200488=DIRECTION('',(0.,-1.,0.)); #200489=DIRECTION('center_axis',(0.,1.,0.)); #200490=DIRECTION('ref_axis',(0.0841032827214918,0.,0.996457042644322)); #200491=DIRECTION('',(0.,-1.,0.)); #200492=DIRECTION('center_axis',(0.,-1.,0.)); #200493=DIRECTION('ref_axis',(-0.0844823162029894,0.,0.99642497873597)); #200494=DIRECTION('center_axis',(0.,1.,0.)); #200495=DIRECTION('ref_axis',(-0.0844823162029894,0.,0.99642497873597)); #200496=DIRECTION('',(0.,-1.,0.)); #200497=DIRECTION('center_axis',(0.,1.,0.)); #200498=DIRECTION('ref_axis',(-0.0844823162029894,0.,0.99642497873597)); #200499=DIRECTION('center_axis',(0.,-1.,0.)); #200500=DIRECTION('ref_axis',(-0.992321170186817,0.,0.123687894310907)); #200501=DIRECTION('center_axis',(0.,1.,0.)); #200502=DIRECTION('ref_axis',(-0.992321170186817,0.,0.123687894310907)); #200503=DIRECTION('',(0.,-1.,0.)); #200504=DIRECTION('center_axis',(0.,1.,0.)); #200505=DIRECTION('ref_axis',(-0.992321170186817,0.,0.123687894310907)); #200506=DIRECTION('center_axis',(1.,0.,0.)); #200507=DIRECTION('ref_axis',(0.,0.,1.)); #200508=DIRECTION('',(0.,0.,1.)); #200509=DIRECTION('',(0.,-1.,0.)); #200510=DIRECTION('',(0.,0.,1.)); #200511=DIRECTION('center_axis',(0.,-1.,0.)); #200512=DIRECTION('ref_axis',(-0.959032396437657,0.,0.283296421761808)); #200513=DIRECTION('center_axis',(0.,1.,0.)); #200514=DIRECTION('ref_axis',(-0.959032396437657,0.,0.283296421761808)); #200515=DIRECTION('',(0.,-1.,0.)); #200516=DIRECTION('center_axis',(0.,1.,0.)); #200517=DIRECTION('ref_axis',(-0.959032396437657,0.,0.283296421761808)); #200518=DIRECTION('center_axis',(0.,-1.,0.)); #200519=DIRECTION('ref_axis',(-0.308977037815654,0.,-0.951069498040319)); #200520=DIRECTION('center_axis',(0.,1.,0.)); #200521=DIRECTION('ref_axis',(-0.308977037815654,0.,-0.951069498040319)); #200522=DIRECTION('',(0.,-1.,0.)); #200523=DIRECTION('center_axis',(0.,1.,0.)); #200524=DIRECTION('ref_axis',(-0.308977037815654,0.,-0.951069498040319)); #200525=DIRECTION('center_axis',(0.,-1.,0.)); #200526=DIRECTION('ref_axis',(-0.140588811532258,0.,-0.990068071433448)); #200527=DIRECTION('center_axis',(0.,1.,0.)); #200528=DIRECTION('ref_axis',(-0.140588811532258,0.,-0.990068071433448)); #200529=DIRECTION('',(0.,-1.,0.)); #200530=DIRECTION('center_axis',(0.,1.,0.)); #200531=DIRECTION('ref_axis',(-0.140588811532258,0.,-0.990068071433448)); #200532=DIRECTION('center_axis',(0.,-1.,0.)); #200533=DIRECTION('ref_axis',(0.691904914682664,0.,-0.721988634978401)); #200534=DIRECTION('center_axis',(0.,1.,0.)); #200535=DIRECTION('ref_axis',(0.691904914682664,0.,-0.721988634978401)); #200536=DIRECTION('',(0.,-1.,0.)); #200537=DIRECTION('center_axis',(0.,1.,0.)); #200538=DIRECTION('ref_axis',(0.691904914682664,0.,-0.721988634978401)); #200539=DIRECTION('center_axis',(0.,-1.,0.)); #200540=DIRECTION('ref_axis',(0.997848105404491,0.,-0.0655679688618441)); #200541=DIRECTION('center_axis',(0.,1.,0.)); #200542=DIRECTION('ref_axis',(0.997848105404491,0.,-0.0655679688618441)); #200543=DIRECTION('',(0.,-1.,0.)); #200544=DIRECTION('center_axis',(0.,1.,0.)); #200545=DIRECTION('ref_axis',(0.997848105404491,0.,-0.0655679688618441)); #200546=DIRECTION('center_axis',(0.,-1.,0.)); #200547=DIRECTION('ref_axis',(0.99929849180326,0.,0.0374502907829883)); #200548=DIRECTION('center_axis',(0.,1.,0.)); #200549=DIRECTION('ref_axis',(0.99929849180326,0.,0.0374502907829883)); #200550=DIRECTION('center_axis',(0.,1.,0.)); #200551=DIRECTION('ref_axis',(0.99929849180326,0.,0.0374502907829883)); #200552=DIRECTION('center_axis',(-0.999999998341481,0.,5.75937337142938E-5)); #200553=DIRECTION('ref_axis',(-5.75937337142938E-5,0.,-0.999999998341481)); #200554=DIRECTION('',(-5.75937337142938E-5,0.,-0.999999998341481)); #200555=DIRECTION('',(0.,-1.,0.)); #200556=DIRECTION('',(-5.75937337142938E-5,0.,-0.999999998341481)); #200557=DIRECTION('',(0.,-1.,0.)); #200558=DIRECTION('center_axis',(0.,-1.,0.)); #200559=DIRECTION('ref_axis',(-0.994594336435286,0.,-0.103836919883311)); #200560=DIRECTION('center_axis',(0.,-1.,0.)); #200561=DIRECTION('ref_axis',(-0.994594336435286,0.,-0.103836919883311)); #200562=DIRECTION('',(0.,-1.,0.)); #200563=DIRECTION('center_axis',(0.,-1.,0.)); #200564=DIRECTION('ref_axis',(-0.994594336435286,0.,-0.103836919883311)); #200565=DIRECTION('center_axis',(0.,-1.,0.)); #200566=DIRECTION('ref_axis',(-0.756310725311285,0.,0.654212570025308)); #200567=DIRECTION('center_axis',(0.,-1.,0.)); #200568=DIRECTION('ref_axis',(-0.756310725311285,0.,0.654212570025308)); #200569=DIRECTION('',(0.,-1.,0.)); #200570=DIRECTION('center_axis',(0.,-1.,0.)); #200571=DIRECTION('ref_axis',(-0.756310725311285,0.,0.654212570025308)); #200572=DIRECTION('center_axis',(0.,-1.,0.)); #200573=DIRECTION('ref_axis',(-0.102516873156316,0.,0.994731265577921)); #200574=DIRECTION('center_axis',(0.,-1.,0.)); #200575=DIRECTION('ref_axis',(-0.102516873156316,0.,0.994731265577921)); #200576=DIRECTION('',(0.,-1.,0.)); #200577=DIRECTION('center_axis',(0.,-1.,0.)); #200578=DIRECTION('ref_axis',(-0.102516873156316,0.,0.994731265577921)); #200579=DIRECTION('center_axis',(0.,-1.,0.)); #200580=DIRECTION('ref_axis',(0.206550258084329,0.,0.978435992227032)); #200581=DIRECTION('center_axis',(0.,-1.,0.)); #200582=DIRECTION('ref_axis',(0.206550258084329,0.,0.978435992227032)); #200583=DIRECTION('',(0.,-1.,0.)); #200584=DIRECTION('center_axis',(0.,-1.,0.)); #200585=DIRECTION('ref_axis',(0.206550258084329,0.,0.978435992227032)); #200586=DIRECTION('center_axis',(0.,-1.,0.)); #200587=DIRECTION('ref_axis',(0.991280053607585,0.,0.131771982301795)); #200588=DIRECTION('center_axis',(0.,-1.,0.)); #200589=DIRECTION('ref_axis',(0.991280053607585,0.,0.131771982301795)); #200590=DIRECTION('',(0.,-1.,0.)); #200591=DIRECTION('center_axis',(0.,-1.,0.)); #200592=DIRECTION('ref_axis',(0.991280053607585,0.,0.131771982301795)); #200593=DIRECTION('center_axis',(0.,-1.,0.)); #200594=DIRECTION('ref_axis',(0.897059493966251,0.,-0.441909792022098)); #200595=DIRECTION('center_axis',(0.,-1.,0.)); #200596=DIRECTION('ref_axis',(0.897059493966251,0.,-0.441909792022098)); #200597=DIRECTION('',(0.,-1.,0.)); #200598=DIRECTION('center_axis',(0.,-1.,0.)); #200599=DIRECTION('ref_axis',(0.897059493966251,0.,-0.441909792022098)); #200600=DIRECTION('center_axis',(0.,-1.,0.)); #200601=DIRECTION('ref_axis',(0.0722669924182612,0.,-0.997385322634547)); #200602=DIRECTION('center_axis',(0.,-1.,0.)); #200603=DIRECTION('ref_axis',(0.0722669924182612,0.,-0.997385322634547)); #200604=DIRECTION('',(0.,-1.,0.)); #200605=DIRECTION('center_axis',(0.,-1.,0.)); #200606=DIRECTION('ref_axis',(0.0722669924182612,0.,-0.997385322634547)); #200607=DIRECTION('center_axis',(0.,-1.,0.)); #200608=DIRECTION('ref_axis',(-0.0893692863047088,0.,-0.995998559569936)); #200609=DIRECTION('center_axis',(0.,-1.,0.)); #200610=DIRECTION('ref_axis',(-0.0893692863047088,0.,-0.995998559569936)); #200611=DIRECTION('',(0.,-1.,0.)); #200612=DIRECTION('center_axis',(0.,-1.,0.)); #200613=DIRECTION('ref_axis',(-0.0893692863047088,0.,-0.995998559569936)); #200614=DIRECTION('center_axis',(0.,-1.,0.)); #200615=DIRECTION('ref_axis',(-0.764777910595701,0.,-0.644293991485932)); #200616=DIRECTION('center_axis',(0.,-1.,0.)); #200617=DIRECTION('ref_axis',(-0.764777910595701,0.,-0.644293991485932)); #200618=DIRECTION('',(0.,-1.,0.)); #200619=DIRECTION('center_axis',(0.,-1.,0.)); #200620=DIRECTION('ref_axis',(-0.764777910595701,0.,-0.644293991485932)); #200621=DIRECTION('center_axis',(-0.999857530860449,0.,-0.0168795136673708)); #200622=DIRECTION('ref_axis',(0.0168795136673708,0.,-0.999857530860449)); #200623=DIRECTION('',(0.0168795136673708,0.,-0.999857530860449)); #200624=DIRECTION('',(0.0168795136673708,0.,-0.999857530860449)); #200625=DIRECTION('center_axis',(0.,1.,0.)); #200626=DIRECTION('ref_axis',(1.,0.,0.)); #200627=DIRECTION('center_axis',(-1.,0.,0.)); #200628=DIRECTION('ref_axis',(0.,0.,-1.)); #200629=DIRECTION('',(0.,0.,-1.)); #200630=DIRECTION('',(0.,-1.,0.)); #200631=DIRECTION('',(0.,0.,-1.)); #200632=DIRECTION('',(0.,-1.,0.)); #200633=DIRECTION('center_axis',(0.,-1.,0.)); #200634=DIRECTION('ref_axis',(-0.981901085376536,0.,-0.189394452232321)); #200635=DIRECTION('center_axis',(0.,-1.,0.)); #200636=DIRECTION('ref_axis',(-0.981901085376536,0.,-0.189394452232321)); #200637=DIRECTION('',(0.,-1.,0.)); #200638=DIRECTION('center_axis',(0.,-1.,0.)); #200639=DIRECTION('ref_axis',(-0.981901085376536,0.,-0.189394452232321)); #200640=DIRECTION('center_axis',(0.,-1.,0.)); #200641=DIRECTION('ref_axis',(-0.333933466246464,0.,0.942596647628572)); #200642=DIRECTION('center_axis',(0.,-1.,0.)); #200643=DIRECTION('ref_axis',(-0.333933466246464,0.,0.942596647628572)); #200644=DIRECTION('',(0.,-1.,0.)); #200645=DIRECTION('center_axis',(0.,-1.,0.)); #200646=DIRECTION('ref_axis',(-0.333933466246464,0.,0.942596647628572)); #200647=DIRECTION('center_axis',(0.916236338131236,0.,0.400638206725049)); #200648=DIRECTION('ref_axis',(-0.400638206725049,0.,0.916236338131236)); #200649=DIRECTION('',(-0.400638206725049,0.,0.916236338131236)); #200650=DIRECTION('',(0.,-1.,0.)); #200651=DIRECTION('',(-0.400638206725049,0.,0.916236338131236)); #200652=DIRECTION('center_axis',(0.,-1.,0.)); #200653=DIRECTION('ref_axis',(0.945069166518076,0.,0.326870418509886)); #200654=DIRECTION('center_axis',(0.,-1.,0.)); #200655=DIRECTION('ref_axis',(0.945069166518076,0.,0.326870418509886)); #200656=DIRECTION('',(0.,-1.,0.)); #200657=DIRECTION('center_axis',(0.,-1.,0.)); #200658=DIRECTION('ref_axis',(0.945069166518076,0.,0.326870418509886)); #200659=DIRECTION('center_axis',(0.,-1.,0.)); #200660=DIRECTION('ref_axis',(0.107865601196313,0.,-0.994165485258143)); #200661=DIRECTION('center_axis',(0.,-1.,0.)); #200662=DIRECTION('ref_axis',(0.107865601196313,0.,-0.994165485258143)); #200663=DIRECTION('',(0.,-1.,0.)); #200664=DIRECTION('center_axis',(0.,-1.,0.)); #200665=DIRECTION('ref_axis',(0.107865601196313,0.,-0.994165485258143)); #200666=DIRECTION('center_axis',(0.258928950117541,0.,-0.965896370627319)); #200667=DIRECTION('ref_axis',(0.965896370627319,0.,0.258928950117541)); #200668=DIRECTION('',(0.965896370627319,0.,0.258928950117541)); #200669=DIRECTION('',(0.,-1.,0.)); #200670=DIRECTION('',(0.965896370627319,0.,0.258928950117541)); #200671=DIRECTION('center_axis',(0.,-1.,0.)); #200672=DIRECTION('ref_axis',(-0.424224486594702,0.,0.905557057823228)); #200673=DIRECTION('center_axis',(0.,1.,0.)); #200674=DIRECTION('ref_axis',(-0.424224486594702,0.,0.905557057823228)); #200675=DIRECTION('',(0.,-1.,0.)); #200676=DIRECTION('center_axis',(0.,1.,0.)); #200677=DIRECTION('ref_axis',(-0.424224486594702,0.,0.905557057823228)); #200678=DIRECTION('center_axis',(0.999999995173193,0.,-9.82528082591681E-5)); #200679=DIRECTION('ref_axis',(9.82528082591681E-5,0.,0.999999995173193)); #200680=DIRECTION('',(9.82528082591681E-5,0.,0.999999995173193)); #200681=DIRECTION('',(0.,-1.,0.)); #200682=DIRECTION('',(9.82528082591681E-5,0.,0.999999995173193)); #200683=DIRECTION('center_axis',(0.987531987715767,0.,0.15741846536587)); #200684=DIRECTION('ref_axis',(-0.15741846536587,0.,0.987531987715767)); #200685=DIRECTION('',(-0.15741846536587,0.,0.987531987715767)); #200686=DIRECTION('',(0.,-1.,0.)); #200687=DIRECTION('',(-0.15741846536587,0.,0.987531987715767)); #200688=DIRECTION('center_axis',(0.,0.,1.)); #200689=DIRECTION('ref_axis',(-1.,0.,0.)); #200690=DIRECTION('',(-1.,0.,0.)); #200691=DIRECTION('',(0.,-1.,0.)); #200692=DIRECTION('',(-1.,0.,0.)); #200693=DIRECTION('center_axis',(0.,-1.,0.)); #200694=DIRECTION('ref_axis',(-0.0540761746338758,0.,0.998536813210693)); #200695=DIRECTION('center_axis',(0.,-1.,0.)); #200696=DIRECTION('ref_axis',(-0.0540761746338758,0.,0.998536813210693)); #200697=DIRECTION('',(0.,-1.,0.)); #200698=DIRECTION('center_axis',(0.,-1.,0.)); #200699=DIRECTION('ref_axis',(-0.0540761746338758,0.,0.998536813210693)); #200700=DIRECTION('center_axis',(0.457659457982339,0.,0.889127561443976)); #200701=DIRECTION('ref_axis',(-0.889127561443976,0.,0.457659457982339)); #200702=DIRECTION('',(-0.889127561443976,0.,0.457659457982339)); #200703=DIRECTION('',(0.,-1.,0.)); #200704=DIRECTION('',(-0.889127561443976,0.,0.457659457982339)); #200705=DIRECTION('center_axis',(0.647458734219373,0.,0.762100510092368)); #200706=DIRECTION('ref_axis',(-0.762100510092368,0.,0.647458734219372)); #200707=DIRECTION('',(-0.762100510092368,0.,0.647458734219372)); #200708=DIRECTION('',(0.,-1.,0.)); #200709=DIRECTION('',(-0.762100510092368,0.,0.647458734219372)); #200710=DIRECTION('center_axis',(0.806989843015198,0.,0.590565316684198)); #200711=DIRECTION('ref_axis',(-0.590565316684198,0.,0.806989843015198)); #200712=DIRECTION('',(-0.590565316684198,0.,0.806989843015198)); #200713=DIRECTION('',(0.,-1.,0.)); #200714=DIRECTION('',(-0.590565316684198,0.,0.806989843015198)); #200715=DIRECTION('center_axis',(0.911710779679415,0.,0.410832635286383)); #200716=DIRECTION('ref_axis',(-0.410832635286383,0.,0.911710779679415)); #200717=DIRECTION('',(-0.410832635286383,0.,0.911710779679415)); #200718=DIRECTION('',(0.,-1.,0.)); #200719=DIRECTION('',(-0.410832635286383,0.,0.911710779679415)); #200720=DIRECTION('center_axis',(0.,-1.,0.)); #200721=DIRECTION('ref_axis',(0.962698745546949,0.,0.270575544575503)); #200722=DIRECTION('center_axis',(0.,-1.,0.)); #200723=DIRECTION('ref_axis',(0.962698745546949,0.,0.270575544575503)); #200724=DIRECTION('',(0.,-1.,0.)); #200725=DIRECTION('center_axis',(0.,-1.,0.)); #200726=DIRECTION('ref_axis',(0.962698745546949,0.,0.270575544575503)); #200727=DIRECTION('center_axis',(0.461108403518575,0.,-0.887343811723816)); #200728=DIRECTION('ref_axis',(0.887343811723816,0.,0.461108403518575)); #200729=DIRECTION('',(0.887343811723816,0.,0.461108403518575)); #200730=DIRECTION('',(0.,-1.,0.)); #200731=DIRECTION('',(0.887343811723816,0.,0.461108403518575)); #200732=DIRECTION('center_axis',(0.283034839363311,0.,-0.959109628617388)); #200733=DIRECTION('ref_axis',(0.959109628617388,0.,0.283034839363311)); #200734=DIRECTION('',(0.959109628617388,0.,0.283034839363311)); #200735=DIRECTION('',(0.,-1.,0.)); #200736=DIRECTION('',(0.959109628617388,0.,0.283034839363311)); #200737=DIRECTION('center_axis',(0.,-1.,0.)); #200738=DIRECTION('ref_axis',(0.0419581518275055,0.,-0.999119368992124)); #200739=DIRECTION('center_axis',(0.,-1.,0.)); #200740=DIRECTION('ref_axis',(0.0419581518275055,0.,-0.999119368992124)); #200741=DIRECTION('',(0.,-1.,0.)); #200742=DIRECTION('center_axis',(0.,-1.,0.)); #200743=DIRECTION('ref_axis',(0.0419581518275055,0.,-0.999119368992124)); #200744=DIRECTION('center_axis',(0.,-1.,0.)); #200745=DIRECTION('ref_axis',(0.99545324751467,0.,0.0952514147532522)); #200746=DIRECTION('center_axis',(0.,-1.,0.)); #200747=DIRECTION('ref_axis',(0.99545324751467,0.,0.0952514147532522)); #200748=DIRECTION('',(0.,-1.,0.)); #200749=DIRECTION('center_axis',(0.,-1.,0.)); #200750=DIRECTION('ref_axis',(0.99545324751467,0.,0.0952514147532522)); #200751=DIRECTION('center_axis',(0.94138038275639,0.,-0.337346965247106)); #200752=DIRECTION('ref_axis',(0.337346965247106,0.,0.94138038275639)); #200753=DIRECTION('',(0.337346965247106,0.,0.94138038275639)); #200754=DIRECTION('',(0.,-1.,0.)); #200755=DIRECTION('',(0.337346965247106,0.,0.94138038275639)); #200756=DIRECTION('center_axis',(0.811782612537986,0.,-0.583959750309045)); #200757=DIRECTION('ref_axis',(0.583959750309045,0.,0.811782612537986)); #200758=DIRECTION('',(0.583959750309045,0.,0.811782612537986)); #200759=DIRECTION('',(0.,-1.,0.)); #200760=DIRECTION('',(0.583959750309045,0.,0.811782612537986)); #200761=DIRECTION('center_axis',(0.642012983015967,0.,-0.766693765227643)); #200762=DIRECTION('ref_axis',(0.766693765227643,0.,0.642012983015967)); #200763=DIRECTION('',(0.766693765227643,0.,0.642012983015967)); #200764=DIRECTION('',(0.,-1.,0.)); #200765=DIRECTION('',(0.766693765227643,0.,0.642012983015967)); #200766=DIRECTION('center_axis',(0.474805888581247,0.,-0.880090545437555)); #200767=DIRECTION('ref_axis',(0.880090545437555,0.,0.474805888581247)); #200768=DIRECTION('',(0.880090545437555,0.,0.474805888581247)); #200769=DIRECTION('',(0.,-1.,0.)); #200770=DIRECTION('',(0.880090545437555,0.,0.474805888581247)); #200771=DIRECTION('center_axis',(0.,-1.,0.)); #200772=DIRECTION('ref_axis',(0.549096711046578,0.,-0.835758818031752)); #200773=DIRECTION('center_axis',(0.,-1.,0.)); #200774=DIRECTION('ref_axis',(0.549096711046578,0.,-0.835758818031752)); #200775=DIRECTION('',(0.,-1.,0.)); #200776=DIRECTION('center_axis',(0.,-1.,0.)); #200777=DIRECTION('ref_axis',(0.549096711046578,0.,-0.835758818031752)); #200778=DIRECTION('center_axis',(0.,-1.,0.)); #200779=DIRECTION('ref_axis',(-0.268643446251304,0.,-0.963239689166836)); #200780=DIRECTION('center_axis',(0.,-1.,0.)); #200781=DIRECTION('ref_axis',(-0.268643446251304,0.,-0.963239689166836)); #200782=DIRECTION('',(0.,-1.,0.)); #200783=DIRECTION('center_axis',(0.,-1.,0.)); #200784=DIRECTION('ref_axis',(-0.268643446251304,0.,-0.963239689166836)); #200785=DIRECTION('center_axis',(0.,-1.,0.)); #200786=DIRECTION('ref_axis',(-0.998305332968108,0.,-0.0581933172059748)); #200787=DIRECTION('center_axis',(0.,-1.,0.)); #200788=DIRECTION('ref_axis',(-0.998305332968108,0.,-0.0581933172059748)); #200789=DIRECTION('',(0.,-1.,0.)); #200790=DIRECTION('center_axis',(0.,-1.,0.)); #200791=DIRECTION('ref_axis',(-0.998305332968108,0.,-0.0581933172059748)); #200792=DIRECTION('center_axis',(-0.993242276513543,0.,-0.116059381982648)); #200793=DIRECTION('ref_axis',(0.116059381982648,0.,-0.993242276513543)); #200794=DIRECTION('',(0.116059381982648,0.,-0.993242276513543)); #200795=DIRECTION('',(0.,-1.,0.)); #200796=DIRECTION('',(0.116059381982648,0.,-0.993242276513543)); #200797=DIRECTION('center_axis',(0.,-1.,0.)); #200798=DIRECTION('ref_axis',(0.999998296354578,0.,0.0018458840539986)); #200799=DIRECTION('center_axis',(0.,1.,0.)); #200800=DIRECTION('ref_axis',(0.999998296354578,0.,0.0018458840539986)); #200801=DIRECTION('',(0.,-1.,0.)); #200802=DIRECTION('center_axis',(0.,1.,0.)); #200803=DIRECTION('ref_axis',(0.999998296354578,0.,0.0018458840539986)); #200804=DIRECTION('center_axis',(0.,-1.,0.)); #200805=DIRECTION('ref_axis',(0.671265046217227,0.,0.741217402471761)); #200806=DIRECTION('center_axis',(0.,1.,0.)); #200807=DIRECTION('ref_axis',(0.671265046217227,0.,0.741217402471761)); #200808=DIRECTION('',(0.,-1.,0.)); #200809=DIRECTION('center_axis',(0.,1.,0.)); #200810=DIRECTION('ref_axis',(0.671265046217227,0.,0.741217402471761)); #200811=DIRECTION('center_axis',(0.,-1.,0.)); #200812=DIRECTION('ref_axis',(0.0554406602891854,0.,0.998461983846505)); #200813=DIRECTION('center_axis',(0.,1.,0.)); #200814=DIRECTION('ref_axis',(0.0554406602891854,0.,0.998461983846505)); #200815=DIRECTION('',(0.,-1.,0.)); #200816=DIRECTION('center_axis',(0.,1.,0.)); #200817=DIRECTION('ref_axis',(0.0554406602891854,0.,0.998461983846505)); #200818=DIRECTION('center_axis',(-0.0810613056214548,0.,-0.996709117411366)); #200819=DIRECTION('ref_axis',(0.996709117411367,0.,-0.0810613056214548)); #200820=DIRECTION('',(0.996709117411367,0.,-0.0810613056214548)); #200821=DIRECTION('',(0.,-1.,0.)); #200822=DIRECTION('',(0.996709117411367,0.,-0.0810613056214548)); #200823=DIRECTION('center_axis',(-0.153971476854802,0.,-0.988075292837115)); #200824=DIRECTION('ref_axis',(0.988075292837115,0.,-0.153971476854802)); #200825=DIRECTION('',(0.988075292837115,0.,-0.153971476854802)); #200826=DIRECTION('',(0.,-1.,0.)); #200827=DIRECTION('',(0.988075292837115,0.,-0.153971476854802)); #200828=DIRECTION('center_axis',(-0.258711593225636,0.,-0.965954611527194)); #200829=DIRECTION('ref_axis',(0.965954611527194,0.,-0.258711593225636)); #200830=DIRECTION('',(0.965954611527194,0.,-0.258711593225636)); #200831=DIRECTION('',(0.,-1.,0.)); #200832=DIRECTION('',(0.965954611527194,0.,-0.258711593225636)); #200833=DIRECTION('center_axis',(-0.395661928022025,0.,-0.918396231870479)); #200834=DIRECTION('ref_axis',(0.918396231870479,0.,-0.395661928022025)); #200835=DIRECTION('',(0.918396231870479,0.,-0.395661928022025)); #200836=DIRECTION('',(0.,-1.,0.)); #200837=DIRECTION('',(0.918396231870479,0.,-0.395661928022025)); #200838=DIRECTION('center_axis',(-0.564864424062384,0.,-0.82518372646864)); #200839=DIRECTION('ref_axis',(0.82518372646864,0.,-0.564864424062384)); #200840=DIRECTION('',(0.82518372646864,0.,-0.564864424062384)); #200841=DIRECTION('',(0.,-1.,0.)); #200842=DIRECTION('',(0.82518372646864,0.,-0.564864424062384)); #200843=DIRECTION('center_axis',(-0.738575905730752,0.,-0.674170328236121)); #200844=DIRECTION('ref_axis',(0.674170328236121,0.,-0.738575905730752)); #200845=DIRECTION('',(0.674170328236121,0.,-0.738575905730752)); #200846=DIRECTION('',(0.,-1.,0.)); #200847=DIRECTION('',(0.674170328236121,0.,-0.738575905730752)); #200848=DIRECTION('center_axis',(-0.881002420231789,0.,-0.473111757987191)); #200849=DIRECTION('ref_axis',(0.473111757987191,0.,-0.881002420231789)); #200850=DIRECTION('',(0.473111757987191,0.,-0.881002420231789)); #200851=DIRECTION('',(0.,-1.,0.)); #200852=DIRECTION('',(0.473111757987191,0.,-0.881002420231789)); #200853=DIRECTION('center_axis',(-0.964598113037838,0.,-0.263724250541816)); #200854=DIRECTION('ref_axis',(0.263724250541816,0.,-0.964598113037838)); #200855=DIRECTION('',(0.263724250541816,0.,-0.964598113037838)); #200856=DIRECTION('',(0.,-1.,0.)); #200857=DIRECTION('',(0.263724250541816,0.,-0.964598113037838)); #200858=DIRECTION('center_axis',(-0.997007157355864,0.,-0.0773093020352576)); #200859=DIRECTION('ref_axis',(0.0773093020352576,0.,-0.997007157355864)); #200860=DIRECTION('',(0.0773093020352576,0.,-0.997007157355864)); #200861=DIRECTION('',(0.,-1.,0.)); #200862=DIRECTION('',(0.0773093020352576,0.,-0.997007157355864)); #200863=DIRECTION('center_axis',(-0.999973097557378,0.,-0.00733513200310754)); #200864=DIRECTION('ref_axis',(0.00733513200310754,0.,-0.999973097557378)); #200865=DIRECTION('',(0.00733513200310754,0.,-0.999973097557378)); #200866=DIRECTION('',(0.,-1.,0.)); #200867=DIRECTION('',(0.00733513200310754,0.,-0.999973097557378)); #200868=DIRECTION('center_axis',(0.,-1.,0.)); #200869=DIRECTION('ref_axis',(-0.9999256929815,0.,0.0121905092374295)); #200870=DIRECTION('center_axis',(0.,-1.,0.)); #200871=DIRECTION('ref_axis',(-0.9999256929815,0.,0.0121905092374295)); #200872=DIRECTION('',(0.,-1.,0.)); #200873=DIRECTION('center_axis',(0.,-1.,0.)); #200874=DIRECTION('ref_axis',(-0.9999256929815,0.,0.0121905092374295)); #200875=DIRECTION('center_axis',(-0.916576532646027,0.,0.399859300007624)); #200876=DIRECTION('ref_axis',(-0.399859300007623,0.,-0.916576532646027)); #200877=DIRECTION('',(-0.399859300007623,0.,-0.916576532646027)); #200878=DIRECTION('',(0.,-1.,0.)); #200879=DIRECTION('',(-0.399859300007623,0.,-0.916576532646027)); #200880=DIRECTION('center_axis',(-0.755406695258758,0.,0.655256228324647)); #200881=DIRECTION('ref_axis',(-0.655256228324647,0.,-0.755406695258758)); #200882=DIRECTION('',(-0.655256228324647,0.,-0.755406695258758)); #200883=DIRECTION('',(0.,-1.,0.)); #200884=DIRECTION('',(-0.655256228324647,0.,-0.755406695258758)); #200885=DIRECTION('center_axis',(-0.50735654307735,0.,0.861736234700968)); #200886=DIRECTION('ref_axis',(-0.861736234700968,0.,-0.50735654307735)); #200887=DIRECTION('',(-0.861736234700968,0.,-0.50735654307735)); #200888=DIRECTION('',(0.,-1.,0.)); #200889=DIRECTION('',(-0.861736234700968,0.,-0.50735654307735)); #200890=DIRECTION('center_axis',(0.,-1.,0.)); #200891=DIRECTION('ref_axis',(-0.230279442666094,0.,0.973124544077167)); #200892=DIRECTION('center_axis',(0.,-1.,0.)); #200893=DIRECTION('ref_axis',(-0.230279442666094,0.,0.973124544077167)); #200894=DIRECTION('center_axis',(0.,-1.,0.)); #200895=DIRECTION('ref_axis',(-0.230279442666094,0.,0.973124544077167)); #200896=DIRECTION('center_axis',(0.,1.,0.)); #200897=DIRECTION('ref_axis',(1.,0.,0.)); #200898=DIRECTION('center_axis',(1.,0.,0.)); #200899=DIRECTION('ref_axis',(0.,0.,1.)); #200900=DIRECTION('',(0.,0.,1.)); #200901=DIRECTION('',(0.,-1.,0.)); #200902=DIRECTION('',(0.,0.,1.)); #200903=DIRECTION('',(0.,-1.,0.)); #200904=DIRECTION('center_axis',(0.,0.,1.)); #200905=DIRECTION('ref_axis',(-1.,0.,0.)); #200906=DIRECTION('',(-1.,0.,0.)); #200907=DIRECTION('',(0.,-1.,0.)); #200908=DIRECTION('',(-1.,0.,0.)); #200909=DIRECTION('center_axis',(-1.,0.,0.)); #200910=DIRECTION('ref_axis',(0.,0.,-1.)); #200911=DIRECTION('',(0.,0.,-1.)); #200912=DIRECTION('',(0.,-1.,0.)); #200913=DIRECTION('',(0.,0.,-1.)); #200914=DIRECTION('center_axis',(0.,-1.,0.)); #200915=DIRECTION('ref_axis',(-0.806112852634291,0.,0.591761834539712)); #200916=DIRECTION('center_axis',(0.,-1.,0.)); #200917=DIRECTION('ref_axis',(-0.806112852634291,0.,0.591761834539712)); #200918=DIRECTION('',(0.,-1.,0.)); #200919=DIRECTION('center_axis',(0.,-1.,0.)); #200920=DIRECTION('ref_axis',(-0.806112852634291,0.,0.591761834539712)); #200921=DIRECTION('center_axis',(0.,-1.,0.)); #200922=DIRECTION('ref_axis',(0.0131123953667334,0.,0.999914028848354)); #200923=DIRECTION('center_axis',(0.,-1.,0.)); #200924=DIRECTION('ref_axis',(0.0131123953667334,0.,0.999914028848354)); #200925=DIRECTION('',(0.,-1.,0.)); #200926=DIRECTION('center_axis',(0.,-1.,0.)); #200927=DIRECTION('ref_axis',(0.0131123953667334,0.,0.999914028848354)); #200928=DIRECTION('center_axis',(0.,-1.,0.)); #200929=DIRECTION('ref_axis',(0.728195128559811,0.,0.685369867109549)); #200930=DIRECTION('center_axis',(0.,-1.,0.)); #200931=DIRECTION('ref_axis',(0.728195128559811,0.,0.685369867109549)); #200932=DIRECTION('',(0.,-1.,0.)); #200933=DIRECTION('center_axis',(0.,-1.,0.)); #200934=DIRECTION('ref_axis',(0.728195128559811,0.,0.685369867109549)); #200935=DIRECTION('center_axis',(0.,-1.,0.)); #200936=DIRECTION('ref_axis',(0.994607127967175,0.,-0.103714323971611)); #200937=DIRECTION('center_axis',(0.,-1.,0.)); #200938=DIRECTION('ref_axis',(0.994607127967175,0.,-0.103714323971611)); #200939=DIRECTION('',(0.,-1.,0.)); #200940=DIRECTION('center_axis',(0.,-1.,0.)); #200941=DIRECTION('ref_axis',(0.994607127967175,0.,-0.103714323971611)); #200942=DIRECTION('center_axis',(1.,0.,0.)); #200943=DIRECTION('ref_axis',(0.,0.,1.)); #200944=DIRECTION('',(0.,0.,1.)); #200945=DIRECTION('',(0.,-1.,0.)); #200946=DIRECTION('',(0.,0.,1.)); #200947=DIRECTION('center_axis',(0.000938361128328599,0.,-0.999999559739099)); #200948=DIRECTION('ref_axis',(0.9999995597391,0.,0.000938361128328599)); #200949=DIRECTION('',(0.9999995597391,0.,0.000938361128328599)); #200950=DIRECTION('',(0.,-1.,0.)); #200951=DIRECTION('',(0.9999995597391,0.,0.000938361128328599)); #200952=DIRECTION('center_axis',(-1.,0.,-3.12672822537532E-14)); #200953=DIRECTION('ref_axis',(3.12672822537532E-14,0.,-1.)); #200954=DIRECTION('',(3.12672822537532E-14,0.,-1.)); #200955=DIRECTION('',(0.,-1.,0.)); #200956=DIRECTION('',(3.12672822537532E-14,0.,-1.)); #200957=DIRECTION('center_axis',(0.,-1.,0.)); #200958=DIRECTION('ref_axis',(-0.806163944438105,0.,0.591692229700539)); #200959=DIRECTION('center_axis',(0.,-1.,0.)); #200960=DIRECTION('ref_axis',(-0.806163944438105,0.,0.591692229700539)); #200961=DIRECTION('',(0.,-1.,0.)); #200962=DIRECTION('center_axis',(0.,-1.,0.)); #200963=DIRECTION('ref_axis',(-0.806163944438105,0.,0.591692229700539)); #200964=DIRECTION('center_axis',(0.,-1.,0.)); #200965=DIRECTION('ref_axis',(0.0131610867944387,0.,0.999913389146475)); #200966=DIRECTION('center_axis',(0.,-1.,0.)); #200967=DIRECTION('ref_axis',(0.0131610867944387,0.,0.999913389146475)); #200968=DIRECTION('',(0.,-1.,0.)); #200969=DIRECTION('center_axis',(0.,-1.,0.)); #200970=DIRECTION('ref_axis',(0.0131610867944387,0.,0.999913389146475)); #200971=DIRECTION('center_axis',(0.,-1.,0.)); #200972=DIRECTION('ref_axis',(0.728227839903175,0.,0.685335110139526)); #200973=DIRECTION('center_axis',(0.,-1.,0.)); #200974=DIRECTION('ref_axis',(0.728227839903175,0.,0.685335110139526)); #200975=DIRECTION('',(0.,-1.,0.)); #200976=DIRECTION('center_axis',(0.,-1.,0.)); #200977=DIRECTION('ref_axis',(0.728227839903175,0.,0.685335110139526)); #200978=DIRECTION('center_axis',(0.,-1.,0.)); #200979=DIRECTION('ref_axis',(0.9946070698321,0.,-0.103714881478038)); #200980=DIRECTION('center_axis',(0.,-1.,0.)); #200981=DIRECTION('ref_axis',(0.9946070698321,0.,-0.103714881478038)); #200982=DIRECTION('',(0.,-1.,0.)); #200983=DIRECTION('center_axis',(0.,-1.,0.)); #200984=DIRECTION('ref_axis',(0.9946070698321,0.,-0.103714881478038)); #200985=DIRECTION('center_axis',(1.,0.,0.)); #200986=DIRECTION('ref_axis',(0.,0.,1.)); #200987=DIRECTION('',(0.,0.,1.)); #200988=DIRECTION('',(0.,-1.,0.)); #200989=DIRECTION('',(0.,0.,1.)); #200990=DIRECTION('center_axis',(0.,0.,1.)); #200991=DIRECTION('ref_axis',(-1.,0.,0.)); #200992=DIRECTION('',(-1.,0.,0.)); #200993=DIRECTION('',(0.,-1.,0.)); #200994=DIRECTION('',(-1.,0.,0.)); #200995=DIRECTION('center_axis',(-1.,0.,0.)); #200996=DIRECTION('ref_axis',(0.,0.,-1.)); #200997=DIRECTION('',(0.,0.,-1.)); #200998=DIRECTION('',(0.,-1.,0.)); #200999=DIRECTION('',(0.,0.,-1.)); #201000=DIRECTION('center_axis',(0.,0.,-1.)); #201001=DIRECTION('ref_axis',(1.,0.,0.)); #201002=DIRECTION('',(1.,0.,0.)); #201003=DIRECTION('',(0.,-1.,0.)); #201004=DIRECTION('',(1.,0.,0.)); #201005=DIRECTION('center_axis',(0.,-1.,0.)); #201006=DIRECTION('ref_axis',(-0.589000186328627,0.,-0.808132897798897)); #201007=DIRECTION('center_axis',(0.,-1.,0.)); #201008=DIRECTION('ref_axis',(-0.589000186328627,0.,-0.808132897798897)); #201009=DIRECTION('',(0.,-1.,0.)); #201010=DIRECTION('center_axis',(0.,-1.,0.)); #201011=DIRECTION('ref_axis',(-0.589000186328627,0.,-0.808132897798897)); #201012=DIRECTION('center_axis',(0.,-1.,0.)); #201013=DIRECTION('ref_axis',(-0.999923052017467,0.,0.0124052426043871)); #201014=DIRECTION('center_axis',(0.,-1.,0.)); #201015=DIRECTION('ref_axis',(-0.999923052017467,0.,0.0124052426043871)); #201016=DIRECTION('',(0.,-1.,0.)); #201017=DIRECTION('center_axis',(0.,-1.,0.)); #201018=DIRECTION('ref_axis',(-0.999923052017467,0.,0.0124052426043871)); #201019=DIRECTION('center_axis',(0.,-1.,0.)); #201020=DIRECTION('ref_axis',(-0.682398479955092,0.,0.730980379049247)); #201021=DIRECTION('center_axis',(0.,-1.,0.)); #201022=DIRECTION('ref_axis',(-0.682398479955092,0.,0.730980379049247)); #201023=DIRECTION('',(0.,-1.,0.)); #201024=DIRECTION('center_axis',(0.,-1.,0.)); #201025=DIRECTION('ref_axis',(-0.682398479955092,0.,0.730980379049247)); #201026=DIRECTION('center_axis',(0.,-1.,0.)); #201027=DIRECTION('ref_axis',(0.103687872385474,0.,0.994609885895055)); #201028=DIRECTION('center_axis',(0.,-1.,0.)); #201029=DIRECTION('ref_axis',(0.103687872385474,0.,0.994609885895055)); #201030=DIRECTION('',(0.,-1.,0.)); #201031=DIRECTION('center_axis',(0.,-1.,0.)); #201032=DIRECTION('ref_axis',(0.103687872385474,0.,0.994609885895055)); #201033=DIRECTION('center_axis',(0.,0.,1.)); #201034=DIRECTION('ref_axis',(-1.,0.,0.)); #201035=DIRECTION('',(-1.,0.,0.)); #201036=DIRECTION('',(0.,-1.,0.)); #201037=DIRECTION('',(-1.,0.,0.)); #201038=DIRECTION('center_axis',(0.,-1.,0.)); #201039=DIRECTION('ref_axis',(0.589103333229345,0.,0.808057710054224)); #201040=DIRECTION('center_axis',(0.,-1.,0.)); #201041=DIRECTION('ref_axis',(0.589103333229345,0.,0.808057710054224)); #201042=DIRECTION('',(0.,-1.,0.)); #201043=DIRECTION('center_axis',(0.,-1.,0.)); #201044=DIRECTION('ref_axis',(0.589103333229345,0.,0.808057710054224)); #201045=DIRECTION('center_axis',(0.,-1.,0.)); #201046=DIRECTION('ref_axis',(0.999922704381947,0.,-0.0124332321418986)); #201047=DIRECTION('center_axis',(0.,-1.,0.)); #201048=DIRECTION('ref_axis',(0.999922704381947,0.,-0.0124332321418986)); #201049=DIRECTION('',(0.,-1.,0.)); #201050=DIRECTION('center_axis',(0.,-1.,0.)); #201051=DIRECTION('ref_axis',(0.999922704381947,0.,-0.0124332321418986)); #201052=DIRECTION('center_axis',(0.,-1.,0.)); #201053=DIRECTION('ref_axis',(0.682518038029,0.,-0.730868748658092)); #201054=DIRECTION('center_axis',(0.,-1.,0.)); #201055=DIRECTION('ref_axis',(0.682518038029,0.,-0.730868748658092)); #201056=DIRECTION('',(0.,-1.,0.)); #201057=DIRECTION('center_axis',(0.,-1.,0.)); #201058=DIRECTION('ref_axis',(0.682518038029,0.,-0.730868748658092)); #201059=DIRECTION('center_axis',(0.,-1.,0.)); #201060=DIRECTION('ref_axis',(-0.103808182476048,0.,-0.994597336237645)); #201061=DIRECTION('center_axis',(0.,-1.,0.)); #201062=DIRECTION('ref_axis',(-0.103808182476048,0.,-0.994597336237645)); #201063=DIRECTION('',(0.,-1.,0.)); #201064=DIRECTION('center_axis',(0.,-1.,0.)); #201065=DIRECTION('ref_axis',(-0.103808182476048,0.,-0.994597336237645)); #201066=DIRECTION('center_axis',(0.,0.,-1.)); #201067=DIRECTION('ref_axis',(1.,0.,0.)); #201068=DIRECTION('',(1.,0.,0.)); #201069=DIRECTION('',(1.,0.,0.)); #201070=DIRECTION('center_axis',(0.,1.,0.)); #201071=DIRECTION('ref_axis',(1.,0.,0.)); #201072=DIRECTION('center_axis',(0.,-1.,0.)); #201073=DIRECTION('ref_axis',(0.103686780804508,0.,0.994609999691536)); #201074=DIRECTION('center_axis',(0.,-1.,0.)); #201075=DIRECTION('ref_axis',(0.103686780804508,0.,0.994609999691536)); #201076=DIRECTION('',(0.,-1.,0.)); #201077=DIRECTION('center_axis',(0.,-1.,0.)); #201078=DIRECTION('ref_axis',(0.103686780804508,0.,0.994609999691536)); #201079=DIRECTION('',(0.,-1.,0.)); #201080=DIRECTION('center_axis',(-5.57771384602884E-14,0.,1.)); #201081=DIRECTION('ref_axis',(-1.,0.,-5.57771384602884E-14)); #201082=DIRECTION('',(-1.,0.,-5.57771384602884E-14)); #201083=DIRECTION('',(0.,-1.,0.)); #201084=DIRECTION('',(-1.,0.,-5.57771384602884E-14)); #201085=DIRECTION('center_axis',(0.,-1.,0.)); #201086=DIRECTION('ref_axis',(0.589099009251468,0.,0.808060862372965)); #201087=DIRECTION('center_axis',(0.,-1.,0.)); #201088=DIRECTION('ref_axis',(0.589099009251468,0.,0.808060862372965)); #201089=DIRECTION('',(0.,-1.,0.)); #201090=DIRECTION('center_axis',(0.,-1.,0.)); #201091=DIRECTION('ref_axis',(0.589099009251468,0.,0.808060862372965)); #201092=DIRECTION('center_axis',(0.,-1.,0.)); #201093=DIRECTION('ref_axis',(0.999924092849572,0.,-0.0123210607887894)); #201094=DIRECTION('center_axis',(0.,-1.,0.)); #201095=DIRECTION('ref_axis',(0.999924092849572,0.,-0.0123210607887894)); #201096=DIRECTION('',(0.,-1.,0.)); #201097=DIRECTION('center_axis',(0.,-1.,0.)); #201098=DIRECTION('ref_axis',(0.999924092849572,0.,-0.0123210607887894)); #201099=DIRECTION('center_axis',(0.,-1.,0.)); #201100=DIRECTION('ref_axis',(0.68243534235707,0.,-0.730945964830499)); #201101=DIRECTION('center_axis',(0.,-1.,0.)); #201102=DIRECTION('ref_axis',(0.68243534235707,0.,-0.730945964830499)); #201103=DIRECTION('',(0.,-1.,0.)); #201104=DIRECTION('center_axis',(0.,-1.,0.)); #201105=DIRECTION('ref_axis',(0.68243534235707,0.,-0.730945964830499)); #201106=DIRECTION('center_axis',(0.,-1.,0.)); #201107=DIRECTION('ref_axis',(-0.103809503382141,0.,-0.994597198371056)); #201108=DIRECTION('center_axis',(0.,-1.,0.)); #201109=DIRECTION('ref_axis',(-0.103809503382141,0.,-0.994597198371056)); #201110=DIRECTION('',(0.,-1.,0.)); #201111=DIRECTION('center_axis',(0.,-1.,0.)); #201112=DIRECTION('ref_axis',(-0.103809503382141,0.,-0.994597198371056)); #201113=DIRECTION('center_axis',(0.,0.,-1.)); #201114=DIRECTION('ref_axis',(1.,0.,0.)); #201115=DIRECTION('',(1.,0.,0.)); #201116=DIRECTION('',(0.,-1.,0.)); #201117=DIRECTION('',(1.,0.,0.)); #201118=DIRECTION('center_axis',(1.,0.,0.)); #201119=DIRECTION('ref_axis',(0.,0.,1.)); #201120=DIRECTION('',(0.,0.,1.)); #201121=DIRECTION('',(0.,-1.,0.)); #201122=DIRECTION('',(0.,0.,1.)); #201123=DIRECTION('center_axis',(0.,0.,1.)); #201124=DIRECTION('ref_axis',(-1.,0.,0.)); #201125=DIRECTION('',(-1.,0.,0.)); #201126=DIRECTION('',(0.,-1.,0.)); #201127=DIRECTION('',(-1.,0.,0.)); #201128=DIRECTION('center_axis',(0.,-1.,0.)); #201129=DIRECTION('ref_axis',(-0.907535849991987,0.,0.419974619446606)); #201130=DIRECTION('center_axis',(0.,-1.,0.)); #201131=DIRECTION('ref_axis',(-0.907535849991987,0.,0.419974619446606)); #201132=DIRECTION('',(0.,-1.,0.)); #201133=DIRECTION('center_axis',(0.,-1.,0.)); #201134=DIRECTION('ref_axis',(-0.907535849991987,0.,0.419974619446606)); #201135=DIRECTION('center_axis',(0.,-1.,0.)); #201136=DIRECTION('ref_axis',(-0.00898110940382545,0.,0.999959669023644)); #201137=DIRECTION('center_axis',(0.,-1.,0.)); #201138=DIRECTION('ref_axis',(-0.00898110940382545,0.,0.999959669023644)); #201139=DIRECTION('',(0.,-1.,0.)); #201140=DIRECTION('center_axis',(0.,-1.,0.)); #201141=DIRECTION('ref_axis',(-0.00898110940382545,0.,0.999959669023644)); #201142=DIRECTION('center_axis',(0.,-1.,0.)); #201143=DIRECTION('ref_axis',(0.728228981323645,0.,0.685333897279513)); #201144=DIRECTION('center_axis',(0.,-1.,0.)); #201145=DIRECTION('ref_axis',(0.728228981323645,0.,0.685333897279513)); #201146=DIRECTION('',(0.,-1.,0.)); #201147=DIRECTION('center_axis',(0.,-1.,0.)); #201148=DIRECTION('ref_axis',(0.728228981323645,0.,0.685333897279513)); #201149=DIRECTION('center_axis',(0.,-1.,0.)); #201150=DIRECTION('ref_axis',(0.994616265597602,0.,-0.103626657809084)); #201151=DIRECTION('center_axis',(0.,-1.,0.)); #201152=DIRECTION('ref_axis',(0.994616265597602,0.,-0.103626657809084)); #201153=DIRECTION('',(0.,-1.,0.)); #201154=DIRECTION('center_axis',(0.,-1.,0.)); #201155=DIRECTION('ref_axis',(0.994616265597602,0.,-0.103626657809084)); #201156=DIRECTION('center_axis',(1.,0.,5.29939391229202E-14)); #201157=DIRECTION('ref_axis',(-5.29939391229202E-14,0.,1.)); #201158=DIRECTION('',(-5.29939391229202E-14,0.,1.)); #201159=DIRECTION('',(0.,-1.,0.)); #201160=DIRECTION('',(-5.29939391229202E-14,0.,1.)); #201161=DIRECTION('center_axis',(0.,-1.,0.)); #201162=DIRECTION('ref_axis',(0.806113504595066,0.,-0.591760946421324)); #201163=DIRECTION('center_axis',(0.,-1.,0.)); #201164=DIRECTION('ref_axis',(0.806113504595066,0.,-0.591760946421324)); #201165=DIRECTION('',(0.,-1.,0.)); #201166=DIRECTION('center_axis',(0.,-1.,0.)); #201167=DIRECTION('ref_axis',(0.806113504595066,0.,-0.591760946421324)); #201168=DIRECTION('center_axis',(0.,-1.,0.)); #201169=DIRECTION('ref_axis',(-0.0131628861381446,0.,-0.999913365461485)); #201170=DIRECTION('center_axis',(0.,-1.,0.)); #201171=DIRECTION('ref_axis',(-0.0131628861381446,0.,-0.999913365461485)); #201172=DIRECTION('',(0.,-1.,0.)); #201173=DIRECTION('center_axis',(0.,-1.,0.)); #201174=DIRECTION('ref_axis',(-0.0131628861381446,0.,-0.999913365461485)); #201175=DIRECTION('center_axis',(0.,-1.,0.)); #201176=DIRECTION('ref_axis',(-0.846497753520507,0.,-0.532392292660905)); #201177=DIRECTION('center_axis',(0.,-1.,0.)); #201178=DIRECTION('ref_axis',(-0.846497753520507,0.,-0.532392292660905)); #201179=DIRECTION('',(0.,-1.,0.)); #201180=DIRECTION('center_axis',(0.,-1.,0.)); #201181=DIRECTION('ref_axis',(-0.846497753520507,0.,-0.532392292660905)); #201182=DIRECTION('center_axis',(0.,-1.,0.)); #201183=DIRECTION('ref_axis',(-0.989563920827501,0.,0.144094575180688)); #201184=DIRECTION('center_axis',(0.,-1.,0.)); #201185=DIRECTION('ref_axis',(-0.989563920827501,0.,0.144094575180688)); #201186=DIRECTION('',(0.,-1.,0.)); #201187=DIRECTION('center_axis',(0.,-1.,0.)); #201188=DIRECTION('ref_axis',(-0.989563920827501,0.,0.144094575180688)); #201189=DIRECTION('center_axis',(0.,0.,-1.)); #201190=DIRECTION('ref_axis',(1.,0.,0.)); #201191=DIRECTION('',(1.,0.,0.)); #201192=DIRECTION('',(0.,-1.,0.)); #201193=DIRECTION('',(1.,0.,0.)); #201194=DIRECTION('center_axis',(1.,0.,0.)); #201195=DIRECTION('ref_axis',(0.,0.,1.)); #201196=DIRECTION('',(0.,0.,1.)); #201197=DIRECTION('',(0.,-1.,0.)); #201198=DIRECTION('',(0.,0.,1.)); #201199=DIRECTION('center_axis',(0.,0.,1.)); #201200=DIRECTION('ref_axis',(-1.,0.,0.)); #201201=DIRECTION('',(-1.,0.,0.)); #201202=DIRECTION('',(0.,-1.,0.)); #201203=DIRECTION('',(-1.,0.,0.)); #201204=DIRECTION('center_axis',(-1.,0.,0.)); #201205=DIRECTION('ref_axis',(0.,0.,-1.)); #201206=DIRECTION('',(0.,0.,-1.)); #201207=DIRECTION('',(0.,-1.,0.)); #201208=DIRECTION('',(0.,0.,-1.)); #201209=DIRECTION('center_axis',(0.,-1.,0.)); #201210=DIRECTION('ref_axis',(-0.803095604698067,0.,0.595850190664269)); #201211=DIRECTION('center_axis',(0.,-1.,0.)); #201212=DIRECTION('ref_axis',(-0.803095604698067,0.,0.595850190664269)); #201213=DIRECTION('',(0.,-1.,0.)); #201214=DIRECTION('center_axis',(0.,-1.,0.)); #201215=DIRECTION('ref_axis',(-0.803095604698067,0.,0.595850190664269)); #201216=DIRECTION('center_axis',(0.,-1.,0.)); #201217=DIRECTION('ref_axis',(0.015006860030919,0.,0.999887390735583)); #201218=DIRECTION('center_axis',(0.,-1.,0.)); #201219=DIRECTION('ref_axis',(0.015006860030919,0.,0.999887390735583)); #201220=DIRECTION('',(0.,-1.,0.)); #201221=DIRECTION('center_axis',(0.,-1.,0.)); #201222=DIRECTION('ref_axis',(0.015006860030919,0.,0.999887390735583)); #201223=DIRECTION('center_axis',(0.,-1.,0.)); #201224=DIRECTION('ref_axis',(0.728119321630964,0.,0.685450401902038)); #201225=DIRECTION('center_axis',(0.,-1.,0.)); #201226=DIRECTION('ref_axis',(0.728119321630964,0.,0.685450401902038)); #201227=DIRECTION('',(0.,-1.,0.)); #201228=DIRECTION('center_axis',(0.,-1.,0.)); #201229=DIRECTION('ref_axis',(0.728119321630964,0.,0.685450401902038)); #201230=DIRECTION('center_axis',(0.,-1.,0.)); #201231=DIRECTION('ref_axis',(0.994859195657446,0.,-0.101267866649884)); #201232=DIRECTION('center_axis',(0.,-1.,0.)); #201233=DIRECTION('ref_axis',(0.994859195657446,0.,-0.101267866649884)); #201234=DIRECTION('',(0.,-1.,0.)); #201235=DIRECTION('center_axis',(0.,-1.,0.)); #201236=DIRECTION('ref_axis',(0.994859195657446,0.,-0.101267866649884)); #201237=DIRECTION('center_axis',(1.,0.,0.)); #201238=DIRECTION('ref_axis',(0.,0.,1.)); #201239=DIRECTION('',(0.,0.,1.)); #201240=DIRECTION('',(0.,-1.,0.)); #201241=DIRECTION('',(0.,0.,1.)); #201242=DIRECTION('center_axis',(0.0010326696436082,0.,-0.999999466796561)); #201243=DIRECTION('ref_axis',(0.999999466796561,0.,0.0010326696436082)); #201244=DIRECTION('',(0.999999466796561,0.,0.0010326696436082)); #201245=DIRECTION('',(0.,-1.,0.)); #201246=DIRECTION('',(0.999999466796561,0.,0.0010326696436082)); #201247=DIRECTION('center_axis',(0.,-1.,0.)); #201248=DIRECTION('ref_axis',(-0.589105832956098,0.,-0.808055887656975)); #201249=DIRECTION('center_axis',(0.,-1.,0.)); #201250=DIRECTION('ref_axis',(-0.589105832956098,0.,-0.808055887656975)); #201251=DIRECTION('',(0.,-1.,0.)); #201252=DIRECTION('center_axis',(0.,-1.,0.)); #201253=DIRECTION('ref_axis',(-0.589105832956098,0.,-0.808055887656975)); #201254=DIRECTION('center_axis',(0.,-1.,0.)); #201255=DIRECTION('ref_axis',(-0.999850079854083,0.,0.0173152480716445)); #201256=DIRECTION('center_axis',(0.,-1.,0.)); #201257=DIRECTION('ref_axis',(-0.999850079854083,0.,0.0173152480716445)); #201258=DIRECTION('',(0.,-1.,0.)); #201259=DIRECTION('center_axis',(0.,-1.,0.)); #201260=DIRECTION('ref_axis',(-0.999850079854083,0.,0.0173152480716445)); #201261=DIRECTION('center_axis',(0.,-1.,0.)); #201262=DIRECTION('ref_axis',(-0.682516499494539,0.,0.730870185407587)); #201263=DIRECTION('center_axis',(0.,-1.,0.)); #201264=DIRECTION('ref_axis',(-0.682516499494539,0.,0.730870185407587)); #201265=DIRECTION('',(0.,-1.,0.)); #201266=DIRECTION('center_axis',(0.,-1.,0.)); #201267=DIRECTION('ref_axis',(-0.682516499494539,0.,0.730870185407587)); #201268=DIRECTION('center_axis',(0.,-1.,0.)); #201269=DIRECTION('ref_axis',(0.103687876924781,0.,0.994609885421833)); #201270=DIRECTION('center_axis',(0.,-1.,0.)); #201271=DIRECTION('ref_axis',(0.103687876924781,0.,0.994609885421833)); #201272=DIRECTION('',(0.,-1.,0.)); #201273=DIRECTION('center_axis',(0.,-1.,0.)); #201274=DIRECTION('ref_axis',(0.103687876924781,0.,0.994609885421833)); #201275=DIRECTION('center_axis',(0.,0.,1.)); #201276=DIRECTION('ref_axis',(-1.,0.,0.)); #201277=DIRECTION('',(-1.,0.,0.)); #201278=DIRECTION('',(0.,-1.,0.)); #201279=DIRECTION('',(-1.,0.,0.)); #201280=DIRECTION('center_axis',(-1.,0.,0.)); #201281=DIRECTION('ref_axis',(0.,0.,-1.)); #201282=DIRECTION('',(0.,0.,-1.)); #201283=DIRECTION('',(0.,-1.,0.)); #201284=DIRECTION('',(0.,0.,-1.)); #201285=DIRECTION('center_axis',(0.,0.,-1.)); #201286=DIRECTION('ref_axis',(1.,0.,0.)); #201287=DIRECTION('',(1.,0.,0.)); #201288=DIRECTION('',(0.,-1.,0.)); #201289=DIRECTION('',(1.,0.,0.)); #201290=DIRECTION('center_axis',(0.,-1.,0.)); #201291=DIRECTION('ref_axis',(-0.586807119756109,0.,-0.809726746627243)); #201292=DIRECTION('center_axis',(0.,-1.,0.)); #201293=DIRECTION('ref_axis',(-0.586807119756109,0.,-0.809726746627243)); #201294=DIRECTION('',(0.,-1.,0.)); #201295=DIRECTION('center_axis',(0.,-1.,0.)); #201296=DIRECTION('ref_axis',(-0.586807119756109,0.,-0.809726746627243)); #201297=DIRECTION('center_axis',(0.,-1.,0.)); #201298=DIRECTION('ref_axis',(-0.999943403055614,0.,0.0106391111263337)); #201299=DIRECTION('center_axis',(0.,-1.,0.)); #201300=DIRECTION('ref_axis',(-0.999943403055614,0.,0.0106391111263337)); #201301=DIRECTION('',(0.,-1.,0.)); #201302=DIRECTION('center_axis',(0.,-1.,0.)); #201303=DIRECTION('ref_axis',(-0.999943403055614,0.,0.0106391111263337)); #201304=DIRECTION('center_axis',(0.,-1.,0.)); #201305=DIRECTION('ref_axis',(-0.682467635087605,0.,0.730915813933405)); #201306=DIRECTION('center_axis',(0.,-1.,0.)); #201307=DIRECTION('ref_axis',(-0.682467635087605,0.,0.730915813933405)); #201308=DIRECTION('center_axis',(0.,-1.,0.)); #201309=DIRECTION('ref_axis',(-0.682467635087605,0.,0.730915813933405)); #201310=DIRECTION('center_axis',(0.,1.,0.)); #201311=DIRECTION('ref_axis',(1.,0.,0.)); #201312=DIRECTION('center_axis',(0.,-1.,0.)); #201313=DIRECTION('ref_axis',(-0.69691453543526,0.,-0.717154188650569)); #201314=DIRECTION('center_axis',(0.,-1.,0.)); #201315=DIRECTION('ref_axis',(-0.69691453543526,0.,-0.717154188650569)); #201316=DIRECTION('',(0.,-1.,0.)); #201317=DIRECTION('center_axis',(0.,-1.,0.)); #201318=DIRECTION('ref_axis',(-0.69691453543526,0.,-0.717154188650569)); #201319=DIRECTION('',(0.,-1.,0.)); #201320=DIRECTION('center_axis',(0.,-1.,0.)); #201321=DIRECTION('ref_axis',(-0.999947379214114,0.,0.0102585965328633)); #201322=DIRECTION('center_axis',(0.,-1.,0.)); #201323=DIRECTION('ref_axis',(-0.999947379214114,0.,0.0102585965328633)); #201324=DIRECTION('',(0.,-1.,0.)); #201325=DIRECTION('center_axis',(0.,-1.,0.)); #201326=DIRECTION('ref_axis',(-0.999947379214114,0.,0.0102585965328633)); #201327=DIRECTION('center_axis',(0.,-1.,0.)); #201328=DIRECTION('ref_axis',(-0.702977632204924,0.,0.711211957590393)); #201329=DIRECTION('center_axis',(0.,-1.,0.)); #201330=DIRECTION('ref_axis',(-0.702977632204924,0.,0.711211957590393)); #201331=DIRECTION('',(0.,-1.,0.)); #201332=DIRECTION('center_axis',(0.,-1.,0.)); #201333=DIRECTION('ref_axis',(-0.702977632204924,0.,0.711211957590393)); #201334=DIRECTION('center_axis',(0.,-1.,0.)); #201335=DIRECTION('ref_axis',(-0.00211697685628273,0.,0.999997759201984)); #201336=DIRECTION('center_axis',(0.,-1.,0.)); #201337=DIRECTION('ref_axis',(-0.00211697685628273,0.,0.999997759201984)); #201338=DIRECTION('',(0.,-1.,0.)); #201339=DIRECTION('center_axis',(0.,-1.,0.)); #201340=DIRECTION('ref_axis',(-0.00211697685628273,0.,0.999997759201984)); #201341=DIRECTION('center_axis',(0.,0.,1.)); #201342=DIRECTION('ref_axis',(-1.,0.,0.)); #201343=DIRECTION('',(-1.,0.,0.)); #201344=DIRECTION('',(0.,-1.,0.)); #201345=DIRECTION('',(-1.,0.,0.)); #201346=DIRECTION('center_axis',(0.,-1.,0.)); #201347=DIRECTION('ref_axis',(0.696797347032808,0.,0.717268051127359)); #201348=DIRECTION('center_axis',(0.,-1.,0.)); #201349=DIRECTION('ref_axis',(0.696797347032808,0.,0.717268051127359)); #201350=DIRECTION('',(0.,-1.,0.)); #201351=DIRECTION('center_axis',(0.,-1.,0.)); #201352=DIRECTION('ref_axis',(0.696797347032808,0.,0.717268051127359)); #201353=DIRECTION('center_axis',(0.,-1.,0.)); #201354=DIRECTION('ref_axis',(0.99994682796683,0.,-0.010312188859569)); #201355=DIRECTION('center_axis',(0.,-1.,0.)); #201356=DIRECTION('ref_axis',(0.99994682796683,0.,-0.010312188859569)); #201357=DIRECTION('',(0.,-1.,0.)); #201358=DIRECTION('center_axis',(0.,-1.,0.)); #201359=DIRECTION('ref_axis',(0.99994682796683,0.,-0.010312188859569)); #201360=DIRECTION('center_axis',(0.,-1.,0.)); #201361=DIRECTION('ref_axis',(0.702977632204924,0.,-0.711211957590393)); #201362=DIRECTION('center_axis',(0.,-1.,0.)); #201363=DIRECTION('ref_axis',(0.702977632204924,0.,-0.711211957590393)); #201364=DIRECTION('',(0.,-1.,0.)); #201365=DIRECTION('center_axis',(0.,-1.,0.)); #201366=DIRECTION('ref_axis',(0.702977632204924,0.,-0.711211957590393)); #201367=DIRECTION('center_axis',(0.,-1.,0.)); #201368=DIRECTION('ref_axis',(0.0020807959667578,0.,-0.999997835141729)); #201369=DIRECTION('center_axis',(0.,-1.,0.)); #201370=DIRECTION('ref_axis',(0.0020807959667578,0.,-0.999997835141729)); #201371=DIRECTION('',(0.,-1.,0.)); #201372=DIRECTION('center_axis',(0.,-1.,0.)); #201373=DIRECTION('ref_axis',(0.0020807959667578,0.,-0.999997835141729)); #201374=DIRECTION('center_axis',(0.,0.,-1.)); #201375=DIRECTION('ref_axis',(1.,0.,0.)); #201376=DIRECTION('',(1.,0.,0.)); #201377=DIRECTION('',(1.,0.,0.)); #201378=DIRECTION('center_axis',(0.,1.,0.)); #201379=DIRECTION('ref_axis',(1.,0.,0.)); #201380=DIRECTION('center_axis',(-0.934498985542524,0.,-0.355965793328507)); #201381=DIRECTION('ref_axis',(0.355965793328507,0.,-0.934498985542524)); #201382=DIRECTION('',(0.355965793328507,0.,-0.934498985542524)); #201383=DIRECTION('',(0.,-1.,0.)); #201384=DIRECTION('',(0.355965793328507,0.,-0.934498985542524)); #201385=DIRECTION('',(0.,-1.,0.)); #201386=DIRECTION('center_axis',(0.,0.,-1.)); #201387=DIRECTION('ref_axis',(1.,0.,0.)); #201388=DIRECTION('',(1.,0.,0.)); #201389=DIRECTION('',(0.,-1.,0.)); #201390=DIRECTION('',(1.,0.,0.)); #201391=DIRECTION('center_axis',(0.932951289278158,0.,-0.360002627537943)); #201392=DIRECTION('ref_axis',(0.360002627537943,0.,0.932951289278158)); #201393=DIRECTION('',(0.360002627537943,0.,0.932951289278158)); #201394=DIRECTION('',(0.,-1.,0.)); #201395=DIRECTION('',(0.360002627537943,0.,0.932951289278158)); #201396=DIRECTION('center_axis',(0.,0.,1.)); #201397=DIRECTION('ref_axis',(-1.,0.,0.)); #201398=DIRECTION('',(-1.,0.,0.)); #201399=DIRECTION('',(0.,-1.,0.)); #201400=DIRECTION('',(-1.,0.,0.)); #201401=DIRECTION('center_axis',(0.,-1.,0.)); #201402=DIRECTION('ref_axis',(0.353804348392444,0.,0.935319455083983)); #201403=DIRECTION('center_axis',(0.,-1.,0.)); #201404=DIRECTION('ref_axis',(0.353804348392444,0.,0.935319455083983)); #201405=DIRECTION('',(0.,-1.,0.)); #201406=DIRECTION('center_axis',(0.,-1.,0.)); #201407=DIRECTION('ref_axis',(0.353804348392444,0.,0.935319455083983)); #201408=DIRECTION('center_axis',(0.,-1.,0.)); #201409=DIRECTION('ref_axis',(0.848167399534396,0.,0.529728291076719)); #201410=DIRECTION('center_axis',(0.,-1.,0.)); #201411=DIRECTION('ref_axis',(0.848167399534396,0.,0.529728291076719)); #201412=DIRECTION('',(0.,-1.,0.)); #201413=DIRECTION('center_axis',(0.,-1.,0.)); #201414=DIRECTION('ref_axis',(0.848167399534396,0.,0.529728291076719)); #201415=DIRECTION('center_axis',(0.,-1.,0.)); #201416=DIRECTION('ref_axis',(0.999975473855567,0.,0.00700369097934084)); #201417=DIRECTION('center_axis',(0.,-1.,0.)); #201418=DIRECTION('ref_axis',(0.999975473855567,0.,0.00700369097934084)); #201419=DIRECTION('',(0.,-1.,0.)); #201420=DIRECTION('center_axis',(0.,-1.,0.)); #201421=DIRECTION('ref_axis',(0.999975473855567,0.,0.00700369097934084)); #201422=DIRECTION('center_axis',(0.,-1.,0.)); #201423=DIRECTION('ref_axis',(0.850361668468753,0.,-0.526198662863218)); #201424=DIRECTION('center_axis',(0.,-1.,0.)); #201425=DIRECTION('ref_axis',(0.850361668468753,0.,-0.526198662863218)); #201426=DIRECTION('',(0.,-1.,0.)); #201427=DIRECTION('center_axis',(0.,-1.,0.)); #201428=DIRECTION('ref_axis',(0.850361668468753,0.,-0.526198662863218)); #201429=DIRECTION('center_axis',(0.,-1.,0.)); #201430=DIRECTION('ref_axis',(0.45324149417137,0.,-0.891387765207322)); #201431=DIRECTION('center_axis',(0.,-1.,0.)); #201432=DIRECTION('ref_axis',(0.45324149417137,0.,-0.891387765207322)); #201433=DIRECTION('',(0.,-1.,0.)); #201434=DIRECTION('center_axis',(0.,-1.,0.)); #201435=DIRECTION('ref_axis',(0.45324149417137,0.,-0.891387765207322)); #201436=DIRECTION('center_axis',(0.,-1.,0.)); #201437=DIRECTION('ref_axis',(-0.0880856002762243,0.,-0.996112908772885)); #201438=DIRECTION('center_axis',(0.,-1.,0.)); #201439=DIRECTION('ref_axis',(-0.0880856002762243,0.,-0.996112908772885)); #201440=DIRECTION('',(0.,-1.,0.)); #201441=DIRECTION('center_axis',(0.,-1.,0.)); #201442=DIRECTION('ref_axis',(-0.0880856002762243,0.,-0.996112908772885)); #201443=DIRECTION('center_axis',(-7.99886903312501E-14,0.,-1.)); #201444=DIRECTION('ref_axis',(1.,0.,-7.99886903312501E-14)); #201445=DIRECTION('',(1.,0.,-7.99886903312501E-14)); #201446=DIRECTION('',(0.,-1.,0.)); #201447=DIRECTION('',(1.,0.,-7.99886903312501E-14)); #201448=DIRECTION('center_axis',(0.,-1.,0.)); #201449=DIRECTION('ref_axis',(-0.342627841771746,0.,-0.939471214057586)); #201450=DIRECTION('center_axis',(0.,-1.,0.)); #201451=DIRECTION('ref_axis',(-0.342627841771746,0.,-0.939471214057586)); #201452=DIRECTION('',(0.,-1.,0.)); #201453=DIRECTION('center_axis',(0.,-1.,0.)); #201454=DIRECTION('ref_axis',(-0.342627841771746,0.,-0.939471214057586)); #201455=DIRECTION('center_axis',(0.,-1.,0.)); #201456=DIRECTION('ref_axis',(-0.862211548009237,0.,-0.506548365390231)); #201457=DIRECTION('center_axis',(0.,-1.,0.)); #201458=DIRECTION('ref_axis',(-0.862211548009237,0.,-0.506548365390231)); #201459=DIRECTION('',(0.,-1.,0.)); #201460=DIRECTION('center_axis',(0.,-1.,0.)); #201461=DIRECTION('ref_axis',(-0.862211548009237,0.,-0.506548365390231)); #201462=DIRECTION('center_axis',(0.,-1.,0.)); #201463=DIRECTION('ref_axis',(-0.999991327111231,0.,-0.00416481720121835)); #201464=DIRECTION('center_axis',(0.,-1.,0.)); #201465=DIRECTION('ref_axis',(-0.999991327111231,0.,-0.00416481720121835)); #201466=DIRECTION('',(0.,-1.,0.)); #201467=DIRECTION('center_axis',(0.,-1.,0.)); #201468=DIRECTION('ref_axis',(-0.999991327111231,0.,-0.00416481720121835)); #201469=DIRECTION('center_axis',(0.,-1.,0.)); #201470=DIRECTION('ref_axis',(-0.850282547884213,0.,0.526326503953137)); #201471=DIRECTION('center_axis',(0.,-1.,0.)); #201472=DIRECTION('ref_axis',(-0.850282547884213,0.,0.526326503953137)); #201473=DIRECTION('',(0.,-1.,0.)); #201474=DIRECTION('center_axis',(0.,-1.,0.)); #201475=DIRECTION('ref_axis',(-0.850282547884213,0.,0.526326503953137)); #201476=DIRECTION('center_axis',(0.,-1.,0.)); #201477=DIRECTION('ref_axis',(-0.430403754468736,0.,0.902636476184746)); #201478=DIRECTION('center_axis',(0.,-1.,0.)); #201479=DIRECTION('ref_axis',(-0.430403754468736,0.,0.902636476184746)); #201480=DIRECTION('',(0.,-1.,0.)); #201481=DIRECTION('center_axis',(0.,-1.,0.)); #201482=DIRECTION('ref_axis',(-0.430403754468736,0.,0.902636476184746)); #201483=DIRECTION('center_axis',(0.,-1.,0.)); #201484=DIRECTION('ref_axis',(0.0763206172787813,0.,0.997083328201904)); #201485=DIRECTION('center_axis',(0.,-1.,0.)); #201486=DIRECTION('ref_axis',(0.0763206172787813,0.,0.997083328201904)); #201487=DIRECTION('',(0.,-1.,0.)); #201488=DIRECTION('center_axis',(0.,-1.,0.)); #201489=DIRECTION('ref_axis',(0.0763206172787813,0.,0.997083328201904)); #201490=DIRECTION('center_axis',(0.,0.,1.)); #201491=DIRECTION('ref_axis',(-1.,0.,0.)); #201492=DIRECTION('',(-1.,0.,0.)); #201493=DIRECTION('',(0.,-1.,0.)); #201494=DIRECTION('',(-1.,0.,0.)); #201495=DIRECTION('center_axis',(-0.932865778955522,0.,0.360224150292158)); #201496=DIRECTION('ref_axis',(-0.360224150292158,0.,-0.932865778955522)); #201497=DIRECTION('',(-0.360224150292158,0.,-0.932865778955522)); #201498=DIRECTION('',(0.,-1.,0.)); #201499=DIRECTION('',(-0.360224150292158,0.,-0.932865778955522)); #201500=DIRECTION('center_axis',(0.,0.,1.)); #201501=DIRECTION('ref_axis',(-1.,0.,0.)); #201502=DIRECTION('',(-1.,0.,0.)); #201503=DIRECTION('',(0.,-1.,0.)); #201504=DIRECTION('',(-1.,0.,0.)); #201505=DIRECTION('center_axis',(0.93485617266495,0.,0.35502667002669)); #201506=DIRECTION('ref_axis',(-0.35502667002669,0.,0.93485617266495)); #201507=DIRECTION('',(-0.35502667002669,0.,0.93485617266495)); #201508=DIRECTION('',(0.,-1.,0.)); #201509=DIRECTION('',(-0.35502667002669,0.,0.93485617266495)); #201510=DIRECTION('center_axis',(0.,0.,1.)); #201511=DIRECTION('ref_axis',(-1.,0.,0.)); #201512=DIRECTION('',(-1.,0.,0.)); #201513=DIRECTION('',(0.,-1.,0.)); #201514=DIRECTION('',(-1.,0.,0.)); #201515=DIRECTION('center_axis',(0.,-1.,0.)); #201516=DIRECTION('ref_axis',(0.349944461827409,0.,0.93677044874202)); #201517=DIRECTION('center_axis',(0.,-1.,0.)); #201518=DIRECTION('ref_axis',(0.349944461827409,0.,0.93677044874202)); #201519=DIRECTION('',(0.,-1.,0.)); #201520=DIRECTION('center_axis',(0.,-1.,0.)); #201521=DIRECTION('ref_axis',(0.349944461827409,0.,0.93677044874202)); #201522=DIRECTION('center_axis',(0.,-1.,0.)); #201523=DIRECTION('ref_axis',(0.84820421502799,0.,0.529669339879845)); #201524=DIRECTION('center_axis',(0.,-1.,0.)); #201525=DIRECTION('ref_axis',(0.84820421502799,0.,0.529669339879845)); #201526=DIRECTION('',(0.,-1.,0.)); #201527=DIRECTION('center_axis',(0.,-1.,0.)); #201528=DIRECTION('ref_axis',(0.84820421502799,0.,0.529669339879845)); #201529=DIRECTION('center_axis',(0.,-1.,0.)); #201530=DIRECTION('ref_axis',(0.999975309106311,0.,0.00702717423563243)); #201531=DIRECTION('center_axis',(0.,-1.,0.)); #201532=DIRECTION('ref_axis',(0.999975309106311,0.,0.00702717423563243)); #201533=DIRECTION('',(0.,-1.,0.)); #201534=DIRECTION('center_axis',(0.,-1.,0.)); #201535=DIRECTION('ref_axis',(0.999975309106311,0.,0.00702717423563243)); #201536=DIRECTION('center_axis',(0.,-1.,0.)); #201537=DIRECTION('ref_axis',(0.850352550521687,0.,-0.526213397607152)); #201538=DIRECTION('center_axis',(0.,-1.,0.)); #201539=DIRECTION('ref_axis',(0.850352550521687,0.,-0.526213397607152)); #201540=DIRECTION('',(0.,-1.,0.)); #201541=DIRECTION('center_axis',(0.,-1.,0.)); #201542=DIRECTION('ref_axis',(0.850352550521687,0.,-0.526213397607152)); #201543=DIRECTION('center_axis',(0.,-1.,0.)); #201544=DIRECTION('ref_axis',(0.430498319513411,0.,-0.90259137869588)); #201545=DIRECTION('center_axis',(0.,-1.,0.)); #201546=DIRECTION('ref_axis',(0.430498319513411,0.,-0.90259137869588)); #201547=DIRECTION('',(0.,-1.,0.)); #201548=DIRECTION('center_axis',(0.,-1.,0.)); #201549=DIRECTION('ref_axis',(0.430498319513411,0.,-0.90259137869588)); #201550=DIRECTION('center_axis',(0.,-1.,0.)); #201551=DIRECTION('ref_axis',(-0.0802814269539522,0.,-0.996772237016179)); #201552=DIRECTION('center_axis',(0.,-1.,0.)); #201553=DIRECTION('ref_axis',(-0.0802814269539522,0.,-0.996772237016179)); #201554=DIRECTION('',(0.,-1.,0.)); #201555=DIRECTION('center_axis',(0.,-1.,0.)); #201556=DIRECTION('ref_axis',(-0.0802814269539522,0.,-0.996772237016179)); #201557=DIRECTION('center_axis',(0.,0.,-1.)); #201558=DIRECTION('ref_axis',(1.,0.,0.)); #201559=DIRECTION('',(1.,0.,0.)); #201560=DIRECTION('',(0.,-1.,0.)); #201561=DIRECTION('',(1.,0.,0.)); #201562=DIRECTION('center_axis',(0.,-1.,0.)); #201563=DIRECTION('ref_axis',(-0.349939354800649,0.,-0.936772356531567)); #201564=DIRECTION('center_axis',(0.,-1.,0.)); #201565=DIRECTION('ref_axis',(-0.349939354800649,0.,-0.936772356531567)); #201566=DIRECTION('',(0.,-1.,0.)); #201567=DIRECTION('center_axis',(0.,-1.,0.)); #201568=DIRECTION('ref_axis',(-0.349939354800649,0.,-0.936772356531567)); #201569=DIRECTION('center_axis',(0.,-1.,0.)); #201570=DIRECTION('ref_axis',(-0.848445554475949,0.,-0.529282666530843)); #201571=DIRECTION('center_axis',(0.,-1.,0.)); #201572=DIRECTION('ref_axis',(-0.848445554475949,0.,-0.529282666530843)); #201573=DIRECTION('',(0.,-1.,0.)); #201574=DIRECTION('center_axis',(0.,-1.,0.)); #201575=DIRECTION('ref_axis',(-0.848445554475949,0.,-0.529282666530843)); #201576=DIRECTION('center_axis',(0.,-1.,0.)); #201577=DIRECTION('ref_axis',(-0.999972768456952,0.,-0.00737986073974201)); #201578=DIRECTION('center_axis',(0.,-1.,0.)); #201579=DIRECTION('ref_axis',(-0.999972768456952,0.,-0.00737986073974201)); #201580=DIRECTION('',(0.,-1.,0.)); #201581=DIRECTION('center_axis',(0.,-1.,0.)); #201582=DIRECTION('ref_axis',(-0.999972768456952,0.,-0.00737986073974201)); #201583=DIRECTION('center_axis',(0.,-1.,0.)); #201584=DIRECTION('ref_axis',(-0.85052402641013,0.,0.525936194323133)); #201585=DIRECTION('center_axis',(0.,-1.,0.)); #201586=DIRECTION('ref_axis',(-0.85052402641013,0.,0.525936194323133)); #201587=DIRECTION('',(0.,-1.,0.)); #201588=DIRECTION('center_axis',(0.,-1.,0.)); #201589=DIRECTION('ref_axis',(-0.85052402641013,0.,0.525936194323133)); #201590=DIRECTION('center_axis',(0.,-1.,0.)); #201591=DIRECTION('ref_axis',(-0.430072275590806,0.,0.902794460421721)); #201592=DIRECTION('center_axis',(0.,-1.,0.)); #201593=DIRECTION('ref_axis',(-0.430072275590806,0.,0.902794460421721)); #201594=DIRECTION('',(0.,-1.,0.)); #201595=DIRECTION('center_axis',(0.,-1.,0.)); #201596=DIRECTION('ref_axis',(-0.430072275590806,0.,0.902794460421721)); #201597=DIRECTION('center_axis',(0.,-1.,0.)); #201598=DIRECTION('ref_axis',(0.0802677481564937,0.,0.996773338631148)); #201599=DIRECTION('center_axis',(0.,-1.,0.)); #201600=DIRECTION('ref_axis',(0.0802677481564937,0.,0.996773338631148)); #201601=DIRECTION('',(0.,-1.,0.)); #201602=DIRECTION('center_axis',(0.,-1.,0.)); #201603=DIRECTION('ref_axis',(0.0802677481564937,0.,0.996773338631148)); #201604=DIRECTION('center_axis',(0.,0.,1.)); #201605=DIRECTION('ref_axis',(-1.,0.,0.)); #201606=DIRECTION('',(-1.,0.,0.)); #201607=DIRECTION('',(-1.,0.,0.)); #201608=DIRECTION('center_axis',(0.,1.,0.)); #201609=DIRECTION('ref_axis',(1.,0.,0.)); #201610=DIRECTION('center_axis',(0.,-1.,0.)); #201611=DIRECTION('ref_axis',(0.999969133689407,0.,0.00785695032801654)); #201612=DIRECTION('center_axis',(0.,1.,0.)); #201613=DIRECTION('ref_axis',(0.999969133689407,0.,0.00785695032801654)); #201614=DIRECTION('',(0.,-1.,0.)); #201615=DIRECTION('center_axis',(0.,1.,0.)); #201616=DIRECTION('ref_axis',(0.999969133689407,0.,0.00785695032801654)); #201617=DIRECTION('',(0.,-1.,0.)); #201618=DIRECTION('center_axis',(-1.,0.,0.)); #201619=DIRECTION('ref_axis',(0.,0.,-1.)); #201620=DIRECTION('',(0.,0.,-1.)); #201621=DIRECTION('',(0.,-1.,0.)); #201622=DIRECTION('',(0.,0.,-1.)); #201623=DIRECTION('center_axis',(0.,-1.,0.)); #201624=DIRECTION('ref_axis',(0.885861623232772,0.,0.463949549502313)); #201625=DIRECTION('center_axis',(0.,1.,0.)); #201626=DIRECTION('ref_axis',(0.885861623232772,0.,0.463949549502313)); #201627=DIRECTION('',(0.,-1.,0.)); #201628=DIRECTION('center_axis',(0.,1.,0.)); #201629=DIRECTION('ref_axis',(0.885861623232772,0.,0.463949549502313)); #201630=DIRECTION('center_axis',(0.,-1.,0.)); #201631=DIRECTION('ref_axis',(0.0507275200556815,0.,0.998712530565728)); #201632=DIRECTION('center_axis',(0.,1.,0.)); #201633=DIRECTION('ref_axis',(0.0507275200556815,0.,0.998712530565728)); #201634=DIRECTION('',(0.,-1.,0.)); #201635=DIRECTION('center_axis',(0.,1.,0.)); #201636=DIRECTION('ref_axis',(0.0507275200556815,0.,0.998712530565728)); #201637=DIRECTION('center_axis',(0.,-1.,0.)); #201638=DIRECTION('ref_axis',(-0.52953959525391,0.,0.848285221525357)); #201639=DIRECTION('center_axis',(0.,1.,0.)); #201640=DIRECTION('ref_axis',(-0.52953959525391,0.,0.848285221525357)); #201641=DIRECTION('',(0.,-1.,0.)); #201642=DIRECTION('center_axis',(0.,1.,0.)); #201643=DIRECTION('ref_axis',(-0.52953959525391,0.,0.848285221525357)); #201644=DIRECTION('center_axis',(0.,-1.,0.)); #201645=DIRECTION('ref_axis',(-0.812229288308987,0.,0.583338309399508)); #201646=DIRECTION('center_axis',(0.,1.,0.)); #201647=DIRECTION('ref_axis',(-0.812229288308987,0.,0.583338309399508)); #201648=DIRECTION('',(0.,-1.,0.)); #201649=DIRECTION('center_axis',(0.,1.,0.)); #201650=DIRECTION('ref_axis',(-0.812229288308987,0.,0.583338309399508)); #201651=DIRECTION('center_axis',(0.,-1.,0.)); #201652=DIRECTION('ref_axis',(-0.959891283750188,0.,0.280372472579632)); #201653=DIRECTION('center_axis',(0.,1.,0.)); #201654=DIRECTION('ref_axis',(-0.959891283750188,0.,0.280372472579632)); #201655=DIRECTION('',(0.,-1.,0.)); #201656=DIRECTION('center_axis',(0.,1.,0.)); #201657=DIRECTION('ref_axis',(-0.959891283750188,0.,0.280372472579632)); #201658=DIRECTION('center_axis',(0.,-1.,0.)); #201659=DIRECTION('ref_axis',(-0.99997210211662,0.,-0.00746960430470784)); #201660=DIRECTION('center_axis',(0.,1.,0.)); #201661=DIRECTION('ref_axis',(-0.99997210211662,0.,-0.00746960430470784)); #201662=DIRECTION('',(0.,-1.,0.)); #201663=DIRECTION('center_axis',(0.,1.,0.)); #201664=DIRECTION('ref_axis',(-0.99997210211662,0.,-0.00746960430470784)); #201665=DIRECTION('center_axis',(1.,0.,0.)); #201666=DIRECTION('ref_axis',(0.,0.,1.)); #201667=DIRECTION('',(0.,0.,1.)); #201668=DIRECTION('',(0.,-1.,0.)); #201669=DIRECTION('',(0.,0.,1.)); #201670=DIRECTION('center_axis',(0.,-1.,0.)); #201671=DIRECTION('ref_axis',(-0.885361831162422,0.,-0.464902600466725)); #201672=DIRECTION('center_axis',(0.,1.,0.)); #201673=DIRECTION('ref_axis',(-0.885361831162422,0.,-0.464902600466725)); #201674=DIRECTION('',(0.,-1.,0.)); #201675=DIRECTION('center_axis',(0.,1.,0.)); #201676=DIRECTION('ref_axis',(-0.885361831162422,0.,-0.464902600466725)); #201677=DIRECTION('center_axis',(0.,-1.,0.)); #201678=DIRECTION('ref_axis',(-0.0477291322648481,0.,-0.998860315526272)); #201679=DIRECTION('center_axis',(0.,1.,0.)); #201680=DIRECTION('ref_axis',(-0.0477291322648481,0.,-0.998860315526272)); #201681=DIRECTION('',(0.,-1.,0.)); #201682=DIRECTION('center_axis',(0.,1.,0.)); #201683=DIRECTION('ref_axis',(-0.0477291322648481,0.,-0.998860315526272)); #201684=DIRECTION('center_axis',(0.,-1.,0.)); #201685=DIRECTION('ref_axis',(0.519627690630738,0.,-0.854392803767545)); #201686=DIRECTION('center_axis',(0.,1.,0.)); #201687=DIRECTION('ref_axis',(0.519627690630738,0.,-0.854392803767545)); #201688=DIRECTION('',(0.,-1.,0.)); #201689=DIRECTION('center_axis',(0.,1.,0.)); #201690=DIRECTION('ref_axis',(0.519627690630738,0.,-0.854392803767545)); #201691=DIRECTION('center_axis',(0.,-1.,0.)); #201692=DIRECTION('ref_axis',(0.810959432750097,0.,-0.585102382864436)); #201693=DIRECTION('center_axis',(0.,1.,0.)); #201694=DIRECTION('ref_axis',(0.810959432750097,0.,-0.585102382864436)); #201695=DIRECTION('',(0.,-1.,0.)); #201696=DIRECTION('center_axis',(0.,1.,0.)); #201697=DIRECTION('ref_axis',(0.810959432750097,0.,-0.585102382864436)); #201698=DIRECTION('center_axis',(0.,-1.,0.)); #201699=DIRECTION('ref_axis',(0.959235398180586,0.,-0.282608299378012)); #201700=DIRECTION('center_axis',(0.,1.,0.)); #201701=DIRECTION('ref_axis',(0.959235398180586,0.,-0.282608299378012)); #201702=DIRECTION('center_axis',(0.,1.,0.)); #201703=DIRECTION('ref_axis',(0.959235398180586,0.,-0.282608299378012)); #201704=DIRECTION('center_axis',(0.,-1.,0.)); #201705=DIRECTION('ref_axis',(0.998724211423386,0.,-0.0504970248305303)); #201706=DIRECTION('center_axis',(0.,-1.,0.)); #201707=DIRECTION('ref_axis',(0.998724211423386,0.,-0.0504970248305303)); #201708=DIRECTION('',(0.,-1.,0.)); #201709=DIRECTION('center_axis',(0.,-1.,0.)); #201710=DIRECTION('ref_axis',(0.998724211423386,0.,-0.0504970248305303)); #201711=DIRECTION('',(0.,-1.,0.)); #201712=DIRECTION('center_axis',(1.,0.,0.)); #201713=DIRECTION('ref_axis',(0.,0.,1.)); #201714=DIRECTION('',(0.,0.,1.)); #201715=DIRECTION('',(0.,-1.,0.)); #201716=DIRECTION('',(0.,0.,1.)); #201717=DIRECTION('center_axis',(0.,-1.,0.)); #201718=DIRECTION('ref_axis',(0.973266445441063,0.,-0.229678963291195)); #201719=DIRECTION('center_axis',(0.,-1.,0.)); #201720=DIRECTION('ref_axis',(0.973266445441063,0.,-0.229678963291195)); #201721=DIRECTION('',(0.,-1.,0.)); #201722=DIRECTION('center_axis',(0.,-1.,0.)); #201723=DIRECTION('ref_axis',(0.973266445441063,0.,-0.229678963291195)); #201724=DIRECTION('center_axis',(0.,-1.,0.)); #201725=DIRECTION('ref_axis',(0.903109268308865,0.,-0.429410816694954)); #201726=DIRECTION('center_axis',(0.,-1.,0.)); #201727=DIRECTION('ref_axis',(0.903109268308865,0.,-0.429410816694954)); #201728=DIRECTION('',(0.,-1.,0.)); #201729=DIRECTION('center_axis',(0.,-1.,0.)); #201730=DIRECTION('ref_axis',(0.903109268308865,0.,-0.429410816694954)); #201731=DIRECTION('center_axis',(0.,-1.,0.)); #201732=DIRECTION('ref_axis',(0.778690371704454,0.,-0.627408403685175)); #201733=DIRECTION('center_axis',(0.,-1.,0.)); #201734=DIRECTION('ref_axis',(0.778690371704454,0.,-0.627408403685175)); #201735=DIRECTION('',(0.,-1.,0.)); #201736=DIRECTION('center_axis',(0.,-1.,0.)); #201737=DIRECTION('ref_axis',(0.778690371704454,0.,-0.627408403685175)); #201738=DIRECTION('center_axis',(0.,-1.,0.)); #201739=DIRECTION('ref_axis',(0.414857112297359,0.,-0.909886573357524)); #201740=DIRECTION('center_axis',(0.,-1.,0.)); #201741=DIRECTION('ref_axis',(0.414857112297359,0.,-0.909886573357524)); #201742=DIRECTION('',(0.,-1.,0.)); #201743=DIRECTION('center_axis',(0.,-1.,0.)); #201744=DIRECTION('ref_axis',(0.414857112297359,0.,-0.909886573357524)); #201745=DIRECTION('center_axis',(0.,-1.,0.)); #201746=DIRECTION('ref_axis',(-0.00523823860975151,0.,-0.999986280334019)); #201747=DIRECTION('center_axis',(0.,-1.,0.)); #201748=DIRECTION('ref_axis',(-0.00523823860975151,0.,-0.999986280334019)); #201749=DIRECTION('',(0.,-1.,0.)); #201750=DIRECTION('center_axis',(0.,-1.,0.)); #201751=DIRECTION('ref_axis',(-0.00523823860975151,0.,-0.999986280334019)); #201752=DIRECTION('center_axis',(0.,-1.,0.)); #201753=DIRECTION('ref_axis',(-0.784416924909603,0.,-0.620233897747747)); #201754=DIRECTION('center_axis',(0.,-1.,0.)); #201755=DIRECTION('ref_axis',(-0.784416924909603,0.,-0.620233897747747)); #201756=DIRECTION('',(0.,-1.,0.)); #201757=DIRECTION('center_axis',(0.,-1.,0.)); #201758=DIRECTION('ref_axis',(-0.784416924909603,0.,-0.620233897747747)); #201759=DIRECTION('center_axis',(0.,-1.,0.)); #201760=DIRECTION('ref_axis',(-0.998693187736812,0.,0.0511069150711041)); #201761=DIRECTION('center_axis',(0.,-1.,0.)); #201762=DIRECTION('ref_axis',(-0.998693187736812,0.,0.0511069150711041)); #201763=DIRECTION('',(0.,-1.,0.)); #201764=DIRECTION('center_axis',(0.,-1.,0.)); #201765=DIRECTION('ref_axis',(-0.998693187736812,0.,0.0511069150711041)); #201766=DIRECTION('center_axis',(-1.,0.,0.)); #201767=DIRECTION('ref_axis',(0.,0.,-1.)); #201768=DIRECTION('',(0.,0.,-1.)); #201769=DIRECTION('',(0.,-1.,0.)); #201770=DIRECTION('',(0.,0.,-1.)); #201771=DIRECTION('center_axis',(0.,-1.,0.)); #201772=DIRECTION('ref_axis',(-0.970728603853794,0.,0.240179053333267)); #201773=DIRECTION('center_axis',(0.,-1.,0.)); #201774=DIRECTION('ref_axis',(-0.970728603853794,0.,0.240179053333267)); #201775=DIRECTION('',(0.,-1.,0.)); #201776=DIRECTION('center_axis',(0.,-1.,0.)); #201777=DIRECTION('ref_axis',(-0.970728603853794,0.,0.240179053333267)); #201778=DIRECTION('center_axis',(0.,-1.,0.)); #201779=DIRECTION('ref_axis',(-0.900209670583054,0.,0.43545671310562)); #201780=DIRECTION('center_axis',(0.,-1.,0.)); #201781=DIRECTION('ref_axis',(-0.900209670583054,0.,0.43545671310562)); #201782=DIRECTION('',(0.,-1.,0.)); #201783=DIRECTION('center_axis',(0.,-1.,0.)); #201784=DIRECTION('ref_axis',(-0.900209670583054,0.,0.43545671310562)); #201785=DIRECTION('center_axis',(0.,-1.,0.)); #201786=DIRECTION('ref_axis',(-0.780552774726486,0.,0.625089886229799)); #201787=DIRECTION('center_axis',(0.,-1.,0.)); #201788=DIRECTION('ref_axis',(-0.780552774726486,0.,0.625089886229799)); #201789=DIRECTION('',(0.,-1.,0.)); #201790=DIRECTION('center_axis',(0.,-1.,0.)); #201791=DIRECTION('ref_axis',(-0.780552774726486,0.,0.625089886229799)); #201792=DIRECTION('center_axis',(0.,-1.,0.)); #201793=DIRECTION('ref_axis',(-0.411604485535786,0.,0.911362577400905)); #201794=DIRECTION('center_axis',(0.,-1.,0.)); #201795=DIRECTION('ref_axis',(-0.411604485535786,0.,0.911362577400905)); #201796=DIRECTION('',(0.,-1.,0.)); #201797=DIRECTION('center_axis',(0.,-1.,0.)); #201798=DIRECTION('ref_axis',(-0.411604485535786,0.,0.911362577400905)); #201799=DIRECTION('center_axis',(0.,-1.,0.)); #201800=DIRECTION('ref_axis',(0.00307143099144053,0.,0.999995283144708)); #201801=DIRECTION('center_axis',(0.,-1.,0.)); #201802=DIRECTION('ref_axis',(0.00307143099144053,0.,0.999995283144708)); #201803=DIRECTION('',(0.,-1.,0.)); #201804=DIRECTION('center_axis',(0.,-1.,0.)); #201805=DIRECTION('ref_axis',(0.00307143099144053,0.,0.999995283144708)); #201806=DIRECTION('center_axis',(0.,-1.,0.)); #201807=DIRECTION('ref_axis',(0.784415568064352,0.,0.620235613761641)); #201808=DIRECTION('center_axis',(0.,-1.,0.)); #201809=DIRECTION('ref_axis',(0.784415568064352,0.,0.620235613761641)); #201810=DIRECTION('center_axis',(0.,-1.,0.)); #201811=DIRECTION('ref_axis',(0.784415568064352,0.,0.620235613761641)); #201812=DIRECTION('center_axis',(0.,1.,0.)); #201813=DIRECTION('ref_axis',(1.,0.,0.)); #201814=DIRECTION('center_axis',(0.,-1.,0.)); #201815=DIRECTION('ref_axis',(0.993018897485293,0.,0.117955369683168)); #201816=DIRECTION('center_axis',(0.,-1.,0.)); #201817=DIRECTION('ref_axis',(0.993018897485293,0.,0.117955369683168)); #201818=DIRECTION('',(0.,-1.,0.)); #201819=DIRECTION('center_axis',(0.,-1.,0.)); #201820=DIRECTION('ref_axis',(0.993018897485293,0.,0.117955369683168)); #201821=DIRECTION('',(0.,-1.,0.)); #201822=DIRECTION('center_axis',(0.,-1.,0.)); #201823=DIRECTION('ref_axis',(-0.582189431555074,0.,-0.813053175250906)); #201824=DIRECTION('center_axis',(0.,1.,0.)); #201825=DIRECTION('ref_axis',(-0.582189431555074,0.,-0.813053175250906)); #201826=DIRECTION('',(0.,-1.,0.)); #201827=DIRECTION('center_axis',(0.,1.,0.)); #201828=DIRECTION('ref_axis',(-0.582189431555074,0.,-0.813053175250906)); #201829=DIRECTION('center_axis',(0.,-1.,0.)); #201830=DIRECTION('ref_axis',(0.00638536358503151,0.,-0.999979613358136)); #201831=DIRECTION('center_axis',(0.,1.,0.)); #201832=DIRECTION('ref_axis',(0.00638536358503151,0.,-0.999979613358136)); #201833=DIRECTION('',(0.,-1.,0.)); #201834=DIRECTION('center_axis',(0.,1.,0.)); #201835=DIRECTION('ref_axis',(0.00638536358503151,0.,-0.999979613358136)); #201836=DIRECTION('center_axis',(0.,-1.,0.)); #201837=DIRECTION('ref_axis',(0.687007491427802,0.,-0.726650333187895)); #201838=DIRECTION('center_axis',(0.,1.,0.)); #201839=DIRECTION('ref_axis',(0.687007491427802,0.,-0.726650333187895)); #201840=DIRECTION('',(0.,-1.,0.)); #201841=DIRECTION('center_axis',(0.,1.,0.)); #201842=DIRECTION('ref_axis',(0.687007491427802,0.,-0.726650333187895)); #201843=DIRECTION('center_axis',(0.,-1.,0.)); #201844=DIRECTION('ref_axis',(0.999736642065477,0.,-0.0229487801777103)); #201845=DIRECTION('center_axis',(0.,1.,0.)); #201846=DIRECTION('ref_axis',(0.999736642065477,0.,-0.0229487801777103)); #201847=DIRECTION('',(0.,-1.,0.)); #201848=DIRECTION('center_axis',(0.,1.,0.)); #201849=DIRECTION('ref_axis',(0.999736642065477,0.,-0.0229487801777103)); #201850=DIRECTION('center_axis',(0.,-1.,0.)); #201851=DIRECTION('ref_axis',(0.928868932561831,0.,0.370408566479562)); #201852=DIRECTION('center_axis',(0.,1.,0.)); #201853=DIRECTION('ref_axis',(0.928868932561831,0.,0.370408566479562)); #201854=DIRECTION('',(0.,-1.,0.)); #201855=DIRECTION('center_axis',(0.,1.,0.)); #201856=DIRECTION('ref_axis',(0.928868932561831,0.,0.370408566479562)); #201857=DIRECTION('center_axis',(0.,-1.,0.)); #201858=DIRECTION('ref_axis',(0.755880714625507,0.,0.654709359378062)); #201859=DIRECTION('center_axis',(0.,1.,0.)); #201860=DIRECTION('ref_axis',(0.755880714625507,0.,0.654709359378062)); #201861=DIRECTION('',(0.,-1.,0.)); #201862=DIRECTION('center_axis',(0.,1.,0.)); #201863=DIRECTION('ref_axis',(0.755880714625507,0.,0.654709359378062)); #201864=DIRECTION('center_axis',(0.,-1.,0.)); #201865=DIRECTION('ref_axis',(0.683915759463184,0.,0.729560987140826)); #201866=DIRECTION('center_axis',(0.,1.,0.)); #201867=DIRECTION('ref_axis',(0.683915759463184,0.,0.729560987140826)); #201868=DIRECTION('',(0.,-1.,0.)); #201869=DIRECTION('center_axis',(0.,1.,0.)); #201870=DIRECTION('ref_axis',(0.683915759463184,0.,0.729560987140826)); #201871=DIRECTION('center_axis',(0.,-1.,0.)); #201872=DIRECTION('ref_axis',(0.66820468781741,0.,0.743977482978375)); #201873=DIRECTION('center_axis',(0.,1.,0.)); #201874=DIRECTION('ref_axis',(0.66820468781741,0.,0.743977482978375)); #201875=DIRECTION('',(0.,-1.,0.)); #201876=DIRECTION('center_axis',(0.,1.,0.)); #201877=DIRECTION('ref_axis',(0.66820468781741,0.,0.743977482978375)); #201878=DIRECTION('center_axis',(-1.,0.,0.)); #201879=DIRECTION('ref_axis',(0.,0.,-1.)); #201880=DIRECTION('',(0.,0.,-1.)); #201881=DIRECTION('',(0.,-1.,0.)); #201882=DIRECTION('',(0.,0.,-1.)); #201883=DIRECTION('center_axis',(0.,0.,1.)); #201884=DIRECTION('ref_axis',(-1.,0.,0.)); #201885=DIRECTION('',(-1.,0.,0.)); #201886=DIRECTION('',(0.,-1.,0.)); #201887=DIRECTION('',(-1.,0.,0.)); #201888=DIRECTION('center_axis',(1.,0.,-1.07216129852724E-13)); #201889=DIRECTION('ref_axis',(1.07216129852724E-13,0.,1.)); #201890=DIRECTION('',(1.07216129852724E-13,0.,1.)); #201891=DIRECTION('',(0.,-1.,0.)); #201892=DIRECTION('',(1.07216129852724E-13,0.,1.)); #201893=DIRECTION('center_axis',(0.,-1.,0.)); #201894=DIRECTION('ref_axis',(0.799103380509847,0.,-0.601193635410202)); #201895=DIRECTION('center_axis',(0.,-1.,0.)); #201896=DIRECTION('ref_axis',(0.799103380509847,0.,-0.601193635410202)); #201897=DIRECTION('',(0.,-1.,0.)); #201898=DIRECTION('center_axis',(0.,-1.,0.)); #201899=DIRECTION('ref_axis',(0.799103380509847,0.,-0.601193635410202)); #201900=DIRECTION('center_axis',(0.,-1.,0.)); #201901=DIRECTION('ref_axis',(-0.00355086346697294,0.,-0.999993695664447)); #201902=DIRECTION('center_axis',(0.,-1.,0.)); #201903=DIRECTION('ref_axis',(-0.00355086346697294,0.,-0.999993695664447)); #201904=DIRECTION('',(0.,-1.,0.)); #201905=DIRECTION('center_axis',(0.,-1.,0.)); #201906=DIRECTION('ref_axis',(-0.00355086346697294,0.,-0.999993695664447)); #201907=DIRECTION('center_axis',(0.,-1.,0.)); #201908=DIRECTION('ref_axis',(-0.724345775763681,0.,-0.689436869577854)); #201909=DIRECTION('center_axis',(0.,-1.,0.)); #201910=DIRECTION('ref_axis',(-0.724345775763681,0.,-0.689436869577854)); #201911=DIRECTION('',(0.,-1.,0.)); #201912=DIRECTION('center_axis',(0.,-1.,0.)); #201913=DIRECTION('ref_axis',(-0.724345775763681,0.,-0.689436869577854)); #201914=DIRECTION('center_axis',(0.,-1.,0.)); #201915=DIRECTION('ref_axis',(-0.994961111668727,0.,0.100261589190134)); #201916=DIRECTION('center_axis',(0.,-1.,0.)); #201917=DIRECTION('ref_axis',(-0.994961111668727,0.,0.100261589190134)); #201918=DIRECTION('',(0.,-1.,0.)); #201919=DIRECTION('center_axis',(0.,-1.,0.)); #201920=DIRECTION('ref_axis',(-0.994961111668727,0.,0.100261589190134)); #201921=DIRECTION('center_axis',(-1.,0.,0.)); #201922=DIRECTION('ref_axis',(0.,0.,-1.)); #201923=DIRECTION('',(0.,0.,-1.)); #201924=DIRECTION('',(0.,-1.,0.)); #201925=DIRECTION('',(0.,0.,-1.)); #201926=DIRECTION('center_axis',(0.,0.,-1.)); #201927=DIRECTION('ref_axis',(1.,0.,0.)); #201928=DIRECTION('',(1.,0.,0.)); #201929=DIRECTION('',(0.,-1.,0.)); #201930=DIRECTION('',(1.,0.,0.)); #201931=DIRECTION('center_axis',(1.,0.,0.)); #201932=DIRECTION('ref_axis',(0.,0.,1.)); #201933=DIRECTION('',(0.,0.,1.)); #201934=DIRECTION('',(0.,-1.,0.)); #201935=DIRECTION('',(0.,0.,1.)); #201936=DIRECTION('center_axis',(0.,-1.,0.)); #201937=DIRECTION('ref_axis',(0.708204987001589,0.,0.706006867095554)); #201938=DIRECTION('center_axis',(0.,-1.,0.)); #201939=DIRECTION('ref_axis',(0.708204987001589,0.,0.706006867095554)); #201940=DIRECTION('',(0.,-1.,0.)); #201941=DIRECTION('center_axis',(0.,-1.,0.)); #201942=DIRECTION('ref_axis',(0.708204987001589,0.,0.706006867095554)); #201943=DIRECTION('center_axis',(0.,-1.,0.)); #201944=DIRECTION('ref_axis',(0.769053909181624,0.,0.639183920927664)); #201945=DIRECTION('center_axis',(0.,-1.,0.)); #201946=DIRECTION('ref_axis',(0.769053909181624,0.,0.639183920927664)); #201947=DIRECTION('',(0.,-1.,0.)); #201948=DIRECTION('center_axis',(0.,-1.,0.)); #201949=DIRECTION('ref_axis',(0.769053909181624,0.,0.639183920927664)); #201950=DIRECTION('center_axis',(0.,-1.,0.)); #201951=DIRECTION('ref_axis',(0.921589218926782,0.,0.388166602836881)); #201952=DIRECTION('center_axis',(0.,-1.,0.)); #201953=DIRECTION('ref_axis',(0.921589218926782,0.,0.388166602836881)); #201954=DIRECTION('',(0.,-1.,0.)); #201955=DIRECTION('center_axis',(0.,-1.,0.)); #201956=DIRECTION('ref_axis',(0.921589218926782,0.,0.388166602836881)); #201957=DIRECTION('center_axis',(0.,-1.,0.)); #201958=DIRECTION('ref_axis',(0.999948442642932,0.,-0.0101544106660963)); #201959=DIRECTION('center_axis',(0.,-1.,0.)); #201960=DIRECTION('ref_axis',(0.999948442642932,0.,-0.0101544106660963)); #201961=DIRECTION('',(0.,-1.,0.)); #201962=DIRECTION('center_axis',(0.,-1.,0.)); #201963=DIRECTION('ref_axis',(0.999948442642932,0.,-0.0101544106660963)); #201964=DIRECTION('center_axis',(0.,-1.,0.)); #201965=DIRECTION('ref_axis',(0.674320734509127,0.,-0.738438587162853)); #201966=DIRECTION('center_axis',(0.,-1.,0.)); #201967=DIRECTION('ref_axis',(0.674320734509127,0.,-0.738438587162853)); #201968=DIRECTION('',(0.,-1.,0.)); #201969=DIRECTION('center_axis',(0.,-1.,0.)); #201970=DIRECTION('ref_axis',(0.674320734509127,0.,-0.738438587162853)); #201971=DIRECTION('center_axis',(0.,-1.,0.)); #201972=DIRECTION('ref_axis',(-8.18058037652032E-6,0.,-0.999999999966539)); #201973=DIRECTION('center_axis',(0.,-1.,0.)); #201974=DIRECTION('ref_axis',(-8.18058037652032E-6,0.,-0.999999999966539)); #201975=DIRECTION('',(0.,-1.,0.)); #201976=DIRECTION('center_axis',(0.,-1.,0.)); #201977=DIRECTION('ref_axis',(-8.18058037652032E-6,0.,-0.999999999966539)); #201978=DIRECTION('center_axis',(0.,-1.,0.)); #201979=DIRECTION('ref_axis',(-0.460173434241579,0.,-0.887829043464062)); #201980=DIRECTION('center_axis',(0.,-1.,0.)); #201981=DIRECTION('ref_axis',(-0.460173434241579,0.,-0.887829043464062)); #201982=DIRECTION('',(0.,-1.,0.)); #201983=DIRECTION('center_axis',(0.,-1.,0.)); #201984=DIRECTION('ref_axis',(-0.460173434241579,0.,-0.887829043464062)); #201985=DIRECTION('center_axis',(0.,-1.,0.)); #201986=DIRECTION('ref_axis',(-0.837479010479086,0.,-0.546469493207965)); #201987=DIRECTION('center_axis',(0.,-1.,0.)); #201988=DIRECTION('ref_axis',(-0.837479010479086,0.,-0.546469493207965)); #201989=DIRECTION('',(0.,-1.,0.)); #201990=DIRECTION('center_axis',(0.,-1.,0.)); #201991=DIRECTION('ref_axis',(-0.837479010479086,0.,-0.546469493207965)); #201992=DIRECTION('center_axis',(0.,-1.,0.)); #201993=DIRECTION('ref_axis',(-0.995096151127808,0.,-0.0989123349771062)); #201994=DIRECTION('center_axis',(0.,-1.,0.)); #201995=DIRECTION('ref_axis',(-0.995096151127808,0.,-0.0989123349771062)); #201996=DIRECTION('',(0.,-1.,0.)); #201997=DIRECTION('center_axis',(0.,-1.,0.)); #201998=DIRECTION('ref_axis',(-0.995096151127808,0.,-0.0989123349771062)); #201999=DIRECTION('center_axis',(0.,-1.,0.)); #202000=DIRECTION('ref_axis',(-0.704763348588323,0.,0.709442472993106)); #202001=DIRECTION('center_axis',(0.,-1.,0.)); #202002=DIRECTION('ref_axis',(-0.704763348588323,0.,0.709442472993106)); #202003=DIRECTION('',(0.,-1.,0.)); #202004=DIRECTION('center_axis',(0.,-1.,0.)); #202005=DIRECTION('ref_axis',(-0.704763348588323,0.,0.709442472993106)); #202006=DIRECTION('center_axis',(0.,-1.,0.)); #202007=DIRECTION('ref_axis',(0.00927732913617003,0.,0.999956964656029)); #202008=DIRECTION('center_axis',(0.,-1.,0.)); #202009=DIRECTION('ref_axis',(0.00927732913617003,0.,0.999956964656029)); #202010=DIRECTION('',(0.,-1.,0.)); #202011=DIRECTION('center_axis',(0.,-1.,0.)); #202012=DIRECTION('ref_axis',(0.00927732913617003,0.,0.999956964656029)); #202013=DIRECTION('center_axis',(0.,-1.,0.)); #202014=DIRECTION('ref_axis',(0.636784293355349,0.,0.77104199868485)); #202015=DIRECTION('center_axis',(0.,-1.,0.)); #202016=DIRECTION('ref_axis',(0.636784293355349,0.,0.77104199868485)); #202017=DIRECTION('center_axis',(0.,-1.,0.)); #202018=DIRECTION('ref_axis',(0.636784293355349,0.,0.77104199868485)); #202019=DIRECTION('center_axis',(0.,1.,0.)); #202020=DIRECTION('ref_axis',(1.,0.,0.)); #202021=DIRECTION('center_axis',(0.,-1.,0.)); #202022=DIRECTION('ref_axis',(-0.809692835349217,0.,0.586853910597984)); #202023=DIRECTION('center_axis',(0.,1.,0.)); #202024=DIRECTION('ref_axis',(-0.809692835349217,0.,0.586853910597984)); #202025=DIRECTION('',(0.,-1.,0.)); #202026=DIRECTION('center_axis',(0.,1.,0.)); #202027=DIRECTION('ref_axis',(-0.809692835349217,0.,0.586853910597984)); #202028=DIRECTION('',(0.,-1.,0.)); #202029=DIRECTION('center_axis',(0.,-1.,0.)); #202030=DIRECTION('ref_axis',(-0.959189471084652,0.,0.282764139452557)); #202031=DIRECTION('center_axis',(0.,1.,0.)); #202032=DIRECTION('ref_axis',(-0.959189471084652,0.,0.282764139452557)); #202033=DIRECTION('',(0.,-1.,0.)); #202034=DIRECTION('center_axis',(0.,1.,0.)); #202035=DIRECTION('ref_axis',(-0.959189471084652,0.,0.282764139452557)); #202036=DIRECTION('center_axis',(0.,-1.,0.)); #202037=DIRECTION('ref_axis',(-0.999972230532656,0.,-0.00745239314217212)); #202038=DIRECTION('center_axis',(0.,1.,0.)); #202039=DIRECTION('ref_axis',(-0.999972230532656,0.,-0.00745239314217212)); #202040=DIRECTION('',(0.,-1.,0.)); #202041=DIRECTION('center_axis',(0.,1.,0.)); #202042=DIRECTION('ref_axis',(-0.999972230532656,0.,-0.00745239314217212)); #202043=DIRECTION('center_axis',(1.,0.,-6.78102320736118E-14)); #202044=DIRECTION('ref_axis',(6.78102320736118E-14,0.,1.)); #202045=DIRECTION('',(6.78102320736118E-14,0.,1.)); #202046=DIRECTION('',(0.,-1.,0.)); #202047=DIRECTION('',(6.78102320736118E-14,0.,1.)); #202048=DIRECTION('center_axis',(0.,-1.,0.)); #202049=DIRECTION('ref_axis',(-0.883603974562376,0.,-0.46823500097448)); #202050=DIRECTION('center_axis',(0.,1.,0.)); #202051=DIRECTION('ref_axis',(-0.883603974562376,0.,-0.46823500097448)); #202052=DIRECTION('',(0.,-1.,0.)); #202053=DIRECTION('center_axis',(0.,1.,0.)); #202054=DIRECTION('ref_axis',(-0.883603974562376,0.,-0.46823500097448)); #202055=DIRECTION('center_axis',(0.,-1.,0.)); #202056=DIRECTION('ref_axis',(-0.0456042146398048,0.,-0.998959586573494)); #202057=DIRECTION('center_axis',(0.,1.,0.)); #202058=DIRECTION('ref_axis',(-0.0456042146398048,0.,-0.998959586573494)); #202059=DIRECTION('',(0.,-1.,0.)); #202060=DIRECTION('center_axis',(0.,1.,0.)); #202061=DIRECTION('ref_axis',(-0.0456042146398048,0.,-0.998959586573494)); #202062=DIRECTION('center_axis',(0.,-1.,0.)); #202063=DIRECTION('ref_axis',(0.516071583436007,0.,-0.856545457503484)); #202064=DIRECTION('center_axis',(0.,1.,0.)); #202065=DIRECTION('ref_axis',(0.516071583436007,0.,-0.856545457503484)); #202066=DIRECTION('',(0.,-1.,0.)); #202067=DIRECTION('center_axis',(0.,1.,0.)); #202068=DIRECTION('ref_axis',(0.516071583436007,0.,-0.856545457503484)); #202069=DIRECTION('center_axis',(0.,-1.,0.)); #202070=DIRECTION('ref_axis',(0.808380945326298,0.,-0.58865970410192)); #202071=DIRECTION('center_axis',(0.,1.,0.)); #202072=DIRECTION('ref_axis',(0.808380945326298,0.,-0.58865970410192)); #202073=DIRECTION('',(0.,-1.,0.)); #202074=DIRECTION('center_axis',(0.,1.,0.)); #202075=DIRECTION('ref_axis',(0.808380945326298,0.,-0.58865970410192)); #202076=DIRECTION('center_axis',(0.,-1.,0.)); #202077=DIRECTION('ref_axis',(0.958517800473567,0.,-0.285032675627402)); #202078=DIRECTION('center_axis',(0.,1.,0.)); #202079=DIRECTION('ref_axis',(0.958517800473567,0.,-0.285032675627402)); #202080=DIRECTION('',(0.,-1.,0.)); #202081=DIRECTION('center_axis',(0.,1.,0.)); #202082=DIRECTION('ref_axis',(0.958517800473567,0.,-0.285032675627402)); #202083=DIRECTION('center_axis',(0.,-1.,0.)); #202084=DIRECTION('ref_axis',(0.99996928955694,0.,0.00783708765988612)); #202085=DIRECTION('center_axis',(0.,1.,0.)); #202086=DIRECTION('ref_axis',(0.99996928955694,0.,0.00783708765988612)); #202087=DIRECTION('',(0.,-1.,0.)); #202088=DIRECTION('center_axis',(0.,1.,0.)); #202089=DIRECTION('ref_axis',(0.99996928955694,0.,0.00783708765988612)); #202090=DIRECTION('center_axis',(-1.,0.,0.)); #202091=DIRECTION('ref_axis',(0.,0.,-1.)); #202092=DIRECTION('',(0.,0.,-1.)); #202093=DIRECTION('',(0.,-1.,0.)); #202094=DIRECTION('',(0.,0.,-1.)); #202095=DIRECTION('center_axis',(0.,-1.,0.)); #202096=DIRECTION('ref_axis',(0.884107043073771,0.,0.467284427717588)); #202097=DIRECTION('center_axis',(0.,1.,0.)); #202098=DIRECTION('ref_axis',(0.884107043073771,0.,0.467284427717588)); #202099=DIRECTION('',(0.,-1.,0.)); #202100=DIRECTION('center_axis',(0.,1.,0.)); #202101=DIRECTION('ref_axis',(0.884107043073771,0.,0.467284427717588)); #202102=DIRECTION('center_axis',(0.,-1.,0.)); #202103=DIRECTION('ref_axis',(0.0485642805221734,0.,0.998820059198534)); #202104=DIRECTION('center_axis',(0.,1.,0.)); #202105=DIRECTION('ref_axis',(0.0485642805221734,0.,0.998820059198534)); #202106=DIRECTION('',(0.,-1.,0.)); #202107=DIRECTION('center_axis',(0.,1.,0.)); #202108=DIRECTION('ref_axis',(0.0485642805221734,0.,0.998820059198534)); #202109=DIRECTION('center_axis',(0.,-1.,0.)); #202110=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #202111=DIRECTION('center_axis',(0.,1.,0.)); #202112=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #202113=DIRECTION('center_axis',(0.,1.,0.)); #202114=DIRECTION('ref_axis',(-0.525947778968032,0.,0.85051686273618)); #202115=DIRECTION('center_axis',(0.,-1.,0.)); #202116=DIRECTION('ref_axis',(0.780976867421575,0.,0.624559951127499)); #202117=DIRECTION('center_axis',(0.,-1.,0.)); #202118=DIRECTION('ref_axis',(0.780976867421575,0.,0.624559951127499)); #202119=DIRECTION('',(0.,-1.,0.)); #202120=DIRECTION('center_axis',(0.,-1.,0.)); #202121=DIRECTION('ref_axis',(0.780976867421575,0.,0.624559951127499)); #202122=DIRECTION('',(0.,-1.,0.)); #202123=DIRECTION('center_axis',(0.,-1.,0.)); #202124=DIRECTION('ref_axis',(0.998737294282739,0.,-0.0502376055240839)); #202125=DIRECTION('center_axis',(0.,-1.,0.)); #202126=DIRECTION('ref_axis',(0.998737294282739,0.,-0.0502376055240839)); #202127=DIRECTION('',(0.,-1.,0.)); #202128=DIRECTION('center_axis',(0.,-1.,0.)); #202129=DIRECTION('ref_axis',(0.998737294282739,0.,-0.0502376055240839)); #202130=DIRECTION('center_axis',(1.,0.,0.)); #202131=DIRECTION('ref_axis',(0.,0.,1.)); #202132=DIRECTION('',(0.,0.,1.)); #202133=DIRECTION('',(0.,-1.,0.)); #202134=DIRECTION('',(0.,0.,1.)); #202135=DIRECTION('center_axis',(0.,-1.,0.)); #202136=DIRECTION('ref_axis',(0.972821837704928,0.,-0.231554900799801)); #202137=DIRECTION('center_axis',(0.,-1.,0.)); #202138=DIRECTION('ref_axis',(0.972821837704928,0.,-0.231554900799801)); #202139=DIRECTION('',(0.,-1.,0.)); #202140=DIRECTION('center_axis',(0.,-1.,0.)); #202141=DIRECTION('ref_axis',(0.972821837704928,0.,-0.231554900799801)); #202142=DIRECTION('center_axis',(0.,-1.,0.)); #202143=DIRECTION('ref_axis',(0.901649173259318,0.,-0.432468228151836)); #202144=DIRECTION('center_axis',(0.,-1.,0.)); #202145=DIRECTION('ref_axis',(0.901649173259318,0.,-0.432468228151836)); #202146=DIRECTION('',(0.,-1.,0.)); #202147=DIRECTION('center_axis',(0.,-1.,0.)); #202148=DIRECTION('ref_axis',(0.901649173259318,0.,-0.432468228151836)); #202149=DIRECTION('center_axis',(0.,-1.,0.)); #202150=DIRECTION('ref_axis',(0.775917317629778,0.,-0.630834618741085)); #202151=DIRECTION('center_axis',(0.,-1.,0.)); #202152=DIRECTION('ref_axis',(0.775917317629778,0.,-0.630834618741085)); #202153=DIRECTION('',(0.,-1.,0.)); #202154=DIRECTION('center_axis',(0.,-1.,0.)); #202155=DIRECTION('ref_axis',(0.775917317629778,0.,-0.630834618741085)); #202156=DIRECTION('center_axis',(0.,-1.,0.)); #202157=DIRECTION('ref_axis',(0.411568038190069,0.,-0.911379037470348)); #202158=DIRECTION('center_axis',(0.,-1.,0.)); #202159=DIRECTION('ref_axis',(0.411568038190069,0.,-0.911379037470348)); #202160=DIRECTION('',(0.,-1.,0.)); #202161=DIRECTION('center_axis',(0.,-1.,0.)); #202162=DIRECTION('ref_axis',(0.411568038190069,0.,-0.911379037470348)); #202163=DIRECTION('center_axis',(0.,-1.,0.)); #202164=DIRECTION('ref_axis',(-0.00530866369464318,0.,-0.99998590894561)); #202165=DIRECTION('center_axis',(0.,-1.,0.)); #202166=DIRECTION('ref_axis',(-0.00530866369464318,0.,-0.99998590894561)); #202167=DIRECTION('',(0.,-1.,0.)); #202168=DIRECTION('center_axis',(0.,-1.,0.)); #202169=DIRECTION('ref_axis',(-0.00530866369464318,0.,-0.99998590894561)); #202170=DIRECTION('center_axis',(0.,-1.,0.)); #202171=DIRECTION('ref_axis',(-0.780973646138011,0.,-0.624563979138967)); #202172=DIRECTION('center_axis',(0.,-1.,0.)); #202173=DIRECTION('ref_axis',(-0.780973646138011,0.,-0.624563979138967)); #202174=DIRECTION('',(0.,-1.,0.)); #202175=DIRECTION('center_axis',(0.,-1.,0.)); #202176=DIRECTION('ref_axis',(-0.780973646138011,0.,-0.624563979138967)); #202177=DIRECTION('center_axis',(0.,-1.,0.)); #202178=DIRECTION('ref_axis',(-0.998705286273048,0.,0.0508699436825772)); #202179=DIRECTION('center_axis',(0.,-1.,0.)); #202180=DIRECTION('ref_axis',(-0.998705286273048,0.,0.0508699436825772)); #202181=DIRECTION('',(0.,-1.,0.)); #202182=DIRECTION('center_axis',(0.,-1.,0.)); #202183=DIRECTION('ref_axis',(-0.998705286273048,0.,0.0508699436825772)); #202184=DIRECTION('center_axis',(-1.,0.,0.)); #202185=DIRECTION('ref_axis',(0.,0.,-1.)); #202186=DIRECTION('',(0.,0.,-1.)); #202187=DIRECTION('',(0.,-1.,0.)); #202188=DIRECTION('',(0.,0.,-1.)); #202189=DIRECTION('center_axis',(0.,-1.,0.)); #202190=DIRECTION('ref_axis',(-0.970239401461935,0.,0.242147690161986)); #202191=DIRECTION('center_axis',(0.,-1.,0.)); #202192=DIRECTION('ref_axis',(-0.970239401461935,0.,0.242147690161986)); #202193=DIRECTION('',(0.,-1.,0.)); #202194=DIRECTION('center_axis',(0.,-1.,0.)); #202195=DIRECTION('ref_axis',(-0.970239401461935,0.,0.242147690161986)); #202196=DIRECTION('center_axis',(0.,-1.,0.)); #202197=DIRECTION('ref_axis',(-0.898662792709427,0.,0.438640154340314)); #202198=DIRECTION('center_axis',(0.,-1.,0.)); #202199=DIRECTION('ref_axis',(-0.898662792709427,0.,0.438640154340314)); #202200=DIRECTION('',(0.,-1.,0.)); #202201=DIRECTION('center_axis',(0.,-1.,0.)); #202202=DIRECTION('ref_axis',(-0.898662792709427,0.,0.438640154340314)); #202203=DIRECTION('center_axis',(0.,-1.,0.)); #202204=DIRECTION('ref_axis',(-0.777946076019242,0.,0.628331045553428)); #202205=DIRECTION('center_axis',(0.,-1.,0.)); #202206=DIRECTION('ref_axis',(-0.777946076019242,0.,0.628331045553428)); #202207=DIRECTION('',(0.,-1.,0.)); #202208=DIRECTION('center_axis',(0.,-1.,0.)); #202209=DIRECTION('ref_axis',(-0.777946076019242,0.,0.628331045553428)); #202210=DIRECTION('center_axis',(0.,-1.,0.)); #202211=DIRECTION('ref_axis',(-0.408348722576845,0.,0.912826007939004)); #202212=DIRECTION('center_axis',(0.,-1.,0.)); #202213=DIRECTION('ref_axis',(-0.408348722576845,0.,0.912826007939004)); #202214=DIRECTION('',(0.,-1.,0.)); #202215=DIRECTION('center_axis',(0.,-1.,0.)); #202216=DIRECTION('ref_axis',(-0.408348722576845,0.,0.912826007939004)); #202217=DIRECTION('center_axis',(0.,-1.,0.)); #202218=DIRECTION('ref_axis',(0.00324327391148297,0.,0.999994740573337)); #202219=DIRECTION('center_axis',(0.,-1.,0.)); #202220=DIRECTION('ref_axis',(0.00324327391148297,0.,0.999994740573337)); #202221=DIRECTION('center_axis',(0.,-1.,0.)); #202222=DIRECTION('ref_axis',(0.00324327391148297,0.,0.999994740573337)); #202223=DIRECTION('center_axis',(0.,1.,0.)); #202224=DIRECTION('ref_axis',(1.,0.,0.)); #202225=DIRECTION('center_axis',(0.,-1.,0.)); #202226=DIRECTION('ref_axis',(-0.680911524162547,0.,0.732365684793217)); #202227=DIRECTION('center_axis',(0.,-1.,0.)); #202228=DIRECTION('ref_axis',(-0.680911524162547,0.,0.732365684793217)); #202229=DIRECTION('',(0.,-1.,0.)); #202230=DIRECTION('center_axis',(0.,-1.,0.)); #202231=DIRECTION('ref_axis',(-0.680911524162547,0.,0.732365684793217)); #202232=DIRECTION('',(0.,-1.,0.)); #202233=DIRECTION('center_axis',(0.,-1.,0.)); #202234=DIRECTION('ref_axis',(0.100252378162859,0.,0.994962039814932)); #202235=DIRECTION('center_axis',(0.,-1.,0.)); #202236=DIRECTION('ref_axis',(0.100252378162859,0.,0.994962039814932)); #202237=DIRECTION('',(0.,-1.,0.)); #202238=DIRECTION('center_axis',(0.,-1.,0.)); #202239=DIRECTION('ref_axis',(0.100252378162859,0.,0.994962039814932)); #202240=DIRECTION('center_axis',(-3.80144203088102E-14,0.,1.)); #202241=DIRECTION('ref_axis',(-1.,0.,-3.80144203088102E-14)); #202242=DIRECTION('',(-1.,0.,-3.80144203088102E-14)); #202243=DIRECTION('',(0.,-1.,0.)); #202244=DIRECTION('',(-1.,0.,-3.80144203088102E-14)); #202245=DIRECTION('center_axis',(0.,-1.,0.)); #202246=DIRECTION('ref_axis',(0.601150196698311,0.,0.799136059134852)); #202247=DIRECTION('center_axis',(0.,-1.,0.)); #202248=DIRECTION('ref_axis',(0.601150196698311,0.,0.799136059134852)); #202249=DIRECTION('',(0.,-1.,0.)); #202250=DIRECTION('center_axis',(0.,-1.,0.)); #202251=DIRECTION('ref_axis',(0.601150196698311,0.,0.799136059134852)); #202252=DIRECTION('center_axis',(0.,-1.,0.)); #202253=DIRECTION('ref_axis',(0.999992415000245,0.,-0.00389486097017891)); #202254=DIRECTION('center_axis',(0.,-1.,0.)); #202255=DIRECTION('ref_axis',(0.999992415000245,0.,-0.00389486097017891)); #202256=DIRECTION('',(0.,-1.,0.)); #202257=DIRECTION('center_axis',(0.,-1.,0.)); #202258=DIRECTION('ref_axis',(0.999992415000245,0.,-0.00389486097017891)); #202259=DIRECTION('center_axis',(0.,-1.,0.)); #202260=DIRECTION('ref_axis',(0.677727880920688,0.,-0.735312803793565)); #202261=DIRECTION('center_axis',(0.,-1.,0.)); #202262=DIRECTION('ref_axis',(0.677727880920688,0.,-0.735312803793565)); #202263=DIRECTION('',(0.,-1.,0.)); #202264=DIRECTION('center_axis',(0.,-1.,0.)); #202265=DIRECTION('ref_axis',(0.677727880920688,0.,-0.735312803793565)); #202266=DIRECTION('center_axis',(0.,-1.,0.)); #202267=DIRECTION('ref_axis',(-0.100736024304811,0.,-0.994913188879945)); #202268=DIRECTION('center_axis',(0.,-1.,0.)); #202269=DIRECTION('ref_axis',(-0.100736024304811,0.,-0.994913188879945)); #202270=DIRECTION('',(0.,-1.,0.)); #202271=DIRECTION('center_axis',(0.,-1.,0.)); #202272=DIRECTION('ref_axis',(-0.100736024304811,0.,-0.994913188879945)); #202273=DIRECTION('center_axis',(0.,0.,-1.)); #202274=DIRECTION('ref_axis',(1.,0.,0.)); #202275=DIRECTION('',(1.,0.,0.)); #202276=DIRECTION('',(0.,-1.,0.)); #202277=DIRECTION('',(1.,0.,0.)); #202278=DIRECTION('center_axis',(1.,0.,0.)); #202279=DIRECTION('ref_axis',(0.,0.,1.)); #202280=DIRECTION('',(0.,0.,1.)); #202281=DIRECTION('',(0.,-1.,0.)); #202282=DIRECTION('',(0.,0.,1.)); #202283=DIRECTION('center_axis',(-0.300510418681899,0.,-0.953778532083644)); #202284=DIRECTION('ref_axis',(0.953778532083644,0.,-0.300510418681899)); #202285=DIRECTION('',(0.953778532083644,0.,-0.300510418681899)); #202286=DIRECTION('',(0.,-1.,0.)); #202287=DIRECTION('',(0.953778532083644,0.,-0.300510418681899)); #202288=DIRECTION('center_axis',(0.,-1.,0.)); #202289=DIRECTION('ref_axis',(-0.640867240795949,0.,-0.76765173071816)); #202290=DIRECTION('center_axis',(0.,-1.,0.)); #202291=DIRECTION('ref_axis',(-0.640867240795949,0.,-0.76765173071816)); #202292=DIRECTION('',(0.,-1.,0.)); #202293=DIRECTION('center_axis',(0.,-1.,0.)); #202294=DIRECTION('ref_axis',(-0.640867240795949,0.,-0.76765173071816)); #202295=DIRECTION('center_axis',(0.,-1.,0.)); #202296=DIRECTION('ref_axis',(-0.999883629273213,0.,-0.0152554223614867)); #202297=DIRECTION('center_axis',(0.,-1.,0.)); #202298=DIRECTION('ref_axis',(-0.999883629273213,0.,-0.0152554223614867)); #202299=DIRECTION('',(0.,-1.,0.)); #202300=DIRECTION('center_axis',(0.,-1.,0.)); #202301=DIRECTION('ref_axis',(-0.999883629273213,0.,-0.0152554223614867)); #202302=DIRECTION('center_axis',(0.,-1.,0.)); #202303=DIRECTION('ref_axis',(-0.701400128910602,0.,0.712767745597534)); #202304=DIRECTION('center_axis',(0.,-1.,0.)); #202305=DIRECTION('ref_axis',(-0.701400128910602,0.,0.712767745597534)); #202306=DIRECTION('',(0.,-1.,0.)); #202307=DIRECTION('center_axis',(0.,-1.,0.)); #202308=DIRECTION('ref_axis',(-0.701400128910602,0.,0.712767745597534)); #202309=DIRECTION('center_axis',(0.,-1.,0.)); #202310=DIRECTION('ref_axis',(-0.0339345300148274,0.,0.999424057981732)); #202311=DIRECTION('center_axis',(0.,-1.,0.)); #202312=DIRECTION('ref_axis',(-0.0339345300148274,0.,0.999424057981732)); #202313=DIRECTION('',(0.,-1.,0.)); #202314=DIRECTION('center_axis',(0.,-1.,0.)); #202315=DIRECTION('ref_axis',(-0.0339345300148274,0.,0.999424057981732)); #202316=DIRECTION('center_axis',(0.,-1.,0.)); #202317=DIRECTION('ref_axis',(0.342763459509613,0.,0.939421742789149)); #202318=DIRECTION('center_axis',(0.,-1.,0.)); #202319=DIRECTION('ref_axis',(0.342763459509613,0.,0.939421742789149)); #202320=DIRECTION('',(0.,-1.,0.)); #202321=DIRECTION('center_axis',(0.,-1.,0.)); #202322=DIRECTION('ref_axis',(0.342763459509613,0.,0.939421742789149)); #202323=DIRECTION('center_axis',(0.299462103219061,0.,0.954108195508044)); #202324=DIRECTION('ref_axis',(-0.954108195508044,0.,0.299462103219061)); #202325=DIRECTION('',(-0.954108195508044,0.,0.299462103219061)); #202326=DIRECTION('',(0.,-1.,0.)); #202327=DIRECTION('',(-0.954108195508044,0.,0.299462103219061)); #202328=DIRECTION('center_axis',(-1.,0.,0.)); #202329=DIRECTION('ref_axis',(0.,0.,-1.)); #202330=DIRECTION('',(0.,0.,-1.)); #202331=DIRECTION('',(0.,-1.,0.)); #202332=DIRECTION('',(0.,0.,-1.)); #202333=DIRECTION('center_axis',(0.,0.,-1.)); #202334=DIRECTION('ref_axis',(1.,0.,0.)); #202335=DIRECTION('',(1.,0.,0.)); #202336=DIRECTION('',(0.,-1.,0.)); #202337=DIRECTION('',(1.,0.,0.)); #202338=DIRECTION('center_axis',(0.,-1.,0.)); #202339=DIRECTION('ref_axis',(-0.583815332756992,0.,-0.811886480511803)); #202340=DIRECTION('center_axis',(0.,-1.,0.)); #202341=DIRECTION('ref_axis',(-0.583815332756992,0.,-0.811886480511803)); #202342=DIRECTION('',(0.,-1.,0.)); #202343=DIRECTION('center_axis',(0.,-1.,0.)); #202344=DIRECTION('ref_axis',(-0.583815332756992,0.,-0.811886480511803)); #202345=DIRECTION('center_axis',(0.,-1.,0.)); #202346=DIRECTION('ref_axis',(-0.999798144197532,0.,0.0200915618898039)); #202347=DIRECTION('center_axis',(0.,-1.,0.)); #202348=DIRECTION('ref_axis',(-0.999798144197532,0.,0.0200915618898039)); #202349=DIRECTION('center_axis',(0.,-1.,0.)); #202350=DIRECTION('ref_axis',(-0.999798144197532,0.,0.0200915618898039)); #202351=DIRECTION('center_axis',(0.,1.,0.)); #202352=DIRECTION('ref_axis',(1.,0.,0.)); #202353=DIRECTION('center_axis',(0.,1.,0.)); #202354=DIRECTION('ref_axis',(1.,0.,0.)); #202355=DIRECTION('center_axis',(0.,1.,0.)); #202356=DIRECTION('ref_axis',(1.,0.,-7.40148683074739E-16)); #202357=DIRECTION('',(-1.,0.,6.75787928032955E-16)); #202358=DIRECTION('center_axis',(0.,1.,0.)); #202359=DIRECTION('ref_axis',(0.,0.,-1.)); #202360=DIRECTION('',(0.,0.,1.)); #202361=DIRECTION('center_axis',(0.,1.,0.)); #202362=DIRECTION('ref_axis',(-1.,0.,0.)); #202363=DIRECTION('',(1.,0.,-7.72329060608956E-16)); #202364=DIRECTION('center_axis',(0.,1.,0.)); #202365=DIRECTION('ref_axis',(2.96059473229898E-15,0.,1.)); #202366=DIRECTION('',(0.,0.,-1.)); #202367=DIRECTION('center_axis',(0.,1.,0.)); #202368=DIRECTION('ref_axis',(1.,0.,0.)); #202369=DIRECTION('center_axis',(0.,1.,0.)); #202370=DIRECTION('ref_axis',(1.,0.,0.)); #202371=DIRECTION('center_axis',(0.,1.,0.)); #202372=DIRECTION('ref_axis',(1.,0.,0.)); #202373=DIRECTION('center_axis',(0.,1.,0.)); #202374=DIRECTION('ref_axis',(1.,0.,0.)); #202375=DIRECTION('center_axis',(0.,1.,0.)); #202376=DIRECTION('ref_axis',(1.,0.,0.)); #202377=DIRECTION('center_axis',(0.,1.,0.)); #202378=DIRECTION('ref_axis',(1.,0.,0.)); #202379=DIRECTION('center_axis',(0.,1.,0.)); #202380=DIRECTION('ref_axis',(1.,0.,0.)); #202381=DIRECTION('center_axis',(0.,1.,0.)); #202382=DIRECTION('ref_axis',(1.,0.,0.)); #202383=DIRECTION('center_axis',(0.,1.,0.)); #202384=DIRECTION('ref_axis',(1.,0.,0.)); #202385=DIRECTION('center_axis',(0.,1.,0.)); #202386=DIRECTION('ref_axis',(1.,0.,0.)); #202387=DIRECTION('center_axis',(0.,1.,0.)); #202388=DIRECTION('ref_axis',(1.,0.,0.)); #202389=DIRECTION('center_axis',(0.,1.,0.)); #202390=DIRECTION('ref_axis',(1.,0.,0.)); #202391=DIRECTION('center_axis',(0.,1.,0.)); #202392=DIRECTION('ref_axis',(1.,0.,0.)); #202393=DIRECTION('center_axis',(0.,1.,0.)); #202394=DIRECTION('ref_axis',(1.,0.,0.)); #202395=DIRECTION('center_axis',(0.,1.,0.)); #202396=DIRECTION('ref_axis',(1.,0.,0.)); #202397=DIRECTION('center_axis',(0.,1.,0.)); #202398=DIRECTION('ref_axis',(1.,0.,0.)); #202399=DIRECTION('center_axis',(0.,1.,0.)); #202400=DIRECTION('ref_axis',(1.,0.,0.)); #202401=DIRECTION('center_axis',(0.,1.,0.)); #202402=DIRECTION('ref_axis',(1.,0.,0.)); #202403=DIRECTION('center_axis',(0.,1.,0.)); #202404=DIRECTION('ref_axis',(1.,0.,0.)); #202405=DIRECTION('center_axis',(1.,0.,0.)); #202406=DIRECTION('ref_axis',(0.,0.,-1.)); #202407=DIRECTION('',(0.,0.,1.)); #202408=DIRECTION('',(0.,1.,0.)); #202409=DIRECTION('',(0.,1.,0.)); #202410=DIRECTION('center_axis',(0.,1.,0.)); #202411=DIRECTION('ref_axis',(2.96059473229898E-15,0.,1.)); #202412=DIRECTION('center_axis',(0.,1.,0.)); #202413=DIRECTION('ref_axis',(2.96059473229898E-15,0.,1.)); #202414=DIRECTION('',(0.,1.,0.)); #202415=DIRECTION('center_axis',(7.72329060608956E-16,0.,1.)); #202416=DIRECTION('ref_axis',(1.,0.,-7.72329060608956E-16)); #202417=DIRECTION('',(-1.,0.,7.72329060608956E-16)); #202418=DIRECTION('',(0.,1.,0.)); #202419=DIRECTION('center_axis',(0.,1.,0.)); #202420=DIRECTION('ref_axis',(-1.,0.,0.)); #202421=DIRECTION('center_axis',(0.,1.,0.)); #202422=DIRECTION('ref_axis',(-1.,0.,0.)); #202423=DIRECTION('',(0.,1.,0.)); #202424=DIRECTION('center_axis',(-1.,0.,0.)); #202425=DIRECTION('ref_axis',(0.,0.,1.)); #202426=DIRECTION('',(0.,0.,-1.)); #202427=DIRECTION('',(0.,1.,0.)); #202428=DIRECTION('center_axis',(0.,1.,0.)); #202429=DIRECTION('ref_axis',(0.,0.,-1.)); #202430=DIRECTION('center_axis',(0.,1.,0.)); #202431=DIRECTION('ref_axis',(0.,0.,-1.)); #202432=DIRECTION('',(0.,1.,0.)); #202433=DIRECTION('center_axis',(-6.75787928032955E-16,0.,-1.)); #202434=DIRECTION('ref_axis',(-1.,0.,6.75787928032955E-16)); #202435=DIRECTION('',(1.,0.,-6.75787928032955E-16)); #202436=DIRECTION('',(0.,1.,0.)); #202437=DIRECTION('center_axis',(0.,1.,0.)); #202438=DIRECTION('ref_axis',(1.,0.,-7.40148683074739E-16)); #202439=DIRECTION('center_axis',(0.,1.,0.)); #202440=DIRECTION('ref_axis',(1.,0.,-7.40148683074739E-16)); #202441=DIRECTION('center_axis',(0.,1.,0.)); #202442=DIRECTION('ref_axis',(1.,0.,0.)); #202443=DIRECTION('center_axis',(0.,1.,0.)); #202444=DIRECTION('ref_axis',(1.,0.,0.)); #202445=DIRECTION('axis',(0.,0.,1.)); #202446=DIRECTION('refdir',(1.,0.,0.)); #202447=DIRECTION('axis',(0.,0.,1.)); #202448=DIRECTION('refdir',(1.,0.,0.)); #202449=DIRECTION('axis',(0.,0.,1.)); #202450=DIRECTION('refdir',(1.,0.,0.)); #202451=DIRECTION('center_axis',(0.,0.,-1.)); #202452=DIRECTION('ref_axis',(-1.,0.,0.)); #202453=DIRECTION('',(0.,-1.,0.)); #202454=DIRECTION('',(-1.,0.,0.)); #202455=DIRECTION('',(0.,1.,0.)); #202456=DIRECTION('',(1.,0.,0.)); #202457=DIRECTION('',(0.,-1.,0.)); #202458=DIRECTION('',(-1.,0.,0.)); #202459=DIRECTION('',(0.,1.,0.)); #202460=DIRECTION('',(1.,0.,0.)); #202461=DIRECTION('',(0.,-1.,0.)); #202462=DIRECTION('',(-1.,0.,0.)); #202463=DIRECTION('',(0.,1.,0.)); #202464=DIRECTION('',(1.,0.,0.)); #202465=DIRECTION('',(0.,-1.,0.)); #202466=DIRECTION('',(-1.,0.,0.)); #202467=DIRECTION('',(0.,1.,0.)); #202468=DIRECTION('',(1.,0.,0.)); #202469=DIRECTION('',(0.,-1.,0.)); #202470=DIRECTION('',(-1.,0.,0.)); #202471=DIRECTION('',(0.,1.,0.)); #202472=DIRECTION('',(1.,0.,0.)); #202473=DIRECTION('',(0.,-1.,0.)); #202474=DIRECTION('',(-1.,0.,0.)); #202475=DIRECTION('',(0.,1.,0.)); #202476=DIRECTION('',(1.,0.,0.)); #202477=DIRECTION('',(0.,-1.,0.)); #202478=DIRECTION('',(-1.,0.,0.)); #202479=DIRECTION('',(0.,1.,0.)); #202480=DIRECTION('',(1.,0.,0.)); #202481=DIRECTION('',(0.,-1.,0.)); #202482=DIRECTION('',(-1.,0.,0.)); #202483=DIRECTION('',(0.,1.,0.)); #202484=DIRECTION('',(1.,0.,0.)); #202485=DIRECTION('',(0.,-1.,0.)); #202486=DIRECTION('',(-1.,0.,0.)); #202487=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #202488=DIRECTION('',(1.,0.,0.)); #202489=DIRECTION('',(0.,-1.,0.)); #202490=DIRECTION('',(-1.,0.,0.)); #202491=DIRECTION('',(0.,1.,0.)); #202492=DIRECTION('',(1.,0.,0.)); #202493=DIRECTION('',(0.,-1.,0.)); #202494=DIRECTION('',(-1.,0.,0.)); #202495=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #202496=DIRECTION('',(1.,0.,0.)); #202497=DIRECTION('',(0.,-1.,0.)); #202498=DIRECTION('',(-1.,0.,0.)); #202499=DIRECTION('',(0.,1.,0.)); #202500=DIRECTION('',(1.,0.,0.)); #202501=DIRECTION('',(0.,-1.,0.)); #202502=DIRECTION('',(-1.,0.,0.)); #202503=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #202504=DIRECTION('',(1.,0.,0.)); #202505=DIRECTION('',(0.,-1.,0.)); #202506=DIRECTION('',(-1.,0.,0.)); #202507=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #202508=DIRECTION('',(1.,0.,0.)); #202509=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #202510=DIRECTION('',(-1.,0.,0.)); #202511=DIRECTION('',(-6.19544098563146E-16,1.,0.)); #202512=DIRECTION('',(1.,0.,0.)); #202513=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #202514=DIRECTION('',(-1.,0.,0.)); #202515=DIRECTION('',(0.,-1.,0.)); #202516=DIRECTION('',(1.,0.,0.)); #202517=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #202518=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202519=DIRECTION('',(1.23908819712629E-15,1.,0.)); #202520=DIRECTION('',(1.,0.,0.)); #202521=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #202522=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202523=DIRECTION('',(0.,1.,0.)); #202524=DIRECTION('',(1.,0.,0.)); #202525=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #202526=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202527=DIRECTION('',(0.,1.,0.)); #202528=DIRECTION('',(1.,0.,0.)); #202529=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #202530=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202531=DIRECTION('',(0.,1.,0.)); #202532=DIRECTION('',(1.,0.,0.)); #202533=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #202534=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202535=DIRECTION('',(0.,1.,0.)); #202536=DIRECTION('',(1.,0.,0.)); #202537=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #202538=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202539=DIRECTION('',(0.,1.,0.)); #202540=DIRECTION('',(1.,0.,0.)); #202541=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #202542=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202543=DIRECTION('',(0.,1.,0.)); #202544=DIRECTION('',(1.,0.,0.)); #202545=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #202546=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202547=DIRECTION('',(4.95635278850516E-15,1.,0.)); #202548=DIRECTION('',(1.,0.,0.)); #202549=DIRECTION('',(0.,-1.,0.)); #202550=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202551=DIRECTION('',(0.,1.,0.)); #202552=DIRECTION('',(1.,0.,0.)); #202553=DIRECTION('',(0.,-1.,0.)); #202554=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202555=DIRECTION('',(0.,1.,0.)); #202556=DIRECTION('',(1.,0.,0.)); #202557=DIRECTION('',(0.,-1.,0.)); #202558=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202559=DIRECTION('',(0.,1.,0.)); #202560=DIRECTION('',(1.,0.,0.)); #202561=DIRECTION('',(0.,-1.,0.)); #202562=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202563=DIRECTION('',(0.,1.,0.)); #202564=DIRECTION('',(1.,0.,0.)); #202565=DIRECTION('',(0.,-1.,0.)); #202566=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202567=DIRECTION('',(0.,1.,0.)); #202568=DIRECTION('',(1.,0.,0.)); #202569=DIRECTION('',(0.,-1.,0.)); #202570=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #202571=DIRECTION('',(0.,1.,0.)); #202572=DIRECTION('',(1.,0.,0.)); #202573=DIRECTION('',(0.,-1.,0.)); #202574=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202575=DIRECTION('',(0.,1.,0.)); #202576=DIRECTION('',(1.,0.,0.)); #202577=DIRECTION('',(0.,-1.,0.)); #202578=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #202579=DIRECTION('',(0.,1.,0.)); #202580=DIRECTION('',(1.,0.,0.)); #202581=DIRECTION('',(0.,1.,0.)); #202582=DIRECTION('',(-1.,0.,0.)); #202583=DIRECTION('',(0.,1.,0.)); #202584=DIRECTION('',(1.,0.,0.)); #202585=DIRECTION('',(1.22508720054859E-16,-1.,0.)); #202586=DIRECTION('',(-1.,0.,0.)); #202587=DIRECTION('',(-1.96013952087775E-16,1.,0.)); #202588=DIRECTION('',(1.,0.,0.)); #202589=DIRECTION('center_axis',(0.,1.,0.)); #202590=DIRECTION('ref_axis',(0.,0.,1.)); #202591=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202592=DIRECTION('',(0.,0.,1.)); #202593=DIRECTION('',(1.,0.,0.)); #202594=DIRECTION('',(0.,0.,-1.)); #202595=DIRECTION('',(0.,0.,-1.)); #202596=DIRECTION('',(1.,0.,0.)); #202597=DIRECTION('',(0.,0.,-1.)); #202598=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202599=DIRECTION('',(0.,0.,1.)); #202600=DIRECTION('',(1.,0.,0.)); #202601=DIRECTION('',(0.,0.,1.)); #202602=DIRECTION('center_axis',(0.,1.,0.)); #202603=DIRECTION('ref_axis',(0.,0.,1.)); #202604=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202605=DIRECTION('',(0.,0.,1.)); #202606=DIRECTION('',(1.,0.,0.)); #202607=DIRECTION('',(0.,0.,-1.)); #202608=DIRECTION('',(0.,0.,-1.)); #202609=DIRECTION('',(1.,0.,0.)); #202610=DIRECTION('',(0.,0.,-1.)); #202611=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202612=DIRECTION('',(0.,0.,1.)); #202613=DIRECTION('',(1.,0.,0.)); #202614=DIRECTION('',(0.,0.,1.)); #202615=DIRECTION('center_axis',(0.,1.,0.)); #202616=DIRECTION('ref_axis',(0.,0.,1.)); #202617=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202618=DIRECTION('',(0.,0.,1.)); #202619=DIRECTION('',(1.,0.,0.)); #202620=DIRECTION('',(0.,0.,-1.)); #202621=DIRECTION('',(0.,0.,-1.)); #202622=DIRECTION('',(1.,0.,0.)); #202623=DIRECTION('',(0.,0.,-1.)); #202624=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202625=DIRECTION('',(0.,0.,1.)); #202626=DIRECTION('',(1.,0.,0.)); #202627=DIRECTION('',(0.,0.,1.)); #202628=DIRECTION('center_axis',(0.,1.,0.)); #202629=DIRECTION('ref_axis',(0.,0.,1.)); #202630=DIRECTION('',(1.,0.,0.)); #202631=DIRECTION('',(0.,0.,-1.)); #202632=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202633=DIRECTION('',(0.,0.,1.)); #202634=DIRECTION('',(1.,0.,0.)); #202635=DIRECTION('',(0.,0.,1.)); #202636=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202637=DIRECTION('',(0.,0.,1.)); #202638=DIRECTION('',(1.,0.,0.)); #202639=DIRECTION('',(0.,0.,-1.)); #202640=DIRECTION('',(0.,0.,-1.)); #202641=DIRECTION('center_axis',(0.,1.,0.)); #202642=DIRECTION('ref_axis',(0.,0.,1.)); #202643=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202644=DIRECTION('',(0.,0.,1.)); #202645=DIRECTION('',(1.,0.,0.)); #202646=DIRECTION('',(0.,0.,-1.)); #202647=DIRECTION('',(0.,0.,-1.)); #202648=DIRECTION('',(1.,0.,0.)); #202649=DIRECTION('',(0.,0.,-1.)); #202650=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #202651=DIRECTION('',(0.,0.,1.)); #202652=DIRECTION('',(1.,0.,0.)); #202653=DIRECTION('',(0.,0.,1.)); #202654=DIRECTION('center_axis',(0.,1.,0.)); #202655=DIRECTION('ref_axis',(0.,0.,1.)); #202656=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #202657=DIRECTION('',(0.,0.,1.)); #202658=DIRECTION('',(1.,0.,0.)); #202659=DIRECTION('',(0.,0.,-1.)); #202660=DIRECTION('',(0.,0.,-1.)); #202661=DIRECTION('',(1.,0.,0.)); #202662=DIRECTION('',(0.,0.,-1.)); #202663=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #202664=DIRECTION('',(0.,0.,1.)); #202665=DIRECTION('',(1.,0.,0.)); #202666=DIRECTION('',(0.,0.,1.)); #202667=DIRECTION('center_axis',(0.,1.,0.)); #202668=DIRECTION('ref_axis',(0.,0.,1.)); #202669=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202670=DIRECTION('',(0.,0.,1.)); #202671=DIRECTION('',(1.,0.,0.)); #202672=DIRECTION('',(0.,0.,-1.)); #202673=DIRECTION('',(0.,0.,-1.)); #202674=DIRECTION('',(1.,0.,0.)); #202675=DIRECTION('',(0.,0.,-1.)); #202676=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202677=DIRECTION('',(0.,0.,1.)); #202678=DIRECTION('',(1.,0.,0.)); #202679=DIRECTION('',(0.,0.,1.)); #202680=DIRECTION('center_axis',(0.,1.,0.)); #202681=DIRECTION('ref_axis',(0.,0.,1.)); #202682=DIRECTION('',(1.,0.,0.)); #202683=DIRECTION('',(0.,0.,-1.)); #202684=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202685=DIRECTION('',(0.,0.,1.)); #202686=DIRECTION('',(1.,0.,0.)); #202687=DIRECTION('',(0.,0.,1.)); #202688=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202689=DIRECTION('',(0.,0.,1.)); #202690=DIRECTION('',(1.,0.,0.)); #202691=DIRECTION('',(0.,0.,-1.)); #202692=DIRECTION('',(0.,0.,-1.)); #202693=DIRECTION('center_axis',(0.,1.,0.)); #202694=DIRECTION('ref_axis',(0.,0.,1.)); #202695=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202696=DIRECTION('',(0.,0.,1.)); #202697=DIRECTION('',(1.,0.,0.)); #202698=DIRECTION('',(0.,0.,-1.)); #202699=DIRECTION('',(0.,0.,-1.)); #202700=DIRECTION('',(1.,0.,0.)); #202701=DIRECTION('',(0.,0.,-1.)); #202702=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202703=DIRECTION('',(0.,0.,1.)); #202704=DIRECTION('',(1.,0.,0.)); #202705=DIRECTION('',(0.,0.,1.)); #202706=DIRECTION('center_axis',(0.,1.,0.)); #202707=DIRECTION('ref_axis',(0.,0.,1.)); #202708=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202709=DIRECTION('',(0.,0.,1.)); #202710=DIRECTION('',(1.,0.,0.)); #202711=DIRECTION('',(0.,0.,-1.)); #202712=DIRECTION('',(0.,0.,-1.)); #202713=DIRECTION('',(1.,0.,0.)); #202714=DIRECTION('',(0.,0.,-1.)); #202715=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202716=DIRECTION('',(0.,0.,1.)); #202717=DIRECTION('',(1.,0.,0.)); #202718=DIRECTION('',(0.,0.,1.)); #202719=DIRECTION('center_axis',(0.,1.,0.)); #202720=DIRECTION('ref_axis',(0.,0.,1.)); #202721=DIRECTION('',(1.,0.,0.)); #202722=DIRECTION('',(0.,0.,-1.)); #202723=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202724=DIRECTION('',(0.,0.,1.)); #202725=DIRECTION('',(1.,0.,0.)); #202726=DIRECTION('',(0.,0.,1.)); #202727=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202728=DIRECTION('',(0.,0.,1.)); #202729=DIRECTION('',(1.,0.,0.)); #202730=DIRECTION('',(0.,0.,-1.)); #202731=DIRECTION('',(0.,0.,-1.)); #202732=DIRECTION('center_axis',(0.,1.,0.)); #202733=DIRECTION('ref_axis',(0.,0.,1.)); #202734=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202735=DIRECTION('',(0.,0.,1.)); #202736=DIRECTION('',(1.,0.,0.)); #202737=DIRECTION('',(0.,0.,-1.)); #202738=DIRECTION('',(0.,0.,-1.)); #202739=DIRECTION('',(1.,0.,0.)); #202740=DIRECTION('',(0.,0.,-1.)); #202741=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202742=DIRECTION('',(0.,0.,1.)); #202743=DIRECTION('',(1.,0.,0.)); #202744=DIRECTION('',(0.,0.,1.)); #202745=DIRECTION('center_axis',(0.,1.,0.)); #202746=DIRECTION('ref_axis',(0.,0.,1.)); #202747=DIRECTION('',(1.,0.,0.)); #202748=DIRECTION('',(0.,0.,-1.)); #202749=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202750=DIRECTION('',(0.,0.,1.)); #202751=DIRECTION('',(1.,0.,0.)); #202752=DIRECTION('',(0.,0.,1.)); #202753=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202754=DIRECTION('',(0.,0.,1.)); #202755=DIRECTION('',(1.,0.,0.)); #202756=DIRECTION('',(0.,0.,-1.)); #202757=DIRECTION('',(0.,0.,-1.)); #202758=DIRECTION('center_axis',(0.,1.,0.)); #202759=DIRECTION('ref_axis',(0.,0.,1.)); #202760=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202761=DIRECTION('',(0.,0.,1.)); #202762=DIRECTION('',(1.,0.,0.)); #202763=DIRECTION('',(0.,0.,-1.)); #202764=DIRECTION('',(0.,0.,-1.)); #202765=DIRECTION('',(1.,0.,0.)); #202766=DIRECTION('',(0.,0.,-1.)); #202767=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202768=DIRECTION('',(0.,0.,1.)); #202769=DIRECTION('',(1.,0.,0.)); #202770=DIRECTION('',(0.,0.,1.)); #202771=DIRECTION('center_axis',(0.,1.,0.)); #202772=DIRECTION('ref_axis',(0.,0.,1.)); #202773=DIRECTION('',(1.,0.,0.)); #202774=DIRECTION('',(0.,0.,-1.)); #202775=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202776=DIRECTION('',(0.,0.,1.)); #202777=DIRECTION('',(1.,0.,0.)); #202778=DIRECTION('',(0.,0.,1.)); #202779=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #202780=DIRECTION('',(0.,0.,1.)); #202781=DIRECTION('',(1.,0.,0.)); #202782=DIRECTION('',(0.,0.,-1.)); #202783=DIRECTION('',(0.,0.,-1.)); #202784=DIRECTION('center_axis',(0.,1.,0.)); #202785=DIRECTION('ref_axis',(0.,0.,1.)); #202786=DIRECTION('',(-1.,1.22464679914735E-16,0.)); #202787=DIRECTION('',(0.,0.,1.)); #202788=DIRECTION('',(1.,0.,0.)); #202789=DIRECTION('',(0.,0.,-1.)); #202790=DIRECTION('',(0.,0.,-1.)); #202791=DIRECTION('',(1.,0.,0.)); #202792=DIRECTION('',(0.,0.,1.)); #202793=DIRECTION('center_axis',(0.,-1.,0.)); #202794=DIRECTION('ref_axis',(0.,0.,-1.)); #202795=DIRECTION('',(1.,0.,0.)); #202796=DIRECTION('',(0.,0.,1.)); #202797=DIRECTION('',(-1.,0.,0.)); #202798=DIRECTION('',(0.,0.,-1.)); #202799=DIRECTION('',(0.,0.,-1.)); #202800=DIRECTION('',(-1.,0.,0.)); #202801=DIRECTION('',(0.,0.,-1.)); #202802=DIRECTION('',(1.,0.,0.)); #202803=DIRECTION('',(0.,0.,1.)); #202804=DIRECTION('',(-1.,0.,0.)); #202805=DIRECTION('',(0.,0.,1.)); #202806=DIRECTION('center_axis',(0.,-1.,0.)); #202807=DIRECTION('ref_axis',(0.,0.,-1.)); #202808=DIRECTION('',(-1.,0.,0.)); #202809=DIRECTION('',(0.,0.,-1.)); #202810=DIRECTION('',(1.,0.,0.)); #202811=DIRECTION('',(0.,0.,1.)); #202812=DIRECTION('',(-1.,0.,0.)); #202813=DIRECTION('',(0.,0.,1.)); #202814=DIRECTION('',(1.,0.,0.)); #202815=DIRECTION('',(0.,0.,1.)); #202816=DIRECTION('',(-1.,0.,0.)); #202817=DIRECTION('',(0.,0.,-1.)); #202818=DIRECTION('',(0.,0.,-1.)); #202819=DIRECTION('center_axis',(0.,-1.,0.)); #202820=DIRECTION('ref_axis',(0.,0.,-1.)); #202821=DIRECTION('',(1.,0.,0.)); #202822=DIRECTION('',(0.,0.,1.)); #202823=DIRECTION('',(-1.,0.,0.)); #202824=DIRECTION('',(0.,0.,-1.)); #202825=DIRECTION('',(0.,0.,-1.)); #202826=DIRECTION('',(-1.,0.,0.)); #202827=DIRECTION('',(0.,0.,-1.)); #202828=DIRECTION('',(1.,0.,0.)); #202829=DIRECTION('',(0.,0.,1.)); #202830=DIRECTION('',(-1.,0.,0.)); #202831=DIRECTION('',(0.,0.,1.)); #202832=DIRECTION('center_axis',(0.,-1.,0.)); #202833=DIRECTION('ref_axis',(0.,0.,-1.)); #202834=DIRECTION('',(-1.,0.,0.)); #202835=DIRECTION('',(0.,0.,-1.)); #202836=DIRECTION('',(1.,0.,0.)); #202837=DIRECTION('',(0.,0.,1.)); #202838=DIRECTION('',(-1.,0.,0.)); #202839=DIRECTION('',(0.,0.,1.)); #202840=DIRECTION('',(1.,0.,0.)); #202841=DIRECTION('',(0.,0.,1.)); #202842=DIRECTION('',(-1.,0.,0.)); #202843=DIRECTION('',(0.,0.,-1.)); #202844=DIRECTION('',(0.,0.,-1.)); #202845=DIRECTION('center_axis',(0.,-1.,0.)); #202846=DIRECTION('ref_axis',(0.,0.,-1.)); #202847=DIRECTION('',(1.,0.,0.)); #202848=DIRECTION('',(0.,0.,1.)); #202849=DIRECTION('',(-1.,0.,0.)); #202850=DIRECTION('',(0.,0.,-1.)); #202851=DIRECTION('',(0.,0.,-1.)); #202852=DIRECTION('',(-1.,0.,0.)); #202853=DIRECTION('',(0.,0.,-1.)); #202854=DIRECTION('',(1.,0.,0.)); #202855=DIRECTION('',(0.,0.,1.)); #202856=DIRECTION('',(-1.,0.,0.)); #202857=DIRECTION('',(0.,0.,1.)); #202858=DIRECTION('center_axis',(0.,-1.,0.)); #202859=DIRECTION('ref_axis',(0.,0.,-1.)); #202860=DIRECTION('',(-1.,0.,0.)); #202861=DIRECTION('',(0.,0.,-1.)); #202862=DIRECTION('',(1.,0.,0.)); #202863=DIRECTION('',(0.,0.,1.)); #202864=DIRECTION('',(-1.,0.,0.)); #202865=DIRECTION('',(0.,0.,1.)); #202866=DIRECTION('',(1.,0.,0.)); #202867=DIRECTION('',(0.,0.,1.)); #202868=DIRECTION('',(-1.,0.,0.)); #202869=DIRECTION('',(0.,0.,-1.)); #202870=DIRECTION('',(0.,0.,-1.)); #202871=DIRECTION('center_axis',(0.,-1.,0.)); #202872=DIRECTION('ref_axis',(0.,0.,-1.)); #202873=DIRECTION('',(-1.,0.,0.)); #202874=DIRECTION('',(0.,0.,-1.)); #202875=DIRECTION('',(1.,0.,0.)); #202876=DIRECTION('',(0.,0.,1.)); #202877=DIRECTION('',(-1.,0.,0.)); #202878=DIRECTION('',(0.,0.,1.)); #202879=DIRECTION('',(1.,0.,0.)); #202880=DIRECTION('',(0.,0.,1.)); #202881=DIRECTION('',(-1.,0.,0.)); #202882=DIRECTION('',(0.,0.,-1.)); #202883=DIRECTION('',(0.,0.,-1.)); #202884=DIRECTION('center_axis',(0.,-1.,0.)); #202885=DIRECTION('ref_axis',(0.,0.,-1.)); #202886=DIRECTION('',(-1.,0.,0.)); #202887=DIRECTION('',(0.,0.,-1.)); #202888=DIRECTION('',(1.,0.,0.)); #202889=DIRECTION('',(0.,0.,1.)); #202890=DIRECTION('',(-1.,0.,0.)); #202891=DIRECTION('',(0.,0.,1.)); #202892=DIRECTION('',(1.,0.,0.)); #202893=DIRECTION('',(0.,0.,1.)); #202894=DIRECTION('',(-1.,0.,0.)); #202895=DIRECTION('',(0.,0.,-1.)); #202896=DIRECTION('',(0.,0.,-1.)); #202897=DIRECTION('center_axis',(0.,-1.,0.)); #202898=DIRECTION('ref_axis',(0.,0.,-1.)); #202899=DIRECTION('',(1.,0.,0.)); #202900=DIRECTION('',(0.,0.,1.)); #202901=DIRECTION('',(-1.,0.,0.)); #202902=DIRECTION('',(0.,0.,-1.)); #202903=DIRECTION('',(0.,0.,-1.)); #202904=DIRECTION('',(-1.,0.,0.)); #202905=DIRECTION('',(0.,0.,-1.)); #202906=DIRECTION('',(1.,0.,0.)); #202907=DIRECTION('',(0.,0.,1.)); #202908=DIRECTION('',(-1.,0.,0.)); #202909=DIRECTION('',(0.,0.,1.)); #202910=DIRECTION('center_axis',(0.,-1.,0.)); #202911=DIRECTION('ref_axis',(0.,0.,-1.)); #202912=DIRECTION('',(-1.,0.,0.)); #202913=DIRECTION('',(0.,0.,-1.)); #202914=DIRECTION('',(1.,0.,0.)); #202915=DIRECTION('',(0.,0.,1.)); #202916=DIRECTION('',(-1.,0.,0.)); #202917=DIRECTION('',(0.,0.,1.)); #202918=DIRECTION('',(1.,0.,0.)); #202919=DIRECTION('',(0.,0.,1.)); #202920=DIRECTION('',(-1.,0.,0.)); #202921=DIRECTION('',(0.,0.,-1.)); #202922=DIRECTION('',(0.,0.,-1.)); #202923=DIRECTION('center_axis',(0.,-1.,0.)); #202924=DIRECTION('ref_axis',(0.,0.,-1.)); #202925=DIRECTION('',(1.,0.,0.)); #202926=DIRECTION('',(0.,0.,1.)); #202927=DIRECTION('',(-1.,0.,0.)); #202928=DIRECTION('',(0.,0.,-1.)); #202929=DIRECTION('',(0.,0.,-1.)); #202930=DIRECTION('',(-1.,0.,0.)); #202931=DIRECTION('',(0.,0.,1.)); #202932=DIRECTION('center_axis',(0.,-1.,0.)); #202933=DIRECTION('ref_axis',(0.,0.,-1.)); #202934=DIRECTION('',(-1.,0.,0.)); #202935=DIRECTION('',(0.,0.,-1.)); #202936=DIRECTION('',(1.,0.,0.)); #202937=DIRECTION('',(0.,0.,1.)); #202938=DIRECTION('',(-1.,0.,0.)); #202939=DIRECTION('',(0.,0.,1.)); #202940=DIRECTION('',(1.,0.,0.)); #202941=DIRECTION('',(0.,0.,1.)); #202942=DIRECTION('',(-1.,0.,0.)); #202943=DIRECTION('',(0.,0.,-1.)); #202944=DIRECTION('',(0.,0.,-1.)); #202945=DIRECTION('center_axis',(0.,-1.,0.)); #202946=DIRECTION('ref_axis',(0.,0.,-1.)); #202947=DIRECTION('',(1.,0.,0.)); #202948=DIRECTION('',(0.,0.,1.)); #202949=DIRECTION('',(-1.,0.,0.)); #202950=DIRECTION('',(0.,0.,-1.)); #202951=DIRECTION('',(0.,0.,-1.)); #202952=DIRECTION('',(-1.,0.,0.)); #202953=DIRECTION('',(0.,0.,-1.)); #202954=DIRECTION('',(1.,0.,0.)); #202955=DIRECTION('',(0.,0.,1.)); #202956=DIRECTION('',(-1.,0.,0.)); #202957=DIRECTION('',(0.,0.,1.)); #202958=DIRECTION('center_axis',(0.,-1.,0.)); #202959=DIRECTION('ref_axis',(0.,0.,-1.)); #202960=DIRECTION('',(-1.,0.,0.)); #202961=DIRECTION('',(0.,0.,-1.)); #202962=DIRECTION('',(1.,0.,0.)); #202963=DIRECTION('',(0.,0.,1.)); #202964=DIRECTION('',(-1.,0.,0.)); #202965=DIRECTION('',(0.,0.,1.)); #202966=DIRECTION('',(1.,0.,0.)); #202967=DIRECTION('',(0.,0.,1.)); #202968=DIRECTION('',(-1.,0.,0.)); #202969=DIRECTION('',(0.,0.,-1.)); #202970=DIRECTION('',(0.,0.,-1.)); #202971=DIRECTION('center_axis',(0.,-1.,0.)); #202972=DIRECTION('ref_axis',(0.,0.,-1.)); #202973=DIRECTION('',(-1.,0.,0.)); #202974=DIRECTION('',(0.,0.,-1.)); #202975=DIRECTION('',(1.,0.,0.)); #202976=DIRECTION('',(0.,0.,1.)); #202977=DIRECTION('',(-1.,0.,0.)); #202978=DIRECTION('',(0.,0.,1.)); #202979=DIRECTION('',(1.,0.,0.)); #202980=DIRECTION('',(0.,0.,1.)); #202981=DIRECTION('',(-1.,0.,0.)); #202982=DIRECTION('',(0.,0.,-1.)); #202983=DIRECTION('',(0.,0.,-1.)); #202984=DIRECTION('center_axis',(0.,-1.,0.)); #202985=DIRECTION('ref_axis',(0.,0.,-1.)); #202986=DIRECTION('',(-1.,0.,0.)); #202987=DIRECTION('',(0.,0.,-1.)); #202988=DIRECTION('',(1.,0.,0.)); #202989=DIRECTION('',(0.,0.,1.)); #202990=DIRECTION('',(-1.,0.,0.)); #202991=DIRECTION('',(0.,0.,1.)); #202992=DIRECTION('',(1.,0.,0.)); #202993=DIRECTION('',(0.,0.,1.)); #202994=DIRECTION('',(-1.,0.,0.)); #202995=DIRECTION('',(0.,0.,-1.)); #202996=DIRECTION('',(0.,0.,-1.)); #202997=DIRECTION('center_axis',(0.,0.,-1.)); #202998=DIRECTION('ref_axis',(-1.,0.,0.)); #202999=DIRECTION('',(-1.,0.,0.)); #203000=DIRECTION('',(0.,-1.,0.)); #203001=DIRECTION('',(0.,1.,0.)); #203002=DIRECTION('',(1.,0.,0.)); #203003=DIRECTION('',(0.,-1.,0.)); #203004=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203005=DIRECTION('',(0.,1.,0.)); #203006=DIRECTION('',(0.,-1.,0.)); #203007=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203008=DIRECTION('',(0.,1.,0.)); #203009=DIRECTION('',(0.,-1.,0.)); #203010=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203011=DIRECTION('',(0.,1.,0.)); #203012=DIRECTION('',(0.,-1.,0.)); #203013=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203014=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203015=DIRECTION('',(0.,-1.,0.)); #203016=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203017=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203018=DIRECTION('',(0.,-1.,0.)); #203019=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203020=DIRECTION('',(0.,1.,0.)); #203021=DIRECTION('',(0.,-1.,0.)); #203022=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203023=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203024=DIRECTION('',(0.,-1.,0.)); #203025=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203026=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203027=DIRECTION('',(0.,-1.,0.)); #203028=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203029=DIRECTION('',(0.,1.,0.)); #203030=DIRECTION('',(0.,-1.,0.)); #203031=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203032=DIRECTION('',(0.,1.,0.)); #203033=DIRECTION('',(0.,-1.,0.)); #203034=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203035=DIRECTION('',(0.,1.,0.)); #203036=DIRECTION('',(0.,-1.,0.)); #203037=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203038=DIRECTION('',(0.,1.,0.)); #203039=DIRECTION('',(0.,-1.,0.)); #203040=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203041=DIRECTION('',(0.,1.,0.)); #203042=DIRECTION('',(0.,-1.,0.)); #203043=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203044=DIRECTION('',(0.,1.,0.)); #203045=DIRECTION('',(0.,-1.,0.)); #203046=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203047=DIRECTION('',(2.71050543121376E-16,1.,0.)); #203048=DIRECTION('',(0.,-1.,0.)); #203049=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203050=DIRECTION('',(2.71050543121376E-16,1.,0.)); #203051=DIRECTION('',(0.,-1.,0.)); #203052=DIRECTION('',(-1.,0.,0.)); #203053=DIRECTION('',(0.,1.,0.)); #203054=DIRECTION('',(-1.,0.,0.)); #203055=DIRECTION('',(-2.71050543121377E-16,-1.,0.)); #203056=DIRECTION('',(0.,1.,0.)); #203057=DIRECTION('',(-1.,0.,0.)); #203058=DIRECTION('',(0.,-1.,0.)); #203059=DIRECTION('',(0.,1.,0.)); #203060=DIRECTION('',(-1.,0.,0.)); #203061=DIRECTION('',(0.,-1.,0.)); #203062=DIRECTION('',(0.,1.,0.)); #203063=DIRECTION('',(-1.,0.,0.)); #203064=DIRECTION('',(0.,-1.,0.)); #203065=DIRECTION('',(0.,1.,0.)); #203066=DIRECTION('',(-1.,0.,0.)); #203067=DIRECTION('',(0.,-1.,0.)); #203068=DIRECTION('',(0.,1.,0.)); #203069=DIRECTION('',(-1.,0.,0.)); #203070=DIRECTION('',(0.,-1.,0.)); #203071=DIRECTION('',(0.,1.,0.)); #203072=DIRECTION('',(-1.,0.,0.)); #203073=DIRECTION('',(0.,-1.,0.)); #203074=DIRECTION('',(0.,1.,0.)); #203075=DIRECTION('',(-1.,0.,0.)); #203076=DIRECTION('',(0.,-1.,0.)); #203077=DIRECTION('',(0.,1.,0.)); #203078=DIRECTION('',(-1.,0.,0.)); #203079=DIRECTION('',(0.,-1.,0.)); #203080=DIRECTION('',(0.,1.,0.)); #203081=DIRECTION('',(-1.,0.,0.)); #203082=DIRECTION('',(0.,-1.,0.)); #203083=DIRECTION('',(0.,1.,0.)); #203084=DIRECTION('',(-1.,0.,0.)); #203085=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #203086=DIRECTION('',(0.,1.,0.)); #203087=DIRECTION('',(-1.,0.,0.)); #203088=DIRECTION('',(0.,-1.,0.)); #203089=DIRECTION('',(0.,1.,0.)); #203090=DIRECTION('',(-1.,0.,0.)); #203091=DIRECTION('',(0.,-1.,0.)); #203092=DIRECTION('',(0.,1.,0.)); #203093=DIRECTION('',(-1.,0.,0.)); #203094=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #203095=DIRECTION('',(0.,1.,0.)); #203096=DIRECTION('',(-1.,0.,0.)); #203097=DIRECTION('',(0.,-1.,0.)); #203098=DIRECTION('',(0.,1.,0.)); #203099=DIRECTION('center_axis',(1.,0.,0.)); #203100=DIRECTION('ref_axis',(0.,0.,-1.)); #203101=DIRECTION('',(0.,0.,-1.)); #203102=DIRECTION('center_axis',(0.,1.,0.)); #203103=DIRECTION('ref_axis',(0.,0.,1.)); #203104=DIRECTION('',(1.,0.,0.)); #203105=DIRECTION('',(0.,0.,-1.)); #203106=DIRECTION('',(-1.,1.22464679914733E-16,0.)); #203107=DIRECTION('',(0.,0.,1.)); #203108=DIRECTION('',(0.,0.,-1.)); #203109=DIRECTION('',(0.,0.,-1.)); #203110=DIRECTION('center_axis',(-1.,0.,0.)); #203111=DIRECTION('ref_axis',(0.,0.,1.)); #203112=DIRECTION('center_axis',(0.,-1.,0.)); #203113=DIRECTION('ref_axis',(0.,0.,-1.)); #203114=DIRECTION('',(-1.,0.,0.)); #203115=DIRECTION('',(0.,0.,-1.)); #203116=DIRECTION('',(1.,0.,0.)); #203117=DIRECTION('',(0.,0.,1.)); #203118=DIRECTION('',(0.,0.,-1.)); #203119=DIRECTION('center_axis',(1.,-1.23908819712629E-15,0.)); #203120=DIRECTION('ref_axis',(1.23908819712629E-15,1.,0.)); #203121=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #203122=DIRECTION('',(0.,0.,-1.)); #203123=DIRECTION('center_axis',(-1.,1.23908819712629E-15,0.)); #203124=DIRECTION('ref_axis',(-1.23908819712629E-15,-1.,0.)); #203125=DIRECTION('',(0.,0.,-1.)); #203126=DIRECTION('',(1.23908819712629E-15,1.,0.)); #203127=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203128=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203129=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203130=DIRECTION('center_axis',(0.,0.,-1.)); #203131=DIRECTION('ref_axis',(-1.,0.,0.)); #203132=DIRECTION('center_axis',(0.,0.,1.)); #203133=DIRECTION('ref_axis',(1.,0.,0.)); #203134=DIRECTION('center_axis',(1.,-6.19544098563146E-16,0.)); #203135=DIRECTION('ref_axis',(6.19544098563146E-16,1.,0.)); #203136=DIRECTION('',(0.,0.,-1.)); #203137=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #203138=DIRECTION('center_axis',(0.,1.,0.)); #203139=DIRECTION('ref_axis',(0.,0.,1.)); #203140=DIRECTION('',(0.,0.,-1.)); #203141=DIRECTION('',(1.,0.,0.)); #203142=DIRECTION('center_axis',(-1.,-6.19544098563146E-16,0.)); #203143=DIRECTION('ref_axis',(6.19544098563146E-16,-1.,0.)); #203144=DIRECTION('',(-6.19544098563146E-16,1.,0.)); #203145=DIRECTION('center_axis',(0.,0.,1.)); #203146=DIRECTION('ref_axis',(1.,0.,0.)); #203147=DIRECTION('center_axis',(0.,0.,1.)); #203148=DIRECTION('ref_axis',(1.,0.,0.)); #203149=DIRECTION('center_axis',(-1.,0.,0.)); #203150=DIRECTION('ref_axis',(0.,0.,1.)); #203151=DIRECTION('',(0.,0.,-1.)); #203152=DIRECTION('',(0.,1.,0.)); #203153=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203154=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203155=DIRECTION('',(0.,0.,-1.)); #203156=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203157=DIRECTION('center_axis',(1.,-1.23908819712629E-15,0.)); #203158=DIRECTION('ref_axis',(1.23908819712629E-15,1.,0.)); #203159=DIRECTION('',(-1.23908819712629E-15,-1.,0.)); #203160=DIRECTION('center_axis',(0.,0.,1.)); #203161=DIRECTION('ref_axis',(1.,0.,0.)); #203162=DIRECTION('center_axis',(0.,0.,1.)); #203163=DIRECTION('ref_axis',(1.,0.,0.)); #203164=DIRECTION('center_axis',(1.,-6.19544098563146E-16,0.)); #203165=DIRECTION('ref_axis',(6.19544098563146E-16,1.,0.)); #203166=DIRECTION('',(0.,0.,-1.)); #203167=DIRECTION('',(-6.19544098563146E-16,-1.,0.)); #203168=DIRECTION('center_axis',(0.,1.,0.)); #203169=DIRECTION('ref_axis',(0.,0.,1.)); #203170=DIRECTION('',(0.,0.,-1.)); #203171=DIRECTION('',(1.,0.,0.)); #203172=DIRECTION('center_axis',(-1.,-1.23908819712629E-15,0.)); #203173=DIRECTION('ref_axis',(1.23908819712629E-15,-1.,0.)); #203174=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #203175=DIRECTION('center_axis',(0.,0.,-1.)); #203176=DIRECTION('ref_axis',(-1.,0.,0.)); #203177=DIRECTION('center_axis',(0.,0.,1.)); #203178=DIRECTION('ref_axis',(1.,0.,0.)); #203179=DIRECTION('center_axis',(-1.,0.,0.)); #203180=DIRECTION('ref_axis',(0.,0.,1.)); #203181=DIRECTION('',(0.,0.,-1.)); #203182=DIRECTION('',(0.,1.,0.)); #203183=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203184=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203185=DIRECTION('',(0.,0.,-1.)); #203186=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203187=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #203188=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #203189=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #203190=DIRECTION('center_axis',(0.,0.,1.)); #203191=DIRECTION('ref_axis',(1.,0.,0.)); #203192=DIRECTION('center_axis',(0.,0.,1.)); #203193=DIRECTION('ref_axis',(1.,0.,0.)); #203194=DIRECTION('center_axis',(1.,0.,0.)); #203195=DIRECTION('ref_axis',(0.,0.,-1.)); #203196=DIRECTION('',(0.,0.,-1.)); #203197=DIRECTION('',(0.,-1.,0.)); #203198=DIRECTION('center_axis',(0.,1.,0.)); #203199=DIRECTION('ref_axis',(0.,0.,1.)); #203200=DIRECTION('',(0.,0.,-1.)); #203201=DIRECTION('',(1.,0.,0.)); #203202=DIRECTION('center_axis',(-1.,-1.23908819712629E-15,0.)); #203203=DIRECTION('ref_axis',(1.23908819712629E-15,-1.,0.)); #203204=DIRECTION('',(-1.23908819712629E-15,1.,0.)); #203205=DIRECTION('center_axis',(0.,0.,-1.)); #203206=DIRECTION('ref_axis',(-1.,0.,0.)); #203207=DIRECTION('center_axis',(0.,0.,1.)); #203208=DIRECTION('ref_axis',(1.,0.,0.)); #203209=DIRECTION('center_axis',(-1.,0.,0.)); #203210=DIRECTION('ref_axis',(0.,0.,1.)); #203211=DIRECTION('',(0.,0.,-1.)); #203212=DIRECTION('',(0.,1.,0.)); #203213=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203214=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203215=DIRECTION('',(0.,0.,-1.)); #203216=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203217=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #203218=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #203219=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #203220=DIRECTION('center_axis',(0.,0.,1.)); #203221=DIRECTION('ref_axis',(1.,0.,0.)); #203222=DIRECTION('center_axis',(0.,0.,1.)); #203223=DIRECTION('ref_axis',(1.,0.,0.)); #203224=DIRECTION('center_axis',(1.,0.,0.)); #203225=DIRECTION('ref_axis',(0.,0.,-1.)); #203226=DIRECTION('',(0.,0.,-1.)); #203227=DIRECTION('',(0.,-1.,0.)); #203228=DIRECTION('center_axis',(0.,1.,0.)); #203229=DIRECTION('ref_axis',(0.,0.,1.)); #203230=DIRECTION('',(0.,0.,-1.)); #203231=DIRECTION('',(1.,0.,0.)); #203232=DIRECTION('center_axis',(-1.,0.,0.)); #203233=DIRECTION('ref_axis',(0.,0.,1.)); #203234=DIRECTION('',(0.,1.,0.)); #203235=DIRECTION('center_axis',(0.,0.,-1.)); #203236=DIRECTION('ref_axis',(-1.,0.,0.)); #203237=DIRECTION('center_axis',(0.,0.,1.)); #203238=DIRECTION('ref_axis',(1.,0.,0.)); #203239=DIRECTION('center_axis',(-1.,0.,0.)); #203240=DIRECTION('ref_axis',(0.,0.,1.)); #203241=DIRECTION('',(0.,0.,-1.)); #203242=DIRECTION('',(0.,1.,0.)); #203243=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203244=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203245=DIRECTION('',(0.,0.,-1.)); #203246=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203247=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #203248=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #203249=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #203250=DIRECTION('center_axis',(0.,0.,1.)); #203251=DIRECTION('ref_axis',(1.,0.,0.)); #203252=DIRECTION('center_axis',(0.,0.,1.)); #203253=DIRECTION('ref_axis',(1.,0.,0.)); #203254=DIRECTION('center_axis',(1.,0.,0.)); #203255=DIRECTION('ref_axis',(0.,0.,-1.)); #203256=DIRECTION('',(0.,0.,-1.)); #203257=DIRECTION('',(0.,-1.,0.)); #203258=DIRECTION('center_axis',(0.,1.,0.)); #203259=DIRECTION('ref_axis',(0.,0.,1.)); #203260=DIRECTION('',(0.,0.,-1.)); #203261=DIRECTION('',(1.,0.,0.)); #203262=DIRECTION('center_axis',(-1.,-2.47817639425258E-15,0.)); #203263=DIRECTION('ref_axis',(2.47817639425258E-15,-1.,0.)); #203264=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #203265=DIRECTION('center_axis',(0.,0.,-1.)); #203266=DIRECTION('ref_axis',(-1.,0.,0.)); #203267=DIRECTION('center_axis',(0.,0.,1.)); #203268=DIRECTION('ref_axis',(1.,0.,0.)); #203269=DIRECTION('center_axis',(-1.,0.,0.)); #203270=DIRECTION('ref_axis',(0.,0.,1.)); #203271=DIRECTION('',(0.,0.,-1.)); #203272=DIRECTION('',(0.,1.,0.)); #203273=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203274=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203275=DIRECTION('',(0.,0.,-1.)); #203276=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203277=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #203278=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #203279=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #203280=DIRECTION('center_axis',(0.,0.,1.)); #203281=DIRECTION('ref_axis',(1.,0.,0.)); #203282=DIRECTION('center_axis',(0.,0.,1.)); #203283=DIRECTION('ref_axis',(1.,0.,0.)); #203284=DIRECTION('center_axis',(1.,0.,0.)); #203285=DIRECTION('ref_axis',(0.,0.,-1.)); #203286=DIRECTION('',(0.,0.,-1.)); #203287=DIRECTION('',(0.,-1.,0.)); #203288=DIRECTION('center_axis',(0.,1.,0.)); #203289=DIRECTION('ref_axis',(0.,0.,1.)); #203290=DIRECTION('',(0.,0.,-1.)); #203291=DIRECTION('',(1.,0.,0.)); #203292=DIRECTION('center_axis',(-1.,0.,0.)); #203293=DIRECTION('ref_axis',(0.,0.,1.)); #203294=DIRECTION('',(0.,1.,0.)); #203295=DIRECTION('center_axis',(0.,0.,-1.)); #203296=DIRECTION('ref_axis',(-1.,0.,0.)); #203297=DIRECTION('center_axis',(0.,0.,1.)); #203298=DIRECTION('ref_axis',(1.,0.,0.)); #203299=DIRECTION('center_axis',(-1.,0.,0.)); #203300=DIRECTION('ref_axis',(0.,0.,1.)); #203301=DIRECTION('',(0.,0.,-1.)); #203302=DIRECTION('',(0.,1.,0.)); #203303=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203304=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203305=DIRECTION('',(0.,0.,-1.)); #203306=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203307=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #203308=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #203309=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #203310=DIRECTION('center_axis',(0.,0.,1.)); #203311=DIRECTION('ref_axis',(1.,0.,0.)); #203312=DIRECTION('center_axis',(0.,0.,1.)); #203313=DIRECTION('ref_axis',(1.,0.,0.)); #203314=DIRECTION('center_axis',(1.,0.,0.)); #203315=DIRECTION('ref_axis',(0.,0.,-1.)); #203316=DIRECTION('',(0.,0.,-1.)); #203317=DIRECTION('',(0.,-1.,0.)); #203318=DIRECTION('center_axis',(0.,1.,0.)); #203319=DIRECTION('ref_axis',(0.,0.,1.)); #203320=DIRECTION('',(0.,0.,-1.)); #203321=DIRECTION('',(1.,0.,0.)); #203322=DIRECTION('center_axis',(-1.,-2.47817639425258E-15,0.)); #203323=DIRECTION('ref_axis',(2.47817639425258E-15,-1.,0.)); #203324=DIRECTION('',(-2.47817639425258E-15,1.,0.)); #203325=DIRECTION('center_axis',(0.,0.,-1.)); #203326=DIRECTION('ref_axis',(-1.,0.,0.)); #203327=DIRECTION('center_axis',(0.,0.,1.)); #203328=DIRECTION('ref_axis',(1.,0.,0.)); #203329=DIRECTION('center_axis',(-1.,4.95635278850516E-15,0.)); #203330=DIRECTION('ref_axis',(-4.95635278850516E-15,-1.,0.)); #203331=DIRECTION('',(0.,0.,-1.)); #203332=DIRECTION('',(4.95635278850516E-15,1.,0.)); #203333=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203334=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203335=DIRECTION('',(0.,0.,-1.)); #203336=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203337=DIRECTION('center_axis',(1.,-2.47817639425258E-15,0.)); #203338=DIRECTION('ref_axis',(2.47817639425258E-15,1.,0.)); #203339=DIRECTION('',(-2.47817639425258E-15,-1.,0.)); #203340=DIRECTION('center_axis',(0.,0.,1.)); #203341=DIRECTION('ref_axis',(1.,0.,0.)); #203342=DIRECTION('center_axis',(0.,0.,1.)); #203343=DIRECTION('ref_axis',(1.,0.,0.)); #203344=DIRECTION('center_axis',(1.,0.,0.)); #203345=DIRECTION('ref_axis',(0.,0.,-1.)); #203346=DIRECTION('',(0.,0.,-1.)); #203347=DIRECTION('',(0.,-1.,0.)); #203348=DIRECTION('center_axis',(0.,1.,0.)); #203349=DIRECTION('ref_axis',(0.,0.,1.)); #203350=DIRECTION('',(0.,0.,-1.)); #203351=DIRECTION('',(1.,0.,0.)); #203352=DIRECTION('center_axis',(-1.,0.,0.)); #203353=DIRECTION('ref_axis',(0.,0.,1.)); #203354=DIRECTION('',(0.,1.,0.)); #203355=DIRECTION('center_axis',(0.,0.,-1.)); #203356=DIRECTION('ref_axis',(-1.,0.,0.)); #203357=DIRECTION('center_axis',(0.,0.,1.)); #203358=DIRECTION('ref_axis',(1.,0.,0.)); #203359=DIRECTION('center_axis',(-1.,0.,0.)); #203360=DIRECTION('ref_axis',(0.,0.,1.)); #203361=DIRECTION('',(0.,0.,-1.)); #203362=DIRECTION('',(0.,1.,0.)); #203363=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203364=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203365=DIRECTION('',(0.,0.,-1.)); #203366=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203367=DIRECTION('center_axis',(1.,0.,0.)); #203368=DIRECTION('ref_axis',(0.,0.,-1.)); #203369=DIRECTION('',(0.,-1.,0.)); #203370=DIRECTION('center_axis',(0.,0.,1.)); #203371=DIRECTION('ref_axis',(1.,0.,0.)); #203372=DIRECTION('center_axis',(0.,0.,1.)); #203373=DIRECTION('ref_axis',(1.,0.,0.)); #203374=DIRECTION('center_axis',(1.,0.,0.)); #203375=DIRECTION('ref_axis',(0.,0.,-1.)); #203376=DIRECTION('',(0.,0.,-1.)); #203377=DIRECTION('',(0.,-1.,0.)); #203378=DIRECTION('center_axis',(0.,1.,0.)); #203379=DIRECTION('ref_axis',(0.,0.,1.)); #203380=DIRECTION('',(0.,0.,-1.)); #203381=DIRECTION('',(1.,0.,0.)); #203382=DIRECTION('center_axis',(-1.,0.,0.)); #203383=DIRECTION('ref_axis',(0.,0.,1.)); #203384=DIRECTION('',(0.,1.,0.)); #203385=DIRECTION('center_axis',(0.,0.,-1.)); #203386=DIRECTION('ref_axis',(-1.,0.,0.)); #203387=DIRECTION('center_axis',(0.,0.,1.)); #203388=DIRECTION('ref_axis',(1.,0.,0.)); #203389=DIRECTION('center_axis',(-1.,0.,0.)); #203390=DIRECTION('ref_axis',(0.,0.,1.)); #203391=DIRECTION('',(0.,0.,-1.)); #203392=DIRECTION('',(0.,1.,0.)); #203393=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203394=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203395=DIRECTION('',(0.,0.,-1.)); #203396=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203397=DIRECTION('center_axis',(1.,0.,0.)); #203398=DIRECTION('ref_axis',(0.,0.,-1.)); #203399=DIRECTION('',(0.,-1.,0.)); #203400=DIRECTION('center_axis',(0.,0.,1.)); #203401=DIRECTION('ref_axis',(1.,0.,0.)); #203402=DIRECTION('center_axis',(0.,0.,1.)); #203403=DIRECTION('ref_axis',(1.,0.,0.)); #203404=DIRECTION('center_axis',(1.,0.,0.)); #203405=DIRECTION('ref_axis',(0.,0.,-1.)); #203406=DIRECTION('',(0.,0.,-1.)); #203407=DIRECTION('',(0.,-1.,0.)); #203408=DIRECTION('center_axis',(0.,1.,0.)); #203409=DIRECTION('ref_axis',(0.,0.,1.)); #203410=DIRECTION('',(0.,0.,-1.)); #203411=DIRECTION('',(1.,0.,0.)); #203412=DIRECTION('center_axis',(-1.,0.,0.)); #203413=DIRECTION('ref_axis',(0.,0.,1.)); #203414=DIRECTION('',(0.,1.,0.)); #203415=DIRECTION('center_axis',(0.,0.,-1.)); #203416=DIRECTION('ref_axis',(-1.,0.,0.)); #203417=DIRECTION('center_axis',(0.,0.,1.)); #203418=DIRECTION('ref_axis',(1.,0.,0.)); #203419=DIRECTION('center_axis',(-1.,0.,0.)); #203420=DIRECTION('ref_axis',(0.,0.,1.)); #203421=DIRECTION('',(0.,0.,-1.)); #203422=DIRECTION('',(0.,1.,0.)); #203423=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203424=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203425=DIRECTION('',(0.,0.,-1.)); #203426=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203427=DIRECTION('center_axis',(1.,0.,0.)); #203428=DIRECTION('ref_axis',(0.,0.,-1.)); #203429=DIRECTION('',(0.,-1.,0.)); #203430=DIRECTION('center_axis',(0.,0.,1.)); #203431=DIRECTION('ref_axis',(1.,0.,0.)); #203432=DIRECTION('center_axis',(0.,0.,1.)); #203433=DIRECTION('ref_axis',(1.,0.,0.)); #203434=DIRECTION('center_axis',(1.,0.,0.)); #203435=DIRECTION('ref_axis',(0.,0.,-1.)); #203436=DIRECTION('',(0.,0.,-1.)); #203437=DIRECTION('',(0.,-1.,0.)); #203438=DIRECTION('center_axis',(0.,1.,0.)); #203439=DIRECTION('ref_axis',(0.,0.,1.)); #203440=DIRECTION('',(0.,0.,-1.)); #203441=DIRECTION('',(1.,0.,0.)); #203442=DIRECTION('center_axis',(-1.,0.,0.)); #203443=DIRECTION('ref_axis',(0.,0.,1.)); #203444=DIRECTION('',(0.,1.,0.)); #203445=DIRECTION('center_axis',(0.,0.,-1.)); #203446=DIRECTION('ref_axis',(-1.,0.,0.)); #203447=DIRECTION('center_axis',(0.,0.,1.)); #203448=DIRECTION('ref_axis',(1.,0.,0.)); #203449=DIRECTION('center_axis',(-1.,0.,0.)); #203450=DIRECTION('ref_axis',(0.,0.,1.)); #203451=DIRECTION('',(0.,0.,-1.)); #203452=DIRECTION('',(0.,1.,0.)); #203453=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203454=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203455=DIRECTION('',(0.,0.,-1.)); #203456=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203457=DIRECTION('center_axis',(1.,0.,0.)); #203458=DIRECTION('ref_axis',(0.,0.,-1.)); #203459=DIRECTION('',(0.,-1.,0.)); #203460=DIRECTION('center_axis',(0.,0.,1.)); #203461=DIRECTION('ref_axis',(1.,0.,0.)); #203462=DIRECTION('center_axis',(0.,0.,1.)); #203463=DIRECTION('ref_axis',(1.,0.,0.)); #203464=DIRECTION('center_axis',(1.,0.,0.)); #203465=DIRECTION('ref_axis',(0.,0.,-1.)); #203466=DIRECTION('',(0.,0.,-1.)); #203467=DIRECTION('',(0.,-1.,0.)); #203468=DIRECTION('center_axis',(0.,1.,0.)); #203469=DIRECTION('ref_axis',(0.,0.,1.)); #203470=DIRECTION('',(0.,0.,-1.)); #203471=DIRECTION('',(1.,0.,0.)); #203472=DIRECTION('center_axis',(-1.,0.,0.)); #203473=DIRECTION('ref_axis',(0.,0.,1.)); #203474=DIRECTION('',(0.,1.,0.)); #203475=DIRECTION('center_axis',(0.,0.,-1.)); #203476=DIRECTION('ref_axis',(-1.,0.,0.)); #203477=DIRECTION('center_axis',(0.,0.,1.)); #203478=DIRECTION('ref_axis',(1.,0.,0.)); #203479=DIRECTION('center_axis',(-1.,0.,0.)); #203480=DIRECTION('ref_axis',(0.,0.,1.)); #203481=DIRECTION('',(0.,0.,-1.)); #203482=DIRECTION('',(0.,1.,0.)); #203483=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203484=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203485=DIRECTION('',(0.,0.,-1.)); #203486=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203487=DIRECTION('center_axis',(1.,0.,0.)); #203488=DIRECTION('ref_axis',(0.,0.,-1.)); #203489=DIRECTION('',(0.,-1.,0.)); #203490=DIRECTION('center_axis',(0.,0.,1.)); #203491=DIRECTION('ref_axis',(1.,0.,0.)); #203492=DIRECTION('center_axis',(0.,0.,1.)); #203493=DIRECTION('ref_axis',(1.,0.,0.)); #203494=DIRECTION('center_axis',(1.,0.,0.)); #203495=DIRECTION('ref_axis',(0.,0.,-1.)); #203496=DIRECTION('',(0.,0.,-1.)); #203497=DIRECTION('',(0.,-1.,0.)); #203498=DIRECTION('center_axis',(0.,1.,0.)); #203499=DIRECTION('ref_axis',(0.,0.,1.)); #203500=DIRECTION('',(0.,0.,-1.)); #203501=DIRECTION('',(1.,0.,0.)); #203502=DIRECTION('center_axis',(-1.,0.,0.)); #203503=DIRECTION('ref_axis',(0.,0.,1.)); #203504=DIRECTION('',(0.,1.,0.)); #203505=DIRECTION('center_axis',(0.,0.,-1.)); #203506=DIRECTION('ref_axis',(-1.,0.,0.)); #203507=DIRECTION('center_axis',(0.,0.,1.)); #203508=DIRECTION('ref_axis',(1.,0.,0.)); #203509=DIRECTION('center_axis',(-1.,0.,0.)); #203510=DIRECTION('ref_axis',(0.,0.,1.)); #203511=DIRECTION('',(0.,0.,-1.)); #203512=DIRECTION('',(0.,1.,0.)); #203513=DIRECTION('center_axis',(-2.32329036961179E-16,-1.,0.)); #203514=DIRECTION('ref_axis',(1.,-2.32329036961179E-16,0.)); #203515=DIRECTION('',(0.,0.,-1.)); #203516=DIRECTION('',(-1.,2.32329036961179E-16,0.)); #203517=DIRECTION('center_axis',(1.,0.,0.)); #203518=DIRECTION('ref_axis',(0.,0.,-1.)); #203519=DIRECTION('',(0.,-1.,0.)); #203520=DIRECTION('center_axis',(0.,0.,1.)); #203521=DIRECTION('ref_axis',(1.,0.,0.)); #203522=DIRECTION('center_axis',(0.,0.,1.)); #203523=DIRECTION('ref_axis',(1.,0.,0.)); #203524=DIRECTION('center_axis',(1.,0.,0.)); #203525=DIRECTION('ref_axis',(0.,0.,-1.)); #203526=DIRECTION('',(0.,0.,-1.)); #203527=DIRECTION('',(0.,-1.,0.)); #203528=DIRECTION('center_axis',(0.,1.,0.)); #203529=DIRECTION('ref_axis',(0.,0.,1.)); #203530=DIRECTION('',(0.,0.,-1.)); #203531=DIRECTION('',(1.,0.,0.)); #203532=DIRECTION('center_axis',(-1.,0.,0.)); #203533=DIRECTION('ref_axis',(0.,0.,1.)); #203534=DIRECTION('',(0.,1.,0.)); #203535=DIRECTION('center_axis',(0.,0.,-1.)); #203536=DIRECTION('ref_axis',(-1.,0.,0.)); #203537=DIRECTION('center_axis',(0.,0.,1.)); #203538=DIRECTION('ref_axis',(1.,0.,0.)); #203539=DIRECTION('center_axis',(-1.,0.,0.)); #203540=DIRECTION('ref_axis',(0.,0.,1.)); #203541=DIRECTION('',(0.,0.,-1.)); #203542=DIRECTION('',(0.,1.,0.)); #203543=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203544=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203545=DIRECTION('',(0.,0.,-1.)); #203546=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203547=DIRECTION('center_axis',(1.,0.,0.)); #203548=DIRECTION('ref_axis',(0.,0.,-1.)); #203549=DIRECTION('',(0.,-1.,0.)); #203550=DIRECTION('center_axis',(0.,0.,1.)); #203551=DIRECTION('ref_axis',(1.,0.,0.)); #203552=DIRECTION('center_axis',(0.,0.,1.)); #203553=DIRECTION('ref_axis',(1.,0.,0.)); #203554=DIRECTION('center_axis',(1.,0.,0.)); #203555=DIRECTION('ref_axis',(0.,0.,-1.)); #203556=DIRECTION('',(0.,0.,-1.)); #203557=DIRECTION('',(0.,-1.,0.)); #203558=DIRECTION('center_axis',(0.,1.,0.)); #203559=DIRECTION('ref_axis',(0.,0.,1.)); #203560=DIRECTION('',(0.,0.,-1.)); #203561=DIRECTION('',(1.,0.,0.)); #203562=DIRECTION('center_axis',(-1.,0.,0.)); #203563=DIRECTION('ref_axis',(0.,0.,1.)); #203564=DIRECTION('',(0.,1.,0.)); #203565=DIRECTION('center_axis',(0.,0.,-1.)); #203566=DIRECTION('ref_axis',(-1.,0.,0.)); #203567=DIRECTION('center_axis',(0.,0.,1.)); #203568=DIRECTION('ref_axis',(1.,0.,0.)); #203569=DIRECTION('center_axis',(-1.,0.,0.)); #203570=DIRECTION('ref_axis',(0.,0.,1.)); #203571=DIRECTION('',(0.,0.,-1.)); #203572=DIRECTION('',(0.,1.,0.)); #203573=DIRECTION('center_axis',(-2.3232903696118E-16,-1.,0.)); #203574=DIRECTION('ref_axis',(1.,-2.3232903696118E-16,0.)); #203575=DIRECTION('',(0.,0.,-1.)); #203576=DIRECTION('',(-1.,2.3232903696118E-16,0.)); #203577=DIRECTION('center_axis',(1.,0.,0.)); #203578=DIRECTION('ref_axis',(0.,0.,-1.)); #203579=DIRECTION('',(0.,-1.,0.)); #203580=DIRECTION('center_axis',(0.,0.,1.)); #203581=DIRECTION('ref_axis',(1.,0.,0.)); #203582=DIRECTION('center_axis',(0.,0.,1.)); #203583=DIRECTION('ref_axis',(1.,0.,0.)); #203584=DIRECTION('center_axis',(1.,0.,0.)); #203585=DIRECTION('ref_axis',(0.,0.,-1.)); #203586=DIRECTION('',(0.,0.,-1.)); #203587=DIRECTION('',(0.,-1.,0.)); #203588=DIRECTION('center_axis',(0.,1.,0.)); #203589=DIRECTION('ref_axis',(0.,0.,1.)); #203590=DIRECTION('',(0.,0.,-1.)); #203591=DIRECTION('',(1.,0.,0.)); #203592=DIRECTION('center_axis',(-1.,0.,0.)); #203593=DIRECTION('ref_axis',(0.,0.,1.)); #203594=DIRECTION('',(0.,1.,0.)); #203595=DIRECTION('center_axis',(0.,0.,-1.)); #203596=DIRECTION('ref_axis',(-1.,0.,0.)); #203597=DIRECTION('center_axis',(0.,0.,1.)); #203598=DIRECTION('ref_axis',(1.,0.,0.)); #203599=DIRECTION('center_axis',(1.,-2.71050543121376E-16,0.)); #203600=DIRECTION('ref_axis',(2.71050543121376E-16,1.,0.)); #203601=DIRECTION('',(0.,0.,1.)); #203602=DIRECTION('',(2.71050543121376E-16,1.,0.)); #203603=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203604=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203605=DIRECTION('',(0.,0.,1.)); #203606=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203607=DIRECTION('center_axis',(-1.,0.,0.)); #203608=DIRECTION('ref_axis',(0.,0.,1.)); #203609=DIRECTION('',(0.,-1.,0.)); #203610=DIRECTION('center_axis',(0.,0.,-1.)); #203611=DIRECTION('ref_axis',(-1.,0.,0.)); #203612=DIRECTION('center_axis',(0.,0.,1.)); #203613=DIRECTION('ref_axis',(1.,0.,0.)); #203614=DIRECTION('center_axis',(1.,0.,0.)); #203615=DIRECTION('ref_axis',(0.,0.,-1.)); #203616=DIRECTION('',(0.,1.,0.)); #203617=DIRECTION('',(0.,0.,1.)); #203618=DIRECTION('center_axis',(-1.,2.71050543121377E-16,0.)); #203619=DIRECTION('ref_axis',(-2.71050543121377E-16,-1.,0.)); #203620=DIRECTION('',(0.,0.,1.)); #203621=DIRECTION('',(-2.71050543121377E-16,-1.,0.)); #203622=DIRECTION('center_axis',(0.,1.,0.)); #203623=DIRECTION('ref_axis',(0.,0.,1.)); #203624=DIRECTION('',(-1.,0.,0.)); #203625=DIRECTION('center_axis',(0.,0.,1.)); #203626=DIRECTION('ref_axis',(1.,0.,0.)); #203627=DIRECTION('center_axis',(0.,0.,1.)); #203628=DIRECTION('ref_axis',(1.,0.,0.)); #203629=DIRECTION('center_axis',(1.,-2.71050543121376E-16,0.)); #203630=DIRECTION('ref_axis',(2.71050543121376E-16,1.,0.)); #203631=DIRECTION('',(0.,0.,1.)); #203632=DIRECTION('',(2.71050543121376E-16,1.,0.)); #203633=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203634=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203635=DIRECTION('',(0.,0.,1.)); #203636=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203637=DIRECTION('center_axis',(-1.,0.,0.)); #203638=DIRECTION('ref_axis',(0.,0.,1.)); #203639=DIRECTION('',(0.,-1.,0.)); #203640=DIRECTION('center_axis',(0.,0.,1.)); #203641=DIRECTION('ref_axis',(1.,0.,0.)); #203642=DIRECTION('center_axis',(0.,0.,1.)); #203643=DIRECTION('ref_axis',(1.,0.,0.)); #203644=DIRECTION('center_axis',(-1.,0.,0.)); #203645=DIRECTION('ref_axis',(0.,0.,1.)); #203646=DIRECTION('',(0.,0.,1.)); #203647=DIRECTION('',(0.,-1.,0.)); #203648=DIRECTION('center_axis',(0.,1.,0.)); #203649=DIRECTION('ref_axis',(0.,0.,1.)); #203650=DIRECTION('',(0.,0.,1.)); #203651=DIRECTION('',(-1.,0.,0.)); #203652=DIRECTION('center_axis',(1.,0.,0.)); #203653=DIRECTION('ref_axis',(0.,0.,-1.)); #203654=DIRECTION('',(0.,1.,0.)); #203655=DIRECTION('center_axis',(0.,0.,-1.)); #203656=DIRECTION('ref_axis',(-1.,0.,0.)); #203657=DIRECTION('center_axis',(0.,0.,-1.)); #203658=DIRECTION('ref_axis',(-1.,0.,0.)); #203659=DIRECTION('center_axis',(1.,0.,0.)); #203660=DIRECTION('ref_axis',(0.,0.,-1.)); #203661=DIRECTION('',(0.,0.,1.)); #203662=DIRECTION('',(0.,1.,0.)); #203663=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203664=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203665=DIRECTION('',(0.,0.,1.)); #203666=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203667=DIRECTION('center_axis',(-1.,0.,0.)); #203668=DIRECTION('ref_axis',(0.,0.,1.)); #203669=DIRECTION('',(0.,-1.,0.)); #203670=DIRECTION('center_axis',(0.,0.,1.)); #203671=DIRECTION('ref_axis',(1.,0.,0.)); #203672=DIRECTION('center_axis',(0.,0.,1.)); #203673=DIRECTION('ref_axis',(1.,0.,0.)); #203674=DIRECTION('center_axis',(-1.,0.,0.)); #203675=DIRECTION('ref_axis',(0.,0.,1.)); #203676=DIRECTION('',(0.,0.,1.)); #203677=DIRECTION('',(0.,-1.,0.)); #203678=DIRECTION('center_axis',(0.,1.,0.)); #203679=DIRECTION('ref_axis',(0.,0.,1.)); #203680=DIRECTION('',(0.,0.,1.)); #203681=DIRECTION('',(-1.,0.,0.)); #203682=DIRECTION('center_axis',(1.,0.,0.)); #203683=DIRECTION('ref_axis',(0.,0.,-1.)); #203684=DIRECTION('',(0.,1.,0.)); #203685=DIRECTION('center_axis',(0.,0.,-1.)); #203686=DIRECTION('ref_axis',(-1.,0.,0.)); #203687=DIRECTION('center_axis',(0.,0.,-1.)); #203688=DIRECTION('ref_axis',(-1.,0.,0.)); #203689=DIRECTION('center_axis',(1.,0.,0.)); #203690=DIRECTION('ref_axis',(0.,0.,-1.)); #203691=DIRECTION('',(0.,0.,1.)); #203692=DIRECTION('',(0.,1.,0.)); #203693=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203694=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203695=DIRECTION('',(0.,0.,1.)); #203696=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203697=DIRECTION('center_axis',(-1.,0.,0.)); #203698=DIRECTION('ref_axis',(0.,0.,1.)); #203699=DIRECTION('',(0.,-1.,0.)); #203700=DIRECTION('center_axis',(0.,0.,1.)); #203701=DIRECTION('ref_axis',(1.,0.,0.)); #203702=DIRECTION('center_axis',(0.,0.,1.)); #203703=DIRECTION('ref_axis',(1.,0.,0.)); #203704=DIRECTION('center_axis',(-1.,0.,0.)); #203705=DIRECTION('ref_axis',(0.,0.,1.)); #203706=DIRECTION('',(0.,0.,1.)); #203707=DIRECTION('',(0.,-1.,0.)); #203708=DIRECTION('center_axis',(0.,1.,0.)); #203709=DIRECTION('ref_axis',(0.,0.,1.)); #203710=DIRECTION('',(0.,0.,1.)); #203711=DIRECTION('',(-1.,0.,0.)); #203712=DIRECTION('center_axis',(1.,0.,0.)); #203713=DIRECTION('ref_axis',(0.,0.,-1.)); #203714=DIRECTION('',(0.,1.,0.)); #203715=DIRECTION('center_axis',(0.,0.,-1.)); #203716=DIRECTION('ref_axis',(-1.,0.,0.)); #203717=DIRECTION('center_axis',(0.,0.,-1.)); #203718=DIRECTION('ref_axis',(-1.,0.,0.)); #203719=DIRECTION('center_axis',(1.,0.,0.)); #203720=DIRECTION('ref_axis',(0.,0.,-1.)); #203721=DIRECTION('',(0.,0.,1.)); #203722=DIRECTION('',(0.,1.,0.)); #203723=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203724=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203725=DIRECTION('',(0.,0.,1.)); #203726=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203727=DIRECTION('center_axis',(-1.,0.,0.)); #203728=DIRECTION('ref_axis',(0.,0.,1.)); #203729=DIRECTION('',(0.,-1.,0.)); #203730=DIRECTION('center_axis',(0.,0.,1.)); #203731=DIRECTION('ref_axis',(1.,0.,0.)); #203732=DIRECTION('center_axis',(0.,0.,1.)); #203733=DIRECTION('ref_axis',(1.,0.,0.)); #203734=DIRECTION('center_axis',(-1.,0.,0.)); #203735=DIRECTION('ref_axis',(0.,0.,1.)); #203736=DIRECTION('',(0.,0.,1.)); #203737=DIRECTION('',(0.,-1.,0.)); #203738=DIRECTION('center_axis',(0.,1.,0.)); #203739=DIRECTION('ref_axis',(0.,0.,1.)); #203740=DIRECTION('',(0.,0.,1.)); #203741=DIRECTION('',(-1.,0.,0.)); #203742=DIRECTION('center_axis',(1.,0.,0.)); #203743=DIRECTION('ref_axis',(0.,0.,-1.)); #203744=DIRECTION('',(0.,1.,0.)); #203745=DIRECTION('center_axis',(0.,0.,-1.)); #203746=DIRECTION('ref_axis',(-1.,0.,0.)); #203747=DIRECTION('center_axis',(0.,0.,-1.)); #203748=DIRECTION('ref_axis',(-1.,0.,0.)); #203749=DIRECTION('center_axis',(1.,0.,0.)); #203750=DIRECTION('ref_axis',(0.,0.,-1.)); #203751=DIRECTION('',(0.,0.,1.)); #203752=DIRECTION('',(0.,1.,0.)); #203753=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203754=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203755=DIRECTION('',(0.,0.,1.)); #203756=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203757=DIRECTION('center_axis',(-1.,0.,0.)); #203758=DIRECTION('ref_axis',(0.,0.,1.)); #203759=DIRECTION('',(0.,-1.,0.)); #203760=DIRECTION('center_axis',(0.,0.,1.)); #203761=DIRECTION('ref_axis',(1.,0.,0.)); #203762=DIRECTION('center_axis',(0.,0.,1.)); #203763=DIRECTION('ref_axis',(1.,0.,0.)); #203764=DIRECTION('center_axis',(-1.,0.,0.)); #203765=DIRECTION('ref_axis',(0.,0.,1.)); #203766=DIRECTION('',(0.,0.,1.)); #203767=DIRECTION('',(0.,-1.,0.)); #203768=DIRECTION('center_axis',(0.,1.,0.)); #203769=DIRECTION('ref_axis',(0.,0.,1.)); #203770=DIRECTION('',(0.,0.,1.)); #203771=DIRECTION('',(-1.,0.,0.)); #203772=DIRECTION('center_axis',(1.,0.,0.)); #203773=DIRECTION('ref_axis',(0.,0.,-1.)); #203774=DIRECTION('',(0.,1.,0.)); #203775=DIRECTION('center_axis',(0.,0.,-1.)); #203776=DIRECTION('ref_axis',(-1.,0.,0.)); #203777=DIRECTION('center_axis',(0.,0.,-1.)); #203778=DIRECTION('ref_axis',(-1.,0.,0.)); #203779=DIRECTION('center_axis',(1.,0.,0.)); #203780=DIRECTION('ref_axis',(0.,0.,-1.)); #203781=DIRECTION('',(0.,0.,1.)); #203782=DIRECTION('',(0.,1.,0.)); #203783=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203784=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203785=DIRECTION('',(0.,0.,1.)); #203786=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203787=DIRECTION('center_axis',(-1.,0.,0.)); #203788=DIRECTION('ref_axis',(0.,0.,1.)); #203789=DIRECTION('',(0.,-1.,0.)); #203790=DIRECTION('center_axis',(0.,0.,1.)); #203791=DIRECTION('ref_axis',(1.,0.,0.)); #203792=DIRECTION('center_axis',(0.,0.,1.)); #203793=DIRECTION('ref_axis',(1.,0.,0.)); #203794=DIRECTION('center_axis',(-1.,0.,0.)); #203795=DIRECTION('ref_axis',(0.,0.,1.)); #203796=DIRECTION('',(0.,0.,1.)); #203797=DIRECTION('',(0.,-1.,0.)); #203798=DIRECTION('center_axis',(0.,1.,0.)); #203799=DIRECTION('ref_axis',(0.,0.,1.)); #203800=DIRECTION('',(0.,0.,1.)); #203801=DIRECTION('',(-1.,0.,0.)); #203802=DIRECTION('center_axis',(1.,0.,0.)); #203803=DIRECTION('ref_axis',(0.,0.,-1.)); #203804=DIRECTION('',(0.,1.,0.)); #203805=DIRECTION('center_axis',(0.,0.,-1.)); #203806=DIRECTION('ref_axis',(-1.,0.,0.)); #203807=DIRECTION('center_axis',(0.,0.,-1.)); #203808=DIRECTION('ref_axis',(-1.,0.,0.)); #203809=DIRECTION('center_axis',(1.,0.,0.)); #203810=DIRECTION('ref_axis',(0.,0.,-1.)); #203811=DIRECTION('',(0.,0.,1.)); #203812=DIRECTION('',(0.,1.,0.)); #203813=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #203814=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #203815=DIRECTION('',(0.,0.,1.)); #203816=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203817=DIRECTION('center_axis',(-1.,0.,0.)); #203818=DIRECTION('ref_axis',(0.,0.,1.)); #203819=DIRECTION('',(0.,-1.,0.)); #203820=DIRECTION('center_axis',(0.,0.,1.)); #203821=DIRECTION('ref_axis',(1.,0.,0.)); #203822=DIRECTION('center_axis',(0.,0.,1.)); #203823=DIRECTION('ref_axis',(1.,0.,0.)); #203824=DIRECTION('center_axis',(-1.,0.,0.)); #203825=DIRECTION('ref_axis',(0.,0.,1.)); #203826=DIRECTION('',(0.,0.,1.)); #203827=DIRECTION('',(0.,-1.,0.)); #203828=DIRECTION('center_axis',(0.,1.,0.)); #203829=DIRECTION('ref_axis',(0.,0.,1.)); #203830=DIRECTION('',(0.,0.,1.)); #203831=DIRECTION('',(-1.,0.,0.)); #203832=DIRECTION('center_axis',(1.,0.,0.)); #203833=DIRECTION('ref_axis',(0.,0.,-1.)); #203834=DIRECTION('',(0.,1.,0.)); #203835=DIRECTION('center_axis',(0.,0.,-1.)); #203836=DIRECTION('ref_axis',(-1.,0.,0.)); #203837=DIRECTION('center_axis',(0.,0.,-1.)); #203838=DIRECTION('ref_axis',(-1.,0.,0.)); #203839=DIRECTION('center_axis',(1.,-2.16840434497101E-15,0.)); #203840=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #203841=DIRECTION('',(0.,0.,1.)); #203842=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203843=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #203844=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #203845=DIRECTION('',(0.,0.,1.)); #203846=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203847=DIRECTION('center_axis',(-1.,0.,0.)); #203848=DIRECTION('ref_axis',(0.,0.,1.)); #203849=DIRECTION('',(0.,-1.,0.)); #203850=DIRECTION('center_axis',(0.,0.,1.)); #203851=DIRECTION('ref_axis',(1.,0.,0.)); #203852=DIRECTION('center_axis',(0.,0.,1.)); #203853=DIRECTION('ref_axis',(1.,0.,0.)); #203854=DIRECTION('center_axis',(-1.,0.,0.)); #203855=DIRECTION('ref_axis',(0.,0.,1.)); #203856=DIRECTION('',(0.,0.,1.)); #203857=DIRECTION('',(0.,-1.,0.)); #203858=DIRECTION('center_axis',(0.,1.,0.)); #203859=DIRECTION('ref_axis',(0.,0.,1.)); #203860=DIRECTION('',(0.,0.,1.)); #203861=DIRECTION('',(-1.,0.,0.)); #203862=DIRECTION('center_axis',(1.,0.,0.)); #203863=DIRECTION('ref_axis',(0.,0.,-1.)); #203864=DIRECTION('',(0.,1.,0.)); #203865=DIRECTION('center_axis',(0.,0.,-1.)); #203866=DIRECTION('ref_axis',(-1.,0.,0.)); #203867=DIRECTION('center_axis',(0.,0.,-1.)); #203868=DIRECTION('ref_axis',(-1.,0.,0.)); #203869=DIRECTION('center_axis',(1.,-2.16840434497101E-15,0.)); #203870=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #203871=DIRECTION('',(0.,0.,1.)); #203872=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203873=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #203874=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #203875=DIRECTION('',(0.,0.,1.)); #203876=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203877=DIRECTION('center_axis',(-1.,0.,0.)); #203878=DIRECTION('ref_axis',(0.,0.,1.)); #203879=DIRECTION('',(0.,-1.,0.)); #203880=DIRECTION('center_axis',(0.,0.,1.)); #203881=DIRECTION('ref_axis',(1.,0.,0.)); #203882=DIRECTION('center_axis',(0.,0.,1.)); #203883=DIRECTION('ref_axis',(1.,0.,0.)); #203884=DIRECTION('center_axis',(-1.,0.,0.)); #203885=DIRECTION('ref_axis',(0.,0.,1.)); #203886=DIRECTION('',(0.,0.,1.)); #203887=DIRECTION('',(0.,-1.,0.)); #203888=DIRECTION('center_axis',(0.,1.,0.)); #203889=DIRECTION('ref_axis',(0.,0.,1.)); #203890=DIRECTION('',(0.,0.,1.)); #203891=DIRECTION('',(-1.,0.,0.)); #203892=DIRECTION('center_axis',(1.,0.,0.)); #203893=DIRECTION('ref_axis',(0.,0.,-1.)); #203894=DIRECTION('',(0.,1.,0.)); #203895=DIRECTION('center_axis',(0.,0.,-1.)); #203896=DIRECTION('ref_axis',(-1.,0.,0.)); #203897=DIRECTION('center_axis',(0.,0.,-1.)); #203898=DIRECTION('ref_axis',(-1.,0.,0.)); #203899=DIRECTION('center_axis',(1.,0.,0.)); #203900=DIRECTION('ref_axis',(0.,0.,-1.)); #203901=DIRECTION('',(0.,0.,1.)); #203902=DIRECTION('',(0.,1.,0.)); #203903=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203904=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203905=DIRECTION('',(0.,0.,1.)); #203906=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203907=DIRECTION('center_axis',(-1.,0.,0.)); #203908=DIRECTION('ref_axis',(0.,0.,1.)); #203909=DIRECTION('',(0.,-1.,0.)); #203910=DIRECTION('center_axis',(0.,0.,1.)); #203911=DIRECTION('ref_axis',(1.,0.,0.)); #203912=DIRECTION('center_axis',(0.,0.,1.)); #203913=DIRECTION('ref_axis',(1.,0.,0.)); #203914=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #203915=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #203916=DIRECTION('',(0.,0.,1.)); #203917=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #203918=DIRECTION('center_axis',(0.,1.,0.)); #203919=DIRECTION('ref_axis',(0.,0.,1.)); #203920=DIRECTION('',(0.,0.,1.)); #203921=DIRECTION('',(-1.,0.,0.)); #203922=DIRECTION('center_axis',(1.,0.,0.)); #203923=DIRECTION('ref_axis',(0.,0.,-1.)); #203924=DIRECTION('',(0.,1.,0.)); #203925=DIRECTION('center_axis',(0.,0.,-1.)); #203926=DIRECTION('ref_axis',(-1.,0.,0.)); #203927=DIRECTION('center_axis',(0.,0.,-1.)); #203928=DIRECTION('ref_axis',(-1.,0.,0.)); #203929=DIRECTION('center_axis',(1.,-2.16840434497101E-15,0.)); #203930=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #203931=DIRECTION('',(0.,0.,1.)); #203932=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203933=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #203934=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #203935=DIRECTION('',(0.,0.,1.)); #203936=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203937=DIRECTION('center_axis',(-1.,0.,0.)); #203938=DIRECTION('ref_axis',(0.,0.,1.)); #203939=DIRECTION('',(0.,-1.,0.)); #203940=DIRECTION('center_axis',(0.,0.,1.)); #203941=DIRECTION('ref_axis',(1.,0.,0.)); #203942=DIRECTION('center_axis',(0.,0.,1.)); #203943=DIRECTION('ref_axis',(1.,0.,0.)); #203944=DIRECTION('center_axis',(-1.,0.,0.)); #203945=DIRECTION('ref_axis',(0.,0.,1.)); #203946=DIRECTION('',(0.,0.,1.)); #203947=DIRECTION('',(0.,-1.,0.)); #203948=DIRECTION('center_axis',(0.,1.,0.)); #203949=DIRECTION('ref_axis',(0.,0.,1.)); #203950=DIRECTION('',(0.,0.,1.)); #203951=DIRECTION('',(-1.,0.,0.)); #203952=DIRECTION('center_axis',(1.,0.,0.)); #203953=DIRECTION('ref_axis',(0.,0.,-1.)); #203954=DIRECTION('',(0.,1.,0.)); #203955=DIRECTION('center_axis',(0.,0.,-1.)); #203956=DIRECTION('ref_axis',(-1.,0.,0.)); #203957=DIRECTION('center_axis',(0.,0.,-1.)); #203958=DIRECTION('ref_axis',(-1.,0.,0.)); #203959=DIRECTION('center_axis',(1.,-2.16840434497101E-15,0.)); #203960=DIRECTION('ref_axis',(2.16840434497101E-15,1.,0.)); #203961=DIRECTION('',(0.,0.,1.)); #203962=DIRECTION('',(2.16840434497101E-15,1.,0.)); #203963=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #203964=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #203965=DIRECTION('',(0.,0.,1.)); #203966=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #203967=DIRECTION('center_axis',(-1.,0.,0.)); #203968=DIRECTION('ref_axis',(0.,0.,1.)); #203969=DIRECTION('',(0.,-1.,0.)); #203970=DIRECTION('center_axis',(0.,0.,1.)); #203971=DIRECTION('ref_axis',(1.,0.,0.)); #203972=DIRECTION('center_axis',(0.,0.,1.)); #203973=DIRECTION('ref_axis',(1.,0.,0.)); #203974=DIRECTION('center_axis',(-1.,0.,0.)); #203975=DIRECTION('ref_axis',(0.,0.,1.)); #203976=DIRECTION('',(0.,0.,1.)); #203977=DIRECTION('',(0.,-1.,0.)); #203978=DIRECTION('center_axis',(0.,1.,0.)); #203979=DIRECTION('ref_axis',(0.,0.,1.)); #203980=DIRECTION('',(0.,0.,1.)); #203981=DIRECTION('',(-1.,0.,0.)); #203982=DIRECTION('center_axis',(1.,0.,0.)); #203983=DIRECTION('ref_axis',(0.,0.,-1.)); #203984=DIRECTION('',(0.,1.,0.)); #203985=DIRECTION('center_axis',(0.,0.,-1.)); #203986=DIRECTION('ref_axis',(-1.,0.,0.)); #203987=DIRECTION('center_axis',(0.,0.,-1.)); #203988=DIRECTION('ref_axis',(-1.,0.,0.)); #203989=DIRECTION('center_axis',(1.,0.,0.)); #203990=DIRECTION('ref_axis',(0.,0.,-1.)); #203991=DIRECTION('',(0.,0.,1.)); #203992=DIRECTION('',(0.,1.,0.)); #203993=DIRECTION('center_axis',(-1.54886024640786E-16,-1.,0.)); #203994=DIRECTION('ref_axis',(1.,-1.54886024640786E-16,0.)); #203995=DIRECTION('',(0.,0.,1.)); #203996=DIRECTION('',(1.,-1.54886024640786E-16,0.)); #203997=DIRECTION('center_axis',(-1.,0.,0.)); #203998=DIRECTION('ref_axis',(0.,0.,1.)); #203999=DIRECTION('',(0.,-1.,0.)); #204000=DIRECTION('center_axis',(0.,0.,1.)); #204001=DIRECTION('ref_axis',(1.,0.,0.)); #204002=DIRECTION('center_axis',(0.,0.,1.)); #204003=DIRECTION('ref_axis',(1.,0.,0.)); #204004=DIRECTION('center_axis',(-1.,2.16840434497101E-15,0.)); #204005=DIRECTION('ref_axis',(-2.16840434497101E-15,-1.,0.)); #204006=DIRECTION('',(0.,0.,1.)); #204007=DIRECTION('',(-2.16840434497101E-15,-1.,0.)); #204008=DIRECTION('center_axis',(0.,1.,0.)); #204009=DIRECTION('ref_axis',(0.,0.,1.)); #204010=DIRECTION('',(0.,0.,1.)); #204011=DIRECTION('',(-1.,0.,0.)); #204012=DIRECTION('center_axis',(1.,0.,0.)); #204013=DIRECTION('ref_axis',(0.,0.,-1.)); #204014=DIRECTION('',(0.,1.,0.)); #204015=DIRECTION('center_axis',(0.,0.,-1.)); #204016=DIRECTION('ref_axis',(-1.,0.,0.)); #204017=DIRECTION('center_axis',(0.,0.,-1.)); #204018=DIRECTION('ref_axis',(-1.,0.,0.)); #204019=DIRECTION('center_axis',(1.,0.,0.)); #204020=DIRECTION('ref_axis',(0.,0.,-1.)); #204021=DIRECTION('',(0.,0.,1.)); #204022=DIRECTION('',(0.,1.,0.)); #204023=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #204024=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #204025=DIRECTION('',(0.,0.,1.)); #204026=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #204027=DIRECTION('center_axis',(-1.,0.,0.)); #204028=DIRECTION('ref_axis',(0.,0.,1.)); #204029=DIRECTION('',(0.,-1.,0.)); #204030=DIRECTION('center_axis',(0.,0.,1.)); #204031=DIRECTION('ref_axis',(1.,0.,0.)); #204032=DIRECTION('center_axis',(0.,0.,1.)); #204033=DIRECTION('ref_axis',(1.,0.,0.)); #204034=DIRECTION('center_axis',(-1.,0.,0.)); #204035=DIRECTION('ref_axis',(0.,0.,1.)); #204036=DIRECTION('',(0.,0.,1.)); #204037=DIRECTION('',(0.,-1.,0.)); #204038=DIRECTION('center_axis',(0.,1.,0.)); #204039=DIRECTION('ref_axis',(0.,0.,1.)); #204040=DIRECTION('',(0.,0.,1.)); #204041=DIRECTION('',(-1.,0.,0.)); #204042=DIRECTION('center_axis',(1.,0.,0.)); #204043=DIRECTION('ref_axis',(0.,0.,-1.)); #204044=DIRECTION('',(0.,1.,0.)); #204045=DIRECTION('center_axis',(0.,0.,-1.)); #204046=DIRECTION('ref_axis',(-1.,0.,0.)); #204047=DIRECTION('center_axis',(0.,0.,-1.)); #204048=DIRECTION('ref_axis',(-1.,0.,0.)); #204049=DIRECTION('center_axis',(1.,0.,0.)); #204050=DIRECTION('ref_axis',(0.,0.,-1.)); #204051=DIRECTION('',(0.,0.,1.)); #204052=DIRECTION('',(0.,1.,0.)); #204053=DIRECTION('center_axis',(-1.54886024640787E-16,-1.,0.)); #204054=DIRECTION('ref_axis',(1.,-1.54886024640787E-16,0.)); #204055=DIRECTION('',(0.,0.,1.)); #204056=DIRECTION('',(1.,-1.54886024640787E-16,0.)); #204057=DIRECTION('center_axis',(-1.,0.,0.)); #204058=DIRECTION('ref_axis',(0.,0.,1.)); #204059=DIRECTION('',(0.,-1.,0.)); #204060=DIRECTION('center_axis',(0.,0.,1.)); #204061=DIRECTION('ref_axis',(1.,0.,0.)); #204062=DIRECTION('center_axis',(0.,0.,1.)); #204063=DIRECTION('ref_axis',(1.,0.,0.)); #204064=DIRECTION('center_axis',(-1.,0.,0.)); #204065=DIRECTION('ref_axis',(0.,0.,1.)); #204066=DIRECTION('',(0.,0.,1.)); #204067=DIRECTION('',(0.,-1.,0.)); #204068=DIRECTION('center_axis',(0.,1.,0.)); #204069=DIRECTION('ref_axis',(0.,0.,1.)); #204070=DIRECTION('',(0.,0.,1.)); #204071=DIRECTION('',(-1.,0.,0.)); #204072=DIRECTION('center_axis',(1.,0.,0.)); #204073=DIRECTION('ref_axis',(0.,0.,-1.)); #204074=DIRECTION('',(0.,1.,0.)); #204075=DIRECTION('center_axis',(0.,0.,-1.)); #204076=DIRECTION('ref_axis',(-1.,0.,0.)); #204077=DIRECTION('center_axis',(0.,0.,-1.)); #204078=DIRECTION('ref_axis',(-1.,0.,0.)); #204079=DIRECTION('center_axis',(0.,0.,1.)); #204080=DIRECTION('ref_axis',(1.,0.,0.)); #204081=DIRECTION('center_axis',(0.,0.,1.)); #204082=DIRECTION('ref_axis',(1.,0.,0.)); #204083=DIRECTION('center_axis',(0.,0.,1.)); #204084=DIRECTION('ref_axis',(1.,0.,0.)); #204085=DIRECTION('center_axis',(0.,0.,1.)); #204086=DIRECTION('ref_axis',(1.,0.,0.)); #204087=DIRECTION('center_axis',(0.,0.,1.)); #204088=DIRECTION('ref_axis',(1.,0.,0.)); #204089=DIRECTION('center_axis',(0.,0.,1.)); #204090=DIRECTION('ref_axis',(1.,0.,0.)); #204091=DIRECTION('center_axis',(0.,0.,1.)); #204092=DIRECTION('ref_axis',(1.,0.,0.)); #204093=DIRECTION('center_axis',(0.,0.,1.)); #204094=DIRECTION('ref_axis',(1.,0.,0.)); #204095=DIRECTION('center_axis',(0.,0.,1.)); #204096=DIRECTION('ref_axis',(1.,0.,0.)); #204097=DIRECTION('center_axis',(0.,0.,1.)); #204098=DIRECTION('ref_axis',(1.,0.,0.)); #204099=DIRECTION('center_axis',(0.,0.,1.)); #204100=DIRECTION('ref_axis',(1.,0.,0.)); #204101=DIRECTION('center_axis',(0.,0.,1.)); #204102=DIRECTION('ref_axis',(1.,0.,0.)); #204103=DIRECTION('center_axis',(0.,0.,1.)); #204104=DIRECTION('ref_axis',(1.,0.,0.)); #204105=DIRECTION('center_axis',(0.,0.,1.)); #204106=DIRECTION('ref_axis',(1.,0.,0.)); #204107=DIRECTION('center_axis',(0.,0.,1.)); #204108=DIRECTION('ref_axis',(1.,0.,0.)); #204109=DIRECTION('center_axis',(0.,0.,1.)); #204110=DIRECTION('ref_axis',(1.,0.,0.)); #204111=DIRECTION('center_axis',(0.,0.,1.)); #204112=DIRECTION('ref_axis',(1.,0.,0.)); #204113=DIRECTION('center_axis',(0.,0.,1.)); #204114=DIRECTION('ref_axis',(1.,0.,0.)); #204115=DIRECTION('center_axis',(0.,0.,1.)); #204116=DIRECTION('ref_axis',(1.,0.,0.)); #204117=DIRECTION('center_axis',(0.,0.,1.)); #204118=DIRECTION('ref_axis',(1.,0.,0.)); #204119=DIRECTION('center_axis',(0.,0.,1.)); #204120=DIRECTION('ref_axis',(1.,0.,0.)); #204121=DIRECTION('center_axis',(0.,0.,1.)); #204122=DIRECTION('ref_axis',(1.,0.,0.)); #204123=DIRECTION('center_axis',(0.,0.,1.)); #204124=DIRECTION('ref_axis',(1.,0.,0.)); #204125=DIRECTION('center_axis',(0.,0.,1.)); #204126=DIRECTION('ref_axis',(1.,0.,0.)); #204127=DIRECTION('center_axis',(0.,0.,1.)); #204128=DIRECTION('ref_axis',(1.,0.,0.)); #204129=DIRECTION('center_axis',(0.,0.,1.)); #204130=DIRECTION('ref_axis',(1.,0.,0.)); #204131=DIRECTION('center_axis',(0.,0.,1.)); #204132=DIRECTION('ref_axis',(1.,0.,0.)); #204133=DIRECTION('center_axis',(0.,0.,1.)); #204134=DIRECTION('ref_axis',(1.,0.,0.)); #204135=DIRECTION('center_axis',(0.,0.,1.)); #204136=DIRECTION('ref_axis',(1.,0.,0.)); #204137=DIRECTION('center_axis',(0.,0.,1.)); #204138=DIRECTION('ref_axis',(1.,0.,0.)); #204139=DIRECTION('center_axis',(0.,0.,1.)); #204140=DIRECTION('ref_axis',(1.,0.,0.)); #204141=DIRECTION('center_axis',(0.,0.,1.)); #204142=DIRECTION('ref_axis',(1.,0.,0.)); #204143=DIRECTION('center_axis',(0.,0.,1.)); #204144=DIRECTION('ref_axis',(1.,0.,0.)); #204145=DIRECTION('',(1.22508720054859E-16,-1.,0.)); #204146=DIRECTION('',(1.,0.,0.)); #204147=DIRECTION('',(-1.96013952087775E-16,1.,0.)); #204148=DIRECTION('',(-1.,0.,0.)); #204149=DIRECTION('',(1.,0.,0.)); #204150=DIRECTION('',(1.2484752289227E-16,-1.,0.)); #204151=DIRECTION('',(-1.,0.,0.)); #204152=DIRECTION('',(-2.10269512239613E-16,1.,0.)); #204153=DIRECTION('center_axis',(1.,1.22508720054859E-16,0.)); #204154=DIRECTION('ref_axis',(-1.22508720054859E-16,1.,0.)); #204155=DIRECTION('',(0.,0.,-1.)); #204156=DIRECTION('',(0.,0.,-1.)); #204157=DIRECTION('center_axis',(0.,1.,0.)); #204158=DIRECTION('ref_axis',(0.,0.,1.)); #204159=DIRECTION('',(0.,0.,-1.)); #204160=DIRECTION('center_axis',(-1.,-1.96013952087775E-16,0.)); #204161=DIRECTION('ref_axis',(1.96013952087775E-16,-1.,0.)); #204162=DIRECTION('',(0.,0.,-1.)); #204163=DIRECTION('center_axis',(0.,-1.,0.)); #204164=DIRECTION('ref_axis',(0.,0.,-1.)); #204165=DIRECTION('center_axis',(1.,1.2484752289227E-16,0.)); #204166=DIRECTION('ref_axis',(-1.2484752289227E-16,1.,0.)); #204167=DIRECTION('',(1.2484752289227E-16,-1.,0.)); #204168=DIRECTION('',(0.,0.,-1.)); #204169=DIRECTION('',(0.,0.,-1.)); #204170=DIRECTION('center_axis',(0.,1.,0.)); #204171=DIRECTION('ref_axis',(0.,0.,1.)); #204172=DIRECTION('',(1.,0.,0.)); #204173=DIRECTION('',(0.,0.,-1.)); #204174=DIRECTION('center_axis',(-1.,-2.10269512239613E-16,0.)); #204175=DIRECTION('ref_axis',(2.10269512239613E-16,-1.,0.)); #204176=DIRECTION('',(-2.10269512239613E-16,1.,0.)); #204177=DIRECTION('',(0.,0.,-1.)); #204178=DIRECTION('center_axis',(0.,-1.,0.)); #204179=DIRECTION('ref_axis',(0.,0.,-1.)); #204180=DIRECTION('',(-1.,0.,0.)); #204181=DIRECTION('center_axis',(0.,0.,-1.)); #204182=DIRECTION('ref_axis',(-1.,0.,0.)); #204183=DIRECTION('axis',(0.,0.,1.)); #204184=DIRECTION('refdir',(1.,0.,0.)); #204185=DIRECTION('center_axis',(-0.000448200917007017,0.999999899557964, 0.)); #204186=DIRECTION('ref_axis',(-0.999999899557964,-0.000448200917007017, 0.)); #204187=DIRECTION('',(-0.999999899557964,-0.000448200917007017,0.)); #204188=DIRECTION('',(0.,0.,1.)); #204189=DIRECTION('',(-0.999999899557964,-0.000448200917007017,0.)); #204190=DIRECTION('',(0.,0.,1.)); #204191=DIRECTION('center_axis',(0.,0.,1.)); #204192=DIRECTION('ref_axis',(0.991816421345782,-0.127672183144353,0.)); #204193=DIRECTION('center_axis',(0.,0.,-1.)); #204194=DIRECTION('ref_axis',(0.991816421345782,-0.127672183144353,0.)); #204195=DIRECTION('',(0.,0.,1.)); #204196=DIRECTION('center_axis',(0.,0.,-1.)); #204197=DIRECTION('ref_axis',(0.991816421345782,-0.127672183144353,0.)); #204198=DIRECTION('center_axis',(-0.999720538314564,0.0236399084608582, 0.)); #204199=DIRECTION('ref_axis',(-0.0236399084608582,-0.999720538314564,0.)); #204200=DIRECTION('',(-0.0236399084608582,-0.999720538314564,0.)); #204201=DIRECTION('',(0.,0.,1.)); #204202=DIRECTION('',(-0.0236399084608582,-0.999720538314564,0.)); #204203=DIRECTION('center_axis',(0.,0.,1.)); #204204=DIRECTION('ref_axis',(0.999988869650579,0.00471811137608045,0.)); #204205=DIRECTION('center_axis',(0.,0.,-1.)); #204206=DIRECTION('ref_axis',(0.999988869650579,0.00471811137608045,0.)); #204207=DIRECTION('',(0.,0.,1.)); #204208=DIRECTION('center_axis',(0.,0.,-1.)); #204209=DIRECTION('ref_axis',(0.999988869650579,0.00471811137608045,0.)); #204210=DIRECTION('center_axis',(0.,0.,1.)); #204211=DIRECTION('ref_axis',(0.028049673690508,0.999606530493802,0.)); #204212=DIRECTION('center_axis',(0.,0.,-1.)); #204213=DIRECTION('ref_axis',(0.028049673690508,0.999606530493802,0.)); #204214=DIRECTION('',(0.,0.,1.)); #204215=DIRECTION('center_axis',(0.,0.,-1.)); #204216=DIRECTION('ref_axis',(0.028049673690508,0.999606530493802,0.)); #204217=DIRECTION('center_axis',(-4.92274583076597E-16,-1.,0.)); #204218=DIRECTION('ref_axis',(1.,-4.92274583076597E-16,0.)); #204219=DIRECTION('',(1.,-4.92274583076597E-16,0.)); #204220=DIRECTION('',(0.,0.,1.)); #204221=DIRECTION('',(1.,-4.92274583076597E-16,0.)); #204222=DIRECTION('center_axis',(0.,0.,1.)); #204223=DIRECTION('ref_axis',(-0.999190953715825,0.0402173844557322,0.)); #204224=DIRECTION('center_axis',(0.,0.,-1.)); #204225=DIRECTION('ref_axis',(-0.999190953715825,0.0402173844557322,0.)); #204226=DIRECTION('',(0.,0.,1.)); #204227=DIRECTION('center_axis',(0.,0.,-1.)); #204228=DIRECTION('ref_axis',(-0.999190953715825,0.0402173844557322,0.)); #204229=DIRECTION('center_axis',(0.,0.,1.)); #204230=DIRECTION('ref_axis',(-0.999989191767159,-0.00464933854049779,0.)); #204231=DIRECTION('center_axis',(0.,0.,-1.)); #204232=DIRECTION('ref_axis',(-0.999989191767159,-0.00464933854049779,0.)); #204233=DIRECTION('',(0.,0.,1.)); #204234=DIRECTION('center_axis',(0.,0.,-1.)); #204235=DIRECTION('ref_axis',(-0.999989191767159,-0.00464933854049779,0.)); #204236=DIRECTION('center_axis',(0.,0.,1.)); #204237=DIRECTION('ref_axis',(-0.760637842015961,-0.649176457747277,0.)); #204238=DIRECTION('center_axis',(0.,0.,-1.)); #204239=DIRECTION('ref_axis',(-0.760637842015961,-0.649176457747277,0.)); #204240=DIRECTION('',(0.,0.,1.)); #204241=DIRECTION('center_axis',(0.,0.,-1.)); #204242=DIRECTION('ref_axis',(-0.760637842015961,-0.649176457747277,0.)); #204243=DIRECTION('center_axis',(0.,0.,1.)); #204244=DIRECTION('ref_axis',(-0.0131314227756102,-0.999913779150927,0.)); #204245=DIRECTION('center_axis',(0.,0.,-1.)); #204246=DIRECTION('ref_axis',(-0.0131314227756102,-0.999913779150927,0.)); #204247=DIRECTION('',(0.,0.,1.)); #204248=DIRECTION('center_axis',(0.,0.,-1.)); #204249=DIRECTION('ref_axis',(-0.0131314227756102,-0.999913779150927,0.)); #204250=DIRECTION('center_axis',(5.69079199037613E-16,1.,0.)); #204251=DIRECTION('ref_axis',(-1.,5.69079199037613E-16,0.)); #204252=DIRECTION('',(-1.,5.69079199037613E-16,0.)); #204253=DIRECTION('',(-1.,5.69079199037613E-16,0.)); #204254=DIRECTION('center_axis',(0.,0.,1.)); #204255=DIRECTION('ref_axis',(-0.759571483479281,-0.650423832193351,0.)); #204256=DIRECTION('center_axis',(0.,0.,1.)); #204257=DIRECTION('ref_axis',(-0.759571483479281,-0.650423832193351,0.)); #204258=DIRECTION('',(0.,0.,1.)); #204259=DIRECTION('center_axis',(0.,0.,1.)); #204260=DIRECTION('ref_axis',(-0.759571483479281,-0.650423832193351,0.)); #204261=DIRECTION('',(0.,0.,1.)); #204262=DIRECTION('center_axis',(0.,0.,1.)); #204263=DIRECTION('ref_axis',(-0.989651063878469,0.143494849260227,0.)); #204264=DIRECTION('center_axis',(0.,0.,1.)); #204265=DIRECTION('ref_axis',(-0.989651063878469,0.143494849260227,0.)); #204266=DIRECTION('',(0.,0.,1.)); #204267=DIRECTION('center_axis',(0.,0.,1.)); #204268=DIRECTION('ref_axis',(-0.989651063878469,0.143494849260227,0.)); #204269=DIRECTION('center_axis',(0.,0.,1.)); #204270=DIRECTION('ref_axis',(-0.999988899436991,0.00471179401022537,0.)); #204271=DIRECTION('center_axis',(0.,0.,1.)); #204272=DIRECTION('ref_axis',(-0.999988899436991,0.00471179401022537,0.)); #204273=DIRECTION('',(0.,0.,1.)); #204274=DIRECTION('center_axis',(0.,0.,1.)); #204275=DIRECTION('ref_axis',(-0.999988899436991,0.00471179401022537,0.)); #204276=DIRECTION('center_axis',(0.,0.,1.)); #204277=DIRECTION('ref_axis',(-0.0259615535433586,0.999662942064782,0.)); #204278=DIRECTION('center_axis',(0.,0.,1.)); #204279=DIRECTION('ref_axis',(-0.0259615535433586,0.999662942064782,0.)); #204280=DIRECTION('',(0.,0.,1.)); #204281=DIRECTION('center_axis',(0.,0.,1.)); #204282=DIRECTION('ref_axis',(-0.0259615535433586,0.999662942064782,0.)); #204283=DIRECTION('center_axis',(0.,1.,0.)); #204284=DIRECTION('ref_axis',(-1.,0.,0.)); #204285=DIRECTION('',(-1.,0.,0.)); #204286=DIRECTION('',(0.,0.,1.)); #204287=DIRECTION('',(-1.,0.,0.)); #204288=DIRECTION('center_axis',(0.,0.,1.)); #204289=DIRECTION('ref_axis',(0.999299260377334,0.0374297770406631,0.)); #204290=DIRECTION('center_axis',(0.,0.,1.)); #204291=DIRECTION('ref_axis',(0.999299260377334,0.0374297770406631,0.)); #204292=DIRECTION('',(0.,0.,1.)); #204293=DIRECTION('center_axis',(0.,0.,1.)); #204294=DIRECTION('ref_axis',(0.999299260377334,0.0374297770406631,0.)); #204295=DIRECTION('center_axis',(0.,0.,1.)); #204296=DIRECTION('ref_axis',(0.999989185975316,-0.0046505840949191,0.)); #204297=DIRECTION('center_axis',(0.,0.,1.)); #204298=DIRECTION('ref_axis',(0.999989185975316,-0.0046505840949191,0.)); #204299=DIRECTION('',(0.,0.,1.)); #204300=DIRECTION('center_axis',(0.,0.,1.)); #204301=DIRECTION('ref_axis',(0.999989185975316,-0.0046505840949191,0.)); #204302=DIRECTION('center_axis',(0.999720538314586,-0.0236399084599255, 0.)); #204303=DIRECTION('ref_axis',(0.0236399084599255,0.999720538314586,0.)); #204304=DIRECTION('',(0.0236399084599255,0.999720538314586,0.)); #204305=DIRECTION('',(0.,0.,1.)); #204306=DIRECTION('',(0.0236399084599255,0.999720538314586,0.)); #204307=DIRECTION('center_axis',(0.,0.,1.)); #204308=DIRECTION('ref_axis',(0.0492806302197876,-0.998784971595558,0.)); #204309=DIRECTION('center_axis',(0.,0.,1.)); #204310=DIRECTION('ref_axis',(0.0492806302197876,-0.998784971595558,0.)); #204311=DIRECTION('',(0.,0.,1.)); #204312=DIRECTION('center_axis',(0.,0.,1.)); #204313=DIRECTION('ref_axis',(0.0492806302197876,-0.998784971595558,0.)); #204314=DIRECTION('center_axis',(0.000448200917006968,-0.999999899557964, 0.)); #204315=DIRECTION('ref_axis',(0.999999899557964,0.000448200917006968,0.)); #204316=DIRECTION('',(0.999999899557964,0.000448200917006968,0.)); #204317=DIRECTION('',(0.,0.,1.)); #204318=DIRECTION('',(0.999999899557964,0.000448200917006968,0.)); #204319=DIRECTION('center_axis',(0.,-1.,0.)); #204320=DIRECTION('ref_axis',(1.,0.,0.)); #204321=DIRECTION('',(1.,0.,0.)); #204322=DIRECTION('',(1.,0.,0.)); #204323=DIRECTION('center_axis',(0.,0.,-1.)); #204324=DIRECTION('ref_axis',(-1.,0.,0.)); #204325=DIRECTION('center_axis',(0.,0.,-1.)); #204326=DIRECTION('ref_axis',(-1.,0.,0.)); #204327=DIRECTION('',(-1.,0.,0.)); #204328=DIRECTION('',(0.,1.,0.)); #204329=DIRECTION('',(1.,0.,0.)); #204330=DIRECTION('',(0.,1.,0.)); #204331=DIRECTION('',(1.,0.,0.)); #204332=DIRECTION('',(0.,-1.,0.)); #204333=DIRECTION('',(1.,0.,0.)); #204334=DIRECTION('',(0.,-1.,0.)); #204335=DIRECTION('',(-1.,0.,0.)); #204336=DIRECTION('',(0.,-1.,0.)); #204337=DIRECTION('',(-1.,0.,0.)); #204338=DIRECTION('',(0.,1.,0.)); #204339=DIRECTION('center_axis',(0.,0.,-1.)); #204340=DIRECTION('ref_axis',(-1.,0.,0.)); #204341=DIRECTION('center_axis',(0.,0.,-1.)); #204342=DIRECTION('ref_axis',(-1.,0.,0.)); #204343=DIRECTION('center_axis',(0.,0.,-1.)); #204344=DIRECTION('ref_axis',(-1.,0.,0.)); #204345=DIRECTION('center_axis',(0.,0.,-1.)); #204346=DIRECTION('ref_axis',(-1.,0.,0.)); #204347=DIRECTION('center_axis',(0.,0.,-1.)); #204348=DIRECTION('ref_axis',(-1.,0.,0.)); #204349=DIRECTION('center_axis',(0.,0.,-1.)); #204350=DIRECTION('ref_axis',(-1.,0.,0.)); #204351=DIRECTION('center_axis',(0.,0.,-1.)); #204352=DIRECTION('ref_axis',(-1.,0.,0.)); #204353=DIRECTION('center_axis',(0.,0.,-1.)); #204354=DIRECTION('ref_axis',(-1.,0.,0.)); #204355=DIRECTION('center_axis',(0.,0.,-1.)); #204356=DIRECTION('ref_axis',(-1.,0.,0.)); #204357=DIRECTION('center_axis',(0.,0.,-1.)); #204358=DIRECTION('ref_axis',(-1.,0.,0.)); #204359=DIRECTION('center_axis',(0.,0.,-1.)); #204360=DIRECTION('ref_axis',(-1.,0.,0.)); #204361=DIRECTION('center_axis',(0.,0.,-1.)); #204362=DIRECTION('ref_axis',(-1.,0.,0.)); #204363=DIRECTION('center_axis',(0.,0.,-1.)); #204364=DIRECTION('ref_axis',(-1.,0.,0.)); #204365=DIRECTION('center_axis',(0.,0.,-1.)); #204366=DIRECTION('ref_axis',(-1.,0.,0.)); #204367=DIRECTION('',(0.,-1.,0.)); #204368=DIRECTION('center_axis',(0.,0.,1.)); #204369=DIRECTION('ref_axis',(-0.999999999995527,2.99092632102192E-6,0.)); #204370=DIRECTION('',(1.,0.,0.)); #204371=DIRECTION('center_axis',(0.,0.,1.)); #204372=DIRECTION('ref_axis',(8.98903722564087E-6,-0.999999999959599,0.)); #204373=DIRECTION('',(0.,1.,0.)); #204374=DIRECTION('center_axis',(0.,0.,1.)); #204375=DIRECTION('ref_axis',(0.999999999820182,-1.89640634371832E-5,0.)); #204376=DIRECTION('',(-1.,0.,0.)); #204377=DIRECTION('center_axis',(0.,0.,1.)); #204378=DIRECTION('ref_axis',(-1.2982156966795E-5,0.999999999915732,0.)); #204379=DIRECTION('',(-0.768466044278393,0.63989056782478,0.)); #204380=DIRECTION('',(-0.905210901031848,0.424962615594725,0.)); #204381=DIRECTION('',(-0.973984829634098,0.226613220361562,0.)); #204382=DIRECTION('',(-0.997825484675836,0.0659113202070169,0.)); #204383=DIRECTION('',(-1.,0.,0.)); #204384=DIRECTION('',(-0.997825484675836,-0.0659113202070169,0.)); #204385=DIRECTION('',(-0.973984829634101,-0.226613220361547,0.)); #204386=DIRECTION('',(-0.905210582102531,-0.424963294943924,0.)); #204387=DIRECTION('',(-0.768464877679155,-0.639891968830335,0.)); #204388=DIRECTION('',(-0.580050346693353,-0.814580625414649,0.)); #204389=DIRECTION('',(-0.386818716209227,-0.922155779025565,0.)); #204390=DIRECTION('',(-0.209400841160885,-0.977829886903194,0.)); #204391=DIRECTION('',(-0.0619989283989833,-0.998076215966184,0.)); #204392=DIRECTION('',(0.,-1.,0.)); #204393=DIRECTION('',(0.0615228600662976,-0.99810567461029,0.)); #204394=DIRECTION('',(0.208133198759904,-0.97810049155185,0.)); #204395=DIRECTION('',(0.385347152883369,-0.922771679108479,0.)); #204396=DIRECTION('',(0.579349632615732,-0.815079139217792,0.)); #204397=DIRECTION('',(0.768464877679165,-0.639891968830322,0.)); #204398=DIRECTION('',(0.905210582102539,-0.424963294943908,0.)); #204399=DIRECTION('',(0.973984829634101,-0.226613220361547,0.)); #204400=DIRECTION('',(0.997825484675836,-0.065911320207032,0.)); #204401=DIRECTION('',(1.,0.,0.)); #204402=DIRECTION('',(0.997825484675836,0.0659113202070169,0.)); #204403=DIRECTION('',(0.973984829634098,0.226613220361562,0.)); #204404=DIRECTION('',(0.905210901031848,0.424962615594725,0.)); #204405=DIRECTION('',(0.768466044278414,0.639890567824755,0.)); #204406=DIRECTION('',(0.579348377919086,0.815080031041446,0.)); #204407=DIRECTION('',(0.385347152883376,0.922771679108476,0.)); #204408=DIRECTION('',(0.208133956037046,0.978100330408067,0.)); #204409=DIRECTION('',(0.0615235593565473,0.998105631506055,0.)); #204410=DIRECTION('',(2.49588177909195E-5,0.999999999688529,0.)); #204411=DIRECTION('center_axis',(0.,0.,1.)); #204412=DIRECTION('ref_axis',(0.999999999997999,2.00069267979025E-6,0.)); #204413=DIRECTION('',(-1.,1.52878323609402E-14,0.)); #204414=DIRECTION('center_axis',(0.,0.,1.)); #204415=DIRECTION('ref_axis',(0.110434910107635,0.993883358664144,0.)); #204416=DIRECTION('',(0.,-1.,0.)); #204417=DIRECTION('',(-0.108886389254202,-0.994054200853848,0.)); #204418=DIRECTION('',(-0.465127906550562,-0.885243486588798,0.)); #204419=DIRECTION('',(-0.877303787963865,-0.479935478605461,0.)); #204420=DIRECTION('',(-0.993073055261248,-0.117498540050891,0.)); #204421=DIRECTION('',(-1.,0.,0.)); #204422=DIRECTION('',(-0.993073055261248,0.117498540050891,0.)); #204423=DIRECTION('',(-0.877303787963858,0.479935478605475,0.)); #204424=DIRECTION('',(-0.465127906550562,0.885243486588798,0.)); #204425=DIRECTION('',(-0.108889161344426,0.994053897201609,0.)); #204426=DIRECTION('',(0.,1.,0.)); #204427=DIRECTION('',(0.110041692023007,0.993926972174875,0.)); #204428=DIRECTION('',(0.46719496307407,0.88415432277302,0.)); #204429=DIRECTION('',(0.877300317162587,0.479941823043611,0.)); #204430=DIRECTION('',(0.993073055261248,0.117498540050891,0.)); #204431=DIRECTION('',(1.,0.,0.)); #204432=DIRECTION('',(0.993073055261248,-0.117498540050891,0.)); #204433=DIRECTION('',(0.877300317162587,-0.479941823043611,0.)); #204434=DIRECTION('',(0.46719496307407,-0.88415432277302,0.)); #204435=DIRECTION('',(0.110042312183463,-0.993926903514196,0.)); #204436=DIRECTION('',(-9.07572781784439E-6,-0.999999999958815,0.)); #204437=DIRECTION('center_axis',(0.,0.,1.)); #204438=DIRECTION('ref_axis',(-0.999999999999498,-1.00237291055597E-6,0.)); #204439=DIRECTION('',(1.,0.,0.)); #204440=DIRECTION('center_axis',(0.,0.,1.)); #204441=DIRECTION('ref_axis',(-0.0256429832923955,-0.999671164637585,0.)); #204442=DIRECTION('',(0.,1.,0.)); #204443=DIRECTION('',(-0.0620031563561462,0.99807595332313,0.)); #204444=DIRECTION('',(-0.209401602628732,0.977829723835658,0.)); #204445=DIRECTION('',(-0.386818716209227,0.922155779025565,0.)); #204446=DIRECTION('',(-0.580049092015342,0.814581518850125,0.)); #204447=DIRECTION('center_axis',(0.,0.,-1.)); #204448=DIRECTION('ref_axis',(-0.990313239699165,0.138851313557147,0.)); #204449=DIRECTION('center_axis',(0.,0.,-1.)); #204450=DIRECTION('ref_axis',(-0.745551687855407,0.666447807960948,0.)); #204451=DIRECTION('center_axis',(0.,0.,-1.)); #204452=DIRECTION('ref_axis',(0.017144335528594,0.999853025078828,0.)); #204453=DIRECTION('',(0.983939508091833,0.178502225241035,0.)); #204454=DIRECTION('center_axis',(0.,0.,1.)); #204455=DIRECTION('ref_axis',(0.305891199724486,-0.952066475584092,0.)); #204456=DIRECTION('center_axis',(0.,0.,1.)); #204457=DIRECTION('ref_axis',(0.965682179037622,0.259726643009818,0.)); #204458=DIRECTION('center_axis',(0.,0.,1.)); #204459=DIRECTION('ref_axis',(0.623649514991116,0.781704088803011,0.)); #204460=DIRECTION('center_axis',(0.,0.,1.)); #204461=DIRECTION('ref_axis',(-0.0958141526485773,0.995399240582509,0.)); #204462=DIRECTION('center_axis',(0.,0.,1.)); #204463=DIRECTION('ref_axis',(-0.0566280569088787,0.998395344125124,0.)); #204464=DIRECTION('center_axis',(0.,0.,1.)); #204465=DIRECTION('ref_axis',(-0.230615392531761,0.973044983917713,0.)); #204466=DIRECTION('',(-0.943694320915779,-0.330818724789432,0.)); #204467=DIRECTION('',(-0.859898100083059,-0.510465726051755,0.)); #204468=DIRECTION('center_axis',(0.,0.,1.)); #204469=DIRECTION('ref_axis',(-0.97438452597874,-0.224888406844786,0.)); #204470=DIRECTION('',(0.0169370435443797,-0.999856557990183,0.)); #204471=DIRECTION('center_axis',(0.,0.,1.)); #204472=DIRECTION('ref_axis',(-0.962806884617341,-0.270190493788087,0.)); #204473=DIRECTION('',(0.376591284402025,-0.926379514298774,0.)); #204474=DIRECTION('',(0.559828468828171,-0.828608523664526,0.)); #204475=DIRECTION('',(0.725870567158154,-0.687831316336716,0.)); #204476=DIRECTION('',(0.850313720353141,-0.526276141373709,0.)); #204477=DIRECTION('',(0.928103106240723,-0.372323279135648,0.)); #204478=DIRECTION('',(0.969981758191952,-0.243177689714435,0.)); #204479=DIRECTION('',(0.989671719056285,-0.143352322967494,0.)); #204480=DIRECTION('center_axis',(0.,0.,-1.)); #204481=DIRECTION('ref_axis',(0.0189260449493096,0.999820886370442,0.)); #204482=DIRECTION('center_axis',(0.,0.,-1.)); #204483=DIRECTION('ref_axis',(0.665090514523929,0.746762751809633,0.)); #204484=DIRECTION('center_axis',(0.,0.,-1.)); #204485=DIRECTION('ref_axis',(0.9998481533417,-0.017426137242454,0.)); #204486=DIRECTION('center_axis',(0.,0.,-1.)); #204487=DIRECTION('ref_axis',(0.805768908570544,-0.592230078585202,0.)); #204488=DIRECTION('center_axis',(0.,0.,-1.)); #204489=DIRECTION('ref_axis',(0.148287905159965,-0.988944233606359,0.)); #204490=DIRECTION('',(-0.975519664853589,-0.219912217677741,0.)); #204491=DIRECTION('center_axis',(0.,0.,1.)); #204492=DIRECTION('ref_axis',(-0.113633123923375,0.993522779380229,0.)); #204493=DIRECTION('center_axis',(0.,0.,1.)); #204494=DIRECTION('ref_axis',(-0.775537280387584,0.631301771523754,0.)); #204495=DIRECTION('center_axis',(0.,0.,1.)); #204496=DIRECTION('ref_axis',(-0.990989445779072,-0.133939980418425,0.)); #204497=DIRECTION('center_axis',(0.,0.,1.)); #204498=DIRECTION('ref_axis',(-0.689105990404965,-0.724660564670103,0.)); #204499=DIRECTION('center_axis',(0.,0.,1.)); #204500=DIRECTION('ref_axis',(0.0804606205791863,-0.996757788299651,0.)); #204501=DIRECTION('center_axis',(0.,0.,1.)); #204502=DIRECTION('ref_axis',(0.0379214414023204,-0.999280723461616,0.)); #204503=DIRECTION('',(0.990496927177841,0.13753485831328,0.)); #204504=DIRECTION('',(0.95251999374411,0.304476044242763,0.)); #204505=DIRECTION('center_axis',(0.,0.,1.)); #204506=DIRECTION('ref_axis',(0.897222885235887,0.441577959378626,0.)); #204507=DIRECTION('',(-0.569305471392035,0.822126073204769,0.)); #204508=DIRECTION('',(-0.744454967095341,0.667672675767906,0.)); #204509=DIRECTION('center_axis',(0.,0.,1.)); #204510=DIRECTION('ref_axis',(0.0223183513971874,0.999750914573681,0.)); #204511=DIRECTION('',(-0.998790296009479,0.0491726000664716,0.)); #204512=DIRECTION('center_axis',(0.,0.,-1.)); #204513=DIRECTION('ref_axis',(-0.024737381454344,-0.999693984156543,0.)); #204514=DIRECTION('center_axis',(0.,0.,-1.)); #204515=DIRECTION('ref_axis',(-0.736674775198925,-0.676247200057503,0.)); #204516=DIRECTION('center_axis',(0.,0.,1.)); #204517=DIRECTION('ref_axis',(0.271284253865206,-0.962499274599622,0.)); #204518=DIRECTION('',(0.94648628768013,0.322744027417219,0.)); #204519=DIRECTION('',(0.826397645649966,0.563086965986777,0.)); #204520=DIRECTION('',(0.600303236999871,0.799772482427019,0.)); #204521=DIRECTION('',(0.350794136257993,0.936452601025278,0.)); #204522=DIRECTION('center_axis',(0.,0.,1.)); #204523=DIRECTION('ref_axis',(0.999969461575433,-0.00781510822303976,0.)); #204524=DIRECTION('',(0.00152355049669207,0.999998839396268,0.)); #204525=DIRECTION('center_axis',(0.,0.,-1.)); #204526=DIRECTION('ref_axis',(-0.999985245873083,0.00543212998269982,0.)); #204527=DIRECTION('center_axis',(0.,0.,1.)); #204528=DIRECTION('ref_axis',(-0.392477802240818,-0.919761477095131,0.)); #204529=DIRECTION('center_axis',(0.,0.,1.)); #204530=DIRECTION('ref_axis',(0.151406213977529,-0.988471627498225,0.)); #204531=DIRECTION('center_axis',(0.,0.,1.)); #204532=DIRECTION('ref_axis',(0.834110036683086,-0.551598084391653,0.)); #204533=DIRECTION('center_axis',(0.,0.,1.)); #204534=DIRECTION('ref_axis',(0.991392424285399,0.130923875093585,0.)); #204535=DIRECTION('center_axis',(0.,0.,1.)); #204536=DIRECTION('ref_axis',(0.919920477995523,0.392104978500005,0.)); #204537=DIRECTION('center_axis',(0.,0.,1.)); #204538=DIRECTION('ref_axis',(-0.184084272274261,0.982910464234284,0.)); #204539=DIRECTION('center_axis',(0.,0.,1.)); #204540=DIRECTION('ref_axis',(-0.00831933922500831,0.999965393698631,0.)); #204541=DIRECTION('center_axis',(0.,0.,1.)); #204542=DIRECTION('ref_axis',(-0.576331285097576,0.817216158563802,0.)); #204543=DIRECTION('',(-0.683766574109758,-0.729700810010654,0.)); #204544=DIRECTION('center_axis',(0.,0.,-1.)); #204545=DIRECTION('ref_axis',(0.778599681051623,-0.627520945201283,0.)); #204546=DIRECTION('center_axis',(0.,0.,1.)); #204547=DIRECTION('ref_axis',(0.982534052773958,0.186082871698556,0.)); #204548=DIRECTION('',(-0.417029463977528,0.908892967391989,0.)); #204549=DIRECTION('center_axis',(0.,0.,1.)); #204550=DIRECTION('ref_axis',(-0.312823547119726,0.949811259339155,0.)); #204551=DIRECTION('',(-0.876600804500423,-0.481218276408129,0.)); #204552=DIRECTION('',(-0.758210194284904,-0.652010200290186,0.)); #204553=DIRECTION('',(-0.578547596407423,-0.815648624526023,0.)); #204554=DIRECTION('',(-0.358274845904905,-0.933616160309908,0.)); #204555=DIRECTION('',(-0.140538982330595,-0.990075145857869,0.)); #204556=DIRECTION('',(-3.63177706554553E-5,-0.99999999934051,0.)); #204557=DIRECTION('',(0.0325560231986182,-0.999469912180197,0.)); #204558=DIRECTION('',(0.104559991744036,-0.994518581086592,0.)); #204559=DIRECTION('',(0.184850687109348,-0.982766616992662,0.)); #204560=DIRECTION('',(0.274200203934703,-0.961672630452883,0.)); #204561=DIRECTION('',(0.370615326994691,-0.928786455218108,0.)); #204562=DIRECTION('',(0.471997310267868,-0.881599988146494,0.)); #204563=DIRECTION('',(0.574351433419374,-0.818608838780226,0.)); #204564=DIRECTION('',(0.671892210966304,-0.740648943051168,0.)); #204565=DIRECTION('',(0.760421979348072,-0.649429298172142,0.)); #204566=DIRECTION('',(0.83512310223979,-0.550063091022647,0.)); #204567=DIRECTION('',(-0.0661020296371993,0.997812869068065,0.)); #204568=DIRECTION('',(-1.,0.,0.)); #204569=DIRECTION('',(0.120828908332224,-0.992673347537468,0.)); #204570=DIRECTION('',(0.195894413661777,-0.98062499391771,0.)); #204571=DIRECTION('',(0.267854946711051,-0.963459250577013,0.)); #204572=DIRECTION('',(0.334732130973598,-0.942313323950094,0.)); #204573=DIRECTION('center_axis',(0.,0.,-1.)); #204574=DIRECTION('ref_axis',(0.843474641047459,0.537168995670692,0.)); #204575=DIRECTION('',(0.378779188717607,-0.925487075109335,0.)); #204576=DIRECTION('center_axis',(0.,0.,1.)); #204577=DIRECTION('ref_axis',(0.879198193723913,-0.476456226900865,0.)); #204578=DIRECTION('',(0.352460761213517,0.935826592807016,0.)); #204579=DIRECTION('',(0.293611456185114,0.955924846835177,0.)); #204580=DIRECTION('center_axis',(0.,0.,1.)); #204581=DIRECTION('ref_axis',(-0.999500593864595,-0.0316000453215176,0.)); #204582=DIRECTION('center_axis',(0.,0.,1.)); #204583=DIRECTION('ref_axis',(-0.485972529273132,-0.873974084736999,0.)); #204584=DIRECTION('center_axis',(0.,0.,1.)); #204585=DIRECTION('ref_axis',(0.125981356180202,-0.992032609290137,0.)); #204586=DIRECTION('center_axis',(0.,0.,1.)); #204587=DIRECTION('ref_axis',(0.858764286326815,-0.512370862295658,0.)); #204588=DIRECTION('center_axis',(0.,0.,1.)); #204589=DIRECTION('ref_axis',(0.988005766768653,0.154416983625137,0.)); #204590=DIRECTION('',(-0.386165268774559,0.922429609884826,0.)); #204591=DIRECTION('',(-0.571362951444516,0.820697494645019,0.)); #204592=DIRECTION('',(-0.736116686753909,0.676854654621247,0.)); #204593=DIRECTION('',(-0.864686641825406,0.502311667641418,0.)); #204594=DIRECTION('',(-0.94932572990083,0.314293904726541,0.)); #204595=DIRECTION('',(-0.984611163653483,0.174759424378014,0.)); #204596=DIRECTION('',(-0.996354496750619,0.085309535251465,0.)); #204597=DIRECTION('',(-0.999999998692965,5.11279766503375E-5,0.)); #204598=DIRECTION('center_axis',(0.,0.,-1.)); #204599=DIRECTION('ref_axis',(-0.996037025663027,0.0889395497422327,0.)); #204600=DIRECTION('center_axis',(0.,0.,-1.)); #204601=DIRECTION('ref_axis',(-0.669349754938448,0.742947444684911,0.)); #204602=DIRECTION('center_axis',(0.,0.,-1.)); #204603=DIRECTION('ref_axis',(0.0793505624811175,0.996846772695749,0.)); #204604=DIRECTION('',(0.999202925599161,0.0399188360811999,0.)); #204605=DIRECTION('center_axis',(0.,0.,1.)); #204606=DIRECTION('ref_axis',(0.00853419063567783,-0.999963583132003,0.)); #204607=DIRECTION('center_axis',(0.,0.,1.)); #204608=DIRECTION('ref_axis',(0.266894103697913,-0.963725862167913,0.)); #204609=DIRECTION('',(0.888241421793841,0.459376943924765,0.)); #204610=DIRECTION('center_axis',(0.,0.,1.)); #204611=DIRECTION('ref_axis',(0.916444315147206,0.40016223864124,0.)); #204612=DIRECTION('',(-0.401449603145302,0.915881114629229,0.)); #204613=DIRECTION('',(-0.570780761382498,0.821102504219549,0.)); #204614=DIRECTION('',(-0.744844830820189,0.667237722255303,0.)); #204615=DIRECTION('',(-0.884694062199267,0.466172088728358,0.)); #204616=DIRECTION('',(-0.96636918121168,0.257158716757312,0.)); #204617=DIRECTION('',(-0.997134758903282,0.0756457043518917,0.)); #204618=DIRECTION('',(-1.,0.,0.)); #204619=DIRECTION('center_axis',(0.,0.,1.)); #204620=DIRECTION('ref_axis',(-0.719691428698879,0.694294064109269,0.)); #204621=DIRECTION('center_axis',(0.,0.,1.)); #204622=DIRECTION('ref_axis',(-0.989554901644199,-0.144156500484509,0.)); #204623=DIRECTION('',(0.00403217825672078,-0.999991870736211,0.)); #204624=DIRECTION('',(0.,-1.,0.)); #204625=DIRECTION('',(0.0429037355748002,-0.999079210810498,0.)); #204626=DIRECTION('',(0.132274242753953,-0.991213158056262,0.)); #204627=DIRECTION('',(0.225619337637541,-0.974215538001728,0.)); #204628=DIRECTION('',(0.321624573268943,-0.946867273628976,0.)); #204629=DIRECTION('',(0.418064539693693,-0.908417327361549,0.)); #204630=DIRECTION('',(0.513108829042845,-0.858323557615821,0.)); #204631=DIRECTION('',(0.604647959640135,-0.796492840459362,0.)); #204632=DIRECTION('',(0.689940522522162,-0.723866061769611,0.)); #204633=DIRECTION('center_axis',(0.,0.,1.)); #204634=DIRECTION('ref_axis',(0.388359786823198,-0.921507827410403,0.)); #204635=DIRECTION('',(0.911015094101535,0.412373008718042,0.)); #204636=DIRECTION('',(0.806514557381221,0.591214232518275,0.)); #204637=DIRECTION('',(0.600422510505864,0.799682942720323,0.)); #204638=DIRECTION('',(0.276925510972726,0.960891388957407,0.)); #204639=DIRECTION('center_axis',(0.,0.,1.)); #204640=DIRECTION('ref_axis',(0.999996382222951,0.0026898960963919,0.)); #204641=DIRECTION('',(-0.0726661246005153,0.997356322652813,0.)); #204642=DIRECTION('',(-0.17524694094457,0.984524509440761,0.)); #204643=DIRECTION('',(-0.346741062558386,0.937960892327543,0.)); #204644=DIRECTION('center_axis',(0.,0.,1.)); #204645=DIRECTION('ref_axis',(-0.403962478303755,0.914775555053089,0.)); #204646=DIRECTION('',(-0.906734440027697,-0.421702093032105,0.)); #204647=DIRECTION('',(-0.812025368008736,-0.583622139496333,0.)); #204648=DIRECTION('',(-0.657116790165012,-0.753788779488812,0.)); #204649=DIRECTION('',(-0.447106500917286,-0.89448073027735,0.)); #204650=DIRECTION('center_axis',(0.,0.,1.)); #204651=DIRECTION('ref_axis',(-0.979699369440284,-0.200472306113111,0.)); #204652=DIRECTION('',(0.428959181549845,-0.903323873571427,0.)); #204653=DIRECTION('',(-0.867879853190597,-0.496774154345683,0.)); #204654=DIRECTION('',(0.,-1.,0.)); #204655=DIRECTION('',(0.99087550776346,0.134780295720501,0.)); #204656=DIRECTION('',(0.64008417061465,-0.768304792727831,0.)); #204657=DIRECTION('',(0.526845082364278,-0.84996132805474,0.)); #204658=DIRECTION('',(0.398397713268946,-0.917212768152556,0.)); #204659=DIRECTION('center_axis',(0.,0.,1.)); #204660=DIRECTION('ref_axis',(-0.771570772215322,-0.636143492824576,0.)); #204661=DIRECTION('',(0.515118987714654,-0.8571186781863,0.)); #204662=DIRECTION('',(0.324804082430084,-0.945781321467469,0.)); #204663=DIRECTION('',(0.0507144205571241,-0.998713195841306,0.)); #204664=DIRECTION('',(-0.251994834507501,-0.967728579396897,0.)); #204665=DIRECTION('',(-0.444703101583181,-0.895678040058088,0.)); #204666=DIRECTION('center_axis',(0.,0.,-1.)); #204667=DIRECTION('ref_axis',(0.779973644786437,-0.625812362804189,0.)); #204668=DIRECTION('',(-0.859428240010288,-0.511256393869865,0.)); #204669=DIRECTION('',(-0.938514788820672,-0.345239034822093,0.)); #204670=DIRECTION('',(-0.339019574579044,-0.94077931952836,0.)); #204671=DIRECTION('center_axis',(0.,0.,1.)); #204672=DIRECTION('ref_axis',(-0.77839985577876,-0.627768798622237,0.)); #204673=DIRECTION('center_axis',(0.,0.,1.)); #204674=DIRECTION('ref_axis',(-0.34728307560011,-0.937760345398401,0.)); #204675=DIRECTION('',(0.992181712920514,0.124801636792607,0.)); #204676=DIRECTION('',(0.706815317666894,-0.707398124616858,0.)); #204677=DIRECTION('center_axis',(0.,0.,1.)); #204678=DIRECTION('ref_axis',(-0.88362155798419,-0.468201817879419,0.)); #204679=DIRECTION('center_axis',(0.,0.,1.)); #204680=DIRECTION('ref_axis',(-0.189798931613211,-0.981822980765109,0.)); #204681=DIRECTION('',(0.389001387649216,0.921237168381185,0.)); #204682=DIRECTION('center_axis',(0.,0.,1.)); #204683=DIRECTION('ref_axis',(0.989985463706932,-0.141169336787315,0.)); #204684=DIRECTION('center_axis',(0.,0.,-1.)); #204685=DIRECTION('ref_axis',(-0.169818420105364,0.985475369653102,0.)); #204686=DIRECTION('',(0.980757648215222,-0.195229187027318,0.)); #204687=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #204688=DIRECTION('center_axis',(0.,0.,1.)); #204689=DIRECTION('ref_axis',(-0.862715720276282,-0.505689218777873,0.)); #204690=DIRECTION('center_axis',(0.,0.,1.)); #204691=DIRECTION('ref_axis',(-0.164990675151872,-0.986295126781497,0.)); #204692=DIRECTION('',(0.509721450609906,0.860339492751631,0.)); #204693=DIRECTION('',(0.330758169320871,0.943715546882378,0.)); #204694=DIRECTION('',(0.150229376892451,0.988651169178748,0.)); #204695=DIRECTION('center_axis',(0.,0.,1.)); #204696=DIRECTION('ref_axis',(0.999997528100458,0.00222346418341297,0.)); #204697=DIRECTION('',(0.989261432404891,0.146156827949378,0.)); #204698=DIRECTION('',(0.745969734920833,-0.66597984547743,0.)); #204699=DIRECTION('center_axis',(0.,0.,1.)); #204700=DIRECTION('ref_axis',(-0.799997903295703,-0.600002795595553,0.)); #204701=DIRECTION('',(0.659519532056018,0.751687426286094,0.)); #204702=DIRECTION('',(0.498204888827157,0.867059334041633,0.)); #204703=DIRECTION('',(0.325362553796786,0.945589344581903,0.)); #204704=DIRECTION('center_axis',(0.,0.,1.)); #204705=DIRECTION('ref_axis',(0.99994545718912,-0.0104442638247832,0.)); #204706=DIRECTION('',(-0.667331847362101,0.744760502105399,0.)); #204707=DIRECTION('',(-0.661698249025658,0.749770249634098,0.)); #204708=DIRECTION('',(0.141599074519404,0.989924089056958,0.)); #204709=DIRECTION('',(0.70634160104102,-0.707871134203683,0.)); #204710=DIRECTION('center_axis',(0.,0.,1.)); #204711=DIRECTION('ref_axis',(-0.758001548398104,-0.652252752103107,0.)); #204712=DIRECTION('',(0.659605547530252,0.751611948858796,0.)); #204713=DIRECTION('',(0.44804248924708,0.894012263802505,0.)); #204714=DIRECTION('',(0.197205433321176,0.980362186678274,0.)); #204715=DIRECTION('',(-0.0614933854243931,0.998107490979327,0.)); #204716=DIRECTION('center_axis',(0.,0.,1.)); #204717=DIRECTION('ref_axis',(0.905581795128674,0.424171677898854,0.)); #204718=DIRECTION('',(0.134943653878916,0.990853273839173,0.)); #204719=DIRECTION('',(0.990578468441368,0.136946332044174,0.)); #204720=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #204721=DIRECTION('center_axis',(0.,0.,1.)); #204722=DIRECTION('ref_axis',(-0.74561762627745,-0.666374035646934,0.)); #204723=DIRECTION('',(0.998814246103371,0.0486836911188429,0.)); #204724=DIRECTION('',(0.962378918583766,0.271710907152328,0.)); #204725=DIRECTION('',(0.875799112427464,0.482675786290618,0.)); #204726=DIRECTION('',(0.744390086427289,0.667745010635626,0.)); #204727=DIRECTION('',(0.576925892573073,0.816796495143535,0.)); #204728=DIRECTION('',(0.384492783419071,0.923127997353918,0.)); #204729=DIRECTION('',(0.179529228857683,0.983752639633442,0.)); #204730=DIRECTION('center_axis',(0.,0.,1.)); #204731=DIRECTION('ref_axis',(0.999967958706487,0.00800509590078521,0.)); #204732=DIRECTION('',(-0.706859900175536,0.707353576030992,0.)); #204733=DIRECTION('',(0.268370450698829,0.963315784772422,0.)); #204734=DIRECTION('center_axis',(0.,0.,1.)); #204735=DIRECTION('ref_axis',(0.9292365677019,-0.369485319391167,0.)); #204736=DIRECTION('center_axis',(0.,0.,1.)); #204737=DIRECTION('ref_axis',(0.82482762361216,0.565384286416166,0.)); #204738=DIRECTION('',(-0.997019472573818,0.0771503163222731,0.)); #204739=DIRECTION('',(-0.355386854463619,-0.93471930742574,0.)); #204740=DIRECTION('center_axis',(0.,0.,-1.)); #204741=DIRECTION('ref_axis',(0.920654507699573,-0.390378377286007,0.)); #204742=DIRECTION('',(-0.999727185409927,-0.0233571135276787,0.)); #204743=DIRECTION('',(-0.97792499220056,0.208956238551366,0.)); #204744=DIRECTION('center_axis',(0.,0.,-1.)); #204745=DIRECTION('ref_axis',(-0.451573748520254,-0.892233797637909,0.)); #204746=DIRECTION('',(-0.741964197446097,0.670439504883303,0.)); #204747=DIRECTION('',(0.131556835992089,0.991308629491215,0.)); #204748=DIRECTION('',(-0.313143027677674,0.949705977772521,0.)); #204749=DIRECTION('',(-0.707351466644569,0.706862011028869,0.)); #204750=DIRECTION('center_axis',(0.,0.,1.)); #204751=DIRECTION('ref_axis',(-0.865885781621479,0.500241754740406,0.)); #204752=DIRECTION('center_axis',(0.,0.,-1.)); #204753=DIRECTION('ref_axis',(-0.197871148949232,-0.980228038985578,0.)); #204754=DIRECTION('',(-0.893267330408898,0.449525835102012,0.)); #204755=DIRECTION('',(-0.705392518818781,-0.70881689765023,0.)); #204756=DIRECTION('',(0.441968303925438,-0.897030667438562,0.)); #204757=DIRECTION('center_axis',(0.,0.,1.)); #204758=DIRECTION('ref_axis',(0.999999999975553,6.99240154950258E-6,0.)); #204759=DIRECTION('',(-1.,0.,0.)); #204760=DIRECTION('center_axis',(0.,0.,1.)); #204761=DIRECTION('ref_axis',(1.09775986774384E-5,0.999999999939746,0.)); #204762=DIRECTION('',(0.,-1.,0.)); #204763=DIRECTION('center_axis',(0.,0.,1.)); #204764=DIRECTION('ref_axis',(-0.999999999939657,-1.0985700824623E-5,0.)); #204765=DIRECTION('',(1.,0.,0.)); #204766=DIRECTION('center_axis',(0.,0.,1.)); #204767=DIRECTION('ref_axis',(-0.0131547748473801,-0.999913472205828,0.)); #204768=DIRECTION('',(0.52966557087797,0.848206568606088,0.)); #204769=DIRECTION('',(0.529666102953634,-0.848206236349339,0.)); #204770=DIRECTION('center_axis',(0.,0.,1.)); #204771=DIRECTION('ref_axis',(-0.844695588877129,-0.535247010390081,0.)); #204772=DIRECTION('',(1.,0.,0.)); #204773=DIRECTION('center_axis',(0.,0.,1.)); #204774=DIRECTION('ref_axis',(-5.98596958188543E-6,-0.999999999982084,0.)); #204775=DIRECTION('',(0.,1.,0.)); #204776=DIRECTION('center_axis',(0.,0.,1.)); #204777=DIRECTION('ref_axis',(0.999999999982036,5.99407181384394E-6,0.)); #204778=DIRECTION('',(-1.,0.,0.)); #204779=DIRECTION('center_axis',(0.,0.,1.)); #204780=DIRECTION('ref_axis',(6.9842992812976E-6,0.99999999997561,0.)); #204781=DIRECTION('',(0.,-1.,0.)); #204782=DIRECTION('',(-0.536876049231663,0.843661133252801,0.)); #204783=DIRECTION('center_axis',(0.,0.,1.)); #204784=DIRECTION('ref_axis',(0.844702653096447,0.535235861888778,0.)); #204785=DIRECTION('',(-1.,0.,0.)); #204786=DIRECTION('center_axis',(0.,0.,1.)); #204787=DIRECTION('ref_axis',(0.0131394769939642,0.999913673346017,0.)); #204788=DIRECTION('',(-0.535937943524131,-0.844257378227236,0.)); #204789=DIRECTION('',(0.,1.,0.)); #204790=DIRECTION('center_axis',(0.,0.,1.)); #204791=DIRECTION('ref_axis',(-5.98596958188543E-6,-0.999999999982084,0.)); #204792=DIRECTION('',(0.,1.,0.)); #204793=DIRECTION('center_axis',(0.,0.,1.)); #204794=DIRECTION('ref_axis',(0.999999999982035,5.99407172517489E-6,0.)); #204795=DIRECTION('',(-1.,0.,0.)); #204796=DIRECTION('',(0.,1.,0.)); #204797=DIRECTION('center_axis',(0.,0.,1.)); #204798=DIRECTION('ref_axis',(0.999999999975553,6.99240154950258E-6,0.)); #204799=DIRECTION('',(-1.,0.,0.)); #204800=DIRECTION('center_axis',(0.,0.,1.)); #204801=DIRECTION('ref_axis',(6.98429910395754E-6,0.99999999997561,0.)); #204802=DIRECTION('',(0.,-1.,0.)); #204803=DIRECTION('',(-1.,0.,0.)); #204804=DIRECTION('center_axis',(0.,0.,1.)); #204805=DIRECTION('ref_axis',(5.98596958188543E-6,0.999999999982084,0.)); #204806=DIRECTION('',(0.,-1.,0.)); #204807=DIRECTION('center_axis',(0.,0.,1.)); #204808=DIRECTION('ref_axis',(-0.999999999982035,-5.99407181384501E-6,0.)); #204809=DIRECTION('',(1.,-1.52615433596124E-15,0.)); #204810=DIRECTION('',(-4.9915975949618E-6,-0.999999999987542,0.)); #204811=DIRECTION('center_axis',(0.,0.,1.)); #204812=DIRECTION('ref_axis',(-0.999999999939657,-1.09857007802823E-5,0.)); #204813=DIRECTION('',(1.,-1.61217663964297E-14,0.)); #204814=DIRECTION('center_axis',(0.,0.,1.)); #204815=DIRECTION('ref_axis',(-1.09775986774384E-5,-0.999999999939746,0.)); #204816=DIRECTION('',(0.,1.,0.)); #204817=DIRECTION('center_axis',(0.,0.,1.)); #204818=DIRECTION('ref_axis',(0.999999999939657,1.09857008246172E-5,0.)); #204819=DIRECTION('',(-1.,0.,0.)); #204820=DIRECTION('center_axis',(0.,0.,1.)); #204821=DIRECTION('ref_axis',(9.94290652367708E-7,0.999999999999506,0.)); #204822=DIRECTION('',(0.,-1.,0.)); #204823=DIRECTION('',(-1.,0.,0.)); #204824=DIRECTION('center_axis',(0.,0.,1.)); #204825=DIRECTION('ref_axis',(1.99259053291494E-6,0.999999999998015,0.)); #204826=DIRECTION('',(0.,-1.,0.)); #204827=DIRECTION('center_axis',(0.,0.,1.)); #204828=DIRECTION('ref_axis',(-0.999999999928192,-1.19840206821958E-5,0.)); #204829=DIRECTION('',(1.,0.,0.)); #204830=DIRECTION('',(0.997385175883451,-0.0722690177596043,0.)); #204831=DIRECTION('',(0.919140256596631,-0.393930436376118,0.)); #204832=DIRECTION('',(0.346897502441297,-0.937903045522292,0.)); #204833=DIRECTION('',(0.0646130352494893,-0.997910394612587,0.)); #204834=DIRECTION('',(0.959290493606059,0.282421225967601,0.)); #204835=DIRECTION('center_axis',(0.,0.,1.)); #204836=DIRECTION('ref_axis',(0.94313106281379,0.332421115989539,0.)); #204837=DIRECTION('',(-0.41097496625733,0.911646629517044,0.)); #204838=DIRECTION('',(-0.59076258774339,0.806845440541578,0.)); #204839=DIRECTION('',(-0.762765766157257,0.646674868831728,0.)); #204840=DIRECTION('',(-0.889038985275781,0.457831500292204,0.)); #204841=DIRECTION('center_axis',(0.,0.,1.)); #204842=DIRECTION('ref_axis',(-0.0530553321502824,0.99859157403336,0.)); #204843=DIRECTION('',(-0.999999999999684,-7.95252669015669E-7,0.)); #204844=DIRECTION('',(-0.158609070219456,0.987341462131577,0.)); #204845=DIRECTION('',(9.9766812148732E-5,0.999999995023292,0.)); #204846=DIRECTION('center_axis',(0.,0.,-1.)); #204847=DIRECTION('ref_axis',(-0.42421509319259,0.90556145827194,0.)); #204848=DIRECTION('',(0.965905076501489,0.258896471950957,0.)); #204849=DIRECTION('center_axis',(0.,0.,1.)); #204850=DIRECTION('ref_axis',(0.107537309628128,-0.994201049606137,0.)); #204851=DIRECTION('center_axis',(0.,0.,1.)); #204852=DIRECTION('ref_axis',(0.945107867600494,0.326758501954649,0.)); #204853=DIRECTION('',(-0.400960904092671,0.916095166120413,0.)); #204854=DIRECTION('center_axis',(0.,0.,1.)); #204855=DIRECTION('ref_axis',(-0.333939285077734,0.942594586172534,0.)); #204856=DIRECTION('center_axis',(0.,0.,1.)); #204857=DIRECTION('ref_axis',(-0.981912356793456,-0.189336007078213,0.)); #204858=DIRECTION('',(0.,-1.,0.)); #204859=DIRECTION('center_axis',(0.,0.,1.)); #204860=DIRECTION('ref_axis',(-0.230261512465624,0.973128786891562,0.)); #204861=DIRECTION('',(-0.861332748782586,-0.508041234423579,0.)); #204862=DIRECTION('',(-0.655572648336537,-0.755132109470269,0.)); #204863=DIRECTION('',(-0.399369450075854,-0.916790075396822,0.)); #204864=DIRECTION('center_axis',(0.,0.,1.)); #204865=DIRECTION('ref_axis',(-0.999945678078098,0.0104230942110752,0.)); #204866=DIRECTION('',(0.00730359461066846,-0.999973328397194,0.)); #204867=DIRECTION('',(0.0776673730774812,-0.996979327347986,0.)); #204868=DIRECTION('',(0.262897939511395,-0.96482364886059,0.)); #204869=DIRECTION('',(0.473606508067864,-0.880736552844132,0.)); #204870=DIRECTION('',(0.673303645997392,-0.739366080021676,0.)); #204871=DIRECTION('',(0.824949172070664,-0.565206920958976,0.)); #204872=DIRECTION('',(0.917786476504379,-0.39707427963251,0.)); #204873=DIRECTION('center_axis',(0.,0.,1.)); #204874=DIRECTION('ref_axis',(-0.101471323063903,-0.994838464573853,0.)); #204875=DIRECTION('',(0.996665199097887,-0.0815995153611134,0.)); #204876=DIRECTION('center_axis',(0.,0.,-1.)); #204877=DIRECTION('ref_axis',(0.0551860020327134,0.998476091441175,0.)); #204878=DIRECTION('center_axis',(0.,0.,-1.)); #204879=DIRECTION('ref_axis',(0.671147266168034,0.741324050004567,0.)); #204880=DIRECTION('center_axis',(0.,0.,-1.)); #204881=DIRECTION('ref_axis',(0.999997676547656,0.00215566678524861,0.)); #204882=DIRECTION('',(0.115854778571856,-0.993266162859717,0.)); #204883=DIRECTION('center_axis',(0.,0.,1.)); #204884=DIRECTION('ref_axis',(-0.998302792658688,-0.0582368798088061,0.)); #204885=DIRECTION('center_axis',(0.,0.,1.)); #204886=DIRECTION('ref_axis',(-0.300322578193836,-0.953837695327149,0.)); #204887=DIRECTION('center_axis',(0.,0.,1.)); #204888=DIRECTION('ref_axis',(0.50385906701133,-0.863785876586595,0.)); #204889=DIRECTION('',(0.941796288071437,0.336184103988965,0.)); #204890=DIRECTION('',(0.879975947692795,0.475018243315103,0.)); #204891=DIRECTION('',(0.767283285517447,0.641308318802706,0.)); #204892=DIRECTION('',(0.584040427547102,0.811724570892491,0.)); #204893=DIRECTION('',(0.337793700045249,0.941220174140854,0.)); #204894=DIRECTION('center_axis',(0.,0.,1.)); #204895=DIRECTION('ref_axis',(0.995464412902579,0.095134655328774,0.)); #204896=DIRECTION('center_axis',(0.,0.,1.)); #204897=DIRECTION('ref_axis',(0.0417795476693279,-0.999126853505873,0.)); #204898=DIRECTION('',(-5.79693231439915E-5,-0.999999998319779,0.)); #204899=DIRECTION('',(0.0167617454491507,-0.999859512076321,0.)); #204900=DIRECTION('center_axis',(0.,0.,1.)); #204901=DIRECTION('ref_axis',(-0.764808792770277,-0.644257332516496,0.)); #204902=DIRECTION('center_axis',(0.,0.,1.)); #204903=DIRECTION('ref_axis',(-0.0892479261723378,-0.996009441558631,0.)); #204904=DIRECTION('center_axis',(0.,0.,1.)); #204905=DIRECTION('ref_axis',(0.0721551597776282,-0.997393419327331,0.)); #204906=DIRECTION('center_axis',(0.,0.,1.)); #204907=DIRECTION('ref_axis',(0.89698225759852,-0.442066544259417,0.)); #204908=DIRECTION('center_axis',(0.,0.,1.)); #204909=DIRECTION('ref_axis',(0.991270859116214,0.131841131165513,0.)); #204910=DIRECTION('center_axis',(0.,0.,1.)); #204911=DIRECTION('ref_axis',(0.206571307347205,0.978431548439065,0.)); #204912=DIRECTION('center_axis',(0.,0.,1.)); #204913=DIRECTION('ref_axis',(-0.10252701044107,0.994730220778487,0.)); #204914=DIRECTION('center_axis',(0.,0.,1.)); #204915=DIRECTION('ref_axis',(-0.756381306964081,0.654130964314722,0.)); #204916=DIRECTION('center_axis',(0.,0.,1.)); #204917=DIRECTION('ref_axis',(-0.994585829130083,-0.103918374186786,0.)); #204918=DIRECTION('',(0.547042072723232,-0.837105113274713,0.)); #204919=DIRECTION('center_axis',(0.,0.,1.)); #204920=DIRECTION('ref_axis',(-0.831514126173147,-0.555503607526096,0.)); #204921=DIRECTION('center_axis',(0.,0.,1.)); #204922=DIRECTION('ref_axis',(-0.835948824018366,-0.548807401209487,0.)); #204923=DIRECTION('',(0.563249409967705,-0.826286936948075,0.)); #204924=DIRECTION('',(0.551940776528435,-0.833883312703395,0.)); #204925=DIRECTION('center_axis',(0.,0.,1.)); #204926=DIRECTION('ref_axis',(-0.831749143489366,-0.555151657031397,0.)); #204927=DIRECTION('center_axis',(0.,0.,1.)); #204928=DIRECTION('ref_axis',(-0.750781870962936,-0.660550211742751,0.)); #204929=DIRECTION('',(0.915833043006521,0.40155925756657,0.)); #204930=DIRECTION('',(0.50873025260041,0.860925972479122,0.)); #204931=DIRECTION('center_axis',(0.,0.,1.)); #204932=DIRECTION('ref_axis',(0.838382443756147,-0.545082450645286,0.)); #204933=DIRECTION('',(0.533061906643184,0.846076239877904,0.)); #204934=DIRECTION('',(0.541411752604501,0.840757583457754,0.)); #204935=DIRECTION('center_axis',(0.,0.,1.)); #204936=DIRECTION('ref_axis',(0.836172193805179,-0.54846701113835,0.)); #204937=DIRECTION('',(0.538761928907515,0.84245806065338,0.)); #204938=DIRECTION('',(0.54667306423792,0.837346141590635,0.)); #204939=DIRECTION('',(0.546580975194269,0.837406255980741,0.)); #204940=DIRECTION('center_axis',(0.,0.,1.)); #204941=DIRECTION('ref_axis',(0.904720962602274,-0.426004671134032,0.)); #204942=DIRECTION('',(0.309279612824984,0.950971146297735,0.)); #204943=DIRECTION('',(-0.704279754477106,0.709922550306488,0.)); #204944=DIRECTION('',(-0.999999999999922,3.95100670237901E-7,0.)); #204945=DIRECTION('center_axis',(0.,0.,-1.)); #204946=DIRECTION('ref_axis',(0.83098192466799,-0.556299416569066,0.)); #204947=DIRECTION('',(-0.737121564822661,-0.675760163573876,0.)); #204948=DIRECTION('',(-0.293849894013275,0.955851578326043,0.)); #204949=DIRECTION('',(-0.372322804588096,0.928103296612858,0.)); #204950=DIRECTION('center_axis',(0.,0.,-1.)); #204951=DIRECTION('ref_axis',(-0.84093068459395,-0.54114284963247,0.)); #204952=DIRECTION('',(0.113198740389536,0.993572365343472,0.)); #204953=DIRECTION('center_axis',(0.,0.,1.)); #204954=DIRECTION('ref_axis',(-0.318456541980662,-0.947937461476082,0.)); #204955=DIRECTION('center_axis',(0.,0.,1.)); #204956=DIRECTION('ref_axis',(0.0169121132072456,-0.99985697998607,0.)); #204957=DIRECTION('center_axis',(0.,0.,1.)); #204958=DIRECTION('ref_axis',(0.995843019932201,0.0910861111932822,0.)); #204959=DIRECTION('',(-0.491314939658638,0.870981991816265,0.)); #204960=DIRECTION('',(-0.839704819309113,0.543043107339601,0.)); #204961=DIRECTION('center_axis',(0.,0.,-1.)); #204962=DIRECTION('ref_axis',(-0.414153466237344,-0.910207067871698,0.)); #204963=DIRECTION('center_axis',(0.,0.,-1.)); #204964=DIRECTION('ref_axis',(-0.391405248251009,-0.920218415182812,0.)); #204965=DIRECTION('',(-0.917311177754163,0.398171072740438,0.)); #204966=DIRECTION('center_axis',(0.,0.,1.)); #204967=DIRECTION('ref_axis',(0.400261069376226,0.916401154703441,0.)); #204968=DIRECTION('',(-0.918271094578868,0.395952265886846,0.)); #204969=DIRECTION('',(-0.917794284095023,0.397056232897185,0.)); #204970=DIRECTION('center_axis',(0.,0.,1.)); #204971=DIRECTION('ref_axis',(0.39573566445246,0.918364461355278,0.)); #204972=DIRECTION('center_axis',(0.,0.,1.)); #204973=DIRECTION('ref_axis',(0.221650263316547,0.975126228122137,0.)); #204974=DIRECTION('',(-0.999999782065705,0.000660203409409364,0.)); #204975=DIRECTION('',(0.546718714288689,-0.837316336545826,0.)); #204976=DIRECTION('',(0.546719764468003,-0.837315650839068,0.)); #204977=DIRECTION('',(0.142121686540743,-0.989849193672862,0.)); #204978=DIRECTION('',(0.250226664115921,-0.968187283827575,0.)); #204979=DIRECTION('',(0.365691157064307,-0.930736255684159,0.)); #204980=DIRECTION('',(0.483570979007445,-0.875305151511049,0.)); #204981=DIRECTION('',(0.596965713731374,-0.802266749048713,0.)); #204982=DIRECTION('center_axis',(0.,0.,1.)); #204983=DIRECTION('ref_axis',(0.365962184506581,-0.930629722022229,0.)); #204984=DIRECTION('',(0.906667452143483,0.421846098978816,0.)); #204985=DIRECTION('',(0.806697900193845,0.590964041057356,0.)); #204986=DIRECTION('center_axis',(0.,0.,1.)); #204987=DIRECTION('ref_axis',(0.982948507543885,0.183881025441044,0.)); #204988=DIRECTION('',(-0.00347936193703308,0.999993947001936,0.)); #204989=DIRECTION('center_axis',(0.,0.,-1.)); #204990=DIRECTION('ref_axis',(-0.999993198461638,0.00368822863475142,0.)); #204991=DIRECTION('center_axis',(0.,0.,1.)); #204992=DIRECTION('ref_axis',(-0.584224187876561,-0.811592322721188,0.)); #204993=DIRECTION('center_axis',(0.,0.,1.)); #204994=DIRECTION('ref_axis',(0.147149331479522,-0.989114287757047,0.)); #204995=DIRECTION('',(0.955053117167259,0.296434720282732,0.)); #204996=DIRECTION('center_axis',(0.,0.,1.)); #204997=DIRECTION('ref_axis',(0.930710926231261,0.365755617583311,0.)); #204998=DIRECTION('',(-0.32112305220747,0.947037478319078,0.)); #204999=DIRECTION('',(-0.487458374001299,0.873146226937968,0.)); #205000=DIRECTION('',(-0.667839210605666,0.744305574866668,0.)); #205001=DIRECTION('',(-0.821926671579014,0.569593316803352,0.)); #205002=DIRECTION('',(-0.922526682765877,0.38593330976347,0.)); #205003=DIRECTION('center_axis',(0.,0.,-1.)); #205004=DIRECTION('ref_axis',(-0.054606962592723,-0.998507926676798,0.)); #205005=DIRECTION('center_axis',(0.,0.,-1.)); #205006=DIRECTION('ref_axis',(-0.729436023357455,-0.684049039052363,0.)); #205007=DIRECTION('center_axis',(0.,0.,-1.)); #205008=DIRECTION('ref_axis',(-0.990093874773109,0.140406976809458,0.)); #205009=DIRECTION('',(-0.0011967735875911,0.999999283866234,0.)); #205010=DIRECTION('center_axis',(0.,0.,1.)); #205011=DIRECTION('ref_axis',(0.970693704189506,0.240320062930366,0.)); #205012=DIRECTION('center_axis',(0.,0.,1.)); #205013=DIRECTION('ref_axis',(-0.367501039279153,0.930023110534756,0.)); #205014=DIRECTION('',(-0.888653343446609,-0.458579584348414,0.)); #205015=DIRECTION('',(-0.776183660462361,-0.630506879606599,0.)); #205016=DIRECTION('',(-0.599066661314734,-0.800699154053018,0.)); #205017=DIRECTION('',(-0.374236376487958,-0.927333345951262,0.)); #205018=DIRECTION('',(-0.147379006408549,-0.989080092040088,0.)); #205019=DIRECTION('',(-5.3095838116379E-5,-0.999999998590416,0.)); #205020=DIRECTION('',(0.0444714767466843,-0.999010654475701,0.)); #205021=DIRECTION('',(0.97939379185989,0.201959898163735,0.)); #205022=DIRECTION('',(0.95550333717259,0.294980291972267,0.)); #205023=DIRECTION('',(0.918684875824112,0.394991264374336,0.)); #205024=DIRECTION('',(0.86643985020169,0.499281469696677,0.)); #205025=DIRECTION('',(0.797864859902789,0.602836350374049,0.)); #205026=DIRECTION('',(0.713616857555233,0.700536209351804,0.)); #205027=DIRECTION('',(0.61738674792763,0.786659776195113,0.)); #205028=DIRECTION('',(0.514379579491779,0.857562620571734,0.)); #205029=DIRECTION('center_axis',(0.,0.,1.)); #205030=DIRECTION('ref_axis',(0.993384645658384,0.114834427634605,0.)); #205031=DIRECTION('',(-0.133337538685893,0.991070684046899,0.)); #205032=DIRECTION('',(-0.289480507909095,0.957183908943674,0.)); #205033=DIRECTION('',(-0.424514241052594,0.905421260598369,0.)); #205034=DIRECTION('',(-0.537267062889373,0.843412178673172,0.)); #205035=DIRECTION('',(-0.630333774929803,0.776324244232231,0.)); #205036=DIRECTION('center_axis',(0.,0.,1.)); #205037=DIRECTION('ref_axis',(-0.335651999770559,0.941986058840589,0.)); #205038=DIRECTION('',(-0.964192933315432,-0.265201786088598,0.)); #205039=DIRECTION('',(-0.940668191954816,-0.339327795272445,0.)); #205040=DIRECTION('',(-0.905848433036299,-0.423601955101346,0.)); #205041=DIRECTION('',(-0.857186260832333,-0.515006518638632,0.)); #205042=DIRECTION('',(-0.792206463079816,-0.61025316046258,0.)); #205043=DIRECTION('',(-0.712016126819469,-0.70216311149832,0.)); #205044=DIRECTION('',(-0.618829943805443,-0.785524984102831,0.)); #205045=DIRECTION('',(-0.518478613057739,-0.855090596253826,0.)); #205046=DIRECTION('',(-0.417097272190431,-0.90886185173067,0.)); #205047=DIRECTION('center_axis',(0.,0.,1.)); #205048=DIRECTION('ref_axis',(-0.99692325826441,-0.078383781048582,0.)); #205049=DIRECTION('',(0.,-1.,0.)); #205050=DIRECTION('center_axis',(0.,0.,1.)); #205051=DIRECTION('ref_axis',(-0.874977122046711,-0.484164265404682,0.)); #205052=DIRECTION('center_axis',(0.,0.,1.)); #205053=DIRECTION('ref_axis',(0.256664957545139,-0.966500439507583,0.)); #205054=DIRECTION('',(0.999309379566737,0.0371586317017018,0.)); #205055=DIRECTION('',(0.999509927633407,0.0313034273245294,0.)); #205056=DIRECTION('center_axis',(0.,0.,1.)); #205057=DIRECTION('ref_axis',(0.129692151724606,-0.99155430803413,0.)); #205058=DIRECTION('',(1.,0.,0.)); #205059=DIRECTION('',(0.994262235395114,0.106970123245283,0.)); #205060=DIRECTION('',(0.898514424111561,0.438943993766254,0.)); #205061=DIRECTION('',(0.547581364739095,0.836752441879001,0.)); #205062=DIRECTION('',(0.146728274831668,0.989176836245634,0.)); #205063=DIRECTION('',(0.,1.,0.)); #205064=DIRECTION('center_axis',(0.,0.,1.)); #205065=DIRECTION('ref_axis',(0.999999999800589,1.99705075611488E-5,0.)); #205066=DIRECTION('',(-1.,0.,0.)); #205067=DIRECTION('center_axis',(0.,0.,1.)); #205068=DIRECTION('ref_axis',(-4.0510072397858E-9,1.,0.)); #205069=DIRECTION('',(0.,-1.,0.)); #205070=DIRECTION('center_axis',(0.,0.,1.)); #205071=DIRECTION('ref_axis',(0.928174145593091,0.372146147974873,0.)); #205072=DIRECTION('center_axis',(0.,0.,1.)); #205073=DIRECTION('ref_axis',(0.403183389464136,0.91511920232296,0.)); #205074=DIRECTION('',(-1.,0.,0.)); #205075=DIRECTION('',(-0.994487034347274,-0.104859613365512,0.)); #205076=DIRECTION('',(-0.914349007076979,-0.404927022137745,0.)); #205077=DIRECTION('',(-0.630781423081914,-0.77596056362083,0.)); #205078=DIRECTION('',(-0.190019569622111,-0.981780302899089,0.)); #205079=DIRECTION('',(0.134652241396269,-0.990892917467352,0.)); #205080=DIRECTION('',(0.510637810795905,-0.85979592124269,0.)); #205081=DIRECTION('',(0.867509546350063,-0.497420533343275,0.)); #205082=DIRECTION('',(0.979122281516059,-0.203272127550206,0.)); #205083=DIRECTION('',(0.994125707891261,-0.108231589241304,0.)); #205084=DIRECTION('center_axis',(0.,0.,-1.)); #205085=DIRECTION('ref_axis',(0.171973125481002,0.985101641513349,0.)); #205086=DIRECTION('',(-0.126697609122563,-0.991941387302005,0.)); #205087=DIRECTION('',(-0.53350805865005,-0.845794981869397,0.)); #205088=DIRECTION('',(-0.928213165684811,-0.372048812724059,0.)); #205089=DIRECTION('',(-0.996823437515983,-0.079643169316768,0.)); #205090=DIRECTION('',(-1.,0.,0.)); #205091=DIRECTION('center_axis',(0.,0.,1.)); #205092=DIRECTION('ref_axis',(-9.9873790623635E-6,0.999999999950126,0.)); #205093=DIRECTION('',(0.,-1.,0.)); #205094=DIRECTION('center_axis',(0.,0.,1.)); #205095=DIRECTION('ref_axis',(-0.999999999800751,1.99624052207602E-5,0.)); #205096=DIRECTION('center_axis',(0.,0.,1.)); #205097=DIRECTION('ref_axis',(0.999999999975553,6.99240150516757E-6,0.)); #205098=DIRECTION('',(-1.,0.,0.)); #205099=DIRECTION('',(0.,1.,0.)); #205100=DIRECTION('center_axis',(0.,0.,1.)); #205101=DIRECTION('ref_axis',(0.999999999982035,5.99407176950995E-6,0.)); #205102=DIRECTION('',(-1.,0.,0.)); #205103=DIRECTION('center_axis',(0.,0.,1.)); #205104=DIRECTION('ref_axis',(5.98596922720522E-6,0.999999999982084,0.)); #205105=DIRECTION('',(0.,-1.,0.)); #205106=DIRECTION('',(-1.,0.,0.)); #205107=DIRECTION('center_axis',(0.,0.,1.)); #205108=DIRECTION('ref_axis',(6.38530177178913E-6,0.999999999979614,0.)); #205109=DIRECTION('',(0.,-1.,0.)); #205110=DIRECTION('center_axis',(0.,0.,1.)); #205111=DIRECTION('ref_axis',(-0.999999999979562,-6.39340400051069E-6,0.)); #205112=DIRECTION('',(1.,0.,0.)); #205113=DIRECTION('center_axis',(0.,0.,1.)); #205114=DIRECTION('ref_axis',(-6.98429910395692E-6,-0.99999999997561,0.)); #205115=DIRECTION('',(0.,1.,0.)); #205116=DIRECTION('center_axis',(0.,0.,1.)); #205117=DIRECTION('ref_axis',(0.999999999975553,6.99240150516757E-6,0.)); #205118=DIRECTION('',(0.,0.,1.)); #205119=DIRECTION('center_axis',(0.,0.,1.)); #205120=DIRECTION('ref_axis',(0.999999999975553,6.99240150516757E-6,0.)); #205121=DIRECTION('',(0.,0.,1.)); #205122=DIRECTION('center_axis',(1.,0.,0.)); #205123=DIRECTION('ref_axis',(0.,1.,0.)); #205124=DIRECTION('',(0.,0.,1.)); #205125=DIRECTION('',(0.,1.,0.)); #205126=DIRECTION('center_axis',(0.,0.,1.)); #205127=DIRECTION('ref_axis',(-6.98429910395692E-6,-0.99999999997561,0.)); #205128=DIRECTION('',(0.,0.,1.)); #205129=DIRECTION('center_axis',(0.,0.,1.)); #205130=DIRECTION('ref_axis',(-6.98429910395692E-6,-0.99999999997561,0.)); #205131=DIRECTION('center_axis',(0.,-1.,0.)); #205132=DIRECTION('ref_axis',(1.,0.,0.)); #205133=DIRECTION('',(0.,0.,1.)); #205134=DIRECTION('',(1.,0.,0.)); #205135=DIRECTION('center_axis',(0.,0.,1.)); #205136=DIRECTION('ref_axis',(-0.999999999979562,-6.39340400051069E-6,0.)); #205137=DIRECTION('',(0.,0.,1.)); #205138=DIRECTION('center_axis',(0.,0.,1.)); #205139=DIRECTION('ref_axis',(-0.999999999979562,-6.39340400051069E-6,0.)); #205140=DIRECTION('center_axis',(-1.,0.,0.)); #205141=DIRECTION('ref_axis',(0.,-1.,0.)); #205142=DIRECTION('',(0.,0.,1.)); #205143=DIRECTION('',(0.,-1.,0.)); #205144=DIRECTION('center_axis',(0.,0.,1.)); #205145=DIRECTION('ref_axis',(6.38530177178913E-6,0.999999999979614,0.)); #205146=DIRECTION('',(0.,0.,1.)); #205147=DIRECTION('center_axis',(0.,0.,1.)); #205148=DIRECTION('ref_axis',(6.38530177178913E-6,0.999999999979614,0.)); #205149=DIRECTION('center_axis',(0.,1.,0.)); #205150=DIRECTION('ref_axis',(-1.,0.,0.)); #205151=DIRECTION('',(0.,0.,1.)); #205152=DIRECTION('',(-1.,0.,0.)); #205153=DIRECTION('center_axis',(-1.,0.,0.)); #205154=DIRECTION('ref_axis',(0.,-1.,0.)); #205155=DIRECTION('',(0.,0.,1.)); #205156=DIRECTION('',(0.,-1.,0.)); #205157=DIRECTION('center_axis',(0.,0.,1.)); #205158=DIRECTION('ref_axis',(5.98596922720522E-6,0.999999999982084,0.)); #205159=DIRECTION('',(0.,0.,1.)); #205160=DIRECTION('center_axis',(0.,0.,1.)); #205161=DIRECTION('ref_axis',(5.98596922720522E-6,0.999999999982084,0.)); #205162=DIRECTION('center_axis',(0.,1.,0.)); #205163=DIRECTION('ref_axis',(-1.,0.,0.)); #205164=DIRECTION('',(0.,0.,1.)); #205165=DIRECTION('',(-1.,0.,0.)); #205166=DIRECTION('center_axis',(0.,0.,1.)); #205167=DIRECTION('ref_axis',(0.999999999982035,5.99407176950995E-6,0.)); #205168=DIRECTION('',(0.,0.,1.)); #205169=DIRECTION('center_axis',(0.,0.,1.)); #205170=DIRECTION('ref_axis',(0.999999999982035,5.99407176950995E-6,0.)); #205171=DIRECTION('center_axis',(1.,0.,0.)); #205172=DIRECTION('ref_axis',(0.,1.,0.)); #205173=DIRECTION('',(0.,0.,1.)); #205174=DIRECTION('',(0.,1.,0.)); #205175=DIRECTION('center_axis',(0.,1.,0.)); #205176=DIRECTION('ref_axis',(-1.,0.,0.)); #205177=DIRECTION('',(-1.,0.,0.)); #205178=DIRECTION('center_axis',(0.,0.,-1.)); #205179=DIRECTION('ref_axis',(-1.,0.,0.)); #205180=DIRECTION('center_axis',(0.431987878046207,0.901879411684919,0.)); #205181=DIRECTION('ref_axis',(-0.901879411684919,0.431987878046207,0.)); #205182=DIRECTION('',(-0.901879411684919,0.431987878046207,0.)); #205183=DIRECTION('',(0.,0.,1.)); #205184=DIRECTION('',(-0.901879411684919,0.431987878046207,0.)); #205185=DIRECTION('',(0.,0.,1.)); #205186=DIRECTION('center_axis',(0.174930150797882,0.984580846016126,0.)); #205187=DIRECTION('ref_axis',(-0.984580846016126,0.174930150797882,0.)); #205188=DIRECTION('',(-0.984580846016126,0.174930150797882,0.)); #205189=DIRECTION('',(0.,0.,1.)); #205190=DIRECTION('',(-0.984580846016126,0.174930150797882,0.)); #205191=DIRECTION('center_axis',(0.105075205154425,0.994464278524752,0.)); #205192=DIRECTION('ref_axis',(-0.994464278524752,0.105075205154425,0.)); #205193=DIRECTION('',(-0.994464278524752,0.105075205154425,0.)); #205194=DIRECTION('',(0.,0.,1.)); #205195=DIRECTION('',(-0.994464278524752,0.105075205154425,0.)); #205196=DIRECTION('center_axis',(-1.,0.,0.)); #205197=DIRECTION('ref_axis',(0.,-1.,0.)); #205198=DIRECTION('',(0.,-1.,0.)); #205199=DIRECTION('',(0.,0.,1.)); #205200=DIRECTION('',(0.,-1.,0.)); #205201=DIRECTION('center_axis',(-0.992828301102607,-0.119549004720707, 0.)); #205202=DIRECTION('ref_axis',(0.119549004720707,-0.992828301102607,0.)); #205203=DIRECTION('',(0.119549004720707,-0.992828301102607,0.)); #205204=DIRECTION('',(0.,0.,1.)); #205205=DIRECTION('',(0.119549004720707,-0.992828301102607,0.)); #205206=DIRECTION('center_axis',(-0.873771513763821,-0.486336654730939, 0.)); #205207=DIRECTION('ref_axis',(0.486336654730939,-0.873771513763821,0.)); #205208=DIRECTION('',(0.486336654730939,-0.873771513763821,0.)); #205209=DIRECTION('',(0.,0.,1.)); #205210=DIRECTION('',(0.486336654730939,-0.873771513763821,0.)); #205211=DIRECTION('center_axis',(-0.465304139023632,-0.885150867483886, 0.)); #205212=DIRECTION('ref_axis',(0.885150867483886,-0.465304139023632,0.)); #205213=DIRECTION('',(0.885150867483886,-0.465304139023632,0.)); #205214=DIRECTION('',(0.,0.,1.)); #205215=DIRECTION('',(0.885150867483886,-0.465304139023632,0.)); #205216=DIRECTION('center_axis',(-0.110638872621128,-0.993860674272368, 0.)); #205217=DIRECTION('ref_axis',(0.993860674272368,-0.110638872621128,0.)); #205218=DIRECTION('',(0.993860674272368,-0.110638872621128,0.)); #205219=DIRECTION('',(0.,0.,1.)); #205220=DIRECTION('',(0.993860674272368,-0.110638872621128,0.)); #205221=DIRECTION('center_axis',(0.,-1.,0.)); #205222=DIRECTION('ref_axis',(1.,0.,0.)); #205223=DIRECTION('',(1.,0.,0.)); #205224=DIRECTION('',(0.,0.,1.)); #205225=DIRECTION('',(1.,0.,0.)); #205226=DIRECTION('center_axis',(0.0939849562461443,-0.995573617568993, 0.)); #205227=DIRECTION('ref_axis',(0.995573617568992,0.0939849562461443,0.)); #205228=DIRECTION('',(0.995573617568992,0.0939849562461443,0.)); #205229=DIRECTION('',(0.,0.,1.)); #205230=DIRECTION('',(0.995573617568992,0.0939849562461443,0.)); #205231=DIRECTION('center_axis',(0.368605406285286,-0.929585958616662,0.)); #205232=DIRECTION('ref_axis',(0.929585958616662,0.368605406285286,0.)); #205233=DIRECTION('',(0.929585958616662,0.368605406285286,0.)); #205234=DIRECTION('',(0.,0.,1.)); #205235=DIRECTION('',(0.929585958616662,0.368605406285286,0.)); #205236=DIRECTION('center_axis',(0.740381537478083,-0.672186863127798,0.)); #205237=DIRECTION('ref_axis',(0.672186863127798,0.740381537478083,0.)); #205238=DIRECTION('',(0.672186863127798,0.740381537478083,0.)); #205239=DIRECTION('',(0.,0.,1.)); #205240=DIRECTION('',(0.672186863127798,0.740381537478083,0.)); #205241=DIRECTION('center_axis',(0.97742392902585,-0.211287630891331,0.)); #205242=DIRECTION('ref_axis',(0.211287630891331,0.977423929025849,0.)); #205243=DIRECTION('',(0.211287630891331,0.977423929025849,0.)); #205244=DIRECTION('',(0.,0.,1.)); #205245=DIRECTION('',(0.211287630891331,0.977423929025849,0.)); #205246=DIRECTION('center_axis',(0.,0.,1.)); #205247=DIRECTION('ref_axis',(-0.721234784138591,-0.692690685767147,0.)); #205248=DIRECTION('center_axis',(0.,0.,-1.)); #205249=DIRECTION('ref_axis',(-0.721234784138591,-0.692690685767147,0.)); #205250=DIRECTION('center_axis',(0.,0.,-1.)); #205251=DIRECTION('ref_axis',(-0.721234784138591,-0.692690685767147,0.)); #205252=DIRECTION('center_axis',(0.,-1.,0.)); #205253=DIRECTION('ref_axis',(1.,0.,0.)); #205254=DIRECTION('',(0.,0.,1.)); #205255=DIRECTION('',(1.,0.,0.)); #205256=DIRECTION('',(0.,0.,1.)); #205257=DIRECTION('center_axis',(0.,0.,1.)); #205258=DIRECTION('ref_axis',(-0.999999999800751,1.99624052207602E-5,0.)); #205259=DIRECTION('',(0.,0.,1.)); #205260=DIRECTION('center_axis',(0.,0.,1.)); #205261=DIRECTION('ref_axis',(-0.999999999800751,1.99624052207602E-5,0.)); #205262=DIRECTION('center_axis',(-1.,0.,0.)); #205263=DIRECTION('ref_axis',(0.,-1.,0.)); #205264=DIRECTION('',(0.,0.,1.)); #205265=DIRECTION('',(0.,-1.,0.)); #205266=DIRECTION('center_axis',(0.,0.,1.)); #205267=DIRECTION('ref_axis',(-9.9873790623635E-6,0.999999999950126,0.)); #205268=DIRECTION('',(0.,0.,1.)); #205269=DIRECTION('center_axis',(0.,0.,1.)); #205270=DIRECTION('ref_axis',(-9.9873790623635E-6,0.999999999950126,0.)); #205271=DIRECTION('center_axis',(0.,1.,0.)); #205272=DIRECTION('ref_axis',(-1.,0.,0.)); #205273=DIRECTION('',(0.,0.,1.)); #205274=DIRECTION('',(-1.,0.,0.)); #205275=DIRECTION('center_axis',(-0.079643169316768,0.996823437515983,0.)); #205276=DIRECTION('ref_axis',(-0.996823437515983,-0.079643169316768,0.)); #205277=DIRECTION('',(0.,0.,1.)); #205278=DIRECTION('',(-0.996823437515983,-0.079643169316768,0.)); #205279=DIRECTION('center_axis',(-0.372048812724059,0.928213165684811,0.)); #205280=DIRECTION('ref_axis',(-0.928213165684811,-0.372048812724059,0.)); #205281=DIRECTION('',(0.,0.,1.)); #205282=DIRECTION('',(-0.928213165684811,-0.372048812724059,0.)); #205283=DIRECTION('center_axis',(-0.845794981869397,0.533508058650051,0.)); #205284=DIRECTION('ref_axis',(-0.53350805865005,-0.845794981869397,0.)); #205285=DIRECTION('',(0.,0.,1.)); #205286=DIRECTION('',(-0.53350805865005,-0.845794981869397,0.)); #205287=DIRECTION('center_axis',(-0.991941387302005,0.126697609122563,0.)); #205288=DIRECTION('ref_axis',(-0.126697609122563,-0.991941387302005,0.)); #205289=DIRECTION('',(0.,0.,1.)); #205290=DIRECTION('',(-0.126697609122563,-0.991941387302005,0.)); #205291=DIRECTION('center_axis',(0.,0.,1.)); #205292=DIRECTION('ref_axis',(0.171973125481002,0.985101641513349,0.)); #205293=DIRECTION('',(0.,0.,1.)); #205294=DIRECTION('center_axis',(0.,0.,-1.)); #205295=DIRECTION('ref_axis',(0.171973125481002,0.985101641513349,0.)); #205296=DIRECTION('center_axis',(-0.108231589241304,-0.994125707891261, 0.)); #205297=DIRECTION('ref_axis',(0.994125707891261,-0.108231589241304,0.)); #205298=DIRECTION('',(0.,0.,1.)); #205299=DIRECTION('',(0.994125707891261,-0.108231589241304,0.)); #205300=DIRECTION('center_axis',(-0.203272127550206,-0.979122281516059, 0.)); #205301=DIRECTION('ref_axis',(0.979122281516059,-0.203272127550206,0.)); #205302=DIRECTION('',(0.,0.,1.)); #205303=DIRECTION('',(0.979122281516059,-0.203272127550206,0.)); #205304=DIRECTION('center_axis',(-0.497420533343275,-0.867509546350063, 0.)); #205305=DIRECTION('ref_axis',(0.867509546350063,-0.497420533343275,0.)); #205306=DIRECTION('',(0.,0.,1.)); #205307=DIRECTION('',(0.867509546350063,-0.497420533343275,0.)); #205308=DIRECTION('center_axis',(-0.85979592124269,-0.510637810795905,0.)); #205309=DIRECTION('ref_axis',(0.510637810795905,-0.85979592124269,0.)); #205310=DIRECTION('',(0.,0.,1.)); #205311=DIRECTION('',(0.510637810795905,-0.85979592124269,0.)); #205312=DIRECTION('center_axis',(-0.990892917467352,-0.134652241396269, 0.)); #205313=DIRECTION('ref_axis',(0.134652241396269,-0.990892917467352,0.)); #205314=DIRECTION('',(0.,0.,1.)); #205315=DIRECTION('',(0.134652241396269,-0.990892917467352,0.)); #205316=DIRECTION('center_axis',(-0.98178030289909,0.190019569622111,0.)); #205317=DIRECTION('ref_axis',(-0.190019569622111,-0.981780302899089,0.)); #205318=DIRECTION('',(0.,0.,1.)); #205319=DIRECTION('',(-0.190019569622111,-0.981780302899089,0.)); #205320=DIRECTION('center_axis',(-0.77596056362083,0.630781423081914,0.)); #205321=DIRECTION('ref_axis',(-0.630781423081914,-0.77596056362083,0.)); #205322=DIRECTION('',(0.,0.,1.)); #205323=DIRECTION('',(-0.630781423081914,-0.77596056362083,0.)); #205324=DIRECTION('center_axis',(-0.404927022137745,0.914349007076979,0.)); #205325=DIRECTION('ref_axis',(-0.914349007076979,-0.404927022137745,0.)); #205326=DIRECTION('',(0.,0.,1.)); #205327=DIRECTION('',(-0.914349007076979,-0.404927022137745,0.)); #205328=DIRECTION('center_axis',(-0.104859613365512,0.994487034347274,0.)); #205329=DIRECTION('ref_axis',(-0.994487034347274,-0.104859613365512,0.)); #205330=DIRECTION('',(0.,0.,1.)); #205331=DIRECTION('',(-0.994487034347274,-0.104859613365512,0.)); #205332=DIRECTION('center_axis',(0.,1.,0.)); #205333=DIRECTION('ref_axis',(-1.,0.,0.)); #205334=DIRECTION('',(0.,0.,1.)); #205335=DIRECTION('',(-1.,0.,0.)); #205336=DIRECTION('center_axis',(0.,0.,1.)); #205337=DIRECTION('ref_axis',(0.403183389464136,0.91511920232296,0.)); #205338=DIRECTION('',(0.,0.,1.)); #205339=DIRECTION('center_axis',(0.,0.,1.)); #205340=DIRECTION('ref_axis',(0.403183389464136,0.91511920232296,0.)); #205341=DIRECTION('center_axis',(0.,0.,1.)); #205342=DIRECTION('ref_axis',(0.928174145593091,0.372146147974873,0.)); #205343=DIRECTION('',(0.,0.,1.)); #205344=DIRECTION('center_axis',(0.,0.,1.)); #205345=DIRECTION('ref_axis',(0.928174145593091,0.372146147974873,0.)); #205346=DIRECTION('center_axis',(-1.,0.,0.)); #205347=DIRECTION('ref_axis',(0.,-1.,0.)); #205348=DIRECTION('',(0.,0.,1.)); #205349=DIRECTION('',(0.,-1.,0.)); #205350=DIRECTION('center_axis',(0.,0.,1.)); #205351=DIRECTION('ref_axis',(-4.0510072397858E-9,1.,0.)); #205352=DIRECTION('',(0.,0.,1.)); #205353=DIRECTION('center_axis',(0.,0.,1.)); #205354=DIRECTION('ref_axis',(-4.0510072397858E-9,1.,0.)); #205355=DIRECTION('center_axis',(0.,1.,0.)); #205356=DIRECTION('ref_axis',(-1.,0.,0.)); #205357=DIRECTION('',(0.,0.,1.)); #205358=DIRECTION('',(-1.,0.,0.)); #205359=DIRECTION('center_axis',(0.,0.,1.)); #205360=DIRECTION('ref_axis',(0.999999999800589,1.99705075611488E-5,0.)); #205361=DIRECTION('',(0.,0.,1.)); #205362=DIRECTION('center_axis',(0.,0.,1.)); #205363=DIRECTION('ref_axis',(0.999999999800589,1.99705075611488E-5,0.)); #205364=DIRECTION('center_axis',(1.,0.,0.)); #205365=DIRECTION('ref_axis',(0.,1.,0.)); #205366=DIRECTION('',(0.,0.,1.)); #205367=DIRECTION('',(0.,1.,0.)); #205368=DIRECTION('center_axis',(0.989176836245634,-0.146728274831668,0.)); #205369=DIRECTION('ref_axis',(0.146728274831668,0.989176836245634,0.)); #205370=DIRECTION('',(0.,0.,1.)); #205371=DIRECTION('',(0.146728274831668,0.989176836245634,0.)); #205372=DIRECTION('center_axis',(0.836752441879001,-0.547581364739095,0.)); #205373=DIRECTION('ref_axis',(0.547581364739095,0.836752441879001,0.)); #205374=DIRECTION('',(0.,0.,1.)); #205375=DIRECTION('',(0.547581364739095,0.836752441879001,0.)); #205376=DIRECTION('center_axis',(0.438943993766254,-0.898514424111561,0.)); #205377=DIRECTION('ref_axis',(0.898514424111561,0.438943993766254,0.)); #205378=DIRECTION('',(0.,0.,1.)); #205379=DIRECTION('',(0.898514424111561,0.438943993766254,0.)); #205380=DIRECTION('center_axis',(0.106970123245283,-0.994262235395114,0.)); #205381=DIRECTION('ref_axis',(0.994262235395114,0.106970123245283,0.)); #205382=DIRECTION('',(0.994262235395114,0.106970123245283,0.)); #205383=DIRECTION('center_axis',(0.,0.,-1.)); #205384=DIRECTION('ref_axis',(-1.,0.,0.)); #205385=DIRECTION('center_axis',(0.201959898163735,-0.97939379185989,0.)); #205386=DIRECTION('ref_axis',(0.97939379185989,0.201959898163735,0.)); #205387=DIRECTION('',(0.,0.,1.)); #205388=DIRECTION('',(0.97939379185989,0.201959898163735,0.)); #205389=DIRECTION('',(0.,0.,1.)); #205390=DIRECTION('center_axis',(0.,0.,1.)); #205391=DIRECTION('ref_axis',(0.129692151724606,-0.99155430803413,0.)); #205392=DIRECTION('',(0.,0.,1.)); #205393=DIRECTION('center_axis',(0.,0.,1.)); #205394=DIRECTION('ref_axis',(0.129692151724606,-0.99155430803413,0.)); #205395=DIRECTION('center_axis',(0.0313034273245294,-0.999509927633407, 0.)); #205396=DIRECTION('ref_axis',(0.999509927633407,0.0313034273245294,0.)); #205397=DIRECTION('',(0.,0.,1.)); #205398=DIRECTION('',(0.999509927633407,0.0313034273245294,0.)); #205399=DIRECTION('center_axis',(0.0371586317017018,-0.999309379566737, 0.)); #205400=DIRECTION('ref_axis',(0.999309379566737,0.0371586317017018,0.)); #205401=DIRECTION('',(0.,0.,1.)); #205402=DIRECTION('',(0.999309379566737,0.0371586317017018,0.)); #205403=DIRECTION('center_axis',(0.,0.,1.)); #205404=DIRECTION('ref_axis',(0.256664957545139,-0.966500439507583,0.)); #205405=DIRECTION('',(0.,0.,1.)); #205406=DIRECTION('center_axis',(0.,0.,1.)); #205407=DIRECTION('ref_axis',(0.256664957545139,-0.966500439507583,0.)); #205408=DIRECTION('center_axis',(0.,0.,1.)); #205409=DIRECTION('ref_axis',(-0.874977122046711,-0.484164265404682,0.)); #205410=DIRECTION('',(0.,0.,1.)); #205411=DIRECTION('center_axis',(0.,0.,1.)); #205412=DIRECTION('ref_axis',(-0.874977122046711,-0.484164265404682,0.)); #205413=DIRECTION('center_axis',(-1.,0.,0.)); #205414=DIRECTION('ref_axis',(0.,-1.,0.)); #205415=DIRECTION('',(0.,0.,1.)); #205416=DIRECTION('',(0.,-1.,0.)); #205417=DIRECTION('center_axis',(0.,0.,1.)); #205418=DIRECTION('ref_axis',(-0.99692325826441,-0.078383781048582,0.)); #205419=DIRECTION('',(0.,0.,1.)); #205420=DIRECTION('center_axis',(0.,0.,1.)); #205421=DIRECTION('ref_axis',(-0.99692325826441,-0.078383781048582,0.)); #205422=DIRECTION('center_axis',(-0.90886185173067,0.417097272190431,0.)); #205423=DIRECTION('ref_axis',(-0.417097272190431,-0.90886185173067,0.)); #205424=DIRECTION('',(0.,0.,1.)); #205425=DIRECTION('',(-0.417097272190431,-0.90886185173067,0.)); #205426=DIRECTION('center_axis',(-0.855090596253826,0.518478613057739,0.)); #205427=DIRECTION('ref_axis',(-0.518478613057739,-0.855090596253826,0.)); #205428=DIRECTION('',(0.,0.,1.)); #205429=DIRECTION('',(-0.518478613057739,-0.855090596253826,0.)); #205430=DIRECTION('center_axis',(-0.785524984102831,0.618829943805443,0.)); #205431=DIRECTION('ref_axis',(-0.618829943805443,-0.785524984102831,0.)); #205432=DIRECTION('',(0.,0.,1.)); #205433=DIRECTION('',(-0.618829943805443,-0.785524984102831,0.)); #205434=DIRECTION('center_axis',(-0.70216311149832,0.712016126819469,0.)); #205435=DIRECTION('ref_axis',(-0.712016126819469,-0.70216311149832,0.)); #205436=DIRECTION('',(0.,0.,1.)); #205437=DIRECTION('',(-0.712016126819469,-0.70216311149832,0.)); #205438=DIRECTION('center_axis',(-0.610253160462581,0.792206463079816,0.)); #205439=DIRECTION('ref_axis',(-0.792206463079816,-0.61025316046258,0.)); #205440=DIRECTION('',(0.,0.,1.)); #205441=DIRECTION('',(-0.792206463079816,-0.61025316046258,0.)); #205442=DIRECTION('center_axis',(-0.515006518638632,0.857186260832333,0.)); #205443=DIRECTION('ref_axis',(-0.857186260832333,-0.515006518638632,0.)); #205444=DIRECTION('',(0.,0.,1.)); #205445=DIRECTION('',(-0.857186260832333,-0.515006518638632,0.)); #205446=DIRECTION('center_axis',(-0.423601955101346,0.905848433036299,0.)); #205447=DIRECTION('ref_axis',(-0.905848433036299,-0.423601955101346,0.)); #205448=DIRECTION('',(0.,0.,1.)); #205449=DIRECTION('',(-0.905848433036299,-0.423601955101346,0.)); #205450=DIRECTION('center_axis',(-0.339327795272445,0.940668191954816,0.)); #205451=DIRECTION('ref_axis',(-0.940668191954816,-0.339327795272445,0.)); #205452=DIRECTION('',(0.,0.,1.)); #205453=DIRECTION('',(-0.940668191954816,-0.339327795272445,0.)); #205454=DIRECTION('center_axis',(-0.265201786088598,0.964192933315432,0.)); #205455=DIRECTION('ref_axis',(-0.964192933315432,-0.265201786088598,0.)); #205456=DIRECTION('',(0.,0.,1.)); #205457=DIRECTION('',(-0.964192933315432,-0.265201786088598,0.)); #205458=DIRECTION('center_axis',(0.,0.,1.)); #205459=DIRECTION('ref_axis',(-0.335651999770559,0.941986058840589,0.)); #205460=DIRECTION('',(0.,0.,1.)); #205461=DIRECTION('center_axis',(0.,0.,1.)); #205462=DIRECTION('ref_axis',(-0.335651999770559,0.941986058840589,0.)); #205463=DIRECTION('center_axis',(0.776324244232231,0.630333774929803,0.)); #205464=DIRECTION('ref_axis',(-0.630333774929803,0.776324244232231,0.)); #205465=DIRECTION('',(0.,0.,1.)); #205466=DIRECTION('',(-0.630333774929803,0.776324244232231,0.)); #205467=DIRECTION('center_axis',(0.843412178673172,0.537267062889373,0.)); #205468=DIRECTION('ref_axis',(-0.537267062889373,0.843412178673172,0.)); #205469=DIRECTION('',(0.,0.,1.)); #205470=DIRECTION('',(-0.537267062889373,0.843412178673172,0.)); #205471=DIRECTION('center_axis',(0.905421260598369,0.424514241052594,0.)); #205472=DIRECTION('ref_axis',(-0.424514241052594,0.905421260598369,0.)); #205473=DIRECTION('',(0.,0.,1.)); #205474=DIRECTION('',(-0.424514241052594,0.905421260598369,0.)); #205475=DIRECTION('center_axis',(0.957183908943675,0.289480507909095,0.)); #205476=DIRECTION('ref_axis',(-0.289480507909095,0.957183908943674,0.)); #205477=DIRECTION('',(0.,0.,1.)); #205478=DIRECTION('',(-0.289480507909095,0.957183908943674,0.)); #205479=DIRECTION('center_axis',(0.991070684046899,0.133337538685893,0.)); #205480=DIRECTION('ref_axis',(-0.133337538685893,0.991070684046899,0.)); #205481=DIRECTION('',(0.,0.,1.)); #205482=DIRECTION('',(-0.133337538685893,0.991070684046899,0.)); #205483=DIRECTION('center_axis',(0.,0.,1.)); #205484=DIRECTION('ref_axis',(0.993384645658384,0.114834427634605,0.)); #205485=DIRECTION('',(0.,0.,1.)); #205486=DIRECTION('center_axis',(0.,0.,1.)); #205487=DIRECTION('ref_axis',(0.993384645658384,0.114834427634605,0.)); #205488=DIRECTION('center_axis',(0.857562620571734,-0.514379579491779,0.)); #205489=DIRECTION('ref_axis',(0.514379579491779,0.857562620571734,0.)); #205490=DIRECTION('',(0.,0.,1.)); #205491=DIRECTION('',(0.514379579491779,0.857562620571734,0.)); #205492=DIRECTION('center_axis',(0.786659776195113,-0.61738674792763,0.)); #205493=DIRECTION('ref_axis',(0.61738674792763,0.786659776195113,0.)); #205494=DIRECTION('',(0.,0.,1.)); #205495=DIRECTION('',(0.61738674792763,0.786659776195113,0.)); #205496=DIRECTION('center_axis',(0.700536209351804,-0.713616857555233,0.)); #205497=DIRECTION('ref_axis',(0.713616857555233,0.700536209351804,0.)); #205498=DIRECTION('',(0.,0.,1.)); #205499=DIRECTION('',(0.713616857555233,0.700536209351804,0.)); #205500=DIRECTION('center_axis',(0.602836350374049,-0.797864859902789,0.)); #205501=DIRECTION('ref_axis',(0.797864859902789,0.602836350374049,0.)); #205502=DIRECTION('',(0.,0.,1.)); #205503=DIRECTION('',(0.797864859902789,0.602836350374049,0.)); #205504=DIRECTION('center_axis',(0.499281469696677,-0.86643985020169,0.)); #205505=DIRECTION('ref_axis',(0.86643985020169,0.499281469696677,0.)); #205506=DIRECTION('',(0.,0.,1.)); #205507=DIRECTION('',(0.86643985020169,0.499281469696677,0.)); #205508=DIRECTION('center_axis',(0.394991264374336,-0.918684875824112,0.)); #205509=DIRECTION('ref_axis',(0.918684875824112,0.394991264374336,0.)); #205510=DIRECTION('',(0.,0.,1.)); #205511=DIRECTION('',(0.918684875824112,0.394991264374336,0.)); #205512=DIRECTION('center_axis',(0.294980291972267,-0.95550333717259,0.)); #205513=DIRECTION('ref_axis',(0.95550333717259,0.294980291972267,0.)); #205514=DIRECTION('',(0.95550333717259,0.294980291972266,0.)); #205515=DIRECTION('center_axis',(0.,0.,-1.)); #205516=DIRECTION('ref_axis',(-1.,0.,0.)); #205517=DIRECTION('center_axis',(-0.989849193672862,-0.142121686540743, 0.)); #205518=DIRECTION('ref_axis',(0.142121686540743,-0.989849193672862,0.)); #205519=DIRECTION('',(0.,0.,1.)); #205520=DIRECTION('',(0.142121686540743,-0.989849193672862,0.)); #205521=DIRECTION('',(0.,0.,1.)); #205522=DIRECTION('center_axis',(-0.999010654475701,-0.0444714767466843, 0.)); #205523=DIRECTION('ref_axis',(0.0444714767466843,-0.999010654475701,0.)); #205524=DIRECTION('',(0.,0.,1.)); #205525=DIRECTION('',(0.0444714767466843,-0.999010654475701,0.)); #205526=DIRECTION('center_axis',(-0.999999998590416,5.3095838116379E-5, 0.)); #205527=DIRECTION('ref_axis',(-5.3095838116379E-5,-0.999999998590416,0.)); #205528=DIRECTION('',(0.,0.,1.)); #205529=DIRECTION('',(-5.3095838116379E-5,-0.999999998590416,0.)); #205530=DIRECTION('center_axis',(-0.989080092040088,0.147379006408549,0.)); #205531=DIRECTION('ref_axis',(-0.147379006408549,-0.989080092040088,0.)); #205532=DIRECTION('',(0.,0.,1.)); #205533=DIRECTION('',(-0.147379006408549,-0.989080092040088,0.)); #205534=DIRECTION('center_axis',(-0.927333345951262,0.374236376487958,0.)); #205535=DIRECTION('ref_axis',(-0.374236376487958,-0.927333345951262,0.)); #205536=DIRECTION('',(0.,0.,1.)); #205537=DIRECTION('',(-0.374236376487958,-0.927333345951262,0.)); #205538=DIRECTION('center_axis',(-0.800699154053018,0.599066661314734,0.)); #205539=DIRECTION('ref_axis',(-0.599066661314734,-0.800699154053018,0.)); #205540=DIRECTION('',(0.,0.,1.)); #205541=DIRECTION('',(-0.599066661314734,-0.800699154053018,0.)); #205542=DIRECTION('center_axis',(-0.630506879606599,0.776183660462361,0.)); #205543=DIRECTION('ref_axis',(-0.776183660462361,-0.630506879606599,0.)); #205544=DIRECTION('',(0.,0.,1.)); #205545=DIRECTION('',(-0.776183660462361,-0.630506879606599,0.)); #205546=DIRECTION('center_axis',(-0.458579584348414,0.888653343446609,0.)); #205547=DIRECTION('ref_axis',(-0.888653343446609,-0.458579584348414,0.)); #205548=DIRECTION('',(0.,0.,1.)); #205549=DIRECTION('',(-0.888653343446609,-0.458579584348414,0.)); #205550=DIRECTION('center_axis',(0.,0.,1.)); #205551=DIRECTION('ref_axis',(-0.367501039279153,0.930023110534756,0.)); #205552=DIRECTION('',(0.,0.,1.)); #205553=DIRECTION('center_axis',(0.,0.,1.)); #205554=DIRECTION('ref_axis',(-0.367501039279153,0.930023110534756,0.)); #205555=DIRECTION('center_axis',(0.,0.,1.)); #205556=DIRECTION('ref_axis',(0.970693704189506,0.240320062930366,0.)); #205557=DIRECTION('',(0.,0.,1.)); #205558=DIRECTION('center_axis',(0.,0.,1.)); #205559=DIRECTION('ref_axis',(0.970693704189506,0.240320062930366,0.)); #205560=DIRECTION('center_axis',(0.999999283866234,0.0011967735875911,0.)); #205561=DIRECTION('ref_axis',(-0.0011967735875911,0.999999283866234,0.)); #205562=DIRECTION('',(0.,0.,1.)); #205563=DIRECTION('',(-0.0011967735875911,0.999999283866234,0.)); #205564=DIRECTION('center_axis',(0.,0.,1.)); #205565=DIRECTION('ref_axis',(-0.990093874773109,0.140406976809458,0.)); #205566=DIRECTION('',(0.,0.,1.)); #205567=DIRECTION('center_axis',(0.,0.,-1.)); #205568=DIRECTION('ref_axis',(-0.990093874773109,0.140406976809458,0.)); #205569=DIRECTION('center_axis',(0.,0.,1.)); #205570=DIRECTION('ref_axis',(-0.729436023357455,-0.684049039052363,0.)); #205571=DIRECTION('',(0.,0.,1.)); #205572=DIRECTION('center_axis',(0.,0.,-1.)); #205573=DIRECTION('ref_axis',(-0.729436023357455,-0.684049039052363,0.)); #205574=DIRECTION('center_axis',(0.,0.,1.)); #205575=DIRECTION('ref_axis',(-0.054606962592723,-0.998507926676798,0.)); #205576=DIRECTION('',(0.,0.,1.)); #205577=DIRECTION('center_axis',(0.,0.,-1.)); #205578=DIRECTION('ref_axis',(-0.054606962592723,-0.998507926676798,0.)); #205579=DIRECTION('center_axis',(0.38593330976347,0.922526682765877,0.)); #205580=DIRECTION('ref_axis',(-0.922526682765877,0.38593330976347,0.)); #205581=DIRECTION('',(0.,0.,1.)); #205582=DIRECTION('',(-0.922526682765877,0.38593330976347,0.)); #205583=DIRECTION('center_axis',(0.569593316803352,0.821926671579014,0.)); #205584=DIRECTION('ref_axis',(-0.821926671579014,0.569593316803352,0.)); #205585=DIRECTION('',(0.,0.,1.)); #205586=DIRECTION('',(-0.821926671579014,0.569593316803352,0.)); #205587=DIRECTION('center_axis',(0.744305574866668,0.667839210605666,0.)); #205588=DIRECTION('ref_axis',(-0.667839210605666,0.744305574866668,0.)); #205589=DIRECTION('',(0.,0.,1.)); #205590=DIRECTION('',(-0.667839210605666,0.744305574866668,0.)); #205591=DIRECTION('center_axis',(0.873146226937968,0.487458374001299,0.)); #205592=DIRECTION('ref_axis',(-0.487458374001299,0.873146226937968,0.)); #205593=DIRECTION('',(0.,0.,1.)); #205594=DIRECTION('',(-0.487458374001299,0.873146226937968,0.)); #205595=DIRECTION('center_axis',(0.947037478319078,0.32112305220747,0.)); #205596=DIRECTION('ref_axis',(-0.32112305220747,0.947037478319078,0.)); #205597=DIRECTION('',(0.,0.,1.)); #205598=DIRECTION('',(-0.32112305220747,0.947037478319078,0.)); #205599=DIRECTION('center_axis',(0.,0.,1.)); #205600=DIRECTION('ref_axis',(0.930710926231261,0.365755617583311,0.)); #205601=DIRECTION('',(0.,0.,1.)); #205602=DIRECTION('center_axis',(0.,0.,1.)); #205603=DIRECTION('ref_axis',(0.930710926231261,0.365755617583311,0.)); #205604=DIRECTION('center_axis',(0.296434720282732,-0.955053117167259,0.)); #205605=DIRECTION('ref_axis',(0.955053117167259,0.296434720282732,0.)); #205606=DIRECTION('',(0.,0.,1.)); #205607=DIRECTION('',(0.955053117167259,0.296434720282732,0.)); #205608=DIRECTION('center_axis',(0.,0.,1.)); #205609=DIRECTION('ref_axis',(0.147149331479522,-0.989114287757047,0.)); #205610=DIRECTION('',(0.,0.,1.)); #205611=DIRECTION('center_axis',(0.,0.,1.)); #205612=DIRECTION('ref_axis',(0.147149331479522,-0.989114287757047,0.)); #205613=DIRECTION('center_axis',(0.,0.,1.)); #205614=DIRECTION('ref_axis',(-0.584224187876561,-0.811592322721188,0.)); #205615=DIRECTION('',(0.,0.,1.)); #205616=DIRECTION('center_axis',(0.,0.,1.)); #205617=DIRECTION('ref_axis',(-0.584224187876561,-0.811592322721188,0.)); #205618=DIRECTION('center_axis',(0.,0.,1.)); #205619=DIRECTION('ref_axis',(-0.999993198461638,0.00368822863475142,0.)); #205620=DIRECTION('',(0.,0.,1.)); #205621=DIRECTION('center_axis',(0.,0.,-1.)); #205622=DIRECTION('ref_axis',(-0.999993198461638,0.00368822863475142,0.)); #205623=DIRECTION('center_axis',(0.999993947001936,0.00347936193703308, 0.)); #205624=DIRECTION('ref_axis',(-0.00347936193703308,0.999993947001936,0.)); #205625=DIRECTION('',(0.,0.,1.)); #205626=DIRECTION('',(-0.00347936193703308,0.999993947001936,0.)); #205627=DIRECTION('center_axis',(0.,0.,1.)); #205628=DIRECTION('ref_axis',(0.982948507543885,0.183881025441044,0.)); #205629=DIRECTION('',(0.,0.,1.)); #205630=DIRECTION('center_axis',(0.,0.,1.)); #205631=DIRECTION('ref_axis',(0.982948507543885,0.183881025441044,0.)); #205632=DIRECTION('center_axis',(0.590964041057356,-0.806697900193845,0.)); #205633=DIRECTION('ref_axis',(0.806697900193845,0.590964041057356,0.)); #205634=DIRECTION('',(0.,0.,1.)); #205635=DIRECTION('',(0.806697900193845,0.590964041057356,0.)); #205636=DIRECTION('center_axis',(0.421846098978816,-0.906667452143483,0.)); #205637=DIRECTION('ref_axis',(0.906667452143483,0.421846098978816,0.)); #205638=DIRECTION('',(0.,0.,1.)); #205639=DIRECTION('',(0.906667452143483,0.421846098978816,0.)); #205640=DIRECTION('center_axis',(0.,0.,1.)); #205641=DIRECTION('ref_axis',(0.365962184506581,-0.930629722022229,0.)); #205642=DIRECTION('',(0.,0.,1.)); #205643=DIRECTION('center_axis',(0.,0.,1.)); #205644=DIRECTION('ref_axis',(0.365962184506581,-0.930629722022229,0.)); #205645=DIRECTION('center_axis',(-0.802266749048714,-0.596965713731374, 0.)); #205646=DIRECTION('ref_axis',(0.596965713731374,-0.802266749048713,0.)); #205647=DIRECTION('',(0.,0.,1.)); #205648=DIRECTION('',(0.596965713731374,-0.802266749048713,0.)); #205649=DIRECTION('center_axis',(-0.875305151511049,-0.483570979007445, 0.)); #205650=DIRECTION('ref_axis',(0.483570979007445,-0.875305151511049,0.)); #205651=DIRECTION('',(0.,0.,1.)); #205652=DIRECTION('',(0.483570979007445,-0.875305151511049,0.)); #205653=DIRECTION('center_axis',(-0.930736255684159,-0.365691157064307, 0.)); #205654=DIRECTION('ref_axis',(0.365691157064307,-0.930736255684159,0.)); #205655=DIRECTION('',(0.,0.,1.)); #205656=DIRECTION('',(0.365691157064307,-0.930736255684159,0.)); #205657=DIRECTION('center_axis',(-0.968187283827576,-0.250226664115921, 0.)); #205658=DIRECTION('ref_axis',(0.250226664115921,-0.968187283827575,0.)); #205659=DIRECTION('',(0.250226664115921,-0.968187283827575,0.)); #205660=DIRECTION('center_axis',(0.,0.,-1.)); #205661=DIRECTION('ref_axis',(-1.,0.,0.)); #205662=DIRECTION('center_axis',(-0.837105113274713,-0.547042072723232, 0.)); #205663=DIRECTION('ref_axis',(0.547042072723232,-0.837105113274713,0.)); #205664=DIRECTION('',(0.,0.,1.)); #205665=DIRECTION('',(0.547042072723232,-0.837105113274713,0.)); #205666=DIRECTION('',(0.,0.,1.)); #205667=DIRECTION('center_axis',(-0.837315650839068,-0.546719764468003, 0.)); #205668=DIRECTION('ref_axis',(0.546719764468003,-0.837315650839068,0.)); #205669=DIRECTION('',(0.,0.,1.)); #205670=DIRECTION('',(0.546719764468003,-0.837315650839068,0.)); #205671=DIRECTION('center_axis',(-0.837316336545826,-0.546718714288689, 0.)); #205672=DIRECTION('ref_axis',(0.546718714288689,-0.837316336545826,0.)); #205673=DIRECTION('',(0.,0.,1.)); #205674=DIRECTION('',(0.546718714288689,-0.837316336545826,0.)); #205675=DIRECTION('center_axis',(0.000660203409409364,0.999999782065705, 0.)); #205676=DIRECTION('ref_axis',(-0.999999782065705,0.000660203409409364,0.)); #205677=DIRECTION('',(0.,0.,1.)); #205678=DIRECTION('',(-0.999999782065705,0.000660203409409364,0.)); #205679=DIRECTION('center_axis',(0.,0.,1.)); #205680=DIRECTION('ref_axis',(0.221650263316547,0.975126228122137,0.)); #205681=DIRECTION('',(0.,0.,1.)); #205682=DIRECTION('center_axis',(0.,0.,1.)); #205683=DIRECTION('ref_axis',(0.221650263316547,0.975126228122137,0.)); #205684=DIRECTION('center_axis',(0.,0.,1.)); #205685=DIRECTION('ref_axis',(0.39573566445246,0.918364461355278,0.)); #205686=DIRECTION('',(0.,0.,1.)); #205687=DIRECTION('center_axis',(0.,0.,1.)); #205688=DIRECTION('ref_axis',(0.39573566445246,0.918364461355278,0.)); #205689=DIRECTION('center_axis',(0.397056232897185,0.917794284095023,0.)); #205690=DIRECTION('ref_axis',(-0.917794284095023,0.397056232897185,0.)); #205691=DIRECTION('',(0.,0.,1.)); #205692=DIRECTION('',(-0.917794284095023,0.397056232897185,0.)); #205693=DIRECTION('center_axis',(0.395952265886846,0.918271094578868,0.)); #205694=DIRECTION('ref_axis',(-0.918271094578868,0.395952265886846,0.)); #205695=DIRECTION('',(0.,0.,1.)); #205696=DIRECTION('',(-0.918271094578868,0.395952265886846,0.)); #205697=DIRECTION('center_axis',(0.,0.,1.)); #205698=DIRECTION('ref_axis',(0.400261069376226,0.916401154703441,0.)); #205699=DIRECTION('',(0.,0.,1.)); #205700=DIRECTION('center_axis',(0.,0.,1.)); #205701=DIRECTION('ref_axis',(0.400261069376226,0.916401154703441,0.)); #205702=DIRECTION('center_axis',(0.398171072740438,0.917311177754163,0.)); #205703=DIRECTION('ref_axis',(-0.917311177754163,0.398171072740438,0.)); #205704=DIRECTION('',(0.,0.,1.)); #205705=DIRECTION('',(-0.917311177754163,0.398171072740438,0.)); #205706=DIRECTION('center_axis',(0.,0.,1.)); #205707=DIRECTION('ref_axis',(-0.391405248251009,-0.920218415182812,0.)); #205708=DIRECTION('',(0.,0.,1.)); #205709=DIRECTION('center_axis',(0.,0.,-1.)); #205710=DIRECTION('ref_axis',(-0.391405248251009,-0.920218415182812,0.)); #205711=DIRECTION('center_axis',(0.,0.,1.)); #205712=DIRECTION('ref_axis',(-0.414153466237344,-0.910207067871698,0.)); #205713=DIRECTION('',(0.,0.,1.)); #205714=DIRECTION('center_axis',(0.,0.,-1.)); #205715=DIRECTION('ref_axis',(-0.414153466237344,-0.910207067871698,0.)); #205716=DIRECTION('center_axis',(0.543043107339601,0.839704819309113,0.)); #205717=DIRECTION('ref_axis',(-0.839704819309113,0.543043107339601,0.)); #205718=DIRECTION('',(0.,0.,1.)); #205719=DIRECTION('',(-0.839704819309113,0.543043107339601,0.)); #205720=DIRECTION('center_axis',(0.870981991816265,0.491314939658638,0.)); #205721=DIRECTION('ref_axis',(-0.491314939658638,0.870981991816265,0.)); #205722=DIRECTION('',(0.,0.,1.)); #205723=DIRECTION('',(-0.491314939658638,0.870981991816265,0.)); #205724=DIRECTION('center_axis',(0.,0.,1.)); #205725=DIRECTION('ref_axis',(0.995843019932201,0.0910861111932822,0.)); #205726=DIRECTION('',(0.,0.,1.)); #205727=DIRECTION('center_axis',(0.,0.,1.)); #205728=DIRECTION('ref_axis',(0.995843019932201,0.0910861111932822,0.)); #205729=DIRECTION('center_axis',(0.,0.,1.)); #205730=DIRECTION('ref_axis',(0.0169121132072456,-0.99985697998607,0.)); #205731=DIRECTION('',(0.,0.,1.)); #205732=DIRECTION('center_axis',(0.,0.,1.)); #205733=DIRECTION('ref_axis',(0.0169121132072456,-0.99985697998607,0.)); #205734=DIRECTION('center_axis',(0.,0.,1.)); #205735=DIRECTION('ref_axis',(-0.318456541980662,-0.947937461476082,0.)); #205736=DIRECTION('',(0.,0.,1.)); #205737=DIRECTION('center_axis',(0.,0.,1.)); #205738=DIRECTION('ref_axis',(-0.318456541980662,-0.947937461476082,0.)); #205739=DIRECTION('center_axis',(0.993572365343472,-0.113198740389536,0.)); #205740=DIRECTION('ref_axis',(0.113198740389536,0.993572365343472,0.)); #205741=DIRECTION('',(0.,0.,1.)); #205742=DIRECTION('',(0.113198740389536,0.993572365343472,0.)); #205743=DIRECTION('center_axis',(0.,0.,1.)); #205744=DIRECTION('ref_axis',(-0.84093068459395,-0.54114284963247,0.)); #205745=DIRECTION('',(0.,0.,1.)); #205746=DIRECTION('center_axis',(0.,0.,-1.)); #205747=DIRECTION('ref_axis',(-0.84093068459395,-0.54114284963247,0.)); #205748=DIRECTION('center_axis',(0.928103296612858,0.372322804588096,0.)); #205749=DIRECTION('ref_axis',(-0.372322804588096,0.928103296612858,0.)); #205750=DIRECTION('',(0.,0.,1.)); #205751=DIRECTION('',(-0.372322804588096,0.928103296612858,0.)); #205752=DIRECTION('center_axis',(0.955851578326043,0.293849894013275,0.)); #205753=DIRECTION('ref_axis',(-0.293849894013275,0.955851578326043,0.)); #205754=DIRECTION('',(0.,0.,1.)); #205755=DIRECTION('',(-0.293849894013275,0.955851578326043,0.)); #205756=DIRECTION('center_axis',(-0.675760163573876,0.737121564822661,0.)); #205757=DIRECTION('ref_axis',(-0.737121564822661,-0.675760163573876,0.)); #205758=DIRECTION('',(0.,0.,1.)); #205759=DIRECTION('',(-0.737121564822661,-0.675760163573876,0.)); #205760=DIRECTION('center_axis',(0.,0.,1.)); #205761=DIRECTION('ref_axis',(0.83098192466799,-0.556299416569066,0.)); #205762=DIRECTION('',(0.,0.,1.)); #205763=DIRECTION('center_axis',(0.,0.,-1.)); #205764=DIRECTION('ref_axis',(0.83098192466799,-0.556299416569066,0.)); #205765=DIRECTION('center_axis',(3.95100670237901E-7,0.999999999999922, 0.)); #205766=DIRECTION('ref_axis',(-0.999999999999922,3.95100670237901E-7,0.)); #205767=DIRECTION('',(0.,0.,1.)); #205768=DIRECTION('',(-0.999999999999922,3.95100670237901E-7,0.)); #205769=DIRECTION('center_axis',(0.709922550306488,0.704279754477106,0.)); #205770=DIRECTION('ref_axis',(-0.704279754477106,0.709922550306488,0.)); #205771=DIRECTION('',(0.,0.,1.)); #205772=DIRECTION('',(-0.704279754477106,0.709922550306488,0.)); #205773=DIRECTION('center_axis',(0.950971146297735,-0.309279612824984,0.)); #205774=DIRECTION('ref_axis',(0.309279612824984,0.950971146297735,0.)); #205775=DIRECTION('',(0.,0.,1.)); #205776=DIRECTION('',(0.309279612824984,0.950971146297735,0.)); #205777=DIRECTION('center_axis',(0.,0.,1.)); #205778=DIRECTION('ref_axis',(0.904720962602274,-0.426004671134032,0.)); #205779=DIRECTION('',(0.,0.,1.)); #205780=DIRECTION('center_axis',(0.,0.,1.)); #205781=DIRECTION('ref_axis',(0.904720962602274,-0.426004671134032,0.)); #205782=DIRECTION('center_axis',(0.837406255980741,-0.546580975194269,0.)); #205783=DIRECTION('ref_axis',(0.546580975194269,0.837406255980741,0.)); #205784=DIRECTION('',(0.,0.,1.)); #205785=DIRECTION('',(0.546580975194269,0.837406255980741,0.)); #205786=DIRECTION('center_axis',(0.837346141590635,-0.54667306423792,0.)); #205787=DIRECTION('ref_axis',(0.54667306423792,0.837346141590635,0.)); #205788=DIRECTION('',(0.,0.,1.)); #205789=DIRECTION('',(0.54667306423792,0.837346141590635,0.)); #205790=DIRECTION('center_axis',(0.84245806065338,-0.538761928907515,0.)); #205791=DIRECTION('ref_axis',(0.538761928907515,0.84245806065338,0.)); #205792=DIRECTION('',(0.,0.,1.)); #205793=DIRECTION('',(0.538761928907515,0.84245806065338,0.)); #205794=DIRECTION('center_axis',(0.,0.,1.)); #205795=DIRECTION('ref_axis',(0.836172193805179,-0.54846701113835,0.)); #205796=DIRECTION('',(0.,0.,1.)); #205797=DIRECTION('center_axis',(0.,0.,1.)); #205798=DIRECTION('ref_axis',(0.836172193805179,-0.54846701113835,0.)); #205799=DIRECTION('center_axis',(0.840757583457754,-0.541411752604501,0.)); #205800=DIRECTION('ref_axis',(0.541411752604501,0.840757583457754,0.)); #205801=DIRECTION('',(0.,0.,1.)); #205802=DIRECTION('',(0.541411752604501,0.840757583457754,0.)); #205803=DIRECTION('center_axis',(0.846076239877904,-0.533061906643184,0.)); #205804=DIRECTION('ref_axis',(0.533061906643184,0.846076239877904,0.)); #205805=DIRECTION('',(0.,0.,1.)); #205806=DIRECTION('',(0.533061906643184,0.846076239877904,0.)); #205807=DIRECTION('center_axis',(0.,0.,1.)); #205808=DIRECTION('ref_axis',(0.838382443756147,-0.545082450645286,0.)); #205809=DIRECTION('',(0.,0.,1.)); #205810=DIRECTION('center_axis',(0.,0.,1.)); #205811=DIRECTION('ref_axis',(0.838382443756147,-0.545082450645286,0.)); #205812=DIRECTION('center_axis',(0.860925972479122,-0.50873025260041,0.)); #205813=DIRECTION('ref_axis',(0.50873025260041,0.860925972479122,0.)); #205814=DIRECTION('',(0.,0.,1.)); #205815=DIRECTION('',(0.50873025260041,0.860925972479122,0.)); #205816=DIRECTION('center_axis',(0.40155925756657,-0.915833043006521,0.)); #205817=DIRECTION('ref_axis',(0.915833043006521,0.40155925756657,0.)); #205818=DIRECTION('',(0.,0.,1.)); #205819=DIRECTION('',(0.915833043006521,0.40155925756657,0.)); #205820=DIRECTION('center_axis',(0.,0.,1.)); #205821=DIRECTION('ref_axis',(-0.750781870962936,-0.660550211742751,0.)); #205822=DIRECTION('',(0.,0.,1.)); #205823=DIRECTION('center_axis',(0.,0.,1.)); #205824=DIRECTION('ref_axis',(-0.750781870962936,-0.660550211742751,0.)); #205825=DIRECTION('center_axis',(0.,0.,1.)); #205826=DIRECTION('ref_axis',(-0.831749143489366,-0.555151657031397,0.)); #205827=DIRECTION('',(0.,0.,1.)); #205828=DIRECTION('center_axis',(0.,0.,1.)); #205829=DIRECTION('ref_axis',(-0.831749143489366,-0.555151657031397,0.)); #205830=DIRECTION('center_axis',(-0.833883312703395,-0.551940776528435, 0.)); #205831=DIRECTION('ref_axis',(0.551940776528435,-0.833883312703395,0.)); #205832=DIRECTION('',(0.,0.,1.)); #205833=DIRECTION('',(0.551940776528435,-0.833883312703395,0.)); #205834=DIRECTION('center_axis',(-0.826286936948075,-0.563249409967705, 0.)); #205835=DIRECTION('ref_axis',(0.563249409967705,-0.826286936948075,0.)); #205836=DIRECTION('',(0.,0.,1.)); #205837=DIRECTION('',(0.563249409967705,-0.826286936948075,0.)); #205838=DIRECTION('center_axis',(0.,0.,1.)); #205839=DIRECTION('ref_axis',(-0.835948824018366,-0.548807401209487,0.)); #205840=DIRECTION('',(0.,0.,1.)); #205841=DIRECTION('center_axis',(0.,0.,1.)); #205842=DIRECTION('ref_axis',(-0.835948824018366,-0.548807401209487,0.)); #205843=DIRECTION('center_axis',(0.,0.,1.)); #205844=DIRECTION('ref_axis',(-0.831514126173147,-0.555503607526096,0.)); #205845=DIRECTION('center_axis',(0.,0.,1.)); #205846=DIRECTION('ref_axis',(-0.831514126173147,-0.555503607526096,0.)); #205847=DIRECTION('center_axis',(0.,0.,-1.)); #205848=DIRECTION('ref_axis',(-1.,0.,0.)); #205849=DIRECTION('center_axis',(0.,0.,1.)); #205850=DIRECTION('ref_axis',(0.0840193460597249,0.996464123532652,0.)); #205851=DIRECTION('center_axis',(0.,0.,-1.)); #205852=DIRECTION('ref_axis',(0.0840193460597249,0.996464123532652,0.)); #205853=DIRECTION('',(0.,0.,1.)); #205854=DIRECTION('center_axis',(0.,0.,-1.)); #205855=DIRECTION('ref_axis',(0.0840193460597249,0.996464123532652,0.)); #205856=DIRECTION('',(0.,0.,1.)); #205857=DIRECTION('center_axis',(0.,0.,1.)); #205858=DIRECTION('ref_axis',(-0.0841244395199768,0.996455256735319,0.)); #205859=DIRECTION('center_axis',(0.,0.,-1.)); #205860=DIRECTION('ref_axis',(-0.0841244395199768,0.996455256735319,0.)); #205861=DIRECTION('',(0.,0.,1.)); #205862=DIRECTION('center_axis',(0.,0.,-1.)); #205863=DIRECTION('ref_axis',(-0.0841244395199768,0.996455256735319,0.)); #205864=DIRECTION('center_axis',(0.,0.,1.)); #205865=DIRECTION('ref_axis',(-0.992302862477318,0.123834684637709,0.)); #205866=DIRECTION('center_axis',(0.,0.,-1.)); #205867=DIRECTION('ref_axis',(-0.992302862477318,0.123834684637709,0.)); #205868=DIRECTION('',(0.,0.,1.)); #205869=DIRECTION('center_axis',(0.,0.,-1.)); #205870=DIRECTION('ref_axis',(-0.992302862477318,0.123834684637709,0.)); #205871=DIRECTION('center_axis',(1.,0.,0.)); #205872=DIRECTION('ref_axis',(0.,1.,0.)); #205873=DIRECTION('',(0.,1.,0.)); #205874=DIRECTION('',(0.,0.,1.)); #205875=DIRECTION('',(0.,1.,0.)); #205876=DIRECTION('center_axis',(0.,0.,1.)); #205877=DIRECTION('ref_axis',(-0.962000526701998,0.273047590403355,0.)); #205878=DIRECTION('center_axis',(0.,0.,-1.)); #205879=DIRECTION('ref_axis',(-0.962000526701998,0.273047590403355,0.)); #205880=DIRECTION('',(0.,0.,1.)); #205881=DIRECTION('center_axis',(0.,0.,-1.)); #205882=DIRECTION('ref_axis',(-0.962000526701998,0.273047590403355,0.)); #205883=DIRECTION('center_axis',(0.,0.,1.)); #205884=DIRECTION('ref_axis',(-0.167543517477536,-0.985864681257653,0.)); #205885=DIRECTION('center_axis',(0.,0.,-1.)); #205886=DIRECTION('ref_axis',(-0.167543517477536,-0.985864681257653,0.)); #205887=DIRECTION('',(0.,0.,1.)); #205888=DIRECTION('center_axis',(0.,0.,-1.)); #205889=DIRECTION('ref_axis',(-0.167543517477536,-0.985864681257653,0.)); #205890=DIRECTION('center_axis',(0.,0.,1.)); #205891=DIRECTION('ref_axis',(0.496253752941127,-0.868177523719572,0.)); #205892=DIRECTION('center_axis',(0.,0.,-1.)); #205893=DIRECTION('ref_axis',(0.496253752941127,-0.868177523719572,0.)); #205894=DIRECTION('',(0.,0.,1.)); #205895=DIRECTION('center_axis',(0.,0.,-1.)); #205896=DIRECTION('ref_axis',(0.496253752941127,-0.868177523719572,0.)); #205897=DIRECTION('center_axis',(0.,0.,1.)); #205898=DIRECTION('ref_axis',(0.997742720951288,-0.0671525337475784,0.)); #205899=DIRECTION('center_axis',(0.,0.,-1.)); #205900=DIRECTION('ref_axis',(0.997742720951288,-0.0671525337475784,0.)); #205901=DIRECTION('',(0.,0.,1.)); #205902=DIRECTION('center_axis',(0.,0.,-1.)); #205903=DIRECTION('ref_axis',(0.997742720951288,-0.0671525337475784,0.)); #205904=DIRECTION('center_axis',(0.,0.,1.)); #205905=DIRECTION('ref_axis',(0.999285686332435,0.0377904365033534,0.)); #205906=DIRECTION('center_axis',(0.,0.,-1.)); #205907=DIRECTION('ref_axis',(0.999285686332435,0.0377904365033534,0.)); #205908=DIRECTION('center_axis',(0.,0.,-1.)); #205909=DIRECTION('ref_axis',(0.999285686332435,0.0377904365033534,0.)); #205910=DIRECTION('center_axis',(-0.999999998319779,5.79693231439915E-5, 0.)); #205911=DIRECTION('ref_axis',(-5.79693231439915E-5,-0.999999998319779,0.)); #205912=DIRECTION('',(0.,0.,1.)); #205913=DIRECTION('',(-5.79693231439915E-5,-0.999999998319779,0.)); #205914=DIRECTION('',(0.,0.,1.)); #205915=DIRECTION('center_axis',(0.,0.,1.)); #205916=DIRECTION('ref_axis',(-0.994585829130083,-0.103918374186786,0.)); #205917=DIRECTION('',(0.,0.,1.)); #205918=DIRECTION('center_axis',(0.,0.,1.)); #205919=DIRECTION('ref_axis',(-0.994585829130083,-0.103918374186786,0.)); #205920=DIRECTION('center_axis',(0.,0.,1.)); #205921=DIRECTION('ref_axis',(-0.756381306964081,0.654130964314722,0.)); #205922=DIRECTION('',(0.,0.,1.)); #205923=DIRECTION('center_axis',(0.,0.,1.)); #205924=DIRECTION('ref_axis',(-0.756381306964081,0.654130964314722,0.)); #205925=DIRECTION('center_axis',(0.,0.,1.)); #205926=DIRECTION('ref_axis',(-0.10252701044107,0.994730220778487,0.)); #205927=DIRECTION('',(0.,0.,1.)); #205928=DIRECTION('center_axis',(0.,0.,1.)); #205929=DIRECTION('ref_axis',(-0.10252701044107,0.994730220778487,0.)); #205930=DIRECTION('center_axis',(0.,0.,1.)); #205931=DIRECTION('ref_axis',(0.206571307347205,0.978431548439065,0.)); #205932=DIRECTION('',(0.,0.,1.)); #205933=DIRECTION('center_axis',(0.,0.,1.)); #205934=DIRECTION('ref_axis',(0.206571307347205,0.978431548439065,0.)); #205935=DIRECTION('center_axis',(0.,0.,1.)); #205936=DIRECTION('ref_axis',(0.991270859116214,0.131841131165513,0.)); #205937=DIRECTION('',(0.,0.,1.)); #205938=DIRECTION('center_axis',(0.,0.,1.)); #205939=DIRECTION('ref_axis',(0.991270859116214,0.131841131165513,0.)); #205940=DIRECTION('center_axis',(0.,0.,1.)); #205941=DIRECTION('ref_axis',(0.89698225759852,-0.442066544259417,0.)); #205942=DIRECTION('',(0.,0.,1.)); #205943=DIRECTION('center_axis',(0.,0.,1.)); #205944=DIRECTION('ref_axis',(0.89698225759852,-0.442066544259417,0.)); #205945=DIRECTION('center_axis',(0.,0.,1.)); #205946=DIRECTION('ref_axis',(0.0721551597776282,-0.997393419327331,0.)); #205947=DIRECTION('',(0.,0.,1.)); #205948=DIRECTION('center_axis',(0.,0.,1.)); #205949=DIRECTION('ref_axis',(0.0721551597776282,-0.997393419327331,0.)); #205950=DIRECTION('center_axis',(0.,0.,1.)); #205951=DIRECTION('ref_axis',(-0.0892479261723378,-0.996009441558631,0.)); #205952=DIRECTION('',(0.,0.,1.)); #205953=DIRECTION('center_axis',(0.,0.,1.)); #205954=DIRECTION('ref_axis',(-0.0892479261723378,-0.996009441558631,0.)); #205955=DIRECTION('center_axis',(0.,0.,1.)); #205956=DIRECTION('ref_axis',(-0.764808792770277,-0.644257332516496,0.)); #205957=DIRECTION('',(0.,0.,1.)); #205958=DIRECTION('center_axis',(0.,0.,1.)); #205959=DIRECTION('ref_axis',(-0.764808792770277,-0.644257332516496,0.)); #205960=DIRECTION('center_axis',(-0.999859512076321,-0.0167617454491507, 0.)); #205961=DIRECTION('ref_axis',(0.0167617454491507,-0.999859512076321,0.)); #205962=DIRECTION('',(0.0167617454491507,-0.999859512076321,0.)); #205963=DIRECTION('center_axis',(0.,0.,-1.)); #205964=DIRECTION('ref_axis',(-1.,0.,0.)); #205965=DIRECTION('center_axis',(0.282421225967601,-0.959290493606059,0.)); #205966=DIRECTION('ref_axis',(0.959290493606059,0.282421225967601,0.)); #205967=DIRECTION('',(0.,0.,1.)); #205968=DIRECTION('',(0.959290493606059,0.282421225967601,0.)); #205969=DIRECTION('',(0.,0.,1.)); #205970=DIRECTION('center_axis',(0.,0.,1.)); #205971=DIRECTION('ref_axis',(0.0417795476693279,-0.999126853505873,0.)); #205972=DIRECTION('',(0.,0.,1.)); #205973=DIRECTION('center_axis',(0.,0.,1.)); #205974=DIRECTION('ref_axis',(0.0417795476693279,-0.999126853505873,0.)); #205975=DIRECTION('center_axis',(0.,0.,1.)); #205976=DIRECTION('ref_axis',(0.995464412902579,0.095134655328774,0.)); #205977=DIRECTION('',(0.,0.,1.)); #205978=DIRECTION('center_axis',(0.,0.,1.)); #205979=DIRECTION('ref_axis',(0.995464412902579,0.095134655328774,0.)); #205980=DIRECTION('center_axis',(0.941220174140855,-0.337793700045249,0.)); #205981=DIRECTION('ref_axis',(0.337793700045249,0.941220174140854,0.)); #205982=DIRECTION('',(0.,0.,1.)); #205983=DIRECTION('',(0.337793700045249,0.941220174140854,0.)); #205984=DIRECTION('center_axis',(0.811724570892491,-0.584040427547102,0.)); #205985=DIRECTION('ref_axis',(0.584040427547102,0.811724570892491,0.)); #205986=DIRECTION('',(0.,0.,1.)); #205987=DIRECTION('',(0.584040427547102,0.811724570892491,0.)); #205988=DIRECTION('center_axis',(0.641308318802706,-0.767283285517447,0.)); #205989=DIRECTION('ref_axis',(0.767283285517447,0.641308318802706,0.)); #205990=DIRECTION('',(0.,0.,1.)); #205991=DIRECTION('',(0.767283285517447,0.641308318802705,0.)); #205992=DIRECTION('center_axis',(0.475018243315103,-0.879975947692795,0.)); #205993=DIRECTION('ref_axis',(0.879975947692795,0.475018243315103,0.)); #205994=DIRECTION('',(0.,0.,1.)); #205995=DIRECTION('',(0.879975947692795,0.475018243315103,0.)); #205996=DIRECTION('center_axis',(0.336184103988965,-0.941796288071437,0.)); #205997=DIRECTION('ref_axis',(0.941796288071437,0.336184103988965,0.)); #205998=DIRECTION('',(0.,0.,1.)); #205999=DIRECTION('',(0.941796288071437,0.336184103988965,0.)); #206000=DIRECTION('center_axis',(0.,0.,1.)); #206001=DIRECTION('ref_axis',(0.50385906701133,-0.863785876586595,0.)); #206002=DIRECTION('',(0.,0.,1.)); #206003=DIRECTION('center_axis',(0.,0.,1.)); #206004=DIRECTION('ref_axis',(0.50385906701133,-0.863785876586595,0.)); #206005=DIRECTION('center_axis',(0.,0.,1.)); #206006=DIRECTION('ref_axis',(-0.300322578193836,-0.953837695327149,0.)); #206007=DIRECTION('',(0.,0.,1.)); #206008=DIRECTION('center_axis',(0.,0.,1.)); #206009=DIRECTION('ref_axis',(-0.300322578193836,-0.953837695327149,0.)); #206010=DIRECTION('center_axis',(0.,0.,1.)); #206011=DIRECTION('ref_axis',(-0.998302792658688,-0.0582368798088061,0.)); #206012=DIRECTION('',(0.,0.,1.)); #206013=DIRECTION('center_axis',(0.,0.,1.)); #206014=DIRECTION('ref_axis',(-0.998302792658688,-0.0582368798088061,0.)); #206015=DIRECTION('center_axis',(-0.993266162859717,-0.115854778571856, 0.)); #206016=DIRECTION('ref_axis',(0.115854778571856,-0.993266162859717,0.)); #206017=DIRECTION('',(0.,0.,1.)); #206018=DIRECTION('',(0.115854778571856,-0.993266162859717,0.)); #206019=DIRECTION('center_axis',(0.,0.,1.)); #206020=DIRECTION('ref_axis',(0.999997676547656,0.00215566678524861,0.)); #206021=DIRECTION('',(0.,0.,1.)); #206022=DIRECTION('center_axis',(0.,0.,-1.)); #206023=DIRECTION('ref_axis',(0.999997676547656,0.00215566678524861,0.)); #206024=DIRECTION('center_axis',(0.,0.,1.)); #206025=DIRECTION('ref_axis',(0.671147266168034,0.741324050004567,0.)); #206026=DIRECTION('',(0.,0.,1.)); #206027=DIRECTION('center_axis',(0.,0.,-1.)); #206028=DIRECTION('ref_axis',(0.671147266168034,0.741324050004567,0.)); #206029=DIRECTION('center_axis',(0.,0.,1.)); #206030=DIRECTION('ref_axis',(0.0551860020327134,0.998476091441175,0.)); #206031=DIRECTION('',(0.,0.,1.)); #206032=DIRECTION('center_axis',(0.,0.,-1.)); #206033=DIRECTION('ref_axis',(0.0551860020327134,0.998476091441175,0.)); #206034=DIRECTION('center_axis',(-0.0815995153611134,-0.996665199097887, 0.)); #206035=DIRECTION('ref_axis',(0.996665199097887,-0.0815995153611134,0.)); #206036=DIRECTION('',(0.,0.,1.)); #206037=DIRECTION('',(0.996665199097887,-0.0815995153611134,0.)); #206038=DIRECTION('center_axis',(0.,0.,1.)); #206039=DIRECTION('ref_axis',(-0.101471323063903,-0.994838464573853,0.)); #206040=DIRECTION('',(0.,0.,1.)); #206041=DIRECTION('center_axis',(0.,0.,1.)); #206042=DIRECTION('ref_axis',(-0.101471323063903,-0.994838464573853,0.)); #206043=DIRECTION('center_axis',(-0.39707427963251,-0.917786476504379,0.)); #206044=DIRECTION('ref_axis',(0.917786476504379,-0.39707427963251,0.)); #206045=DIRECTION('',(0.,0.,1.)); #206046=DIRECTION('',(0.917786476504379,-0.39707427963251,0.)); #206047=DIRECTION('center_axis',(-0.565206920958976,-0.824949172070664, 0.)); #206048=DIRECTION('ref_axis',(0.824949172070664,-0.565206920958976,0.)); #206049=DIRECTION('',(0.,0.,1.)); #206050=DIRECTION('',(0.824949172070664,-0.565206920958976,0.)); #206051=DIRECTION('center_axis',(-0.739366080021676,-0.673303645997392, 0.)); #206052=DIRECTION('ref_axis',(0.673303645997392,-0.739366080021676,0.)); #206053=DIRECTION('',(0.,0.,1.)); #206054=DIRECTION('',(0.673303645997392,-0.739366080021676,0.)); #206055=DIRECTION('center_axis',(-0.880736552844132,-0.473606508067864, 0.)); #206056=DIRECTION('ref_axis',(0.473606508067864,-0.880736552844132,0.)); #206057=DIRECTION('',(0.,0.,1.)); #206058=DIRECTION('',(0.473606508067864,-0.880736552844132,0.)); #206059=DIRECTION('center_axis',(-0.96482364886059,-0.262897939511395,0.)); #206060=DIRECTION('ref_axis',(0.262897939511395,-0.96482364886059,0.)); #206061=DIRECTION('',(0.,0.,1.)); #206062=DIRECTION('',(0.262897939511395,-0.96482364886059,0.)); #206063=DIRECTION('center_axis',(-0.996979327347986,-0.0776673730774812, 0.)); #206064=DIRECTION('ref_axis',(0.0776673730774812,-0.996979327347986,0.)); #206065=DIRECTION('',(0.,0.,1.)); #206066=DIRECTION('',(0.0776673730774812,-0.996979327347986,0.)); #206067=DIRECTION('center_axis',(-0.999973328397194,-0.00730359461066846, 0.)); #206068=DIRECTION('ref_axis',(0.00730359461066846,-0.999973328397194,0.)); #206069=DIRECTION('',(0.,0.,1.)); #206070=DIRECTION('',(0.00730359461066846,-0.999973328397194,0.)); #206071=DIRECTION('center_axis',(0.,0.,1.)); #206072=DIRECTION('ref_axis',(-0.999945678078098,0.0104230942110752,0.)); #206073=DIRECTION('',(0.,0.,1.)); #206074=DIRECTION('center_axis',(0.,0.,1.)); #206075=DIRECTION('ref_axis',(-0.999945678078098,0.0104230942110752,0.)); #206076=DIRECTION('center_axis',(-0.916790075396822,0.399369450075854,0.)); #206077=DIRECTION('ref_axis',(-0.399369450075854,-0.916790075396822,0.)); #206078=DIRECTION('',(0.,0.,1.)); #206079=DIRECTION('',(-0.399369450075854,-0.916790075396822,0.)); #206080=DIRECTION('center_axis',(-0.755132109470269,0.655572648336538,0.)); #206081=DIRECTION('ref_axis',(-0.655572648336537,-0.755132109470269,0.)); #206082=DIRECTION('',(0.,0.,1.)); #206083=DIRECTION('',(-0.655572648336537,-0.755132109470269,0.)); #206084=DIRECTION('center_axis',(-0.508041234423579,0.861332748782586,0.)); #206085=DIRECTION('ref_axis',(-0.861332748782586,-0.508041234423579,0.)); #206086=DIRECTION('',(0.,0.,1.)); #206087=DIRECTION('',(-0.861332748782586,-0.508041234423579,0.)); #206088=DIRECTION('center_axis',(0.,0.,1.)); #206089=DIRECTION('ref_axis',(-0.230261512465624,0.973128786891562,0.)); #206090=DIRECTION('',(0.,0.,1.)); #206091=DIRECTION('center_axis',(0.,0.,1.)); #206092=DIRECTION('ref_axis',(-0.230261512465624,0.973128786891562,0.)); #206093=DIRECTION('center_axis',(-1.,0.,0.)); #206094=DIRECTION('ref_axis',(0.,-1.,0.)); #206095=DIRECTION('',(0.,0.,1.)); #206096=DIRECTION('',(0.,-1.,0.)); #206097=DIRECTION('center_axis',(0.,0.,1.)); #206098=DIRECTION('ref_axis',(-0.981912356793456,-0.189336007078213,0.)); #206099=DIRECTION('',(0.,0.,1.)); #206100=DIRECTION('center_axis',(0.,0.,1.)); #206101=DIRECTION('ref_axis',(-0.981912356793456,-0.189336007078213,0.)); #206102=DIRECTION('center_axis',(0.,0.,1.)); #206103=DIRECTION('ref_axis',(-0.333939285077734,0.942594586172534,0.)); #206104=DIRECTION('',(0.,0.,1.)); #206105=DIRECTION('center_axis',(0.,0.,1.)); #206106=DIRECTION('ref_axis',(-0.333939285077734,0.942594586172534,0.)); #206107=DIRECTION('center_axis',(0.916095166120413,0.400960904092671,0.)); #206108=DIRECTION('ref_axis',(-0.400960904092671,0.916095166120413,0.)); #206109=DIRECTION('',(0.,0.,1.)); #206110=DIRECTION('',(-0.400960904092671,0.916095166120413,0.)); #206111=DIRECTION('center_axis',(0.,0.,1.)); #206112=DIRECTION('ref_axis',(0.945107867600494,0.326758501954649,0.)); #206113=DIRECTION('',(0.,0.,1.)); #206114=DIRECTION('center_axis',(0.,0.,1.)); #206115=DIRECTION('ref_axis',(0.945107867600494,0.326758501954649,0.)); #206116=DIRECTION('center_axis',(0.,0.,1.)); #206117=DIRECTION('ref_axis',(0.107537309628128,-0.994201049606137,0.)); #206118=DIRECTION('',(0.,0.,1.)); #206119=DIRECTION('center_axis',(0.,0.,1.)); #206120=DIRECTION('ref_axis',(0.107537309628128,-0.994201049606137,0.)); #206121=DIRECTION('center_axis',(0.258896471950957,-0.965905076501489,0.)); #206122=DIRECTION('ref_axis',(0.965905076501489,0.258896471950957,0.)); #206123=DIRECTION('',(0.,0.,1.)); #206124=DIRECTION('',(0.965905076501489,0.258896471950957,0.)); #206125=DIRECTION('center_axis',(0.,0.,1.)); #206126=DIRECTION('ref_axis',(-0.42421509319259,0.90556145827194,0.)); #206127=DIRECTION('',(0.,0.,1.)); #206128=DIRECTION('center_axis',(0.,0.,-1.)); #206129=DIRECTION('ref_axis',(-0.42421509319259,0.90556145827194,0.)); #206130=DIRECTION('center_axis',(0.999999995023292,-9.9766812148732E-5, 0.)); #206131=DIRECTION('ref_axis',(9.9766812148732E-5,0.999999995023292,0.)); #206132=DIRECTION('',(0.,0.,1.)); #206133=DIRECTION('',(9.9766812148732E-5,0.999999995023292,0.)); #206134=DIRECTION('center_axis',(0.987341462131577,0.158609070219456,0.)); #206135=DIRECTION('ref_axis',(-0.158609070219456,0.987341462131577,0.)); #206136=DIRECTION('',(0.,0.,1.)); #206137=DIRECTION('',(-0.158609070219456,0.987341462131577,0.)); #206138=DIRECTION('center_axis',(-7.95252669015669E-7,0.999999999999684, 0.)); #206139=DIRECTION('ref_axis',(-0.999999999999684,-7.95252669015669E-7,0.)); #206140=DIRECTION('',(0.,0.,1.)); #206141=DIRECTION('',(-0.999999999999684,-7.95252669015669E-7,0.)); #206142=DIRECTION('center_axis',(0.,0.,1.)); #206143=DIRECTION('ref_axis',(-0.0530553321502824,0.99859157403336,0.)); #206144=DIRECTION('',(0.,0.,1.)); #206145=DIRECTION('center_axis',(0.,0.,1.)); #206146=DIRECTION('ref_axis',(-0.0530553321502824,0.99859157403336,0.)); #206147=DIRECTION('center_axis',(0.457831500292204,0.889038985275781,0.)); #206148=DIRECTION('ref_axis',(-0.889038985275781,0.457831500292204,0.)); #206149=DIRECTION('',(0.,0.,1.)); #206150=DIRECTION('',(-0.889038985275781,0.457831500292204,0.)); #206151=DIRECTION('center_axis',(0.646674868831728,0.762765766157257,0.)); #206152=DIRECTION('ref_axis',(-0.762765766157257,0.646674868831728,0.)); #206153=DIRECTION('',(0.,0.,1.)); #206154=DIRECTION('',(-0.762765766157257,0.646674868831728,0.)); #206155=DIRECTION('center_axis',(0.806845440541578,0.59076258774339,0.)); #206156=DIRECTION('ref_axis',(-0.59076258774339,0.806845440541578,0.)); #206157=DIRECTION('',(0.,0.,1.)); #206158=DIRECTION('',(-0.59076258774339,0.806845440541578,0.)); #206159=DIRECTION('center_axis',(0.911646629517044,0.41097496625733,0.)); #206160=DIRECTION('ref_axis',(-0.41097496625733,0.911646629517044,0.)); #206161=DIRECTION('',(0.,0.,1.)); #206162=DIRECTION('',(-0.41097496625733,0.911646629517044,0.)); #206163=DIRECTION('center_axis',(0.,0.,1.)); #206164=DIRECTION('ref_axis',(0.94313106281379,0.332421115989539,0.)); #206165=DIRECTION('center_axis',(0.,0.,1.)); #206166=DIRECTION('ref_axis',(0.94313106281379,0.332421115989539,0.)); #206167=DIRECTION('center_axis',(0.,0.,-1.)); #206168=DIRECTION('ref_axis',(-1.,0.,0.)); #206169=DIRECTION('center_axis',(-0.999999999987542,4.9915975949618E-6, 0.)); #206170=DIRECTION('ref_axis',(-4.9915975949618E-6,-0.999999999987542,0.)); #206171=DIRECTION('',(0.,0.,1.)); #206172=DIRECTION('',(-4.9915975949618E-6,-0.999999999987542,0.)); #206173=DIRECTION('',(0.,0.,1.)); #206174=DIRECTION('center_axis',(-0.997910394612587,-0.0646130352494893, 0.)); #206175=DIRECTION('ref_axis',(0.0646130352494893,-0.997910394612587,0.)); #206176=DIRECTION('',(0.,0.,1.)); #206177=DIRECTION('',(0.0646130352494893,-0.997910394612587,0.)); #206178=DIRECTION('center_axis',(-0.937903045522292,-0.346897502441297, 0.)); #206179=DIRECTION('ref_axis',(0.346897502441297,-0.937903045522292,0.)); #206180=DIRECTION('',(0.,0.,1.)); #206181=DIRECTION('',(0.346897502441297,-0.937903045522292,0.)); #206182=DIRECTION('center_axis',(-0.393930436376118,-0.919140256596631, 0.)); #206183=DIRECTION('ref_axis',(0.919140256596631,-0.393930436376118,0.)); #206184=DIRECTION('',(0.,0.,1.)); #206185=DIRECTION('',(0.919140256596631,-0.393930436376118,0.)); #206186=DIRECTION('center_axis',(-0.0722690177596043,-0.997385175883451, 0.)); #206187=DIRECTION('ref_axis',(0.997385175883451,-0.0722690177596043,0.)); #206188=DIRECTION('',(0.,0.,1.)); #206189=DIRECTION('',(0.997385175883451,-0.0722690177596043,0.)); #206190=DIRECTION('center_axis',(0.,-1.,0.)); #206191=DIRECTION('ref_axis',(1.,0.,0.)); #206192=DIRECTION('',(0.,0.,1.)); #206193=DIRECTION('',(1.,0.,0.)); #206194=DIRECTION('center_axis',(0.,0.,1.)); #206195=DIRECTION('ref_axis',(-0.999999999928192,-1.19840206821958E-5,0.)); #206196=DIRECTION('',(0.,0.,1.)); #206197=DIRECTION('center_axis',(0.,0.,1.)); #206198=DIRECTION('ref_axis',(-0.999999999928192,-1.19840206821958E-5,0.)); #206199=DIRECTION('center_axis',(-1.,0.,0.)); #206200=DIRECTION('ref_axis',(0.,-1.,0.)); #206201=DIRECTION('',(0.,0.,1.)); #206202=DIRECTION('',(0.,-1.,0.)); #206203=DIRECTION('center_axis',(0.,0.,1.)); #206204=DIRECTION('ref_axis',(1.99259053291494E-6,0.999999999998015,0.)); #206205=DIRECTION('',(0.,0.,1.)); #206206=DIRECTION('center_axis',(0.,0.,1.)); #206207=DIRECTION('ref_axis',(1.99259053291494E-6,0.999999999998015,0.)); #206208=DIRECTION('center_axis',(0.,1.,0.)); #206209=DIRECTION('ref_axis',(-1.,0.,0.)); #206210=DIRECTION('',(0.,0.,1.)); #206211=DIRECTION('',(-1.,0.,0.)); #206212=DIRECTION('center_axis',(-1.,0.,0.)); #206213=DIRECTION('ref_axis',(0.,-1.,0.)); #206214=DIRECTION('',(0.,0.,1.)); #206215=DIRECTION('',(0.,-1.,0.)); #206216=DIRECTION('center_axis',(0.,0.,1.)); #206217=DIRECTION('ref_axis',(9.94290652367708E-7,0.999999999999506,0.)); #206218=DIRECTION('',(0.,0.,1.)); #206219=DIRECTION('center_axis',(0.,0.,1.)); #206220=DIRECTION('ref_axis',(9.94290652367708E-7,0.999999999999506,0.)); #206221=DIRECTION('center_axis',(0.,1.,0.)); #206222=DIRECTION('ref_axis',(-1.,0.,0.)); #206223=DIRECTION('',(0.,0.,1.)); #206224=DIRECTION('',(-1.,0.,0.)); #206225=DIRECTION('center_axis',(0.,0.,1.)); #206226=DIRECTION('ref_axis',(0.999999999939657,1.09857008246172E-5,0.)); #206227=DIRECTION('',(0.,0.,1.)); #206228=DIRECTION('center_axis',(0.,0.,1.)); #206229=DIRECTION('ref_axis',(0.999999999939657,1.09857008246172E-5,0.)); #206230=DIRECTION('center_axis',(1.,0.,0.)); #206231=DIRECTION('ref_axis',(0.,1.,0.)); #206232=DIRECTION('',(0.,0.,1.)); #206233=DIRECTION('',(0.,1.,0.)); #206234=DIRECTION('center_axis',(0.,0.,1.)); #206235=DIRECTION('ref_axis',(-1.09775986774384E-5,-0.999999999939746,0.)); #206236=DIRECTION('',(0.,0.,1.)); #206237=DIRECTION('center_axis',(0.,0.,1.)); #206238=DIRECTION('ref_axis',(-1.09775986774384E-5,-0.999999999939746,0.)); #206239=DIRECTION('center_axis',(-1.61217663964297E-14,-1.,0.)); #206240=DIRECTION('ref_axis',(1.,-1.61217663964297E-14,0.)); #206241=DIRECTION('',(0.,0.,1.)); #206242=DIRECTION('',(1.,-1.61217663964297E-14,0.)); #206243=DIRECTION('center_axis',(0.,0.,1.)); #206244=DIRECTION('ref_axis',(-0.999999999939657,-1.09857007802823E-5,0.)); #206245=DIRECTION('center_axis',(0.,0.,1.)); #206246=DIRECTION('ref_axis',(-0.999999999939657,-1.09857007802823E-5,0.)); #206247=DIRECTION('center_axis',(0.,0.,-1.)); #206248=DIRECTION('ref_axis',(-1.,0.,0.)); #206249=DIRECTION('center_axis',(0.,0.,1.)); #206250=DIRECTION('ref_axis',(-5.98596958188543E-6,-0.999999999982084,0.)); #206251=DIRECTION('',(0.,0.,1.)); #206252=DIRECTION('center_axis',(0.,0.,1.)); #206253=DIRECTION('ref_axis',(-5.98596958188543E-6,-0.999999999982084,0.)); #206254=DIRECTION('',(0.,0.,1.)); #206255=DIRECTION('center_axis',(-1.52615433596124E-15,-1.,0.)); #206256=DIRECTION('ref_axis',(1.,-1.52615433596124E-15,0.)); #206257=DIRECTION('',(0.,0.,1.)); #206258=DIRECTION('',(1.,-1.52615433596124E-15,0.)); #206259=DIRECTION('center_axis',(0.,0.,1.)); #206260=DIRECTION('ref_axis',(-0.999999999982035,-5.99407181384501E-6,0.)); #206261=DIRECTION('',(0.,0.,1.)); #206262=DIRECTION('center_axis',(0.,0.,1.)); #206263=DIRECTION('ref_axis',(-0.999999999982035,-5.99407181384501E-6,0.)); #206264=DIRECTION('center_axis',(-1.,0.,0.)); #206265=DIRECTION('ref_axis',(0.,-1.,0.)); #206266=DIRECTION('',(0.,0.,1.)); #206267=DIRECTION('',(0.,-1.,0.)); #206268=DIRECTION('center_axis',(0.,0.,1.)); #206269=DIRECTION('ref_axis',(5.98596958188543E-6,0.999999999982084,0.)); #206270=DIRECTION('',(0.,0.,1.)); #206271=DIRECTION('center_axis',(0.,0.,1.)); #206272=DIRECTION('ref_axis',(5.98596958188543E-6,0.999999999982084,0.)); #206273=DIRECTION('center_axis',(0.,1.,0.)); #206274=DIRECTION('ref_axis',(-1.,0.,0.)); #206275=DIRECTION('',(0.,0.,1.)); #206276=DIRECTION('',(-1.,0.,0.)); #206277=DIRECTION('center_axis',(-1.,0.,0.)); #206278=DIRECTION('ref_axis',(0.,-1.,0.)); #206279=DIRECTION('',(0.,0.,1.)); #206280=DIRECTION('',(0.,-1.,0.)); #206281=DIRECTION('center_axis',(0.,0.,1.)); #206282=DIRECTION('ref_axis',(6.98429910395754E-6,0.99999999997561,0.)); #206283=DIRECTION('',(0.,0.,1.)); #206284=DIRECTION('center_axis',(0.,0.,1.)); #206285=DIRECTION('ref_axis',(6.98429910395754E-6,0.99999999997561,0.)); #206286=DIRECTION('center_axis',(0.,1.,0.)); #206287=DIRECTION('ref_axis',(-1.,0.,0.)); #206288=DIRECTION('',(0.,0.,1.)); #206289=DIRECTION('',(-1.,0.,0.)); #206290=DIRECTION('center_axis',(0.,0.,1.)); #206291=DIRECTION('ref_axis',(0.999999999975553,6.99240154950258E-6,0.)); #206292=DIRECTION('',(0.,0.,1.)); #206293=DIRECTION('center_axis',(0.,0.,1.)); #206294=DIRECTION('ref_axis',(0.999999999975553,6.99240154950258E-6,0.)); #206295=DIRECTION('center_axis',(1.,0.,0.)); #206296=DIRECTION('ref_axis',(0.,1.,0.)); #206297=DIRECTION('',(0.,0.,1.)); #206298=DIRECTION('',(0.,1.,0.)); #206299=DIRECTION('center_axis',(0.,1.,0.)); #206300=DIRECTION('ref_axis',(-1.,0.,0.)); #206301=DIRECTION('',(0.,0.,1.)); #206302=DIRECTION('',(-1.,0.,0.)); #206303=DIRECTION('center_axis',(0.,0.,1.)); #206304=DIRECTION('ref_axis',(0.999999999982035,5.99407172517489E-6,0.)); #206305=DIRECTION('',(0.,0.,1.)); #206306=DIRECTION('center_axis',(0.,0.,1.)); #206307=DIRECTION('ref_axis',(0.999999999982035,5.99407172517489E-6,0.)); #206308=DIRECTION('center_axis',(1.,0.,0.)); #206309=DIRECTION('ref_axis',(0.,1.,0.)); #206310=DIRECTION('',(0.,1.,0.)); #206311=DIRECTION('center_axis',(0.,0.,-1.)); #206312=DIRECTION('ref_axis',(-1.,0.,0.)); #206313=DIRECTION('center_axis',(0.,0.,1.)); #206314=DIRECTION('ref_axis',(0.999999999975553,6.99240154950258E-6,0.)); #206315=DIRECTION('',(0.,0.,1.)); #206316=DIRECTION('center_axis',(0.,0.,1.)); #206317=DIRECTION('ref_axis',(0.999999999975553,6.99240154950258E-6,0.)); #206318=DIRECTION('',(0.,0.,1.)); #206319=DIRECTION('center_axis',(1.,0.,0.)); #206320=DIRECTION('ref_axis',(0.,1.,0.)); #206321=DIRECTION('',(0.,0.,1.)); #206322=DIRECTION('',(0.,1.,0.)); #206323=DIRECTION('center_axis',(-0.844257378227236,0.535937943524131,0.)); #206324=DIRECTION('ref_axis',(-0.535937943524131,-0.844257378227236,0.)); #206325=DIRECTION('',(0.,0.,1.)); #206326=DIRECTION('',(-0.535937943524131,-0.844257378227236,0.)); #206327=DIRECTION('center_axis',(0.,0.,1.)); #206328=DIRECTION('ref_axis',(0.0131394769939642,0.999913673346017,0.)); #206329=DIRECTION('',(0.,0.,1.)); #206330=DIRECTION('center_axis',(0.,0.,1.)); #206331=DIRECTION('ref_axis',(0.0131394769939642,0.999913673346017,0.)); #206332=DIRECTION('center_axis',(0.,1.,0.)); #206333=DIRECTION('ref_axis',(-1.,0.,0.)); #206334=DIRECTION('',(0.,0.,1.)); #206335=DIRECTION('',(-1.,0.,0.)); #206336=DIRECTION('center_axis',(0.,0.,1.)); #206337=DIRECTION('ref_axis',(0.844702653096447,0.535235861888778,0.)); #206338=DIRECTION('',(0.,0.,1.)); #206339=DIRECTION('center_axis',(0.,0.,1.)); #206340=DIRECTION('ref_axis',(0.844702653096447,0.535235861888778,0.)); #206341=DIRECTION('center_axis',(0.843661133252801,0.536876049231663,0.)); #206342=DIRECTION('ref_axis',(-0.536876049231663,0.843661133252801,0.)); #206343=DIRECTION('',(0.,0.,1.)); #206344=DIRECTION('',(-0.536876049231663,0.843661133252801,0.)); #206345=DIRECTION('center_axis',(-1.,0.,0.)); #206346=DIRECTION('ref_axis',(0.,-1.,0.)); #206347=DIRECTION('',(0.,0.,1.)); #206348=DIRECTION('',(0.,-1.,0.)); #206349=DIRECTION('center_axis',(0.,0.,1.)); #206350=DIRECTION('ref_axis',(6.9842992812976E-6,0.99999999997561,0.)); #206351=DIRECTION('',(0.,0.,1.)); #206352=DIRECTION('center_axis',(0.,0.,1.)); #206353=DIRECTION('ref_axis',(6.9842992812976E-6,0.99999999997561,0.)); #206354=DIRECTION('center_axis',(0.,1.,0.)); #206355=DIRECTION('ref_axis',(-1.,0.,0.)); #206356=DIRECTION('',(0.,0.,1.)); #206357=DIRECTION('',(-1.,0.,0.)); #206358=DIRECTION('center_axis',(0.,0.,1.)); #206359=DIRECTION('ref_axis',(0.999999999982036,5.99407181384394E-6,0.)); #206360=DIRECTION('',(0.,0.,1.)); #206361=DIRECTION('center_axis',(0.,0.,1.)); #206362=DIRECTION('ref_axis',(0.999999999982036,5.99407181384394E-6,0.)); #206363=DIRECTION('center_axis',(1.,0.,0.)); #206364=DIRECTION('ref_axis',(0.,1.,0.)); #206365=DIRECTION('',(0.,0.,1.)); #206366=DIRECTION('',(0.,1.,0.)); #206367=DIRECTION('center_axis',(0.,0.,1.)); #206368=DIRECTION('ref_axis',(-5.98596958188543E-6,-0.999999999982084,0.)); #206369=DIRECTION('',(0.,0.,1.)); #206370=DIRECTION('center_axis',(0.,0.,1.)); #206371=DIRECTION('ref_axis',(-5.98596958188543E-6,-0.999999999982084,0.)); #206372=DIRECTION('center_axis',(0.,-1.,0.)); #206373=DIRECTION('ref_axis',(1.,0.,0.)); #206374=DIRECTION('',(0.,0.,1.)); #206375=DIRECTION('',(1.,0.,0.)); #206376=DIRECTION('center_axis',(0.,0.,1.)); #206377=DIRECTION('ref_axis',(-0.844695588877129,-0.535247010390081,0.)); #206378=DIRECTION('',(0.,0.,1.)); #206379=DIRECTION('center_axis',(0.,0.,1.)); #206380=DIRECTION('ref_axis',(-0.844695588877129,-0.535247010390081,0.)); #206381=DIRECTION('center_axis',(-0.848206236349339,-0.529666102953634, 0.)); #206382=DIRECTION('ref_axis',(0.529666102953634,-0.848206236349339,0.)); #206383=DIRECTION('',(0.,0.,1.)); #206384=DIRECTION('',(0.529666102953634,-0.848206236349339,0.)); #206385=DIRECTION('center_axis',(0.848206568606088,-0.52966557087797,0.)); #206386=DIRECTION('ref_axis',(0.52966557087797,0.848206568606088,0.)); #206387=DIRECTION('',(0.,0.,1.)); #206388=DIRECTION('',(0.52966557087797,0.848206568606088,0.)); #206389=DIRECTION('center_axis',(0.,0.,1.)); #206390=DIRECTION('ref_axis',(-0.0131547748473801,-0.999913472205828,0.)); #206391=DIRECTION('',(0.,0.,1.)); #206392=DIRECTION('center_axis',(0.,0.,1.)); #206393=DIRECTION('ref_axis',(-0.0131547748473801,-0.999913472205828,0.)); #206394=DIRECTION('center_axis',(0.,-1.,0.)); #206395=DIRECTION('ref_axis',(1.,0.,0.)); #206396=DIRECTION('',(0.,0.,1.)); #206397=DIRECTION('',(1.,0.,0.)); #206398=DIRECTION('center_axis',(0.,0.,1.)); #206399=DIRECTION('ref_axis',(-0.999999999939657,-1.0985700824623E-5,0.)); #206400=DIRECTION('',(0.,0.,1.)); #206401=DIRECTION('center_axis',(0.,0.,1.)); #206402=DIRECTION('ref_axis',(-0.999999999939657,-1.0985700824623E-5,0.)); #206403=DIRECTION('center_axis',(-1.,0.,0.)); #206404=DIRECTION('ref_axis',(0.,-1.,0.)); #206405=DIRECTION('',(0.,0.,1.)); #206406=DIRECTION('',(0.,-1.,0.)); #206407=DIRECTION('center_axis',(0.,0.,1.)); #206408=DIRECTION('ref_axis',(1.09775986774384E-5,0.999999999939746,0.)); #206409=DIRECTION('',(0.,0.,1.)); #206410=DIRECTION('center_axis',(0.,0.,1.)); #206411=DIRECTION('ref_axis',(1.09775986774384E-5,0.999999999939746,0.)); #206412=DIRECTION('center_axis',(0.,1.,0.)); #206413=DIRECTION('ref_axis',(-1.,0.,0.)); #206414=DIRECTION('',(-1.,0.,0.)); #206415=DIRECTION('center_axis',(0.,0.,-1.)); #206416=DIRECTION('ref_axis',(-1.,0.,0.)); #206417=DIRECTION('center_axis',(-0.903323873571427,-0.428959181549845, 0.)); #206418=DIRECTION('ref_axis',(0.428959181549845,-0.903323873571427,0.)); #206419=DIRECTION('',(0.,0.,1.)); #206420=DIRECTION('',(0.428959181549845,-0.903323873571427,0.)); #206421=DIRECTION('',(0.,0.,1.)); #206422=DIRECTION('center_axis',(-0.897030667438562,-0.441968303925438, 0.)); #206423=DIRECTION('ref_axis',(0.441968303925438,-0.897030667438562,0.)); #206424=DIRECTION('',(0.,0.,1.)); #206425=DIRECTION('',(0.441968303925438,-0.897030667438562,0.)); #206426=DIRECTION('center_axis',(-0.70881689765023,0.705392518818781,0.)); #206427=DIRECTION('ref_axis',(-0.705392518818781,-0.70881689765023,0.)); #206428=DIRECTION('',(0.,0.,1.)); #206429=DIRECTION('',(-0.705392518818781,-0.70881689765023,0.)); #206430=DIRECTION('center_axis',(0.449525835102012,0.893267330408898,0.)); #206431=DIRECTION('ref_axis',(-0.893267330408898,0.449525835102012,0.)); #206432=DIRECTION('',(0.,0.,1.)); #206433=DIRECTION('',(-0.893267330408898,0.449525835102012,0.)); #206434=DIRECTION('center_axis',(0.,0.,1.)); #206435=DIRECTION('ref_axis',(-0.197871148949232,-0.980228038985578,0.)); #206436=DIRECTION('',(0.,0.,1.)); #206437=DIRECTION('center_axis',(0.,0.,-1.)); #206438=DIRECTION('ref_axis',(-0.197871148949232,-0.980228038985578,0.)); #206439=DIRECTION('center_axis',(0.,0.,1.)); #206440=DIRECTION('ref_axis',(-0.865885781621479,0.500241754740406,0.)); #206441=DIRECTION('',(0.,0.,1.)); #206442=DIRECTION('center_axis',(0.,0.,1.)); #206443=DIRECTION('ref_axis',(-0.865885781621479,0.500241754740406,0.)); #206444=DIRECTION('center_axis',(0.706862011028869,0.707351466644569,0.)); #206445=DIRECTION('ref_axis',(-0.707351466644569,0.706862011028869,0.)); #206446=DIRECTION('',(0.,0.,1.)); #206447=DIRECTION('',(-0.707351466644569,0.706862011028869,0.)); #206448=DIRECTION('center_axis',(0.949705977772521,0.313143027677674,0.)); #206449=DIRECTION('ref_axis',(-0.313143027677674,0.949705977772521,0.)); #206450=DIRECTION('',(0.,0.,1.)); #206451=DIRECTION('',(-0.313143027677674,0.949705977772521,0.)); #206452=DIRECTION('center_axis',(0.991308629491215,-0.131556835992089,0.)); #206453=DIRECTION('ref_axis',(0.131556835992089,0.991308629491215,0.)); #206454=DIRECTION('',(0.,0.,1.)); #206455=DIRECTION('',(0.131556835992089,0.991308629491215,0.)); #206456=DIRECTION('center_axis',(0.670439504883303,0.741964197446097,0.)); #206457=DIRECTION('ref_axis',(-0.741964197446097,0.670439504883303,0.)); #206458=DIRECTION('',(0.,0.,1.)); #206459=DIRECTION('',(-0.741964197446097,0.670439504883303,0.)); #206460=DIRECTION('center_axis',(0.,0.,1.)); #206461=DIRECTION('ref_axis',(-0.451573748520254,-0.892233797637909,0.)); #206462=DIRECTION('',(0.,0.,1.)); #206463=DIRECTION('center_axis',(0.,0.,-1.)); #206464=DIRECTION('ref_axis',(-0.451573748520254,-0.892233797637909,0.)); #206465=DIRECTION('center_axis',(0.208956238551366,0.97792499220056,0.)); #206466=DIRECTION('ref_axis',(-0.97792499220056,0.208956238551366,0.)); #206467=DIRECTION('',(0.,0.,1.)); #206468=DIRECTION('',(-0.97792499220056,0.208956238551366,0.)); #206469=DIRECTION('center_axis',(-0.0233571135276787,0.999727185409927, 0.)); #206470=DIRECTION('ref_axis',(-0.999727185409927,-0.0233571135276787,0.)); #206471=DIRECTION('',(0.,0.,1.)); #206472=DIRECTION('',(-0.999727185409927,-0.0233571135276787,0.)); #206473=DIRECTION('center_axis',(0.,0.,1.)); #206474=DIRECTION('ref_axis',(0.920654507699573,-0.390378377286007,0.)); #206475=DIRECTION('',(0.,0.,1.)); #206476=DIRECTION('center_axis',(0.,0.,-1.)); #206477=DIRECTION('ref_axis',(0.920654507699573,-0.390378377286007,0.)); #206478=DIRECTION('center_axis',(-0.93471930742574,0.355386854463619,0.)); #206479=DIRECTION('ref_axis',(-0.355386854463619,-0.93471930742574,0.)); #206480=DIRECTION('',(0.,0.,1.)); #206481=DIRECTION('',(-0.355386854463619,-0.93471930742574,0.)); #206482=DIRECTION('center_axis',(0.0771503163222731,0.997019472573818,0.)); #206483=DIRECTION('ref_axis',(-0.997019472573818,0.0771503163222731,0.)); #206484=DIRECTION('',(0.,0.,1.)); #206485=DIRECTION('',(-0.997019472573818,0.0771503163222731,0.)); #206486=DIRECTION('center_axis',(0.,0.,1.)); #206487=DIRECTION('ref_axis',(0.82482762361216,0.565384286416166,0.)); #206488=DIRECTION('',(0.,0.,1.)); #206489=DIRECTION('center_axis',(0.,0.,1.)); #206490=DIRECTION('ref_axis',(0.82482762361216,0.565384286416166,0.)); #206491=DIRECTION('center_axis',(0.,0.,1.)); #206492=DIRECTION('ref_axis',(0.9292365677019,-0.369485319391167,0.)); #206493=DIRECTION('',(0.,0.,1.)); #206494=DIRECTION('center_axis',(0.,0.,1.)); #206495=DIRECTION('ref_axis',(0.9292365677019,-0.369485319391167,0.)); #206496=DIRECTION('center_axis',(0.963315784772422,-0.268370450698829,0.)); #206497=DIRECTION('ref_axis',(0.268370450698829,0.963315784772422,0.)); #206498=DIRECTION('',(0.,0.,1.)); #206499=DIRECTION('',(0.268370450698829,0.963315784772422,0.)); #206500=DIRECTION('center_axis',(0.707353576030992,0.706859900175536,0.)); #206501=DIRECTION('ref_axis',(-0.706859900175536,0.707353576030991,0.)); #206502=DIRECTION('',(0.,0.,1.)); #206503=DIRECTION('',(-0.706859900175536,0.707353576030992,0.)); #206504=DIRECTION('center_axis',(0.,0.,1.)); #206505=DIRECTION('ref_axis',(0.999967958706487,0.00800509590078521,0.)); #206506=DIRECTION('',(0.,0.,1.)); #206507=DIRECTION('center_axis',(0.,0.,1.)); #206508=DIRECTION('ref_axis',(0.999967958706487,0.00800509590078521,0.)); #206509=DIRECTION('center_axis',(0.983752639633442,-0.179529228857683,0.)); #206510=DIRECTION('ref_axis',(0.179529228857683,0.983752639633442,0.)); #206511=DIRECTION('',(0.,0.,1.)); #206512=DIRECTION('',(0.179529228857683,0.983752639633442,0.)); #206513=DIRECTION('center_axis',(0.923127997353918,-0.384492783419071,0.)); #206514=DIRECTION('ref_axis',(0.384492783419071,0.923127997353918,0.)); #206515=DIRECTION('',(0.,0.,1.)); #206516=DIRECTION('',(0.384492783419071,0.923127997353918,0.)); #206517=DIRECTION('center_axis',(0.816796495143535,-0.576925892573073,0.)); #206518=DIRECTION('ref_axis',(0.576925892573073,0.816796495143535,0.)); #206519=DIRECTION('',(0.,0.,1.)); #206520=DIRECTION('',(0.576925892573073,0.816796495143535,0.)); #206521=DIRECTION('center_axis',(0.667745010635626,-0.744390086427289,0.)); #206522=DIRECTION('ref_axis',(0.744390086427289,0.667745010635626,0.)); #206523=DIRECTION('',(0.,0.,1.)); #206524=DIRECTION('',(0.744390086427289,0.667745010635626,0.)); #206525=DIRECTION('center_axis',(0.482675786290618,-0.875799112427464,0.)); #206526=DIRECTION('ref_axis',(0.875799112427464,0.482675786290618,0.)); #206527=DIRECTION('',(0.,0.,1.)); #206528=DIRECTION('',(0.875799112427464,0.482675786290618,0.)); #206529=DIRECTION('center_axis',(0.271710907152328,-0.962378918583766,0.)); #206530=DIRECTION('ref_axis',(0.962378918583766,0.271710907152328,0.)); #206531=DIRECTION('',(0.,0.,1.)); #206532=DIRECTION('',(0.962378918583766,0.271710907152328,0.)); #206533=DIRECTION('center_axis',(0.0486836911188429,-0.998814246103371, 0.)); #206534=DIRECTION('ref_axis',(0.998814246103371,0.0486836911188429,0.)); #206535=DIRECTION('',(0.,0.,1.)); #206536=DIRECTION('',(0.998814246103371,0.0486836911188429,0.)); #206537=DIRECTION('center_axis',(0.,0.,1.)); #206538=DIRECTION('ref_axis',(-0.74561762627745,-0.666374035646934,0.)); #206539=DIRECTION('',(0.,0.,1.)); #206540=DIRECTION('center_axis',(0.,0.,1.)); #206541=DIRECTION('ref_axis',(-0.74561762627745,-0.666374035646934,0.)); #206542=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548, 0.)); #206543=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.)); #206544=DIRECTION('',(0.,0.,1.)); #206545=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #206546=DIRECTION('center_axis',(0.136946332044174,-0.990578468441368,0.)); #206547=DIRECTION('ref_axis',(0.990578468441368,0.136946332044174,0.)); #206548=DIRECTION('',(0.,0.,1.)); #206549=DIRECTION('',(0.990578468441368,0.136946332044174,0.)); #206550=DIRECTION('center_axis',(0.990853273839173,-0.134943653878916,0.)); #206551=DIRECTION('ref_axis',(0.134943653878916,0.990853273839173,0.)); #206552=DIRECTION('',(0.,0.,1.)); #206553=DIRECTION('',(0.134943653878916,0.990853273839173,0.)); #206554=DIRECTION('center_axis',(0.,0.,1.)); #206555=DIRECTION('ref_axis',(0.905581795128674,0.424171677898854,0.)); #206556=DIRECTION('',(0.,0.,1.)); #206557=DIRECTION('center_axis',(0.,0.,1.)); #206558=DIRECTION('ref_axis',(0.905581795128674,0.424171677898854,0.)); #206559=DIRECTION('center_axis',(0.998107490979327,0.0614933854243931,0.)); #206560=DIRECTION('ref_axis',(-0.0614933854243931,0.998107490979327,0.)); #206561=DIRECTION('',(0.,0.,1.)); #206562=DIRECTION('',(-0.0614933854243931,0.998107490979327,0.)); #206563=DIRECTION('center_axis',(0.980362186678274,-0.197205433321176,0.)); #206564=DIRECTION('ref_axis',(0.197205433321176,0.980362186678274,0.)); #206565=DIRECTION('',(0.,0.,1.)); #206566=DIRECTION('',(0.197205433321176,0.980362186678274,0.)); #206567=DIRECTION('center_axis',(0.894012263802505,-0.44804248924708,0.)); #206568=DIRECTION('ref_axis',(0.44804248924708,0.894012263802505,0.)); #206569=DIRECTION('',(0.,0.,1.)); #206570=DIRECTION('',(0.44804248924708,0.894012263802505,0.)); #206571=DIRECTION('center_axis',(0.751611948858796,-0.659605547530252,0.)); #206572=DIRECTION('ref_axis',(0.659605547530252,0.751611948858796,0.)); #206573=DIRECTION('',(0.,0.,1.)); #206574=DIRECTION('',(0.659605547530252,0.751611948858796,0.)); #206575=DIRECTION('center_axis',(0.,0.,1.)); #206576=DIRECTION('ref_axis',(-0.758001548398104,-0.652252752103107,0.)); #206577=DIRECTION('',(0.,0.,1.)); #206578=DIRECTION('center_axis',(0.,0.,1.)); #206579=DIRECTION('ref_axis',(-0.758001548398104,-0.652252752103107,0.)); #206580=DIRECTION('center_axis',(-0.707871134203683,-0.70634160104102,0.)); #206581=DIRECTION('ref_axis',(0.70634160104102,-0.707871134203683,0.)); #206582=DIRECTION('',(0.,0.,1.)); #206583=DIRECTION('',(0.70634160104102,-0.707871134203683,0.)); #206584=DIRECTION('center_axis',(0.989924089056958,-0.141599074519404,0.)); #206585=DIRECTION('ref_axis',(0.141599074519404,0.989924089056958,0.)); #206586=DIRECTION('',(0.,0.,1.)); #206587=DIRECTION('',(0.141599074519404,0.989924089056958,0.)); #206588=DIRECTION('center_axis',(0.749770249634098,0.661698249025659,0.)); #206589=DIRECTION('ref_axis',(-0.661698249025658,0.749770249634098,0.)); #206590=DIRECTION('',(0.,0.,1.)); #206591=DIRECTION('',(-0.661698249025658,0.749770249634098,0.)); #206592=DIRECTION('center_axis',(0.744760502105399,0.667331847362101,0.)); #206593=DIRECTION('ref_axis',(-0.667331847362101,0.744760502105399,0.)); #206594=DIRECTION('',(0.,0.,1.)); #206595=DIRECTION('',(-0.667331847362101,0.744760502105399,0.)); #206596=DIRECTION('center_axis',(0.,0.,1.)); #206597=DIRECTION('ref_axis',(0.99994545718912,-0.0104442638247832,0.)); #206598=DIRECTION('',(0.,0.,1.)); #206599=DIRECTION('center_axis',(0.,0.,1.)); #206600=DIRECTION('ref_axis',(0.99994545718912,-0.0104442638247832,0.)); #206601=DIRECTION('center_axis',(0.945589344581903,-0.325362553796786,0.)); #206602=DIRECTION('ref_axis',(0.325362553796786,0.945589344581903,0.)); #206603=DIRECTION('',(0.,0.,1.)); #206604=DIRECTION('',(0.325362553796786,0.945589344581903,0.)); #206605=DIRECTION('center_axis',(0.867059334041633,-0.498204888827157,0.)); #206606=DIRECTION('ref_axis',(0.498204888827157,0.867059334041633,0.)); #206607=DIRECTION('',(0.,0.,1.)); #206608=DIRECTION('',(0.498204888827157,0.867059334041633,0.)); #206609=DIRECTION('center_axis',(0.751687426286094,-0.659519532056018,0.)); #206610=DIRECTION('ref_axis',(0.659519532056018,0.751687426286094,0.)); #206611=DIRECTION('',(0.,0.,1.)); #206612=DIRECTION('',(0.659519532056018,0.751687426286094,0.)); #206613=DIRECTION('center_axis',(0.,0.,1.)); #206614=DIRECTION('ref_axis',(-0.799997903295703,-0.600002795595553,0.)); #206615=DIRECTION('',(0.,0.,1.)); #206616=DIRECTION('center_axis',(0.,0.,1.)); #206617=DIRECTION('ref_axis',(-0.799997903295703,-0.600002795595553,0.)); #206618=DIRECTION('center_axis',(-0.66597984547743,-0.745969734920833,0.)); #206619=DIRECTION('ref_axis',(0.745969734920833,-0.66597984547743,0.)); #206620=DIRECTION('',(0.,0.,1.)); #206621=DIRECTION('',(0.745969734920833,-0.66597984547743,0.)); #206622=DIRECTION('center_axis',(0.146156827949378,-0.989261432404891,0.)); #206623=DIRECTION('ref_axis',(0.989261432404891,0.146156827949378,0.)); #206624=DIRECTION('',(0.,0.,1.)); #206625=DIRECTION('',(0.989261432404891,0.146156827949378,0.)); #206626=DIRECTION('center_axis',(0.,0.,1.)); #206627=DIRECTION('ref_axis',(0.999997528100458,0.00222346418341297,0.)); #206628=DIRECTION('',(0.,0.,1.)); #206629=DIRECTION('center_axis',(0.,0.,1.)); #206630=DIRECTION('ref_axis',(0.999997528100458,0.00222346418341297,0.)); #206631=DIRECTION('center_axis',(0.988651169178748,-0.150229376892451,0.)); #206632=DIRECTION('ref_axis',(0.150229376892451,0.988651169178748,0.)); #206633=DIRECTION('',(0.,0.,1.)); #206634=DIRECTION('',(0.150229376892451,0.988651169178748,0.)); #206635=DIRECTION('center_axis',(0.943715546882378,-0.330758169320871,0.)); #206636=DIRECTION('ref_axis',(0.330758169320871,0.943715546882378,0.)); #206637=DIRECTION('',(0.,0.,1.)); #206638=DIRECTION('',(0.330758169320871,0.943715546882378,0.)); #206639=DIRECTION('center_axis',(0.860339492751631,-0.509721450609906,0.)); #206640=DIRECTION('ref_axis',(0.509721450609906,0.860339492751631,0.)); #206641=DIRECTION('',(0.,0.,1.)); #206642=DIRECTION('',(0.509721450609906,0.860339492751631,0.)); #206643=DIRECTION('center_axis',(0.,0.,1.)); #206644=DIRECTION('ref_axis',(-0.164990675151872,-0.986295126781497,0.)); #206645=DIRECTION('',(0.,0.,1.)); #206646=DIRECTION('center_axis',(0.,0.,1.)); #206647=DIRECTION('ref_axis',(-0.164990675151872,-0.986295126781497,0.)); #206648=DIRECTION('center_axis',(0.,0.,1.)); #206649=DIRECTION('ref_axis',(-0.862715720276282,-0.505689218777873,0.)); #206650=DIRECTION('',(0.,0.,1.)); #206651=DIRECTION('center_axis',(0.,0.,1.)); #206652=DIRECTION('ref_axis',(-0.862715720276282,-0.505689218777873,0.)); #206653=DIRECTION('center_axis',(-0.707106781186561,-0.707106781186534, 0.)); #206654=DIRECTION('ref_axis',(0.707106781186534,-0.707106781186561,0.)); #206655=DIRECTION('',(0.,0.,1.)); #206656=DIRECTION('',(0.707106781186534,-0.707106781186561,0.)); #206657=DIRECTION('center_axis',(-0.195229187027318,-0.980757648215222, 0.)); #206658=DIRECTION('ref_axis',(0.980757648215222,-0.195229187027318,0.)); #206659=DIRECTION('',(0.,0.,1.)); #206660=DIRECTION('',(0.980757648215222,-0.195229187027318,0.)); #206661=DIRECTION('center_axis',(0.,0.,1.)); #206662=DIRECTION('ref_axis',(-0.169818420105364,0.985475369653102,0.)); #206663=DIRECTION('',(0.,0.,1.)); #206664=DIRECTION('center_axis',(0.,0.,-1.)); #206665=DIRECTION('ref_axis',(-0.169818420105364,0.985475369653102,0.)); #206666=DIRECTION('center_axis',(0.,0.,1.)); #206667=DIRECTION('ref_axis',(0.989985463706932,-0.141169336787315,0.)); #206668=DIRECTION('',(0.,0.,1.)); #206669=DIRECTION('center_axis',(0.,0.,1.)); #206670=DIRECTION('ref_axis',(0.989985463706932,-0.141169336787315,0.)); #206671=DIRECTION('center_axis',(0.921237168381185,-0.389001387649216,0.)); #206672=DIRECTION('ref_axis',(0.389001387649216,0.921237168381185,0.)); #206673=DIRECTION('',(0.,0.,1.)); #206674=DIRECTION('',(0.389001387649216,0.921237168381185,0.)); #206675=DIRECTION('center_axis',(0.,0.,1.)); #206676=DIRECTION('ref_axis',(-0.189798931613211,-0.981822980765109,0.)); #206677=DIRECTION('',(0.,0.,1.)); #206678=DIRECTION('center_axis',(0.,0.,1.)); #206679=DIRECTION('ref_axis',(-0.189798931613211,-0.981822980765109,0.)); #206680=DIRECTION('center_axis',(0.,0.,1.)); #206681=DIRECTION('ref_axis',(-0.88362155798419,-0.468201817879419,0.)); #206682=DIRECTION('',(0.,0.,1.)); #206683=DIRECTION('center_axis',(0.,0.,1.)); #206684=DIRECTION('ref_axis',(-0.88362155798419,-0.468201817879419,0.)); #206685=DIRECTION('center_axis',(-0.707398124616858,-0.706815317666894, 0.)); #206686=DIRECTION('ref_axis',(0.706815317666894,-0.707398124616858,0.)); #206687=DIRECTION('',(0.,0.,1.)); #206688=DIRECTION('',(0.706815317666894,-0.707398124616858,0.)); #206689=DIRECTION('center_axis',(0.124801636792607,-0.992181712920514,0.)); #206690=DIRECTION('ref_axis',(0.992181712920514,0.124801636792607,0.)); #206691=DIRECTION('',(0.,0.,1.)); #206692=DIRECTION('',(0.992181712920514,0.124801636792607,0.)); #206693=DIRECTION('center_axis',(0.,0.,1.)); #206694=DIRECTION('ref_axis',(-0.34728307560011,-0.937760345398401,0.)); #206695=DIRECTION('',(0.,0.,1.)); #206696=DIRECTION('center_axis',(0.,0.,1.)); #206697=DIRECTION('ref_axis',(-0.34728307560011,-0.937760345398401,0.)); #206698=DIRECTION('center_axis',(0.,0.,1.)); #206699=DIRECTION('ref_axis',(-0.77839985577876,-0.627768798622237,0.)); #206700=DIRECTION('',(0.,0.,1.)); #206701=DIRECTION('center_axis',(0.,0.,1.)); #206702=DIRECTION('ref_axis',(-0.77839985577876,-0.627768798622237,0.)); #206703=DIRECTION('center_axis',(-0.94077931952836,0.339019574579044,0.)); #206704=DIRECTION('ref_axis',(-0.339019574579044,-0.94077931952836,0.)); #206705=DIRECTION('',(0.,0.,1.)); #206706=DIRECTION('',(-0.339019574579044,-0.94077931952836,0.)); #206707=DIRECTION('center_axis',(-0.345239034822093,0.938514788820672,0.)); #206708=DIRECTION('ref_axis',(-0.938514788820672,-0.345239034822093,0.)); #206709=DIRECTION('',(0.,0.,1.)); #206710=DIRECTION('',(-0.938514788820672,-0.345239034822093,0.)); #206711=DIRECTION('center_axis',(-0.511256393869865,0.859428240010288,0.)); #206712=DIRECTION('ref_axis',(-0.859428240010288,-0.511256393869865,0.)); #206713=DIRECTION('',(0.,0.,1.)); #206714=DIRECTION('',(-0.859428240010288,-0.511256393869865,0.)); #206715=DIRECTION('center_axis',(0.,0.,1.)); #206716=DIRECTION('ref_axis',(0.779973644786437,-0.625812362804189,0.)); #206717=DIRECTION('',(0.,0.,1.)); #206718=DIRECTION('center_axis',(0.,0.,-1.)); #206719=DIRECTION('ref_axis',(0.779973644786437,-0.625812362804189,0.)); #206720=DIRECTION('center_axis',(-0.895678040058088,0.444703101583181,0.)); #206721=DIRECTION('ref_axis',(-0.444703101583181,-0.895678040058088,0.)); #206722=DIRECTION('',(0.,0.,1.)); #206723=DIRECTION('',(-0.444703101583181,-0.895678040058088,0.)); #206724=DIRECTION('center_axis',(-0.967728579396897,0.251994834507501,0.)); #206725=DIRECTION('ref_axis',(-0.251994834507501,-0.967728579396897,0.)); #206726=DIRECTION('',(0.,0.,1.)); #206727=DIRECTION('',(-0.251994834507501,-0.967728579396897,0.)); #206728=DIRECTION('center_axis',(-0.998713195841306,-0.0507144205571241, 0.)); #206729=DIRECTION('ref_axis',(0.0507144205571241,-0.998713195841306,0.)); #206730=DIRECTION('',(0.,0.,1.)); #206731=DIRECTION('',(0.0507144205571241,-0.998713195841306,0.)); #206732=DIRECTION('center_axis',(-0.945781321467469,-0.324804082430084, 0.)); #206733=DIRECTION('ref_axis',(0.324804082430084,-0.945781321467469,0.)); #206734=DIRECTION('',(0.,0.,1.)); #206735=DIRECTION('',(0.324804082430084,-0.945781321467469,0.)); #206736=DIRECTION('center_axis',(-0.8571186781863,-0.515118987714654,0.)); #206737=DIRECTION('ref_axis',(0.515118987714654,-0.8571186781863,0.)); #206738=DIRECTION('',(0.,0.,1.)); #206739=DIRECTION('',(0.515118987714654,-0.8571186781863,0.)); #206740=DIRECTION('center_axis',(0.,0.,1.)); #206741=DIRECTION('ref_axis',(-0.771570772215322,-0.636143492824576,0.)); #206742=DIRECTION('',(0.,0.,1.)); #206743=DIRECTION('center_axis',(0.,0.,1.)); #206744=DIRECTION('ref_axis',(-0.771570772215322,-0.636143492824576,0.)); #206745=DIRECTION('center_axis',(-0.917212768152556,-0.398397713268945, 0.)); #206746=DIRECTION('ref_axis',(0.398397713268946,-0.917212768152556,0.)); #206747=DIRECTION('',(0.,0.,1.)); #206748=DIRECTION('',(0.398397713268945,-0.917212768152556,0.)); #206749=DIRECTION('center_axis',(-0.84996132805474,-0.526845082364278,0.)); #206750=DIRECTION('ref_axis',(0.526845082364278,-0.84996132805474,0.)); #206751=DIRECTION('',(0.,0.,1.)); #206752=DIRECTION('',(0.526845082364278,-0.84996132805474,0.)); #206753=DIRECTION('center_axis',(-0.768304792727831,-0.64008417061465,0.)); #206754=DIRECTION('ref_axis',(0.64008417061465,-0.768304792727831,0.)); #206755=DIRECTION('',(0.,0.,1.)); #206756=DIRECTION('',(0.64008417061465,-0.768304792727831,0.)); #206757=DIRECTION('center_axis',(0.134780295720501,-0.99087550776346,0.)); #206758=DIRECTION('ref_axis',(0.99087550776346,0.134780295720501,0.)); #206759=DIRECTION('',(0.,0.,1.)); #206760=DIRECTION('',(0.99087550776346,0.134780295720501,0.)); #206761=DIRECTION('center_axis',(-1.,0.,0.)); #206762=DIRECTION('ref_axis',(0.,-1.,0.)); #206763=DIRECTION('',(0.,0.,1.)); #206764=DIRECTION('',(0.,-1.,0.)); #206765=DIRECTION('center_axis',(-0.496774154345683,0.867879853190597,0.)); #206766=DIRECTION('ref_axis',(-0.867879853190597,-0.496774154345683,0.)); #206767=DIRECTION('',(-0.867879853190597,-0.496774154345683,0.)); #206768=DIRECTION('center_axis',(0.,0.,-1.)); #206769=DIRECTION('ref_axis',(-1.,0.,0.)); #206770=DIRECTION('center_axis',(-1.,0.,0.)); #206771=DIRECTION('ref_axis',(0.,-1.,0.)); #206772=DIRECTION('',(0.,0.,1.)); #206773=DIRECTION('',(0.,-1.,0.)); #206774=DIRECTION('',(0.,0.,1.)); #206775=DIRECTION('center_axis',(0.,0.,1.)); #206776=DIRECTION('ref_axis',(-0.979699369440284,-0.200472306113111,0.)); #206777=DIRECTION('',(0.,0.,1.)); #206778=DIRECTION('center_axis',(0.,0.,1.)); #206779=DIRECTION('ref_axis',(-0.979699369440284,-0.200472306113111,0.)); #206780=DIRECTION('center_axis',(-0.89448073027735,0.447106500917286,0.)); #206781=DIRECTION('ref_axis',(-0.447106500917286,-0.89448073027735,0.)); #206782=DIRECTION('',(0.,0.,1.)); #206783=DIRECTION('',(-0.447106500917286,-0.89448073027735,0.)); #206784=DIRECTION('center_axis',(-0.753788779488812,0.657116790165012,0.)); #206785=DIRECTION('ref_axis',(-0.657116790165012,-0.753788779488811,0.)); #206786=DIRECTION('',(0.,0.,1.)); #206787=DIRECTION('',(-0.657116790165012,-0.753788779488811,0.)); #206788=DIRECTION('center_axis',(-0.583622139496333,0.812025368008736,0.)); #206789=DIRECTION('ref_axis',(-0.812025368008736,-0.583622139496333,0.)); #206790=DIRECTION('',(0.,0.,1.)); #206791=DIRECTION('',(-0.812025368008736,-0.583622139496333,0.)); #206792=DIRECTION('center_axis',(-0.421702093032105,0.906734440027697,0.)); #206793=DIRECTION('ref_axis',(-0.906734440027697,-0.421702093032105,0.)); #206794=DIRECTION('',(0.,0.,1.)); #206795=DIRECTION('',(-0.906734440027697,-0.421702093032105,0.)); #206796=DIRECTION('center_axis',(0.,0.,1.)); #206797=DIRECTION('ref_axis',(-0.403962478303755,0.914775555053089,0.)); #206798=DIRECTION('',(0.,0.,1.)); #206799=DIRECTION('center_axis',(0.,0.,1.)); #206800=DIRECTION('ref_axis',(-0.403962478303755,0.914775555053089,0.)); #206801=DIRECTION('center_axis',(0.937960892327544,0.346741062558386,0.)); #206802=DIRECTION('ref_axis',(-0.346741062558386,0.937960892327543,0.)); #206803=DIRECTION('',(0.,0.,1.)); #206804=DIRECTION('',(-0.346741062558386,0.937960892327543,0.)); #206805=DIRECTION('center_axis',(0.984524509440761,0.17524694094457,0.)); #206806=DIRECTION('ref_axis',(-0.17524694094457,0.984524509440761,0.)); #206807=DIRECTION('',(0.,0.,1.)); #206808=DIRECTION('',(-0.17524694094457,0.984524509440761,0.)); #206809=DIRECTION('center_axis',(0.997356322652813,0.0726661246005153,0.)); #206810=DIRECTION('ref_axis',(-0.0726661246005153,0.997356322652813,0.)); #206811=DIRECTION('',(0.,0.,1.)); #206812=DIRECTION('',(-0.0726661246005153,0.997356322652813,0.)); #206813=DIRECTION('center_axis',(0.,0.,1.)); #206814=DIRECTION('ref_axis',(0.999996382222951,0.0026898960963919,0.)); #206815=DIRECTION('',(0.,0.,1.)); #206816=DIRECTION('center_axis',(0.,0.,1.)); #206817=DIRECTION('ref_axis',(0.999996382222951,0.0026898960963919,0.)); #206818=DIRECTION('center_axis',(0.960891388957407,-0.276925510972726,0.)); #206819=DIRECTION('ref_axis',(0.276925510972726,0.960891388957407,0.)); #206820=DIRECTION('',(0.,0.,1.)); #206821=DIRECTION('',(0.276925510972726,0.960891388957407,0.)); #206822=DIRECTION('center_axis',(0.799682942720323,-0.600422510505864,0.)); #206823=DIRECTION('ref_axis',(0.600422510505864,0.799682942720323,0.)); #206824=DIRECTION('',(0.,0.,1.)); #206825=DIRECTION('',(0.600422510505864,0.799682942720323,0.)); #206826=DIRECTION('center_axis',(0.591214232518275,-0.806514557381221,0.)); #206827=DIRECTION('ref_axis',(0.806514557381221,0.591214232518275,0.)); #206828=DIRECTION('',(0.,0.,1.)); #206829=DIRECTION('',(0.806514557381221,0.591214232518275,0.)); #206830=DIRECTION('center_axis',(0.412373008718042,-0.911015094101535,0.)); #206831=DIRECTION('ref_axis',(0.911015094101535,0.412373008718042,0.)); #206832=DIRECTION('',(0.,0.,1.)); #206833=DIRECTION('',(0.911015094101535,0.412373008718042,0.)); #206834=DIRECTION('center_axis',(0.,0.,1.)); #206835=DIRECTION('ref_axis',(0.388359786823198,-0.921507827410403,0.)); #206836=DIRECTION('',(0.,0.,1.)); #206837=DIRECTION('center_axis',(0.,0.,1.)); #206838=DIRECTION('ref_axis',(0.388359786823198,-0.921507827410403,0.)); #206839=DIRECTION('center_axis',(-0.723866061769611,-0.689940522522162, 0.)); #206840=DIRECTION('ref_axis',(0.689940522522162,-0.723866061769611,0.)); #206841=DIRECTION('',(0.,0.,1.)); #206842=DIRECTION('',(0.689940522522162,-0.723866061769611,0.)); #206843=DIRECTION('center_axis',(-0.796492840459362,-0.604647959640135, 0.)); #206844=DIRECTION('ref_axis',(0.604647959640135,-0.796492840459362,0.)); #206845=DIRECTION('',(0.,0.,1.)); #206846=DIRECTION('',(0.604647959640135,-0.796492840459362,0.)); #206847=DIRECTION('center_axis',(-0.858323557615821,-0.513108829042845, 0.)); #206848=DIRECTION('ref_axis',(0.513108829042845,-0.858323557615821,0.)); #206849=DIRECTION('',(0.,0.,1.)); #206850=DIRECTION('',(0.513108829042845,-0.858323557615821,0.)); #206851=DIRECTION('center_axis',(-0.908417327361549,-0.418064539693693, 0.)); #206852=DIRECTION('ref_axis',(0.418064539693693,-0.908417327361549,0.)); #206853=DIRECTION('',(0.,0.,1.)); #206854=DIRECTION('',(0.418064539693693,-0.908417327361549,0.)); #206855=DIRECTION('center_axis',(-0.946867273628976,-0.321624573268944, 0.)); #206856=DIRECTION('ref_axis',(0.321624573268943,-0.946867273628976,0.)); #206857=DIRECTION('',(0.,0.,1.)); #206858=DIRECTION('',(0.321624573268943,-0.946867273628976,0.)); #206859=DIRECTION('center_axis',(-0.974215538001728,-0.225619337637541, 0.)); #206860=DIRECTION('ref_axis',(0.225619337637541,-0.974215538001728,0.)); #206861=DIRECTION('',(0.,0.,1.)); #206862=DIRECTION('',(0.225619337637541,-0.974215538001728,0.)); #206863=DIRECTION('center_axis',(-0.991213158056262,-0.132274242753953, 0.)); #206864=DIRECTION('ref_axis',(0.132274242753953,-0.991213158056262,0.)); #206865=DIRECTION('',(0.,0.,1.)); #206866=DIRECTION('',(0.132274242753953,-0.991213158056262,0.)); #206867=DIRECTION('center_axis',(-0.999079210810498,-0.0429037355748002, 0.)); #206868=DIRECTION('ref_axis',(0.0429037355748002,-0.999079210810498,0.)); #206869=DIRECTION('',(0.0429037355748002,-0.999079210810498,0.)); #206870=DIRECTION('center_axis',(0.,0.,-1.)); #206871=DIRECTION('ref_axis',(-1.,0.,0.)); #206872=DIRECTION('center_axis',(0.,-1.,0.)); #206873=DIRECTION('ref_axis',(1.,0.,0.)); #206874=DIRECTION('',(1.,0.,0.)); #206875=DIRECTION('',(0.,0.,1.)); #206876=DIRECTION('',(1.,0.,0.)); #206877=DIRECTION('',(0.,0.,1.)); #206878=DIRECTION('center_axis',(0.00941552023694364,-0.999955673006893, 0.)); #206879=DIRECTION('ref_axis',(0.999955673006893,0.00941552023694364,0.)); #206880=DIRECTION('',(0.999955673006893,0.00941552023694364,0.)); #206881=DIRECTION('',(0.,0.,1.)); #206882=DIRECTION('',(0.999955673006893,0.00941552023694364,0.)); #206883=DIRECTION('center_axis',(1.,0.,0.)); #206884=DIRECTION('ref_axis',(0.,1.,0.)); #206885=DIRECTION('',(0.,1.,0.)); #206886=DIRECTION('',(0.,0.,1.)); #206887=DIRECTION('',(0.,1.,0.)); #206888=DIRECTION('center_axis',(0.999694013002596,0.0247362156920716,0.)); #206889=DIRECTION('ref_axis',(-0.0247362156920716,0.999694013002596,0.)); #206890=DIRECTION('',(-0.0247362156920716,0.999694013002596,0.)); #206891=DIRECTION('',(0.,0.,1.)); #206892=DIRECTION('',(-0.0247362156920716,0.999694013002596,0.)); #206893=DIRECTION('center_axis',(0.,0.,1.)); #206894=DIRECTION('ref_axis',(-0.991419079397009,0.130721876545543,0.)); #206895=DIRECTION('center_axis',(0.,0.,-1.)); #206896=DIRECTION('ref_axis',(-0.991419079397009,0.130721876545543,0.)); #206897=DIRECTION('',(0.,0.,1.)); #206898=DIRECTION('center_axis',(0.,0.,-1.)); #206899=DIRECTION('ref_axis',(-0.991419079397009,0.130721876545543,0.)); #206900=DIRECTION('center_axis',(0.,0.,1.)); #206901=DIRECTION('ref_axis',(-0.782431411918267,-0.622736770749557,0.)); #206902=DIRECTION('center_axis',(0.,0.,-1.)); #206903=DIRECTION('ref_axis',(-0.782431411918267,-0.622736770749557,0.)); #206904=DIRECTION('',(0.,0.,1.)); #206905=DIRECTION('center_axis',(0.,0.,-1.)); #206906=DIRECTION('ref_axis',(-0.782431411918267,-0.622736770749557,0.)); #206907=DIRECTION('center_axis',(0.,0.,1.)); #206908=DIRECTION('ref_axis',(-0.169628747270456,-0.985508035532667,0.)); #206909=DIRECTION('center_axis',(0.,0.,-1.)); #206910=DIRECTION('ref_axis',(-0.169628747270456,-0.985508035532667,0.)); #206911=DIRECTION('',(0.,0.,1.)); #206912=DIRECTION('center_axis',(0.,0.,-1.)); #206913=DIRECTION('ref_axis',(-0.169628747270456,-0.985508035532667,0.)); #206914=DIRECTION('center_axis',(0.,0.,1.)); #206915=DIRECTION('ref_axis',(0.601454051298982,-0.798907393992596,0.)); #206916=DIRECTION('center_axis',(0.,0.,-1.)); #206917=DIRECTION('ref_axis',(0.601454051298982,-0.798907393992596,0.)); #206918=DIRECTION('',(0.,0.,1.)); #206919=DIRECTION('center_axis',(0.,0.,-1.)); #206920=DIRECTION('ref_axis',(0.601454051298982,-0.798907393992596,0.)); #206921=DIRECTION('center_axis',(0.,0.,1.)); #206922=DIRECTION('ref_axis',(0.999836622204587,-0.0180756437927515,0.)); #206923=DIRECTION('center_axis',(0.,0.,-1.)); #206924=DIRECTION('ref_axis',(0.999836622204587,-0.0180756437927515,0.)); #206925=DIRECTION('center_axis',(0.,0.,-1.)); #206926=DIRECTION('ref_axis',(0.999836622204587,-0.0180756437927515,0.)); #206927=DIRECTION('center_axis',(0.,0.,1.)); #206928=DIRECTION('ref_axis',(-0.999500593864595,-0.0316000453215176,0.)); #206929=DIRECTION('',(0.,0.,1.)); #206930=DIRECTION('center_axis',(0.,0.,1.)); #206931=DIRECTION('ref_axis',(-0.999500593864595,-0.0316000453215176,0.)); #206932=DIRECTION('',(0.,0.,1.)); #206933=DIRECTION('center_axis',(-0.999991870736211,-0.00403217825672078, 0.)); #206934=DIRECTION('ref_axis',(0.00403217825672078,-0.999991870736211,0.)); #206935=DIRECTION('',(0.,0.,1.)); #206936=DIRECTION('',(0.00403217825672078,-0.999991870736211,0.)); #206937=DIRECTION('center_axis',(0.,0.,1.)); #206938=DIRECTION('ref_axis',(-0.989554901644199,-0.144156500484509,0.)); #206939=DIRECTION('',(0.,0.,1.)); #206940=DIRECTION('center_axis',(0.,0.,1.)); #206941=DIRECTION('ref_axis',(-0.989554901644199,-0.144156500484509,0.)); #206942=DIRECTION('center_axis',(0.,0.,1.)); #206943=DIRECTION('ref_axis',(-0.719691428698879,0.694294064109269,0.)); #206944=DIRECTION('',(0.,0.,1.)); #206945=DIRECTION('center_axis',(0.,0.,1.)); #206946=DIRECTION('ref_axis',(-0.719691428698879,0.694294064109269,0.)); #206947=DIRECTION('center_axis',(0.,1.,0.)); #206948=DIRECTION('ref_axis',(-1.,0.,0.)); #206949=DIRECTION('',(0.,0.,1.)); #206950=DIRECTION('',(-1.,0.,0.)); #206951=DIRECTION('center_axis',(0.0756457043518918,0.997134758903282,0.)); #206952=DIRECTION('ref_axis',(-0.997134758903282,0.0756457043518917,0.)); #206953=DIRECTION('',(0.,0.,1.)); #206954=DIRECTION('',(-0.997134758903282,0.0756457043518917,0.)); #206955=DIRECTION('center_axis',(0.257158716757312,0.96636918121168,0.)); #206956=DIRECTION('ref_axis',(-0.96636918121168,0.257158716757312,0.)); #206957=DIRECTION('',(0.,0.,1.)); #206958=DIRECTION('',(-0.96636918121168,0.257158716757312,0.)); #206959=DIRECTION('center_axis',(0.466172088728358,0.884694062199267,0.)); #206960=DIRECTION('ref_axis',(-0.884694062199267,0.466172088728358,0.)); #206961=DIRECTION('',(0.,0.,1.)); #206962=DIRECTION('',(-0.884694062199267,0.466172088728358,0.)); #206963=DIRECTION('center_axis',(0.667237722255303,0.744844830820189,0.)); #206964=DIRECTION('ref_axis',(-0.744844830820189,0.667237722255303,0.)); #206965=DIRECTION('',(0.,0.,1.)); #206966=DIRECTION('',(-0.744844830820189,0.667237722255303,0.)); #206967=DIRECTION('center_axis',(0.82110250421955,0.570780761382499,0.)); #206968=DIRECTION('ref_axis',(-0.570780761382498,0.821102504219549,0.)); #206969=DIRECTION('',(0.,0.,1.)); #206970=DIRECTION('',(-0.570780761382498,0.821102504219549,0.)); #206971=DIRECTION('center_axis',(0.915881114629229,0.401449603145302,0.)); #206972=DIRECTION('ref_axis',(-0.401449603145302,0.915881114629229,0.)); #206973=DIRECTION('',(0.,0.,1.)); #206974=DIRECTION('',(-0.401449603145302,0.915881114629229,0.)); #206975=DIRECTION('center_axis',(0.,0.,1.)); #206976=DIRECTION('ref_axis',(0.916444315147206,0.40016223864124,0.)); #206977=DIRECTION('',(0.,0.,1.)); #206978=DIRECTION('center_axis',(0.,0.,1.)); #206979=DIRECTION('ref_axis',(0.916444315147206,0.40016223864124,0.)); #206980=DIRECTION('center_axis',(0.459376943924765,-0.888241421793841,0.)); #206981=DIRECTION('ref_axis',(0.888241421793841,0.459376943924765,0.)); #206982=DIRECTION('',(0.,0.,1.)); #206983=DIRECTION('',(0.888241421793841,0.459376943924765,0.)); #206984=DIRECTION('center_axis',(0.,0.,1.)); #206985=DIRECTION('ref_axis',(0.266894103697913,-0.963725862167913,0.)); #206986=DIRECTION('',(0.,0.,1.)); #206987=DIRECTION('center_axis',(0.,0.,1.)); #206988=DIRECTION('ref_axis',(0.266894103697913,-0.963725862167913,0.)); #206989=DIRECTION('center_axis',(0.,0.,1.)); #206990=DIRECTION('ref_axis',(0.00853419063567783,-0.999963583132003,0.)); #206991=DIRECTION('',(0.,0.,1.)); #206992=DIRECTION('center_axis',(0.,0.,1.)); #206993=DIRECTION('ref_axis',(0.00853419063567783,-0.999963583132003,0.)); #206994=DIRECTION('center_axis',(0.0399188360811999,-0.999202925599161, 0.)); #206995=DIRECTION('ref_axis',(0.999202925599161,0.0399188360811999,0.)); #206996=DIRECTION('',(0.,0.,1.)); #206997=DIRECTION('',(0.999202925599161,0.0399188360811999,0.)); #206998=DIRECTION('center_axis',(0.,0.,1.)); #206999=DIRECTION('ref_axis',(0.0793505624811175,0.996846772695749,0.)); #207000=DIRECTION('',(0.,0.,1.)); #207001=DIRECTION('center_axis',(0.,0.,-1.)); #207002=DIRECTION('ref_axis',(0.0793505624811175,0.996846772695749,0.)); #207003=DIRECTION('center_axis',(0.,0.,1.)); #207004=DIRECTION('ref_axis',(-0.669349754938448,0.742947444684911,0.)); #207005=DIRECTION('',(0.,0.,1.)); #207006=DIRECTION('center_axis',(0.,0.,-1.)); #207007=DIRECTION('ref_axis',(-0.669349754938448,0.742947444684911,0.)); #207008=DIRECTION('center_axis',(0.,0.,1.)); #207009=DIRECTION('ref_axis',(-0.996037025663027,0.0889395497422327,0.)); #207010=DIRECTION('',(0.,0.,1.)); #207011=DIRECTION('center_axis',(0.,0.,-1.)); #207012=DIRECTION('ref_axis',(-0.996037025663027,0.0889395497422327,0.)); #207013=DIRECTION('center_axis',(5.11279766503375E-5,0.999999998692965, 0.)); #207014=DIRECTION('ref_axis',(-0.999999998692965,5.11279766503375E-5,0.)); #207015=DIRECTION('',(0.,0.,1.)); #207016=DIRECTION('',(-0.999999998692965,5.11279766503375E-5,0.)); #207017=DIRECTION('center_axis',(0.085309535251465,0.996354496750619,0.)); #207018=DIRECTION('ref_axis',(-0.996354496750619,0.085309535251465,0.)); #207019=DIRECTION('',(0.,0.,1.)); #207020=DIRECTION('',(-0.996354496750619,0.085309535251465,0.)); #207021=DIRECTION('center_axis',(0.174759424378015,0.984611163653483,0.)); #207022=DIRECTION('ref_axis',(-0.984611163653483,0.174759424378014,0.)); #207023=DIRECTION('',(0.,0.,1.)); #207024=DIRECTION('',(-0.984611163653483,0.174759424378014,0.)); #207025=DIRECTION('center_axis',(0.314293904726541,0.94932572990083,0.)); #207026=DIRECTION('ref_axis',(-0.94932572990083,0.314293904726541,0.)); #207027=DIRECTION('',(0.,0.,1.)); #207028=DIRECTION('',(-0.94932572990083,0.314293904726541,0.)); #207029=DIRECTION('center_axis',(0.502311667641418,0.864686641825406,0.)); #207030=DIRECTION('ref_axis',(-0.864686641825406,0.502311667641418,0.)); #207031=DIRECTION('',(0.,0.,1.)); #207032=DIRECTION('',(-0.864686641825406,0.502311667641417,0.)); #207033=DIRECTION('center_axis',(0.676854654621247,0.736116686753909,0.)); #207034=DIRECTION('ref_axis',(-0.736116686753909,0.676854654621247,0.)); #207035=DIRECTION('',(0.,0.,1.)); #207036=DIRECTION('',(-0.736116686753909,0.676854654621247,0.)); #207037=DIRECTION('center_axis',(0.820697494645019,0.571362951444516,0.)); #207038=DIRECTION('ref_axis',(-0.571362951444516,0.820697494645019,0.)); #207039=DIRECTION('',(0.,0.,1.)); #207040=DIRECTION('',(-0.571362951444516,0.820697494645019,0.)); #207041=DIRECTION('center_axis',(0.922429609884826,0.386165268774559,0.)); #207042=DIRECTION('ref_axis',(-0.386165268774559,0.922429609884826,0.)); #207043=DIRECTION('',(0.,0.,1.)); #207044=DIRECTION('',(-0.386165268774559,0.922429609884826,0.)); #207045=DIRECTION('center_axis',(0.,0.,1.)); #207046=DIRECTION('ref_axis',(0.988005766768653,0.154416983625137,0.)); #207047=DIRECTION('',(0.,0.,1.)); #207048=DIRECTION('center_axis',(0.,0.,1.)); #207049=DIRECTION('ref_axis',(0.988005766768653,0.154416983625137,0.)); #207050=DIRECTION('center_axis',(0.,0.,1.)); #207051=DIRECTION('ref_axis',(0.858764286326815,-0.512370862295658,0.)); #207052=DIRECTION('',(0.,0.,1.)); #207053=DIRECTION('center_axis',(0.,0.,1.)); #207054=DIRECTION('ref_axis',(0.858764286326815,-0.512370862295658,0.)); #207055=DIRECTION('center_axis',(0.,0.,1.)); #207056=DIRECTION('ref_axis',(0.125981356180202,-0.992032609290137,0.)); #207057=DIRECTION('',(0.,0.,1.)); #207058=DIRECTION('center_axis',(0.,0.,1.)); #207059=DIRECTION('ref_axis',(0.125981356180202,-0.992032609290137,0.)); #207060=DIRECTION('center_axis',(0.,0.,1.)); #207061=DIRECTION('ref_axis',(-0.485972529273132,-0.873974084736999,0.)); #207062=DIRECTION('center_axis',(0.,0.,1.)); #207063=DIRECTION('ref_axis',(-0.485972529273132,-0.873974084736999,0.)); #207064=DIRECTION('center_axis',(0.,0.,-1.)); #207065=DIRECTION('ref_axis',(-1.,0.,0.)); #207066=DIRECTION('center_axis',(0.997812869068065,0.0661020296371993,0.)); #207067=DIRECTION('ref_axis',(-0.0661020296371993,0.997812869068065,0.)); #207068=DIRECTION('',(0.,0.,1.)); #207069=DIRECTION('',(-0.0661020296371993,0.997812869068065,0.)); #207070=DIRECTION('',(0.,0.,1.)); #207071=DIRECTION('center_axis',(0.955924846835177,-0.293611456185114,0.)); #207072=DIRECTION('ref_axis',(0.293611456185114,0.955924846835177,0.)); #207073=DIRECTION('',(0.,0.,1.)); #207074=DIRECTION('',(0.293611456185114,0.955924846835177,0.)); #207075=DIRECTION('center_axis',(0.935826592807016,-0.352460761213517,0.)); #207076=DIRECTION('ref_axis',(0.352460761213517,0.935826592807016,0.)); #207077=DIRECTION('',(0.,0.,1.)); #207078=DIRECTION('',(0.352460761213517,0.935826592807016,0.)); #207079=DIRECTION('center_axis',(0.,0.,1.)); #207080=DIRECTION('ref_axis',(0.879198193723913,-0.476456226900865,0.)); #207081=DIRECTION('',(0.,0.,1.)); #207082=DIRECTION('center_axis',(0.,0.,1.)); #207083=DIRECTION('ref_axis',(0.879198193723913,-0.476456226900865,0.)); #207084=DIRECTION('center_axis',(-0.925487075109335,-0.378779188717607, 0.)); #207085=DIRECTION('ref_axis',(0.378779188717607,-0.925487075109335,0.)); #207086=DIRECTION('',(0.,0.,1.)); #207087=DIRECTION('',(0.378779188717607,-0.925487075109335,0.)); #207088=DIRECTION('center_axis',(0.,0.,1.)); #207089=DIRECTION('ref_axis',(0.843474641047459,0.537168995670692,0.)); #207090=DIRECTION('',(0.,0.,1.)); #207091=DIRECTION('center_axis',(0.,0.,-1.)); #207092=DIRECTION('ref_axis',(0.843474641047459,0.537168995670692,0.)); #207093=DIRECTION('center_axis',(-0.942313323950094,-0.334732130973598, 0.)); #207094=DIRECTION('ref_axis',(0.334732130973598,-0.942313323950094,0.)); #207095=DIRECTION('',(0.,0.,1.)); #207096=DIRECTION('',(0.334732130973598,-0.942313323950094,0.)); #207097=DIRECTION('center_axis',(-0.963459250577013,-0.267854946711051, 0.)); #207098=DIRECTION('ref_axis',(0.267854946711051,-0.963459250577013,0.)); #207099=DIRECTION('',(0.,0.,1.)); #207100=DIRECTION('',(0.267854946711051,-0.963459250577013,0.)); #207101=DIRECTION('center_axis',(-0.98062499391771,-0.195894413661777,0.)); #207102=DIRECTION('ref_axis',(0.195894413661777,-0.98062499391771,0.)); #207103=DIRECTION('',(0.,0.,1.)); #207104=DIRECTION('',(0.195894413661777,-0.98062499391771,0.)); #207105=DIRECTION('center_axis',(-0.992673347537468,-0.120828908332224, 0.)); #207106=DIRECTION('ref_axis',(0.120828908332224,-0.992673347537468,0.)); #207107=DIRECTION('',(0.,0.,1.)); #207108=DIRECTION('',(0.120828908332224,-0.992673347537468,0.)); #207109=DIRECTION('center_axis',(0.,1.,0.)); #207110=DIRECTION('ref_axis',(-1.,0.,0.)); #207111=DIRECTION('',(-1.,0.,0.)); #207112=DIRECTION('center_axis',(0.,0.,-1.)); #207113=DIRECTION('ref_axis',(-1.,0.,0.)); #207114=DIRECTION('center_axis',(0.,0.,1.)); #207115=DIRECTION('ref_axis',(-0.996714905751034,0.08099010219593,0.)); #207116=DIRECTION('center_axis',(0.,0.,-1.)); #207117=DIRECTION('ref_axis',(-0.996714905751034,0.08099010219593,0.)); #207118=DIRECTION('',(0.,0.,1.)); #207119=DIRECTION('center_axis',(0.,0.,-1.)); #207120=DIRECTION('ref_axis',(-0.996714905751034,0.08099010219593,0.)); #207121=DIRECTION('',(0.,0.,1.)); #207122=DIRECTION('center_axis',(1.,0.,0.)); #207123=DIRECTION('ref_axis',(0.,1.,0.)); #207124=DIRECTION('',(0.,1.,0.)); #207125=DIRECTION('',(0.,0.,1.)); #207126=DIRECTION('',(0.,1.,0.)); #207127=DIRECTION('center_axis',(0.,0.,1.)); #207128=DIRECTION('ref_axis',(-0.958264937197741,0.285881636586559,0.)); #207129=DIRECTION('center_axis',(0.,0.,-1.)); #207130=DIRECTION('ref_axis',(-0.958264937197741,0.285881636586559,0.)); #207131=DIRECTION('',(0.,0.,1.)); #207132=DIRECTION('center_axis',(0.,0.,-1.)); #207133=DIRECTION('ref_axis',(-0.958264937197741,0.285881636586559,0.)); #207134=DIRECTION('center_axis',(0.,0.,1.)); #207135=DIRECTION('ref_axis',(-0.313527873125699,-0.949578997647524,0.)); #207136=DIRECTION('center_axis',(0.,0.,-1.)); #207137=DIRECTION('ref_axis',(-0.313527873125699,-0.949578997647524,0.)); #207138=DIRECTION('',(0.,0.,1.)); #207139=DIRECTION('center_axis',(0.,0.,-1.)); #207140=DIRECTION('ref_axis',(-0.313527873125699,-0.949578997647524,0.)); #207141=DIRECTION('center_axis',(0.,0.,1.)); #207142=DIRECTION('ref_axis',(-0.116410216117299,-0.993201219080768,0.)); #207143=DIRECTION('center_axis',(0.,0.,-1.)); #207144=DIRECTION('ref_axis',(-0.116410216117299,-0.993201219080768,0.)); #207145=DIRECTION('',(0.,0.,1.)); #207146=DIRECTION('center_axis',(0.,0.,-1.)); #207147=DIRECTION('ref_axis',(-0.116410216117299,-0.993201219080768,0.)); #207148=DIRECTION('center_axis',(0.,0.,1.)); #207149=DIRECTION('ref_axis',(0.37495232776142,-0.927044093830651,0.)); #207150=DIRECTION('center_axis',(0.,0.,-1.)); #207151=DIRECTION('ref_axis',(0.37495232776142,-0.927044093830651,0.)); #207152=DIRECTION('',(0.,0.,1.)); #207153=DIRECTION('center_axis',(0.,0.,-1.)); #207154=DIRECTION('ref_axis',(0.37495232776142,-0.927044093830651,0.)); #207155=DIRECTION('center_axis',(0.,0.,1.)); #207156=DIRECTION('ref_axis',(0.994312769150928,-0.106499376070536,0.)); #207157=DIRECTION('center_axis',(0.,0.,-1.)); #207158=DIRECTION('ref_axis',(0.994312769150928,-0.106499376070536,0.)); #207159=DIRECTION('',(0.,0.,1.)); #207160=DIRECTION('center_axis',(0.,0.,-1.)); #207161=DIRECTION('ref_axis',(0.994312769150928,-0.106499376070536,0.)); #207162=DIRECTION('center_axis',(0.,0.,1.)); #207163=DIRECTION('ref_axis',(0.967887935652841,0.251382067812486,0.)); #207164=DIRECTION('center_axis',(0.,0.,-1.)); #207165=DIRECTION('ref_axis',(0.967887935652841,0.251382067812486,0.)); #207166=DIRECTION('',(0.,0.,1.)); #207167=DIRECTION('center_axis',(0.,0.,-1.)); #207168=DIRECTION('ref_axis',(0.967887935652841,0.251382067812486,0.)); #207169=DIRECTION('center_axis',(0.,0.,1.)); #207170=DIRECTION('ref_axis',(0.0794483652967422,0.996838982610369,0.)); #207171=DIRECTION('center_axis',(0.,0.,-1.)); #207172=DIRECTION('ref_axis',(0.0794483652967422,0.996838982610369,0.)); #207173=DIRECTION('',(0.,0.,1.)); #207174=DIRECTION('center_axis',(0.,0.,-1.)); #207175=DIRECTION('ref_axis',(0.0794483652967422,0.996838982610369,0.)); #207176=DIRECTION('center_axis',(0.,0.,1.)); #207177=DIRECTION('ref_axis',(-0.156632565442785,0.987656944208267,0.)); #207178=DIRECTION('center_axis',(0.,0.,-1.)); #207179=DIRECTION('ref_axis',(-0.156632565442785,0.987656944208267,0.)); #207180=DIRECTION('center_axis',(0.,0.,-1.)); #207181=DIRECTION('ref_axis',(-0.156632565442785,0.987656944208267,0.)); #207182=DIRECTION('center_axis',(0.,0.,1.)); #207183=DIRECTION('ref_axis',(0.271284253865206,-0.962499274599622,0.)); #207184=DIRECTION('',(0.,0.,1.)); #207185=DIRECTION('center_axis',(0.,0.,1.)); #207186=DIRECTION('ref_axis',(0.271284253865206,-0.962499274599622,0.)); #207187=DIRECTION('',(0.,0.,1.)); #207188=DIRECTION('center_axis',(-0.550063091022647,-0.83512310223979,0.)); #207189=DIRECTION('ref_axis',(0.83512310223979,-0.550063091022647,0.)); #207190=DIRECTION('',(0.,0.,1.)); #207191=DIRECTION('',(0.83512310223979,-0.550063091022647,0.)); #207192=DIRECTION('center_axis',(-0.649429298172142,-0.760421979348072, 0.)); #207193=DIRECTION('ref_axis',(0.760421979348072,-0.649429298172142,0.)); #207194=DIRECTION('',(0.,0.,1.)); #207195=DIRECTION('',(0.760421979348072,-0.649429298172142,0.)); #207196=DIRECTION('center_axis',(-0.740648943051168,-0.671892210966304, 0.)); #207197=DIRECTION('ref_axis',(0.671892210966304,-0.740648943051168,0.)); #207198=DIRECTION('',(0.,0.,1.)); #207199=DIRECTION('',(0.671892210966304,-0.740648943051168,0.)); #207200=DIRECTION('center_axis',(-0.818608838780226,-0.574351433419374, 0.)); #207201=DIRECTION('ref_axis',(0.574351433419374,-0.818608838780226,0.)); #207202=DIRECTION('',(0.,0.,1.)); #207203=DIRECTION('',(0.574351433419374,-0.818608838780226,0.)); #207204=DIRECTION('center_axis',(-0.881599988146494,-0.471997310267868, 0.)); #207205=DIRECTION('ref_axis',(0.471997310267868,-0.881599988146494,0.)); #207206=DIRECTION('',(0.,0.,1.)); #207207=DIRECTION('',(0.471997310267868,-0.881599988146494,0.)); #207208=DIRECTION('center_axis',(-0.928786455218108,-0.370615326994691, 0.)); #207209=DIRECTION('ref_axis',(0.370615326994691,-0.928786455218108,0.)); #207210=DIRECTION('',(0.,0.,1.)); #207211=DIRECTION('',(0.370615326994691,-0.928786455218108,0.)); #207212=DIRECTION('center_axis',(-0.961672630452883,-0.274200203934703, 0.)); #207213=DIRECTION('ref_axis',(0.274200203934703,-0.961672630452883,0.)); #207214=DIRECTION('',(0.,0.,1.)); #207215=DIRECTION('',(0.274200203934703,-0.961672630452883,0.)); #207216=DIRECTION('center_axis',(-0.982766616992662,-0.184850687109348, 0.)); #207217=DIRECTION('ref_axis',(0.184850687109348,-0.982766616992662,0.)); #207218=DIRECTION('',(0.,0.,1.)); #207219=DIRECTION('',(0.184850687109348,-0.982766616992662,0.)); #207220=DIRECTION('center_axis',(-0.994518581086592,-0.104559991744036, 0.)); #207221=DIRECTION('ref_axis',(0.104559991744036,-0.994518581086592,0.)); #207222=DIRECTION('',(0.,0.,1.)); #207223=DIRECTION('',(0.104559991744036,-0.994518581086591,0.)); #207224=DIRECTION('center_axis',(-0.999469912180197,-0.0325560231986182, 0.)); #207225=DIRECTION('ref_axis',(0.0325560231986182,-0.999469912180197,0.)); #207226=DIRECTION('',(0.,0.,1.)); #207227=DIRECTION('',(0.0325560231986182,-0.999469912180197,0.)); #207228=DIRECTION('center_axis',(-0.99999999934051,3.63177706554553E-5, 0.)); #207229=DIRECTION('ref_axis',(-3.63177706554553E-5,-0.99999999934051,0.)); #207230=DIRECTION('',(0.,0.,1.)); #207231=DIRECTION('',(-3.63177706554553E-5,-0.99999999934051,0.)); #207232=DIRECTION('center_axis',(-0.990075145857869,0.140538982330595,0.)); #207233=DIRECTION('ref_axis',(-0.140538982330595,-0.990075145857869,0.)); #207234=DIRECTION('',(0.,0.,1.)); #207235=DIRECTION('',(-0.140538982330595,-0.990075145857869,0.)); #207236=DIRECTION('center_axis',(-0.933616160309908,0.358274845904905,0.)); #207237=DIRECTION('ref_axis',(-0.358274845904905,-0.933616160309908,0.)); #207238=DIRECTION('',(0.,0.,1.)); #207239=DIRECTION('',(-0.358274845904905,-0.933616160309908,0.)); #207240=DIRECTION('center_axis',(-0.815648624526023,0.578547596407423,0.)); #207241=DIRECTION('ref_axis',(-0.578547596407423,-0.815648624526023,0.)); #207242=DIRECTION('',(0.,0.,1.)); #207243=DIRECTION('',(-0.578547596407423,-0.815648624526023,0.)); #207244=DIRECTION('center_axis',(-0.652010200290186,0.758210194284904,0.)); #207245=DIRECTION('ref_axis',(-0.758210194284904,-0.652010200290186,0.)); #207246=DIRECTION('',(0.,0.,1.)); #207247=DIRECTION('',(-0.758210194284904,-0.652010200290186,0.)); #207248=DIRECTION('center_axis',(-0.48121827640813,0.876600804500423,0.)); #207249=DIRECTION('ref_axis',(-0.876600804500423,-0.48121827640813,0.)); #207250=DIRECTION('',(0.,0.,1.)); #207251=DIRECTION('',(-0.876600804500423,-0.48121827640813,0.)); #207252=DIRECTION('center_axis',(0.,0.,1.)); #207253=DIRECTION('ref_axis',(-0.312823547119726,0.949811259339155,0.)); #207254=DIRECTION('',(0.,0.,1.)); #207255=DIRECTION('center_axis',(0.,0.,1.)); #207256=DIRECTION('ref_axis',(-0.312823547119726,0.949811259339155,0.)); #207257=DIRECTION('center_axis',(0.90889296739199,0.417029463977528,0.)); #207258=DIRECTION('ref_axis',(-0.417029463977528,0.908892967391989,0.)); #207259=DIRECTION('',(0.,0.,1.)); #207260=DIRECTION('',(-0.417029463977528,0.908892967391989,0.)); #207261=DIRECTION('center_axis',(0.,0.,1.)); #207262=DIRECTION('ref_axis',(0.982534052773958,0.186082871698556,0.)); #207263=DIRECTION('',(0.,0.,1.)); #207264=DIRECTION('center_axis',(0.,0.,1.)); #207265=DIRECTION('ref_axis',(0.982534052773958,0.186082871698556,0.)); #207266=DIRECTION('center_axis',(0.,0.,1.)); #207267=DIRECTION('ref_axis',(0.778599681051623,-0.627520945201283,0.)); #207268=DIRECTION('',(0.,0.,1.)); #207269=DIRECTION('center_axis',(0.,0.,-1.)); #207270=DIRECTION('ref_axis',(0.778599681051623,-0.627520945201283,0.)); #207271=DIRECTION('center_axis',(-0.729700810010654,0.683766574109758,0.)); #207272=DIRECTION('ref_axis',(-0.683766574109758,-0.729700810010654,0.)); #207273=DIRECTION('',(0.,0.,1.)); #207274=DIRECTION('',(-0.683766574109758,-0.729700810010654,0.)); #207275=DIRECTION('center_axis',(0.,0.,1.)); #207276=DIRECTION('ref_axis',(-0.576331285097576,0.817216158563802,0.)); #207277=DIRECTION('',(0.,0.,1.)); #207278=DIRECTION('center_axis',(0.,0.,1.)); #207279=DIRECTION('ref_axis',(-0.576331285097576,0.817216158563802,0.)); #207280=DIRECTION('center_axis',(0.,0.,1.)); #207281=DIRECTION('ref_axis',(-0.00831933922500831,0.999965393698631,0.)); #207282=DIRECTION('',(0.,0.,1.)); #207283=DIRECTION('center_axis',(0.,0.,1.)); #207284=DIRECTION('ref_axis',(-0.00831933922500831,0.999965393698631,0.)); #207285=DIRECTION('center_axis',(0.,0.,1.)); #207286=DIRECTION('ref_axis',(-0.184084272274261,0.982910464234284,0.)); #207287=DIRECTION('',(0.,0.,1.)); #207288=DIRECTION('center_axis',(0.,0.,1.)); #207289=DIRECTION('ref_axis',(-0.184084272274261,0.982910464234284,0.)); #207290=DIRECTION('center_axis',(0.,0.,1.)); #207291=DIRECTION('ref_axis',(0.919920477995523,0.392104978500005,0.)); #207292=DIRECTION('',(0.,0.,1.)); #207293=DIRECTION('center_axis',(0.,0.,1.)); #207294=DIRECTION('ref_axis',(0.919920477995523,0.392104978500005,0.)); #207295=DIRECTION('center_axis',(0.,0.,1.)); #207296=DIRECTION('ref_axis',(0.991392424285399,0.130923875093585,0.)); #207297=DIRECTION('',(0.,0.,1.)); #207298=DIRECTION('center_axis',(0.,0.,1.)); #207299=DIRECTION('ref_axis',(0.991392424285399,0.130923875093585,0.)); #207300=DIRECTION('center_axis',(0.,0.,1.)); #207301=DIRECTION('ref_axis',(0.834110036683086,-0.551598084391653,0.)); #207302=DIRECTION('',(0.,0.,1.)); #207303=DIRECTION('center_axis',(0.,0.,1.)); #207304=DIRECTION('ref_axis',(0.834110036683086,-0.551598084391653,0.)); #207305=DIRECTION('center_axis',(0.,0.,1.)); #207306=DIRECTION('ref_axis',(0.151406213977529,-0.988471627498225,0.)); #207307=DIRECTION('',(0.,0.,1.)); #207308=DIRECTION('center_axis',(0.,0.,1.)); #207309=DIRECTION('ref_axis',(0.151406213977529,-0.988471627498225,0.)); #207310=DIRECTION('center_axis',(0.,0.,1.)); #207311=DIRECTION('ref_axis',(-0.392477802240818,-0.919761477095131,0.)); #207312=DIRECTION('',(0.,0.,1.)); #207313=DIRECTION('center_axis',(0.,0.,1.)); #207314=DIRECTION('ref_axis',(-0.392477802240818,-0.919761477095131,0.)); #207315=DIRECTION('center_axis',(0.,0.,1.)); #207316=DIRECTION('ref_axis',(-0.999985245873083,0.00543212998269982,0.)); #207317=DIRECTION('',(0.,0.,1.)); #207318=DIRECTION('center_axis',(0.,0.,-1.)); #207319=DIRECTION('ref_axis',(-0.999985245873083,0.00543212998269982,0.)); #207320=DIRECTION('center_axis',(0.999998839396269,-0.00152355049669207, 0.)); #207321=DIRECTION('ref_axis',(0.00152355049669207,0.999998839396268,0.)); #207322=DIRECTION('',(0.,0.,1.)); #207323=DIRECTION('',(0.00152355049669207,0.999998839396268,0.)); #207324=DIRECTION('center_axis',(0.,0.,1.)); #207325=DIRECTION('ref_axis',(0.999969461575433,-0.00781510822303976,0.)); #207326=DIRECTION('',(0.,0.,1.)); #207327=DIRECTION('center_axis',(0.,0.,1.)); #207328=DIRECTION('ref_axis',(0.999969461575433,-0.00781510822303976,0.)); #207329=DIRECTION('center_axis',(0.936452601025278,-0.350794136257993,0.)); #207330=DIRECTION('ref_axis',(0.350794136257993,0.936452601025278,0.)); #207331=DIRECTION('',(0.,0.,1.)); #207332=DIRECTION('',(0.350794136257993,0.936452601025278,0.)); #207333=DIRECTION('center_axis',(0.799772482427019,-0.600303236999871,0.)); #207334=DIRECTION('ref_axis',(0.600303236999871,0.799772482427019,0.)); #207335=DIRECTION('',(0.,0.,1.)); #207336=DIRECTION('',(0.600303236999871,0.799772482427019,0.)); #207337=DIRECTION('center_axis',(0.563086965986777,-0.826397645649966,0.)); #207338=DIRECTION('ref_axis',(0.826397645649966,0.563086965986777,0.)); #207339=DIRECTION('',(0.,0.,1.)); #207340=DIRECTION('',(0.826397645649966,0.563086965986777,0.)); #207341=DIRECTION('center_axis',(0.322744027417219,-0.94648628768013,0.)); #207342=DIRECTION('ref_axis',(0.94648628768013,0.322744027417219,0.)); #207343=DIRECTION('',(0.94648628768013,0.322744027417219,0.)); #207344=DIRECTION('center_axis',(0.,0.,-1.)); #207345=DIRECTION('ref_axis',(-1.,0.,0.)); #207346=DIRECTION('center_axis',(0.,0.,1.)); #207347=DIRECTION('ref_axis',(-0.990313239699165,0.138851313557147,0.)); #207348=DIRECTION('',(0.,0.,1.)); #207349=DIRECTION('center_axis',(0.,0.,-1.)); #207350=DIRECTION('ref_axis',(-0.990313239699165,0.138851313557147,0.)); #207351=DIRECTION('',(0.,0.,1.)); #207352=DIRECTION('center_axis',(0.,0.,1.)); #207353=DIRECTION('ref_axis',(-0.736674775198925,-0.676247200057503,0.)); #207354=DIRECTION('',(0.,0.,1.)); #207355=DIRECTION('center_axis',(0.,0.,-1.)); #207356=DIRECTION('ref_axis',(-0.736674775198925,-0.676247200057503,0.)); #207357=DIRECTION('center_axis',(0.,0.,1.)); #207358=DIRECTION('ref_axis',(-0.024737381454344,-0.999693984156543,0.)); #207359=DIRECTION('',(0.,0.,1.)); #207360=DIRECTION('center_axis',(0.,0.,-1.)); #207361=DIRECTION('ref_axis',(-0.024737381454344,-0.999693984156543,0.)); #207362=DIRECTION('center_axis',(0.0491726000664716,0.998790296009479,0.)); #207363=DIRECTION('ref_axis',(-0.998790296009479,0.0491726000664716,0.)); #207364=DIRECTION('',(0.,0.,1.)); #207365=DIRECTION('',(-0.998790296009479,0.0491726000664716,0.)); #207366=DIRECTION('center_axis',(0.,0.,1.)); #207367=DIRECTION('ref_axis',(0.0223183513971874,0.999750914573681,0.)); #207368=DIRECTION('',(0.,0.,1.)); #207369=DIRECTION('center_axis',(0.,0.,1.)); #207370=DIRECTION('ref_axis',(0.0223183513971874,0.999750914573681,0.)); #207371=DIRECTION('center_axis',(0.667672675767906,0.744454967095341,0.)); #207372=DIRECTION('ref_axis',(-0.744454967095341,0.667672675767906,0.)); #207373=DIRECTION('',(0.,0.,1.)); #207374=DIRECTION('',(-0.744454967095341,0.667672675767906,0.)); #207375=DIRECTION('center_axis',(0.822126073204769,0.569305471392035,0.)); #207376=DIRECTION('ref_axis',(-0.569305471392035,0.822126073204769,0.)); #207377=DIRECTION('',(0.,0.,1.)); #207378=DIRECTION('',(-0.569305471392035,0.822126073204769,0.)); #207379=DIRECTION('center_axis',(0.,0.,1.)); #207380=DIRECTION('ref_axis',(0.897222885235887,0.441577959378626,0.)); #207381=DIRECTION('',(0.,0.,1.)); #207382=DIRECTION('center_axis',(0.,0.,1.)); #207383=DIRECTION('ref_axis',(0.897222885235887,0.441577959378626,0.)); #207384=DIRECTION('center_axis',(0.304476044242763,-0.95251999374411,0.)); #207385=DIRECTION('ref_axis',(0.95251999374411,0.304476044242763,0.)); #207386=DIRECTION('',(0.,0.,1.)); #207387=DIRECTION('',(0.95251999374411,0.304476044242763,0.)); #207388=DIRECTION('center_axis',(0.13753485831328,-0.990496927177841,0.)); #207389=DIRECTION('ref_axis',(0.990496927177841,0.13753485831328,0.)); #207390=DIRECTION('',(0.,0.,1.)); #207391=DIRECTION('',(0.990496927177841,0.13753485831328,0.)); #207392=DIRECTION('center_axis',(0.,0.,1.)); #207393=DIRECTION('ref_axis',(0.0379214414023204,-0.999280723461616,0.)); #207394=DIRECTION('',(0.,0.,1.)); #207395=DIRECTION('center_axis',(0.,0.,1.)); #207396=DIRECTION('ref_axis',(0.0379214414023204,-0.999280723461616,0.)); #207397=DIRECTION('center_axis',(0.,0.,1.)); #207398=DIRECTION('ref_axis',(0.0804606205791863,-0.996757788299651,0.)); #207399=DIRECTION('',(0.,0.,1.)); #207400=DIRECTION('center_axis',(0.,0.,1.)); #207401=DIRECTION('ref_axis',(0.0804606205791863,-0.996757788299651,0.)); #207402=DIRECTION('center_axis',(0.,0.,1.)); #207403=DIRECTION('ref_axis',(-0.689105990404965,-0.724660564670103,0.)); #207404=DIRECTION('',(0.,0.,1.)); #207405=DIRECTION('center_axis',(0.,0.,1.)); #207406=DIRECTION('ref_axis',(-0.689105990404965,-0.724660564670103,0.)); #207407=DIRECTION('center_axis',(0.,0.,1.)); #207408=DIRECTION('ref_axis',(-0.990989445779072,-0.133939980418425,0.)); #207409=DIRECTION('',(0.,0.,1.)); #207410=DIRECTION('center_axis',(0.,0.,1.)); #207411=DIRECTION('ref_axis',(-0.990989445779072,-0.133939980418425,0.)); #207412=DIRECTION('center_axis',(0.,0.,1.)); #207413=DIRECTION('ref_axis',(-0.775537280387584,0.631301771523754,0.)); #207414=DIRECTION('',(0.,0.,1.)); #207415=DIRECTION('center_axis',(0.,0.,1.)); #207416=DIRECTION('ref_axis',(-0.775537280387584,0.631301771523754,0.)); #207417=DIRECTION('center_axis',(0.,0.,1.)); #207418=DIRECTION('ref_axis',(-0.113633123923375,0.993522779380229,0.)); #207419=DIRECTION('',(0.,0.,1.)); #207420=DIRECTION('center_axis',(0.,0.,1.)); #207421=DIRECTION('ref_axis',(-0.113633123923375,0.993522779380229,0.)); #207422=DIRECTION('center_axis',(-0.219912217677741,0.975519664853589,0.)); #207423=DIRECTION('ref_axis',(-0.975519664853589,-0.219912217677741,0.)); #207424=DIRECTION('',(0.,0.,1.)); #207425=DIRECTION('',(-0.975519664853589,-0.219912217677741,0.)); #207426=DIRECTION('center_axis',(0.,0.,1.)); #207427=DIRECTION('ref_axis',(0.148287905159965,-0.988944233606359,0.)); #207428=DIRECTION('',(0.,0.,1.)); #207429=DIRECTION('center_axis',(0.,0.,-1.)); #207430=DIRECTION('ref_axis',(0.148287905159965,-0.988944233606359,0.)); #207431=DIRECTION('center_axis',(0.,0.,1.)); #207432=DIRECTION('ref_axis',(0.805768908570544,-0.592230078585202,0.)); #207433=DIRECTION('',(0.,0.,1.)); #207434=DIRECTION('center_axis',(0.,0.,-1.)); #207435=DIRECTION('ref_axis',(0.805768908570544,-0.592230078585202,0.)); #207436=DIRECTION('center_axis',(0.,0.,1.)); #207437=DIRECTION('ref_axis',(0.9998481533417,-0.017426137242454,0.)); #207438=DIRECTION('',(0.,0.,1.)); #207439=DIRECTION('center_axis',(0.,0.,-1.)); #207440=DIRECTION('ref_axis',(0.9998481533417,-0.017426137242454,0.)); #207441=DIRECTION('center_axis',(0.,0.,1.)); #207442=DIRECTION('ref_axis',(0.665090514523929,0.746762751809633,0.)); #207443=DIRECTION('',(0.,0.,1.)); #207444=DIRECTION('center_axis',(0.,0.,-1.)); #207445=DIRECTION('ref_axis',(0.665090514523929,0.746762751809633,0.)); #207446=DIRECTION('center_axis',(0.,0.,1.)); #207447=DIRECTION('ref_axis',(0.0189260449493096,0.999820886370442,0.)); #207448=DIRECTION('',(0.,0.,1.)); #207449=DIRECTION('center_axis',(0.,0.,-1.)); #207450=DIRECTION('ref_axis',(0.0189260449493096,0.999820886370442,0.)); #207451=DIRECTION('center_axis',(-0.143352322967494,-0.989671719056285, 0.)); #207452=DIRECTION('ref_axis',(0.989671719056285,-0.143352322967494,0.)); #207453=DIRECTION('',(0.,0.,1.)); #207454=DIRECTION('',(0.989671719056285,-0.143352322967494,0.)); #207455=DIRECTION('center_axis',(-0.243177689714435,-0.969981758191952, 0.)); #207456=DIRECTION('ref_axis',(0.969981758191952,-0.243177689714435,0.)); #207457=DIRECTION('',(0.,0.,1.)); #207458=DIRECTION('',(0.969981758191952,-0.243177689714435,0.)); #207459=DIRECTION('center_axis',(-0.372323279135648,-0.928103106240723, 0.)); #207460=DIRECTION('ref_axis',(0.928103106240723,-0.372323279135648,0.)); #207461=DIRECTION('',(0.,0.,1.)); #207462=DIRECTION('',(0.928103106240723,-0.372323279135648,0.)); #207463=DIRECTION('center_axis',(-0.526276141373709,-0.850313720353141, 0.)); #207464=DIRECTION('ref_axis',(0.850313720353141,-0.526276141373709,0.)); #207465=DIRECTION('',(0.,0.,1.)); #207466=DIRECTION('',(0.850313720353141,-0.526276141373709,0.)); #207467=DIRECTION('center_axis',(-0.687831316336716,-0.725870567158154, 0.)); #207468=DIRECTION('ref_axis',(0.725870567158154,-0.687831316336716,0.)); #207469=DIRECTION('',(0.,0.,1.)); #207470=DIRECTION('',(0.725870567158154,-0.687831316336716,0.)); #207471=DIRECTION('center_axis',(-0.828608523664526,-0.559828468828171, 0.)); #207472=DIRECTION('ref_axis',(0.559828468828171,-0.828608523664526,0.)); #207473=DIRECTION('',(0.,0.,1.)); #207474=DIRECTION('',(0.559828468828171,-0.828608523664526,0.)); #207475=DIRECTION('center_axis',(-0.926379514298774,-0.376591284402025, 0.)); #207476=DIRECTION('ref_axis',(0.376591284402025,-0.926379514298774,0.)); #207477=DIRECTION('',(0.,0.,1.)); #207478=DIRECTION('',(0.376591284402025,-0.926379514298774,0.)); #207479=DIRECTION('center_axis',(0.,0.,1.)); #207480=DIRECTION('ref_axis',(-0.962806884617341,-0.270190493788087,0.)); #207481=DIRECTION('',(0.,0.,1.)); #207482=DIRECTION('center_axis',(0.,0.,1.)); #207483=DIRECTION('ref_axis',(-0.962806884617341,-0.270190493788087,0.)); #207484=DIRECTION('center_axis',(-0.999856557990183,-0.0169370435443797, 0.)); #207485=DIRECTION('ref_axis',(0.0169370435443797,-0.999856557990183,0.)); #207486=DIRECTION('',(0.,0.,1.)); #207487=DIRECTION('',(0.0169370435443797,-0.999856557990183,0.)); #207488=DIRECTION('center_axis',(0.,0.,1.)); #207489=DIRECTION('ref_axis',(-0.97438452597874,-0.224888406844786,0.)); #207490=DIRECTION('',(0.,0.,1.)); #207491=DIRECTION('center_axis',(0.,0.,1.)); #207492=DIRECTION('ref_axis',(-0.97438452597874,-0.224888406844786,0.)); #207493=DIRECTION('center_axis',(-0.510465726051755,0.859898100083059,0.)); #207494=DIRECTION('ref_axis',(-0.859898100083059,-0.510465726051755,0.)); #207495=DIRECTION('',(0.,0.,1.)); #207496=DIRECTION('',(-0.859898100083059,-0.510465726051755,0.)); #207497=DIRECTION('center_axis',(-0.330818724789432,0.94369432091578,0.)); #207498=DIRECTION('ref_axis',(-0.943694320915779,-0.330818724789432,0.)); #207499=DIRECTION('',(0.,0.,1.)); #207500=DIRECTION('',(-0.943694320915779,-0.330818724789432,0.)); #207501=DIRECTION('center_axis',(0.,0.,1.)); #207502=DIRECTION('ref_axis',(-0.230615392531761,0.973044983917713,0.)); #207503=DIRECTION('',(0.,0.,1.)); #207504=DIRECTION('center_axis',(0.,0.,1.)); #207505=DIRECTION('ref_axis',(-0.230615392531761,0.973044983917713,0.)); #207506=DIRECTION('center_axis',(0.,0.,1.)); #207507=DIRECTION('ref_axis',(-0.0566280569088787,0.998395344125124,0.)); #207508=DIRECTION('',(0.,0.,1.)); #207509=DIRECTION('center_axis',(0.,0.,1.)); #207510=DIRECTION('ref_axis',(-0.0566280569088787,0.998395344125124,0.)); #207511=DIRECTION('center_axis',(0.,0.,1.)); #207512=DIRECTION('ref_axis',(-0.0958141526485773,0.995399240582509,0.)); #207513=DIRECTION('',(0.,0.,1.)); #207514=DIRECTION('center_axis',(0.,0.,1.)); #207515=DIRECTION('ref_axis',(-0.0958141526485773,0.995399240582509,0.)); #207516=DIRECTION('center_axis',(0.,0.,1.)); #207517=DIRECTION('ref_axis',(0.623649514991116,0.781704088803011,0.)); #207518=DIRECTION('',(0.,0.,1.)); #207519=DIRECTION('center_axis',(0.,0.,1.)); #207520=DIRECTION('ref_axis',(0.623649514991116,0.781704088803011,0.)); #207521=DIRECTION('center_axis',(0.,0.,1.)); #207522=DIRECTION('ref_axis',(0.965682179037622,0.259726643009818,0.)); #207523=DIRECTION('',(0.,0.,1.)); #207524=DIRECTION('center_axis',(0.,0.,1.)); #207525=DIRECTION('ref_axis',(0.965682179037622,0.259726643009818,0.)); #207526=DIRECTION('center_axis',(0.,0.,1.)); #207527=DIRECTION('ref_axis',(0.305891199724486,-0.952066475584092,0.)); #207528=DIRECTION('',(0.,0.,1.)); #207529=DIRECTION('center_axis',(0.,0.,1.)); #207530=DIRECTION('ref_axis',(0.305891199724486,-0.952066475584092,0.)); #207531=DIRECTION('center_axis',(0.178502225241035,-0.983939508091833,0.)); #207532=DIRECTION('ref_axis',(0.983939508091833,0.178502225241035,0.)); #207533=DIRECTION('',(0.,0.,1.)); #207534=DIRECTION('',(0.983939508091833,0.178502225241035,0.)); #207535=DIRECTION('center_axis',(0.,0.,1.)); #207536=DIRECTION('ref_axis',(0.017144335528594,0.999853025078828,0.)); #207537=DIRECTION('',(0.,0.,1.)); #207538=DIRECTION('center_axis',(0.,0.,-1.)); #207539=DIRECTION('ref_axis',(0.017144335528594,0.999853025078828,0.)); #207540=DIRECTION('center_axis',(0.,0.,1.)); #207541=DIRECTION('ref_axis',(-0.745551687855407,0.666447807960948,0.)); #207542=DIRECTION('center_axis',(0.,0.,-1.)); #207543=DIRECTION('ref_axis',(-0.745551687855407,0.666447807960948,0.)); #207544=DIRECTION('center_axis',(0.,0.,-1.)); #207545=DIRECTION('ref_axis',(-1.,0.,0.)); #207546=DIRECTION('center_axis',(0.63989056782478,0.768466044278393,0.)); #207547=DIRECTION('ref_axis',(-0.768466044278393,0.63989056782478,0.)); #207548=DIRECTION('',(0.,0.,1.)); #207549=DIRECTION('',(-0.768466044278393,0.63989056782478,0.)); #207550=DIRECTION('',(0.,0.,1.)); #207551=DIRECTION('center_axis',(0.814581518850125,0.580049092015342,0.)); #207552=DIRECTION('ref_axis',(-0.580049092015342,0.814581518850125,0.)); #207553=DIRECTION('',(0.,0.,1.)); #207554=DIRECTION('',(-0.580049092015342,0.814581518850125,0.)); #207555=DIRECTION('center_axis',(0.922155779025565,0.386818716209227,0.)); #207556=DIRECTION('ref_axis',(-0.386818716209227,0.922155779025565,0.)); #207557=DIRECTION('',(0.,0.,1.)); #207558=DIRECTION('',(-0.386818716209227,0.922155779025565,0.)); #207559=DIRECTION('center_axis',(0.977829723835658,0.209401602628732,0.)); #207560=DIRECTION('ref_axis',(-0.209401602628732,0.977829723835658,0.)); #207561=DIRECTION('',(0.,0.,1.)); #207562=DIRECTION('',(-0.209401602628732,0.977829723835658,0.)); #207563=DIRECTION('center_axis',(0.99807595332313,0.0620031563561462,0.)); #207564=DIRECTION('ref_axis',(-0.0620031563561462,0.99807595332313,0.)); #207565=DIRECTION('',(0.,0.,1.)); #207566=DIRECTION('',(-0.0620031563561462,0.99807595332313,0.)); #207567=DIRECTION('center_axis',(1.,0.,0.)); #207568=DIRECTION('ref_axis',(0.,1.,0.)); #207569=DIRECTION('',(0.,0.,1.)); #207570=DIRECTION('',(0.,1.,0.)); #207571=DIRECTION('center_axis',(0.,0.,1.)); #207572=DIRECTION('ref_axis',(-0.0256429832923955,-0.999671164637585,0.)); #207573=DIRECTION('',(0.,0.,1.)); #207574=DIRECTION('center_axis',(0.,0.,1.)); #207575=DIRECTION('ref_axis',(-0.0256429832923955,-0.999671164637585,0.)); #207576=DIRECTION('center_axis',(0.,-1.,0.)); #207577=DIRECTION('ref_axis',(1.,0.,0.)); #207578=DIRECTION('',(0.,0.,1.)); #207579=DIRECTION('',(1.,0.,0.)); #207580=DIRECTION('center_axis',(0.,0.,1.)); #207581=DIRECTION('ref_axis',(-0.999999999999498,-1.00237291055597E-6,0.)); #207582=DIRECTION('',(0.,0.,1.)); #207583=DIRECTION('center_axis',(0.,0.,1.)); #207584=DIRECTION('ref_axis',(-0.999999999999498,-1.00237291055597E-6,0.)); #207585=DIRECTION('center_axis',(-0.999999999958816,9.07572781784439E-6, 0.)); #207586=DIRECTION('ref_axis',(-9.07572781784439E-6,-0.999999999958815,0.)); #207587=DIRECTION('',(0.,0.,1.)); #207588=DIRECTION('',(-9.07572781784439E-6,-0.999999999958815,0.)); #207589=DIRECTION('center_axis',(-0.993926903514196,-0.110042312183463, 0.)); #207590=DIRECTION('ref_axis',(0.110042312183463,-0.993926903514196,0.)); #207591=DIRECTION('',(0.,0.,1.)); #207592=DIRECTION('',(0.110042312183463,-0.993926903514196,0.)); #207593=DIRECTION('center_axis',(-0.88415432277302,-0.46719496307407,0.)); #207594=DIRECTION('ref_axis',(0.46719496307407,-0.88415432277302,0.)); #207595=DIRECTION('',(0.,0.,1.)); #207596=DIRECTION('',(0.46719496307407,-0.88415432277302,0.)); #207597=DIRECTION('center_axis',(-0.479941823043611,-0.877300317162587, 0.)); #207598=DIRECTION('ref_axis',(0.877300317162587,-0.479941823043611,0.)); #207599=DIRECTION('',(0.,0.,1.)); #207600=DIRECTION('',(0.877300317162587,-0.479941823043611,0.)); #207601=DIRECTION('center_axis',(-0.117498540050891,-0.993073055261248, 0.)); #207602=DIRECTION('ref_axis',(0.993073055261248,-0.117498540050891,0.)); #207603=DIRECTION('',(0.,0.,1.)); #207604=DIRECTION('',(0.993073055261248,-0.117498540050891,0.)); #207605=DIRECTION('center_axis',(0.,-1.,0.)); #207606=DIRECTION('ref_axis',(1.,0.,0.)); #207607=DIRECTION('',(0.,0.,1.)); #207608=DIRECTION('',(1.,0.,0.)); #207609=DIRECTION('center_axis',(0.117498540050891,-0.993073055261248,0.)); #207610=DIRECTION('ref_axis',(0.993073055261248,0.117498540050891,0.)); #207611=DIRECTION('',(0.,0.,1.)); #207612=DIRECTION('',(0.993073055261248,0.117498540050891,0.)); #207613=DIRECTION('center_axis',(0.479941823043611,-0.877300317162587,0.)); #207614=DIRECTION('ref_axis',(0.877300317162587,0.479941823043611,0.)); #207615=DIRECTION('',(0.,0.,1.)); #207616=DIRECTION('',(0.877300317162587,0.479941823043611,0.)); #207617=DIRECTION('center_axis',(0.88415432277302,-0.46719496307407,0.)); #207618=DIRECTION('ref_axis',(0.46719496307407,0.88415432277302,0.)); #207619=DIRECTION('',(0.,0.,1.)); #207620=DIRECTION('',(0.46719496307407,0.88415432277302,0.)); #207621=DIRECTION('center_axis',(0.993926972174875,-0.110041692023007,0.)); #207622=DIRECTION('ref_axis',(0.110041692023007,0.993926972174875,0.)); #207623=DIRECTION('',(0.,0.,1.)); #207624=DIRECTION('',(0.110041692023007,0.993926972174874,0.)); #207625=DIRECTION('center_axis',(1.,0.,0.)); #207626=DIRECTION('ref_axis',(0.,1.,0.)); #207627=DIRECTION('',(0.,0.,1.)); #207628=DIRECTION('',(0.,1.,0.)); #207629=DIRECTION('center_axis',(0.994053897201609,0.108889161344426,0.)); #207630=DIRECTION('ref_axis',(-0.108889161344426,0.994053897201609,0.)); #207631=DIRECTION('',(0.,0.,1.)); #207632=DIRECTION('',(-0.108889161344426,0.994053897201609,0.)); #207633=DIRECTION('center_axis',(0.885243486588798,0.465127906550562,0.)); #207634=DIRECTION('ref_axis',(-0.465127906550562,0.885243486588798,0.)); #207635=DIRECTION('',(0.,0.,1.)); #207636=DIRECTION('',(-0.465127906550562,0.885243486588798,0.)); #207637=DIRECTION('center_axis',(0.479935478605475,0.877303787963858,0.)); #207638=DIRECTION('ref_axis',(-0.877303787963858,0.479935478605475,0.)); #207639=DIRECTION('',(0.,0.,1.)); #207640=DIRECTION('',(-0.877303787963858,0.479935478605475,0.)); #207641=DIRECTION('center_axis',(0.117498540050891,0.993073055261248,0.)); #207642=DIRECTION('ref_axis',(-0.993073055261248,0.117498540050891,0.)); #207643=DIRECTION('',(0.,0.,1.)); #207644=DIRECTION('',(-0.993073055261248,0.117498540050891,0.)); #207645=DIRECTION('center_axis',(0.,1.,0.)); #207646=DIRECTION('ref_axis',(-1.,0.,0.)); #207647=DIRECTION('',(0.,0.,1.)); #207648=DIRECTION('',(-1.,0.,0.)); #207649=DIRECTION('center_axis',(-0.117498540050891,0.993073055261248,0.)); #207650=DIRECTION('ref_axis',(-0.993073055261248,-0.117498540050891,0.)); #207651=DIRECTION('',(0.,0.,1.)); #207652=DIRECTION('',(-0.993073055261248,-0.117498540050891,0.)); #207653=DIRECTION('center_axis',(-0.479935478605461,0.877303787963865,0.)); #207654=DIRECTION('ref_axis',(-0.877303787963865,-0.479935478605461,0.)); #207655=DIRECTION('',(0.,0.,1.)); #207656=DIRECTION('',(-0.877303787963865,-0.479935478605461,0.)); #207657=DIRECTION('center_axis',(-0.885243486588798,0.465127906550562,0.)); #207658=DIRECTION('ref_axis',(-0.465127906550562,-0.885243486588798,0.)); #207659=DIRECTION('',(0.,0.,1.)); #207660=DIRECTION('',(-0.465127906550562,-0.885243486588798,0.)); #207661=DIRECTION('center_axis',(-0.994054200853848,0.108886389254202,0.)); #207662=DIRECTION('ref_axis',(-0.108886389254202,-0.994054200853848,0.)); #207663=DIRECTION('',(0.,0.,1.)); #207664=DIRECTION('',(-0.108886389254202,-0.994054200853848,0.)); #207665=DIRECTION('center_axis',(-1.,0.,0.)); #207666=DIRECTION('ref_axis',(0.,-1.,0.)); #207667=DIRECTION('',(0.,0.,1.)); #207668=DIRECTION('',(0.,-1.,0.)); #207669=DIRECTION('center_axis',(0.,0.,1.)); #207670=DIRECTION('ref_axis',(0.110434910107635,0.993883358664144,0.)); #207671=DIRECTION('',(0.,0.,1.)); #207672=DIRECTION('center_axis',(0.,0.,1.)); #207673=DIRECTION('ref_axis',(0.110434910107635,0.993883358664144,0.)); #207674=DIRECTION('center_axis',(1.52878323609402E-14,1.,0.)); #207675=DIRECTION('ref_axis',(-1.,1.52878323609402E-14,0.)); #207676=DIRECTION('',(0.,0.,1.)); #207677=DIRECTION('',(-1.,1.52878323609402E-14,0.)); #207678=DIRECTION('center_axis',(0.,0.,1.)); #207679=DIRECTION('ref_axis',(0.999999999997999,2.00069267979025E-6,0.)); #207680=DIRECTION('',(0.,0.,1.)); #207681=DIRECTION('center_axis',(0.,0.,1.)); #207682=DIRECTION('ref_axis',(0.999999999997999,2.00069267979025E-6,0.)); #207683=DIRECTION('center_axis',(0.999999999688529,-2.49588177909195E-5, 0.)); #207684=DIRECTION('ref_axis',(2.49588177909195E-5,0.999999999688529,0.)); #207685=DIRECTION('',(0.,0.,1.)); #207686=DIRECTION('',(2.49588177909195E-5,0.999999999688529,0.)); #207687=DIRECTION('center_axis',(0.998105631506055,-0.0615235593565473, 0.)); #207688=DIRECTION('ref_axis',(0.0615235593565473,0.998105631506055,0.)); #207689=DIRECTION('',(0.,0.,1.)); #207690=DIRECTION('',(0.0615235593565473,0.998105631506055,0.)); #207691=DIRECTION('center_axis',(0.978100330408067,-0.208133956037046,0.)); #207692=DIRECTION('ref_axis',(0.208133956037046,0.978100330408067,0.)); #207693=DIRECTION('',(0.,0.,1.)); #207694=DIRECTION('',(0.208133956037046,0.978100330408067,0.)); #207695=DIRECTION('center_axis',(0.922771679108476,-0.385347152883376,0.)); #207696=DIRECTION('ref_axis',(0.385347152883376,0.922771679108476,0.)); #207697=DIRECTION('',(0.,0.,1.)); #207698=DIRECTION('',(0.385347152883376,0.922771679108476,0.)); #207699=DIRECTION('center_axis',(0.815080031041446,-0.579348377919086,0.)); #207700=DIRECTION('ref_axis',(0.579348377919086,0.815080031041446,0.)); #207701=DIRECTION('',(0.,0.,1.)); #207702=DIRECTION('',(0.579348377919086,0.815080031041446,0.)); #207703=DIRECTION('center_axis',(0.639890567824755,-0.768466044278414,0.)); #207704=DIRECTION('ref_axis',(0.768466044278414,0.639890567824755,0.)); #207705=DIRECTION('',(0.,0.,1.)); #207706=DIRECTION('',(0.768466044278414,0.639890567824755,0.)); #207707=DIRECTION('center_axis',(0.424962615594725,-0.905210901031848,0.)); #207708=DIRECTION('ref_axis',(0.905210901031848,0.424962615594725,0.)); #207709=DIRECTION('',(0.,0.,1.)); #207710=DIRECTION('',(0.905210901031848,0.424962615594725,0.)); #207711=DIRECTION('center_axis',(0.226613220361562,-0.973984829634098,0.)); #207712=DIRECTION('ref_axis',(0.973984829634098,0.226613220361562,0.)); #207713=DIRECTION('',(0.,0.,1.)); #207714=DIRECTION('',(0.973984829634098,0.226613220361562,0.)); #207715=DIRECTION('center_axis',(0.0659113202070169,-0.997825484675837, 0.)); #207716=DIRECTION('ref_axis',(0.997825484675836,0.0659113202070169,0.)); #207717=DIRECTION('',(0.,0.,1.)); #207718=DIRECTION('',(0.997825484675836,0.0659113202070169,0.)); #207719=DIRECTION('center_axis',(0.,-1.,0.)); #207720=DIRECTION('ref_axis',(1.,0.,0.)); #207721=DIRECTION('',(0.,0.,1.)); #207722=DIRECTION('',(1.,0.,0.)); #207723=DIRECTION('center_axis',(-0.065911320207032,-0.997825484675836, 0.)); #207724=DIRECTION('ref_axis',(0.997825484675836,-0.065911320207032,0.)); #207725=DIRECTION('',(0.,0.,1.)); #207726=DIRECTION('',(0.997825484675836,-0.065911320207032,0.)); #207727=DIRECTION('center_axis',(-0.226613220361547,-0.973984829634101, 0.)); #207728=DIRECTION('ref_axis',(0.973984829634101,-0.226613220361547,0.)); #207729=DIRECTION('',(0.,0.,1.)); #207730=DIRECTION('',(0.973984829634101,-0.226613220361547,0.)); #207731=DIRECTION('center_axis',(-0.424963294943908,-0.905210582102539, 0.)); #207732=DIRECTION('ref_axis',(0.905210582102539,-0.424963294943908,0.)); #207733=DIRECTION('',(0.,0.,1.)); #207734=DIRECTION('',(0.905210582102539,-0.424963294943908,0.)); #207735=DIRECTION('center_axis',(-0.639891968830322,-0.768464877679165, 0.)); #207736=DIRECTION('ref_axis',(0.768464877679165,-0.639891968830322,0.)); #207737=DIRECTION('',(0.,0.,1.)); #207738=DIRECTION('',(0.768464877679165,-0.639891968830322,0.)); #207739=DIRECTION('center_axis',(-0.815079139217792,-0.579349632615732, 0.)); #207740=DIRECTION('ref_axis',(0.579349632615732,-0.815079139217792,0.)); #207741=DIRECTION('',(0.,0.,1.)); #207742=DIRECTION('',(0.579349632615732,-0.815079139217792,0.)); #207743=DIRECTION('center_axis',(-0.922771679108479,-0.385347152883369, 0.)); #207744=DIRECTION('ref_axis',(0.385347152883369,-0.922771679108479,0.)); #207745=DIRECTION('',(0.,0.,1.)); #207746=DIRECTION('',(0.385347152883369,-0.922771679108479,0.)); #207747=DIRECTION('center_axis',(-0.97810049155185,-0.208133198759904,0.)); #207748=DIRECTION('ref_axis',(0.208133198759904,-0.97810049155185,0.)); #207749=DIRECTION('',(0.,0.,1.)); #207750=DIRECTION('',(0.208133198759904,-0.97810049155185,0.)); #207751=DIRECTION('center_axis',(-0.99810567461029,-0.0615228600662976, 0.)); #207752=DIRECTION('ref_axis',(0.0615228600662976,-0.99810567461029,0.)); #207753=DIRECTION('',(0.,0.,1.)); #207754=DIRECTION('',(0.0615228600662976,-0.99810567461029,0.)); #207755=DIRECTION('center_axis',(-1.,0.,0.)); #207756=DIRECTION('ref_axis',(0.,-1.,0.)); #207757=DIRECTION('',(0.,0.,1.)); #207758=DIRECTION('',(0.,-1.,0.)); #207759=DIRECTION('center_axis',(-0.998076215966185,0.0619989283989833, 0.)); #207760=DIRECTION('ref_axis',(-0.0619989283989833,-0.998076215966184,0.)); #207761=DIRECTION('',(0.,0.,1.)); #207762=DIRECTION('',(-0.0619989283989833,-0.998076215966184,0.)); #207763=DIRECTION('center_axis',(-0.977829886903194,0.209400841160885,0.)); #207764=DIRECTION('ref_axis',(-0.209400841160885,-0.977829886903194,0.)); #207765=DIRECTION('',(0.,0.,1.)); #207766=DIRECTION('',(-0.209400841160885,-0.977829886903194,0.)); #207767=DIRECTION('center_axis',(-0.922155779025565,0.386818716209227,0.)); #207768=DIRECTION('ref_axis',(-0.386818716209227,-0.922155779025565,0.)); #207769=DIRECTION('',(0.,0.,1.)); #207770=DIRECTION('',(-0.386818716209227,-0.922155779025565,0.)); #207771=DIRECTION('center_axis',(-0.814580625414649,0.580050346693353,0.)); #207772=DIRECTION('ref_axis',(-0.580050346693353,-0.814580625414649,0.)); #207773=DIRECTION('',(0.,0.,1.)); #207774=DIRECTION('',(-0.580050346693353,-0.814580625414649,0.)); #207775=DIRECTION('center_axis',(-0.639891968830335,0.768464877679155,0.)); #207776=DIRECTION('ref_axis',(-0.768464877679155,-0.639891968830335,0.)); #207777=DIRECTION('',(0.,0.,1.)); #207778=DIRECTION('',(-0.768464877679155,-0.639891968830335,0.)); #207779=DIRECTION('center_axis',(-0.424963294943924,0.905210582102531,0.)); #207780=DIRECTION('ref_axis',(-0.905210582102531,-0.424963294943924,0.)); #207781=DIRECTION('',(0.,0.,1.)); #207782=DIRECTION('',(-0.905210582102531,-0.424963294943924,0.)); #207783=DIRECTION('center_axis',(-0.226613220361547,0.973984829634101,0.)); #207784=DIRECTION('ref_axis',(-0.973984829634101,-0.226613220361547,0.)); #207785=DIRECTION('',(0.,0.,1.)); #207786=DIRECTION('',(-0.973984829634101,-0.226613220361547,0.)); #207787=DIRECTION('center_axis',(-0.0659113202070169,0.997825484675837, 0.)); #207788=DIRECTION('ref_axis',(-0.997825484675836,-0.0659113202070169,0.)); #207789=DIRECTION('',(0.,0.,1.)); #207790=DIRECTION('',(-0.997825484675836,-0.0659113202070169,0.)); #207791=DIRECTION('center_axis',(0.,1.,0.)); #207792=DIRECTION('ref_axis',(-1.,0.,0.)); #207793=DIRECTION('',(0.,0.,1.)); #207794=DIRECTION('',(-1.,0.,0.)); #207795=DIRECTION('center_axis',(0.0659113202070169,0.997825484675837,0.)); #207796=DIRECTION('ref_axis',(-0.997825484675836,0.0659113202070169,0.)); #207797=DIRECTION('',(0.,0.,1.)); #207798=DIRECTION('',(-0.997825484675836,0.0659113202070169,0.)); #207799=DIRECTION('center_axis',(0.226613220361562,0.973984829634098,0.)); #207800=DIRECTION('ref_axis',(-0.973984829634098,0.226613220361562,0.)); #207801=DIRECTION('',(0.,0.,1.)); #207802=DIRECTION('',(-0.973984829634098,0.226613220361562,0.)); #207803=DIRECTION('center_axis',(0.424962615594725,0.905210901031848,0.)); #207804=DIRECTION('ref_axis',(-0.905210901031848,0.424962615594725,0.)); #207805=DIRECTION('',(-0.905210901031848,0.424962615594725,0.)); #207806=DIRECTION('center_axis',(0.,0.,-1.)); #207807=DIRECTION('ref_axis',(-1.,0.,0.)); #207808=DIRECTION('center_axis',(-1.,0.,0.)); #207809=DIRECTION('ref_axis',(0.,-1.,0.)); #207810=DIRECTION('',(0.,0.,1.)); #207811=DIRECTION('',(0.,-1.,0.)); #207812=DIRECTION('',(0.,0.,1.)); #207813=DIRECTION('center_axis',(0.,0.,1.)); #207814=DIRECTION('ref_axis',(-1.2982156966795E-5,0.999999999915732,0.)); #207815=DIRECTION('',(0.,0.,1.)); #207816=DIRECTION('center_axis',(0.,0.,1.)); #207817=DIRECTION('ref_axis',(-1.2982156966795E-5,0.999999999915732,0.)); #207818=DIRECTION('center_axis',(0.,1.,0.)); #207819=DIRECTION('ref_axis',(-1.,0.,0.)); #207820=DIRECTION('',(0.,0.,1.)); #207821=DIRECTION('',(-1.,0.,0.)); #207822=DIRECTION('center_axis',(0.,0.,1.)); #207823=DIRECTION('ref_axis',(0.999999999820182,-1.89640634371832E-5,0.)); #207824=DIRECTION('',(0.,0.,1.)); #207825=DIRECTION('center_axis',(0.,0.,1.)); #207826=DIRECTION('ref_axis',(0.999999999820182,-1.89640634371832E-5,0.)); #207827=DIRECTION('center_axis',(1.,0.,0.)); #207828=DIRECTION('ref_axis',(0.,1.,0.)); #207829=DIRECTION('',(0.,0.,1.)); #207830=DIRECTION('',(0.,1.,0.)); #207831=DIRECTION('center_axis',(0.,0.,1.)); #207832=DIRECTION('ref_axis',(8.98903722564087E-6,-0.999999999959599,0.)); #207833=DIRECTION('',(0.,0.,1.)); #207834=DIRECTION('center_axis',(0.,0.,1.)); #207835=DIRECTION('ref_axis',(8.98903722564087E-6,-0.999999999959599,0.)); #207836=DIRECTION('center_axis',(0.,-1.,0.)); #207837=DIRECTION('ref_axis',(1.,0.,0.)); #207838=DIRECTION('',(0.,0.,1.)); #207839=DIRECTION('',(1.,0.,0.)); #207840=DIRECTION('center_axis',(0.,0.,1.)); #207841=DIRECTION('ref_axis',(-0.999999999995527,2.99092632102192E-6,0.)); #207842=DIRECTION('center_axis',(0.,0.,1.)); #207843=DIRECTION('ref_axis',(-0.999999999995527,2.99092632102192E-6,0.)); #207844=DIRECTION('center_axis',(0.,0.,-1.)); #207845=DIRECTION('ref_axis',(-1.,0.,0.)); #207846=DIRECTION('center_axis',(0.,0.,-1.)); #207847=DIRECTION('ref_axis',(-1.,0.,0.)); #207848=DIRECTION('center_axis',(0.,0.,-1.)); #207849=DIRECTION('ref_axis',(-1.,0.,0.)); #207850=DIRECTION('center_axis',(0.,0.,-1.)); #207851=DIRECTION('ref_axis',(-1.,0.,0.)); #207852=DIRECTION('center_axis',(0.,0.,-1.)); #207853=DIRECTION('ref_axis',(-1.,0.,0.)); #207854=DIRECTION('center_axis',(0.,0.,-1.)); #207855=DIRECTION('ref_axis',(-1.,0.,0.)); #207856=DIRECTION('center_axis',(0.,0.,-1.)); #207857=DIRECTION('ref_axis',(-1.,0.,0.)); #207858=DIRECTION('',(0.,0.,-1.)); #207859=DIRECTION('center_axis',(0.,0.,-1.)); #207860=DIRECTION('ref_axis',(-1.,0.,0.)); #207861=DIRECTION('center_axis',(0.,0.,-1.)); #207862=DIRECTION('ref_axis',(-1.,0.,0.)); #207863=DIRECTION('',(0.,0.,-1.)); #207864=DIRECTION('center_axis',(0.,0.,-1.)); #207865=DIRECTION('ref_axis',(-1.,0.,0.)); #207866=DIRECTION('center_axis',(0.,0.,-1.)); #207867=DIRECTION('ref_axis',(-1.,0.,0.)); #207868=DIRECTION('',(0.,0.,-1.)); #207869=DIRECTION('center_axis',(0.,0.,-1.)); #207870=DIRECTION('ref_axis',(-1.,0.,0.)); #207871=DIRECTION('center_axis',(0.,0.,-1.)); #207872=DIRECTION('ref_axis',(-1.,0.,0.)); #207873=DIRECTION('',(0.,0.,-1.)); #207874=DIRECTION('center_axis',(0.,0.,-1.)); #207875=DIRECTION('ref_axis',(-1.,0.,0.)); #207876=DIRECTION('center_axis',(0.,0.,-1.)); #207877=DIRECTION('ref_axis',(-1.,0.,0.)); #207878=DIRECTION('',(0.,0.,-1.)); #207879=DIRECTION('center_axis',(0.,0.,-1.)); #207880=DIRECTION('ref_axis',(-1.,0.,0.)); #207881=DIRECTION('center_axis',(0.,0.,-1.)); #207882=DIRECTION('ref_axis',(-1.,0.,0.)); #207883=DIRECTION('',(0.,0.,-1.)); #207884=DIRECTION('center_axis',(0.,0.,-1.)); #207885=DIRECTION('ref_axis',(-1.,0.,0.)); #207886=DIRECTION('center_axis',(0.,0.,-1.)); #207887=DIRECTION('ref_axis',(-1.,0.,0.)); #207888=DIRECTION('',(0.,0.,-1.)); #207889=DIRECTION('center_axis',(0.,0.,-1.)); #207890=DIRECTION('ref_axis',(-1.,0.,0.)); #207891=DIRECTION('center_axis',(0.,0.,-1.)); #207892=DIRECTION('ref_axis',(-1.,0.,0.)); #207893=DIRECTION('',(0.,0.,-1.)); #207894=DIRECTION('center_axis',(0.,0.,-1.)); #207895=DIRECTION('ref_axis',(-1.,0.,0.)); #207896=DIRECTION('center_axis',(0.,0.,-1.)); #207897=DIRECTION('ref_axis',(-1.,0.,0.)); #207898=DIRECTION('',(0.,0.,-1.)); #207899=DIRECTION('center_axis',(0.,0.,-1.)); #207900=DIRECTION('ref_axis',(-1.,0.,0.)); #207901=DIRECTION('center_axis',(0.,0.,-1.)); #207902=DIRECTION('ref_axis',(-1.,0.,0.)); #207903=DIRECTION('',(0.,0.,-1.)); #207904=DIRECTION('center_axis',(0.,0.,-1.)); #207905=DIRECTION('ref_axis',(-1.,0.,0.)); #207906=DIRECTION('center_axis',(0.,0.,-1.)); #207907=DIRECTION('ref_axis',(-1.,0.,0.)); #207908=DIRECTION('',(0.,0.,-1.)); #207909=DIRECTION('center_axis',(0.,0.,-1.)); #207910=DIRECTION('ref_axis',(-1.,0.,0.)); #207911=DIRECTION('center_axis',(0.,0.,-1.)); #207912=DIRECTION('ref_axis',(-1.,0.,0.)); #207913=DIRECTION('',(0.,0.,-1.)); #207914=DIRECTION('center_axis',(0.,0.,-1.)); #207915=DIRECTION('ref_axis',(-1.,0.,0.)); #207916=DIRECTION('center_axis',(0.,0.,-1.)); #207917=DIRECTION('ref_axis',(-1.,0.,0.)); #207918=DIRECTION('',(0.,0.,-1.)); #207919=DIRECTION('center_axis',(0.,0.,-1.)); #207920=DIRECTION('ref_axis',(-1.,0.,0.)); #207921=DIRECTION('center_axis',(0.,0.,-1.)); #207922=DIRECTION('ref_axis',(-1.,0.,0.)); #207923=DIRECTION('',(0.,0.,-1.)); #207924=DIRECTION('center_axis',(0.,0.,-1.)); #207925=DIRECTION('ref_axis',(-1.,0.,0.)); #207926=DIRECTION('',(0.,1.,0.)); #207927=DIRECTION('',(1.,0.,0.)); #207928=DIRECTION('',(0.,1.,0.)); #207929=DIRECTION('',(1.,0.,0.)); #207930=DIRECTION('center_axis',(0.,0.,-1.)); #207931=DIRECTION('ref_axis',(-1.,0.,0.)); #207932=DIRECTION('',(0.,1.,0.)); #207933=DIRECTION('',(1.,0.,0.)); #207934=DIRECTION('',(0.,1.,0.)); #207935=DIRECTION('',(1.,0.,0.)); #207936=DIRECTION('center_axis',(0.,0.,-1.)); #207937=DIRECTION('ref_axis',(-1.,0.,0.)); #207938=DIRECTION('',(0.,1.,0.)); #207939=DIRECTION('',(1.,0.,0.)); #207940=DIRECTION('',(0.,1.,0.)); #207941=DIRECTION('',(1.,0.,0.)); #207942=DIRECTION('center_axis',(0.,-1.,0.)); #207943=DIRECTION('ref_axis',(-1.,0.,-6.12323399573677E-17)); #207944=DIRECTION('',(-1.,0.,0.)); #207945=DIRECTION('',(0.,0.,-1.)); #207946=DIRECTION('',(-1.,0.,0.)); #207947=DIRECTION('',(0.,0.,1.)); #207948=DIRECTION('center_axis',(0.,0.,-1.)); #207949=DIRECTION('ref_axis',(-1.,0.,0.)); #207950=DIRECTION('',(0.,-1.,0.)); #207951=DIRECTION('',(-1.,0.,0.)); #207952=DIRECTION('',(0.,-1.,0.)); #207953=DIRECTION('center_axis',(0.,1.,0.)); #207954=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #207955=DIRECTION('',(0.,0.,-1.)); #207956=DIRECTION('',(-1.,0.,0.)); #207957=DIRECTION('',(0.,0.,-1.)); #207958=DIRECTION('center_axis',(0.,0.,-1.)); #207959=DIRECTION('ref_axis',(-1.,0.,0.)); #207960=DIRECTION('',(0.,-1.,0.)); #207961=DIRECTION('',(-1.,0.,0.)); #207962=DIRECTION('',(0.,-1.,0.)); #207963=DIRECTION('center_axis',(0.,0.,-1.)); #207964=DIRECTION('ref_axis',(-1.,0.,0.)); #207965=DIRECTION('',(0.,-1.,0.)); #207966=DIRECTION('',(0.,-1.,0.)); #207967=DIRECTION('',(-1.,0.,0.)); #207968=DIRECTION('center_axis',(0.,-1.,0.)); #207969=DIRECTION('ref_axis',(-1.,0.,-6.12323399573677E-17)); #207970=DIRECTION('',(-1.,0.,0.)); #207971=DIRECTION('',(0.,0.,1.)); #207972=DIRECTION('',(0.,0.,1.)); #207973=DIRECTION('center_axis',(1.,0.,0.)); #207974=DIRECTION('ref_axis',(0.,0.,-1.)); #207975=DIRECTION('',(0.,-1.,0.)); #207976=DIRECTION('',(0.,0.,-1.)); #207977=DIRECTION('center_axis',(0.,1.,0.)); #207978=DIRECTION('ref_axis',(1.,0.,6.12323399573677E-17)); #207979=DIRECTION('',(-1.,0.,0.)); #207980=DIRECTION('',(0.,0.,-1.)); #207981=DIRECTION('center_axis',(1.,0.,0.)); #207982=DIRECTION('ref_axis',(0.,0.,-1.)); #207983=DIRECTION('',(0.,-1.,0.)); #207984=DIRECTION('center_axis',(1.,0.,0.)); #207985=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #207986=DIRECTION('',(0.,-1.,0.)); #207987=DIRECTION('',(0.,0.,-1.)); #207988=DIRECTION('',(0.,-1.,0.)); #207989=DIRECTION('',(0.,0.,1.)); #207990=DIRECTION('center_axis',(0.,0.,-1.)); #207991=DIRECTION('ref_axis',(0.,1.,0.)); #207992=DIRECTION('',(1.,0.,0.)); #207993=DIRECTION('',(0.,-1.,0.)); #207994=DIRECTION('',(1.,0.,0.)); #207995=DIRECTION('center_axis',(-1.,0.,0.)); #207996=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #207997=DIRECTION('',(0.,0.,-1.)); #207998=DIRECTION('',(0.,-1.,0.)); #207999=DIRECTION('',(0.,0.,-1.)); #208000=DIRECTION('center_axis',(0.,0.,-1.)); #208001=DIRECTION('ref_axis',(0.,1.,0.)); #208002=DIRECTION('',(1.,0.,0.)); #208003=DIRECTION('',(0.,-1.,0.)); #208004=DIRECTION('',(1.,0.,0.)); #208005=DIRECTION('center_axis',(0.,0.,-1.)); #208006=DIRECTION('ref_axis',(0.,1.,0.)); #208007=DIRECTION('',(1.,0.,0.)); #208008=DIRECTION('',(1.,0.,0.)); #208009=DIRECTION('',(0.,-1.,0.)); #208010=DIRECTION('center_axis',(1.,0.,0.)); #208011=DIRECTION('ref_axis',(0.,-1.,-6.12323399573677E-17)); #208012=DIRECTION('',(0.,-1.,0.)); #208013=DIRECTION('',(0.,0.,1.)); #208014=DIRECTION('',(0.,0.,1.)); #208015=DIRECTION('center_axis',(0.,1.,0.)); #208016=DIRECTION('ref_axis',(0.,0.,1.)); #208017=DIRECTION('',(1.,0.,0.)); #208018=DIRECTION('',(0.,0.,-1.)); #208019=DIRECTION('center_axis',(-1.,0.,0.)); #208020=DIRECTION('ref_axis',(0.,1.,6.12323399573677E-17)); #208021=DIRECTION('',(0.,-1.,0.)); #208022=DIRECTION('',(0.,0.,-1.)); #208023=DIRECTION('center_axis',(0.,1.,0.)); #208024=DIRECTION('ref_axis',(0.,0.,1.)); #208025=DIRECTION('',(1.,0.,0.)); #208026=DIRECTION('center_axis',(0.,1.,0.)); #208027=DIRECTION('ref_axis',(-1.,0.,6.12323399573677E-17)); #208028=DIRECTION('',(1.,0.,0.)); #208029=DIRECTION('',(0.,0.,-1.)); #208030=DIRECTION('',(0.,0.,1.)); #208031=DIRECTION('center_axis',(0.,0.,-1.)); #208032=DIRECTION('ref_axis',(-1.,0.,0.)); #208033=DIRECTION('',(0.,1.,0.)); #208034=DIRECTION('',(1.,0.,0.)); #208035=DIRECTION('',(0.,1.,0.)); #208036=DIRECTION('',(1.,0.,0.)); #208037=DIRECTION('center_axis',(0.,-1.,0.)); #208038=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #208039=DIRECTION('',(0.,0.,-1.)); #208040=DIRECTION('',(1.,0.,0.)); #208041=DIRECTION('',(0.,0.,-1.)); #208042=DIRECTION('center_axis',(0.,0.,-1.)); #208043=DIRECTION('ref_axis',(-1.,0.,0.)); #208044=DIRECTION('',(0.,1.,0.)); #208045=DIRECTION('',(1.,0.,0.)); #208046=DIRECTION('',(0.,1.,0.)); #208047=DIRECTION('center_axis',(0.,0.,-1.)); #208048=DIRECTION('ref_axis',(-1.,0.,0.)); #208049=DIRECTION('',(0.,1.,0.)); #208050=DIRECTION('',(0.,1.,0.)); #208051=DIRECTION('',(1.,0.,0.)); #208052=DIRECTION('center_axis',(0.,1.,0.)); #208053=DIRECTION('ref_axis',(-1.,0.,6.12323399573677E-17)); #208054=DIRECTION('',(1.,0.,0.)); #208055=DIRECTION('',(0.,0.,1.)); #208056=DIRECTION('',(0.,0.,1.)); #208057=DIRECTION('center_axis',(-1.,0.,0.)); #208058=DIRECTION('ref_axis',(0.,0.,1.)); #208059=DIRECTION('center_axis',(1.,0.,0.)); #208060=DIRECTION('ref_axis',(0.,0.,-1.)); #208061=DIRECTION('',(0.,0.,-1.)); #208062=DIRECTION('',(0.,1.,0.)); #208063=DIRECTION('',(0.,0.,-1.)); #208064=DIRECTION('',(0.,8.67361737988405E-15,1.)); #208065=DIRECTION('center_axis',(1.,0.,0.)); #208066=DIRECTION('ref_axis',(0.,0.,1.)); #208067=DIRECTION('',(0.,1.,0.)); #208068=DIRECTION('center_axis',(1.,0.,0.)); #208069=DIRECTION('ref_axis',(0.,0.,-1.)); #208070=DIRECTION('',(0.,0.,-1.)); #208071=DIRECTION('',(0.,4.33680868994202E-15,1.)); #208072=DIRECTION('center_axis',(1.,0.,0.)); #208073=DIRECTION('ref_axis',(0.,0.,1.)); #208074=DIRECTION('',(0.,1.,0.)); #208075=DIRECTION('center_axis',(1.,0.,0.)); #208076=DIRECTION('ref_axis',(0.,0.,-1.)); #208077=DIRECTION('',(0.,4.33680868994202E-15,-1.)); #208078=DIRECTION('',(0.,0.,1.)); #208079=DIRECTION('center_axis',(1.,0.,0.)); #208080=DIRECTION('ref_axis',(0.,0.,1.)); #208081=DIRECTION('',(0.,1.,0.)); #208082=DIRECTION('center_axis',(0.,-1.,0.)); #208083=DIRECTION('ref_axis',(1.,0.,-6.12323399573677E-17)); #208084=DIRECTION('',(1.,0.,0.)); #208085=DIRECTION('',(0.,0.,-1.)); #208086=DIRECTION('center_axis',(-1.,0.,0.)); #208087=DIRECTION('ref_axis',(0.,0.,1.)); #208088=DIRECTION('',(0.,0.,-1.)); #208089=DIRECTION('center_axis',(1.,0.,0.)); #208090=DIRECTION('ref_axis',(0.,0.,1.)); #208091=DIRECTION('',(0.,1.,0.)); #208092=DIRECTION('center_axis',(1.,0.,0.)); #208093=DIRECTION('ref_axis',(0.,0.,1.)); #208094=DIRECTION('',(0.,0.,1.)); #208095=DIRECTION('',(0.,4.33680868994202E-15,-1.)); #208096=DIRECTION('center_axis',(1.,0.,0.)); #208097=DIRECTION('ref_axis',(0.,0.,1.)); #208098=DIRECTION('',(0.,1.,0.)); #208099=DIRECTION('center_axis',(1.,0.,0.)); #208100=DIRECTION('ref_axis',(0.,0.,1.)); #208101=DIRECTION('',(0.,4.33680868994202E-15,1.)); #208102=DIRECTION('',(0.,0.,-1.)); #208103=DIRECTION('center_axis',(1.,0.,0.)); #208104=DIRECTION('ref_axis',(0.,0.,1.)); #208105=DIRECTION('',(0.,1.,0.)); #208106=DIRECTION('center_axis',(1.,0.,0.)); #208107=DIRECTION('ref_axis',(0.,0.,1.)); #208108=DIRECTION('',(0.,8.67361737988405E-15,1.)); #208109=DIRECTION('',(0.,1.,0.)); #208110=DIRECTION('center_axis',(-1.,0.,0.)); #208111=DIRECTION('ref_axis',(0.,-1.,6.12323399573677E-17)); #208112=DIRECTION('',(0.,1.,0.)); #208113=DIRECTION('',(0.,0.,-1.)); #208114=DIRECTION('',(0.,1.,0.)); #208115=DIRECTION('',(0.,0.,1.)); #208116=DIRECTION('center_axis',(0.,0.,-1.)); #208117=DIRECTION('ref_axis',(0.,1.,0.)); #208118=DIRECTION('',(-1.,0.,0.)); #208119=DIRECTION('',(0.,1.,0.)); #208120=DIRECTION('',(-1.,0.,0.)); #208121=DIRECTION('center_axis',(1.,0.,0.)); #208122=DIRECTION('ref_axis',(0.,1.,-6.12323399573677E-17)); #208123=DIRECTION('',(0.,0.,-1.)); #208124=DIRECTION('',(0.,1.,0.)); #208125=DIRECTION('',(0.,0.,-1.)); #208126=DIRECTION('center_axis',(0.,0.,-1.)); #208127=DIRECTION('ref_axis',(0.,1.,0.)); #208128=DIRECTION('',(-1.,0.,0.)); #208129=DIRECTION('',(0.,1.,0.)); #208130=DIRECTION('',(-1.,0.,0.)); #208131=DIRECTION('center_axis',(0.,0.,-1.)); #208132=DIRECTION('ref_axis',(0.,1.,0.)); #208133=DIRECTION('',(-1.,0.,0.)); #208134=DIRECTION('',(-1.,0.,0.)); #208135=DIRECTION('',(0.,1.,0.)); #208136=DIRECTION('center_axis',(-1.,0.,0.)); #208137=DIRECTION('ref_axis',(0.,-1.,6.12323399573677E-17)); #208138=DIRECTION('',(0.,1.,0.)); #208139=DIRECTION('',(0.,0.,1.)); #208140=DIRECTION('',(0.,0.,1.)); #208141=DIRECTION('center_axis',(0.,-1.,0.)); #208142=DIRECTION('ref_axis',(0.,0.,-1.)); #208143=DIRECTION('',(-1.,0.,0.)); #208144=DIRECTION('',(0.,0.,-1.)); #208145=DIRECTION('center_axis',(1.,0.,0.)); #208146=DIRECTION('ref_axis',(0.,1.,-6.12323399573677E-17)); #208147=DIRECTION('',(0.,1.,0.)); #208148=DIRECTION('',(0.,0.,-1.)); #208149=DIRECTION('center_axis',(0.,-1.,0.)); #208150=DIRECTION('ref_axis',(0.,0.,-1.)); #208151=DIRECTION('',(-1.,0.,0.)); #208152=DIRECTION('center_axis',(0.,1.,0.)); #208153=DIRECTION('ref_axis',(0.,0.,1.)); #208154=DIRECTION('',(0.,0.,-1.)); #208155=DIRECTION('',(0.,0.,-1.)); #208156=DIRECTION('',(1.,0.,0.)); #208157=DIRECTION('center_axis',(0.,-1.,0.)); #208158=DIRECTION('ref_axis',(0.,0.,-1.)); #208159=DIRECTION('',(0.,0.,-1.)); #208160=DIRECTION('',(0.,0.,-1.)); #208161=DIRECTION('',(-1.,0.,0.)); #208162=DIRECTION('center_axis',(-1.,0.,0.)); #208163=DIRECTION('ref_axis',(0.,0.,1.)); #208164=DIRECTION('',(0.,0.,-1.)); #208165=DIRECTION('',(0.,1.,0.)); #208166=DIRECTION('center_axis',(1.,0.,0.)); #208167=DIRECTION('ref_axis',(0.,0.,-1.)); #208168=DIRECTION('',(0.,0.,-1.)); #208169=DIRECTION('',(0.,0.,-1.)); #208170=DIRECTION('',(0.,-1.,0.)); #208171=DIRECTION('center_axis',(0.,-1.,0.)); #208172=DIRECTION('ref_axis',(0.,0.,-1.)); #208173=DIRECTION('',(0.,0.,-1.)); #208174=DIRECTION('',(-1.,0.,0.)); #208175=DIRECTION('center_axis',(0.,1.,0.)); #208176=DIRECTION('ref_axis',(0.,0.,1.)); #208177=DIRECTION('',(0.,0.,-1.)); #208178=DIRECTION('',(0.,0.,-1.)); #208179=DIRECTION('',(1.,0.,0.)); #208180=DIRECTION('center_axis',(1.,0.,0.)); #208181=DIRECTION('ref_axis',(0.,0.,-1.)); #208182=DIRECTION('',(0.,0.,-1.)); #208183=DIRECTION('',(0.,-1.,0.)); #208184=DIRECTION('center_axis',(-1.,0.,0.)); #208185=DIRECTION('ref_axis',(0.,0.,1.)); #208186=DIRECTION('',(0.,0.,-1.)); #208187=DIRECTION('',(0.,1.,0.)); #208188=DIRECTION('center_axis',(0.,0.,-1.)); #208189=DIRECTION('ref_axis',(-1.,0.,0.)); #208190=DIRECTION('',(0.,1.,0.)); #208191=DIRECTION('',(-1.,0.,0.)); #208192=DIRECTION('',(0.,-1.,0.)); #208193=DIRECTION('',(1.,0.,0.)); #208194=DIRECTION('center_axis',(0.,0.,-1.)); #208195=DIRECTION('ref_axis',(-1.,0.,0.)); #208196=DIRECTION('center_axis',(1.,0.,0.)); #208197=DIRECTION('ref_axis',(0.,0.,-1.)); #208198=DIRECTION('center_axis',(-1.,0.,0.)); #208199=DIRECTION('ref_axis',(0.,0.,1.)); #208200=DIRECTION('center_axis',(-1.,0.,0.)); #208201=DIRECTION('ref_axis',(0.,0.,1.)); #208202=DIRECTION('center_axis',(-1.,0.,0.)); #208203=DIRECTION('ref_axis',(0.,0.,1.)); #208204=DIRECTION('center_axis',(1.,0.,0.)); #208205=DIRECTION('ref_axis',(0.,0.,1.)); #208206=DIRECTION('center_axis',(1.,0.,0.)); #208207=DIRECTION('ref_axis',(0.,0.,1.)); #208208=DIRECTION('center_axis',(-1.,0.,0.)); #208209=DIRECTION('ref_axis',(0.,0.,1.)); #208210=DIRECTION('center_axis',(-1.,0.,0.)); #208211=DIRECTION('ref_axis',(0.,0.,1.)); #208212=DIRECTION('center_axis',(0.,-1.,0.)); #208213=DIRECTION('ref_axis',(0.,0.,-1.)); #208214=DIRECTION('center_axis',(0.,1.,0.)); #208215=DIRECTION('ref_axis',(0.,0.,1.)); #208216=DIRECTION('center_axis',(0.,1.,0.)); #208217=DIRECTION('ref_axis',(0.,0.,1.)); #208218=DIRECTION('center_axis',(0.,1.,0.)); #208219=DIRECTION('ref_axis',(0.,0.,1.)); #208220=DIRECTION('center_axis',(0.,-1.,0.)); #208221=DIRECTION('ref_axis',(0.,0.,1.)); #208222=DIRECTION('center_axis',(0.,-1.,0.)); #208223=DIRECTION('ref_axis',(0.,0.,1.)); #208224=DIRECTION('center_axis',(0.,1.,0.)); #208225=DIRECTION('ref_axis',(0.,0.,1.)); #208226=DIRECTION('center_axis',(0.,1.,0.)); #208227=DIRECTION('ref_axis',(0.,0.,1.)); #208228=DIRECTION('center_axis',(-1.,0.,0.)); #208229=DIRECTION('ref_axis',(0.,0.,1.)); #208230=DIRECTION('center_axis',(1.,0.,0.)); #208231=DIRECTION('ref_axis',(0.,0.,-1.)); #208232=DIRECTION('center_axis',(1.,0.,0.)); #208233=DIRECTION('ref_axis',(0.,0.,-1.)); #208234=DIRECTION('center_axis',(1.,0.,0.)); #208235=DIRECTION('ref_axis',(0.,0.,-1.)); #208236=DIRECTION('center_axis',(-1.,0.,0.)); #208237=DIRECTION('ref_axis',(0.,0.,-1.)); #208238=DIRECTION('center_axis',(-1.,0.,0.)); #208239=DIRECTION('ref_axis',(0.,0.,-1.)); #208240=DIRECTION('center_axis',(1.,0.,0.)); #208241=DIRECTION('ref_axis',(0.,0.,-1.)); #208242=DIRECTION('center_axis',(1.,0.,0.)); #208243=DIRECTION('ref_axis',(0.,0.,-1.)); #208244=DIRECTION('center_axis',(0.,1.,0.)); #208245=DIRECTION('ref_axis',(0.,0.,1.)); #208246=DIRECTION('center_axis',(0.,-1.,0.)); #208247=DIRECTION('ref_axis',(0.,0.,-1.)); #208248=DIRECTION('center_axis',(0.,-1.,0.)); #208249=DIRECTION('ref_axis',(0.,0.,-1.)); #208250=DIRECTION('center_axis',(0.,-1.,0.)); #208251=DIRECTION('ref_axis',(0.,0.,-1.)); #208252=DIRECTION('center_axis',(0.,1.,0.)); #208253=DIRECTION('ref_axis',(0.,0.,-1.)); #208254=DIRECTION('center_axis',(0.,1.,0.)); #208255=DIRECTION('ref_axis',(0.,0.,-1.)); #208256=DIRECTION('center_axis',(0.,-1.,0.)); #208257=DIRECTION('ref_axis',(0.,0.,-1.)); #208258=DIRECTION('center_axis',(0.,-1.,0.)); #208259=DIRECTION('ref_axis',(0.,0.,-1.)); #208260=DIRECTION('center_axis',(0.,-1.,0.)); #208261=DIRECTION('ref_axis',(0.,0.,-1.)); #208262=DIRECTION('',(1.,0.,0.)); #208263=DIRECTION('center_axis',(1.,0.,0.)); #208264=DIRECTION('ref_axis',(0.,0.,-1.)); #208265=DIRECTION('',(1.,0.,0.)); #208266=DIRECTION('center_axis',(0.,0.,-1.)); #208267=DIRECTION('ref_axis',(-1.,0.,0.)); #208268=DIRECTION('',(1.,0.,0.)); #208269=DIRECTION('center_axis',(1.,0.,0.)); #208270=DIRECTION('ref_axis',(0.,0.,-1.)); #208271=DIRECTION('',(1.,0.,0.)); #208272=DIRECTION('center_axis',(0.,1.,-8.67361737988405E-15)); #208273=DIRECTION('ref_axis',(0.,8.67361737988405E-15,1.)); #208274=DIRECTION('center_axis',(0.,-1.,-4.33680868994202E-15)); #208275=DIRECTION('ref_axis',(0.,4.33680868994202E-15,-1.)); #208276=DIRECTION('',(1.,0.,0.)); #208277=DIRECTION('center_axis',(1.,0.,0.)); #208278=DIRECTION('ref_axis',(0.,0.,-1.)); #208279=DIRECTION('',(1.,0.,0.)); #208280=DIRECTION('center_axis',(0.,0.,-1.)); #208281=DIRECTION('ref_axis',(-1.,0.,0.)); #208282=DIRECTION('',(1.,0.,0.)); #208283=DIRECTION('center_axis',(1.,0.,0.)); #208284=DIRECTION('ref_axis',(0.,0.,-1.)); #208285=DIRECTION('',(1.,0.,0.)); #208286=DIRECTION('center_axis',(0.,1.,-4.33680868994202E-15)); #208287=DIRECTION('ref_axis',(0.,4.33680868994202E-15,1.)); #208288=DIRECTION('center_axis',(0.,-1.,0.)); #208289=DIRECTION('ref_axis',(0.,0.,-1.)); #208290=DIRECTION('',(1.,0.,0.)); #208291=DIRECTION('center_axis',(1.,0.,0.)); #208292=DIRECTION('ref_axis',(0.,0.,-1.)); #208293=DIRECTION('',(1.,0.,0.)); #208294=DIRECTION('center_axis',(0.,0.,-1.)); #208295=DIRECTION('ref_axis',(-1.,0.,0.)); #208296=DIRECTION('',(1.,0.,0.)); #208297=DIRECTION('center_axis',(1.,0.,0.)); #208298=DIRECTION('ref_axis',(0.,0.,-1.)); #208299=DIRECTION('',(1.,0.,0.)); #208300=DIRECTION('center_axis',(0.,1.,0.)); #208301=DIRECTION('ref_axis',(0.,0.,1.)); #208302=DIRECTION('',(0.,-3.94430452610506E-31,1.)); #208303=DIRECTION('',(1.,9.86076131526265E-32,3.50324616081204E-46)); #208304=DIRECTION('',(0.,3.94430452610506E-31,-1.)); #208305=DIRECTION('',(1.,-2.22044604925031E-16,1.75162308040602E-46)); #208306=DIRECTION('axis',(0.,0.,1.)); #208307=DIRECTION('refdir',(1.,0.,0.)); #208308=DIRECTION('center_axis',(0.,-1.,0.)); #208309=DIRECTION('ref_axis',(-1.,0.,0.)); #208310=DIRECTION('center_axis',(0.,1.,0.)); #208311=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208312=DIRECTION('center_axis',(0.,0.,1.)); #208313=DIRECTION('ref_axis',(1.,0.,0.)); #208314=DIRECTION('center_axis',(0.,-1.,0.)); #208315=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208316=DIRECTION('center_axis',(0.,-1.,0.)); #208317=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208318=DIRECTION('center_axis',(0.,-1.,0.)); #208319=DIRECTION('ref_axis',(9.95799250102959E-17,0.,1.)); #208320=DIRECTION('',(0.,-1.,0.)); #208321=DIRECTION('center_axis',(0.,-1.,0.)); #208322=DIRECTION('ref_axis',(9.95799250102959E-17,0.,1.)); #208323=DIRECTION('center_axis',(0.,1.,0.)); #208324=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208325=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208326=DIRECTION('',(-1.,0.,9.9579925010296E-17)); #208327=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208328=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208329=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208330=DIRECTION('ref_axis',(0.774596669241495,0.632455532033662,-7.7134278236293E-17)); #208331=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208332=DIRECTION('ref_axis',(0.200000000000005,0.97979589711327,-4.44089209850073E-17)); #208333=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208334=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208335=DIRECTION('ref_axis',(1.,0.,-2.22044604925031E-16)); #208336=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208337=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208338=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.04136402448281E-17)); #208339=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208340=DIRECTION('ref_axis',(-2.77555756156289E-14,1.,6.16297582203914E-30)); #208341=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208342=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208343=DIRECTION('ref_axis',(1.,0.,-2.22044604925031E-16)); #208344=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208345=DIRECTION('center_axis',(0.,-1.,0.)); #208346=DIRECTION('ref_axis',(-1.,0.,2.31296463463574E-16)); #208347=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208348=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,-1.)); #208349=DIRECTION('center_axis',(0.,-1.,0.)); #208350=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #208351=DIRECTION('center_axis',(0.,1.,0.)); #208352=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #208353=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208354=DIRECTION('ref_axis',(-7.04136402448281E-17,0.707106781186547,-0.707106781186547)); #208355=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208356=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,-1.)); #208357=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208358=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208359=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208360=DIRECTION('ref_axis',(-7.71342782362916E-17,0.63245553203368,-0.77459666924148)); #208361=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208362=DIRECTION('ref_axis',(-4.44089209850075E-17,0.97979589711327,-0.200000000000006)); #208363=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208364=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208365=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,-1.)); #208366=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208367=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208368=DIRECTION('ref_axis',(-7.04136402448281E-17,0.707106781186547,-0.707106781186547)); #208369=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208370=DIRECTION('ref_axis',(6.16297582203914E-30,1.,2.77555756156289E-14)); #208371=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208372=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208373=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,-1.)); #208374=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208375=DIRECTION('center_axis',(0.,-1.,0.)); #208376=DIRECTION('ref_axis',(-1.,0.,2.31296463463574E-16)); #208377=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208378=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); #208379=DIRECTION('center_axis',(0.,-1.,0.)); #208380=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #208381=DIRECTION('center_axis',(0.,1.,0.)); #208382=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #208383=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208384=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,7.04136402448281E-17)); #208385=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208386=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); #208387=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208388=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208389=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208390=DIRECTION('ref_axis',(-0.774596669241483,0.632455532033676,7.71342782362919E-17)); #208391=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208392=DIRECTION('ref_axis',(-0.199999999999998,0.979795897113272,4.44089209850057E-17)); #208393=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208394=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208395=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); #208396=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208397=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208398=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,7.04136402448281E-17)); #208399=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208400=DIRECTION('ref_axis',(-1.73472347597681E-15,1.,3.85185988877447E-31)); #208401=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208402=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208403=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); #208404=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208405=DIRECTION('center_axis',(0.,-1.,0.)); #208406=DIRECTION('ref_axis',(-1.,0.,0.)); #208407=DIRECTION('center_axis',(-1.,0.,-3.24740234702854E-15)); #208408=DIRECTION('ref_axis',(-3.24740234702854E-15,0.,1.)); #208409=DIRECTION('center_axis',(0.,-1.,0.)); #208410=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #208411=DIRECTION('center_axis',(0.,1.,0.)); #208412=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #208413=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208414=DIRECTION('ref_axis',(7.04136402448281E-17,0.707106781186547,0.707106781186547)); #208415=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208416=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208417=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208418=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208419=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208420=DIRECTION('ref_axis',(7.71342782362919E-17,0.632455532033676,0.774596669241483)); #208421=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208422=DIRECTION('ref_axis',(4.44089209850057E-17,0.979795897113272,0.199999999999998)); #208423=DIRECTION('',(1.,0.,-9.9579925010296E-17)); #208424=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208425=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208426=DIRECTION('',(-1.,0.,9.9579925010296E-17)); #208427=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208428=DIRECTION('ref_axis',(7.04136402448281E-17,0.707106781186547,0.707106781186547)); #208429=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208430=DIRECTION('ref_axis',(3.85185988877447E-31,1.,1.73472347597681E-15)); #208431=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208432=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208433=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208434=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208435=DIRECTION('center_axis',(0.,-1.,0.)); #208436=DIRECTION('ref_axis',(-1.,0.,0.)); #208437=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208438=DIRECTION('ref_axis',(1.,0.,-2.22044604925031E-16)); #208439=DIRECTION('center_axis',(0.,-1.,0.)); #208440=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #208441=DIRECTION('center_axis',(0.,1.,0.)); #208442=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #208443=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208444=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.04136402448281E-17)); #208445=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208446=DIRECTION('ref_axis',(1.,0.,-2.22044604925031E-16)); #208447=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208448=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208449=DIRECTION('center_axis',(0.,1.,0.)); #208450=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208451=DIRECTION('center_axis',(0.,1.,0.)); #208452=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548)); #208453=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208454=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,-7.04136402448282E-17)); #208455=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208456=DIRECTION('ref_axis',(1.,0.,-9.95799250102959E-17)); #208457=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208458=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208459=DIRECTION('ref_axis',(1.,0.,-9.95799250102959E-17)); #208460=DIRECTION('center_axis',(0.,1.,0.)); #208461=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208462=DIRECTION('center_axis',(0.,1.,0.)); #208463=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548)); #208464=DIRECTION('center_axis',(0.,1.,0.)); #208465=DIRECTION('ref_axis',(-1.,0.,0.)); #208466=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208467=DIRECTION('ref_axis',(-9.95799250102959E-17,0.,-1.)); #208468=DIRECTION('center_axis',(0.,-1.,0.)); #208469=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #208470=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208471=DIRECTION('ref_axis',(-7.04136402448282E-17,-0.707106781186547, -0.707106781186548)); #208472=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208473=DIRECTION('ref_axis',(-9.95799250102959E-17,0.,-1.)); #208474=DIRECTION('',(-1.,0.,9.9579925010296E-17)); #208475=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208476=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208477=DIRECTION('',(0.,1.,0.)); #208478=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208479=DIRECTION('',(0.,-1.,0.)); #208480=DIRECTION('center_axis',(0.,1.,0.)); #208481=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #208482=DIRECTION('',(0.,-1.,0.)); #208483=DIRECTION('center_axis',(0.,-1.,0.)); #208484=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186548)); #208485=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208486=DIRECTION('ref_axis',(-9.95799250102959E-17,0.,-1.)); #208487=DIRECTION('',(0.,1.,0.)); #208488=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208489=DIRECTION('center_axis',(0.,1.,0.)); #208490=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #208491=DIRECTION('',(0.,-1.,0.)); #208492=DIRECTION('center_axis',(0.,-1.,0.)); #208493=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #208494=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208495=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,-7.04136402448281E-17)); #208496=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208497=DIRECTION('ref_axis',(0.,-1.,0.)); #208498=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208499=DIRECTION('center_axis',(-2.22044604925031E-16,0.,-1.)); #208500=DIRECTION('ref_axis',(1.,0.,-2.22044604925031E-16)); #208501=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208502=DIRECTION('center_axis',(0.,1.,0.)); #208503=DIRECTION('ref_axis',(1.,0.,-1.98254111540207E-16)); #208504=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208505=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208506=DIRECTION('center_axis',(0.,1.,0.)); #208507=DIRECTION('ref_axis',(0.,0.,1.)); #208508=DIRECTION('center_axis',(0.,-1.,0.)); #208509=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #208510=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208511=DIRECTION('ref_axis',(7.04136402448281E-17,-0.707106781186547,0.707106781186547)); #208512=DIRECTION('center_axis',(1.,0.,-2.22044604925031E-16)); #208513=DIRECTION('ref_axis',(2.22044604925031E-16,0.,1.)); #208514=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208515=DIRECTION('center_axis',(0.,1.,0.)); #208516=DIRECTION('ref_axis',(1.,0.,-1.98254111540207E-16)); #208517=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208518=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); #208519=DIRECTION('center_axis',(0.,1.,0.)); #208520=DIRECTION('ref_axis',(0.,0.,1.)); #208521=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208522=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,7.04136402448281E-17)); #208523=DIRECTION('center_axis',(2.22044604925031E-16,0.,1.)); #208524=DIRECTION('ref_axis',(-1.,0.,2.22044604925031E-16)); #208525=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208526=DIRECTION('center_axis',(0.,1.,0.)); #208527=DIRECTION('ref_axis',(1.,0.,0.)); #208528=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208529=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,-1.)); #208530=DIRECTION('center_axis',(0.,1.,0.)); #208531=DIRECTION('ref_axis',(0.,0.,1.)); #208532=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208533=DIRECTION('ref_axis',(-7.04136402448281E-17,-0.707106781186547, -0.707106781186547)); #208534=DIRECTION('center_axis',(-1.,0.,2.22044604925031E-16)); #208535=DIRECTION('ref_axis',(-2.22044604925031E-16,0.,-1.)); #208536=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208537=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208538=DIRECTION('center_axis',(0.,1.,0.)); #208539=DIRECTION('ref_axis',(1.,0.,0.)); #208540=DIRECTION('center_axis',(0.,-1.,0.)); #208541=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #208542=DIRECTION('center_axis',(0.,1.,0.)); #208543=DIRECTION('ref_axis',(0.,0.,1.)); #208544=DIRECTION('center_axis',(0.,1.,0.)); #208545=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #208546=DIRECTION('',(0.,1.,0.)); #208547=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208548=DIRECTION('ref_axis',(9.95799250102959E-17,0.,1.)); #208549=DIRECTION('',(0.,-1.,0.)); #208550=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208551=DIRECTION('ref_axis',(7.04136402448281E-17,-0.707106781186547,0.707106781186547)); #208552=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208553=DIRECTION('ref_axis',(0.,-1.,0.)); #208554=DIRECTION('',(1.,0.,-9.95799250102959E-17)); #208555=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208556=DIRECTION('ref_axis',(9.95799250102959E-17,0.,1.)); #208557=DIRECTION('center_axis',(0.,1.,0.)); #208558=DIRECTION('ref_axis',(-1.,0.,1.73472347597681E-16)); #208559=DIRECTION('center_axis',(0.,-1.,0.)); #208560=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #208561=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208562=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208563=DIRECTION('center_axis',(0.,1.,0.)); #208564=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548)); #208565=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208566=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,7.04136402448282E-17)); #208567=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208568=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208569=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208570=DIRECTION('center_axis',(0.,1.,0.)); #208571=DIRECTION('ref_axis',(-1.,0.,0.)); #208572=DIRECTION('center_axis',(0.,-1.,0.)); #208573=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #208574=DIRECTION('center_axis',(0.,1.,0.)); #208575=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547)); #208576=DIRECTION('center_axis',(0.,1.,0.)); #208577=DIRECTION('ref_axis',(-1.,0.,1.73472347597681E-16)); #208578=DIRECTION('center_axis',(0.,-1.,0.)); #208579=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #208580=DIRECTION('center_axis',(0.,1.,0.)); #208581=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548)); #208582=DIRECTION('',(0.,1.,0.)); #208583=DIRECTION('center_axis',(0.,1.,0.)); #208584=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #208585=DIRECTION('',(0.,-1.,0.)); #208586=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208587=DIRECTION('ref_axis',(-9.95799250102959E-17,0.,-1.)); #208588=DIRECTION('',(0.,-1.,0.)); #208589=DIRECTION('',(-9.95799250102959E-17,0.,-1.)); #208590=DIRECTION('center_axis',(0.,1.,0.)); #208591=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #208592=DIRECTION('',(0.,1.,0.)); #208593=DIRECTION('center_axis',(0.,1.,0.)); #208594=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #208595=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208596=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208597=DIRECTION('',(0.,-1.,0.)); #208598=DIRECTION('',(-1.,0.,9.95799250102959E-17)); #208599=DIRECTION('center_axis',(0.,1.,0.)); #208600=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208601=DIRECTION('center_axis',(0.,1.,0.)); #208602=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208603=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208604=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,7.04136402448281E-17)); #208605=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208606=DIRECTION('ref_axis',(0.,1.,0.)); #208607=DIRECTION('',(9.95799250102959E-17,0.,1.)); #208608=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208609=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208610=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208611=DIRECTION('ref_axis',(-7.04136402448281E-17,0.707106781186548,-0.707106781186547)); #208612=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208613=DIRECTION('ref_axis',(0.,1.,0.)); #208614=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208615=DIRECTION('ref_axis',(-9.95799250102959E-17,0.,-1.)); #208616=DIRECTION('center_axis',(0.408248290463863,-0.408248290463863,-0.816496580927726)); #208617=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,-0.577350269189626)); #208618=DIRECTION('center_axis',(0.,1.,0.)); #208619=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #208620=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208621=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,-7.04136402448281E-17)); #208622=DIRECTION('center_axis',(-9.95799250102959E-17,0.,-1.)); #208623=DIRECTION('ref_axis',(0.,1.,0.)); #208624=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208625=DIRECTION('ref_axis',(1.,0.,-9.95799250102959E-17)); #208626=DIRECTION('center_axis',(0.816496580927726,-0.408248290463863,0.408248290463863)); #208627=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,-0.577350269189626)); #208628=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208629=DIRECTION('ref_axis',(7.04136402448281E-17,0.707106781186548,0.707106781186547)); #208630=DIRECTION('center_axis',(1.,0.,-9.95799250102959E-17)); #208631=DIRECTION('ref_axis',(0.,1.,0.)); #208632=DIRECTION('',(1.,0.,-9.9579925010296E-17)); #208633=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208634=DIRECTION('ref_axis',(9.95799250102959E-17,0.,1.)); #208635=DIRECTION('center_axis',(0.816496580927726,-0.408248290463863,-0.408248290463863)); #208636=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626)); #208637=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #208638=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626)); #208639=DIRECTION('center_axis',(0.,1.,0.)); #208640=DIRECTION('ref_axis',(-0.707106781186549,0.,0.707106781186546)); #208641=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208642=DIRECTION('ref_axis',(1.,0.,-9.95799250102959E-17)); #208643=DIRECTION('',(0.,1.,0.)); #208644=DIRECTION('center_axis',(0.,1.,0.)); #208645=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #208646=DIRECTION('',(0.,1.,0.)); #208647=DIRECTION('center_axis',(0.,1.,0.)); #208648=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #208649=DIRECTION('',(0.,-1.,0.)); #208650=DIRECTION('center_axis',(-1.,0.,9.95799250102959E-17)); #208651=DIRECTION('ref_axis',(9.95799250102959E-17,0.,1.)); #208652=DIRECTION('center_axis',(0.,1.,0.)); #208653=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #208654=DIRECTION('',(0.,1.,0.)); #208655=DIRECTION('center_axis',(0.,1.,0.)); #208656=DIRECTION('ref_axis',(-1.,0.,9.95799250102959E-17)); #208657=DIRECTION('center_axis',(9.95799250102959E-17,0.,1.)); #208658=DIRECTION('ref_axis',(1.,0.,-9.95799250102959E-17)); #208659=DIRECTION('center_axis',(0.,1.,0.)); #208660=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208661=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208662=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208663=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208664=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208665=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208666=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #208667=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208668=DIRECTION('',(0.,-1.,0.)); #208669=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208670=DIRECTION('',(0.,1.,0.)); #208671=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208672=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #208673=DIRECTION('',(0.,1.,0.)); #208674=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208675=DIRECTION('',(0.,-1.,0.)); #208676=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208677=DIRECTION('',(0.,1.,0.)); #208678=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208679=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208680=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208681=DIRECTION('',(0.,1.,0.)); #208682=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208683=DIRECTION('',(0.,-1.,0.)); #208684=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208685=DIRECTION('',(0.,1.,0.)); #208686=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208687=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208688=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208689=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208690=DIRECTION('',(0.,-1.,0.)); #208691=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208692=DIRECTION('',(0.,1.,0.)); #208693=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208694=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #208695=DIRECTION('',(0.,1.,0.)); #208696=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208697=DIRECTION('',(0.,-1.,0.)); #208698=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208699=DIRECTION('',(0.,1.,0.)); #208700=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208701=DIRECTION('center_axis',(-1.23268770453989E-15,0.,1.)); #208702=DIRECTION('ref_axis',(1.,0.,1.23268770453989E-15)); #208703=DIRECTION('',(-1.,0.,-1.23268770453989E-15)); #208704=DIRECTION('',(0.,1.,0.)); #208705=DIRECTION('',(1.,0.,1.23268770453989E-15)); #208706=DIRECTION('',(0.,-1.,0.)); #208707=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208708=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208709=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208710=DIRECTION('',(0.,-1.,0.)); #208711=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208712=DIRECTION('',(0.,1.,0.)); #208713=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208714=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #208715=DIRECTION('',(0.,1.,0.)); #208716=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208717=DIRECTION('',(0.,-1.,0.)); #208718=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208719=DIRECTION('',(0.,1.,0.)); #208720=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208721=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208722=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208723=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208724=DIRECTION('',(0.,-1.,0.)); #208725=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208726=DIRECTION('',(0.,1.,0.)); #208727=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208728=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #208729=DIRECTION('',(0.,1.,0.)); #208730=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208731=DIRECTION('',(0.,-1.,0.)); #208732=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208733=DIRECTION('',(0.,1.,0.)); #208734=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208735=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208736=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #208737=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208738=DIRECTION('',(0.,-1.,0.)); #208739=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208740=DIRECTION('',(0.,1.,0.)); #208741=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208742=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #208743=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208744=DIRECTION('',(0.,-1.,0.)); #208745=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208746=DIRECTION('',(0.,1.,0.)); #208747=DIRECTION('center_axis',(-2.34291072916505E-15,0.,1.)); #208748=DIRECTION('ref_axis',(1.,0.,2.34291072916505E-15)); #208749=DIRECTION('',(1.,0.,2.34291072916505E-15)); #208750=DIRECTION('',(0.,-1.,0.)); #208751=DIRECTION('',(-1.,0.,-2.34291072916505E-15)); #208752=DIRECTION('',(0.,1.,0.)); #208753=DIRECTION('center_axis',(1.91853618953808E-16,0.,-1.)); #208754=DIRECTION('ref_axis',(-1.,0.,-1.91853618953808E-16)); #208755=DIRECTION('',(-1.,0.,-1.91853618953808E-16)); #208756=DIRECTION('',(0.,-1.,0.)); #208757=DIRECTION('',(1.,0.,1.91853618953808E-16)); #208758=DIRECTION('',(0.,1.,0.)); #208759=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208760=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #208761=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208762=DIRECTION('',(0.,-1.,0.)); #208763=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208764=DIRECTION('',(0.,1.,0.)); #208765=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208766=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208767=DIRECTION('',(0.,1.,0.)); #208768=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208769=DIRECTION('',(0.,-1.,0.)); #208770=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208771=DIRECTION('center_axis',(0.,1.,0.)); #208772=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #208773=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208774=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208775=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208776=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208777=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208778=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208779=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208780=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208781=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208782=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208783=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208784=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208785=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208786=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208787=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208788=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208789=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208790=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208791=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208792=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208793=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208794=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208795=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208796=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208797=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208798=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208799=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208800=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208801=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208802=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208803=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208804=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208805=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208806=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208807=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208808=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208809=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208810=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208811=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208812=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208813=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208814=DIRECTION('',(-1.,0.,-1.23268770453989E-15)); #208815=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208816=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208817=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208818=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #208819=DIRECTION('',(0.,1.,0.)); #208820=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208821=DIRECTION('',(0.,-1.,0.)); #208822=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208823=DIRECTION('',(0.,1.,0.)); #208824=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208825=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208826=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #208827=DIRECTION('',(0.,1.,0.)); #208828=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208829=DIRECTION('',(0.,-1.,0.)); #208830=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208831=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208832=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208833=DIRECTION('',(0.,1.,0.)); #208834=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208835=DIRECTION('',(0.,-1.,0.)); #208836=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208837=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #208838=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208839=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208840=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #208841=DIRECTION('',(0.,1.,0.)); #208842=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208843=DIRECTION('',(0.,-1.,0.)); #208844=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208845=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #208846=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208847=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208848=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #208849=DIRECTION('',(0.,1.,0.)); #208850=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208851=DIRECTION('',(0.,-1.,0.)); #208852=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208853=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #208854=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208855=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208856=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #208857=DIRECTION('',(0.,1.,0.)); #208858=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208859=DIRECTION('',(0.,-1.,0.)); #208860=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208861=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208862=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #208863=DIRECTION('',(0.,1.,0.)); #208864=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208865=DIRECTION('',(0.,-1.,0.)); #208866=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208867=DIRECTION('',(0.,1.,0.)); #208868=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208869=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208870=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #208871=DIRECTION('',(0.,1.,0.)); #208872=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208873=DIRECTION('',(0.,-1.,0.)); #208874=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208875=DIRECTION('',(0.,1.,0.)); #208876=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208877=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208878=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #208879=DIRECTION('',(0.,1.,0.)); #208880=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208881=DIRECTION('',(0.,-1.,0.)); #208882=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208883=DIRECTION('',(0.,1.,0.)); #208884=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208885=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208886=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #208887=DIRECTION('',(0.,1.,0.)); #208888=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208889=DIRECTION('',(0.,-1.,0.)); #208890=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208891=DIRECTION('',(0.,1.,0.)); #208892=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208893=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208894=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #208895=DIRECTION('',(0.,1.,0.)); #208896=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208897=DIRECTION('',(0.,-1.,0.)); #208898=DIRECTION('',(1.,0.,1.22464679914735E-16)); #208899=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208900=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #208901=DIRECTION('center_axis',(-0.894427190999916,0.,-0.447213595499958)); #208902=DIRECTION('ref_axis',(-0.447213595499958,0.,0.894427190999916)); #208903=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208904=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,-8.65956056235489E-17)); #208905=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208906=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #208907=DIRECTION('center_axis',(-0.447213595499958,0.,0.894427190999916)); #208908=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499958)); #208909=DIRECTION('center_axis',(0.447213595499958,0.,0.894427190999916)); #208910=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #208911=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208912=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #208913=DIRECTION('center_axis',(0.894427190999916,0.,-0.447213595499958)); #208914=DIRECTION('ref_axis',(0.447213595499958,0.,0.894427190999916)); #208915=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #208916=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208917=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #208918=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208919=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #208920=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208921=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #208922=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208923=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #208924=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208925=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #208926=DIRECTION('center_axis',(-0.894427190999916,0.,-0.447213595499958)); #208927=DIRECTION('ref_axis',(-0.447213595499958,0.,0.894427190999916)); #208928=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208929=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,-8.65956056235489E-17)); #208930=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208931=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #208932=DIRECTION('center_axis',(0.447213595499958,-6.20633538311818E-15, -0.894427190999916)); #208933=DIRECTION('ref_axis',(-0.894427190999916,0.,-0.447213595499958)); #208934=DIRECTION('center_axis',(-0.447213595499958,0.,-0.894427190999916)); #208935=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499958)); #208936=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208937=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #208938=DIRECTION('center_axis',(0.447213595499958,0.,-0.894427190999916)); #208939=DIRECTION('ref_axis',(-0.894427190999916,0.,-0.447213595499958)); #208940=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208941=DIRECTION('ref_axis',(8.65956056235489E-17,-0.707106781186549,-0.707106781186546)); #208942=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208943=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #208944=DIRECTION('center_axis',(-0.894427190999916,0.,-0.447213595499958)); #208945=DIRECTION('ref_axis',(-0.447213595499958,0.,0.894427190999916)); #208946=DIRECTION('center_axis',(-0.894427190999916,0.,0.447213595499958)); #208947=DIRECTION('ref_axis',(-0.447213595499958,0.,-0.894427190999916)); #208948=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208949=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #208950=DIRECTION('center_axis',(0.447213595499958,0.,0.894427190999916)); #208951=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #208952=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #208953=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208954=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #208955=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208956=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #208957=DIRECTION('center_axis',(0.447213595499958,0.,-0.894427190999916)); #208958=DIRECTION('ref_axis',(-0.894427190999916,0.,-0.447213595499958)); #208959=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208960=DIRECTION('ref_axis',(8.65956056235489E-17,-0.707106781186549,-0.707106781186546)); #208961=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208962=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #208963=DIRECTION('center_axis',(0.447213595499958,0.,-0.894427190999916)); #208964=DIRECTION('ref_axis',(-0.894427190999916,0.,-0.447213595499958)); #208965=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208966=DIRECTION('ref_axis',(8.65956056235489E-17,-0.707106781186549,-0.707106781186546)); #208967=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208968=DIRECTION('ref_axis',(0.707106781186553,-0.707106781186542,8.65956056235498E-17)); #208969=DIRECTION('center_axis',(0.894427190999915,0.,0.447213595499959)); #208970=DIRECTION('ref_axis',(0.447213595499959,9.69739903612218E-17,-0.894427190999915)); #208971=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208972=DIRECTION('ref_axis',(0.707106781186552,-0.707106781186543,8.65956056235497E-17)); #208973=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #208974=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #208975=DIRECTION('center_axis',(0.894427190999916,0.,0.447213595499958)); #208976=DIRECTION('ref_axis',(0.447213595499958,0.,-0.894427190999916)); #208977=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208978=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #208979=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208980=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #208981=DIRECTION('center_axis',(-0.894427190999917,0.,0.447213595499957)); #208982=DIRECTION('ref_axis',(-0.447213595499957,0.,-0.894427190999916)); #208983=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #208984=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #208985=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,-8.65956056235489E-17)); #208986=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208987=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #208988=DIRECTION('center_axis',(-0.447213595499958,0.,0.894427190999916)); #208989=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499958)); #208990=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208991=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #208992=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208993=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #208994=DIRECTION('center_axis',(-0.447213595499958,0.,0.894427190999916)); #208995=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499958)); #208996=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #208997=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #208998=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #208999=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209000=DIRECTION('center_axis',(-0.447213595499958,0.,0.894427190999916)); #209001=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499958)); #209002=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209003=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209004=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209005=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209006=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209007=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209008=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209009=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209010=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209011=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #209012=DIRECTION('center_axis',(-0.447213595499958,0.,-0.894427190999916)); #209013=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499958)); #209014=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209015=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209016=DIRECTION('ref_axis',(8.65956056235489E-17,-0.707106781186549,-0.707106781186546)); #209017=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209018=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #209019=DIRECTION('',(0.,-1.,0.)); #209020=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209021=DIRECTION('',(0.,-1.,0.)); #209022=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209023=DIRECTION('',(0.,1.,0.)); #209024=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209025=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209026=DIRECTION('center_axis',(0.894427190999916,0.,-0.447213595499958)); #209027=DIRECTION('ref_axis',(0.447213595499958,0.,0.894427190999916)); #209028=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209029=DIRECTION('center_axis',(0.894427190999916,0.,0.447213595499958)); #209030=DIRECTION('ref_axis',(0.447213595499958,0.,-0.894427190999916)); #209031=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209032=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #209033=DIRECTION('',(0.,-1.,0.)); #209034=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209035=DIRECTION('',(0.,1.,0.)); #209036=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209037=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209038=DIRECTION('center_axis',(-0.554700196225229,-0.832050294337844, 1.80422147347659E-16)); #209039=DIRECTION('ref_axis',(-0.832050294337844,0.554700196225229,-1.23259516440783E-32)); #209040=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209041=DIRECTION('center_axis',(1.,0.,0.)); #209042=DIRECTION('ref_axis',(0.,1.,0.)); #209043=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209044=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209045=DIRECTION('center_axis',(0.,0.,1.)); #209046=DIRECTION('ref_axis',(0.,-1.,0.)); #209047=DIRECTION('center_axis',(0.,0.,-1.)); #209048=DIRECTION('ref_axis',(1.,0.,0.)); #209049=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209050=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209051=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209052=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,0.)); #209053=DIRECTION('ref_axis',(-0.447213595499958,0.894427190999916,0.)); #209054=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209055=DIRECTION('center_axis',(-1.,0.,0.)); #209056=DIRECTION('ref_axis',(0.,1.,0.)); #209057=DIRECTION('center_axis',(0.,1.,0.)); #209058=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209059=DIRECTION('center_axis',(0.,-1.,0.)); #209060=DIRECTION('ref_axis',(0.,0.,-1.)); #209061=DIRECTION('center_axis',(0.,-1.,0.)); #209062=DIRECTION('ref_axis',(0.,0.,-1.)); #209063=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, 0.408248290463864)); #209064=DIRECTION('ref_axis',(0.577350269189629,-0.57735026918962,-0.577350269189629)); #209065=DIRECTION('center_axis',(1.,0.,0.)); #209066=DIRECTION('ref_axis',(0.,0.,-1.)); #209067=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209068=DIRECTION('ref_axis',(0.577350269189629,-0.57735026918962,0.577350269189629)); #209069=DIRECTION('center_axis',(0.,1.,0.)); #209070=DIRECTION('ref_axis',(0.,0.,1.)); #209071=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209072=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209073=DIRECTION('center_axis',(0.,-1.,0.)); #209074=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209075=DIRECTION('center_axis',(0.,-1.,0.)); #209076=DIRECTION('ref_axis',(1.,0.,0.)); #209077=DIRECTION('center_axis',(-1.,0.,0.)); #209078=DIRECTION('ref_axis',(0.,0.,1.)); #209079=DIRECTION('center_axis',(-1.,0.,0.)); #209080=DIRECTION('ref_axis',(0.,0.,-1.)); #209081=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209082=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209083=DIRECTION('center_axis',(-2.77555756156289E-14,0.,-1.)); #209084=DIRECTION('ref_axis',(0.,1.,0.)); #209085=DIRECTION('center_axis',(0.,0.,1.)); #209086=DIRECTION('ref_axis',(-1.,0.,0.)); #209087=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209088=DIRECTION('center_axis',(0.,1.,0.)); #209089=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209090=DIRECTION('center_axis',(0.,1.,0.)); #209091=DIRECTION('ref_axis',(-1.,0.,0.)); #209092=DIRECTION('center_axis',(0.,-1.,0.)); #209093=DIRECTION('ref_axis',(-1.,0.,0.)); #209094=DIRECTION('center_axis',(-0.408248290463864,0.408248290463856,-0.816496580927729)); #209095=DIRECTION('ref_axis',(-0.577350269189637,0.577350269189626,0.577350269189615)); #209096=DIRECTION('center_axis',(1.,0.,0.)); #209097=DIRECTION('ref_axis',(0.,0.,1.)); #209098=DIRECTION('center_axis',(0.,1.,0.)); #209099=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #209100=DIRECTION('center_axis',(0.,1.,0.)); #209101=DIRECTION('ref_axis',(0.,0.,-1.)); #209102=DIRECTION('center_axis',(0.,-1.,0.)); #209103=DIRECTION('ref_axis',(0.,0.,-1.)); #209104=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209105=DIRECTION('ref_axis',(-0.577350269189629,0.577350269189619,-0.577350269189629)); #209106=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209107=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209108=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209109=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209110=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209111=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #209112=DIRECTION('center_axis',(-0.447213595499958,0.,-0.894427190999916)); #209113=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499958)); #209114=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209115=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209116=DIRECTION('ref_axis',(8.65956056235489E-17,-0.707106781186549,-0.707106781186546)); #209117=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209118=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #209119=DIRECTION('',(0.,-1.,0.)); #209120=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209121=DIRECTION('',(0.,-1.,0.)); #209122=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209123=DIRECTION('',(0.,1.,0.)); #209124=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209125=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209126=DIRECTION('center_axis',(0.894427190999916,0.,-0.447213595499958)); #209127=DIRECTION('ref_axis',(0.447213595499958,0.,0.894427190999916)); #209128=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209129=DIRECTION('center_axis',(0.894427190999916,0.,0.447213595499958)); #209130=DIRECTION('ref_axis',(0.447213595499958,0.,-0.894427190999916)); #209131=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209132=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #209133=DIRECTION('',(0.,-1.,0.)); #209134=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209135=DIRECTION('',(0.,1.,0.)); #209136=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209137=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209138=DIRECTION('center_axis',(-0.554700196225229,-0.832050294337844, 1.80422147347659E-16)); #209139=DIRECTION('ref_axis',(-0.832050294337844,0.554700196225229,-1.23259516440783E-32)); #209140=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209141=DIRECTION('center_axis',(1.,0.,0.)); #209142=DIRECTION('ref_axis',(0.,1.,0.)); #209143=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209144=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209145=DIRECTION('center_axis',(0.,0.,1.)); #209146=DIRECTION('ref_axis',(0.,-1.,0.)); #209147=DIRECTION('center_axis',(0.,0.,-1.)); #209148=DIRECTION('ref_axis',(1.,0.,0.)); #209149=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209150=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209151=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209152=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,0.)); #209153=DIRECTION('ref_axis',(-0.447213595499958,0.894427190999916,0.)); #209154=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209155=DIRECTION('center_axis',(-1.,0.,0.)); #209156=DIRECTION('ref_axis',(0.,1.,0.)); #209157=DIRECTION('center_axis',(0.,1.,0.)); #209158=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209159=DIRECTION('center_axis',(0.,-1.,0.)); #209160=DIRECTION('ref_axis',(0.,0.,-1.)); #209161=DIRECTION('center_axis',(0.,-1.,0.)); #209162=DIRECTION('ref_axis',(0.,0.,-1.)); #209163=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, 0.408248290463863)); #209164=DIRECTION('ref_axis',(0.577350269189615,-0.577350269189626,-0.577350269189637)); #209165=DIRECTION('center_axis',(1.,0.,0.)); #209166=DIRECTION('ref_axis',(0.,0.,-1.)); #209167=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209168=DIRECTION('ref_axis',(0.577350269189632,-0.577350269189624,0.577350269189621)); #209169=DIRECTION('center_axis',(0.,1.,0.)); #209170=DIRECTION('ref_axis',(0.,0.,1.)); #209171=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209172=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209173=DIRECTION('center_axis',(0.,-1.,0.)); #209174=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209175=DIRECTION('center_axis',(0.,-1.,0.)); #209176=DIRECTION('ref_axis',(1.,0.,0.)); #209177=DIRECTION('center_axis',(-1.,0.,0.)); #209178=DIRECTION('ref_axis',(0.,0.,1.)); #209179=DIRECTION('center_axis',(-1.,0.,0.)); #209180=DIRECTION('ref_axis',(0.,0.,-1.)); #209181=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209182=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209183=DIRECTION('center_axis',(0.,0.,-1.)); #209184=DIRECTION('ref_axis',(0.,1.,0.)); #209185=DIRECTION('center_axis',(0.,0.,1.)); #209186=DIRECTION('ref_axis',(-1.,0.,0.)); #209187=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209188=DIRECTION('center_axis',(0.,1.,0.)); #209189=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209190=DIRECTION('center_axis',(0.,1.,0.)); #209191=DIRECTION('ref_axis',(-1.,0.,0.)); #209192=DIRECTION('center_axis',(0.,-1.,0.)); #209193=DIRECTION('ref_axis',(-1.,0.,0.)); #209194=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, 0.408248290463864)); #209195=DIRECTION('ref_axis',(-0.577350269189629,0.577350269189619,0.577350269189629)); #209196=DIRECTION('center_axis',(1.,0.,0.)); #209197=DIRECTION('ref_axis',(0.,0.,1.)); #209198=DIRECTION('center_axis',(0.,1.,0.)); #209199=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #209200=DIRECTION('center_axis',(0.,1.,0.)); #209201=DIRECTION('ref_axis',(0.,0.,-1.)); #209202=DIRECTION('center_axis',(0.,-1.,0.)); #209203=DIRECTION('ref_axis',(0.,0.,-1.)); #209204=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209205=DIRECTION('ref_axis',(-0.577350269189633,0.577350269189623,-0.577350269189622)); #209206=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209207=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209208=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209209=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209210=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209211=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #209212=DIRECTION('center_axis',(-0.447213595499958,0.,-0.894427190999916)); #209213=DIRECTION('ref_axis',(0.894427190999916,0.,-0.447213595499958)); #209214=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209215=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209216=DIRECTION('ref_axis',(8.65956056235489E-17,-0.707106781186549,-0.707106781186546)); #209217=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209218=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #209219=DIRECTION('',(0.,-1.,0.)); #209220=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209221=DIRECTION('',(0.,-1.,0.)); #209222=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209223=DIRECTION('',(0.,1.,0.)); #209224=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209225=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209226=DIRECTION('center_axis',(0.894427190999916,0.,-0.447213595499958)); #209227=DIRECTION('ref_axis',(0.447213595499958,0.,0.894427190999916)); #209228=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209229=DIRECTION('center_axis',(0.894427190999916,0.,0.447213595499958)); #209230=DIRECTION('ref_axis',(0.447213595499958,0.,-0.894427190999916)); #209231=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209232=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #209233=DIRECTION('',(0.,-1.,0.)); #209234=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209235=DIRECTION('',(0.,1.,0.)); #209236=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209237=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209238=DIRECTION('center_axis',(-0.554700196225229,-0.832050294337844, 1.80422147347659E-16)); #209239=DIRECTION('ref_axis',(-0.832050294337844,0.554700196225229,-1.23259516440783E-32)); #209240=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209241=DIRECTION('center_axis',(1.,0.,0.)); #209242=DIRECTION('ref_axis',(0.,1.,0.)); #209243=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209244=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209245=DIRECTION('center_axis',(0.,0.,1.)); #209246=DIRECTION('ref_axis',(0.,-1.,0.)); #209247=DIRECTION('center_axis',(0.,0.,-1.)); #209248=DIRECTION('ref_axis',(1.,0.,0.)); #209249=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209250=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209251=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209252=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,0.)); #209253=DIRECTION('ref_axis',(-0.447213595499958,0.894427190999916,0.)); #209254=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209255=DIRECTION('center_axis',(-1.,0.,2.77555756156289E-14)); #209256=DIRECTION('ref_axis',(0.,1.,0.)); #209257=DIRECTION('center_axis',(0.,1.,0.)); #209258=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209259=DIRECTION('center_axis',(0.,-1.,0.)); #209260=DIRECTION('ref_axis',(0.,0.,-1.)); #209261=DIRECTION('center_axis',(0.,-1.,0.)); #209262=DIRECTION('ref_axis',(0.,0.,-1.)); #209263=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, 0.408248290463864)); #209264=DIRECTION('ref_axis',(0.577350269189632,-0.577350269189624,-0.577350269189621)); #209265=DIRECTION('center_axis',(1.,0.,0.)); #209266=DIRECTION('ref_axis',(0.,0.,-1.)); #209267=DIRECTION('center_axis',(0.408248290463864,-0.408248290463845,-0.816496580927734)); #209268=DIRECTION('ref_axis',(0.577350269189657,-0.577350269189609,0.577350269189612)); #209269=DIRECTION('center_axis',(0.,1.,0.)); #209270=DIRECTION('ref_axis',(0.,0.,1.)); #209271=DIRECTION('center_axis',(0.,-1.,0.)); #209272=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209273=DIRECTION('center_axis',(0.,-1.,0.)); #209274=DIRECTION('ref_axis',(1.,0.,0.)); #209275=DIRECTION('center_axis',(-1.,0.,0.)); #209276=DIRECTION('ref_axis',(0.,0.,1.)); #209277=DIRECTION('center_axis',(-1.,0.,0.)); #209278=DIRECTION('ref_axis',(0.,0.,-1.)); #209279=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209280=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209281=DIRECTION('center_axis',(0.,0.,-1.)); #209282=DIRECTION('ref_axis',(0.,1.,0.)); #209283=DIRECTION('center_axis',(0.,-6.93889390390723E-15,1.)); #209284=DIRECTION('ref_axis',(-1.,0.,0.)); #209285=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209286=DIRECTION('center_axis',(0.,1.,0.)); #209287=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209288=DIRECTION('center_axis',(0.,1.,0.)); #209289=DIRECTION('ref_axis',(-1.,0.,0.)); #209290=DIRECTION('center_axis',(0.,-1.,0.)); #209291=DIRECTION('ref_axis',(-1.,0.,0.)); #209292=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, 0.408248290463864)); #209293=DIRECTION('ref_axis',(-0.577350269189633,0.577350269189623,0.577350269189622)); #209294=DIRECTION('center_axis',(1.,0.,0.)); #209295=DIRECTION('ref_axis',(0.,0.,1.)); #209296=DIRECTION('center_axis',(0.,-1.,0.)); #209297=DIRECTION('ref_axis',(-1.,0.,0.)); #209298=DIRECTION('center_axis',(0.,1.,0.)); #209299=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #209300=DIRECTION('center_axis',(0.,-1.,0.)); #209301=DIRECTION('ref_axis',(0.,0.,-1.)); #209302=DIRECTION('center_axis',(0.408248290463864,-0.408248290463859,-0.816496580927728)); #209303=DIRECTION('ref_axis',(-0.577350269189634,0.577350269189621,-0.577350269189623)); #209304=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209305=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209306=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209307=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209308=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209309=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209310=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209311=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209312=DIRECTION('ref_axis',(-8.65956056235491E-17,0.707106781186547,0.707106781186547)); #209313=DIRECTION('center_axis',(1.,0.,0.)); #209314=DIRECTION('ref_axis',(0.,0.,1.)); #209315=DIRECTION('center_axis',(-1.,0.,0.)); #209316=DIRECTION('ref_axis',(0.,1.,0.)); #209317=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209318=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209319=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,8.65956056235491E-17)); #209320=DIRECTION('center_axis',(0.,0.,-1.)); #209321=DIRECTION('ref_axis',(1.,0.,0.)); #209322=DIRECTION('center_axis',(0.,0.,1.)); #209323=DIRECTION('ref_axis',(0.,1.,0.)); #209324=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209325=DIRECTION('ref_axis',(8.6595605623549E-17,0.707106781186548,-0.707106781186547)); #209326=DIRECTION('center_axis',(-1.,0.,0.)); #209327=DIRECTION('ref_axis',(0.,0.,-1.)); #209328=DIRECTION('center_axis',(1.,0.,-2.77555756156289E-14)); #209329=DIRECTION('ref_axis',(0.,1.,0.)); #209330=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209331=DIRECTION('center_axis',(0.,1.,0.)); #209332=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209333=DIRECTION('center_axis',(0.,-1.,0.)); #209334=DIRECTION('ref_axis',(0.,0.,-1.)); #209335=DIRECTION('',(0.,1.,0.)); #209336=DIRECTION('center_axis',(0.,1.,0.)); #209337=DIRECTION('ref_axis',(0.,0.,-1.)); #209338=DIRECTION('center_axis',(-0.408248290463864,0.408248290463863,-0.816496580927726)); #209339=DIRECTION('ref_axis',(0.577350269189634,-0.577350269189618,-0.577350269189625)); #209340=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209341=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209342=DIRECTION('center_axis',(-0.408248290463864,-0.408248290463845, -0.816496580927735)); #209343=DIRECTION('ref_axis',(0.577350269189656,0.577350269189609,-0.577350269189612)); #209344=DIRECTION('center_axis',(0.,1.,0.)); #209345=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209346=DIRECTION('center_axis',(0.,-1.,0.)); #209347=DIRECTION('ref_axis',(1.,0.,0.)); #209348=DIRECTION('center_axis',(0.,1.,0.)); #209349=DIRECTION('ref_axis',(1.,0.,0.)); #209350=DIRECTION('',(0.,-1.,0.)); #209351=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209352=DIRECTION('ref_axis',(0.577350269189629,-0.57735026918962,0.577350269189629)); #209353=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209354=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209355=DIRECTION('center_axis',(0.408248290463864,-0.816496580927725,0.408248290463864)); #209356=DIRECTION('ref_axis',(0.57735026918963,0.577350269189618,0.57735026918963)); #209357=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209358=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,-8.6595605623549E-17)); #209359=DIRECTION('center_axis',(0.,0.,1.)); #209360=DIRECTION('ref_axis',(-1.,0.,0.)); #209361=DIRECTION('center_axis',(0.,0.,-1.)); #209362=DIRECTION('ref_axis',(0.,1.,0.)); #209363=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209364=DIRECTION('center_axis',(0.,1.,0.)); #209365=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547)); #209366=DIRECTION('center_axis',(0.,-1.,0.)); #209367=DIRECTION('ref_axis',(-1.,0.,-1.3010426069826E-15)); #209368=DIRECTION('',(0.,1.,0.)); #209369=DIRECTION('center_axis',(0.,1.,0.)); #209370=DIRECTION('ref_axis',(-1.,0.,-2.16840434497101E-16)); #209371=DIRECTION('',(0.,-1.,0.)); #209372=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863)); #209373=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189626)); #209374=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209375=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209376=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726, -0.408248290463863)); #209377=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189625,-0.577350269189627)); #209378=DIRECTION('center_axis',(0.,1.,0.)); #209379=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548)); #209380=DIRECTION('center_axis',(0.,-1.,0.)); #209381=DIRECTION('ref_axis',(-1.30104260698264E-15,0.,1.)); #209382=DIRECTION('',(0.,1.,0.)); #209383=DIRECTION('center_axis',(0.,1.,0.)); #209384=DIRECTION('ref_axis',(-2.16840434497101E-16,0.,1.)); #209385=DIRECTION('',(0.,-1.,0.)); #209386=DIRECTION('center_axis',(-0.408248290463843,-0.408248290463843, -0.816496580927746)); #209387=DIRECTION('ref_axis',(-0.577350269189642,-0.577350269189641,0.577350269189594)); #209388=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209389=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209390=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209391=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209392=DIRECTION('center_axis',(-0.408248290463854,-0.81649658092773,0.408248290463864)); #209393=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189619,0.577350269189636)); #209394=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209395=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #209396=DIRECTION('',(0.,1.,0.)); #209397=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209398=DIRECTION('',(0.,-1.,0.)); #209399=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209400=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209401=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209402=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209403=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209404=DIRECTION('',(0.,1.,0.)); #209405=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209406=DIRECTION('',(0.,-1.,0.)); #209407=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209408=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209409=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209410=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209411=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209412=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209413=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209414=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209415=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #209416=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209417=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #209418=DIRECTION('',(0.,-1.,0.)); #209419=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209420=DIRECTION('',(0.,-1.,0.)); #209421=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209422=DIRECTION('',(0.,1.,0.)); #209423=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209424=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209425=DIRECTION('center_axis',(-1.,0.,0.)); #209426=DIRECTION('ref_axis',(0.,1.,0.)); #209427=DIRECTION('center_axis',(1.,0.,0.)); #209428=DIRECTION('ref_axis',(0.,0.,1.)); #209429=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209430=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209431=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209432=DIRECTION('center_axis',(1.80422147347659E-16,-0.832050294337844, 0.554700196225229)); #209433=DIRECTION('ref_axis',(-1.23259516440783E-32,0.554700196225229,0.832050294337844)); #209434=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209435=DIRECTION('center_axis',(0.,0.,-1.)); #209436=DIRECTION('ref_axis',(0.,1.,0.)); #209437=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209438=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #209439=DIRECTION('center_axis',(1.,0.,0.)); #209440=DIRECTION('ref_axis',(0.,-1.,0.)); #209441=DIRECTION('center_axis',(-1.,0.,0.)); #209442=DIRECTION('ref_axis',(0.,0.,-1.)); #209443=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209444=DIRECTION('center_axis',(0.,-1.,0.)); #209445=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #209446=DIRECTION('center_axis',(0.,-1.,0.)); #209447=DIRECTION('ref_axis',(0.,0.,-1.)); #209448=DIRECTION('center_axis',(0.,-1.,0.)); #209449=DIRECTION('ref_axis',(0.,0.,-1.)); #209450=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #209451=DIRECTION('ref_axis',(0.577350269189634,-0.577350269189619,-0.577350269189624)); #209452=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209453=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209454=DIRECTION('center_axis',(0.,0.,1.)); #209455=DIRECTION('ref_axis',(1.,0.,0.)); #209456=DIRECTION('center_axis',(0.,2.16840434497101E-16,1.)); #209457=DIRECTION('ref_axis',(-1.,0.,0.)); #209458=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209459=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209460=DIRECTION('center_axis',(0.,0.447213595499958,-0.894427190999916)); #209461=DIRECTION('ref_axis',(0.,0.894427190999916,0.447213595499958)); #209462=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209463=DIRECTION('center_axis',(-1.73472347597681E-15,0.,1.)); #209464=DIRECTION('ref_axis',(0.,1.,0.)); #209465=DIRECTION('center_axis',(0.,1.,0.)); #209466=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #209467=DIRECTION('center_axis',(0.,-1.,0.)); #209468=DIRECTION('ref_axis',(-1.,0.,6.50521303491302E-16)); #209469=DIRECTION('center_axis',(0.,-1.,0.)); #209470=DIRECTION('ref_axis',(-1.,0.,-2.16840434497101E-16)); #209471=DIRECTION('center_axis',(0.408248290463864,0.408248290463862,-0.816496580927726)); #209472=DIRECTION('ref_axis',(-0.577350269189634,-0.577350269189619,-0.577350269189624)); #209473=DIRECTION('center_axis',(0.,0.,-1.)); #209474=DIRECTION('ref_axis',(-1.,0.,0.)); #209475=DIRECTION('center_axis',(0.,-1.,0.)); #209476=DIRECTION('ref_axis',(0.,0.,1.)); #209477=DIRECTION('center_axis',(0.,1.,0.)); #209478=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209479=DIRECTION('center_axis',(0.,-1.,0.)); #209480=DIRECTION('ref_axis',(-1.,0.,0.)); #209481=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, 0.408248290463864)); #209482=DIRECTION('ref_axis',(-0.577350269189635,0.577350269189625,0.577350269189618)); #209483=DIRECTION('center_axis',(0.,1.,0.)); #209484=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209485=DIRECTION('center_axis',(0.,1.,0.)); #209486=DIRECTION('ref_axis',(0.,0.,1.)); #209487=DIRECTION('center_axis',(0.,-1.,0.)); #209488=DIRECTION('ref_axis',(0.,0.,1.)); #209489=DIRECTION('center_axis',(0.408248290463864,-0.816496580927725,0.408248290463864)); #209490=DIRECTION('ref_axis',(0.577350269189635,0.577350269189625,0.577350269189618)); #209491=DIRECTION('center_axis',(0.,0.,-1.)); #209492=DIRECTION('ref_axis',(1.,0.,0.)); #209493=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209494=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209495=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209496=DIRECTION('ref_axis',(8.65956056235491E-17,-0.707106781186547,-0.707106781186547)); #209497=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209498=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #209499=DIRECTION('center_axis',(-0.894427190999916,0.,0.447213595499958)); #209500=DIRECTION('ref_axis',(-0.447213595499958,0.,-0.894427190999916)); #209501=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209502=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209503=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,-8.65956056235489E-17)); #209504=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209505=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #209506=DIRECTION('',(0.,-1.,0.)); #209507=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209508=DIRECTION('',(0.,-1.,0.)); #209509=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209510=DIRECTION('',(0.,1.,0.)); #209511=DIRECTION('center_axis',(1.,0.,1.23268770453989E-15)); #209512=DIRECTION('ref_axis',(8.71641834965436E-16,-0.707106781186547,-0.707106781186548)); #209513=DIRECTION('center_axis',(-0.447213595499957,-3.10316769155908E-15, -0.894427190999917)); #209514=DIRECTION('ref_axis',(0.894427190999917,9.69739903612219E-17,-0.447213595499957)); #209515=DIRECTION('',(1.,0.,1.23268770453989E-15)); #209516=DIRECTION('center_axis',(0.44721359549996,-6.20633538311817E-15, -0.894427190999915)); #209517=DIRECTION('ref_axis',(-0.894427190999915,0.,-0.44721359549996)); #209518=DIRECTION('center_axis',(-1.23268770453989E-15,0.,1.)); #209519=DIRECTION('ref_axis',(1.,0.,1.23268770453989E-15)); #209520=DIRECTION('',(0.,-1.,0.)); #209521=DIRECTION('',(-1.,0.,-1.23268770453989E-15)); #209522=DIRECTION('',(0.,1.,0.)); #209523=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209524=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209525=DIRECTION('center_axis',(1.80422147347659E-16,-0.832050294337844, 0.554700196225229)); #209526=DIRECTION('ref_axis',(-1.23259516440783E-32,0.554700196225229,0.832050294337844)); #209527=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209528=DIRECTION('center_axis',(0.,0.,-1.)); #209529=DIRECTION('ref_axis',(0.,1.,0.)); #209530=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209531=DIRECTION('ref_axis',(8.65956056235492E-17,-0.707106781186547,-0.707106781186548)); #209532=DIRECTION('center_axis',(1.,0.,0.)); #209533=DIRECTION('ref_axis',(0.,-1.,0.)); #209534=DIRECTION('center_axis',(-1.,0.,0.)); #209535=DIRECTION('ref_axis',(0.,0.,-1.)); #209536=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209537=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209538=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209539=DIRECTION('center_axis',(0.,0.447213595499958,-0.894427190999916)); #209540=DIRECTION('ref_axis',(0.,0.894427190999916,0.447213595499958)); #209541=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209542=DIRECTION('center_axis',(-8.67361737988391E-16,0.,1.)); #209543=DIRECTION('ref_axis',(0.,1.,0.)); #209544=DIRECTION('center_axis',(0.,1.,0.)); #209545=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #209546=DIRECTION('center_axis',(0.,-1.,0.)); #209547=DIRECTION('ref_axis',(-1.,0.,4.33680868994195E-16)); #209548=DIRECTION('center_axis',(0.,-1.,0.)); #209549=DIRECTION('ref_axis',(-1.,0.,-2.16840434497101E-16)); #209550=DIRECTION('center_axis',(0.408248290463864,0.408248290463853,-0.81649658092773)); #209551=DIRECTION('ref_axis',(-0.577350269189636,-0.577350269189622,-0.57735026918962)); #209552=DIRECTION('center_axis',(0.,0.,-1.)); #209553=DIRECTION('ref_axis',(-1.,0.,0.)); #209554=DIRECTION('center_axis',(-0.408248290463864,0.408248290463862,-0.816496580927726)); #209555=DIRECTION('ref_axis',(0.577350269189625,-0.577350269189627,-0.577350269189626)); #209556=DIRECTION('center_axis',(0.,1.,0.)); #209557=DIRECTION('ref_axis',(1.,0.,-3.03576608295941E-15)); #209558=DIRECTION('center_axis',(0.,-1.,0.)); #209559=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547)); #209560=DIRECTION('center_axis',(0.,-1.,0.)); #209561=DIRECTION('ref_axis',(0.,0.,-1.)); #209562=DIRECTION('center_axis',(0.,0.,1.)); #209563=DIRECTION('ref_axis',(1.,0.,0.)); #209564=DIRECTION('center_axis',(0.,2.16840434497101E-16,1.)); #209565=DIRECTION('ref_axis',(-1.,0.,0.)); #209566=DIRECTION('center_axis',(1.,0.,1.23268770453989E-15)); #209567=DIRECTION('ref_axis',(-8.71641834965436E-16,0.707106781186547,0.707106781186548)); #209568=DIRECTION('center_axis',(-1.,0.,1.38777878078144E-14)); #209569=DIRECTION('ref_axis',(0.,1.,0.)); #209570=DIRECTION('center_axis',(1.,0.,0.)); #209571=DIRECTION('ref_axis',(0.,0.,1.)); #209572=DIRECTION('',(1.,0.,1.23268770453989E-15)); #209573=DIRECTION('center_axis',(0.,1.,0.)); #209574=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209575=DIRECTION('center_axis',(0.,1.,0.)); #209576=DIRECTION('ref_axis',(-1.38777878078146E-14,0.,1.)); #209577=DIRECTION('center_axis',(0.,-1.,0.)); #209578=DIRECTION('ref_axis',(0.,0.,1.)); #209579=DIRECTION('center_axis',(0.408248290463864,-0.816496580927723,0.408248290463869)); #209580=DIRECTION('ref_axis',(0.577350269189624,0.577350269189629,0.577350269189624)); #209581=DIRECTION('center_axis',(0.,0.,-1.)); #209582=DIRECTION('ref_axis',(1.,0.,0.)); #209583=DIRECTION('center_axis',(0.,1.,0.)); #209584=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209585=DIRECTION('center_axis',(0.,1.,0.)); #209586=DIRECTION('ref_axis',(-1.,0.,-2.77555756156293E-14)); #209587=DIRECTION('center_axis',(0.,-1.,0.)); #209588=DIRECTION('ref_axis',(-1.,0.,0.)); #209589=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, 0.408248290463864)); #209590=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189627,0.577350269189625)); #209591=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209592=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209593=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209594=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209595=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209596=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209597=DIRECTION('ref_axis',(1.,0.,1.22464679914735E-16)); #209598=DIRECTION('',(0.,1.,0.)); #209599=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209600=DIRECTION('',(0.,-1.,0.)); #209601=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209602=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209603=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209604=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209605=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209606=DIRECTION('',(0.,1.,0.)); #209607=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209608=DIRECTION('',(0.,-1.,0.)); #209609=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209610=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209611=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209612=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209613=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209614=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209615=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209616=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209617=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209618=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209619=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209620=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209621=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #209622=DIRECTION('',(0.,-1.,0.)); #209623=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209624=DIRECTION('',(0.,-1.,0.)); #209625=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209626=DIRECTION('',(0.,1.,0.)); #209627=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209628=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209629=DIRECTION('center_axis',(1.,0.,1.38777878078144E-14)); #209630=DIRECTION('ref_axis',(0.,1.,0.)); #209631=DIRECTION('center_axis',(-1.,0.,0.)); #209632=DIRECTION('ref_axis',(0.,0.,-1.)); #209633=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209634=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209635=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209636=DIRECTION('center_axis',(-1.80422147347659E-16,-0.832050294337844, -0.554700196225229)); #209637=DIRECTION('ref_axis',(1.23259516440783E-32,0.554700196225229,-0.832050294337844)); #209638=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209639=DIRECTION('center_axis',(0.,0.,1.)); #209640=DIRECTION('ref_axis',(0.,1.,0.)); #209641=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209642=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209643=DIRECTION('center_axis',(-1.,0.,0.)); #209644=DIRECTION('ref_axis',(0.,-1.,0.)); #209645=DIRECTION('center_axis',(1.,0.,0.)); #209646=DIRECTION('ref_axis',(0.,0.,1.)); #209647=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209648=DIRECTION('center_axis',(0.,-1.,0.)); #209649=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209650=DIRECTION('center_axis',(0.,-1.,0.)); #209651=DIRECTION('ref_axis',(0.,0.,1.)); #209652=DIRECTION('center_axis',(0.,-1.,0.)); #209653=DIRECTION('ref_axis',(0.,0.,1.)); #209654=DIRECTION('center_axis',(-0.40824829046384,-0.408248290463844,-0.816496580927747)); #209655=DIRECTION('ref_axis',(-0.577350269189639,-0.577350269189643,0.577350269189595)); #209656=DIRECTION('center_axis',(0.,0.,-1.)); #209657=DIRECTION('ref_axis',(-1.,0.,0.)); #209658=DIRECTION('center_axis',(0.,0.,-1.)); #209659=DIRECTION('ref_axis',(1.,0.,0.)); #209660=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209661=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209662=DIRECTION('center_axis',(0.,0.447213595499958,0.894427190999916)); #209663=DIRECTION('ref_axis',(0.,0.894427190999916,-0.447213595499958)); #209664=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209665=DIRECTION('center_axis',(0.,0.,-1.)); #209666=DIRECTION('ref_axis',(0.,1.,0.)); #209667=DIRECTION('center_axis',(0.,1.,0.)); #209668=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209669=DIRECTION('center_axis',(0.,-1.,0.)); #209670=DIRECTION('ref_axis',(1.,0.,0.)); #209671=DIRECTION('center_axis',(0.,-1.,0.)); #209672=DIRECTION('ref_axis',(1.,0.,0.)); #209673=DIRECTION('center_axis',(0.408248290463865,-0.408248290463855,-0.816496580927729)); #209674=DIRECTION('ref_axis',(0.577350269189638,-0.577350269189623,0.577350269189616)); #209675=DIRECTION('center_axis',(0.,0.,1.)); #209676=DIRECTION('ref_axis',(1.,0.,0.)); #209677=DIRECTION('center_axis',(0.,1.,0.)); #209678=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209679=DIRECTION('center_axis',(0.,1.,0.)); #209680=DIRECTION('ref_axis',(1.,0.,0.)); #209681=DIRECTION('center_axis',(0.,-1.,0.)); #209682=DIRECTION('ref_axis',(1.,0.,0.)); #209683=DIRECTION('center_axis',(0.408248290463864,-0.816496580927725,-0.408248290463864)); #209684=DIRECTION('ref_axis',(0.577350269189629,0.577350269189619,-0.577350269189629)); #209685=DIRECTION('center_axis',(0.,1.,0.)); #209686=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #209687=DIRECTION('center_axis',(0.,1.,0.)); #209688=DIRECTION('ref_axis',(0.,0.,-1.)); #209689=DIRECTION('center_axis',(0.,-1.,0.)); #209690=DIRECTION('ref_axis',(0.,0.,-1.)); #209691=DIRECTION('center_axis',(0.408248290463865,-0.408248290463855,-0.816496580927729)); #209692=DIRECTION('ref_axis',(-0.577350269189638,0.577350269189623,-0.577350269189616)); #209693=DIRECTION('center_axis',(0.,0.,1.)); #209694=DIRECTION('ref_axis',(-1.,0.,0.)); #209695=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209696=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209697=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209698=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209699=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209700=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #209701=DIRECTION('center_axis',(0.894427190999916,0.,-0.447213595499958)); #209702=DIRECTION('ref_axis',(0.447213595499958,0.,0.894427190999916)); #209703=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209704=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209705=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,8.65956056235489E-17)); #209706=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209707=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #209708=DIRECTION('',(0.,-1.,0.)); #209709=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209710=DIRECTION('',(0.,-1.,0.)); #209711=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209712=DIRECTION('',(0.,1.,0.)); #209713=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209714=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209715=DIRECTION('center_axis',(0.447213595499958,0.,0.894427190999916)); #209716=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #209717=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209718=DIRECTION('center_axis',(-0.447213595499958,3.10316769155909E-15, 0.894427190999916)); #209719=DIRECTION('ref_axis',(0.894427190999916,0.,0.447213595499958)); #209720=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209721=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #209722=DIRECTION('',(0.,-1.,0.)); #209723=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209724=DIRECTION('',(0.,1.,0.)); #209725=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209726=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209727=DIRECTION('center_axis',(-1.80422147347659E-16,-0.832050294337844, -0.554700196225229)); #209728=DIRECTION('ref_axis',(1.23259516440783E-32,0.554700196225229,-0.832050294337844)); #209729=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209730=DIRECTION('center_axis',(-2.77555756156289E-14,0.,1.)); #209731=DIRECTION('ref_axis',(0.,1.,0.)); #209732=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209733=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209734=DIRECTION('center_axis',(-1.,0.,0.)); #209735=DIRECTION('ref_axis',(0.,-1.,0.)); #209736=DIRECTION('center_axis',(1.,0.,0.)); #209737=DIRECTION('ref_axis',(0.,0.,1.)); #209738=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209739=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209740=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #209741=DIRECTION('center_axis',(0.,0.447213595499958,0.894427190999916)); #209742=DIRECTION('ref_axis',(0.,0.894427190999916,-0.447213595499958)); #209743=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209744=DIRECTION('center_axis',(0.,0.,-1.)); #209745=DIRECTION('ref_axis',(0.,1.,0.)); #209746=DIRECTION('center_axis',(0.,1.,0.)); #209747=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209748=DIRECTION('center_axis',(0.,-1.,0.)); #209749=DIRECTION('ref_axis',(1.,0.,0.)); #209750=DIRECTION('center_axis',(0.,-1.,0.)); #209751=DIRECTION('ref_axis',(1.,0.,0.)); #209752=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209753=DIRECTION('ref_axis',(0.577350269189621,-0.577350269189624,0.577350269189632)); #209754=DIRECTION('center_axis',(0.,0.,1.)); #209755=DIRECTION('ref_axis',(1.,0.,0.)); #209756=DIRECTION('center_axis',(0.408248290463853,-0.816496580927731,-0.408248290463864)); #209757=DIRECTION('ref_axis',(-0.577350269189624,-0.577350269189619,0.577350269189635)); #209758=DIRECTION('center_axis',(0.,1.,0.)); #209759=DIRECTION('ref_axis',(-1.,0.,0.)); #209760=DIRECTION('center_axis',(0.,-1.,0.)); #209761=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209762=DIRECTION('center_axis',(0.,-1.,0.)); #209763=DIRECTION('ref_axis',(0.,0.,1.)); #209764=DIRECTION('center_axis',(0.,0.,-1.)); #209765=DIRECTION('ref_axis',(-1.,0.,0.)); #209766=DIRECTION('center_axis',(0.,0.,-1.)); #209767=DIRECTION('ref_axis',(1.,0.,0.)); #209768=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209769=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209770=DIRECTION('center_axis',(1.,0.,0.)); #209771=DIRECTION('ref_axis',(0.,1.,0.)); #209772=DIRECTION('center_axis',(-1.,0.,0.)); #209773=DIRECTION('ref_axis',(0.,0.,-1.)); #209774=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209775=DIRECTION('center_axis',(0.,1.,0.)); #209776=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #209777=DIRECTION('center_axis',(0.,1.,0.)); #209778=DIRECTION('ref_axis',(0.,0.,-1.)); #209779=DIRECTION('center_axis',(0.,-1.,0.)); #209780=DIRECTION('ref_axis',(0.,0.,-1.)); #209781=DIRECTION('center_axis',(-0.408248290463864,-0.816496580927725, -0.408248290463864)); #209782=DIRECTION('ref_axis',(-0.577350269189622,0.577350269189623,-0.577350269189633)); #209783=DIRECTION('center_axis',(0.,0.,1.)); #209784=DIRECTION('ref_axis',(-1.,0.,0.)); #209785=DIRECTION('center_axis',(0.,1.,0.)); #209786=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209787=DIRECTION('center_axis',(0.,1.,0.)); #209788=DIRECTION('ref_axis',(1.,0.,0.)); #209789=DIRECTION('center_axis',(0.,-1.,0.)); #209790=DIRECTION('ref_axis',(1.,0.,0.)); #209791=DIRECTION('center_axis',(0.408248290463842,-0.816496580927736,-0.408248290463864)); #209792=DIRECTION('ref_axis',(0.577350269189612,0.57735026918961,-0.577350269189656)); #209793=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209794=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209795=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209796=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209797=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209798=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209799=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,1.)); #209800=DIRECTION('',(0.,1.,0.)); #209801=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209802=DIRECTION('',(0.,-1.,0.)); #209803=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209804=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209805=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209806=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209807=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209808=DIRECTION('',(0.,1.,0.)); #209809=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209810=DIRECTION('',(0.,-1.,0.)); #209811=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209812=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209813=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209814=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209815=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209816=DIRECTION('',(0.,1.,0.)); #209817=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209818=DIRECTION('',(0.,-1.,0.)); #209819=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209820=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209821=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209822=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209823=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209824=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209825=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209826=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209827=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209828=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209829=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209830=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209831=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #209832=DIRECTION('',(0.,-1.,0.)); #209833=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209834=DIRECTION('',(0.,-1.,0.)); #209835=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209836=DIRECTION('',(0.,1.,0.)); #209837=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209838=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209839=DIRECTION('center_axis',(2.77555756156289E-14,0.,1.)); #209840=DIRECTION('ref_axis',(0.,1.,0.)); #209841=DIRECTION('center_axis',(0.,2.77555756156289E-14,-1.)); #209842=DIRECTION('ref_axis',(1.,0.,0.)); #209843=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209844=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209845=DIRECTION('ref_axis',(-8.65956056235467E-17,0.707106781186567,0.707106781186528)); #209846=DIRECTION('center_axis',(0.554700196225229,-0.832050294337844,-1.80422147347654E-16)); #209847=DIRECTION('ref_axis',(0.832050294337844,0.554700196225229,0.)); #209848=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209849=DIRECTION('center_axis',(-1.,0.,0.)); #209850=DIRECTION('ref_axis',(0.,1.,0.)); #209851=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209852=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #209853=DIRECTION('center_axis',(0.,0.,-1.)); #209854=DIRECTION('ref_axis',(0.,-1.,0.)); #209855=DIRECTION('center_axis',(0.,0.,1.)); #209856=DIRECTION('ref_axis',(-1.,0.,0.)); #209857=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209858=DIRECTION('center_axis',(0.,-1.,0.)); #209859=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #209860=DIRECTION('center_axis',(0.,-1.,0.)); #209861=DIRECTION('ref_axis',(-1.,0.,0.)); #209862=DIRECTION('center_axis',(0.,-1.,0.)); #209863=DIRECTION('ref_axis',(-1.,0.,0.)); #209864=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463864)); #209865=DIRECTION('ref_axis',(-0.577350269189619,-0.577350269189625,-0.577350269189634)); #209866=DIRECTION('center_axis',(1.,0.,0.)); #209867=DIRECTION('ref_axis',(0.,0.,-1.)); #209868=DIRECTION('center_axis',(1.,0.,0.)); #209869=DIRECTION('ref_axis',(0.,0.,1.)); #209870=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209871=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209872=DIRECTION('center_axis',(-0.894427190999916,0.447213595499958,0.)); #209873=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #209874=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209875=DIRECTION('center_axis',(1.,0.,0.)); #209876=DIRECTION('ref_axis',(0.,1.,0.)); #209877=DIRECTION('center_axis',(0.,1.,0.)); #209878=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209879=DIRECTION('center_axis',(0.,-1.,0.)); #209880=DIRECTION('ref_axis',(-1.73472347597681E-15,0.,1.)); #209881=DIRECTION('center_axis',(0.,-1.,0.)); #209882=DIRECTION('ref_axis',(-2.16840434497101E-16,0.,1.)); #209883=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463861, -0.816496580927727)); #209884=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189622,0.577350269189632)); #209885=DIRECTION('center_axis',(-1.,0.,0.)); #209886=DIRECTION('ref_axis',(0.,0.,1.)); #209887=DIRECTION('center_axis',(0.,1.,0.)); #209888=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #209889=DIRECTION('center_axis',(0.,1.,0.)); #209890=DIRECTION('ref_axis',(-6.93889390390722E-15,0.,1.)); #209891=DIRECTION('center_axis',(0.,-1.,0.)); #209892=DIRECTION('ref_axis',(0.,0.,1.)); #209893=DIRECTION('center_axis',(0.408248290463853,-0.816496580927731,0.408248290463864)); #209894=DIRECTION('ref_axis',(0.57735026918962,0.57735026918962,0.577350269189637)); #209895=DIRECTION('center_axis',(0.,1.,0.)); #209896=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209897=DIRECTION('center_axis',(0.,1.,0.)); #209898=DIRECTION('ref_axis',(1.,0.,0.)); #209899=DIRECTION('center_axis',(0.,-1.,0.)); #209900=DIRECTION('ref_axis',(1.,0.,0.)); #209901=DIRECTION('center_axis',(-0.40824829046386,-0.408248290463858,-0.81649658092773)); #209902=DIRECTION('ref_axis',(0.577350269189632,0.577350269189631,-0.577350269189615)); #209903=DIRECTION('center_axis',(-1.,0.,0.)); #209904=DIRECTION('ref_axis',(0.,0.,-1.)); #209905=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209906=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209907=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209908=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #209909=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209910=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #209911=DIRECTION('center_axis',(0.447213595499958,0.,0.894427190999916)); #209912=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #209913=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209914=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209915=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #209916=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209917=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #209918=DIRECTION('',(0.,-1.,0.)); #209919=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209920=DIRECTION('',(0.,-1.,0.)); #209921=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209922=DIRECTION('',(0.,1.,0.)); #209923=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209924=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #209925=DIRECTION('center_axis',(-0.894427190999916,0.,0.447213595499958)); #209926=DIRECTION('ref_axis',(-0.447213595499958,0.,-0.894427190999916)); #209927=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209928=DIRECTION('center_axis',(-0.894427190999916,0.,-0.447213595499958)); #209929=DIRECTION('ref_axis',(-0.447213595499958,0.,0.894427190999916)); #209930=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209931=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #209932=DIRECTION('',(0.,-1.,0.)); #209933=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209934=DIRECTION('',(0.,1.,0.)); #209935=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #209936=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #209937=DIRECTION('center_axis',(0.554700196225229,-0.832050294337844,-1.80422147347659E-16)); #209938=DIRECTION('ref_axis',(0.832050294337844,0.554700196225229,1.23259516440783E-32)); #209939=DIRECTION('',(1.,0.,1.22464679914735E-16)); #209940=DIRECTION('center_axis',(-1.,0.,0.)); #209941=DIRECTION('ref_axis',(0.,1.,0.)); #209942=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #209943=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #209944=DIRECTION('center_axis',(0.,0.,-1.)); #209945=DIRECTION('ref_axis',(0.,-1.,0.)); #209946=DIRECTION('center_axis',(0.,0.,1.)); #209947=DIRECTION('ref_axis',(-1.,0.,0.)); #209948=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #209949=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #209950=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #209951=DIRECTION('center_axis',(-0.894427190999916,0.447213595499958,0.)); #209952=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #209953=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #209954=DIRECTION('center_axis',(1.,0.,8.67361737988403E-16)); #209955=DIRECTION('ref_axis',(0.,1.,0.)); #209956=DIRECTION('center_axis',(0.,1.,0.)); #209957=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #209958=DIRECTION('center_axis',(0.,-1.,0.)); #209959=DIRECTION('ref_axis',(-8.67361737988403E-16,0.,1.)); #209960=DIRECTION('center_axis',(0.,-1.,0.)); #209961=DIRECTION('ref_axis',(-2.16840434497101E-16,0.,1.)); #209962=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463864)); #209963=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189625)); #209964=DIRECTION('center_axis',(-1.,0.,0.)); #209965=DIRECTION('ref_axis',(0.,0.,1.)); #209966=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463864)); #209967=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,-0.577350269189625)); #209968=DIRECTION('center_axis',(0.,1.,0.)); #209969=DIRECTION('ref_axis',(1.73472347597681E-15,0.,-1.)); #209970=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209971=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #209972=DIRECTION('center_axis',(0.,-1.,0.)); #209973=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #209974=DIRECTION('center_axis',(0.,-1.,0.)); #209975=DIRECTION('ref_axis',(-1.,0.,0.)); #209976=DIRECTION('center_axis',(1.,0.,0.)); #209977=DIRECTION('ref_axis',(0.,0.,-1.)); #209978=DIRECTION('center_axis',(1.,0.,0.)); #209979=DIRECTION('ref_axis',(0.,0.,1.)); #209980=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #209981=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #209982=DIRECTION('center_axis',(0.,0.,1.)); #209983=DIRECTION('ref_axis',(0.,1.,0.)); #209984=DIRECTION('center_axis',(0.,-6.93889390390723E-15,-1.)); #209985=DIRECTION('ref_axis',(1.,0.,0.)); #209986=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #209987=DIRECTION('center_axis',(0.,1.,0.)); #209988=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #209989=DIRECTION('center_axis',(0.,1.,0.)); #209990=DIRECTION('ref_axis',(1.,0.,6.93889390390727E-15)); #209991=DIRECTION('center_axis',(0.,-1.,0.)); #209992=DIRECTION('ref_axis',(1.,0.,0.)); #209993=DIRECTION('center_axis',(0.408248290463864,-0.816496580927725,-0.408248290463864)); #209994=DIRECTION('ref_axis',(0.577350269189625,0.577350269189627,-0.577350269189625)); #209995=DIRECTION('center_axis',(-1.,0.,0.)); #209996=DIRECTION('ref_axis',(0.,0.,-1.)); #209997=DIRECTION('center_axis',(0.,1.,0.)); #209998=DIRECTION('ref_axis',(0.707106781186552,0.,0.707106781186543)); #209999=DIRECTION('center_axis',(0.,1.,0.)); #210000=DIRECTION('ref_axis',(0.,0.,1.)); #210001=DIRECTION('center_axis',(0.,-1.,0.)); #210002=DIRECTION('ref_axis',(0.,0.,1.)); #210003=DIRECTION('center_axis',(0.40824829046386,0.408248290463857,-0.816496580927731)); #210004=DIRECTION('ref_axis',(0.57735026918963,0.577350269189629,0.577350269189619)); #210005=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210006=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #210007=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210008=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,-8.65956056235491E-17)); #210009=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210010=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #210011=DIRECTION('center_axis',(0.447213595499958,0.,0.894427190999916)); #210012=DIRECTION('ref_axis',(-0.894427190999916,0.,0.447213595499958)); #210013=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210014=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210015=DIRECTION('ref_axis',(-8.65956056235489E-17,-0.707106781186549, 0.707106781186546)); #210016=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210017=DIRECTION('ref_axis',(-1.,0.,-1.22464679914735E-16)); #210018=DIRECTION('',(0.,-1.,0.)); #210019=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210020=DIRECTION('',(0.,-1.,0.)); #210021=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210022=DIRECTION('',(0.,1.,0.)); #210023=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210024=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #210025=DIRECTION('center_axis',(-0.894427190999916,0.,0.447213595499958)); #210026=DIRECTION('ref_axis',(-0.447213595499958,0.,-0.894427190999916)); #210027=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210028=DIRECTION('center_axis',(-0.894427190999916,0.,-0.447213595499958)); #210029=DIRECTION('ref_axis',(-0.447213595499958,0.,0.894427190999916)); #210030=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210031=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210032=DIRECTION('',(0.,-1.,0.)); #210033=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210034=DIRECTION('',(0.,1.,0.)); #210035=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210036=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210037=DIRECTION('center_axis',(0.554700196225229,-0.832050294337844,-1.80422147347656E-16)); #210038=DIRECTION('ref_axis',(0.832050294337844,0.554700196225229,0.)); #210039=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210040=DIRECTION('center_axis',(-1.,0.,0.)); #210041=DIRECTION('ref_axis',(0.,1.,0.)); #210042=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210043=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #210044=DIRECTION('center_axis',(0.,0.,-1.)); #210045=DIRECTION('ref_axis',(0.,-1.,0.)); #210046=DIRECTION('center_axis',(0.,0.,1.)); #210047=DIRECTION('ref_axis',(-1.,0.,0.)); #210048=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210049=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210050=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #210051=DIRECTION('center_axis',(-0.894427190999916,0.447213595499958,0.)); #210052=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #210053=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210054=DIRECTION('center_axis',(1.,0.,8.67361737988403E-16)); #210055=DIRECTION('ref_axis',(0.,1.,0.)); #210056=DIRECTION('center_axis',(0.,1.,0.)); #210057=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547)); #210058=DIRECTION('center_axis',(0.,-1.,0.)); #210059=DIRECTION('ref_axis',(-1.3010426069826E-15,0.,1.)); #210060=DIRECTION('center_axis',(0.,-1.,0.)); #210061=DIRECTION('ref_axis',(-2.16840434497101E-16,0.,1.)); #210062=DIRECTION('center_axis',(-0.408248290463862,-0.408248290463861, -0.816496580927727)); #210063=DIRECTION('ref_axis',(-0.577350269189627,-0.577350269189626,0.577350269189625)); #210064=DIRECTION('center_axis',(-1.,0.,0.)); #210065=DIRECTION('ref_axis',(0.,0.,1.)); #210066=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463864)); #210067=DIRECTION('ref_axis',(-0.577350269189619,-0.577350269189625,-0.577350269189634)); #210068=DIRECTION('center_axis',(0.,1.,0.)); #210069=DIRECTION('ref_axis',(8.67361737988403E-16,0.,-1.)); #210070=DIRECTION('center_axis',(0.,-1.,0.)); #210071=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186548)); #210072=DIRECTION('center_axis',(0.,-1.,0.)); #210073=DIRECTION('ref_axis',(-1.,0.,0.)); #210074=DIRECTION('center_axis',(1.,0.,0.)); #210075=DIRECTION('ref_axis',(0.,0.,-1.)); #210076=DIRECTION('center_axis',(1.,0.,0.)); #210077=DIRECTION('ref_axis',(0.,0.,1.)); #210078=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210079=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #210080=DIRECTION('center_axis',(0.,0.,1.)); #210081=DIRECTION('ref_axis',(0.,1.,0.)); #210082=DIRECTION('center_axis',(0.,1.38777878078145E-14,-1.)); #210083=DIRECTION('ref_axis',(1.,0.,0.)); #210084=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210085=DIRECTION('center_axis',(0.,1.,0.)); #210086=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #210087=DIRECTION('center_axis',(0.,1.,0.)); #210088=DIRECTION('ref_axis',(1.,0.,0.)); #210089=DIRECTION('center_axis',(0.,-1.,0.)); #210090=DIRECTION('ref_axis',(1.,0.,0.)); #210091=DIRECTION('center_axis',(0.408248290463864,-0.816496580927725,-0.408248290463864)); #210092=DIRECTION('ref_axis',(0.577350269189625,0.577350269189627,-0.577350269189625)); #210093=DIRECTION('center_axis',(-1.,0.,0.)); #210094=DIRECTION('ref_axis',(0.,0.,-1.)); #210095=DIRECTION('center_axis',(0.,1.,0.)); #210096=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #210097=DIRECTION('center_axis',(0.,1.,0.)); #210098=DIRECTION('ref_axis',(-6.93889390390722E-15,0.,1.)); #210099=DIRECTION('center_axis',(0.,-1.,0.)); #210100=DIRECTION('ref_axis',(0.,0.,1.)); #210101=DIRECTION('center_axis',(0.408248290463858,-0.816496580927728,0.408248290463864)); #210102=DIRECTION('ref_axis',(0.577350269189619,0.577350269189623,0.577350269189636)); #210103=DIRECTION('center_axis',(0.,1.,0.)); #210104=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #210105=DIRECTION('center_axis',(-0.832050294337843,0.55470019622523,0.)); #210106=DIRECTION('ref_axis',(0.55470019622523,0.832050294337843,0.)); #210107=DIRECTION('center_axis',(0.447213595499958,-0.894427190999916,0.)); #210108=DIRECTION('ref_axis',(0.894427190999916,0.447213595499958,0.)); #210109=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210110=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210111=DIRECTION('center_axis',(-0.894427190999916,0.447213595499958,0.)); #210112=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #210113=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210114=DIRECTION('center_axis',(0.,1.,0.)); #210115=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210116=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210117=DIRECTION('center_axis',(0.,0.,-1.)); #210118=DIRECTION('ref_axis',(0.,1.,0.)); #210119=DIRECTION('center_axis',(0.447213595499958,-0.894427190999916,0.)); #210120=DIRECTION('ref_axis',(0.894427190999916,0.447213595499958,0.)); #210121=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210122=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,8.65956056235491E-17)); #210123=DIRECTION('center_axis',(-2.77555756156289E-14,0.,-1.)); #210124=DIRECTION('ref_axis',(0.,1.,0.)); #210125=DIRECTION('center_axis',(0.,1.,0.)); #210126=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #210127=DIRECTION('center_axis',(-0.832050294337843,0.55470019622523,0.)); #210128=DIRECTION('ref_axis',(0.55470019622523,0.832050294337843,0.)); #210129=DIRECTION('center_axis',(0.447213595499958,-0.894427190999916,0.)); #210130=DIRECTION('ref_axis',(0.894427190999916,0.447213595499958,0.)); #210131=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210132=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210133=DIRECTION('center_axis',(-0.894427190999916,0.447213595499958,0.)); #210134=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #210135=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210136=DIRECTION('center_axis',(0.,1.,0.)); #210137=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210138=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210139=DIRECTION('center_axis',(0.,0.,-1.)); #210140=DIRECTION('ref_axis',(0.,1.,0.)); #210141=DIRECTION('center_axis',(0.447213595499958,-0.894427190999916,0.)); #210142=DIRECTION('ref_axis',(0.894427190999916,0.447213595499958,0.)); #210143=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210144=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,8.65956056235491E-17)); #210145=DIRECTION('center_axis',(0.,0.,-1.)); #210146=DIRECTION('ref_axis',(0.,1.,0.)); #210147=DIRECTION('center_axis',(0.,1.,0.)); #210148=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #210149=DIRECTION('center_axis',(-0.832050294337843,0.55470019622523,1.20281431565106E-16)); #210150=DIRECTION('ref_axis',(0.55470019622523,0.832050294337843,0.)); #210151=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210152=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #210153=DIRECTION('center_axis',(0.554700196225229,-0.832050294337844,-1.80422147347659E-16)); #210154=DIRECTION('ref_axis',(0.832050294337844,0.554700196225229,1.23259516440783E-32)); #210155=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210156=DIRECTION('center_axis',(0.,1.,0.)); #210157=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210158=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210159=DIRECTION('center_axis',(0.,0.,-1.)); #210160=DIRECTION('ref_axis',(0.,-1.,0.)); #210161=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210162=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #210163=DIRECTION('center_axis',(0.,0.,-1.)); #210164=DIRECTION('ref_axis',(0.,-1.,0.)); #210165=DIRECTION('center_axis',(0.,1.,0.)); #210166=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #210167=DIRECTION('center_axis',(-0.832050294337843,0.55470019622523,1.20281431565106E-16)); #210168=DIRECTION('ref_axis',(0.55470019622523,0.832050294337843,0.)); #210169=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210170=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #210171=DIRECTION('center_axis',(0.554700196225229,-0.832050294337844,-1.80422147347659E-16)); #210172=DIRECTION('ref_axis',(0.832050294337844,0.554700196225229,1.23259516440783E-32)); #210173=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210174=DIRECTION('center_axis',(0.,1.,0.)); #210175=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210176=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210177=DIRECTION('center_axis',(2.77555756156289E-14,0.,-1.)); #210178=DIRECTION('ref_axis',(0.,-1.,0.)); #210179=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210180=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #210181=DIRECTION('center_axis',(0.,0.,-1.)); #210182=DIRECTION('ref_axis',(0.,-1.,0.)); #210183=DIRECTION('center_axis',(0.,1.,0.)); #210184=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #210185=DIRECTION('center_axis',(-0.832050294337843,0.55470019622523,1.20281431565106E-16)); #210186=DIRECTION('ref_axis',(0.55470019622523,0.832050294337843,0.)); #210187=DIRECTION('center_axis',(0.447213595499958,-0.894427190999916,0.)); #210188=DIRECTION('ref_axis',(0.894427190999916,0.447213595499958,0.)); #210189=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210190=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210191=DIRECTION('center_axis',(-0.894427190999916,0.447213595499958,0.)); #210192=DIRECTION('ref_axis',(0.447213595499958,0.894427190999916,0.)); #210193=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210194=DIRECTION('center_axis',(0.,1.,0.)); #210195=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210196=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210197=DIRECTION('center_axis',(0.,0.,-1.)); #210198=DIRECTION('ref_axis',(0.,1.,0.)); #210199=DIRECTION('center_axis',(0.447213595499958,-0.894427190999916,0.)); #210200=DIRECTION('ref_axis',(0.894427190999916,0.447213595499958,0.)); #210201=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210202=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,8.65956056235491E-17)); #210203=DIRECTION('center_axis',(0.,0.,-1.)); #210204=DIRECTION('ref_axis',(0.,1.,0.)); #210205=DIRECTION('center_axis',(0.,1.,0.)); #210206=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #210207=DIRECTION('center_axis',(0.,0.55470019622523,0.832050294337843)); #210208=DIRECTION('ref_axis',(0.,0.832050294337843,-0.55470019622523)); #210209=DIRECTION('center_axis',(0.,-0.894427190999916,-0.447213595499957)); #210210=DIRECTION('ref_axis',(0.,0.447213595499957,-0.894427190999916)); #210211=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210212=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #210213=DIRECTION('center_axis',(0.,0.447213595499958,0.894427190999916)); #210214=DIRECTION('ref_axis',(0.,0.894427190999916,-0.447213595499958)); #210215=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210216=DIRECTION('center_axis',(0.,1.,0.)); #210217=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210218=DIRECTION('',(-1.,0.,-1.91853618953808E-16)); #210219=DIRECTION('center_axis',(-1.,0.,0.)); #210220=DIRECTION('ref_axis',(0.,1.,0.)); #210221=DIRECTION('center_axis',(0.,-0.894427190999916,-0.447213595499957)); #210222=DIRECTION('ref_axis',(0.,0.447213595499957,-0.894427190999916)); #210223=DIRECTION('center_axis',(-1.,0.,-1.91853618953808E-16)); #210224=DIRECTION('ref_axis',(1.35660994957418E-16,0.707106781186547,-0.707106781186547)); #210225=DIRECTION('center_axis',(-1.,0.,0.)); #210226=DIRECTION('ref_axis',(0.,1.,0.)); #210227=DIRECTION('center_axis',(0.,1.,0.)); #210228=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #210229=DIRECTION('center_axis',(1.20281431565106E-16,0.55470019622523, 0.832050294337843)); #210230=DIRECTION('ref_axis',(0.,0.832050294337843,-0.55470019622523)); #210231=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210232=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #210233=DIRECTION('center_axis',(-1.80422147347659E-16,-0.832050294337844, -0.554700196225229)); #210234=DIRECTION('ref_axis',(1.23259516440783E-32,0.554700196225229,-0.832050294337844)); #210235=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210236=DIRECTION('center_axis',(0.,1.,0.)); #210237=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210238=DIRECTION('',(1.,0.,1.91853618953808E-16)); #210239=DIRECTION('center_axis',(-1.,0.,0.)); #210240=DIRECTION('ref_axis',(0.,-1.,0.)); #210241=DIRECTION('center_axis',(1.,0.,1.91853618953808E-16)); #210242=DIRECTION('ref_axis',(-1.35660994957418E-16,-0.707106781186547, 0.707106781186548)); #210243=DIRECTION('center_axis',(-1.,0.,0.)); #210244=DIRECTION('ref_axis',(0.,-1.,0.)); #210245=DIRECTION('center_axis',(0.,1.,0.)); #210246=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #210247=DIRECTION('center_axis',(0.,0.55470019622523,0.832050294337843)); #210248=DIRECTION('ref_axis',(0.,0.832050294337843,-0.55470019622523)); #210249=DIRECTION('center_axis',(0.,-0.894427190999916,-0.447213595499957)); #210250=DIRECTION('ref_axis',(0.,0.447213595499957,-0.894427190999916)); #210251=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210252=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #210253=DIRECTION('center_axis',(0.,0.447213595499958,0.894427190999916)); #210254=DIRECTION('ref_axis',(0.,0.894427190999916,-0.447213595499958)); #210255=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210256=DIRECTION('center_axis',(0.,1.,0.)); #210257=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210258=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210259=DIRECTION('center_axis',(-1.,0.,2.77555756156289E-14)); #210260=DIRECTION('ref_axis',(0.,1.,0.)); #210261=DIRECTION('center_axis',(0.,-0.894427190999916,-0.447213595499957)); #210262=DIRECTION('ref_axis',(0.,0.447213595499957,-0.894427190999916)); #210263=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210264=DIRECTION('ref_axis',(8.65956056235491E-17,0.707106781186547,-0.707106781186547)); #210265=DIRECTION('center_axis',(-1.,0.,0.)); #210266=DIRECTION('ref_axis',(0.,1.,0.)); #210267=DIRECTION('center_axis',(0.,1.,0.)); #210268=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #210269=DIRECTION('center_axis',(0.832050294337843,0.55470019622523,0.)); #210270=DIRECTION('ref_axis',(-0.55470019622523,0.832050294337843,0.)); #210271=DIRECTION('center_axis',(-0.447213595499957,-0.894427190999916, 0.)); #210272=DIRECTION('ref_axis',(-0.894427190999916,0.447213595499957,0.)); #210273=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210274=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #210275=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,0.)); #210276=DIRECTION('ref_axis',(-0.447213595499958,0.894427190999916,0.)); #210277=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210278=DIRECTION('center_axis',(0.,1.,0.)); #210279=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210280=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210281=DIRECTION('center_axis',(1.11022302462522E-13,0.,1.)); #210282=DIRECTION('ref_axis',(0.,1.,0.)); #210283=DIRECTION('center_axis',(-0.447213595499957,-0.894427190999916, 0.)); #210284=DIRECTION('ref_axis',(-0.894427190999916,0.447213595499957,0.)); #210285=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210286=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-8.65956056235491E-17)); #210287=DIRECTION('center_axis',(0.,0.,1.)); #210288=DIRECTION('ref_axis',(0.,1.,0.)); #210289=DIRECTION('center_axis',(0.,1.,0.)); #210290=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #210291=DIRECTION('center_axis',(0.832050294337843,0.55470019622523,0.)); #210292=DIRECTION('ref_axis',(-0.55470019622523,0.832050294337843,0.)); #210293=DIRECTION('center_axis',(-0.447213595499957,-0.894427190999916, 0.)); #210294=DIRECTION('ref_axis',(-0.894427190999916,0.447213595499957,0.)); #210295=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210296=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #210297=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,0.)); #210298=DIRECTION('ref_axis',(-0.447213595499958,0.894427190999916,0.)); #210299=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210300=DIRECTION('center_axis',(0.,1.,0.)); #210301=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210302=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210303=DIRECTION('center_axis',(0.,0.,1.)); #210304=DIRECTION('ref_axis',(0.,1.,0.)); #210305=DIRECTION('center_axis',(-0.447213595499957,-0.894427190999916, 0.)); #210306=DIRECTION('ref_axis',(-0.894427190999916,0.447213595499957,0.)); #210307=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210308=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-8.65956056235491E-17)); #210309=DIRECTION('center_axis',(0.,0.,1.)); #210310=DIRECTION('ref_axis',(0.,1.,0.)); #210311=DIRECTION('center_axis',(0.,1.,0.)); #210312=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #210313=DIRECTION('center_axis',(0.832050294337843,0.55470019622523,0.)); #210314=DIRECTION('ref_axis',(-0.55470019622523,0.832050294337843,0.)); #210315=DIRECTION('center_axis',(-0.447213595499957,-0.894427190999916, 0.)); #210316=DIRECTION('ref_axis',(-0.894427190999916,0.447213595499957,0.)); #210317=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210318=DIRECTION('ref_axis',(8.65956056235492E-17,0.707106781186547,-0.707106781186548)); #210319=DIRECTION('center_axis',(0.894427190999916,0.447213595499958,0.)); #210320=DIRECTION('ref_axis',(-0.447213595499958,0.894427190999916,0.)); #210321=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210322=DIRECTION('center_axis',(0.,1.,0.)); #210323=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210324=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210325=DIRECTION('center_axis',(0.,0.,1.)); #210326=DIRECTION('ref_axis',(0.,1.,0.)); #210327=DIRECTION('center_axis',(-0.447213595499957,-0.894427190999916, 0.)); #210328=DIRECTION('ref_axis',(-0.894427190999916,0.447213595499957,0.)); #210329=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210330=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,-8.65956056235491E-17)); #210331=DIRECTION('center_axis',(0.,0.,1.)); #210332=DIRECTION('ref_axis',(0.,1.,0.)); #210333=DIRECTION('center_axis',(0.,1.,0.)); #210334=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #210335=DIRECTION('center_axis',(0.,-0.894427190999916,0.447213595499958)); #210336=DIRECTION('ref_axis',(0.,0.447213595499958,0.894427190999916)); #210337=DIRECTION('center_axis',(0.,0.554700196225229,-0.832050294337844)); #210338=DIRECTION('ref_axis',(0.,0.832050294337844,0.554700196225229)); #210339=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210340=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #210341=DIRECTION('center_axis',(1.80422147347659E-16,-0.832050294337844, 0.554700196225229)); #210342=DIRECTION('ref_axis',(-1.23259516440783E-32,0.554700196225229,0.832050294337844)); #210343=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210344=DIRECTION('center_axis',(0.,1.,0.)); #210345=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210346=DIRECTION('',(-1.,0.,-1.23268770453989E-15)); #210347=DIRECTION('center_axis',(1.,0.,0.)); #210348=DIRECTION('ref_axis',(0.,-1.,0.)); #210349=DIRECTION('center_axis',(0.,0.55470019622523,-0.832050294337843)); #210350=DIRECTION('ref_axis',(0.,0.832050294337843,0.55470019622523)); #210351=DIRECTION('center_axis',(-1.,0.,-1.23268770453989E-15)); #210352=DIRECTION('ref_axis',(8.71641834965436E-16,-0.707106781186547,-0.707106781186548)); #210353=DIRECTION('center_axis',(1.,0.,0.)); #210354=DIRECTION('ref_axis',(0.,-1.,0.)); #210355=DIRECTION('center_axis',(0.,1.,0.)); #210356=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #210357=DIRECTION('center_axis',(0.,-0.894427190999916,0.447213595499958)); #210358=DIRECTION('ref_axis',(0.,0.447213595499958,0.894427190999916)); #210359=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210360=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #210361=DIRECTION('center_axis',(0.,0.447213595499958,-0.894427190999916)); #210362=DIRECTION('ref_axis',(0.,0.894427190999916,0.447213595499958)); #210363=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210364=DIRECTION('center_axis',(0.,1.,0.)); #210365=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210366=DIRECTION('',(1.,0.,1.23268770453989E-15)); #210367=DIRECTION('center_axis',(1.,0.,0.)); #210368=DIRECTION('ref_axis',(0.,1.,-3.46944695195361E-15)); #210369=DIRECTION('center_axis',(1.,0.,1.23268770453989E-15)); #210370=DIRECTION('ref_axis',(-8.71641834965435E-16,0.707106781186547,0.707106781186547)); #210371=DIRECTION('center_axis',(1.,0.,0.)); #210372=DIRECTION('ref_axis',(0.,1.,0.)); #210373=DIRECTION('center_axis',(0.,1.,0.)); #210374=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #210375=DIRECTION('center_axis',(0.832050294337843,0.55470019622523,-1.20281431565106E-16)); #210376=DIRECTION('ref_axis',(-0.55470019622523,0.832050294337843,0.)); #210377=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210378=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210379=DIRECTION('center_axis',(-0.554700196225229,-0.832050294337844, 1.80422147347659E-16)); #210380=DIRECTION('ref_axis',(-0.832050294337844,0.554700196225229,-1.23259516440783E-32)); #210381=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210382=DIRECTION('center_axis',(0.,1.,0.)); #210383=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210384=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210385=DIRECTION('center_axis',(0.,0.,1.)); #210386=DIRECTION('ref_axis',(0.,-1.,0.)); #210387=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210388=DIRECTION('ref_axis',(0.707106781186587,-0.707106781186508,8.65956056235539E-17)); #210389=DIRECTION('center_axis',(0.,0.,1.)); #210390=DIRECTION('ref_axis',(0.,-1.,0.)); #210391=DIRECTION('center_axis',(0.,1.,0.)); #210392=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #210393=DIRECTION('center_axis',(0.832050294337843,0.55470019622523,-1.20281431565106E-16)); #210394=DIRECTION('ref_axis',(-0.55470019622523,0.832050294337843,0.)); #210395=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210396=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210397=DIRECTION('center_axis',(-0.554700196225229,-0.832050294337844, 1.80422147347656E-16)); #210398=DIRECTION('ref_axis',(-0.832050294337844,0.554700196225229,0.)); #210399=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210400=DIRECTION('center_axis',(0.,1.,0.)); #210401=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210402=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210403=DIRECTION('center_axis',(0.,0.,1.)); #210404=DIRECTION('ref_axis',(0.,-1.,0.)); #210405=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210406=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #210407=DIRECTION('center_axis',(-2.77555756156289E-14,0.,1.)); #210408=DIRECTION('ref_axis',(0.,-1.,0.)); #210409=DIRECTION('center_axis',(0.,1.,0.)); #210410=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #210411=DIRECTION('center_axis',(0.832050294337843,0.55470019622523,-1.20281431565106E-16)); #210412=DIRECTION('ref_axis',(-0.55470019622523,0.832050294337843,0.)); #210413=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210414=DIRECTION('ref_axis',(-8.65956056235492E-17,0.707106781186547,0.707106781186548)); #210415=DIRECTION('center_axis',(-0.554700196225229,-0.832050294337844, 1.80422147347654E-16)); #210416=DIRECTION('ref_axis',(-0.832050294337844,0.554700196225229,0.)); #210417=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210418=DIRECTION('center_axis',(0.,1.,0.)); #210419=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210420=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210421=DIRECTION('center_axis',(0.,0.,1.)); #210422=DIRECTION('ref_axis',(0.,-1.,0.)); #210423=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210424=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,8.65956056235492E-17)); #210425=DIRECTION('center_axis',(-5.55111512312578E-14,-5.5511151231258E-14, 1.)); #210426=DIRECTION('ref_axis',(0.,-1.,-5.5511151231258E-14)); #210427=DIRECTION('center_axis',(0.,1.,0.)); #210428=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547)); #210429=DIRECTION('center_axis',(1.20281431565106E-16,0.55470019622523, 0.832050294337843)); #210430=DIRECTION('ref_axis',(0.,0.832050294337843,-0.55470019622523)); #210431=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210432=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #210433=DIRECTION('center_axis',(-1.80422147347656E-16,-0.832050294337844, -0.554700196225229)); #210434=DIRECTION('ref_axis',(0.,0.554700196225229,-0.832050294337844)); #210435=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210436=DIRECTION('center_axis',(0.,1.,0.)); #210437=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210438=DIRECTION('',(1.,0.,1.22464679914735E-16)); #210439=DIRECTION('center_axis',(-1.,0.,0.)); #210440=DIRECTION('ref_axis',(0.,-1.,0.)); #210441=DIRECTION('center_axis',(1.,0.,1.22464679914735E-16)); #210442=DIRECTION('ref_axis',(-8.65956056235492E-17,-0.707106781186547, 0.707106781186548)); #210443=DIRECTION('center_axis',(-1.,0.,-2.77555756156289E-14)); #210444=DIRECTION('ref_axis',(0.,-1.,0.)); #210445=DIRECTION('center_axis',(0.,1.,0.)); #210446=DIRECTION('ref_axis',(0.707106781186547,0.,-0.707106781186547)); #210447=DIRECTION('center_axis',(-0.832050294337843,0.55470019622523,1.20281431565106E-16)); #210448=DIRECTION('ref_axis',(0.55470019622523,0.832050294337843,0.)); #210449=DIRECTION('center_axis',(-1.,0.,-1.22464679914735E-16)); #210450=DIRECTION('ref_axis',(8.65956056235515E-17,0.707106781186528,-0.707106781186567)); #210451=DIRECTION('center_axis',(0.554700196225229,-0.832050294337844,-1.80422147347654E-16)); #210452=DIRECTION('ref_axis',(0.832050294337844,0.554700196225229,0.)); #210453=DIRECTION('',(-1.,0.,-1.22464679914735E-16)); #210454=DIRECTION('center_axis',(0.,1.,0.)); #210455=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210456=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210457=DIRECTION('center_axis',(5.55111512312578E-14,0.,-1.)); #210458=DIRECTION('ref_axis',(0.,-1.,0.)); #210459=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210460=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-8.65956056235492E-17)); #210461=DIRECTION('center_axis',(5.5511151231258E-14,0.,-1.)); #210462=DIRECTION('ref_axis',(0.,-1.,0.)); #210463=DIRECTION('center_axis',(0.,1.,0.)); #210464=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547)); #210465=DIRECTION('center_axis',(0.,0.554700196225229,-0.832050294337844)); #210466=DIRECTION('ref_axis',(0.,0.832050294337844,0.554700196225229)); #210467=DIRECTION('center_axis',(0.,-0.894427190999916,0.447213595499958)); #210468=DIRECTION('ref_axis',(0.,0.447213595499958,0.894427190999916)); #210469=DIRECTION('center_axis',(-1.22464679914735E-16,0.,1.)); #210470=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,-8.65956056235492E-17)); #210471=DIRECTION('center_axis',(0.,0.447213595499958,-0.894427190999916)); #210472=DIRECTION('ref_axis',(0.,0.894427190999916,0.447213595499958)); #210473=DIRECTION('',(-1.22464679914735E-16,0.,1.)); #210474=DIRECTION('center_axis',(0.,1.,0.)); #210475=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210476=DIRECTION('',(1.,0.,2.34291072916505E-15)); #210477=DIRECTION('center_axis',(1.,0.,0.)); #210478=DIRECTION('ref_axis',(0.,1.,0.)); #210479=DIRECTION('center_axis',(0.,-0.894427190999916,0.447213595499958)); #210480=DIRECTION('ref_axis',(0.,0.447213595499958,0.894427190999916)); #210481=DIRECTION('center_axis',(1.,0.,2.34291072916505E-15)); #210482=DIRECTION('ref_axis',(-1.65668806430733E-15,0.707106781186547,0.707106781186547)); #210483=DIRECTION('center_axis',(1.,0.,0.)); #210484=DIRECTION('ref_axis',(0.,1.,0.)); #210485=DIRECTION('center_axis',(0.,1.,0.)); #210486=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547)); #210487=DIRECTION('center_axis',(-1.20281431565106E-16,0.55470019622523, -0.832050294337843)); #210488=DIRECTION('ref_axis',(0.,0.832050294337843,0.55470019622523)); #210489=DIRECTION('center_axis',(1.22464679914735E-16,0.,-1.)); #210490=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,8.65956056235492E-17)); #210491=DIRECTION('center_axis',(1.80422147347659E-16,-0.832050294337844, 0.554700196225229)); #210492=DIRECTION('ref_axis',(-1.23259516440783E-32,0.554700196225229,0.832050294337844)); #210493=DIRECTION('',(1.22464679914735E-16,0.,-1.)); #210494=DIRECTION('center_axis',(0.,1.,0.)); #210495=DIRECTION('ref_axis',(1.22464679914735E-16,0.,-1.)); #210496=DIRECTION('',(-1.,0.,-2.34291072916505E-15)); #210497=DIRECTION('center_axis',(1.,0.,0.)); #210498=DIRECTION('ref_axis',(0.,-1.,0.)); #210499=DIRECTION('center_axis',(-1.,0.,-2.34291072916505E-15)); #210500=DIRECTION('ref_axis',(1.65668806430733E-15,-0.707106781186547,-0.707106781186548)); #210501=DIRECTION('center_axis',(1.,0.,0.)); #210502=DIRECTION('ref_axis',(0.,-1.,0.)); #210503=DIRECTION('axis',(0.,0.,1.)); #210504=DIRECTION('refdir',(1.,0.,0.)); #210505=DIRECTION('center_axis',(0.,0.,-1.)); #210506=DIRECTION('ref_axis',(-1.,0.,0.)); #210507=DIRECTION('',(0.,0.,1.)); #210508=DIRECTION('center_axis',(0.,0.,-1.)); #210509=DIRECTION('ref_axis',(-1.,0.,0.)); #210510=DIRECTION('center_axis',(0.,0.,-1.)); #210511=DIRECTION('ref_axis',(-1.,0.,0.)); #210512=DIRECTION('',(0.,0.,1.)); #210513=DIRECTION('center_axis',(0.,0.,-1.)); #210514=DIRECTION('ref_axis',(-1.,0.,0.)); #210515=DIRECTION('',(0.,0.,1.)); #210516=DIRECTION('center_axis',(0.,0.,-1.)); #210517=DIRECTION('ref_axis',(-1.,0.,0.)); #210518=DIRECTION('',(0.,0.,1.)); #210519=DIRECTION('center_axis',(0.,0.,-1.)); #210520=DIRECTION('ref_axis',(-1.,0.,0.)); #210521=DIRECTION('',(0.,0.,1.)); #210522=DIRECTION('center_axis',(0.,0.,-1.)); #210523=DIRECTION('ref_axis',(-1.,0.,0.)); #210524=DIRECTION('',(0.,0.,1.)); #210525=DIRECTION('center_axis',(0.,0.,-1.)); #210526=DIRECTION('ref_axis',(-1.,0.,0.)); #210527=DIRECTION('',(0.,0.,1.)); #210528=DIRECTION('center_axis',(0.,0.,-1.)); #210529=DIRECTION('ref_axis',(-1.,0.,0.)); #210530=DIRECTION('',(0.,0.,1.)); #210531=DIRECTION('center_axis',(0.,0.,-1.)); #210532=DIRECTION('ref_axis',(-1.,0.,0.)); #210533=DIRECTION('',(0.,0.,1.)); #210534=DIRECTION('center_axis',(0.,0.,-1.)); #210535=DIRECTION('ref_axis',(-1.,0.,0.)); #210536=DIRECTION('',(0.,0.,1.)); #210537=DIRECTION('center_axis',(0.,0.,-1.)); #210538=DIRECTION('ref_axis',(-1.,0.,0.)); #210539=DIRECTION('',(0.,0.,1.)); #210540=DIRECTION('center_axis',(0.,0.,-1.)); #210541=DIRECTION('ref_axis',(-1.,0.,0.)); #210542=DIRECTION('',(0.,0.,1.)); #210543=DIRECTION('center_axis',(0.,0.,-1.)); #210544=DIRECTION('ref_axis',(-1.,0.,0.)); #210545=DIRECTION('',(0.,0.,1.)); #210546=DIRECTION('center_axis',(0.,0.,-1.)); #210547=DIRECTION('ref_axis',(-1.,0.,0.)); #210548=DIRECTION('',(0.,0.,1.)); #210549=DIRECTION('center_axis',(0.,0.,-1.)); #210550=DIRECTION('ref_axis',(-1.,0.,0.)); #210551=DIRECTION('',(0.,0.,1.)); #210552=DIRECTION('center_axis',(0.,0.,-1.)); #210553=DIRECTION('ref_axis',(-1.,0.,0.)); #210554=DIRECTION('',(0.,0.,1.)); #210555=DIRECTION('center_axis',(0.,0.,-1.)); #210556=DIRECTION('ref_axis',(-1.,0.,0.)); #210557=DIRECTION('',(0.,0.,1.)); #210558=DIRECTION('center_axis',(0.,0.,-1.)); #210559=DIRECTION('ref_axis',(-1.,0.,0.)); #210560=DIRECTION('',(0.,0.,1.)); #210561=DIRECTION('center_axis',(0.,0.,-1.)); #210562=DIRECTION('ref_axis',(-0.513501794403398,-0.858088519410725,0.)); #210563=DIRECTION('',(0.,0.,-1.)); #210564=DIRECTION('center_axis',(0.,0.,-1.)); #210565=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210566=DIRECTION('center_axis',(0.,0.,-1.)); #210567=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210568=DIRECTION('',(0.,0.,-1.)); #210569=DIRECTION('center_axis',(0.,0.,-1.)); #210570=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210571=DIRECTION('',(0.,0.,-1.)); #210572=DIRECTION('center_axis',(0.,0.,-1.)); #210573=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210574=DIRECTION('',(0.,0.,-1.)); #210575=DIRECTION('center_axis',(0.,0.,-1.)); #210576=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210577=DIRECTION('',(0.,0.,-1.)); #210578=DIRECTION('center_axis',(0.,0.,-1.)); #210579=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210580=DIRECTION('',(0.,0.,-1.)); #210581=DIRECTION('center_axis',(0.,0.,-1.)); #210582=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210583=DIRECTION('',(0.,0.,-1.)); #210584=DIRECTION('center_axis',(0.,0.,-1.)); #210585=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210586=DIRECTION('',(0.,0.,-1.)); #210587=DIRECTION('center_axis',(0.,0.,-1.)); #210588=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210589=DIRECTION('',(0.,0.,-1.)); #210590=DIRECTION('center_axis',(0.,0.,-1.)); #210591=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210592=DIRECTION('',(0.,0.,-1.)); #210593=DIRECTION('center_axis',(0.,0.,-1.)); #210594=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210595=DIRECTION('',(0.,0.,-1.)); #210596=DIRECTION('center_axis',(0.,0.,-1.)); #210597=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210598=DIRECTION('',(0.,0.,-1.)); #210599=DIRECTION('center_axis',(0.,0.,-1.)); #210600=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210601=DIRECTION('',(0.,0.,-1.)); #210602=DIRECTION('center_axis',(0.,0.,-1.)); #210603=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210604=DIRECTION('',(0.,0.,-1.)); #210605=DIRECTION('center_axis',(0.,0.,-1.)); #210606=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210607=DIRECTION('',(0.,0.,-1.)); #210608=DIRECTION('center_axis',(0.,0.,-1.)); #210609=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210610=DIRECTION('',(0.,0.,-1.)); #210611=DIRECTION('center_axis',(0.,0.,-1.)); #210612=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210613=DIRECTION('',(0.,0.,-1.)); #210614=DIRECTION('center_axis',(0.,0.,1.)); #210615=DIRECTION('ref_axis',(1.,0.,0.)); #210616=DIRECTION('center_axis',(0.,0.,1.)); #210617=DIRECTION('ref_axis',(1.,0.,0.)); #210618=DIRECTION('center_axis',(0.,0.,1.)); #210619=DIRECTION('ref_axis',(1.,0.,0.)); #210620=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #210621=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #210622=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547, 0.)); #210623=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,0.)); #210624=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #210625=DIRECTION('',(0.,0.,-1.)); #210626=DIRECTION('',(0.,0.,1.)); #210627=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #210628=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.)); #210629=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #210630=DIRECTION('',(0.,0.,-1.)); #210631=DIRECTION('center_axis',(0.,0.,1.)); #210632=DIRECTION('ref_axis',(6.12323399573677E-17,-1.,0.)); #210633=DIRECTION('center_axis',(0.,0.,1.)); #210634=DIRECTION('ref_axis',(1.,0.,0.)); #210635=DIRECTION('center_axis',(0.,0.,1.)); #210636=DIRECTION('ref_axis',(1.,0.,0.)); #210637=DIRECTION('center_axis',(0.,0.,-1.)); #210638=DIRECTION('ref_axis',(-1.,0.,0.)); #210639=DIRECTION('center_axis',(0.,0.,-1.)); #210640=DIRECTION('ref_axis',(-1.,0.,0.)); #210641=DIRECTION('center_axis',(0.,0.,1.)); #210642=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210643=DIRECTION('center_axis',(0.,0.,-1.)); #210644=DIRECTION('ref_axis',(-1.,1.20843387858887E-13,0.)); #210645=DIRECTION('center_axis',(0.,0.,1.)); #210646=DIRECTION('ref_axis',(1.,0.,0.)); #210647=DIRECTION('center_axis',(-3.24240560878523E-16,1.,0.)); #210648=DIRECTION('ref_axis',(0.,0.,1.)); #210649=DIRECTION('',(0.,0.,-1.)); #210650=DIRECTION('',(1.,3.83765942626386E-16,0.)); #210651=DIRECTION('',(0.,0.,1.)); #210652=DIRECTION('',(-1.,-3.83765942626386E-16,0.)); #210653=DIRECTION('center_axis',(-0.866025403784439,0.5,0.)); #210654=DIRECTION('ref_axis',(0.,0.,1.)); #210655=DIRECTION('',(0.,0.,-1.)); #210656=DIRECTION('',(0.5,0.866025403784439,0.)); #210657=DIRECTION('',(0.,0.,1.)); #210658=DIRECTION('',(-0.5,-0.866025403784439,0.)); #210659=DIRECTION('center_axis',(-0.866025403784438,-0.5,0.)); #210660=DIRECTION('ref_axis',(0.,0.,1.)); #210661=DIRECTION('',(0.,0.,-1.)); #210662=DIRECTION('',(-0.5,0.866025403784438,0.)); #210663=DIRECTION('',(0.,0.,1.)); #210664=DIRECTION('',(0.5,-0.866025403784438,0.)); #210665=DIRECTION('center_axis',(4.32320747838032E-16,-1.,0.)); #210666=DIRECTION('ref_axis',(0.,0.,-1.)); #210667=DIRECTION('',(0.,0.,-1.)); #210668=DIRECTION('',(-1.,-3.83765942626387E-16,0.)); #210669=DIRECTION('',(0.,0.,1.)); #210670=DIRECTION('',(1.,3.83765942626387E-16,0.)); #210671=DIRECTION('center_axis',(0.866025403784439,-0.5,0.)); #210672=DIRECTION('ref_axis',(0.,0.,-1.)); #210673=DIRECTION('',(0.5,0.866025403784439,0.)); #210674=DIRECTION('',(0.,0.,-1.)); #210675=DIRECTION('',(-0.5,-0.866025403784439,0.)); #210676=DIRECTION('',(0.,0.,1.)); #210677=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #210678=DIRECTION('ref_axis',(0.,0.,-1.)); #210679=DIRECTION('',(0.5,-0.866025403784438,0.)); #210680=DIRECTION('',(0.,0.,1.)); #210681=DIRECTION('',(-0.5,0.866025403784438,0.)); #210682=DIRECTION('',(0.,0.,-1.)); #210683=DIRECTION('center_axis',(0.,0.,1.)); #210684=DIRECTION('ref_axis',(1.,0.,0.)); #210685=DIRECTION('',(0.5,-0.866025403784439,0.)); #210686=DIRECTION('',(1.,4.54243154263834E-16,0.)); #210687=DIRECTION('',(0.5,0.866025403784439,0.)); #210688=DIRECTION('',(-0.5,0.866025403784438,0.)); #210689=DIRECTION('',(-1.,-4.54243154263834E-16,0.)); #210690=DIRECTION('',(-0.5,-0.866025403784439,0.)); #210691=DIRECTION('center_axis',(0.,0.,-1.)); #210692=DIRECTION('ref_axis',(-1.,0.,0.)); #210693=DIRECTION('center_axis',(0.,0.,1.)); #210694=DIRECTION('ref_axis',(1.,0.,0.)); #210695=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #210696=DIRECTION('',(-1.,-3.02828769509223E-16,0.)); #210697=DIRECTION('',(-0.5,0.866025403784438,0.)); #210698=DIRECTION('',(0.5,0.866025403784439,0.)); #210699=DIRECTION('',(1.,4.54243154263834E-16,0.)); #210700=DIRECTION('',(0.5,-0.866025403784438,0.)); #210701=DIRECTION('center_axis',(0.,0.,-1.)); #210702=DIRECTION('ref_axis',(-1.,0.,0.)); #210703=DIRECTION('center_axis',(0.,0.,-1.)); #210704=DIRECTION('ref_axis',(-1.,0.,0.)); #210705=DIRECTION('center_axis',(0.,0.,-1.)); #210706=DIRECTION('ref_axis',(-1.,0.,0.)); #210707=DIRECTION('',(0.,0.,-1.)); #210708=DIRECTION('center_axis',(0.,0.,-1.)); #210709=DIRECTION('ref_axis',(-1.,0.,0.)); #210710=DIRECTION('center_axis',(0.,0.,-1.)); #210711=DIRECTION('ref_axis',(0.89877425336744,-0.438411725988027,0.)); #210712=DIRECTION('center_axis',(0.,0.,-1.)); #210713=DIRECTION('ref_axis',(-1.,0.,0.)); #210714=DIRECTION('',(-0.707106781186547,8.65956056235493E-17,0.707106781186548)); #210715=DIRECTION('center_axis',(0.,0.,1.)); #210716=DIRECTION('ref_axis',(1.,0.,0.)); #210717=DIRECTION('center_axis',(0.,0.,-1.)); #210718=DIRECTION('ref_axis',(-1.,0.,0.)); #210719=DIRECTION('',(0.,0.,1.)); #210720=DIRECTION('center_axis',(0.707106781186546,-0.707106781186549,0.)); #210721=DIRECTION('ref_axis',(0.,0.,-1.)); #210722=DIRECTION('',(0.707106781186549,0.707106781186546,0.)); #210723=DIRECTION('',(-0.707106781186549,-0.707106781186547,4.49311927871422E-16)); #210724=DIRECTION('center_axis',(-0.654653670707977,0.654653670707979,0.377964473009225)); #210725=DIRECTION('ref_axis',(0.499999999999998,0.,0.86602540378444)); #210726=DIRECTION('',(0.28634432366498,0.677497944020809,-0.677497944020809)); #210727=DIRECTION('',(0.754020784914541,0.530011547174742,0.387995381130106)); #210728=DIRECTION('center_axis',(-0.654653670707976,0.654653670707977,-0.37796447300923)); #210729=DIRECTION('ref_axis',(-0.500000000000003,0.,0.866025403784437)); #210730=DIRECTION('',(0.754020784914542,0.530011547174739,-0.387995381130107)); #210731=DIRECTION('',(0.286344323664976,0.67749794402081,0.677497944020809)); #210732=DIRECTION('center_axis',(-0.612372435695796,0.353553390593274,0.707106781186546)); #210733=DIRECTION('ref_axis',(0.755928946018453,0.,0.654653670707979)); #210734=DIRECTION('',(-0.443558268701257,0.58673043053375,-0.67749794402081)); #210735=DIRECTION('center_axis',(-3.05696932443912E-16,0.707106781186548, 0.707106781186547)); #210736=DIRECTION('ref_axis',(1.,0.,4.32320747838032E-16)); #210737=DIRECTION('',(0.836013856609693,-0.387995381130102,0.387995381130103)); #210738=DIRECTION('center_axis',(-0.258819045102521,-0.965925826289068, 0.)); #210739=DIRECTION('ref_axis',(0.,0.,-1.)); #210740=DIRECTION('',(-0.965925826289068,0.258819045102521,-1.38249823960437E-16)); #210741=DIRECTION('',(0.965925826289068,-0.258819045102522,2.76499647920874E-16)); #210742=DIRECTION('center_axis',(3.05696932443912E-16,-0.707106781186548, 0.707106781186548)); #210743=DIRECTION('ref_axis',(1.,0.,-4.32320747838032E-16)); #210744=DIRECTION('',(0.836013856609692,-0.387995381130104,-0.387995381130105)); #210745=DIRECTION('center_axis',(0.612372435695795,-0.353553390593274,0.707106781186547)); #210746=DIRECTION('ref_axis',(0.755928946018454,0.,-0.654653670707978)); #210747=DIRECTION('',(-0.443558268701259,0.586730430533748,0.67749794402081)); #210748=DIRECTION('center_axis',(0.965925826289069,0.258819045102519,0.)); #210749=DIRECTION('ref_axis',(0.,0.,-1.)); #210750=DIRECTION('',(-0.258819045102518,0.965925826289069,-2.76499647920874E-16)); #210751=DIRECTION('',(0.258819045102518,-0.965925826289069,-2.76499647920874E-16)); #210752=DIRECTION('center_axis',(-0.894273544867831,-0.23961987415985,0.377964473009225)); #210753=DIRECTION('ref_axis',(0.389306214312515,0.,0.921108392914568)); #210754=DIRECTION('',(-0.0819930716951516,0.918006928304846,0.387995381130105)); #210755=DIRECTION('center_axis',(0.239619874159853,0.89427354486783,0.377964473009225)); #210756=DIRECTION('ref_axis',(0.,-0.389306214312516,0.921108392914567)); #210757=DIRECTION('',(0.729902592366237,0.0907675134870588,-0.677497944020809)); #210758=DIRECTION('center_axis',(0.239619874159853,0.894273544867829,-0.377964473009229)); #210759=DIRECTION('ref_axis',(0.,0.38930621431252,0.921108392914566)); #210760=DIRECTION('',(0.729902592366236,0.0907675134870621,0.677497944020809)); #210761=DIRECTION('center_axis',(-0.89427354486783,-0.23961987415985,-0.377964473009228)); #210762=DIRECTION('ref_axis',(-0.389306214312519,0.,0.921108392914566)); #210763=DIRECTION('',(-0.0819930716951487,0.918006928304846,-0.387995381130106)); #210764=DIRECTION('center_axis',(-0.612372435695795,-0.353553390593274, 0.707106781186547)); #210765=DIRECTION('ref_axis',(0.755928946018454,0.,0.654653670707978)); #210766=DIRECTION('',(-0.729902592366236,-0.09076751348706,-0.67749794402081)); #210767=DIRECTION('center_axis',(0.612372435695795,0.353553390593274,0.707106781186547)); #210768=DIRECTION('ref_axis',(0.755928946018454,0.,-0.654653670707978)); #210769=DIRECTION('',(0.0819930716951473,-0.918006928304845,0.387995381130107)); #210770=DIRECTION('center_axis',(-0.965925826289069,-0.258819045102519, 0.)); #210771=DIRECTION('ref_axis',(0.,0.,1.)); #210772=DIRECTION('',(-0.258819045102519,0.965925826289069,0.)); #210773=DIRECTION('',(0.258819045102519,-0.965925826289069,-2.76499647920877E-16)); #210774=DIRECTION('center_axis',(-0.612372435695795,-0.353553390593274, 0.707106781186547)); #210775=DIRECTION('ref_axis',(0.755928946018454,0.,0.654653670707977)); #210776=DIRECTION('',(0.0819930716951444,-0.918006928304845,-0.387995381130109)); #210777=DIRECTION('center_axis',(0.612372435695794,0.353553390593274,0.707106781186548)); #210778=DIRECTION('ref_axis',(0.755928946018455,0.,-0.654653670707977)); #210779=DIRECTION('',(-0.729902592366235,-0.0907675134870658,0.67749794402081)); #210780=DIRECTION('center_axis',(0.258819045102521,0.965925826289068,0.)); #210781=DIRECTION('ref_axis',(0.,0.,1.)); #210782=DIRECTION('',(-0.965925826289068,0.258819045102521,5.52999295841751E-16)); #210783=DIRECTION('',(0.965925826289068,-0.258819045102521,0.)); #210784=DIRECTION('center_axis',(-0.239619874159852,-0.89427354486783,0.377964473009227)); #210785=DIRECTION('ref_axis',(0.,-0.389306214312518,-0.921108392914567)); #210786=DIRECTION('',(-0.836013856609693,0.387995381130102,0.387995381130104)); #210787=DIRECTION('center_axis',(0.894273544867829,0.23961987415985,0.37796447300923)); #210788=DIRECTION('ref_axis',(0.389306214312521,0.,-0.921108392914565)); #210789=DIRECTION('',(0.443558268701261,-0.586730430533746,-0.67749794402081)); #210790=DIRECTION('center_axis',(0.894273544867827,0.23961987415985,-0.377964473009234)); #210791=DIRECTION('ref_axis',(-0.389306214312525,0.,-0.921108392914564)); #210792=DIRECTION('',(0.443558268701264,-0.586730430533744,0.67749794402081)); #210793=DIRECTION('center_axis',(-0.239619874159852,-0.894273544867828, -0.377964473009232)); #210794=DIRECTION('ref_axis',(0.,0.389306214312523,-0.921108392914565)); #210795=DIRECTION('',(-0.83601385660969,0.387995381130106,-0.387995381130106)); #210796=DIRECTION('center_axis',(2.29272699332934E-16,-0.707106781186549, 0.707106781186546)); #210797=DIRECTION('ref_axis',(1.,0.,-3.24240560878525E-16)); #210798=DIRECTION('',(-0.28634432366498,-0.677497944020807,-0.67749794402081)); #210799=DIRECTION('center_axis',(0.612372435695795,-0.353553390593274,0.707106781186547)); #210800=DIRECTION('ref_axis',(0.755928946018454,0.,-0.654653670707978)); #210801=DIRECTION('',(-0.75402078491454,-0.530011547174744,0.387995381130104)); #210802=DIRECTION('center_axis',(-0.612372435695795,0.353553390593273,0.707106781186548)); #210803=DIRECTION('ref_axis',(0.755928946018455,0.,0.654653670707977)); #210804=DIRECTION('',(-0.754020784914542,-0.53001154717474,-0.387995381130106)); #210805=DIRECTION('center_axis',(-2.29272699332934E-16,0.707106781186548, 0.707106781186547)); #210806=DIRECTION('ref_axis',(1.,0.,3.24240560878524E-16)); #210807=DIRECTION('',(-0.286344323664975,-0.677497944020809,0.67749794402081)); #210808=DIRECTION('center_axis',(0.654653670707977,-0.654653670707979,0.377964473009224)); #210809=DIRECTION('ref_axis',(0.499999999999997,0.,-0.86602540378444)); #210810=DIRECTION('',(-0.707106781186549,-0.707106781186546,-2.76499647920875E-16)); #210811=DIRECTION('center_axis',(0.654653670707975,-0.654653670707977,-0.377964473009231)); #210812=DIRECTION('ref_axis',(-0.500000000000004,0.,-0.866025403784436)); #210813=DIRECTION('',(0.707106781186549,0.707106781186547,-4.8387438386153E-16)); #210814=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #210815=DIRECTION('ref_axis',(0.,0.,1.)); #210816=DIRECTION('center_axis',(0.,0.,1.)); #210817=DIRECTION('ref_axis',(1.,0.,0.)); #210818=DIRECTION('center_axis',(0.,0.,1.)); #210819=DIRECTION('ref_axis',(1.,0.,0.)); #210820=DIRECTION('center_axis',(0.,-1.,0.)); #210821=DIRECTION('ref_axis',(-1.,0.,0.)); #210822=DIRECTION('center_axis',(0.,0.,1.)); #210823=DIRECTION('ref_axis',(1.,0.,0.)); #210824=DIRECTION('',(0.,0.,-1.)); #210825=DIRECTION('center_axis',(0.,0.,1.)); #210826=DIRECTION('ref_axis',(1.,0.,0.)); #210827=DIRECTION('center_axis',(0.,0.,1.)); #210828=DIRECTION('ref_axis',(1.,0.,0.)); #210829=DIRECTION('center_axis',(0.,-1.,0.)); #210830=DIRECTION('ref_axis',(-1.,0.,0.)); #210831=DIRECTION('center_axis',(0.,0.,1.)); #210832=DIRECTION('ref_axis',(1.,0.,0.)); #210833=DIRECTION('center_axis',(0.,0.,1.)); #210834=DIRECTION('ref_axis',(-1.,0.,0.)); #210835=DIRECTION('center_axis',(0.,-1.,0.)); #210836=DIRECTION('ref_axis',(-1.,0.,0.)); #210837=DIRECTION('center_axis',(0.,0.,1.)); #210838=DIRECTION('ref_axis',(-1.,0.,0.)); #210839=DIRECTION('center_axis',(0.,0.,1.)); #210840=DIRECTION('ref_axis',(-1.,0.,0.)); #210841=DIRECTION('',(0.,0.,-1.)); #210842=DIRECTION('center_axis',(0.,0.,1.)); #210843=DIRECTION('ref_axis',(1.,0.,0.)); #210844=DIRECTION('center_axis',(0.,0.,1.)); #210845=DIRECTION('ref_axis',(1.,0.,0.)); #210846=DIRECTION('center_axis',(0.,0.,1.)); #210847=DIRECTION('ref_axis',(1.,0.,0.)); #210848=DIRECTION('center_axis',(0.,-1.,0.)); #210849=DIRECTION('ref_axis',(-1.,0.,0.)); #210850=DIRECTION('center_axis',(0.,0.,1.)); #210851=DIRECTION('ref_axis',(1.,0.,0.)); #210852=DIRECTION('center_axis',(0.,0.,1.)); #210853=DIRECTION('ref_axis',(-1.,0.,0.)); #210854=DIRECTION('center_axis',(0.,-1.,0.)); #210855=DIRECTION('ref_axis',(-1.,0.,0.)); #210856=DIRECTION('center_axis',(0.,0.,1.)); #210857=DIRECTION('ref_axis',(-1.,0.,0.)); #210858=DIRECTION('center_axis',(0.,0.,1.)); #210859=DIRECTION('ref_axis',(-1.,0.,0.)); #210860=DIRECTION('',(0.,0.,-1.)); #210861=DIRECTION('center_axis',(0.,0.,1.)); #210862=DIRECTION('ref_axis',(1.,0.,0.)); #210863=DIRECTION('center_axis',(0.,0.,1.)); #210864=DIRECTION('ref_axis',(1.,0.,0.)); #210865=DIRECTION('center_axis',(0.,0.,1.)); #210866=DIRECTION('ref_axis',(1.,0.,0.)); #210867=DIRECTION('center_axis',(0.,-1.,0.)); #210868=DIRECTION('ref_axis',(-1.,0.,0.)); #210869=DIRECTION('center_axis',(0.,0.,1.)); #210870=DIRECTION('ref_axis',(1.,0.,0.)); #210871=DIRECTION('center_axis',(0.,0.,1.)); #210872=DIRECTION('ref_axis',(-1.,0.,0.)); #210873=DIRECTION('center_axis',(0.,-1.,0.)); #210874=DIRECTION('ref_axis',(-1.,0.,0.)); #210875=DIRECTION('center_axis',(0.,0.,1.)); #210876=DIRECTION('ref_axis',(-1.,0.,0.)); #210877=DIRECTION('center_axis',(0.,0.,1.)); #210878=DIRECTION('ref_axis',(-1.,0.,0.)); #210879=DIRECTION('',(0.,0.,-1.)); #210880=DIRECTION('center_axis',(0.,0.,1.)); #210881=DIRECTION('ref_axis',(1.,0.,0.)); #210882=DIRECTION('center_axis',(0.,0.,1.)); #210883=DIRECTION('ref_axis',(1.,0.,0.)); #210884=DIRECTION('center_axis',(0.,0.,1.)); #210885=DIRECTION('ref_axis',(1.,0.,0.)); #210886=DIRECTION('center_axis',(0.,-1.,0.)); #210887=DIRECTION('ref_axis',(-1.,0.,0.)); #210888=DIRECTION('axis',(0.,0.,1.)); #210889=DIRECTION('refdir',(1.,0.,0.)); #210890=DIRECTION('center_axis',(0.,1.,0.)); #210891=DIRECTION('ref_axis',(0.,0.,1.)); #210892=DIRECTION('center_axis',(0.,1.,0.)); #210893=DIRECTION('ref_axis',(0.,0.,1.)); #210894=DIRECTION('center_axis',(-1.,0.,1.22464679914735E-16)); #210895=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,-1.)); #210896=DIRECTION('center_axis',(0.,1.,0.)); #210897=DIRECTION('ref_axis',(0.,0.,1.)); #210898=DIRECTION('center_axis',(0.,1.,0.)); #210899=DIRECTION('ref_axis',(0.,0.,1.)); #210900=DIRECTION('',(0.,1.,0.)); #210901=DIRECTION('axis',(0.,0.,1.)); #210902=DIRECTION('refdir',(1.,0.,0.)); #210903=DIRECTION('center_axis',(0.866025403784439,0.5,0.)); #210904=DIRECTION('ref_axis',(0.,0.,-1.)); #210905=DIRECTION('',(0.,0.,-1.)); #210906=DIRECTION('',(0.5,-0.866025403784439,0.)); #210907=DIRECTION('',(0.,0.,1.)); #210908=DIRECTION('',(-0.5,0.866025403784439,0.)); #210909=DIRECTION('center_axis',(-5.40400934797539E-16,1.,0.)); #210910=DIRECTION('ref_axis',(0.,0.,1.)); #210911=DIRECTION('',(0.,0.,-1.)); #210912=DIRECTION('',(1.,5.75648913939581E-16,0.)); #210913=DIRECTION('',(0.,0.,1.)); #210914=DIRECTION('',(-1.,-5.75648913939581E-16,0.)); #210915=DIRECTION('center_axis',(-0.866025403784439,0.499999999999999,0.)); #210916=DIRECTION('ref_axis',(0.,0.,1.)); #210917=DIRECTION('',(0.,0.,-1.)); #210918=DIRECTION('',(0.499999999999999,0.866025403784439,0.)); #210919=DIRECTION('',(0.,0.,1.)); #210920=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #210921=DIRECTION('center_axis',(-0.866025403784438,-0.5,0.)); #210922=DIRECTION('ref_axis',(0.,0.,1.)); #210923=DIRECTION('',(0.,0.,-1.)); #210924=DIRECTION('',(-0.5,0.866025403784438,0.)); #210925=DIRECTION('',(0.,0.,1.)); #210926=DIRECTION('',(0.5,-0.866025403784438,0.)); #210927=DIRECTION('center_axis',(4.32320747838031E-16,-1.,0.)); #210928=DIRECTION('ref_axis',(0.,0.,-1.)); #210929=DIRECTION('',(0.,0.,-1.)); #210930=DIRECTION('',(-1.,-3.83765942626387E-16,0.)); #210931=DIRECTION('',(0.,0.,1.)); #210932=DIRECTION('',(1.,3.83765942626387E-16,0.)); #210933=DIRECTION('center_axis',(0.866025403784439,-0.499999999999999,0.)); #210934=DIRECTION('ref_axis',(0.,0.,-1.)); #210935=DIRECTION('',(0.,0.,-1.)); #210936=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #210937=DIRECTION('',(0.,0.,1.)); #210938=DIRECTION('',(0.499999999999999,0.866025403784439,0.)); #210939=DIRECTION('center_axis',(0.,0.,1.)); #210940=DIRECTION('ref_axis',(1.,0.,0.)); #210941=DIRECTION('',(-1.,-4.54243154263834E-16,0.)); #210942=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #210943=DIRECTION('',(0.5,-0.866025403784438,0.)); #210944=DIRECTION('',(1.,6.05657539018445E-16,0.)); #210945=DIRECTION('',(0.499999999999999,0.866025403784439,0.)); #210946=DIRECTION('',(-0.5,0.866025403784438,0.)); #210947=DIRECTION('center_axis',(0.,0.,-1.)); #210948=DIRECTION('ref_axis',(-1.,0.,0.)); #210949=DIRECTION('center_axis',(0.,0.,1.)); #210950=DIRECTION('ref_axis',(1.,0.,0.)); #210951=DIRECTION('',(-0.499999999999999,-0.866025403784439,0.)); #210952=DIRECTION('',(-1.,-4.54243154263834E-16,0.)); #210953=DIRECTION('',(-0.5,0.866025403784438,0.)); #210954=DIRECTION('',(0.499999999999999,0.866025403784439,0.)); #210955=DIRECTION('',(1.,6.05657539018445E-16,0.)); #210956=DIRECTION('',(0.5,-0.866025403784438,0.)); #210957=DIRECTION('center_axis',(0.,0.,-1.)); #210958=DIRECTION('ref_axis',(-1.,0.,0.)); #210959=DIRECTION('center_axis',(-3.05696932443911E-16,0.707106781186548, 0.707106781186548)); #210960=DIRECTION('ref_axis',(1.,0.,4.32320747838031E-16)); #210961=DIRECTION('',(0.286344323664977,0.677497944020809,-0.677497944020809)); #210962=DIRECTION('',(0.836013856609692,-0.387995381130104,0.387995381130105)); #210963=DIRECTION('center_axis',(-0.654653670707976,0.654653670707978,0.377964473009228)); #210964=DIRECTION('ref_axis',(0.500000000000001,0.,0.866025403784438)); #210965=DIRECTION('',(0.754020784914541,0.530011547174742,0.387995381130105)); #210966=DIRECTION('',(0.707106781186548,0.707106781186547,-2.76499647920874E-16)); #210967=DIRECTION('center_axis',(0.239619874159853,0.894273544867829,0.377964473009228)); #210968=DIRECTION('ref_axis',(0.,-0.389306214312519,0.921108392914566)); #210969=DIRECTION('',(0.965925826289068,-0.258819045102522,2.76499647920874E-16)); #210970=DIRECTION('',(0.729902592366237,0.0907675134870609,-0.677497944020809)); #210971=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #210972=DIRECTION('ref_axis',(0.,0.,-1.)); #210973=DIRECTION('',(-0.707106781186548,-0.707106781186547,-2.76499647920874E-16)); #210974=DIRECTION('center_axis',(-0.612372435695795,0.353553390593273,0.707106781186548)); #210975=DIRECTION('ref_axis',(0.755928946018454,0.,0.654653670707977)); #210976=DIRECTION('',(-0.443558268701261,0.586730430533747,-0.677497944020809)); #210977=DIRECTION('center_axis',(0.612372435695794,0.353553390593274,0.707106781186548)); #210978=DIRECTION('ref_axis',(0.755928946018455,0.,-0.654653670707977)); #210979=DIRECTION('',(0.0819930716951494,-0.918006928304846,0.387995381130105)); #210980=DIRECTION('center_axis',(-0.258819045102522,-0.965925826289068, 0.)); #210981=DIRECTION('ref_axis',(0.,0.,-1.)); #210982=DIRECTION('',(-0.965925826289068,0.258819045102522,1.72812279950547E-16)); #210983=DIRECTION('center_axis',(3.0569693244391E-16,-0.707106781186545, 0.70710678118655)); #210984=DIRECTION('ref_axis',(1.,0.,-4.32320747838029E-16)); #210985=DIRECTION('',(0.28634432366498,0.677497944020811,0.677497944020806)); #210986=DIRECTION('',(0.836013856609694,-0.387995381130103,-0.387995381130101)); #210987=DIRECTION('center_axis',(-0.654653670707976,0.654653670707978,-0.377964473009228)); #210988=DIRECTION('ref_axis',(-0.500000000000001,0.,0.866025403784438)); #210989=DIRECTION('',(0.754020784914542,0.530011547174744,-0.387995381130102)); #210990=DIRECTION('center_axis',(-0.894273544867829,-0.239619874159852, 0.377964473009229)); #210991=DIRECTION('ref_axis',(0.38930621431252,0.,0.921108392914566)); #210992=DIRECTION('',(-0.0819930716951502,0.918006928304846,0.387995381130105)); #210993=DIRECTION('',(-0.25881904510252,0.965925826289069,0.)); #210994=DIRECTION('center_axis',(0.894273544867828,0.239619874159851,0.377964473009231)); #210995=DIRECTION('ref_axis',(0.389306214312522,0.,-0.921108392914565)); #210996=DIRECTION('',(0.25881904510252,-0.965925826289069,5.52999295841749E-16)); #210997=DIRECTION('',(0.443558268701261,-0.586730430533746,-0.67749794402081)); #210998=DIRECTION('center_axis',(0.239619874159853,0.894273544867829,-0.377964473009228)); #210999=DIRECTION('ref_axis',(0.,0.389306214312519,0.921108392914566)); #211000=DIRECTION('',(0.729902592366239,0.0907675134870591,0.677497944020807)); #211001=DIRECTION('center_axis',(0.612372435695793,-0.353553390593272,0.707106781186549)); #211002=DIRECTION('ref_axis',(0.755928946018456,0.,-0.654653670707975)); #211003=DIRECTION('',(-0.44355826870126,0.586730430533751,0.677497944020807)); #211004=DIRECTION('center_axis',(0.965925826289068,0.25881904510252,0.)); #211005=DIRECTION('ref_axis',(0.,0.,-1.)); #211006=DIRECTION('',(0.25881904510252,-0.965925826289069,-2.07374735940656E-16)); #211007=DIRECTION('center_axis',(-0.612372435695795,-0.353553390593274, 0.707106781186547)); #211008=DIRECTION('ref_axis',(0.755928946018454,0.,0.654653670707978)); #211009=DIRECTION('',(-0.729902592366237,-0.0907675134870583,-0.677497944020809)); #211010=DIRECTION('center_axis',(3.82121165554889E-16,-0.707106781186548, 0.707106781186547)); #211011=DIRECTION('ref_axis',(1.,0.,-5.4040093479754E-16)); #211012=DIRECTION('',(-0.286344323664978,-0.677497944020808,-0.677497944020809)); #211013=DIRECTION('',(-0.836013856609693,0.387995381130103,0.387995381130103)); #211014=DIRECTION('center_axis',(0.612372435695795,-0.353553390593273,0.707106781186548)); #211015=DIRECTION('ref_axis',(0.755928946018454,0.,-0.654653670707977)); #211016=DIRECTION('',(-0.754020784914541,-0.530011547174744,0.387995381130103)); #211017=DIRECTION('center_axis',(-0.965925826289069,-0.25881904510252,0.)); #211018=DIRECTION('ref_axis',(0.,0.,1.)); #211019=DIRECTION('',(-0.25881904510252,0.965925826289069,3.80187015891203E-16)); #211020=DIRECTION('center_axis',(-0.612372435695793,-0.353553390593273, 0.707106781186549)); #211021=DIRECTION('ref_axis',(0.755928946018456,0.,0.654653670707976)); #211022=DIRECTION('',(0.0819930716951518,-0.918006928304847,-0.387995381130102)); #211023=DIRECTION('center_axis',(-0.894273544867829,-0.239619874159852, -0.377964473009229)); #211024=DIRECTION('ref_axis',(-0.38930621431252,0.,0.921108392914566)); #211025=DIRECTION('',(-0.0819930716951524,0.918006928304847,-0.387995381130102)); #211026=DIRECTION('center_axis',(-0.239619874159854,-0.89427354486783,0.377964473009225)); #211027=DIRECTION('ref_axis',(0.,-0.389306214312516,-0.921108392914567)); #211028=DIRECTION('',(-0.965925826289068,0.258819045102522,2.76499647920874E-16)); #211029=DIRECTION('center_axis',(0.654653670707977,-0.654653670707978,0.377964473009226)); #211030=DIRECTION('ref_axis',(0.499999999999999,0.,-0.866025403784439)); #211031=DIRECTION('',(-0.707106781186548,-0.707106781186547,-5.52999295841747E-16)); #211032=DIRECTION('center_axis',(0.894273544867829,0.239619874159851,-0.377964473009229)); #211033=DIRECTION('ref_axis',(-0.389306214312519,0.,-0.921108392914566)); #211034=DIRECTION('',(0.443558268701259,-0.586730430533751,0.677497944020807)); #211035=DIRECTION('center_axis',(0.612372435695793,0.353553390593273,0.707106781186549)); #211036=DIRECTION('ref_axis',(0.755928946018456,0.,-0.654653670707976)); #211037=DIRECTION('',(-0.729902592366239,-0.090767513487059,0.677497944020807)); #211038=DIRECTION('center_axis',(0.258819045102522,0.965925826289068,0.)); #211039=DIRECTION('ref_axis',(0.,0.,1.)); #211040=DIRECTION('',(0.965925826289068,-0.258819045102522,3.80187015891201E-16)); #211041=DIRECTION('center_axis',(-0.707106781186547,0.707106781186548,0.)); #211042=DIRECTION('ref_axis',(0.,0.,1.)); #211043=DIRECTION('',(0.707106781186548,0.707106781186547,-3.45624559901092E-16)); #211044=DIRECTION('center_axis',(-0.612372435695793,0.353553390593272,0.707106781186549)); #211045=DIRECTION('ref_axis',(0.755928946018456,0.,0.654653670707975)); #211046=DIRECTION('',(-0.754020784914541,-0.530011547174745,-0.387995381130101)); #211047=DIRECTION('center_axis',(-0.239619874159853,-0.89427354486783,-0.377964473009227)); #211048=DIRECTION('ref_axis',(0.,0.389306214312517,-0.921108392914567)); #211049=DIRECTION('',(-0.836013856609694,0.387995381130103,-0.387995381130101)); #211050=DIRECTION('center_axis',(0.654653670707977,-0.654653670707977,-0.377964473009228)); #211051=DIRECTION('ref_axis',(-0.500000000000001,0.,-0.866025403784438)); #211052=DIRECTION('',(-0.286344323664979,-0.677497944020811,0.677497944020807)); #211053=DIRECTION('center_axis',(-3.82121165554888E-16,0.707106781186546, 0.707106781186549)); #211054=DIRECTION('ref_axis',(1.,0.,5.40400934797536E-16)); #211055=DIRECTION('center_axis',(0.,0.,-1.)); #211056=DIRECTION('ref_axis',(-1.,0.,0.)); #211057=DIRECTION('',(0.,0.,-1.)); #211058=DIRECTION('center_axis',(0.,0.,-1.)); #211059=DIRECTION('ref_axis',(-1.,0.,0.)); #211060=DIRECTION('center_axis',(0.,0.,-1.)); #211061=DIRECTION('ref_axis',(-1.,0.,0.)); #211062=DIRECTION('',(0.,1.,0.)); #211063=DIRECTION('',(1.,-9.57088814332032E-18,0.)); #211064=DIRECTION('',(5.55111512312579E-16,1.,0.)); #211065=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #211066=DIRECTION('',(1.,1.00929365875014E-16,0.)); #211067=DIRECTION('center_axis',(0.,0.,1.)); #211068=DIRECTION('ref_axis',(-1.,0.,0.)); #211069=DIRECTION('',(0.,1.,0.)); #211070=DIRECTION('center_axis',(0.,0.,1.)); #211071=DIRECTION('ref_axis',(-1.,0.,0.)); #211072=DIRECTION('',(-1.,0.,0.)); #211073=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #211074=DIRECTION('',(-7.70988211545247E-16,1.,0.)); #211075=DIRECTION('center_axis',(0.,0.,1.)); #211076=DIRECTION('ref_axis',(-1.,0.,0.)); #211077=DIRECTION('',(-1.,0.,0.)); #211078=DIRECTION('',(0.,-1.,0.)); #211079=DIRECTION('center_axis',(0.,0.,-1.)); #211080=DIRECTION('ref_axis',(-1.,0.,0.)); #211081=DIRECTION('',(2.12862183151916E-16,-1.,0.)); #211082=DIRECTION('',(-1.,0.,0.)); #211083=DIRECTION('',(0.,1.,0.)); #211084=DIRECTION('center_axis',(0.,0.,-1.)); #211085=DIRECTION('ref_axis',(-1.,0.,0.)); #211086=DIRECTION('center_axis',(0.,0.,-1.)); #211087=DIRECTION('ref_axis',(-1.,0.,0.)); #211088=DIRECTION('center_axis',(0.,0.,-1.)); #211089=DIRECTION('ref_axis',(-1.,0.,0.)); #211090=DIRECTION('center_axis',(0.,0.,1.)); #211091=DIRECTION('ref_axis',(1.,0.,0.)); #211092=DIRECTION('center_axis',(0.,0.,1.)); #211093=DIRECTION('ref_axis',(1.,0.,0.)); #211094=DIRECTION('',(0.,-1.,0.)); #211095=DIRECTION('',(1.,0.,0.)); #211096=DIRECTION('',(-2.12862183151916E-16,1.,0.)); #211097=DIRECTION('center_axis',(0.,0.,1.)); #211098=DIRECTION('ref_axis',(-1.,0.,0.)); #211099=DIRECTION('',(0.,1.,0.)); #211100=DIRECTION('',(1.,1.11022302462516E-15,0.)); #211101=DIRECTION('',(-2.16840434497101E-16,-1.,0.)); #211102=DIRECTION('center_axis',(0.,0.,-1.)); #211103=DIRECTION('ref_axis',(1.,0.,0.)); #211104=DIRECTION('',(0.,-1.,0.)); #211105=DIRECTION('center_axis',(0.,0.,1.)); #211106=DIRECTION('ref_axis',(-1.,0.,0.)); #211107=DIRECTION('',(-1.,0.,0.)); #211108=DIRECTION('center_axis',(0.,0.,1.)); #211109=DIRECTION('ref_axis',(1.,0.,0.)); #211110=DIRECTION('',(0.,1.,0.)); #211111=DIRECTION('center_axis',(0.,0.,-1.)); #211112=DIRECTION('ref_axis',(1.,0.,0.)); #211113=DIRECTION('',(0.,1.,0.)); #211114=DIRECTION('center_axis',(0.,0.,1.)); #211115=DIRECTION('ref_axis',(1.,0.,0.)); #211116=DIRECTION('',(1.,4.0819209988275E-18,0.)); #211117=DIRECTION('',(-8.32667268468867E-16,-1.,0.)); #211118=DIRECTION('',(-1.,9.57088814332032E-18,0.)); #211119=DIRECTION('',(0.,-1.,0.)); #211120=DIRECTION('center_axis',(0.,0.,1.)); #211121=DIRECTION('ref_axis',(-1.,-2.09798136933558E-15,0.)); #211122=DIRECTION('',(0.,0.,1.)); #211123=DIRECTION('',(0.,0.,1.)); #211124=DIRECTION('center_axis',(0.,0.,-1.)); #211125=DIRECTION('ref_axis',(-1.,0.,0.)); #211126=DIRECTION('',(1.,0.,0.)); #211127=DIRECTION('center_axis',(0.,0.,-1.)); #211128=DIRECTION('ref_axis',(-1.,0.,0.)); #211129=DIRECTION('',(0.,-1.,0.)); #211130=DIRECTION('',(-1.,0.,0.)); #211131=DIRECTION('center_axis',(0.,0.,1.)); #211132=DIRECTION('ref_axis',(-1.,0.,0.)); #211133=DIRECTION('',(-7.70988211545247E-16,1.,0.)); #211134=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #211135=DIRECTION('',(-1.,0.,0.)); #211136=DIRECTION('center_axis',(0.,0.,1.)); #211137=DIRECTION('ref_axis',(-1.,0.,0.)); #211138=DIRECTION('',(0.,1.,0.)); #211139=DIRECTION('center_axis',(0.,0.,1.)); #211140=DIRECTION('ref_axis',(-1.,0.,0.)); #211141=DIRECTION('',(1.,1.00929365875014E-16,0.)); #211142=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #211143=DIRECTION('',(5.55111512312578E-16,1.,0.)); #211144=DIRECTION('',(1.,-9.57088814332032E-18,0.)); #211145=DIRECTION('',(0.,1.,0.)); #211146=DIRECTION('center_axis',(0.,0.,-1.)); #211147=DIRECTION('ref_axis',(-1.,0.,0.)); #211148=DIRECTION('center_axis',(0.,0.,-1.)); #211149=DIRECTION('ref_axis',(-1.,0.,0.)); #211150=DIRECTION('',(-1.,0.,0.)); #211151=DIRECTION('center_axis',(0.,0.,-1.)); #211152=DIRECTION('ref_axis',(-1.,0.,0.)); #211153=DIRECTION('',(0.,1.,0.)); #211154=DIRECTION('',(-1.,0.,0.)); #211155=DIRECTION('',(2.12862183151916E-16,-1.,0.)); #211156=DIRECTION('center_axis',(0.,0.,-1.)); #211157=DIRECTION('ref_axis',(-1.,0.,0.)); #211158=DIRECTION('center_axis',(0.,0.,1.)); #211159=DIRECTION('ref_axis',(1.,0.,0.)); #211160=DIRECTION('center_axis',(0.,0.,1.)); #211161=DIRECTION('ref_axis',(1.,0.,0.)); #211162=DIRECTION('',(0.,0.,1.)); #211163=DIRECTION('',(0.,0.,1.)); #211164=DIRECTION('center_axis',(0.,0.,1.)); #211165=DIRECTION('ref_axis',(1.,0.,0.)); #211166=DIRECTION('',(0.,0.,1.)); #211167=DIRECTION('center_axis',(0.,0.,1.)); #211168=DIRECTION('ref_axis',(1.,0.,0.)); #211169=DIRECTION('',(0.,0.,1.)); #211170=DIRECTION('center_axis',(0.,0.,1.)); #211171=DIRECTION('ref_axis',(1.,0.,0.)); #211172=DIRECTION('',(0.,0.,1.)); #211173=DIRECTION('center_axis',(0.,0.,1.)); #211174=DIRECTION('ref_axis',(-1.,0.,0.)); #211175=DIRECTION('',(0.,0.,1.)); #211176=DIRECTION('center_axis',(0.,0.,1.)); #211177=DIRECTION('ref_axis',(1.,0.,0.)); #211178=DIRECTION('center_axis',(0.,0.,1.)); #211179=DIRECTION('ref_axis',(-1.,0.,0.)); #211180=DIRECTION('',(0.,0.,1.)); #211181=DIRECTION('',(0.,0.,1.)); #211182=DIRECTION('center_axis',(1.,0.,0.)); #211183=DIRECTION('ref_axis',(0.,0.,-1.)); #211184=DIRECTION('',(0.,0.,-1.)); #211185=DIRECTION('',(0.,0.,1.)); #211186=DIRECTION('',(0.,-1.,0.)); #211187=DIRECTION('center_axis',(-1.,0.,0.)); #211188=DIRECTION('ref_axis',(0.,0.,1.)); #211189=DIRECTION('',(0.,0.,-1.)); #211190=DIRECTION('',(0.,0.,1.)); #211191=DIRECTION('',(0.,1.,0.)); #211192=DIRECTION('center_axis',(1.,0.,0.)); #211193=DIRECTION('ref_axis',(0.,0.,-1.)); #211194=DIRECTION('',(0.,0.,1.)); #211195=DIRECTION('',(-2.16840434497101E-16,-1.,0.)); #211196=DIRECTION('',(0.,0.,1.)); #211197=DIRECTION('center_axis',(0.,1.,0.)); #211198=DIRECTION('ref_axis',(0.,0.,1.)); #211199=DIRECTION('',(0.,0.,-1.)); #211200=DIRECTION('',(1.,4.0819209988275E-18,0.)); #211201=DIRECTION('',(0.,0.,1.)); #211202=DIRECTION('center_axis',(0.,0.,-1.)); #211203=DIRECTION('ref_axis',(-1.,0.,0.)); #211204=DIRECTION('center_axis',(0.,0.,1.)); #211205=DIRECTION('ref_axis',(1.,0.,0.)); #211206=DIRECTION('center_axis',(-1.,0.,0.)); #211207=DIRECTION('ref_axis',(0.,0.,1.)); #211208=DIRECTION('',(0.,0.,-1.)); #211209=DIRECTION('',(0.,1.,0.)); #211210=DIRECTION('',(0.,0.,1.)); #211211=DIRECTION('center_axis',(0.,0.,-1.)); #211212=DIRECTION('ref_axis',(-1.,0.,0.)); #211213=DIRECTION('',(0.,0.,-1.)); #211214=DIRECTION('center_axis',(0.,0.,1.)); #211215=DIRECTION('ref_axis',(1.,0.,0.)); #211216=DIRECTION('center_axis',(0.,-1.,0.)); #211217=DIRECTION('ref_axis',(0.,0.,-1.)); #211218=DIRECTION('',(0.,0.,-1.)); #211219=DIRECTION('',(-1.,0.,0.)); #211220=DIRECTION('center_axis',(0.,0.,-1.)); #211221=DIRECTION('ref_axis',(-1.,0.,0.)); #211222=DIRECTION('center_axis',(0.,0.,1.)); #211223=DIRECTION('ref_axis',(-1.,0.,0.)); #211224=DIRECTION('center_axis',(-4.8186763221578E-16,1.,0.)); #211225=DIRECTION('ref_axis',(0.,0.,1.)); #211226=DIRECTION('',(0.,0.,1.)); #211227=DIRECTION('',(1.,1.11022302462516E-15,0.)); #211228=DIRECTION('',(0.,0.,1.)); #211229=DIRECTION('',(0.,0.,1.)); #211230=DIRECTION('center_axis',(0.,0.,1.)); #211231=DIRECTION('ref_axis',(1.,0.,0.)); #211232=DIRECTION('',(0.,0.,1.)); #211233=DIRECTION('center_axis',(1.,7.22801448323669E-16,0.)); #211234=DIRECTION('ref_axis',(0.,0.,-1.)); #211235=DIRECTION('',(0.,0.,1.)); #211236=DIRECTION('center_axis',(0.707106781186547,0.707106781186548,0.)); #211237=DIRECTION('ref_axis',(0.,0.,-1.)); #211238=DIRECTION('',(0.,0.,1.)); #211239=DIRECTION('center_axis',(0.,1.,0.)); #211240=DIRECTION('ref_axis',(0.,0.,1.)); #211241=DIRECTION('',(0.,0.,1.)); #211242=DIRECTION('center_axis',(0.,0.,1.)); #211243=DIRECTION('ref_axis',(1.,0.,0.)); #211244=DIRECTION('',(0.,0.,1.)); #211245=DIRECTION('center_axis',(1.,0.,0.)); #211246=DIRECTION('ref_axis',(0.,0.,-1.)); #211247=DIRECTION('',(0.,0.,1.)); #211248=DIRECTION('center_axis',(0.,0.,1.)); #211249=DIRECTION('ref_axis',(1.,0.,0.)); #211250=DIRECTION('',(0.,0.,1.)); #211251=DIRECTION('center_axis',(1.5770213417971E-16,-1.,0.)); #211252=DIRECTION('ref_axis',(0.,0.,-1.)); #211253=DIRECTION('',(0.,0.,1.)); #211254=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,0.)); #211255=DIRECTION('ref_axis',(0.,0.,-1.)); #211256=DIRECTION('',(0.,0.,1.)); #211257=DIRECTION('center_axis',(1.,-4.33680868994202E-16,0.)); #211258=DIRECTION('ref_axis',(0.,0.,-1.)); #211259=DIRECTION('',(0.,0.,1.)); #211260=DIRECTION('',(-8.32667268468867E-16,-1.,0.)); #211261=DIRECTION('',(0.,0.,1.)); #211262=DIRECTION('center_axis',(0.,0.,1.)); #211263=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.)); #211264=DIRECTION('center_axis',(0.,0.,-1.)); #211265=DIRECTION('ref_axis',(1.,0.,0.)); #211266=DIRECTION('center_axis',(0.,0.,1.)); #211267=DIRECTION('ref_axis',(1.,0.,0.)); #211268=DIRECTION('center_axis',(0.,0.,-1.)); #211269=DIRECTION('ref_axis',(1.,0.,0.)); #211270=DIRECTION('center_axis',(1.,0.,0.)); #211271=DIRECTION('ref_axis',(0.,0.,-1.)); #211272=DIRECTION('',(0.,0.,1.)); #211273=DIRECTION('center_axis',(0.,0.,1.)); #211274=DIRECTION('ref_axis',(1.,0.,0.)); #211275=DIRECTION('',(0.,0.,1.)); #211276=DIRECTION('center_axis',(1.,2.11652738929462E-16,0.)); #211277=DIRECTION('ref_axis',(0.,0.,-1.)); #211278=DIRECTION('',(0.,0.,1.)); #211279=DIRECTION('center_axis',(1.15648231731787E-16,-1.,0.)); #211280=DIRECTION('ref_axis',(0.,0.,-1.)); #211281=DIRECTION('',(0.,0.,1.)); #211282=DIRECTION('center_axis',(-1.,0.,0.)); #211283=DIRECTION('ref_axis',(0.,0.,1.)); #211284=DIRECTION('center_axis',(-1.,0.,0.)); #211285=DIRECTION('ref_axis',(0.,0.,1.)); #211286=DIRECTION('',(0.,0.,1.)); #211287=DIRECTION('center_axis',(7.477256361969E-18,1.,0.)); #211288=DIRECTION('ref_axis',(0.,0.,1.)); #211289=DIRECTION('center_axis',(-1.,0.,0.)); #211290=DIRECTION('ref_axis',(0.,0.,1.)); #211291=DIRECTION('',(0.,0.,1.)); #211292=DIRECTION('',(0.,-1.,0.)); #211293=DIRECTION('center_axis',(-1.,0.,0.)); #211294=DIRECTION('ref_axis',(0.,0.,1.)); #211295=DIRECTION('',(0.,-1.,0.)); #211296=DIRECTION('',(0.,0.,1.)); #211297=DIRECTION('center_axis',(1.15648231731787E-16,-1.,0.)); #211298=DIRECTION('ref_axis',(0.,0.,-1.)); #211299=DIRECTION('',(1.,0.,0.)); #211300=DIRECTION('',(0.,0.,1.)); #211301=DIRECTION('center_axis',(1.,2.11652738929462E-16,0.)); #211302=DIRECTION('ref_axis',(0.,0.,-1.)); #211303=DIRECTION('',(-2.12862183151916E-16,1.,0.)); #211304=DIRECTION('center_axis',(1.,0.,0.)); #211305=DIRECTION('ref_axis',(0.,0.,-1.)); #211306=DIRECTION('',(0.,1.,0.)); #211307=DIRECTION('center_axis',(7.477256361969E-18,1.,0.)); #211308=DIRECTION('ref_axis',(0.,0.,1.)); #211309=DIRECTION('',(-1.,9.57088814332032E-18,0.)); #211310=DIRECTION('center_axis',(0.,0.,1.)); #211311=DIRECTION('ref_axis',(1.,0.,0.)); #211312=DIRECTION('',(1.,0.,0.)); #211313=DIRECTION('',(-1.,0.,0.)); #211314=DIRECTION('center_axis',(0.,1.,0.)); #211315=DIRECTION('ref_axis',(0.,0.,1.)); #211316=DIRECTION('center_axis',(0.,-1.,0.)); #211317=DIRECTION('ref_axis',(0.,0.,-1.)); #211318=DIRECTION('center_axis',(0.,0.,1.)); #211319=DIRECTION('ref_axis',(1.,0.,0.)); #211320=DIRECTION('',(0.,0.,-1.)); #211321=DIRECTION('center_axis',(0.,0.,-1.)); #211322=DIRECTION('ref_axis',(-1.,0.,0.)); #211323=DIRECTION('center_axis',(0.,0.,-1.)); #211324=DIRECTION('ref_axis',(-1.,0.,0.)); #211325=DIRECTION('center_axis',(0.,0.,1.)); #211326=DIRECTION('ref_axis',(1.,0.,0.)); #211327=DIRECTION('',(0.,0.,-1.)); #211328=DIRECTION('center_axis',(0.,0.,-1.)); #211329=DIRECTION('ref_axis',(-1.,0.,0.)); #211330=DIRECTION('center_axis',(0.,0.,-1.)); #211331=DIRECTION('ref_axis',(-1.,0.,0.)); #211332=DIRECTION('center_axis',(0.,0.,1.)); #211333=DIRECTION('ref_axis',(1.,0.,0.)); #211334=DIRECTION('',(0.,0.,-1.)); #211335=DIRECTION('center_axis',(0.,0.,-1.)); #211336=DIRECTION('ref_axis',(-1.,0.,0.)); #211337=DIRECTION('center_axis',(0.,0.,-1.)); #211338=DIRECTION('ref_axis',(-1.,0.,0.)); #211339=DIRECTION('',(2.12622665860331E-31,-1.38777878078145E-17,-1.)); #211340=DIRECTION('',(0.999999999999999,0.,8.7581154020301E-45)); #211341=DIRECTION('',(0.,0.999999999999999,-1.11022302462516E-16)); #211342=DIRECTION('',(1.,0.,8.75811540203012E-45)); #211343=DIRECTION('',(-1.,-1.0842021724855E-19,8.06863256763713E-16)); #211344=DIRECTION('',(8.06863256763712E-16,0.,1.)); #211345=DIRECTION('',(-2.61926472436644E-31,3.94430452610506E-31,1.)); #211346=DIRECTION('',(-3.33066907387547E-16,1.,-7.88860905221011E-31)); #211347=DIRECTION('',(1.,0.,-2.35922392732846E-16)); #211348=DIRECTION('',(-2.35922392732845E-16,-3.94430452610506E-31,-1.)); #211349=DIRECTION('axis',(0.,0.,1.)); #211350=DIRECTION('refdir',(1.,0.,0.)); #211351=DIRECTION('center_axis',(0.,1.,0.)); #211352=DIRECTION('ref_axis',(0.,0.,1.)); #211353=DIRECTION('center_axis',(0.,1.,0.)); #211354=DIRECTION('ref_axis',(0.,0.,1.)); #211355=DIRECTION('',(0.,1.,0.)); #211356=DIRECTION('center_axis',(0.,1.,0.)); #211357=DIRECTION('ref_axis',(0.,0.,1.)); #211358=DIRECTION('center_axis',(0.,1.,0.)); #211359=DIRECTION('ref_axis',(0.,0.,1.)); #211360=DIRECTION('center_axis',(0.,1.,0.)); #211361=DIRECTION('ref_axis',(0.,0.,1.)); #211362=DIRECTION('',(0.,1.,0.)); #211363=DIRECTION('center_axis',(0.,1.,0.)); #211364=DIRECTION('ref_axis',(0.,0.,1.)); #211365=DIRECTION('center_axis',(0.,1.,0.)); #211366=DIRECTION('ref_axis',(0.,0.,1.)); #211367=DIRECTION('center_axis',(0.,1.,0.)); #211368=DIRECTION('ref_axis',(0.,0.,1.)); #211369=DIRECTION('center_axis',(1.,0.,-1.22464679914735E-16)); #211370=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,-1.)); #211371=DIRECTION('center_axis',(0.,1.,0.)); #211372=DIRECTION('ref_axis',(0.,0.,1.)); #211373=DIRECTION('center_axis',(0.,1.,0.)); #211374=DIRECTION('ref_axis',(0.,0.,1.)); #211375=DIRECTION('',(0.,1.,0.)); #211376=DIRECTION('center_axis',(0.,1.,0.)); #211377=DIRECTION('ref_axis',(0.,0.,1.)); #211378=DIRECTION('center_axis',(0.,1.,0.)); #211379=DIRECTION('ref_axis',(0.,0.,1.)); #211380=DIRECTION('center_axis',(1.,0.,-1.22464679914735E-16)); #211381=DIRECTION('ref_axis',(-1.22464679914735E-16,0.,-1.)); #211382=DIRECTION('center_axis',(0.,1.,0.)); #211383=DIRECTION('ref_axis',(0.,0.,1.)); #211384=DIRECTION('center_axis',(0.,1.,0.)); #211385=DIRECTION('ref_axis',(0.,0.,1.)); #211386=DIRECTION('center_axis',(0.,1.,0.)); #211387=DIRECTION('ref_axis',(0.,0.,1.)); #211388=DIRECTION('',(0.,1.,0.)); #211389=DIRECTION('center_axis',(0.,1.,0.)); #211390=DIRECTION('ref_axis',(0.,0.,1.)); #211391=DIRECTION('center_axis',(0.,1.,0.)); #211392=DIRECTION('ref_axis',(0.,0.,1.)); #211393=DIRECTION('',(-8.65956056235496E-17,0.707106781186545,-0.70710678118655)); #211394=DIRECTION('center_axis',(0.,1.,0.)); #211395=DIRECTION('ref_axis',(0.,0.,1.)); #211396=DIRECTION('center_axis',(0.,-1.,0.)); #211397=DIRECTION('ref_axis',(0.,0.,-1.)); #211398=DIRECTION('center_axis',(0.,1.,0.)); #211399=DIRECTION('ref_axis',(0.,0.,1.)); #211400=DIRECTION('center_axis',(0.,1.,0.)); #211401=DIRECTION('ref_axis',(0.,0.,1.)); #211402=DIRECTION('',(0.,1.,0.)); #211403=DIRECTION('center_axis',(0.,1.,0.)); #211404=DIRECTION('ref_axis',(0.,0.,1.)); #211405=DIRECTION('center_axis',(0.,1.,0.)); #211406=DIRECTION('ref_axis',(0.,0.,1.)); #211407=DIRECTION('center_axis',(0.,1.,0.)); #211408=DIRECTION('ref_axis',(0.,0.,1.)); #211409=DIRECTION('center_axis',(0.,-1.,0.)); #211410=DIRECTION('ref_axis',(0.,0.,-1.)); #211411=DIRECTION('',(-1.,0.,0.)); #211412=DIRECTION('',(0.,0.,-1.)); #211413=DIRECTION('',(1.,0.,0.)); #211414=DIRECTION('',(0.,0.,-1.)); #211415=DIRECTION('',(-1.,0.,0.)); #211416=DIRECTION('',(-1.25704599708464E-16,0.,-1.)); #211417=DIRECTION('',(-1.,0.,0.)); #211418=DIRECTION('',(0.,0.,1.)); #211419=DIRECTION('',(1.,0.,0.)); #211420=DIRECTION('',(0.,0.,1.)); #211421=DIRECTION('',(-1.,0.,0.)); #211422=DIRECTION('',(-6.28522998542322E-17,0.,-1.)); #211423=DIRECTION('center_axis',(0.,1.,0.)); #211424=DIRECTION('ref_axis',(0.,0.,1.)); #211425=DIRECTION('center_axis',(0.,1.,0.)); #211426=DIRECTION('ref_axis',(0.,0.,1.)); #211427=DIRECTION('',(1.,0.,0.)); #211428=DIRECTION('',(-6.28522998542321E-17,0.,-1.)); #211429=DIRECTION('center_axis',(0.,1.,0.)); #211430=DIRECTION('ref_axis',(0.,0.,1.)); #211431=DIRECTION('',(-6.28522998542322E-17,0.,-1.)); #211432=DIRECTION('',(-1.,0.,0.)); #211433=DIRECTION('center_axis',(0.,1.,0.)); #211434=DIRECTION('ref_axis',(0.,0.,1.)); #211435=DIRECTION('',(-1.,0.,0.)); #211436=DIRECTION('',(1.25704599708464E-16,0.,1.)); #211437=DIRECTION('center_axis',(0.,1.,0.)); #211438=DIRECTION('ref_axis',(0.,0.,1.)); #211439=DIRECTION('',(-1.,0.,0.)); #211440=DIRECTION('',(0.,0.,1.)); #211441=DIRECTION('',(-1.,0.,0.)); #211442=DIRECTION('',(1.25704599708464E-16,0.,1.)); #211443=DIRECTION('center_axis',(0.,0.,1.)); #211444=DIRECTION('ref_axis',(1.,0.,0.)); #211445=DIRECTION('',(0.,1.,0.)); #211446=DIRECTION('',(0.,-1.,0.)); #211447=DIRECTION('center_axis',(0.,1.,0.)); #211448=DIRECTION('ref_axis',(0.,0.,1.)); #211449=DIRECTION('',(0.,0.,-1.)); #211450=DIRECTION('',(1.,0.,0.)); #211451=DIRECTION('',(1.25704599708464E-16,0.,1.)); #211452=DIRECTION('',(-1.,0.,0.)); #211453=DIRECTION('center_axis',(0.,1.,0.)); #211454=DIRECTION('ref_axis',(0.,0.,1.)); #211455=DIRECTION('',(0.,0.,-1.)); #211456=DIRECTION('',(-1.,0.,0.)); #211457=DIRECTION('',(-6.28522998542322E-17,0.,-1.)); #211458=DIRECTION('',(1.,0.,0.)); #211459=DIRECTION('center_axis',(0.,0.,-1.)); #211460=DIRECTION('ref_axis',(-1.,0.,0.)); #211461=DIRECTION('',(0.,1.,0.)); #211462=DIRECTION('',(-4.01556360179817E-16,-1.,0.)); #211463=DIRECTION('',(0.,-1.,0.)); #211464=DIRECTION('',(1.,0.,0.)); #211465=DIRECTION('',(0.,-1.,0.)); #211466=DIRECTION('center_axis',(0.,0.,-1.)); #211467=DIRECTION('ref_axis',(-1.,0.,0.)); #211468=DIRECTION('',(0.,1.,0.)); #211469=DIRECTION('',(0.,1.,0.)); #211470=DIRECTION('center_axis',(0.,0.,-1.)); #211471=DIRECTION('ref_axis',(-1.,0.,0.)); #211472=DIRECTION('',(-4.01556360179817E-16,-1.,0.)); #211473=DIRECTION('',(0.,1.,0.)); #211474=DIRECTION('center_axis',(1.,0.,-1.25704599708464E-16)); #211475=DIRECTION('ref_axis',(-1.25704599708464E-16,0.,-1.)); #211476=DIRECTION('',(1.25704599708464E-16,0.,1.)); #211477=DIRECTION('',(0.,-1.,0.)); #211478=DIRECTION('center_axis',(-1.,0.,6.28522998542321E-17)); #211479=DIRECTION('ref_axis',(6.28522998542321E-17,0.,1.)); #211480=DIRECTION('',(0.,-1.,0.)); #211481=DIRECTION('',(-6.28522998542322E-17,0.,-1.)); #211482=DIRECTION('',(0.,-1.,0.)); #211483=DIRECTION('center_axis',(0.,1.,0.)); #211484=DIRECTION('ref_axis',(0.,0.,1.)); #211485=DIRECTION('',(-1.,0.,0.)); #211486=DIRECTION('',(0.,0.,1.)); #211487=DIRECTION('',(-1.,0.,0.)); #211488=DIRECTION('center_axis',(0.,0.,1.)); #211489=DIRECTION('ref_axis',(1.,0.,0.)); #211490=DIRECTION('',(0.,1.,0.)); #211491=DIRECTION('',(-4.01556360179816E-16,-1.,0.)); #211492=DIRECTION('center_axis',(0.,1.,0.)); #211493=DIRECTION('ref_axis',(0.,0.,1.)); #211494=DIRECTION('center_axis',(0.,0.,1.)); #211495=DIRECTION('ref_axis',(1.,0.,0.)); #211496=DIRECTION('',(-4.01556360179816E-16,-1.,0.)); #211497=DIRECTION('center_axis',(1.,0.,0.)); #211498=DIRECTION('ref_axis',(0.,0.,-1.)); #211499=DIRECTION('center_axis',(-1.,4.01556360179817E-16,0.)); #211500=DIRECTION('ref_axis',(-4.01556360179817E-16,-1.,0.)); #211501=DIRECTION('center_axis',(-1.,0.,0.)); #211502=DIRECTION('ref_axis',(0.,0.,1.)); #211503=DIRECTION('center_axis',(1.,-4.01556360179816E-16,0.)); #211504=DIRECTION('ref_axis',(4.01556360179816E-16,1.,0.)); #211505=DIRECTION('center_axis',(0.,1.,0.)); #211506=DIRECTION('ref_axis',(0.,0.,1.)); #211507=DIRECTION('center_axis',(0.,-1.,0.)); #211508=DIRECTION('ref_axis',(0.,0.,-1.)); #211509=DIRECTION('center_axis',(0.,-1.,0.)); #211510=DIRECTION('ref_axis',(0.,0.,-1.)); #211511=DIRECTION('center_axis',(0.,1.,0.)); #211512=DIRECTION('ref_axis',(0.,0.,1.)); #211513=DIRECTION('center_axis',(0.,1.,0.)); #211514=DIRECTION('ref_axis',(0.,0.,1.)); #211515=DIRECTION('',(0.,1.,0.)); #211516=DIRECTION('center_axis',(0.,1.,0.)); #211517=DIRECTION('ref_axis',(0.,0.,1.)); #211518=DIRECTION('center_axis',(0.,1.,0.)); #211519=DIRECTION('ref_axis',(0.,0.,1.)); #211520=DIRECTION('center_axis',(0.,1.,0.)); #211521=DIRECTION('ref_axis',(0.,0.,1.)); #211522=DIRECTION('',(0.,-1.,0.)); #211523=DIRECTION('center_axis',(0.,1.,0.)); #211524=DIRECTION('ref_axis',(0.,0.,1.)); #211525=DIRECTION('center_axis',(0.,-1.,0.)); #211526=DIRECTION('ref_axis',(0.,0.,-1.)); #211527=DIRECTION('center_axis',(0.,1.,0.)); #211528=DIRECTION('ref_axis',(0.,0.,1.)); #211529=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211530=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211531=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211532=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211533=DIRECTION('',(8.65956056235493E-17,-0.707106781186547,0.707106781186548)); #211534=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211535=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211536=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211537=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211538=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211539=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211540=DIRECTION('',(0.,1.,-1.22573512018146E-17)); #211541=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211542=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211543=DIRECTION('',(0.,-1.,1.22573512018146E-17)); #211544=DIRECTION('center_axis',(0.,1.,0.)); #211545=DIRECTION('ref_axis',(0.,0.,-1.)); #211546=DIRECTION('',(0.,1.,-1.22573512018146E-17)); #211547=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211548=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211549=DIRECTION('',(0.,1.,-1.22573512018146E-17)); #211550=DIRECTION('center_axis',(0.,-1.,0.)); #211551=DIRECTION('ref_axis',(3.5527136788005E-15,0.,1.)); #211552=DIRECTION('',(0.,-1.,1.22573512018146E-17)); #211553=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211554=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211555=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211556=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211557=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211558=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211559=DIRECTION('',(0.,-1.,1.22573512018146E-17)); #211560=DIRECTION('center_axis',(0.,-1.,0.)); #211561=DIRECTION('ref_axis',(0.,0.,-1.)); #211562=DIRECTION('',(0.,1.,-1.22573512018146E-17)); #211563=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211564=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211565=DIRECTION('',(0.,-1.,1.22573512018146E-17)); #211566=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211567=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211568=DIRECTION('',(0.,1.,-1.22573512018146E-17)); #211569=DIRECTION('center_axis',(0.,1.,0.)); #211570=DIRECTION('ref_axis',(0.,0.,1.)); #211571=DIRECTION('',(0.,-1.,1.22573512018146E-17)); #211572=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211573=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211574=DIRECTION('',(-1.,0.,0.)); #211575=DIRECTION('',(1.,0.,0.)); #211576=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211577=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211578=DIRECTION('',(1.,0.,0.)); #211579=DIRECTION('',(-1.,0.,0.)); #211580=DIRECTION('center_axis',(0.,-1.,1.22573512018146E-17)); #211581=DIRECTION('ref_axis',(0.,-1.22573512018146E-17,-1.)); #211582=DIRECTION('center_axis',(0.,1.,-1.22573512018146E-17)); #211583=DIRECTION('ref_axis',(0.,1.22573512018146E-17,1.)); #211584=DIRECTION('center_axis',(0.,1.35196256267816E-16,-1.)); #211585=DIRECTION('ref_axis',(0.,1.,1.35196256267816E-16)); #211586=DIRECTION('',(-1.,0.,0.)); #211587=DIRECTION('center_axis',(0.,1.,0.)); #211588=DIRECTION('ref_axis',(0.,0.,1.)); #211589=DIRECTION('',(-1.,0.,0.)); #211590=DIRECTION('center_axis',(0.,-1.10615118764577E-16,1.)); #211591=DIRECTION('ref_axis',(0.,-1.,-1.10615118764577E-16)); #211592=DIRECTION('center_axis',(0.,-1.35196256267816E-16,1.)); #211593=DIRECTION('ref_axis',(0.,-1.,-1.35196256267816E-16)); #211594=DIRECTION('',(1.,0.,0.)); #211595=DIRECTION('center_axis',(0.,1.10615118764577E-16,-1.)); #211596=DIRECTION('ref_axis',(0.,1.,1.10615118764577E-16)); #211597=DIRECTION('',(1.,0.,0.)); #211598=DIRECTION('center_axis',(0.,-1.,0.)); #211599=DIRECTION('ref_axis',(0.,0.,-1.)); #211600=DIRECTION('',(0.,0.,1.)); #211601=DIRECTION('',(1.,0.,0.)); #211602=DIRECTION('',(0.,0.,1.)); #211603=DIRECTION('',(1.,0.,0.)); #211604=DIRECTION('',(0.,0.,1.)); #211605=DIRECTION('',(1.,0.,0.)); #211606=DIRECTION('',(0.,0.,1.)); #211607=DIRECTION('',(1.,0.,0.)); #211608=DIRECTION('',(0.,0.,1.)); #211609=DIRECTION('',(1.,0.,0.)); #211610=DIRECTION('',(-6.16297582203915E-33,-1.,-1.38777878078146E-17)); #211611=DIRECTION('',(1.,-6.92780612855898E-48,1.92592994438727E-34)); #211612=DIRECTION('',(-6.16297582203915E-33,-1.,-1.38777878078146E-17)); #211613=DIRECTION('',(1.,-6.92780612856008E-48,1.92592994438727E-34)); #211614=DIRECTION('',(-3.33066907387547E-16,8.88178419700125E-16,0.999999999999999)); #211615=DIRECTION('',(-1.,-2.77555756156287E-17,-3.33066907387547E-16)); #211616=DIRECTION('',(0.,0.,1.)); #211617=DIRECTION('',(1.,0.,0.)); #211618=DIRECTION('',(-1.68865537523875E-30,-1.,-2.98372437868011E-15)); #211619=DIRECTION('',(1.,4.93038065763219E-32,-6.66133814775094E-16)); #211620=DIRECTION('',(0.,0.,1.)); #211621=DIRECTION('',(1.,0.,0.)); #211622=DIRECTION('',(0.,0.,1.)); #211623=DIRECTION('',(1.,0.,0.)); #211624=CARTESIAN_POINT('',(0.,0.,0.)); #211625=CARTESIAN_POINT('',(0.,0.,0.)); #211626=CARTESIAN_POINT('Origin',(0.,0.,5.)); #211627=CARTESIAN_POINT('',(1.4,3.06161699786838E-16,2.5)); #211628=CARTESIAN_POINT('',(1.4,0.,7.5)); #211629=CARTESIAN_POINT('Origin',(1.4,0.,5.)); #211630=CARTESIAN_POINT('',(0.,3.06161699786838E-16,2.5)); #211631=CARTESIAN_POINT('',(0.,0.,2.5)); #211632=CARTESIAN_POINT('',(0.,0.,7.5)); #211633=CARTESIAN_POINT('Origin',(0.,0.,5.)); #211634=CARTESIAN_POINT('',(0.,0.,7.5)); #211635=CARTESIAN_POINT('Origin',(1.4,0.,9.30000000000001)); #211636=CARTESIAN_POINT('',(1.4,0.,9.3)); #211637=CARTESIAN_POINT('',(1.4,0.,10.75)); #211638=CARTESIAN_POINT('',(1.4,-0.75,9.3)); #211639=CARTESIAN_POINT('',(1.4,0.,9.3)); #211640=CARTESIAN_POINT('',(1.4,-0.75,8.)); #211641=CARTESIAN_POINT('',(1.4,-0.75,10.9)); #211642=CARTESIAN_POINT('',(1.4,-4.,8.)); #211643=CARTESIAN_POINT('',(1.4,0.,8.)); #211644=CARTESIAN_POINT('',(1.4,-4.,2.)); #211645=CARTESIAN_POINT('',(1.4,-4.,9.)); #211646=CARTESIAN_POINT('',(1.4,0.,2.)); #211647=CARTESIAN_POINT('',(1.4,0.,2.)); #211648=CARTESIAN_POINT('',(1.4,0.,10.75)); #211649=CARTESIAN_POINT('Origin',(0.,0.,25.)); #211650=CARTESIAN_POINT('',(0.,0.,0.300000000000002)); #211651=CARTESIAN_POINT('',(0.,0.,25.)); #211652=CARTESIAN_POINT('',(0.,-4.25,0.300000000000002)); #211653=CARTESIAN_POINT('',(0.,0.,0.3)); #211654=CARTESIAN_POINT('',(0.,-4.25,18.)); #211655=CARTESIAN_POINT('',(0.,-4.25,25.)); #211656=CARTESIAN_POINT('',(0.,-2.75,18.)); #211657=CARTESIAN_POINT('',(0.,-2.125,18.)); #211658=CARTESIAN_POINT('',(0.,-2.75,20.)); #211659=CARTESIAN_POINT('',(0.,-2.75,22.)); #211660=CARTESIAN_POINT('',(0.,-4.25,20.)); #211661=CARTESIAN_POINT('',(0.,-2.125,20.)); #211662=CARTESIAN_POINT('',(0.,-4.25,21.)); #211663=CARTESIAN_POINT('',(0.,-4.25,25.)); #211664=CARTESIAN_POINT('',(0.,-2.75,21.)); #211665=CARTESIAN_POINT('',(0.,-2.125,21.)); #211666=CARTESIAN_POINT('',(0.,-2.75,23.)); #211667=CARTESIAN_POINT('',(0.,-2.75,23.5)); #211668=CARTESIAN_POINT('',(0.,-4.25,23.)); #211669=CARTESIAN_POINT('',(0.,-2.125,23.)); #211670=CARTESIAN_POINT('',(0.,-4.25,24.7)); #211671=CARTESIAN_POINT('',(0.,-4.25,25.)); #211672=CARTESIAN_POINT('',(0.,0.,24.7)); #211673=CARTESIAN_POINT('',(0.,0.,24.7)); #211674=CARTESIAN_POINT('',(0.,0.,25.)); #211675=CARTESIAN_POINT('Origin',(2.4,0.,8.)); #211676=CARTESIAN_POINT('',(2.4,-4.,9.)); #211677=CARTESIAN_POINT('Origin',(2.4,-4.,8.)); #211678=CARTESIAN_POINT('',(1.99602864451027,-0.75,8.91477163485964)); #211679=CARTESIAN_POINT('Origin',(2.4,-0.75,8.)); #211680=CARTESIAN_POINT('',(1.99602864451027,-2.45,8.91477163485964)); #211681=CARTESIAN_POINT('',(1.99602864451027,0.,8.91477163485964)); #211682=CARTESIAN_POINT('',(2.4,-2.45,9.)); #211683=CARTESIAN_POINT('Origin',(2.4,-2.45,8.)); #211684=CARTESIAN_POINT('',(2.4,0.,9.)); #211685=CARTESIAN_POINT('Origin',(2.9,0.,8.)); #211686=CARTESIAN_POINT('',(2.9,-4.,9.)); #211687=CARTESIAN_POINT('',(3.9,-4.,8.)); #211688=CARTESIAN_POINT('Origin',(2.9,-4.,8.)); #211689=CARTESIAN_POINT('',(2.9,-2.45,9.)); #211690=CARTESIAN_POINT('',(2.9,0.,9.)); #211691=CARTESIAN_POINT('',(3.9,-2.45,8.)); #211692=CARTESIAN_POINT('Origin',(2.9,-2.45,8.)); #211693=CARTESIAN_POINT('',(3.9,0.,8.)); #211694=CARTESIAN_POINT('Origin',(2.65,-4.,5.)); #211695=CARTESIAN_POINT('',(3.9,-4.,9.)); #211696=CARTESIAN_POINT('',(3.9,-4.,2.)); #211697=CARTESIAN_POINT('',(3.9,-4.,0.999999999999996)); #211698=CARTESIAN_POINT('',(2.9,-4.,0.999999999999996)); #211699=CARTESIAN_POINT('Origin',(2.9,-4.,2.)); #211700=CARTESIAN_POINT('',(2.4,-4.,0.999999999999996)); #211701=CARTESIAN_POINT('',(1.4,-4.,0.999999999999996)); #211702=CARTESIAN_POINT('Origin',(2.4,-4.,2.)); #211703=CARTESIAN_POINT('Origin',(3.9,0.,0.999999999999996)); #211704=CARTESIAN_POINT('',(3.9,-2.45,2.1)); #211705=CARTESIAN_POINT('',(3.9,-2.45,6.75)); #211706=CARTESIAN_POINT('',(3.9,-0.75,2.1)); #211707=CARTESIAN_POINT('',(3.9,-0.375,2.1)); #211708=CARTESIAN_POINT('',(3.9,-0.75,2.)); #211709=CARTESIAN_POINT('',(3.9,-0.75,6.75)); #211710=CARTESIAN_POINT('',(3.9,0.,2.)); #211711=CARTESIAN_POINT('Origin',(2.9,0.,2.)); #211712=CARTESIAN_POINT('',(3.7,-0.75,1.4)); #211713=CARTESIAN_POINT('Origin',(2.9,-0.75,2.)); #211714=CARTESIAN_POINT('',(3.7,0.,1.4)); #211715=CARTESIAN_POINT('',(3.7,0.,1.4)); #211716=CARTESIAN_POINT('',(2.9,0.,0.999999999999996)); #211717=CARTESIAN_POINT('Origin',(2.9,0.,2.)); #211718=CARTESIAN_POINT('',(2.9,0.,0.999999999999996)); #211719=CARTESIAN_POINT('Origin',(2.4,0.,2.)); #211720=CARTESIAN_POINT('',(2.4,0.,0.999999999999996)); #211721=CARTESIAN_POINT('',(2.4,0.,0.999999999999996)); #211722=CARTESIAN_POINT('Origin',(2.4,0.,2.)); #211723=CARTESIAN_POINT('Origin',(1.4,0.,0.999999999999996)); #211724=CARTESIAN_POINT('',(9.45,0.,0.999999999999996)); #211725=CARTESIAN_POINT('Origin',(3.9,0.,9.)); #211726=CARTESIAN_POINT('',(10.7,-2.45,9.)); #211727=CARTESIAN_POINT('Origin',(1.4,0.,1.4)); #211728=CARTESIAN_POINT('',(32.6,-0.75,1.4)); #211729=CARTESIAN_POINT('',(1.4,-0.75,1.4)); #211730=CARTESIAN_POINT('',(32.6,0.,1.4)); #211731=CARTESIAN_POINT('',(32.6,0.,1.4)); #211732=CARTESIAN_POINT('',(9.45,0.,1.4)); #211733=CARTESIAN_POINT('Origin',(3.5,-0.75,2.1)); #211734=CARTESIAN_POINT('',(31.5,-2.45,2.1)); #211735=CARTESIAN_POINT('',(2.1,-2.45,2.1)); #211736=CARTESIAN_POINT('',(31.5,-0.75,2.1)); #211737=CARTESIAN_POINT('',(31.5,-0.75,2.1)); #211738=CARTESIAN_POINT('',(10.5,-0.75,2.1)); #211739=CARTESIAN_POINT('Origin',(17.5,-2.45,12.5)); #211740=CARTESIAN_POINT('',(3.20236433224673,-2.45,10.4896560458855)); #211741=CARTESIAN_POINT('Origin',(2.7,-2.45,9.62500000000001)); #211742=CARTESIAN_POINT('',(3.20236433224673,-2.45,14.5103439541145)); #211743=CARTESIAN_POINT('Origin',(1.4,-2.45,12.5)); #211744=CARTESIAN_POINT('',(2.1,-2.45,16.175)); #211745=CARTESIAN_POINT('Origin',(2.7,-2.45,15.375)); #211746=CARTESIAN_POINT('',(2.1,-2.45,21.5)); #211747=CARTESIAN_POINT('',(2.1,-2.45,22.9)); #211748=CARTESIAN_POINT('',(3.5,-2.45,22.9)); #211749=CARTESIAN_POINT('Origin',(2.7,-2.45,22.3)); #211750=CARTESIAN_POINT('',(31.5,-2.45,22.9)); #211751=CARTESIAN_POINT('',(32.9,-2.45,22.9)); #211752=CARTESIAN_POINT('',(32.9,-2.45,21.5)); #211753=CARTESIAN_POINT('Origin',(32.3,-2.45,22.3)); #211754=CARTESIAN_POINT('',(32.9,-2.45,16.175)); #211755=CARTESIAN_POINT('',(32.9,-2.45,2.1)); #211756=CARTESIAN_POINT('',(31.7976356677533,-2.45,14.5103439541145)); #211757=CARTESIAN_POINT('Origin',(32.3,-2.45,15.375)); #211758=CARTESIAN_POINT('',(31.7976356677533,-2.45,10.4896560458855)); #211759=CARTESIAN_POINT('Origin',(33.6,-2.45,12.5)); #211760=CARTESIAN_POINT('',(32.9,-2.45,8.825)); #211761=CARTESIAN_POINT('Origin',(32.3,-2.45,9.62500000000001)); #211762=CARTESIAN_POINT('',(32.9,-2.45,3.5)); #211763=CARTESIAN_POINT('',(32.9,-2.45,2.1)); #211764=CARTESIAN_POINT('Origin',(32.3,-2.45,2.7)); #211765=CARTESIAN_POINT('',(30.,-2.45,9.49999999999999)); #211766=CARTESIAN_POINT('',(30.,-2.45,15.5)); #211767=CARTESIAN_POINT('',(30.,-2.45,10.5)); #211768=CARTESIAN_POINT('',(29.,-2.45,16.5)); #211769=CARTESIAN_POINT('Origin',(29.,-2.45,15.5)); #211770=CARTESIAN_POINT('',(6.,-2.45,16.5)); #211771=CARTESIAN_POINT('',(23.75,-2.45,16.5)); #211772=CARTESIAN_POINT('',(5.,-2.45,15.5)); #211773=CARTESIAN_POINT('Origin',(6.,-2.45,15.5)); #211774=CARTESIAN_POINT('',(5.,-2.45,9.49999999999999)); #211775=CARTESIAN_POINT('',(5.,-2.45,14.5)); #211776=CARTESIAN_POINT('',(6.,-2.45,8.49999999999999)); #211777=CARTESIAN_POINT('Origin',(6.,-2.45,9.49999999999999)); #211778=CARTESIAN_POINT('',(29.,-2.45,8.49999999999999)); #211779=CARTESIAN_POINT('',(11.25,-2.45,8.49999999999999)); #211780=CARTESIAN_POINT('Origin',(29.,-2.45,9.49999999999999)); #211781=CARTESIAN_POINT('Origin',(17.5,-0.75,12.5)); #211782=CARTESIAN_POINT('',(32.9,-0.75,3.5)); #211783=CARTESIAN_POINT('Origin',(32.3,-0.75,2.7)); #211784=CARTESIAN_POINT('',(32.9,-0.75,8.825)); #211785=CARTESIAN_POINT('',(32.9,-0.75,8.)); #211786=CARTESIAN_POINT('',(31.7976356677533,-0.75,10.4896560458855)); #211787=CARTESIAN_POINT('Origin',(32.3,-0.75,9.62500000000001)); #211788=CARTESIAN_POINT('',(31.7976356677533,-0.75,14.5103439541145)); #211789=CARTESIAN_POINT('Origin',(33.6,-0.75,12.5)); #211790=CARTESIAN_POINT('',(32.9,-0.75,16.175)); #211791=CARTESIAN_POINT('Origin',(32.3,-0.75,15.375)); #211792=CARTESIAN_POINT('',(32.9,-0.75,21.5)); #211793=CARTESIAN_POINT('',(32.9,-0.75,14.3375)); #211794=CARTESIAN_POINT('',(31.5,-0.75,22.9)); #211795=CARTESIAN_POINT('Origin',(32.3,-0.75,22.3)); #211796=CARTESIAN_POINT('',(3.5,-0.75,22.9)); #211797=CARTESIAN_POINT('',(24.5,-0.75,22.9)); #211798=CARTESIAN_POINT('',(2.1,-0.75,21.5)); #211799=CARTESIAN_POINT('Origin',(2.7,-0.75,22.3)); #211800=CARTESIAN_POINT('',(2.1,-0.75,16.175)); #211801=CARTESIAN_POINT('',(2.1,-0.75,17.)); #211802=CARTESIAN_POINT('',(3.20236433224673,-0.75,14.5103439541145)); #211803=CARTESIAN_POINT('Origin',(2.7,-0.75,15.375)); #211804=CARTESIAN_POINT('',(3.20236433224673,-0.75,10.4896560458855)); #211805=CARTESIAN_POINT('Origin',(1.4,-0.75,12.5)); #211806=CARTESIAN_POINT('Origin',(2.7,-0.75,9.62500000000001)); #211807=CARTESIAN_POINT('',(2.56393431211936,-0.75,10.9258196483582)); #211808=CARTESIAN_POINT('Origin',(2.,-0.75,10.1)); #211809=CARTESIAN_POINT('',(2.56393431211936,-0.75,14.0741803516418)); #211810=CARTESIAN_POINT('Origin',(1.5,-0.75,12.5)); #211811=CARTESIAN_POINT('',(1.4,-0.75,15.7)); #211812=CARTESIAN_POINT('Origin',(2.,-0.75,14.9)); #211813=CARTESIAN_POINT('',(1.4,-0.75,22.6)); #211814=CARTESIAN_POINT('',(1.4,-0.75,23.6)); #211815=CARTESIAN_POINT('',(2.4,-0.75,23.6)); #211816=CARTESIAN_POINT('Origin',(2.4,-0.75,22.6)); #211817=CARTESIAN_POINT('',(32.6,-0.75,23.6)); #211818=CARTESIAN_POINT('',(33.6,-0.75,23.6)); #211819=CARTESIAN_POINT('',(33.6,-0.75,22.6)); #211820=CARTESIAN_POINT('Origin',(32.6,-0.75,22.6)); #211821=CARTESIAN_POINT('',(33.6,-0.75,15.7)); #211822=CARTESIAN_POINT('',(33.6,-0.75,1.4)); #211823=CARTESIAN_POINT('',(32.4360656878806,-0.75,14.0741803516418)); #211824=CARTESIAN_POINT('Origin',(33.,-0.75,14.9)); #211825=CARTESIAN_POINT('',(32.4360656878806,-0.75,10.9258196483582)); #211826=CARTESIAN_POINT('Origin',(33.5,-0.75,12.5)); #211827=CARTESIAN_POINT('',(33.6,-0.75,9.3)); #211828=CARTESIAN_POINT('Origin',(33.,-0.75,10.1)); #211829=CARTESIAN_POINT('',(33.6,-0.75,2.4)); #211830=CARTESIAN_POINT('',(33.6,-0.75,1.4)); #211831=CARTESIAN_POINT('Origin',(32.6,-0.75,2.4)); #211832=CARTESIAN_POINT('Origin',(6.,-2.45,15.5)); #211833=CARTESIAN_POINT('',(5.,-3.05,15.5)); #211834=CARTESIAN_POINT('',(6.,-3.05,16.5)); #211835=CARTESIAN_POINT('Origin',(6.,-3.05,15.5)); #211836=CARTESIAN_POINT('',(5.,-2.45,15.5)); #211837=CARTESIAN_POINT('',(6.,-2.45,16.5)); #211838=CARTESIAN_POINT('Origin',(5.,-2.45,16.5)); #211839=CARTESIAN_POINT('',(5.,-3.05,9.49999999999999)); #211840=CARTESIAN_POINT('',(5.,-3.05,16.5)); #211841=CARTESIAN_POINT('',(5.,-2.45,9.49999999999999)); #211842=CARTESIAN_POINT('Origin',(29.,-2.45,15.5)); #211843=CARTESIAN_POINT('',(29.,-3.05,16.5)); #211844=CARTESIAN_POINT('',(30.,-3.05,15.5)); #211845=CARTESIAN_POINT('Origin',(29.,-3.05,15.5)); #211846=CARTESIAN_POINT('',(29.,-2.45,16.5)); #211847=CARTESIAN_POINT('',(30.,-2.45,15.5)); #211848=CARTESIAN_POINT('Origin',(17.5,-3.05,12.5)); #211849=CARTESIAN_POINT('',(30.,-3.05,16.5)); #211850=CARTESIAN_POINT('',(30.,-3.05,9.49999999999999)); #211851=CARTESIAN_POINT('',(30.,-3.05,8.49999999999999)); #211852=CARTESIAN_POINT('',(29.,-3.05,8.49999999999999)); #211853=CARTESIAN_POINT('Origin',(29.,-3.05,9.49999999999999)); #211854=CARTESIAN_POINT('',(6.,-3.05,8.49999999999999)); #211855=CARTESIAN_POINT('',(5.,-3.05,8.49999999999999)); #211856=CARTESIAN_POINT('Origin',(6.,-3.05,9.49999999999999)); #211857=CARTESIAN_POINT('Origin',(30.,-2.45,8.49999999999999)); #211858=CARTESIAN_POINT('',(30.,-2.45,9.49999999999999)); #211859=CARTESIAN_POINT('Origin',(29.,-2.45,9.49999999999999)); #211860=CARTESIAN_POINT('',(29.,-2.45,8.49999999999999)); #211861=CARTESIAN_POINT('Origin',(5.,-2.45,8.49999999999999)); #211862=CARTESIAN_POINT('',(6.,-2.45,8.49999999999999)); #211863=CARTESIAN_POINT('Origin',(6.,-2.45,9.49999999999999)); #211864=CARTESIAN_POINT('Origin',(30.,-2.45,16.5)); #211865=CARTESIAN_POINT('Origin',(32.9,-0.75,3.5)); #211866=CARTESIAN_POINT('',(32.9,-0.75,3.5)); #211867=CARTESIAN_POINT('',(32.9,-0.75,8.825)); #211868=CARTESIAN_POINT('Origin',(32.3,-0.75,2.7)); #211869=CARTESIAN_POINT('Origin',(2.7,-0.75,9.62500000000001)); #211870=CARTESIAN_POINT('',(3.20236433224673,-0.75,10.4896560458855)); #211871=CARTESIAN_POINT('Origin',(1.4,-0.75,12.5)); #211872=CARTESIAN_POINT('',(3.20236433224673,-0.75,14.5103439541145)); #211873=CARTESIAN_POINT('Origin',(2.7,-0.75,15.375)); #211874=CARTESIAN_POINT('',(2.1,-0.75,16.175)); #211875=CARTESIAN_POINT('Origin',(2.1,-0.75,21.5)); #211876=CARTESIAN_POINT('',(2.1,-0.75,21.5)); #211877=CARTESIAN_POINT('Origin',(2.7,-0.75,22.3)); #211878=CARTESIAN_POINT('',(3.5,-0.75,22.9)); #211879=CARTESIAN_POINT('Origin',(31.5,-0.75,22.9)); #211880=CARTESIAN_POINT('',(31.5,-0.75,22.9)); #211881=CARTESIAN_POINT('Origin',(32.3,-0.75,22.3)); #211882=CARTESIAN_POINT('',(32.9,-0.75,21.5)); #211883=CARTESIAN_POINT('Origin',(32.9,-0.75,16.175)); #211884=CARTESIAN_POINT('',(32.9,-0.75,16.175)); #211885=CARTESIAN_POINT('Origin',(32.3,-0.75,15.375)); #211886=CARTESIAN_POINT('',(31.7976356677533,-0.75,14.5103439541145)); #211887=CARTESIAN_POINT('Origin',(33.6,-0.75,12.5)); #211888=CARTESIAN_POINT('',(31.7976356677533,-0.75,10.4896560458855)); #211889=CARTESIAN_POINT('Origin',(32.3,-0.75,9.62500000000001)); #211890=CARTESIAN_POINT('Origin',(32.6,0.,22.6)); #211891=CARTESIAN_POINT('',(32.6,0.,23.6)); #211892=CARTESIAN_POINT('',(32.6,0.,23.6)); #211893=CARTESIAN_POINT('',(33.6,0.,22.6)); #211894=CARTESIAN_POINT('Origin',(32.6,0.,22.6)); #211895=CARTESIAN_POINT('',(33.6,0.,22.6)); #211896=CARTESIAN_POINT('Origin',(33.6,0.,15.7)); #211897=CARTESIAN_POINT('',(33.6,0.,15.7)); #211898=CARTESIAN_POINT('',(33.6,0.,14.1)); #211899=CARTESIAN_POINT('',(33.6,0.,15.7)); #211900=CARTESIAN_POINT('Origin',(32.6,0.,2.4)); #211901=CARTESIAN_POINT('',(33.6,0.,2.4)); #211902=CARTESIAN_POINT('',(33.6,0.,2.4)); #211903=CARTESIAN_POINT('Origin',(32.6,0.,2.4)); #211904=CARTESIAN_POINT('Origin',(2.4,0.,22.6)); #211905=CARTESIAN_POINT('',(1.4,0.,22.6)); #211906=CARTESIAN_POINT('',(1.4,0.,22.6)); #211907=CARTESIAN_POINT('',(2.4,0.,23.6)); #211908=CARTESIAN_POINT('Origin',(2.4,0.,22.6)); #211909=CARTESIAN_POINT('',(2.4,0.,23.6)); #211910=CARTESIAN_POINT('Origin',(33.6,0.,23.6)); #211911=CARTESIAN_POINT('',(25.55,0.,23.6)); #211912=CARTESIAN_POINT('Origin',(33.6,0.,1.4)); #211913=CARTESIAN_POINT('',(33.6,0.,9.3)); #211914=CARTESIAN_POINT('',(33.6,0.,9.3)); #211915=CARTESIAN_POINT('',(33.6,0.,6.95)); #211916=CARTESIAN_POINT('Origin',(2.,0.,10.1)); #211917=CARTESIAN_POINT('',(2.56393431211936,0.,10.9258196483582)); #211918=CARTESIAN_POINT('Origin',(2.,0.,10.1)); #211919=CARTESIAN_POINT('',(2.56393431211936,0.,10.9258196483582)); #211920=CARTESIAN_POINT('Origin',(1.5,0.,12.5)); #211921=CARTESIAN_POINT('',(2.56393431211936,0.,14.0741803516418)); #211922=CARTESIAN_POINT('Origin',(1.5,0.,12.5)); #211923=CARTESIAN_POINT('',(2.56393431211936,0.,14.0741803516418)); #211924=CARTESIAN_POINT('Origin',(2.,0.,14.9)); #211925=CARTESIAN_POINT('',(1.4,0.,15.7)); #211926=CARTESIAN_POINT('Origin',(2.,0.,14.9)); #211927=CARTESIAN_POINT('',(1.4,0.,15.7)); #211928=CARTESIAN_POINT('Origin',(1.4,0.,23.6)); #211929=CARTESIAN_POINT('',(1.4,0.,18.05)); #211930=CARTESIAN_POINT('Origin',(33.,0.,14.9)); #211931=CARTESIAN_POINT('',(32.4360656878806,0.,14.0741803516418)); #211932=CARTESIAN_POINT('Origin',(33.,0.,14.9)); #211933=CARTESIAN_POINT('',(32.4360656878806,0.,14.0741803516418)); #211934=CARTESIAN_POINT('Origin',(33.5,0.,12.5)); #211935=CARTESIAN_POINT('',(32.4360656878806,0.,10.9258196483582)); #211936=CARTESIAN_POINT('Origin',(33.5,0.,12.5)); #211937=CARTESIAN_POINT('',(32.4360656878806,0.,10.9258196483582)); #211938=CARTESIAN_POINT('Origin',(33.,0.,10.1)); #211939=CARTESIAN_POINT('Origin',(33.,0.,10.1)); #211940=CARTESIAN_POINT('Origin',(35.,-4.25,4.99999999999998)); #211941=CARTESIAN_POINT('',(5.99999999999999,-4.25,4.99999999999997)); #211942=CARTESIAN_POINT('',(5.99999999999999,-2.75,4.99999999999997)); #211943=CARTESIAN_POINT('',(5.99999999999999,-4.25,4.99999999999997)); #211944=CARTESIAN_POINT('',(35.,-4.25,4.99999999999998)); #211945=CARTESIAN_POINT('',(26.25,-4.25,4.99999999999998)); #211946=CARTESIAN_POINT('',(35.,-2.75,4.99999999999998)); #211947=CARTESIAN_POINT('',(35.,-2.125,4.99999999999998)); #211948=CARTESIAN_POINT('',(35.,-2.75,4.99999999999998)); #211949=CARTESIAN_POINT('Origin',(5.99999999999999,-4.25,5.99999999999998)); #211950=CARTESIAN_POINT('',(5.99999999999999,-2.75,6.99999999999998)); #211951=CARTESIAN_POINT('Origin',(5.99999999999999,-2.75,5.99999999999998)); #211952=CARTESIAN_POINT('',(5.99999999999999,-4.25,6.99999999999998)); #211953=CARTESIAN_POINT('',(5.99999999999999,-4.25,6.99999999999998)); #211954=CARTESIAN_POINT('Origin',(5.99999999999999,-4.25,5.99999999999998)); #211955=CARTESIAN_POINT('Origin',(5.99999999999999,-4.25,2.99999999999997)); #211956=CARTESIAN_POINT('',(5.99999999999999,-2.75,3.99999999999997)); #211957=CARTESIAN_POINT('',(5.99999999999999,-2.75,1.99999999999997)); #211958=CARTESIAN_POINT('Origin',(5.99999999999999,-2.75,2.99999999999997)); #211959=CARTESIAN_POINT('',(5.99999999999999,-4.25,3.99999999999997)); #211960=CARTESIAN_POINT('',(5.99999999999999,-4.25,3.99999999999997)); #211961=CARTESIAN_POINT('',(5.99999999999999,-4.25,1.99999999999997)); #211962=CARTESIAN_POINT('Origin',(5.99999999999999,-4.25,2.99999999999997)); #211963=CARTESIAN_POINT('',(5.99999999999999,-4.25,1.99999999999997)); #211964=CARTESIAN_POINT('Origin',(35.,-4.25,1.99999999999998)); #211965=CARTESIAN_POINT('',(35.,-4.25,1.99999999999998)); #211966=CARTESIAN_POINT('',(26.25,-4.25,1.99999999999998)); #211967=CARTESIAN_POINT('',(35.,-2.75,1.99999999999998)); #211968=CARTESIAN_POINT('',(35.,-2.125,1.99999999999998)); #211969=CARTESIAN_POINT('',(35.,-2.75,1.99999999999998)); #211970=CARTESIAN_POINT('Origin',(6.,-4.25,9.5)); #211971=CARTESIAN_POINT('',(5.,-4.05,9.5)); #211972=CARTESIAN_POINT('',(6.,-4.05,8.5)); #211973=CARTESIAN_POINT('Origin',(6.,-4.05,9.5)); #211974=CARTESIAN_POINT('',(5.,-4.25,9.5)); #211975=CARTESIAN_POINT('',(5.,-4.25,9.5)); #211976=CARTESIAN_POINT('',(6.,-4.25,8.5)); #211977=CARTESIAN_POINT('Origin',(6.,-4.25,9.5)); #211978=CARTESIAN_POINT('',(6.,-4.25,8.5)); #211979=CARTESIAN_POINT('Origin',(5.,-4.25,8.5)); #211980=CARTESIAN_POINT('',(5.,-4.05,15.5)); #211981=CARTESIAN_POINT('',(5.,-4.05,8.5)); #211982=CARTESIAN_POINT('',(5.,-4.25,15.5)); #211983=CARTESIAN_POINT('',(5.,-4.25,15.5)); #211984=CARTESIAN_POINT('',(5.,-4.25,10.5)); #211985=CARTESIAN_POINT('Origin',(29.,-4.25,9.5)); #211986=CARTESIAN_POINT('',(29.,-4.05,8.50000000000001)); #211987=CARTESIAN_POINT('',(30.,-4.05,9.5)); #211988=CARTESIAN_POINT('Origin',(29.,-4.05,9.5)); #211989=CARTESIAN_POINT('',(29.,-4.25,8.50000000000001)); #211990=CARTESIAN_POINT('',(29.,-4.25,8.50000000000001)); #211991=CARTESIAN_POINT('',(30.,-4.25,9.5)); #211992=CARTESIAN_POINT('Origin',(29.,-4.25,9.5)); #211993=CARTESIAN_POINT('',(30.,-4.25,9.5)); #211994=CARTESIAN_POINT('Origin',(17.5,-4.05,12.5)); #211995=CARTESIAN_POINT('',(30.,-4.05,8.50000000000001)); #211996=CARTESIAN_POINT('',(30.,-4.05,15.5)); #211997=CARTESIAN_POINT('',(30.,-4.05,16.5)); #211998=CARTESIAN_POINT('',(29.,-4.05,16.5)); #211999=CARTESIAN_POINT('Origin',(29.,-4.05,15.5)); #212000=CARTESIAN_POINT('',(6.,-4.05,16.5)); #212001=CARTESIAN_POINT('',(5.,-4.05,16.5)); #212002=CARTESIAN_POINT('Origin',(6.,-4.05,15.5)); #212003=CARTESIAN_POINT('Origin',(30.,-4.25,16.5)); #212004=CARTESIAN_POINT('',(30.,-4.25,15.5)); #212005=CARTESIAN_POINT('',(30.,-4.25,14.5)); #212006=CARTESIAN_POINT('',(30.,-4.25,15.5)); #212007=CARTESIAN_POINT('Origin',(29.,-4.25,15.5)); #212008=CARTESIAN_POINT('',(29.,-4.25,16.5)); #212009=CARTESIAN_POINT('Origin',(29.,-4.25,15.5)); #212010=CARTESIAN_POINT('',(29.,-4.25,16.5)); #212011=CARTESIAN_POINT('Origin',(5.,-4.25,16.5)); #212012=CARTESIAN_POINT('',(6.,-4.25,16.5)); #212013=CARTESIAN_POINT('',(11.25,-4.25,16.5)); #212014=CARTESIAN_POINT('',(6.,-4.25,16.5)); #212015=CARTESIAN_POINT('Origin',(6.,-4.25,15.5)); #212016=CARTESIAN_POINT('Origin',(6.,-4.25,15.5)); #212017=CARTESIAN_POINT('Origin',(4.99999999999999,-4.25,3.99999999999997)); #212018=CARTESIAN_POINT('',(35.,-2.75,3.99999999999998)); #212019=CARTESIAN_POINT('',(4.99999999999999,-2.75,3.99999999999997)); #212020=CARTESIAN_POINT('',(35.,-4.25,3.99999999999998)); #212021=CARTESIAN_POINT('',(35.,-2.125,3.99999999999998)); #212022=CARTESIAN_POINT('',(11.25,-4.25,3.99999999999998)); #212023=CARTESIAN_POINT('Origin',(20.,-2.75,2.99999999999997)); #212024=CARTESIAN_POINT('',(35.,-2.75,1.49999999999999)); #212025=CARTESIAN_POINT('Origin',(0.,-4.25,23.)); #212026=CARTESIAN_POINT('',(35.,-4.25,23.)); #212027=CARTESIAN_POINT('',(8.75,-4.25,23.)); #212028=CARTESIAN_POINT('',(35.,-2.75,23.)); #212029=CARTESIAN_POINT('',(0.,-2.75,23.)); #212030=CARTESIAN_POINT('',(35.,-2.125,23.)); #212031=CARTESIAN_POINT('Origin',(35.,-4.25,21.)); #212032=CARTESIAN_POINT('',(35.,-4.25,21.)); #212033=CARTESIAN_POINT('',(26.25,-4.25,21.)); #212034=CARTESIAN_POINT('',(35.,-2.75,21.)); #212035=CARTESIAN_POINT('',(35.,-2.125,21.)); #212036=CARTESIAN_POINT('',(35.,-2.75,21.)); #212037=CARTESIAN_POINT('Origin',(17.5,-2.75,22.)); #212038=CARTESIAN_POINT('',(35.,-2.75,11.)); #212039=CARTESIAN_POINT('Origin',(4.99999999999999,-4.25,6.99999999999998)); #212040=CARTESIAN_POINT('',(35.,-2.75,6.99999999999998)); #212041=CARTESIAN_POINT('',(4.99999999999999,-2.75,6.99999999999998)); #212042=CARTESIAN_POINT('',(35.,-4.25,6.99999999999998)); #212043=CARTESIAN_POINT('',(35.,-2.125,6.99999999999998)); #212044=CARTESIAN_POINT('',(11.25,-4.25,6.99999999999998)); #212045=CARTESIAN_POINT('Origin',(20.,-2.75,5.99999999999997)); #212046=CARTESIAN_POINT('',(35.,-2.75,2.99999999999999)); #212047=CARTESIAN_POINT('Origin',(0.,-4.25,20.)); #212048=CARTESIAN_POINT('',(35.,-4.25,20.)); #212049=CARTESIAN_POINT('',(8.75,-4.25,20.)); #212050=CARTESIAN_POINT('',(35.,-2.75,20.)); #212051=CARTESIAN_POINT('',(0.,-2.75,20.)); #212052=CARTESIAN_POINT('',(35.,-2.125,20.)); #212053=CARTESIAN_POINT('Origin',(35.,-4.25,18.)); #212054=CARTESIAN_POINT('',(35.,-4.25,18.)); #212055=CARTESIAN_POINT('',(26.25,-4.25,18.)); #212056=CARTESIAN_POINT('',(35.,-2.75,18.)); #212057=CARTESIAN_POINT('',(35.,-2.125,18.)); #212058=CARTESIAN_POINT('',(35.,-2.75,18.)); #212059=CARTESIAN_POINT('Origin',(17.5,-2.75,19.)); #212060=CARTESIAN_POINT('',(35.,-2.75,9.5)); #212061=CARTESIAN_POINT('Origin',(17.5,-4.25,12.5)); #212062=CARTESIAN_POINT('',(35.,-4.25,23.9)); #212063=CARTESIAN_POINT('',(35.,-4.25,0.)); #212064=CARTESIAN_POINT('',(34.7,-4.25,24.2)); #212065=CARTESIAN_POINT('',(33.4,-4.25,25.5)); #212066=CARTESIAN_POINT('',(22.6213203435596,-4.25,24.2)); #212067=CARTESIAN_POINT('',(20.0606601717798,-4.25,24.2)); #212068=CARTESIAN_POINT('',(21.5606601717798,-4.25,24.6393398282202)); #212069=CARTESIAN_POINT('Origin',(22.6213203435596,-4.25,25.7)); #212070=CARTESIAN_POINT('',(21.2,-4.25,25.)); #212071=CARTESIAN_POINT('',(23.4,-4.25,22.8)); #212072=CARTESIAN_POINT('',(19.8,-4.25,25.)); #212073=CARTESIAN_POINT('',(35.,-4.25,25.)); #212074=CARTESIAN_POINT('',(19.4393398282202,-4.25,24.6393398282202)); #212075=CARTESIAN_POINT('',(15.9196699141101,-4.25,21.1196699141101)); #212076=CARTESIAN_POINT('',(18.3786796564404,-4.25,24.2)); #212077=CARTESIAN_POINT('Origin',(18.3786796564404,-4.25,25.7)); #212078=CARTESIAN_POINT('',(16.6213203435596,-4.25,24.2)); #212079=CARTESIAN_POINT('',(17.0606601717798,-4.25,24.2)); #212080=CARTESIAN_POINT('',(15.5606601717798,-4.25,24.6393398282202)); #212081=CARTESIAN_POINT('Origin',(16.6213203435596,-4.25,25.7)); #212082=CARTESIAN_POINT('',(15.2,-4.25,25.)); #212083=CARTESIAN_POINT('',(18.9,-4.25,21.3)); #212084=CARTESIAN_POINT('',(13.8,-4.25,25.)); #212085=CARTESIAN_POINT('',(35.,-4.25,25.)); #212086=CARTESIAN_POINT('',(13.4393398282202,-4.25,24.6393398282202)); #212087=CARTESIAN_POINT('',(11.4196699141101,-4.25,22.61966991411)); #212088=CARTESIAN_POINT('',(12.3786796564404,-4.25,24.2)); #212089=CARTESIAN_POINT('Origin',(12.3786796564404,-4.25,25.7)); #212090=CARTESIAN_POINT('',(10.6213203435596,-4.25,24.2)); #212091=CARTESIAN_POINT('',(14.0606601717798,-4.25,24.2)); #212092=CARTESIAN_POINT('',(9.56066017177982,-4.25,24.6393398282202)); #212093=CARTESIAN_POINT('Origin',(10.6213203435596,-4.25,25.7)); #212094=CARTESIAN_POINT('',(9.2,-4.25,25.)); #212095=CARTESIAN_POINT('',(14.4,-4.25,19.8)); #212096=CARTESIAN_POINT('',(7.8,-4.25,25.)); #212097=CARTESIAN_POINT('',(35.,-4.25,25.)); #212098=CARTESIAN_POINT('',(7.43933982822017,-4.25,24.6393398282202)); #212099=CARTESIAN_POINT('',(6.91966991411007,-4.25,24.1196699141101)); #212100=CARTESIAN_POINT('',(6.37867965644035,-4.25,24.2)); #212101=CARTESIAN_POINT('Origin',(6.37867965644036,-4.25,25.7)); #212102=CARTESIAN_POINT('',(4.62132034355964,-4.25,24.2)); #212103=CARTESIAN_POINT('',(11.0606601717798,-4.25,24.2)); #212104=CARTESIAN_POINT('',(3.56066017177982,-4.25,24.6393398282202)); #212105=CARTESIAN_POINT('Origin',(4.62132034355963,-4.25,25.7)); #212106=CARTESIAN_POINT('',(3.2,-4.25,25.)); #212107=CARTESIAN_POINT('',(9.89999999999997,-4.25,18.3)); #212108=CARTESIAN_POINT('',(0.299999999999998,-4.25,25.)); #212109=CARTESIAN_POINT('',(35.,-4.25,25.)); #212110=CARTESIAN_POINT('',(1.40000000000009,-4.25,26.1000000000001)); #212111=CARTESIAN_POINT('Origin',(17.5,-4.25,12.5)); #212112=CARTESIAN_POINT('',(35.,-4.25,0.)); #212113=CARTESIAN_POINT('Origin',(17.5,-4.25,12.5)); #212114=CARTESIAN_POINT('',(35.,-4.25,0.)); #212115=CARTESIAN_POINT('',(35.,-4.25,0.)); #212116=CARTESIAN_POINT('',(0.3,-4.25,0.)); #212117=CARTESIAN_POINT('',(1.4,-4.25,-1.1)); #212118=CARTESIAN_POINT('',(3.2,-4.25,-4.44089209850063E-15)); #212119=CARTESIAN_POINT('',(0.,-4.25,0.)); #212120=CARTESIAN_POINT('',(3.56066017177982,-4.25,0.360660171779819)); #212121=CARTESIAN_POINT('',(10.0803300858899,-4.25,6.88033008588991)); #212122=CARTESIAN_POINT('',(4.62132034355964,-4.25,0.799999999999996)); #212123=CARTESIAN_POINT('Origin',(4.62132034355964,-4.25,-0.69999999999999)); #212124=CARTESIAN_POINT('',(6.37867965644036,-4.25,0.799999999999996)); #212125=CARTESIAN_POINT('',(11.9393398282202,-4.25,0.799999999999996)); #212126=CARTESIAN_POINT('',(7.43933982822018,-4.25,0.360660171779819)); #212127=CARTESIAN_POINT('Origin',(6.37867965644036,-4.25,-0.69999999999999)); #212128=CARTESIAN_POINT('',(7.8,-4.25,-4.44089209850063E-15)); #212129=CARTESIAN_POINT('',(7.1,-4.25,0.699999999999997)); #212130=CARTESIAN_POINT('',(9.2,-4.25,-2.22044604925031E-15)); #212131=CARTESIAN_POINT('',(0.,-4.25,0.)); #212132=CARTESIAN_POINT('',(9.56066017177982,-4.25,0.360660171779821)); #212133=CARTESIAN_POINT('',(14.5803300858899,-4.25,5.38033008588993)); #212134=CARTESIAN_POINT('',(10.6213203435596,-4.25,0.799999999999999)); #212135=CARTESIAN_POINT('Origin',(10.6213203435596,-4.25,-0.699999999999987)); #212136=CARTESIAN_POINT('',(12.3786796564404,-4.25,0.799999999999998)); #212137=CARTESIAN_POINT('',(14.9393398282202,-4.25,0.799999999999998)); #212138=CARTESIAN_POINT('',(13.4393398282202,-4.25,0.360660171779821)); #212139=CARTESIAN_POINT('Origin',(12.3786796564404,-4.25,-0.699999999999987)); #212140=CARTESIAN_POINT('',(13.8,-4.25,-2.22044604925031E-15)); #212141=CARTESIAN_POINT('',(11.6,-4.25,2.19999999999998)); #212142=CARTESIAN_POINT('',(15.2,-4.25,-2.22044604925031E-15)); #212143=CARTESIAN_POINT('',(0.,-4.25,0.)); #212144=CARTESIAN_POINT('',(15.5606601717798,-4.25,0.360660171779821)); #212145=CARTESIAN_POINT('',(19.0803300858899,-4.25,3.88033008588989)); #212146=CARTESIAN_POINT('',(16.6213203435596,-4.25,0.799999999999998)); #212147=CARTESIAN_POINT('Origin',(16.6213203435596,-4.25,-0.699999999999987)); #212148=CARTESIAN_POINT('',(18.3786796564404,-4.25,0.799999999999998)); #212149=CARTESIAN_POINT('',(17.9393398282202,-4.25,0.799999999999998)); #212150=CARTESIAN_POINT('',(19.4393398282202,-4.25,0.360660171779821)); #212151=CARTESIAN_POINT('Origin',(18.3786796564404,-4.25,-0.699999999999987)); #212152=CARTESIAN_POINT('',(19.8,-4.25,-2.22044604925031E-15)); #212153=CARTESIAN_POINT('',(16.1,-4.25,3.69999999999998)); #212154=CARTESIAN_POINT('',(21.2,-4.25,-2.22044604925031E-15)); #212155=CARTESIAN_POINT('',(0.,-4.25,0.)); #212156=CARTESIAN_POINT('',(21.5606601717798,-4.25,0.360660171779821)); #212157=CARTESIAN_POINT('',(23.5803300858899,-4.25,2.38033008588989)); #212158=CARTESIAN_POINT('',(22.6213203435596,-4.25,0.799999999999998)); #212159=CARTESIAN_POINT('Origin',(22.6213203435596,-4.25,-0.699999999999987)); #212160=CARTESIAN_POINT('',(34.7,-4.25,0.799999999999998)); #212161=CARTESIAN_POINT('',(26.2500001303852,-4.25,0.799999999999998)); #212162=CARTESIAN_POINT('',(35.,-4.25,1.1)); #212163=CARTESIAN_POINT('',(33.4,-4.25,-0.499999999999982)); #212164=CARTESIAN_POINT('',(35.,-4.25,0.)); #212165=CARTESIAN_POINT('',(0.4,-4.25,12.5)); #212166=CARTESIAN_POINT('Origin',(2.,-4.25,12.5)); #212167=CARTESIAN_POINT('',(31.4,-4.25,12.5)); #212168=CARTESIAN_POINT('Origin',(33.,-4.25,12.5)); #212169=CARTESIAN_POINT('',(23.75,-4.25,8.5)); #212170=CARTESIAN_POINT('Origin',(30.,-4.25,8.50000000000001)); #212171=CARTESIAN_POINT('Origin',(33.,-4.25,12.5)); #212172=CARTESIAN_POINT('',(31.4,-2.75,12.5)); #212173=CARTESIAN_POINT('',(31.4,-4.25,12.5)); #212174=CARTESIAN_POINT('Origin',(33.,-2.75,12.5)); #212175=CARTESIAN_POINT('Origin',(33.,-2.75,12.5)); #212176=CARTESIAN_POINT('',(33.85,-2.75,12.5)); #212177=CARTESIAN_POINT('Origin',(33.,-2.75,12.5)); #212178=CARTESIAN_POINT('Origin',(2.,-4.25,12.5)); #212179=CARTESIAN_POINT('',(0.4,-2.75,12.5)); #212180=CARTESIAN_POINT('',(0.4,-4.25,12.5)); #212181=CARTESIAN_POINT('Origin',(2.,-2.75,12.5)); #212182=CARTESIAN_POINT('Origin',(2.,-2.75,12.5)); #212183=CARTESIAN_POINT('',(2.85,-2.75,12.5)); #212184=CARTESIAN_POINT('Origin',(2.,-2.75,12.5)); #212185=CARTESIAN_POINT('Origin',(2.,0.,12.5)); #212186=CARTESIAN_POINT('',(2.85,0.,12.5)); #212187=CARTESIAN_POINT('Origin',(2.,0.,12.5)); #212188=CARTESIAN_POINT('',(2.85,0.,12.5)); #212189=CARTESIAN_POINT('Origin',(33.,0.,12.5)); #212190=CARTESIAN_POINT('',(33.85,0.,12.5)); #212191=CARTESIAN_POINT('Origin',(33.,0.,12.5)); #212192=CARTESIAN_POINT('',(33.85,0.,12.5)); #212193=CARTESIAN_POINT('Origin',(34.85,0.,0.949999999999999)); #212194=CARTESIAN_POINT('',(34.7,0.,0.799999999999998)); #212195=CARTESIAN_POINT('',(35.,0.,1.1)); #212196=CARTESIAN_POINT('',(33.4,0.,-0.499999999999982)); #212197=CARTESIAN_POINT('',(35.,0.,1.1)); #212198=CARTESIAN_POINT('',(34.7,0.,0.799999999999998)); #212199=CARTESIAN_POINT('Origin',(35.,0.,0.)); #212200=CARTESIAN_POINT('',(35.,0.,23.9)); #212201=CARTESIAN_POINT('',(35.,0.,0.)); #212202=CARTESIAN_POINT('',(35.,0.,23.9)); #212203=CARTESIAN_POINT('Origin',(34.85,0.,24.05)); #212204=CARTESIAN_POINT('',(34.7,0.,24.2)); #212205=CARTESIAN_POINT('',(33.4,0.,25.5)); #212206=CARTESIAN_POINT('',(34.7,0.,24.2)); #212207=CARTESIAN_POINT('Origin',(0.15,0.,24.85)); #212208=CARTESIAN_POINT('',(0.299999999999998,0.,25.)); #212209=CARTESIAN_POINT('',(0.3,0.,25.)); #212210=CARTESIAN_POINT('',(1.40000000000009,0.,26.1000000000001)); #212211=CARTESIAN_POINT('Origin',(0.15,0.,0.15)); #212212=CARTESIAN_POINT('',(0.3,0.,0.)); #212213=CARTESIAN_POINT('',(1.4,0.,-1.1)); #212214=CARTESIAN_POINT('',(0.3,0.,0.)); #212215=CARTESIAN_POINT('Origin',(13.4393398282202,0.,24.6393398282202)); #212216=CARTESIAN_POINT('',(13.8,0.,25.)); #212217=CARTESIAN_POINT('',(13.8,0.,25.)); #212218=CARTESIAN_POINT('',(13.4393398282202,0.,24.6393398282202)); #212219=CARTESIAN_POINT('',(11.4196699141101,0.,22.61966991411)); #212220=CARTESIAN_POINT('',(13.4393398282202,0.,24.6393398282202)); #212221=CARTESIAN_POINT('Origin',(12.3786796564404,0.,25.7)); #212222=CARTESIAN_POINT('',(12.3786796564404,0.,24.2)); #212223=CARTESIAN_POINT('Origin',(12.3786796564404,0.,25.7)); #212224=CARTESIAN_POINT('',(12.3786796564404,0.,24.2)); #212225=CARTESIAN_POINT('Origin',(10.6213203435596,0.,24.2)); #212226=CARTESIAN_POINT('',(10.6213203435596,0.,24.2)); #212227=CARTESIAN_POINT('',(14.0606601717798,0.,24.2)); #212228=CARTESIAN_POINT('',(10.6213203435596,0.,24.2)); #212229=CARTESIAN_POINT('Origin',(10.6213203435596,0.,25.7)); #212230=CARTESIAN_POINT('',(9.56066017177982,0.,24.6393398282202)); #212231=CARTESIAN_POINT('Origin',(10.6213203435596,0.,25.7)); #212232=CARTESIAN_POINT('',(9.56066017177982,0.,24.6393398282202)); #212233=CARTESIAN_POINT('Origin',(9.2,0.,25.)); #212234=CARTESIAN_POINT('',(9.2,0.,25.)); #212235=CARTESIAN_POINT('',(14.4,0.,19.8)); #212236=CARTESIAN_POINT('',(9.2,0.,25.)); #212237=CARTESIAN_POINT('Origin',(22.6213203435596,0.,24.2)); #212238=CARTESIAN_POINT('',(22.6213203435596,0.,24.2)); #212239=CARTESIAN_POINT('',(20.0606601717798,0.,24.2)); #212240=CARTESIAN_POINT('',(22.6213203435596,0.,24.2)); #212241=CARTESIAN_POINT('Origin',(22.6213203435596,0.,25.7)); #212242=CARTESIAN_POINT('',(21.5606601717798,0.,24.6393398282202)); #212243=CARTESIAN_POINT('Origin',(22.6213203435596,0.,25.7)); #212244=CARTESIAN_POINT('',(21.5606601717798,0.,24.6393398282202)); #212245=CARTESIAN_POINT('Origin',(21.2,0.,25.)); #212246=CARTESIAN_POINT('',(21.2,0.,25.)); #212247=CARTESIAN_POINT('',(23.4,0.,22.8)); #212248=CARTESIAN_POINT('',(21.2,0.,25.)); #212249=CARTESIAN_POINT('Origin',(16.6213203435596,0.,25.7)); #212250=CARTESIAN_POINT('',(16.6213203435596,0.,24.2)); #212251=CARTESIAN_POINT('',(16.6213203435596,0.,24.2)); #212252=CARTESIAN_POINT('',(15.5606601717798,0.,24.6393398282202)); #212253=CARTESIAN_POINT('Origin',(16.6213203435596,0.,25.7)); #212254=CARTESIAN_POINT('',(15.5606601717798,0.,24.6393398282202)); #212255=CARTESIAN_POINT('Origin',(15.2,0.,25.)); #212256=CARTESIAN_POINT('',(15.2,0.,25.)); #212257=CARTESIAN_POINT('',(18.9,0.,21.3)); #212258=CARTESIAN_POINT('',(15.2,0.,25.)); #212259=CARTESIAN_POINT('Origin',(19.4393398282202,0.,24.6393398282202)); #212260=CARTESIAN_POINT('',(19.8,0.,25.)); #212261=CARTESIAN_POINT('',(19.8,0.,25.)); #212262=CARTESIAN_POINT('',(19.4393398282202,0.,24.6393398282202)); #212263=CARTESIAN_POINT('',(15.9196699141101,0.,21.1196699141101)); #212264=CARTESIAN_POINT('',(19.4393398282202,0.,24.6393398282202)); #212265=CARTESIAN_POINT('Origin',(18.3786796564404,0.,25.7)); #212266=CARTESIAN_POINT('',(18.3786796564404,0.,24.2)); #212267=CARTESIAN_POINT('Origin',(18.3786796564404,0.,25.7)); #212268=CARTESIAN_POINT('',(18.3786796564404,0.,24.2)); #212269=CARTESIAN_POINT('Origin',(16.6213203435596,0.,24.2)); #212270=CARTESIAN_POINT('',(17.0606601717798,0.,24.2)); #212271=CARTESIAN_POINT('Origin',(19.8,0.,-2.22044604925031E-15)); #212272=CARTESIAN_POINT('',(19.4393398282202,0.,0.360660171779821)); #212273=CARTESIAN_POINT('',(19.4393398282202,0.,0.360660171779821)); #212274=CARTESIAN_POINT('',(19.8,0.,-2.22044604925031E-15)); #212275=CARTESIAN_POINT('',(16.1,0.,3.69999999999998)); #212276=CARTESIAN_POINT('',(19.8,0.,-5.55111512312578E-16)); #212277=CARTESIAN_POINT('Origin',(15.5606601717798,0.,0.360660171779821)); #212278=CARTESIAN_POINT('',(15.2,0.,-2.22044604925031E-15)); #212279=CARTESIAN_POINT('',(15.2,0.,5.55111512312578E-16)); #212280=CARTESIAN_POINT('',(15.5606601717798,0.,0.360660171779821)); #212281=CARTESIAN_POINT('',(19.0803300858899,0.,3.88033008588989)); #212282=CARTESIAN_POINT('',(15.5606601717798,0.,0.360660171779821)); #212283=CARTESIAN_POINT('Origin',(16.6213203435596,0.,-0.699999999999987)); #212284=CARTESIAN_POINT('',(16.6213203435596,0.,0.799999999999998)); #212285=CARTESIAN_POINT('Origin',(16.6213203435596,0.,-0.699999999999987)); #212286=CARTESIAN_POINT('',(16.6213203435596,0.,0.799999999999998)); #212287=CARTESIAN_POINT('Origin',(18.3786796564404,0.,0.799999999999998)); #212288=CARTESIAN_POINT('',(18.3786796564404,0.,0.799999999999998)); #212289=CARTESIAN_POINT('',(17.9393398282202,0.,0.799999999999998)); #212290=CARTESIAN_POINT('',(18.3786796564404,0.,0.799999999999998)); #212291=CARTESIAN_POINT('Origin',(18.3786796564404,0.,-0.699999999999987)); #212292=CARTESIAN_POINT('Origin',(18.3786796564404,0.,-0.699999999999987)); #212293=CARTESIAN_POINT('Origin',(4.62132034355963,0.,25.7)); #212294=CARTESIAN_POINT('',(4.62132034355964,0.,24.2)); #212295=CARTESIAN_POINT('',(4.62132034355964,0.,24.2)); #212296=CARTESIAN_POINT('',(3.56066017177982,0.,24.6393398282202)); #212297=CARTESIAN_POINT('Origin',(4.62132034355963,0.,25.7)); #212298=CARTESIAN_POINT('',(3.56066017177982,0.,24.6393398282202)); #212299=CARTESIAN_POINT('Origin',(3.2,0.,25.)); #212300=CARTESIAN_POINT('',(3.2,0.,25.)); #212301=CARTESIAN_POINT('',(9.89999999999997,0.,18.3)); #212302=CARTESIAN_POINT('',(3.2,0.,25.)); #212303=CARTESIAN_POINT('Origin',(7.43933982822017,0.,24.6393398282202)); #212304=CARTESIAN_POINT('',(7.8,0.,25.)); #212305=CARTESIAN_POINT('',(7.79999999999999,0.,25.)); #212306=CARTESIAN_POINT('',(7.43933982822017,0.,24.6393398282202)); #212307=CARTESIAN_POINT('',(6.91966991411007,0.,24.1196699141101)); #212308=CARTESIAN_POINT('',(7.43933982822017,0.,24.6393398282202)); #212309=CARTESIAN_POINT('Origin',(6.37867965644036,0.,25.7)); #212310=CARTESIAN_POINT('',(6.37867965644035,0.,24.2)); #212311=CARTESIAN_POINT('Origin',(6.37867965644036,0.,25.7)); #212312=CARTESIAN_POINT('',(6.37867965644035,0.,24.2)); #212313=CARTESIAN_POINT('Origin',(4.62132034355964,0.,24.2)); #212314=CARTESIAN_POINT('',(11.0606601717798,0.,24.2)); #212315=CARTESIAN_POINT('Origin',(13.8,0.,-2.22044604925031E-15)); #212316=CARTESIAN_POINT('',(13.4393398282202,0.,0.360660171779821)); #212317=CARTESIAN_POINT('',(13.4393398282202,0.,0.360660171779821)); #212318=CARTESIAN_POINT('',(13.8,0.,-2.22044604925031E-15)); #212319=CARTESIAN_POINT('',(11.6,0.,2.19999999999998)); #212320=CARTESIAN_POINT('',(13.8,0.,-5.55111512312578E-16)); #212321=CARTESIAN_POINT('Origin',(9.56066017177982,0.,0.360660171779821)); #212322=CARTESIAN_POINT('',(9.2,0.,-2.22044604925031E-15)); #212323=CARTESIAN_POINT('',(9.2,0.,2.77555756156289E-16)); #212324=CARTESIAN_POINT('',(9.56066017177982,0.,0.360660171779821)); #212325=CARTESIAN_POINT('',(14.5803300858899,0.,5.38033008588993)); #212326=CARTESIAN_POINT('',(9.56066017177982,0.,0.360660171779821)); #212327=CARTESIAN_POINT('Origin',(10.6213203435596,0.,-0.699999999999987)); #212328=CARTESIAN_POINT('',(10.6213203435596,0.,0.799999999999999)); #212329=CARTESIAN_POINT('Origin',(10.6213203435596,0.,-0.699999999999987)); #212330=CARTESIAN_POINT('',(10.6213203435596,0.,0.799999999999999)); #212331=CARTESIAN_POINT('Origin',(12.3786796564404,0.,0.799999999999998)); #212332=CARTESIAN_POINT('',(12.3786796564404,0.,0.799999999999998)); #212333=CARTESIAN_POINT('',(14.9393398282202,0.,0.799999999999998)); #212334=CARTESIAN_POINT('',(12.3786796564404,0.,0.799999999999998)); #212335=CARTESIAN_POINT('Origin',(12.3786796564404,0.,-0.699999999999987)); #212336=CARTESIAN_POINT('Origin',(12.3786796564404,0.,-0.699999999999987)); #212337=CARTESIAN_POINT('Origin',(21.5606601717798,0.,0.360660171779821)); #212338=CARTESIAN_POINT('',(21.2,0.,-2.22044604925031E-15)); #212339=CARTESIAN_POINT('',(21.2,0.,1.11022302462516E-15)); #212340=CARTESIAN_POINT('',(21.5606601717798,0.,0.360660171779821)); #212341=CARTESIAN_POINT('',(23.5803300858899,0.,2.38033008588989)); #212342=CARTESIAN_POINT('',(21.5606601717798,0.,0.360660171779821)); #212343=CARTESIAN_POINT('Origin',(22.6213203435596,0.,-0.699999999999987)); #212344=CARTESIAN_POINT('',(22.6213203435596,0.,0.799999999999998)); #212345=CARTESIAN_POINT('Origin',(22.6213203435596,0.,-0.699999999999987)); #212346=CARTESIAN_POINT('',(22.6213203435596,0.,0.799999999999998)); #212347=CARTESIAN_POINT('Origin',(35.0000002607703,0.,0.799999999999998)); #212348=CARTESIAN_POINT('',(26.2500001303852,0.,0.799999999999998)); #212349=CARTESIAN_POINT('Origin',(7.8,0.,-4.44089209850063E-15)); #212350=CARTESIAN_POINT('',(7.43933982822018,0.,0.360660171779819)); #212351=CARTESIAN_POINT('',(7.43933982822018,0.,0.360660171779819)); #212352=CARTESIAN_POINT('',(7.8,0.,-4.44089209850063E-15)); #212353=CARTESIAN_POINT('',(7.1,0.,0.699999999999997)); #212354=CARTESIAN_POINT('',(7.8,0.,5.55111512312578E-16)); #212355=CARTESIAN_POINT('Origin',(3.56066017177982,0.,0.360660171779819)); #212356=CARTESIAN_POINT('',(3.2,0.,-4.44089209850063E-15)); #212357=CARTESIAN_POINT('',(3.20000000000001,0.,-6.93889390390723E-17)); #212358=CARTESIAN_POINT('',(3.56066017177982,0.,0.360660171779819)); #212359=CARTESIAN_POINT('',(10.0803300858899,0.,6.88033008588991)); #212360=CARTESIAN_POINT('',(3.56066017177982,0.,0.360660171779819)); #212361=CARTESIAN_POINT('Origin',(4.62132034355964,0.,-0.69999999999999)); #212362=CARTESIAN_POINT('',(4.62132034355964,0.,0.799999999999996)); #212363=CARTESIAN_POINT('Origin',(4.62132034355964,0.,-0.69999999999999)); #212364=CARTESIAN_POINT('',(4.62132034355964,0.,0.799999999999996)); #212365=CARTESIAN_POINT('Origin',(6.37867965644036,0.,0.799999999999996)); #212366=CARTESIAN_POINT('',(6.37867965644036,0.,0.799999999999996)); #212367=CARTESIAN_POINT('',(11.9393398282202,0.,0.799999999999996)); #212368=CARTESIAN_POINT('',(6.37867965644036,0.,0.799999999999996)); #212369=CARTESIAN_POINT('Origin',(6.37867965644036,0.,-0.69999999999999)); #212370=CARTESIAN_POINT('Origin',(6.37867965644036,0.,-0.69999999999999)); #212371=CARTESIAN_POINT('Origin',(35.,0.,25.)); #212372=CARTESIAN_POINT('',(35.,0.,25.)); #212373=CARTESIAN_POINT('Origin',(35.,0.,25.)); #212374=CARTESIAN_POINT('',(35.,0.,25.)); #212375=CARTESIAN_POINT('Origin',(35.,0.,25.)); #212376=CARTESIAN_POINT('',(35.,0.,25.)); #212377=CARTESIAN_POINT('Origin',(35.,0.,25.)); #212378=CARTESIAN_POINT('',(35.,0.,25.)); #212379=CARTESIAN_POINT('Origin',(0.,0.,0.)); #212380=CARTESIAN_POINT('',(0.,0.,0.)); #212381=CARTESIAN_POINT('Origin',(0.,0.,0.)); #212382=CARTESIAN_POINT('',(0.,0.,0.)); #212383=CARTESIAN_POINT('Origin',(0.,0.,0.)); #212384=CARTESIAN_POINT('',(0.,0.,0.)); #212385=CARTESIAN_POINT('Origin',(0.,0.,0.)); #212386=CARTESIAN_POINT('',(0.,0.,0.)); #212387=CARTESIAN_POINT('Origin',(17.5,0.,12.5)); #212388=CARTESIAN_POINT('',(0.,0.,0.)); #212389=CARTESIAN_POINT('Origin',(13.0852434357188,4.25,15.2516988686367)); #212390=CARTESIAN_POINT('',(13.349943,4.25,15.2320442)); #212391=CARTESIAN_POINT('',(13.159756,4.25,14.996944)); #212392=CARTESIAN_POINT('Origin',(13.0852434357188,4.25,15.2516988686367)); #212393=CARTESIAN_POINT('',(13.349943,4.24,15.2320442)); #212394=CARTESIAN_POINT('',(13.349943,4.25,15.2320442)); #212395=CARTESIAN_POINT('',(13.159756,4.24,14.996944)); #212396=CARTESIAN_POINT('Origin',(13.0852434357188,4.24,15.2516988686367)); #212397=CARTESIAN_POINT('',(13.159756,4.25,14.996944)); #212398=CARTESIAN_POINT('Origin',(13.0119390704351,4.25,15.1302800682218)); #212399=CARTESIAN_POINT('',(12.935518,4.25,15.4748993)); #212400=CARTESIAN_POINT('Origin',(13.0119390704351,4.25,15.1302800682218)); #212401=CARTESIAN_POINT('',(12.935518,4.24,15.4748993)); #212402=CARTESIAN_POINT('',(12.935518,4.25,15.4748993)); #212403=CARTESIAN_POINT('Origin',(13.0119390704351,4.24,15.1302800682218)); #212404=CARTESIAN_POINT('Origin',(12.853581,4.25,15.4748955)); #212405=CARTESIAN_POINT('',(12.853581,4.25,15.4748955)); #212406=CARTESIAN_POINT('',(19.327011359413,4.25,15.4751957188922)); #212407=CARTESIAN_POINT('',(12.853581,4.24,15.4748955)); #212408=CARTESIAN_POINT('',(12.853581,4.25,15.4748955)); #212409=CARTESIAN_POINT('',(12.853581,4.24,15.4748955)); #212410=CARTESIAN_POINT('Origin',(12.768957,4.25,15.4748993)); #212411=CARTESIAN_POINT('',(12.768957,4.25,15.4748993)); #212412=CARTESIAN_POINT('',(19.2842646268306,4.25,15.4746067332461)); #212413=CARTESIAN_POINT('',(12.768957,4.24,15.4748993)); #212414=CARTESIAN_POINT('',(12.768957,4.25,15.4748993)); #212415=CARTESIAN_POINT('',(12.768957,4.24,15.4748993)); #212416=CARTESIAN_POINT('Origin',(12.730003,4.25,15.4748993)); #212417=CARTESIAN_POINT('',(12.730003,4.25,15.4748993)); #212418=CARTESIAN_POINT('',(19.2650015,4.25,15.4748993)); #212419=CARTESIAN_POINT('',(12.730003,4.24,15.4748993)); #212420=CARTESIAN_POINT('',(12.730003,4.25,15.4748993)); #212421=CARTESIAN_POINT('',(12.730003,4.24,15.4748993)); #212422=CARTESIAN_POINT('Origin',(12.730003,4.25,14.99337)); #212423=CARTESIAN_POINT('',(12.730003,4.25,14.99337)); #212424=CARTESIAN_POINT('',(12.730003,4.25,19.996685)); #212425=CARTESIAN_POINT('',(12.730003,4.24,14.99337)); #212426=CARTESIAN_POINT('',(12.730003,4.25,14.99337)); #212427=CARTESIAN_POINT('',(12.730003,4.24,14.99337)); #212428=CARTESIAN_POINT('Origin',(12.9364225190231,4.25,16.0120604543272)); #212429=CARTESIAN_POINT('Origin',(12.9364225190231,4.25,16.0120604543272)); #212430=CARTESIAN_POINT('Origin',(12.9364225190231,4.24,16.0120604543272)); #212431=CARTESIAN_POINT('Origin',(13.138209,4.25,15.5401344)); #212432=CARTESIAN_POINT('',(13.138209,4.25,15.5401344)); #212433=CARTESIAN_POINT('',(13.155525,4.25,15.5524063)); #212434=CARTESIAN_POINT('',(19.5838400690371,4.25,20.1081720481942)); #212435=CARTESIAN_POINT('',(13.138209,4.24,15.5401344)); #212436=CARTESIAN_POINT('',(13.138209,4.25,15.5401344)); #212437=CARTESIAN_POINT('',(13.155525,4.24,15.5524063)); #212438=CARTESIAN_POINT('',(13.138209,4.24,15.5401344)); #212439=CARTESIAN_POINT('',(13.155525,4.25,15.5524063)); #212440=CARTESIAN_POINT('Origin',(13.0667675590318,4.25,15.1682880058238)); #212441=CARTESIAN_POINT('',(13.435334,4.25,15.2550774)); #212442=CARTESIAN_POINT('Origin',(13.0667675590318,4.25,15.1682880058238)); #212443=CARTESIAN_POINT('',(13.435334,4.24,15.2550774)); #212444=CARTESIAN_POINT('',(13.435334,4.25,15.2550774)); #212445=CARTESIAN_POINT('Origin',(13.0667675590318,4.24,15.1682880058238)); #212446=CARTESIAN_POINT('Origin',(13.0909119186267,4.25,15.2418566054729)); #212447=CARTESIAN_POINT('',(13.133899,4.25,14.899872)); #212448=CARTESIAN_POINT('Origin',(13.0909119186267,4.25,15.2418566054729)); #212449=CARTESIAN_POINT('',(13.133899,4.24,14.899872)); #212450=CARTESIAN_POINT('',(13.133899,4.25,14.899872)); #212451=CARTESIAN_POINT('Origin',(13.0909119186267,4.24,15.2418566054729)); #212452=CARTESIAN_POINT('Origin',(12.7883280896482,4.25,18.2860757710144)); #212453=CARTESIAN_POINT('',(12.512129,4.25,14.893509)); #212454=CARTESIAN_POINT('Origin',(12.7883280896482,4.25,18.2860757710144)); #212455=CARTESIAN_POINT('',(12.512129,4.24,14.893509)); #212456=CARTESIAN_POINT('',(12.512129,4.25,14.893509)); #212457=CARTESIAN_POINT('Origin',(12.7883280896482,4.24,18.2860757710144)); #212458=CARTESIAN_POINT('Origin',(12.5285883539217,4.25,15.0244277104495)); #212459=CARTESIAN_POINT('',(12.499516,4.25,14.895721)); #212460=CARTESIAN_POINT('Origin',(12.5285883539217,4.25,15.0244277104495)); #212461=CARTESIAN_POINT('',(12.499516,4.24,14.895721)); #212462=CARTESIAN_POINT('',(12.499516,4.25,14.895721)); #212463=CARTESIAN_POINT('Origin',(12.5285883539217,4.24,15.0244277104495)); #212464=CARTESIAN_POINT('Origin',(12.494073,4.25,14.897411)); #212465=CARTESIAN_POINT('',(12.494073,4.25,14.897411)); #212466=CARTESIAN_POINT('',(17.1315812682772,4.25,13.4575085613831)); #212467=CARTESIAN_POINT('',(12.494073,4.24,14.897411)); #212468=CARTESIAN_POINT('',(12.494073,4.25,14.897411)); #212469=CARTESIAN_POINT('',(12.494073,4.24,14.897411)); #212470=CARTESIAN_POINT('Origin',(12.489205,4.25,14.899494)); #212471=CARTESIAN_POINT('',(12.489205,4.25,14.899494)); #212472=CARTESIAN_POINT('',(16.2880636022326,4.25,13.2739758265308)); #212473=CARTESIAN_POINT('',(12.489205,4.24,14.899494)); #212474=CARTESIAN_POINT('',(12.489205,4.25,14.899494)); #212475=CARTESIAN_POINT('',(12.489205,4.24,14.899494)); #212476=CARTESIAN_POINT('Origin',(12.484916,4.25,14.901985)); #212477=CARTESIAN_POINT('',(12.484916,4.25,14.901985)); #212478=CARTESIAN_POINT('',(15.2704522031031,4.25,13.2841788256166)); #212479=CARTESIAN_POINT('',(12.484916,4.24,14.901985)); #212480=CARTESIAN_POINT('',(12.484916,4.25,14.901985)); #212481=CARTESIAN_POINT('',(12.484916,4.24,14.901985)); #212482=CARTESIAN_POINT('Origin',(12.481205,4.25,14.904881)); #212483=CARTESIAN_POINT('',(12.481205,4.25,14.904881)); #212484=CARTESIAN_POINT('',(14.1719288078736,4.25,13.5854694808405)); #212485=CARTESIAN_POINT('',(12.481205,4.24,14.904881)); #212486=CARTESIAN_POINT('',(12.481205,4.25,14.904881)); #212487=CARTESIAN_POINT('',(12.481205,4.24,14.904881)); #212488=CARTESIAN_POINT('Origin',(12.477961,4.25,14.907936)); #212489=CARTESIAN_POINT('',(12.477961,4.25,14.907936)); #212490=CARTESIAN_POINT('',(13.489676072489,4.25,13.9551648697736)); #212491=CARTESIAN_POINT('',(12.477961,4.24,14.907936)); #212492=CARTESIAN_POINT('',(12.477961,4.25,14.907936)); #212493=CARTESIAN_POINT('',(12.477961,4.24,14.907936)); #212494=CARTESIAN_POINT('Origin',(12.475155,4.25,14.911259)); #212495=CARTESIAN_POINT('',(12.475155,4.25,14.911259)); #212496=CARTESIAN_POINT('',(12.761795100253,4.25,14.5718060231145)); #212497=CARTESIAN_POINT('',(12.475155,4.24,14.911259)); #212498=CARTESIAN_POINT('',(12.475155,4.25,14.911259)); #212499=CARTESIAN_POINT('',(12.475155,4.24,14.911259)); #212500=CARTESIAN_POINT('Origin',(12.472786,4.25,14.914848)); #212501=CARTESIAN_POINT('',(12.472786,4.25,14.914848)); #212502=CARTESIAN_POINT('',(12.1766501073645,4.25,15.3634895021815)); #212503=CARTESIAN_POINT('',(12.472786,4.24,14.914848)); #212504=CARTESIAN_POINT('',(12.472786,4.25,14.914848)); #212505=CARTESIAN_POINT('',(12.472786,4.24,14.914848)); #212506=CARTESIAN_POINT('Origin',(12.470855,4.25,14.918701)); #212507=CARTESIAN_POINT('',(12.470855,4.25,14.918701)); #212508=CARTESIAN_POINT('',(11.7896714619736,4.25,16.2778932175126)); #212509=CARTESIAN_POINT('',(12.470855,4.24,14.918701)); #212510=CARTESIAN_POINT('',(12.470855,4.25,14.918701)); #212511=CARTESIAN_POINT('',(12.470855,4.24,14.918701)); #212512=CARTESIAN_POINT('Origin',(12.469361,4.25,14.92281)); #212513=CARTESIAN_POINT('',(12.469361,4.25,14.92281)); #212514=CARTESIAN_POINT('',(11.6295411950767,4.25,17.2325955277318)); #212515=CARTESIAN_POINT('',(12.469361,4.24,14.92281)); #212516=CARTESIAN_POINT('',(12.469361,4.25,14.92281)); #212517=CARTESIAN_POINT('',(12.469361,4.24,14.92281)); #212518=CARTESIAN_POINT('Origin',(12.468306,4.25,14.927166)); #212519=CARTESIAN_POINT('',(12.468306,4.25,14.927166)); #212520=CARTESIAN_POINT('',(11.6854411063121,4.25,18.1595446510905)); #212521=CARTESIAN_POINT('',(12.468306,4.24,14.927166)); #212522=CARTESIAN_POINT('',(12.468306,4.25,14.927166)); #212523=CARTESIAN_POINT('',(12.468306,4.24,14.927166)); #212524=CARTESIAN_POINT('Origin',(12.467687,4.25,14.931774)); #212525=CARTESIAN_POINT('',(12.467687,4.25,14.931774)); #212526=CARTESIAN_POINT('',(11.9215914890695,4.25,18.9970536678037)); #212527=CARTESIAN_POINT('',(12.467687,4.24,14.931774)); #212528=CARTESIAN_POINT('',(12.467687,4.25,14.931774)); #212529=CARTESIAN_POINT('',(12.467687,4.24,14.931774)); #212530=CARTESIAN_POINT('Origin',(12.467505,4.25,14.936619)); #212531=CARTESIAN_POINT('',(12.467505,4.25,14.936619)); #212532=CARTESIAN_POINT('',(12.2881518345524,4.25,19.7111579373355)); #212533=CARTESIAN_POINT('',(12.467505,4.24,14.936619)); #212534=CARTESIAN_POINT('',(12.467505,4.25,14.936619)); #212535=CARTESIAN_POINT('',(12.467505,4.24,14.936619)); #212536=CARTESIAN_POINT('Origin',(12.46776,4.25,14.941395)); #212537=CARTESIAN_POINT('',(12.46776,4.25,14.941395)); #212538=CARTESIAN_POINT('',(12.7544701094195,4.25,20.3113066964194)); #212539=CARTESIAN_POINT('',(12.46776,4.24,14.941395)); #212540=CARTESIAN_POINT('',(12.46776,4.25,14.941395)); #212541=CARTESIAN_POINT('',(12.46776,4.24,14.941395)); #212542=CARTESIAN_POINT('Origin',(12.5459340859891,4.25,14.9298604760217)); #212543=CARTESIAN_POINT('',(12.469586,4.25,14.950237)); #212544=CARTESIAN_POINT('Origin',(12.5459340859891,4.25,14.9298604760217)); #212545=CARTESIAN_POINT('',(12.469586,4.24,14.950237)); #212546=CARTESIAN_POINT('',(12.469586,4.25,14.950237)); #212547=CARTESIAN_POINT('Origin',(12.5459340859891,4.24,14.9298604760217)); #212548=CARTESIAN_POINT('Origin',(12.471155,4.25,14.9543)); #212549=CARTESIAN_POINT('',(12.471155,4.25,14.9543)); #212550=CARTESIAN_POINT('',(15.0239649583879,4.25,21.5649226009806)); #212551=CARTESIAN_POINT('',(12.471155,4.24,14.9543)); #212552=CARTESIAN_POINT('',(12.471155,4.25,14.9543)); #212553=CARTESIAN_POINT('',(12.471155,4.24,14.9543)); #212554=CARTESIAN_POINT('Origin',(12.473161,4.25,14.958111)); #212555=CARTESIAN_POINT('',(12.473161,4.25,14.958111)); #212556=CARTESIAN_POINT('',(15.9883185388333,4.25,21.636209395066)); #212557=CARTESIAN_POINT('',(12.473161,4.24,14.958111)); #212558=CARTESIAN_POINT('',(12.473161,4.25,14.958111)); #212559=CARTESIAN_POINT('',(12.473161,4.24,14.958111)); #212560=CARTESIAN_POINT('Origin',(12.475605,4.25,14.961674)); #212561=CARTESIAN_POINT('',(12.475605,4.25,14.961674)); #212562=CARTESIAN_POINT('',(16.9485251273424,4.25,21.4825473280322)); #212563=CARTESIAN_POINT('',(12.475605,4.24,14.961674)); #212564=CARTESIAN_POINT('',(12.475605,4.25,14.961674)); #212565=CARTESIAN_POINT('',(12.475605,4.24,14.961674)); #212566=CARTESIAN_POINT('Origin',(12.478485,4.25,14.964977)); #212567=CARTESIAN_POINT('',(12.478485,4.25,14.964977)); #212568=CARTESIAN_POINT('',(17.8406828183716,4.25,21.1147476229456)); #212569=CARTESIAN_POINT('',(12.478485,4.24,14.964977)); #212570=CARTESIAN_POINT('',(12.478485,4.25,14.964977)); #212571=CARTESIAN_POINT('',(12.478485,4.24,14.964977)); #212572=CARTESIAN_POINT('Origin',(12.4818,4.25,14.968033)); #212573=CARTESIAN_POINT('',(12.4818,4.25,14.968033)); #212574=CARTESIAN_POINT('',(18.5813311565918,4.25,20.591009535307)); #212575=CARTESIAN_POINT('',(12.4818,4.24,14.968033)); #212576=CARTESIAN_POINT('',(12.4818,4.25,14.968033)); #212577=CARTESIAN_POINT('',(12.4818,4.24,14.968033)); #212578=CARTESIAN_POINT('Origin',(12.48561,4.25,14.970829)); #212579=CARTESIAN_POINT('',(12.48561,4.25,14.970829)); #212580=CARTESIAN_POINT('',(19.2044061094579,4.25,19.9014730740219)); #212581=CARTESIAN_POINT('',(12.48561,4.24,14.970829)); #212582=CARTESIAN_POINT('',(12.48561,4.25,14.970829)); #212583=CARTESIAN_POINT('',(12.48561,4.24,14.970829)); #212584=CARTESIAN_POINT('Origin',(12.489999,4.25,14.973225)); #212585=CARTESIAN_POINT('',(12.489999,4.25,14.973225)); #212586=CARTESIAN_POINT('',(19.725538239995,4.25,18.9231798915534)); #212587=CARTESIAN_POINT('',(12.489999,4.24,14.973225)); #212588=CARTESIAN_POINT('',(12.489999,4.25,14.973225)); #212589=CARTESIAN_POINT('',(12.489999,4.24,14.973225)); #212590=CARTESIAN_POINT('Origin',(12.5253092858156,4.25,14.8874925666989)); #212591=CARTESIAN_POINT('',(12.500507,4.25,14.976833)); #212592=CARTESIAN_POINT('Origin',(12.5253092858156,4.25,14.8874925666989)); #212593=CARTESIAN_POINT('',(12.500507,4.24,14.976833)); #212594=CARTESIAN_POINT('',(12.500507,4.25,14.976833)); #212595=CARTESIAN_POINT('Origin',(12.5253092858156,4.24,14.8874925666989)); #212596=CARTESIAN_POINT('Origin',(12.506624,4.25,14.978058)); #212597=CARTESIAN_POINT('',(12.506624,4.25,14.978058)); #212598=CARTESIAN_POINT('',(19.8618382760503,4.25,16.4510247301229)); #212599=CARTESIAN_POINT('',(12.506624,4.24,14.978058)); #212600=CARTESIAN_POINT('',(12.506624,4.25,14.978058)); #212601=CARTESIAN_POINT('',(12.506624,4.24,14.978058)); #212602=CARTESIAN_POINT('Origin',(12.5297439690493,4.25,14.8085709667277)); #212603=CARTESIAN_POINT('',(12.52058,4.25,14.979382)); #212604=CARTESIAN_POINT('Origin',(12.5297439690493,4.25,14.8085709667277)); #212605=CARTESIAN_POINT('',(12.52058,4.24,14.979382)); #212606=CARTESIAN_POINT('',(12.52058,4.25,14.979382)); #212607=CARTESIAN_POINT('Origin',(12.5297439690493,4.24,14.8085709667277)); #212608=CARTESIAN_POINT('Origin',(12.527021,4.25,14.979496)); #212609=CARTESIAN_POINT('',(12.527021,4.25,14.979496)); #212610=CARTESIAN_POINT('',(19.2500814695451,4.25,15.0984882206998)); #212611=CARTESIAN_POINT('',(12.527021,4.24,14.979496)); #212612=CARTESIAN_POINT('',(12.527021,4.25,14.979496)); #212613=CARTESIAN_POINT('',(12.527021,4.24,14.979496)); #212614=CARTESIAN_POINT('Origin',(12.642884,4.25,14.979496)); #212615=CARTESIAN_POINT('',(12.642884,4.25,14.979496)); #212616=CARTESIAN_POINT('',(19.221442,4.25,14.979496)); #212617=CARTESIAN_POINT('',(12.642884,4.24,14.979496)); #212618=CARTESIAN_POINT('',(12.642884,4.25,14.979496)); #212619=CARTESIAN_POINT('',(12.642884,4.24,14.979496)); #212620=CARTESIAN_POINT('Origin',(12.643204,4.25,14.982681)); #212621=CARTESIAN_POINT('',(12.643204,4.25,14.982681)); #212622=CARTESIAN_POINT('',(13.2071412688631,4.25,20.5956191291846)); #212623=CARTESIAN_POINT('',(12.643204,4.24,14.982681)); #212624=CARTESIAN_POINT('',(12.643204,4.25,14.982681)); #212625=CARTESIAN_POINT('',(12.643204,4.24,14.982681)); #212626=CARTESIAN_POINT('Origin',(12.643181,4.25,16.0145988)); #212627=CARTESIAN_POINT('',(12.643181,4.25,16.0145988)); #212628=CARTESIAN_POINT('',(12.6430808672749,4.25,20.5071527742498)); #212629=CARTESIAN_POINT('',(12.643181,4.24,16.0145988)); #212630=CARTESIAN_POINT('',(12.643181,4.25,16.0145988)); #212631=CARTESIAN_POINT('',(12.643181,4.24,16.0145988)); #212632=CARTESIAN_POINT('Origin',(12.5814712377003,4.25,16.5654472500393)); #212633=CARTESIAN_POINT('',(12.503058,4.25,16.0167274)); #212634=CARTESIAN_POINT('Origin',(12.5814712377003,4.25,16.5654472500393)); #212635=CARTESIAN_POINT('',(12.503058,4.24,16.0167274)); #212636=CARTESIAN_POINT('',(12.503058,4.25,16.0167274)); #212637=CARTESIAN_POINT('Origin',(12.5814712377003,4.24,16.5654472500393)); #212638=CARTESIAN_POINT('Origin',(12.49727,4.25,16.0181923)); #212639=CARTESIAN_POINT('',(12.49727,4.25,16.0181923)); #212640=CARTESIAN_POINT('',(17.6800340028852,4.25,14.7064730553859)); #212641=CARTESIAN_POINT('',(12.49727,4.24,16.0181923)); #212642=CARTESIAN_POINT('',(12.49727,4.25,16.0181923)); #212643=CARTESIAN_POINT('',(12.49727,4.24,16.0181923)); #212644=CARTESIAN_POINT('Origin',(12.492056,4.25,16.0200424)); #212645=CARTESIAN_POINT('',(12.492056,4.25,16.0200424)); #212646=CARTESIAN_POINT('',(16.9869038889923,4.25,14.425121402797)); #212647=CARTESIAN_POINT('',(12.492056,4.24,16.0200424)); #212648=CARTESIAN_POINT('',(12.492056,4.25,16.0200424)); #212649=CARTESIAN_POINT('',(12.492056,4.24,16.0200424)); #212650=CARTESIAN_POINT('Origin',(12.48742,4.25,16.0222816)); #212651=CARTESIAN_POINT('',(12.48742,4.25,16.0222816)); #212652=CARTESIAN_POINT('',(16.1265915873091,4.25,14.2645523035582)); #212653=CARTESIAN_POINT('',(12.48742,4.24,16.0222816)); #212654=CARTESIAN_POINT('',(12.48742,4.25,16.0222816)); #212655=CARTESIAN_POINT('',(12.48742,4.24,16.0222816)); #212656=CARTESIAN_POINT('Origin',(12.483362,4.25,16.0249138)); #212657=CARTESIAN_POINT('',(12.483362,4.25,16.0249138)); #212658=CARTESIAN_POINT('',(15.1210708473486,4.25,14.3139780612139)); #212659=CARTESIAN_POINT('',(12.483362,4.24,16.0249138)); #212660=CARTESIAN_POINT('',(12.483362,4.25,16.0249138)); #212661=CARTESIAN_POINT('',(12.483362,4.24,16.0249138)); #212662=CARTESIAN_POINT('Origin',(12.479855,4.25,16.0278854)); #212663=CARTESIAN_POINT('',(12.479855,4.25,16.0278854)); #212664=CARTESIAN_POINT('',(14.1439563296189,4.25,14.6178359243518)); #212665=CARTESIAN_POINT('',(12.479855,4.24,16.0278854)); #212666=CARTESIAN_POINT('',(12.479855,4.25,16.0278854)); #212667=CARTESIAN_POINT('',(12.479855,4.24,16.0278854)); #212668=CARTESIAN_POINT('Origin',(12.476786,4.25,16.0310783)); #212669=CARTESIAN_POINT('',(12.476786,4.25,16.0310783)); #212670=CARTESIAN_POINT('',(13.4353568980732,4.25,15.0338084986126)); #212671=CARTESIAN_POINT('',(12.476786,4.24,16.0310783)); #212672=CARTESIAN_POINT('',(12.476786,4.25,16.0310783)); #212673=CARTESIAN_POINT('',(12.476786,4.24,16.0310783)); #212674=CARTESIAN_POINT('Origin',(12.474154,4.25,16.0345268)); #212675=CARTESIAN_POINT('',(12.474154,4.25,16.0345268)); #212676=CARTESIAN_POINT('',(12.7647848329779,4.25,15.6537363640107)); #212677=CARTESIAN_POINT('',(12.474154,4.24,16.0345268)); #212678=CARTESIAN_POINT('',(12.474154,4.25,16.0345268)); #212679=CARTESIAN_POINT('',(12.474154,4.24,16.0345268)); #212680=CARTESIAN_POINT('Origin',(12.471961,4.25,16.0382309)); #212681=CARTESIAN_POINT('',(12.471961,4.25,16.0382309)); #212682=CARTESIAN_POINT('',(12.2372174640257,4.25,16.4347258984507)); #212683=CARTESIAN_POINT('',(12.471961,4.24,16.0382309)); #212684=CARTESIAN_POINT('',(12.471961,4.25,16.0382309)); #212685=CARTESIAN_POINT('',(12.471961,4.24,16.0382309)); #212686=CARTESIAN_POINT('Origin',(12.470205,4.25,16.0421867)); #212687=CARTESIAN_POINT('',(12.470205,4.25,16.0421867)); #212688=CARTESIAN_POINT('',(11.9064200375865,4.25,17.3122439632778)); #212689=CARTESIAN_POINT('',(12.470205,4.24,16.0421867)); #212690=CARTESIAN_POINT('',(12.470205,4.25,16.0421867)); #212691=CARTESIAN_POINT('',(12.470205,4.24,16.0421867)); #212692=CARTESIAN_POINT('Origin',(12.468886,4.25,16.0463867)); #212693=CARTESIAN_POINT('',(12.468886,4.25,16.0463867)); #212694=CARTESIAN_POINT('',(11.7875495599999,4.25,18.2159189577719)); #212695=CARTESIAN_POINT('',(12.468886,4.24,16.0463867)); #212696=CARTESIAN_POINT('',(12.468886,4.25,16.0463867)); #212697=CARTESIAN_POINT('',(12.468886,4.24,16.0463867)); #212698=CARTESIAN_POINT('Origin',(12.468005,4.25,16.050827)); #212699=CARTESIAN_POINT('',(12.468005,4.25,16.050827)); #212700=CARTESIAN_POINT('',(11.8663062342204,4.25,19.083429757874)); #212701=CARTESIAN_POINT('',(12.468005,4.24,16.050827)); #212702=CARTESIAN_POINT('',(12.468005,4.25,16.050827)); #212703=CARTESIAN_POINT('',(12.468005,4.24,16.050827)); #212704=CARTESIAN_POINT('Origin',(12.467562,4.25,16.0555038)); #212705=CARTESIAN_POINT('',(12.467562,4.25,16.0555038)); #212706=CARTESIAN_POINT('',(12.1069851482229,4.25,19.862153507439)); #212707=CARTESIAN_POINT('',(12.467562,4.24,16.0555038)); #212708=CARTESIAN_POINT('',(12.467562,4.25,16.0555038)); #212709=CARTESIAN_POINT('',(12.467562,4.24,16.0555038)); #212710=CARTESIAN_POINT('Origin',(12.467554,4.25,16.0602493)); #212711=CARTESIAN_POINT('',(12.467554,4.25,16.0602493)); #212712=CARTESIAN_POINT('',(12.460037616547,4.25,20.5188740093785)); #212713=CARTESIAN_POINT('',(12.467554,4.24,16.0602493)); #212714=CARTESIAN_POINT('',(12.467554,4.25,16.0602493)); #212715=CARTESIAN_POINT('',(12.467554,4.24,16.0602493)); #212716=CARTESIAN_POINT('Origin',(12.467988,4.25,16.0647278)); #212717=CARTESIAN_POINT('',(12.467988,4.25,16.0647278)); #212718=CARTESIAN_POINT('',(12.9589256031664,4.25,21.1307740114721)); #212719=CARTESIAN_POINT('',(12.467988,4.24,16.0647278)); #212720=CARTESIAN_POINT('',(12.467988,4.25,16.0647278)); #212721=CARTESIAN_POINT('',(12.467988,4.24,16.0647278)); #212722=CARTESIAN_POINT('Origin',(12.468855,4.25,16.0690079)); #212723=CARTESIAN_POINT('',(12.468855,4.25,16.0690079)); #212724=CARTESIAN_POINT('',(13.6004826564504,4.25,21.6554894828983)); #212725=CARTESIAN_POINT('',(12.468855,4.24,16.0690079)); #212726=CARTESIAN_POINT('',(12.468855,4.25,16.0690079)); #212727=CARTESIAN_POINT('',(12.468855,4.24,16.0690079)); #212728=CARTESIAN_POINT('Origin',(12.470161,4.25,16.0730934)); #212729=CARTESIAN_POINT('',(12.470161,4.25,16.0730934)); #212730=CARTESIAN_POINT('',(14.382620208351,4.25,22.0557519725236)); #212731=CARTESIAN_POINT('',(12.470161,4.24,16.0730934)); #212732=CARTESIAN_POINT('',(12.470161,4.25,16.0730934)); #212733=CARTESIAN_POINT('',(12.470161,4.24,16.0730934)); #212734=CARTESIAN_POINT('Origin',(12.471905,4.25,16.076992)); #212735=CARTESIAN_POINT('',(12.471905,4.25,16.076992)); #212736=CARTESIAN_POINT('',(15.2461209221874,4.25,22.2785735333972)); #212737=CARTESIAN_POINT('',(12.471905,4.24,16.076992)); #212738=CARTESIAN_POINT('',(12.471905,4.25,16.076992)); #212739=CARTESIAN_POINT('',(12.471905,4.24,16.076992)); #212740=CARTESIAN_POINT('Origin',(12.474086,4.25,16.0807076)); #212741=CARTESIAN_POINT('',(12.474086,4.25,16.0807076)); #212742=CARTESIAN_POINT('',(16.1284449219865,4.25,22.3063545557716)); #212743=CARTESIAN_POINT('',(12.474086,4.24,16.0807076)); #212744=CARTESIAN_POINT('',(12.474086,4.25,16.0807076)); #212745=CARTESIAN_POINT('',(12.474086,4.24,16.0807076)); #212746=CARTESIAN_POINT('Origin',(12.476705,4.25,16.0842438)); #212747=CARTESIAN_POINT('',(12.476705,4.25,16.0842438)); #212748=CARTESIAN_POINT('',(16.9685285599861,4.25,22.1491489060791)); #212749=CARTESIAN_POINT('',(12.476705,4.24,16.0842438)); #212750=CARTESIAN_POINT('',(12.476705,4.25,16.0842438)); #212751=CARTESIAN_POINT('',(12.476705,4.24,16.0842438)); #212752=CARTESIAN_POINT('Origin',(12.479761,4.25,16.0876122)); #212753=CARTESIAN_POINT('',(12.479761,4.25,16.0876122)); #212754=CARTESIAN_POINT('',(17.7043070992234,4.25,21.8462382080557)); #212755=CARTESIAN_POINT('',(12.479761,4.24,16.0876122)); #212756=CARTESIAN_POINT('',(12.479761,4.25,16.0876122)); #212757=CARTESIAN_POINT('',(12.479761,4.24,16.0876122)); #212758=CARTESIAN_POINT('Origin',(12.483255,4.25,16.0907021)); #212759=CARTESIAN_POINT('',(12.483255,4.25,16.0907021)); #212760=CARTESIAN_POINT('',(18.4301845959899,4.25,21.3498371196497)); #212761=CARTESIAN_POINT('',(12.483255,4.24,16.0907021)); #212762=CARTESIAN_POINT('',(12.483255,4.25,16.0907021)); #212763=CARTESIAN_POINT('',(12.483255,4.24,16.0907021)); #212764=CARTESIAN_POINT('Origin',(12.487296,4.25,16.0933762)); #212765=CARTESIAN_POINT('',(12.487296,4.25,16.0933762)); #212766=CARTESIAN_POINT('',(19.1659790580588,4.25,20.5129422394803)); #212767=CARTESIAN_POINT('',(12.487296,4.24,16.0933762)); #212768=CARTESIAN_POINT('',(12.487296,4.25,16.0933762)); #212769=CARTESIAN_POINT('',(12.487296,4.24,16.0933762)); #212770=CARTESIAN_POINT('Origin',(12.5246752178994,4.25,16.0197272015163)); #212771=CARTESIAN_POINT('',(12.497112,4.25,16.0975838)); #212772=CARTESIAN_POINT('Origin',(12.5246752178994,4.25,16.0197272015163)); #212773=CARTESIAN_POINT('',(12.497112,4.24,16.0975838)); #212774=CARTESIAN_POINT('',(12.497112,4.25,16.0975838)); #212775=CARTESIAN_POINT('Origin',(12.5246752178994,4.24,16.0197272015163)); #212776=CARTESIAN_POINT('Origin',(12.502885,4.25,16.0991135)); #212777=CARTESIAN_POINT('',(12.502885,4.25,16.0991135)); #212778=CARTESIAN_POINT('',(19.8171511986789,4.25,18.0372103307835)); #212779=CARTESIAN_POINT('',(12.502885,4.24,16.0991135)); #212780=CARTESIAN_POINT('',(12.502885,4.25,16.0991135)); #212781=CARTESIAN_POINT('',(12.502885,4.24,16.0991135)); #212782=CARTESIAN_POINT('Origin',(12.509233,4.25,16.1002541)); #212783=CARTESIAN_POINT('',(12.509233,4.25,16.1002541)); #212784=CARTESIAN_POINT('',(19.7213254420208,4.25,17.3961130539008)); #212785=CARTESIAN_POINT('',(12.509233,4.24,16.1002541)); #212786=CARTESIAN_POINT('',(12.509233,4.25,16.1002541)); #212787=CARTESIAN_POINT('',(12.509233,4.24,16.1002541)); #212788=CARTESIAN_POINT('Origin',(12.710130692284,4.25,13.6160586670753)); #212789=CARTESIAN_POINT('',(12.90348,4.25,16.100853)); #212790=CARTESIAN_POINT('Origin',(12.710130692284,4.25,13.6160586670753)); #212791=CARTESIAN_POINT('',(12.90348,4.24,16.100853)); #212792=CARTESIAN_POINT('',(12.90348,4.25,16.100853)); #212793=CARTESIAN_POINT('Origin',(12.710130692284,4.24,13.6160586670753)); #212794=CARTESIAN_POINT('Origin',(12.8939449756444,4.25,15.9995457227143)); #212795=CARTESIAN_POINT('',(12.916564,4.25,16.0987549)); #212796=CARTESIAN_POINT('Origin',(12.8939449756444,4.25,15.9995457227143)); #212797=CARTESIAN_POINT('',(12.916564,4.24,16.0987549)); #212798=CARTESIAN_POINT('',(12.916564,4.25,16.0987549)); #212799=CARTESIAN_POINT('Origin',(12.8939449756444,4.24,15.9995457227143)); #212800=CARTESIAN_POINT('Origin',(12.922215,4.25,16.097126)); #212801=CARTESIAN_POINT('',(12.922215,4.25,16.097126)); #212802=CARTESIAN_POINT('',(17.6824620364839,4.25,14.7249854235141)); #212803=CARTESIAN_POINT('',(12.922215,4.24,16.097126)); #212804=CARTESIAN_POINT('',(12.922215,4.25,16.097126)); #212805=CARTESIAN_POINT('',(12.922215,4.24,16.097126)); #212806=CARTESIAN_POINT('Origin',(12.927281,4.25,16.0951157)); #212807=CARTESIAN_POINT('',(12.927281,4.25,16.0951157)); #212808=CARTESIAN_POINT('',(16.9615472548356,4.25,14.4942302969007)); #212809=CARTESIAN_POINT('',(12.927281,4.24,16.0951157)); #212810=CARTESIAN_POINT('',(12.927281,4.25,16.0951157)); #212811=CARTESIAN_POINT('',(12.927281,4.24,16.0951157)); #212812=CARTESIAN_POINT('Origin',(12.931769,4.25,16.0927238)); #212813=CARTESIAN_POINT('',(12.931769,4.25,16.0927238)); #212814=CARTESIAN_POINT('',(16.0940747688038,4.25,14.4073585664018)); #212815=CARTESIAN_POINT('',(12.931769,4.24,16.0927238)); #212816=CARTESIAN_POINT('',(12.931769,4.25,16.0927238)); #212817=CARTESIAN_POINT('',(12.931769,4.24,16.0927238)); #212818=CARTESIAN_POINT('Origin',(12.935688,4.25,16.0899582)); #212819=CARTESIAN_POINT('',(12.935688,4.25,16.0899582)); #212820=CARTESIAN_POINT('',(15.1308109308527,4.25,14.5408814004153)); #212821=CARTESIAN_POINT('',(12.935688,4.24,16.0899582)); #212822=CARTESIAN_POINT('',(12.935688,4.25,16.0899582)); #212823=CARTESIAN_POINT('',(12.935688,4.24,16.0899582)); #212824=CARTESIAN_POINT('Origin',(12.938975,4.25,16.0867653)); #212825=CARTESIAN_POINT('',(12.938975,4.25,16.0867653)); #212826=CARTESIAN_POINT('',(14.0202253184197,4.25,15.0364689380636)); #212827=CARTESIAN_POINT('',(12.938975,4.24,16.0867653)); #212828=CARTESIAN_POINT('',(12.938975,4.25,16.0867653)); #212829=CARTESIAN_POINT('',(12.938975,4.24,16.0867653)); #212830=CARTESIAN_POINT('Origin',(12.941811,4.25,16.0833511)); #212831=CARTESIAN_POINT('',(12.941811,4.25,16.0833511)); #212832=CARTESIAN_POINT('',(13.3753235432892,4.25,15.5614545819123)); #212833=CARTESIAN_POINT('',(12.941811,4.24,16.0833511)); #212834=CARTESIAN_POINT('',(12.941811,4.25,16.0833511)); #212835=CARTESIAN_POINT('',(12.941811,4.24,16.0833511)); #212836=CARTESIAN_POINT('Origin',(12.944238,4.25,16.0797691)); #212837=CARTESIAN_POINT('',(12.944238,4.25,16.0797691)); #212838=CARTESIAN_POINT('',(12.8955365597908,4.25,16.1516473689862)); #212839=CARTESIAN_POINT('',(12.944238,4.24,16.0797691)); #212840=CARTESIAN_POINT('',(12.944238,4.25,16.0797691)); #212841=CARTESIAN_POINT('',(12.944238,4.24,16.0797691)); #212842=CARTESIAN_POINT('Origin',(12.946253,4.25,16.0760078)); #212843=CARTESIAN_POINT('',(12.946253,4.25,16.0760078)); #212844=CARTESIAN_POINT('',(12.5220893621078,4.25,16.8677729072971)); #212845=CARTESIAN_POINT('',(12.946253,4.24,16.0760078)); #212846=CARTESIAN_POINT('',(12.946253,4.25,16.0760078)); #212847=CARTESIAN_POINT('',(12.946253,4.24,16.0760078)); #212848=CARTESIAN_POINT('Origin',(12.94785,4.25,16.0720634)); #212849=CARTESIAN_POINT('',(12.94785,4.25,16.0720634)); #212850=CARTESIAN_POINT('',(12.3000762933026,4.25,17.6719873879133)); #212851=CARTESIAN_POINT('',(12.94785,4.24,16.0720634)); #212852=CARTESIAN_POINT('',(12.94785,4.25,16.0720634)); #212853=CARTESIAN_POINT('',(12.94785,4.24,16.0720634)); #212854=CARTESIAN_POINT('Origin',(12.949026,4.25,16.0679283)); #212855=CARTESIAN_POINT('',(12.949026,4.25,16.0679283)); #212856=CARTESIAN_POINT('',(12.2547574175962,4.25,18.5091442992326)); #212857=CARTESIAN_POINT('',(12.949026,4.24,16.0679283)); #212858=CARTESIAN_POINT('',(12.949026,4.25,16.0679283)); #212859=CARTESIAN_POINT('',(12.949026,4.24,16.0679283)); #212860=CARTESIAN_POINT('Origin',(12.949775,4.25,16.0635948)); #212861=CARTESIAN_POINT('',(12.949775,4.25,16.0635948)); #212862=CARTESIAN_POINT('',(12.3862675709042,4.25,19.3238877826253)); #212863=CARTESIAN_POINT('',(12.949775,4.24,16.0635948)); #212864=CARTESIAN_POINT('',(12.949775,4.25,16.0635948)); #212865=CARTESIAN_POINT('',(12.949775,4.24,16.0635948)); #212866=CARTESIAN_POINT('Origin',(12.950092,4.25,16.059063)); #212867=CARTESIAN_POINT('',(12.950092,4.25,16.059063)); #212868=CARTESIAN_POINT('',(12.6701891498614,4.25,20.0605258904223)); #212869=CARTESIAN_POINT('',(12.950092,4.24,16.059063)); #212870=CARTESIAN_POINT('',(12.950092,4.25,16.059063)); #212871=CARTESIAN_POINT('',(12.950092,4.24,16.059063)); #212872=CARTESIAN_POINT('Origin',(12.949975,4.25,16.0542793)); #212873=CARTESIAN_POINT('',(12.949975,4.25,16.0542793)); #212874=CARTESIAN_POINT('',(13.0631481866405,4.25,20.6815149661068)); #212875=CARTESIAN_POINT('',(12.949975,4.24,16.0542793)); #212876=CARTESIAN_POINT('',(12.949975,4.25,16.0542793)); #212877=CARTESIAN_POINT('',(12.949975,4.24,16.0542793)); #212878=CARTESIAN_POINT('Origin',(12.949422,4.25,16.0496635)); #212879=CARTESIAN_POINT('',(12.949422,4.25,16.0496635)); #212880=CARTESIAN_POINT('',(13.5689068082947,4.25,21.2204012543122)); #212881=CARTESIAN_POINT('',(12.949422,4.24,16.0496635)); #212882=CARTESIAN_POINT('',(12.949422,4.25,16.0496635)); #212883=CARTESIAN_POINT('',(12.949422,4.24,16.0496635)); #212884=CARTESIAN_POINT('Origin',(12.948441,4.25,16.0452843)); #212885=CARTESIAN_POINT('',(12.948441,4.25,16.0452843)); #212886=CARTESIAN_POINT('',(14.2105531326531,4.25,21.6793734450695)); #212887=CARTESIAN_POINT('',(12.948441,4.24,16.0452843)); #212888=CARTESIAN_POINT('',(12.948441,4.25,16.0452843)); #212889=CARTESIAN_POINT('',(12.948441,4.24,16.0452843)); #212890=CARTESIAN_POINT('Origin',(12.947035,4.25,16.0411453)); #212891=CARTESIAN_POINT('',(12.947035,4.25,16.0411453)); #212892=CARTESIAN_POINT('',(14.9761073893708,4.25,22.0143534220562)); #212893=CARTESIAN_POINT('',(12.947035,4.24,16.0411453)); #212894=CARTESIAN_POINT('',(12.947035,4.25,16.0411453)); #212895=CARTESIAN_POINT('',(12.947035,4.24,16.0411453)); #212896=CARTESIAN_POINT('Origin',(12.94521,4.25,16.0372543)); #212897=CARTESIAN_POINT('',(12.94521,4.25,16.0372543)); #212898=CARTESIAN_POINT('',(15.8270913725348,4.25,22.1815832975519)); #212899=CARTESIAN_POINT('',(12.94521,4.24,16.0372543)); #212900=CARTESIAN_POINT('',(12.94521,4.25,16.0372543)); #212901=CARTESIAN_POINT('',(12.94521,4.24,16.0372543)); #212902=CARTESIAN_POINT('Origin',(12.942971,4.25,16.0336151)); #212903=CARTESIAN_POINT('',(12.942971,4.25,16.0336151)); #212904=CARTESIAN_POINT('',(16.7090396519735,4.25,22.1548643354848)); #212905=CARTESIAN_POINT('',(12.942971,4.24,16.0336151)); #212906=CARTESIAN_POINT('',(12.942971,4.25,16.0336151)); #212907=CARTESIAN_POINT('',(12.942971,4.24,16.0336151)); #212908=CARTESIAN_POINT('Origin',(12.940322,4.25,16.0302315)); #212909=CARTESIAN_POINT('',(12.940322,4.25,16.0302315)); #212910=CARTESIAN_POINT('',(17.5606199978867,4.25,21.931794469292)); #212911=CARTESIAN_POINT('',(12.940322,4.24,16.0302315)); #212912=CARTESIAN_POINT('',(12.940322,4.25,16.0302315)); #212913=CARTESIAN_POINT('',(12.940322,4.24,16.0302315)); #212914=CARTESIAN_POINT('Origin',(12.937267,4.25,16.0271072)); #212915=CARTESIAN_POINT('',(12.937267,4.25,16.0271072)); #212916=CARTESIAN_POINT('',(18.3234914258411,4.25,21.5355134106943)); #212917=CARTESIAN_POINT('',(12.937267,4.24,16.0271072)); #212918=CARTESIAN_POINT('',(12.937267,4.25,16.0271072)); #212919=CARTESIAN_POINT('',(12.937267,4.24,16.0271072)); #212920=CARTESIAN_POINT('Origin',(12.933632,4.25,16.0242004)); #212921=CARTESIAN_POINT('',(12.933632,4.25,16.0242004)); #212922=CARTESIAN_POINT('',(19.0465895998261,4.25,20.9125484470872)); #212923=CARTESIAN_POINT('',(12.933632,4.24,16.0242004)); #212924=CARTESIAN_POINT('',(12.933632,4.25,16.0242004)); #212925=CARTESIAN_POINT('',(12.933632,4.24,16.0242004)); #212926=CARTESIAN_POINT('Origin',(12.929404,4.25,16.0216675)); #212927=CARTESIAN_POINT('',(12.929404,4.25,16.0216675)); #212928=CARTESIAN_POINT('',(19.644171463121,4.25,20.0443341289823)); #212929=CARTESIAN_POINT('',(12.929404,4.24,16.0216675)); #212930=CARTESIAN_POINT('',(12.929404,4.25,16.0216675)); #212931=CARTESIAN_POINT('',(12.929404,4.24,16.0216675)); #212932=CARTESIAN_POINT('Origin',(12.924603,4.25,16.0195274)); #212933=CARTESIAN_POINT('',(12.924603,4.25,16.0195274)); #212934=CARTESIAN_POINT('',(19.964938353567,4.25,19.157836437733)); #212935=CARTESIAN_POINT('',(12.924603,4.24,16.0195274)); #212936=CARTESIAN_POINT('',(12.924603,4.25,16.0195274)); #212937=CARTESIAN_POINT('',(12.924603,4.24,16.0195274)); #212938=CARTESIAN_POINT('Origin',(12.919221,4.25,16.0177765)); #212939=CARTESIAN_POINT('',(12.919221,4.25,16.0177765)); #212940=CARTESIAN_POINT('',(20.0644557944009,4.25,18.3423011379648)); #212941=CARTESIAN_POINT('',(12.919221,4.24,16.0177765)); #212942=CARTESIAN_POINT('',(12.919221,4.25,16.0177765)); #212943=CARTESIAN_POINT('',(12.919221,4.24,16.0177765)); #212944=CARTESIAN_POINT('Origin',(12.8935147764505,4.25,16.1196636919969)); #212945=CARTESIAN_POINT('',(12.891732,4.25,16.0145988)); #212946=CARTESIAN_POINT('Origin',(12.8935147764505,4.25,16.1196636919969)); #212947=CARTESIAN_POINT('',(12.891732,4.24,16.0145988)); #212948=CARTESIAN_POINT('',(12.891732,4.25,16.0145988)); #212949=CARTESIAN_POINT('Origin',(12.8935147764505,4.24,16.1196636919969)); #212950=CARTESIAN_POINT('Origin',(12.730029,4.25,16.0145988)); #212951=CARTESIAN_POINT('',(12.730029,4.25,16.0145988)); #212952=CARTESIAN_POINT('',(19.2650145,4.25,16.0145988)); #212953=CARTESIAN_POINT('',(12.730029,4.24,16.0145988)); #212954=CARTESIAN_POINT('',(12.730029,4.25,16.0145988)); #212955=CARTESIAN_POINT('',(12.730029,4.24,16.0145988)); #212956=CARTESIAN_POINT('Origin',(12.730003,4.25,15.5615997)); #212957=CARTESIAN_POINT('',(12.730003,4.25,15.5615997)); #212958=CARTESIAN_POINT('',(12.7302738812347,4.25,20.2811749123745)); #212959=CARTESIAN_POINT('',(12.730003,4.24,15.5615997)); #212960=CARTESIAN_POINT('',(12.730003,4.25,15.5615997)); #212961=CARTESIAN_POINT('',(12.730003,4.24,15.5615997)); #212962=CARTESIAN_POINT('Origin',(13.002633,4.25,15.5615997)); #212963=CARTESIAN_POINT('',(13.002633,4.25,15.5615997)); #212964=CARTESIAN_POINT('',(19.4013165,4.25,15.5615997)); #212965=CARTESIAN_POINT('',(13.002633,4.24,15.5615997)); #212966=CARTESIAN_POINT('',(13.002633,4.25,15.5615997)); #212967=CARTESIAN_POINT('',(12.733137,4.24,15.5615997)); #212968=CARTESIAN_POINT('Origin',(12.5632935952507,4.25,16.3561769055831)); #212969=CARTESIAN_POINT('',(13.390635,4.25,15.9821739)); #212970=CARTESIAN_POINT('Origin',(12.5632935952507,4.25,16.3561769055831)); #212971=CARTESIAN_POINT('',(13.390635,4.24,15.9821739)); #212972=CARTESIAN_POINT('',(13.390635,4.25,15.9821739)); #212973=CARTESIAN_POINT('Origin',(12.5632935952507,4.24,16.3561769055831)); #212974=CARTESIAN_POINT('Origin',(13.459487,4.25,16.1013985)); #212975=CARTESIAN_POINT('',(13.459487,4.25,16.1013985)); #212976=CARTESIAN_POINT('',(16.9294948697553,4.25,22.1100881570679)); #212977=CARTESIAN_POINT('',(13.459487,4.24,16.1013985)); #212978=CARTESIAN_POINT('',(13.459487,4.25,16.1013985)); #212979=CARTESIAN_POINT('',(13.459487,4.24,16.1013985)); #212980=CARTESIAN_POINT('Origin',(13.5187083542981,4.25,15.1968305260284)); #212981=CARTESIAN_POINT('',(13.592079,4.25,16.1003609)); #212982=CARTESIAN_POINT('Origin',(13.5187083542981,4.25,15.1968305260284)); #212983=CARTESIAN_POINT('',(13.592079,4.24,16.1003609)); #212984=CARTESIAN_POINT('',(13.592079,4.25,16.1003609)); #212985=CARTESIAN_POINT('Origin',(13.5187083542981,4.24,15.1968305260284)); #212986=CARTESIAN_POINT('Origin',(13.598365,4.25,16.0992622)); #212987=CARTESIAN_POINT('',(13.598365,4.25,16.0992622)); #212988=CARTESIAN_POINT('',(18.7635285936774,4.25,15.1964678569547)); #212989=CARTESIAN_POINT('',(13.598365,4.24,16.0992622)); #212990=CARTESIAN_POINT('',(13.598365,4.25,16.0992622)); #212991=CARTESIAN_POINT('',(13.598365,4.24,16.0992622)); #212992=CARTESIAN_POINT('Origin',(13.604095,4.25,16.0977783)); #212993=CARTESIAN_POINT('',(13.604095,4.25,16.0977783)); #212994=CARTESIAN_POINT('',(18.238530498589,4.25,14.8975970022096)); #212995=CARTESIAN_POINT('',(13.604095,4.24,16.0977783)); #212996=CARTESIAN_POINT('',(13.604095,4.25,16.0977783)); #212997=CARTESIAN_POINT('',(13.604095,4.24,16.0977783)); #212998=CARTESIAN_POINT('Origin',(13.609275,4.25,16.0959091)); #212999=CARTESIAN_POINT('',(13.609275,4.25,16.0959091)); #213000=CARTESIAN_POINT('',(17.5809575412258,4.25,14.6627297551997)); #213001=CARTESIAN_POINT('',(13.609275,4.24,16.0959091)); #213002=CARTESIAN_POINT('',(13.609275,4.25,16.0959091)); #213003=CARTESIAN_POINT('',(13.609275,4.24,16.0959091)); #213004=CARTESIAN_POINT('Origin',(13.613911,4.25,16.0936546)); #213005=CARTESIAN_POINT('',(13.613911,4.25,16.0936546)); #213006=CARTESIAN_POINT('',(16.7902023389996,4.25,14.5490150780465)); #213007=CARTESIAN_POINT('',(13.613911,4.24,16.0936546)); #213008=CARTESIAN_POINT('',(13.613911,4.25,16.0936546)); #213009=CARTESIAN_POINT('',(13.613911,4.24,16.0936546)); #213010=CARTESIAN_POINT('Origin',(13.618005,4.25,16.0910301)); #213011=CARTESIAN_POINT('',(13.618005,4.25,16.0910301)); #213012=CARTESIAN_POINT('',(15.9110604296587,4.25,14.6210437846261)); #213013=CARTESIAN_POINT('',(13.618005,4.24,16.0910301)); #213014=CARTESIAN_POINT('',(13.618005,4.25,16.0910301)); #213015=CARTESIAN_POINT('',(13.618005,4.24,16.0910301)); #213016=CARTESIAN_POINT('Origin',(13.621519,4.25,16.0879898)); #213017=CARTESIAN_POINT('',(13.621519,4.25,16.0879898)); #213018=CARTESIAN_POINT('',(14.8990931564826,4.25,14.9826372934689)); #213019=CARTESIAN_POINT('',(13.621519,4.24,16.0879898)); #213020=CARTESIAN_POINT('',(13.621519,4.25,16.0879898)); #213021=CARTESIAN_POINT('',(13.621519,4.24,16.0879898)); #213022=CARTESIAN_POINT('Origin',(13.624504,4.25,16.0846405)); #213023=CARTESIAN_POINT('',(13.624504,4.25,16.0846405)); #213024=CARTESIAN_POINT('',(14.1052680402785,4.25,15.5452023090098)); #213025=CARTESIAN_POINT('',(13.624504,4.24,16.0846405)); #213026=CARTESIAN_POINT('',(13.624504,4.25,16.0846405)); #213027=CARTESIAN_POINT('',(13.624504,4.24,16.0846405)); #213028=CARTESIAN_POINT('Origin',(13.627084,4.25,16.0811195)); #213029=CARTESIAN_POINT('',(13.627084,4.25,16.0811195)); #213030=CARTESIAN_POINT('',(13.6272698470932,4.25,16.0808658691414)); #213031=CARTESIAN_POINT('',(13.627084,4.24,16.0811195)); #213032=CARTESIAN_POINT('',(13.627084,4.25,16.0811195)); #213033=CARTESIAN_POINT('',(13.627084,4.24,16.0811195)); #213034=CARTESIAN_POINT('Origin',(13.629252,4.25,16.0774269)); #213035=CARTESIAN_POINT('',(13.629252,4.25,16.0774269)); #213036=CARTESIAN_POINT('',(13.241345770216,4.25,16.7381199553969)); #213037=CARTESIAN_POINT('',(13.629252,4.24,16.0774269)); #213038=CARTESIAN_POINT('',(13.629252,4.25,16.0774269)); #213039=CARTESIAN_POINT('',(13.629252,4.24,16.0774269)); #213040=CARTESIAN_POINT('Origin',(13.631005,4.25,16.0735512)); #213041=CARTESIAN_POINT('',(13.631005,4.25,16.0735512)); #213042=CARTESIAN_POINT('',(12.9884799382647,4.25,17.4941070367212)); #213043=CARTESIAN_POINT('',(13.631005,4.24,16.0735512)); #213044=CARTESIAN_POINT('',(13.631005,4.25,16.0735512)); #213045=CARTESIAN_POINT('',(13.631005,4.24,16.0735512)); #213046=CARTESIAN_POINT('Origin',(13.632339,4.25,16.0694847)); #213047=CARTESIAN_POINT('',(13.632339,4.25,16.0694847)); #213048=CARTESIAN_POINT('',(12.9009439830546,4.25,18.2990333029975)); #213049=CARTESIAN_POINT('',(13.632339,4.24,16.0694847)); #213050=CARTESIAN_POINT('',(13.632339,4.25,16.0694847)); #213051=CARTESIAN_POINT('',(13.632339,4.24,16.0694847)); #213052=CARTESIAN_POINT('Origin',(13.633246,4.25,16.0652275)); #213053=CARTESIAN_POINT('',(13.633246,4.25,16.0652275)); #213054=CARTESIAN_POINT('',(12.9869307940628,4.25,19.0988472295696)); #213055=CARTESIAN_POINT('',(13.633246,4.24,16.0652275)); #213056=CARTESIAN_POINT('',(13.633246,4.25,16.0652275)); #213057=CARTESIAN_POINT('',(13.633246,4.24,16.0652275)); #213058=CARTESIAN_POINT('Origin',(13.633725,4.25,16.0607719)); #213059=CARTESIAN_POINT('',(13.633725,4.25,16.0607719)); #213060=CARTESIAN_POINT('',(13.2282101080231,4.25,19.8328223231583)); #213061=CARTESIAN_POINT('',(13.633725,4.24,16.0607719)); #213062=CARTESIAN_POINT('',(13.633725,4.25,16.0607719)); #213063=CARTESIAN_POINT('',(13.633725,4.24,16.0607719)); #213064=CARTESIAN_POINT('Origin',(13.545214344775,4.25,16.0593139888974)); #213065=CARTESIAN_POINT('',(13.633378,4.25,16.0513496)); #213066=CARTESIAN_POINT('Origin',(13.545214344775,4.25,16.0593139888974)); #213067=CARTESIAN_POINT('',(13.633378,4.24,16.0513496)); #213068=CARTESIAN_POINT('',(13.633378,4.25,16.0513496)); #213069=CARTESIAN_POINT('Origin',(13.545214344775,4.24,16.0593139888974)); #213070=CARTESIAN_POINT('Origin',(13.632555,4.25,16.0468826)); #213071=CARTESIAN_POINT('',(13.632555,4.25,16.0468826)); #213072=CARTESIAN_POINT('',(14.6299676821988,4.25,21.4605429297414)); #213073=CARTESIAN_POINT('',(13.632555,4.24,16.0468826)); #213074=CARTESIAN_POINT('',(13.632555,4.25,16.0468826)); #213075=CARTESIAN_POINT('',(13.632555,4.24,16.0468826)); #213076=CARTESIAN_POINT('Origin',(13.631307,4.25,16.0426559)); #213077=CARTESIAN_POINT('',(13.631307,4.25,16.0426559)); #213078=CARTESIAN_POINT('',(15.3355697492451,4.25,21.8146169274377)); #213079=CARTESIAN_POINT('',(13.631307,4.24,16.0426559)); #213080=CARTESIAN_POINT('',(13.631307,4.25,16.0426559)); #213081=CARTESIAN_POINT('',(13.631307,4.24,16.0426559)); #213082=CARTESIAN_POINT('Origin',(13.629637,4.25,16.0386696)); #213083=CARTESIAN_POINT('',(13.629637,4.25,16.0386696)); #213084=CARTESIAN_POINT('',(16.1350211871341,4.25,22.0190366569833)); #213085=CARTESIAN_POINT('',(13.629637,4.24,16.0386696)); #213086=CARTESIAN_POINT('',(13.629637,4.25,16.0386696)); #213087=CARTESIAN_POINT('',(13.629637,4.24,16.0386696)); #213088=CARTESIAN_POINT('Origin',(13.5660812900024,4.25,16.07399382565)); #213089=CARTESIAN_POINT('',(13.625053,4.25,16.031456)); #213090=CARTESIAN_POINT('Origin',(13.5660812900024,4.25,16.07399382565)); #213091=CARTESIAN_POINT('',(13.625053,4.24,16.031456)); #213092=CARTESIAN_POINT('',(13.625053,4.25,16.031456)); #213093=CARTESIAN_POINT('Origin',(13.5660812900024,4.24,16.07399382565)); #213094=CARTESIAN_POINT('Origin',(13.5527193219896,4.25,16.122724401985)); #213095=CARTESIAN_POINT('',(13.509465,4.25,16.0145988)); #213096=CARTESIAN_POINT('Origin',(13.5527193219896,4.25,16.122724401985)); #213097=CARTESIAN_POINT('',(13.509465,4.24,16.0145988)); #213098=CARTESIAN_POINT('',(13.509465,4.25,16.0145988)); #213099=CARTESIAN_POINT('Origin',(13.5527193219896,4.24,16.122724401985)); #213100=CARTESIAN_POINT('Origin',(12.3627137269939,4.25,16.5261463267116)); #213101=CARTESIAN_POINT('Origin',(12.3627137269939,4.25,16.5261463267116)); #213102=CARTESIAN_POINT('Origin',(12.3627137269939,4.24,16.5261463267116)); #213103=CARTESIAN_POINT('Origin',(13.0506210031208,4.24,15.4953243303313)); #213104=CARTESIAN_POINT('Origin',(9.25952852320717,4.25,21.2080949149833)); #213105=CARTESIAN_POINT('',(9.1977568,4.25,21.5192986)); #213106=CARTESIAN_POINT('',(9.4551239,4.25,21.4579067)); #213107=CARTESIAN_POINT('Origin',(9.25952852320717,4.25,21.2080949149833)); #213108=CARTESIAN_POINT('',(9.1977568,4.24,21.5192986)); #213109=CARTESIAN_POINT('',(9.1977568,4.25,21.5192986)); #213110=CARTESIAN_POINT('',(9.4551239,4.24,21.4579067)); #213111=CARTESIAN_POINT('Origin',(9.25952852320717,4.24,21.2080949149833)); #213112=CARTESIAN_POINT('',(9.4551239,4.25,21.4579067)); #213113=CARTESIAN_POINT('Origin',(9.102129,4.25,21.5192966)); #213114=CARTESIAN_POINT('',(9.102129,4.25,21.5192966)); #213115=CARTESIAN_POINT('',(17.451100894795,4.25,21.5194712139072)); #213116=CARTESIAN_POINT('',(9.102129,4.24,21.5192966)); #213117=CARTESIAN_POINT('',(9.102129,4.25,21.5192966)); #213118=CARTESIAN_POINT('',(9.102129,4.24,21.5192966)); #213119=CARTESIAN_POINT('Origin',(9.0033665,4.25,21.5192986)); #213120=CARTESIAN_POINT('',(9.0033665,4.25,21.5192986)); #213121=CARTESIAN_POINT('',(17.401648003408,4.25,21.5191285297505)); #213122=CARTESIAN_POINT('',(9.0033665,4.24,21.5192986)); #213123=CARTESIAN_POINT('',(9.0033665,4.25,21.5192986)); #213124=CARTESIAN_POINT('',(9.0033665,4.24,21.5192986)); #213125=CARTESIAN_POINT('Origin',(8.9579029,4.25,21.5192986)); #213126=CARTESIAN_POINT('',(8.9579029,4.25,21.5192986)); #213127=CARTESIAN_POINT('',(17.37895145,4.25,21.5192986)); #213128=CARTESIAN_POINT('',(8.9579029,4.24,21.5192986)); #213129=CARTESIAN_POINT('',(8.9579029,4.25,21.5192986)); #213130=CARTESIAN_POINT('',(8.9579029,4.24,21.5192986)); #213131=CARTESIAN_POINT('Origin',(8.9579029,4.25,21.2897491)); #213132=CARTESIAN_POINT('',(8.9579029,4.25,21.2897491)); #213133=CARTESIAN_POINT('',(8.9579029,4.25,23.14487455)); #213134=CARTESIAN_POINT('',(8.9579029,4.24,21.2897491)); #213135=CARTESIAN_POINT('',(8.9579029,4.25,21.2897491)); #213136=CARTESIAN_POINT('',(8.9579029,4.24,21.2897491)); #213137=CARTESIAN_POINT('Origin',(8.9579039,4.25,21.237833)); #213138=CARTESIAN_POINT('',(8.9579039,4.25,21.237833)); #213139=CARTESIAN_POINT('',(8.95786766998093,4.25,23.1187542943591)); #213140=CARTESIAN_POINT('',(8.9579039,4.24,21.237833)); #213141=CARTESIAN_POINT('',(8.9579039,4.25,21.237833)); #213142=CARTESIAN_POINT('',(8.9579039,4.24,21.237833)); #213143=CARTESIAN_POINT('Origin',(8.9579039,4.25,21.0512104)); #213144=CARTESIAN_POINT('',(8.9579039,4.25,21.0512104)); #213145=CARTESIAN_POINT('',(8.9579039,4.25,23.0256052)); #213146=CARTESIAN_POINT('',(8.9579039,4.24,21.0512104)); #213147=CARTESIAN_POINT('',(8.9579039,4.25,21.0512104)); #213148=CARTESIAN_POINT('',(8.9579039,4.24,21.0512104)); #213149=CARTESIAN_POINT('Origin',(8.9579029,4.25,20.9946136)); #213150=CARTESIAN_POINT('',(8.9579029,4.25,20.9946136)); #213151=CARTESIAN_POINT('',(8.95793828790159,4.25,22.9974555895633)); #213152=CARTESIAN_POINT('',(8.9579029,4.24,20.9946136)); #213153=CARTESIAN_POINT('',(8.9579029,4.25,20.9946136)); #213154=CARTESIAN_POINT('',(8.9579029,4.24,20.9946136)); #213155=CARTESIAN_POINT('Origin',(9.18085963469691,4.25,22.272184369422)); #213156=CARTESIAN_POINT('',(9.3057556,4.25,20.9813328)); #213157=CARTESIAN_POINT('Origin',(9.18085963469691,4.25,22.272184369422)); #213158=CARTESIAN_POINT('',(9.3057556,4.24,20.9813328)); #213159=CARTESIAN_POINT('',(9.3057556,4.25,20.9813328)); #213160=CARTESIAN_POINT('Origin',(9.18085963469691,4.24,22.272184369422)); #213161=CARTESIAN_POINT('Origin',(9.28870975203089,4.25,21.2713131383994)); #213162=CARTESIAN_POINT('',(9.5749779,4.25,21.2220211)); #213163=CARTESIAN_POINT('Origin',(9.28870975203089,4.25,21.2713131383994)); #213164=CARTESIAN_POINT('',(9.5749779,4.24,21.2220211)); #213165=CARTESIAN_POINT('',(9.5749779,4.25,21.2220211)); #213166=CARTESIAN_POINT('Origin',(9.28870975203089,4.24,21.2713131383994)); #213167=CARTESIAN_POINT('Origin',(9.25794549813059,4.25,21.2093280712947)); #213168=CARTESIAN_POINT('Origin',(9.25794549813059,4.25,21.2093280712947)); #213169=CARTESIAN_POINT('Origin',(9.25794549813059,4.24,21.2093280712947)); #213170=CARTESIAN_POINT('Origin',(8.7013378,4.25,20.9138088)); #213171=CARTESIAN_POINT('',(8.7013378,4.25,20.9138088)); #213172=CARTESIAN_POINT('',(8.7038193,4.25,20.9102859)); #213173=CARTESIAN_POINT('',(10.574629790652,4.25,18.2543607428296)); #213174=CARTESIAN_POINT('',(8.7013378,4.24,20.9138088)); #213175=CARTESIAN_POINT('',(8.7013378,4.25,20.9138088)); #213176=CARTESIAN_POINT('',(8.7038193,4.24,20.9102859)); #213177=CARTESIAN_POINT('',(8.7013378,4.24,20.9138088)); #213178=CARTESIAN_POINT('',(8.7038193,4.25,20.9102859)); #213179=CARTESIAN_POINT('Origin',(8.699297,4.25,20.9175968)); #213180=CARTESIAN_POINT('',(8.699297,4.25,20.9175968)); #213181=CARTESIAN_POINT('',(9.77046745240215,4.25,18.9293599939944)); #213182=CARTESIAN_POINT('',(8.699297,4.24,20.9175968)); #213183=CARTESIAN_POINT('',(8.699297,4.25,20.9175968)); #213184=CARTESIAN_POINT('',(8.699297,4.24,20.9175968)); #213185=CARTESIAN_POINT('Origin',(8.6976967,4.25,20.9216423)); #213186=CARTESIAN_POINT('',(8.6976967,4.25,20.9216423)); #213187=CARTESIAN_POINT('',(9.15722395986384,4.25,19.759974156665)); #213188=CARTESIAN_POINT('',(8.6976967,4.24,20.9216423)); #213189=CARTESIAN_POINT('',(8.6976967,4.25,20.9216423)); #213190=CARTESIAN_POINT('',(8.6976967,4.24,20.9216423)); #213191=CARTESIAN_POINT('Origin',(8.6965342,4.25,20.9259415)); #213192=CARTESIAN_POINT('',(8.6965342,4.25,20.9259415)); #213193=CARTESIAN_POINT('',(8.76591623118229,4.25,20.6693503357345)); #213194=CARTESIAN_POINT('',(8.6965342,4.24,20.9259415)); #213195=CARTESIAN_POINT('',(8.6965342,4.25,20.9259415)); #213196=CARTESIAN_POINT('',(8.6965342,4.24,20.9259415)); #213197=CARTESIAN_POINT('Origin',(8.6958065,4.25,20.9304905)); #213198=CARTESIAN_POINT('',(8.6958065,4.25,20.9304905)); #213199=CARTESIAN_POINT('',(8.59181888175286,4.25,21.5805381506901)); #213200=CARTESIAN_POINT('',(8.6958065,4.24,20.9304905)); #213201=CARTESIAN_POINT('',(8.6958065,4.25,20.9304905)); #213202=CARTESIAN_POINT('',(8.6958065,4.24,20.9304905)); #213203=CARTESIAN_POINT('Origin',(8.6955109,4.25,20.9352837)); #213204=CARTESIAN_POINT('',(8.6955109,4.25,20.9352837)); #213205=CARTESIAN_POINT('',(8.60305213614334,4.25,22.4345169439719)); #213206=CARTESIAN_POINT('',(8.6955109,4.24,20.9352837)); #213207=CARTESIAN_POINT('',(8.6955109,4.25,20.9352837)); #213208=CARTESIAN_POINT('',(8.6955109,4.24,20.9352837)); #213209=CARTESIAN_POINT('Origin',(8.6956463,4.25,20.9401302)); #213210=CARTESIAN_POINT('',(8.6956463,4.25,20.9401302)); #213211=CARTESIAN_POINT('',(8.75898364693163,4.25,23.2072236409499)); #213212=CARTESIAN_POINT('',(8.6956463,4.24,20.9401302)); #213213=CARTESIAN_POINT('',(8.6956463,4.25,20.9401302)); #213214=CARTESIAN_POINT('',(8.6956463,4.24,20.9401302)); #213215=CARTESIAN_POINT('Origin',(8.6962137,4.25,20.944746)); #213216=CARTESIAN_POINT('',(8.6962137,4.25,20.944746)); #213217=CARTESIAN_POINT('',(9.06905224519015,4.25,23.977788222228)); #213218=CARTESIAN_POINT('',(8.6962137,4.24,20.944746)); #213219=CARTESIAN_POINT('',(8.6962137,4.25,20.944746)); #213220=CARTESIAN_POINT('',(8.6962137,4.24,20.944746)); #213221=CARTESIAN_POINT('Origin',(8.6972151,4.25,20.9491234)); #213222=CARTESIAN_POINT('',(8.6972151,4.25,20.9491234)); #213223=CARTESIAN_POINT('',(9.56279458747892,4.25,24.7328138818139)); #213224=CARTESIAN_POINT('',(8.6972151,4.24,20.9491234)); #213225=CARTESIAN_POINT('',(8.6972151,4.25,20.9491234)); #213226=CARTESIAN_POINT('',(8.6972151,4.24,20.9491234)); #213227=CARTESIAN_POINT('Origin',(8.6986532,4.25,20.9532566)); #213228=CARTESIAN_POINT('',(8.6986532,4.25,20.9532566)); #213229=CARTESIAN_POINT('',(10.2500088997871,4.25,25.4119614038116)); #213230=CARTESIAN_POINT('',(8.6986532,4.24,20.9532566)); #213231=CARTESIAN_POINT('',(8.6986532,4.25,20.9532566)); #213232=CARTESIAN_POINT('',(8.6986532,4.24,20.9532566)); #213233=CARTESIAN_POINT('Origin',(8.700531,4.25,20.9571419)); #213234=CARTESIAN_POINT('',(8.700531,4.25,20.9571419)); #213235=CARTESIAN_POINT('',(11.1114548885717,4.25,25.9455126446294)); #213236=CARTESIAN_POINT('',(8.700531,4.24,20.9571419)); #213237=CARTESIAN_POINT('',(8.700531,4.25,20.9571419)); #213238=CARTESIAN_POINT('',(8.700531,4.24,20.9571419)); #213239=CARTESIAN_POINT('Origin',(8.7028484,4.25,20.9607773)); #213240=CARTESIAN_POINT('',(8.7028484,4.25,20.9607773)); #213241=CARTESIAN_POINT('',(12.0882859415513,4.25,26.2716513996631)); #213242=CARTESIAN_POINT('',(8.7028484,4.24,20.9607773)); #213243=CARTESIAN_POINT('',(8.7028484,4.25,20.9607773)); #213244=CARTESIAN_POINT('',(8.7028484,4.24,20.9607773)); #213245=CARTESIAN_POINT('Origin',(8.7056103,4.25,20.9641552)); #213246=CARTESIAN_POINT('',(8.7056103,4.25,20.9641552)); #213247=CARTESIAN_POINT('',(13.1190734185087,4.25,26.3619744794839)); #213248=CARTESIAN_POINT('',(8.7056103,4.24,20.9641552)); #213249=CARTESIAN_POINT('',(8.7056103,4.25,20.9641552)); #213250=CARTESIAN_POINT('',(8.7056103,4.24,20.9641552)); #213251=CARTESIAN_POINT('Origin',(8.7088194,4.25,20.967268)); #213252=CARTESIAN_POINT('',(8.7088194,4.25,20.967268)); #213253=CARTESIAN_POINT('',(14.1194725347604,4.25,26.2155560177878)); #213254=CARTESIAN_POINT('',(8.7088194,4.24,20.967268)); #213255=CARTESIAN_POINT('',(8.7088194,4.25,20.967268)); #213256=CARTESIAN_POINT('',(8.7088194,4.24,20.967268)); #213257=CARTESIAN_POINT('Origin',(8.7125254,4.25,20.9701614)); #213258=CARTESIAN_POINT('',(8.7125254,4.25,20.9701614)); #213259=CARTESIAN_POINT('',(14.9980595704141,4.25,25.8774912890113)); #213260=CARTESIAN_POINT('',(8.7125254,4.24,20.9701614)); #213261=CARTESIAN_POINT('',(8.7125254,4.25,20.9701614)); #213262=CARTESIAN_POINT('',(8.7125254,4.24,20.9701614)); #213263=CARTESIAN_POINT('Origin',(8.7168026,4.25,20.9726639)); #213264=CARTESIAN_POINT('',(8.7168026,4.25,20.9726639)); #213265=CARTESIAN_POINT('',(15.9578270952285,4.25,25.209235441968)); #213266=CARTESIAN_POINT('',(8.7168026,4.24,20.9726639)); #213267=CARTESIAN_POINT('',(8.7168026,4.25,20.9726639)); #213268=CARTESIAN_POINT('',(8.7168026,4.24,20.9726639)); #213269=CARTESIAN_POINT('Origin',(8.7216492,4.25,20.9747658)); #213270=CARTESIAN_POINT('',(8.7216492,4.25,20.9747658)); #213271=CARTESIAN_POINT('',(16.6436693816755,4.25,24.410430847634)); #213272=CARTESIAN_POINT('',(8.7216492,4.24,20.9747658)); #213273=CARTESIAN_POINT('',(8.7216492,4.25,20.9747658)); #213274=CARTESIAN_POINT('',(8.7216492,4.24,20.9747658)); #213275=CARTESIAN_POINT('Origin',(8.7270679,4.25,20.9764729)); #213276=CARTESIAN_POINT('',(8.7270679,4.25,20.9764729)); #213277=CARTESIAN_POINT('',(17.0693509992407,4.25,23.6046146090295)); #213278=CARTESIAN_POINT('',(8.7270679,4.24,20.9764729)); #213279=CARTESIAN_POINT('',(8.7270679,4.25,20.9764729)); #213280=CARTESIAN_POINT('',(8.7270679,4.24,20.9764729)); #213281=CARTESIAN_POINT('Origin',(8.7330532,4.25,20.9777966)); #213282=CARTESIAN_POINT('',(8.7330532,4.25,20.9777966)); #213283=CARTESIAN_POINT('',(17.2926401654384,4.25,22.8708220567285)); #213284=CARTESIAN_POINT('',(8.7330532,4.24,20.9777966)); #213285=CARTESIAN_POINT('',(8.7330532,4.25,20.9777966)); #213286=CARTESIAN_POINT('',(8.7330532,4.24,20.9777966)); #213287=CARTESIAN_POINT('Origin',(8.7530092797114,4.25,20.8593536872507)); #213288=CARTESIAN_POINT('',(8.7467251,4.25,20.9793015)); #213289=CARTESIAN_POINT('Origin',(8.7530092797114,4.25,20.8593536872507)); #213290=CARTESIAN_POINT('',(8.7467251,4.24,20.9793015)); #213291=CARTESIAN_POINT('',(8.7467251,4.25,20.9793015)); #213292=CARTESIAN_POINT('Origin',(8.7530092797114,4.24,20.8593536872507)); #213293=CARTESIAN_POINT('Origin',(8.7544079,4.25,20.9794979)); #213294=CARTESIAN_POINT('',(8.7544079,4.25,20.9794979)); #213295=CARTESIAN_POINT('',(17.3229936477981,4.25,21.1985417695353)); #213296=CARTESIAN_POINT('',(8.7544079,4.24,20.9794979)); #213297=CARTESIAN_POINT('',(8.7544079,4.25,20.9794979)); #213298=CARTESIAN_POINT('',(8.7544079,4.24,20.9794979)); #213299=CARTESIAN_POINT('Origin',(8.8711033,4.25,20.9795647)); #213300=CARTESIAN_POINT('',(8.8711033,4.25,20.9795647)); #213301=CARTESIAN_POINT('',(17.3366995858103,4.25,20.9844106650671)); #213302=CARTESIAN_POINT('',(8.8711033,4.24,20.9795647)); #213303=CARTESIAN_POINT('',(8.8711033,4.25,20.9795647)); #213304=CARTESIAN_POINT('',(8.8711033,4.24,20.9795647)); #213305=CARTESIAN_POINT('Origin',(8.8710356,4.25,22.0145988)); #213306=CARTESIAN_POINT('',(8.8710356,4.25,22.0145988)); #213307=CARTESIAN_POINT('',(8.87093800094683,4.25,23.5067457447606)); #213308=CARTESIAN_POINT('',(8.8710356,4.24,22.0145988)); #213309=CARTESIAN_POINT('',(8.8710356,4.25,22.0145988)); #213310=CARTESIAN_POINT('',(8.8710356,4.24,22.0145988)); #213311=CARTESIAN_POINT('Origin',(8.81428859208153,4.25,23.4171086637503)); #213312=CARTESIAN_POINT('',(8.7385998,4.25,22.0154934)); #213313=CARTESIAN_POINT('Origin',(8.81428859208153,4.25,23.4171086637503)); #213314=CARTESIAN_POINT('',(8.7385998,4.24,22.0154934)); #213315=CARTESIAN_POINT('',(8.7385998,4.25,22.0154934)); #213316=CARTESIAN_POINT('Origin',(8.81428859208153,4.24,23.4171086637503)); #213317=CARTESIAN_POINT('Origin',(8.75172576747435,4.25,22.1157153478394)); #213318=CARTESIAN_POINT('',(8.7262287,4.25,22.0179062)); #213319=CARTESIAN_POINT('Origin',(8.75172576747435,4.25,22.1157153478394)); #213320=CARTESIAN_POINT('',(8.7262287,4.24,22.0179062)); #213321=CARTESIAN_POINT('',(8.7262287,4.25,22.0179062)); #213322=CARTESIAN_POINT('Origin',(8.75172576747435,4.24,22.1157153478394)); #213323=CARTESIAN_POINT('Origin',(8.7208958,4.25,22.0196896)); #213324=CARTESIAN_POINT('',(8.7208958,4.25,22.0196896)); #213325=CARTESIAN_POINT('',(15.953295892966,4.25,19.6010689009811)); #213326=CARTESIAN_POINT('',(8.7208958,4.24,22.0196896)); #213327=CARTESIAN_POINT('',(8.7208958,4.25,22.0196896)); #213328=CARTESIAN_POINT('',(8.7208958,4.24,22.0196896)); #213329=CARTESIAN_POINT('Origin',(8.7161322,4.25,22.0218658)); #213330=CARTESIAN_POINT('',(8.7161322,4.25,22.0218658)); #213331=CARTESIAN_POINT('',(15.2203558168684,4.25,19.0504804118428)); #213332=CARTESIAN_POINT('',(8.7161322,4.24,22.0218658)); #213333=CARTESIAN_POINT('',(8.7161322,4.25,22.0218658)); #213334=CARTESIAN_POINT('',(8.7161322,4.24,22.0218658)); #213335=CARTESIAN_POINT('Origin',(8.7119408,4.25,22.024437)); #213336=CARTESIAN_POINT('',(8.7119408,4.25,22.024437)); #213337=CARTESIAN_POINT('',(14.2567075545161,4.25,18.6230187914747)); #213338=CARTESIAN_POINT('',(8.7119408,4.24,22.024437)); #213339=CARTESIAN_POINT('',(8.7119408,4.25,22.024437)); #213340=CARTESIAN_POINT('',(8.7119408,4.24,22.024437)); #213341=CARTESIAN_POINT('Origin',(8.7083158,4.25,22.0273724)); #213342=CARTESIAN_POINT('',(8.7083158,4.25,22.0273724)); #213343=CARTESIAN_POINT('',(13.1428068863467,4.25,18.4364744317611)); #213344=CARTESIAN_POINT('',(8.7083158,4.24,22.0273724)); #213345=CARTESIAN_POINT('',(8.7083158,4.25,22.0273724)); #213346=CARTESIAN_POINT('',(8.7083158,4.24,22.0273724)); #213347=CARTESIAN_POINT('Origin',(8.7051735,4.25,22.0305271)); #213348=CARTESIAN_POINT('',(8.7051735,4.25,22.0305271)); #213349=CARTESIAN_POINT('',(12.2196862275873,4.25,18.5021455636361)); #213350=CARTESIAN_POINT('',(8.7051735,4.24,22.0305271)); #213351=CARTESIAN_POINT('',(8.7051735,4.25,22.0305271)); #213352=CARTESIAN_POINT('',(8.7051735,4.24,22.0305271)); #213353=CARTESIAN_POINT('Origin',(8.7024775,4.25,22.0339413)); #213354=CARTESIAN_POINT('',(8.7024775,4.25,22.0339413)); #213355=CARTESIAN_POINT('',(11.2644158146085,4.25,18.789516302326)); #213356=CARTESIAN_POINT('',(8.7024775,4.24,22.0339413)); #213357=CARTESIAN_POINT('',(8.7024775,4.25,22.0339413)); #213358=CARTESIAN_POINT('',(8.7024775,4.24,22.0339413)); #213359=CARTESIAN_POINT('Origin',(8.7002239,4.25,22.0376129)); #213360=CARTESIAN_POINT('',(8.7002239,4.25,22.0376129)); #213361=CARTESIAN_POINT('',(10.3795146705496,4.25,19.3016863854642)); #213362=CARTESIAN_POINT('',(8.7002239,4.24,22.0376129)); #213363=CARTESIAN_POINT('',(8.7002239,4.25,22.0376129)); #213364=CARTESIAN_POINT('',(8.7002239,4.24,22.0376129)); #213365=CARTESIAN_POINT('Origin',(8.6984119,4.25,22.0415363)); #213366=CARTESIAN_POINT('',(8.6984119,4.25,22.0415363)); #213367=CARTESIAN_POINT('',(9.63858405413736,4.25,20.0058456655958)); #213368=CARTESIAN_POINT('',(8.6984119,4.24,22.0415363)); #213369=CARTESIAN_POINT('',(8.6984119,4.25,22.0415363)); #213370=CARTESIAN_POINT('',(8.6984119,4.24,22.0415363)); #213371=CARTESIAN_POINT('Origin',(8.6970396,4.25,22.0457058)); #213372=CARTESIAN_POINT('',(8.6970396,4.25,22.0457058)); #213373=CARTESIAN_POINT('',(9.09418965283248,4.25,20.8390329549331)); #213374=CARTESIAN_POINT('',(8.6970396,4.24,22.0457058)); #213375=CARTESIAN_POINT('',(8.6970396,4.25,22.0457058)); #213376=CARTESIAN_POINT('',(8.6970396,4.24,22.0457058)); #213377=CARTESIAN_POINT('Origin',(8.6961021,4.25,22.0501194)); #213378=CARTESIAN_POINT('',(8.6961021,4.25,22.0501194)); #213379=CARTESIAN_POINT('',(8.76552805606303,4.25,21.7232731070087)); #213380=CARTESIAN_POINT('',(8.6961021,4.24,22.0501194)); #213381=CARTESIAN_POINT('',(8.6961021,4.25,22.0501194)); #213382=CARTESIAN_POINT('',(8.6961021,4.24,22.0501194)); #213383=CARTESIAN_POINT('Origin',(8.6955986,4.25,22.0547695)); #213384=CARTESIAN_POINT('',(8.6955986,4.25,22.0547695)); #213385=CARTESIAN_POINT('',(8.6370993930038,4.25,22.5950419179792)); #213386=CARTESIAN_POINT('',(8.6955986,4.24,22.0547695)); #213387=CARTESIAN_POINT('',(8.6955986,4.25,22.0547695)); #213388=CARTESIAN_POINT('',(8.6955986,4.24,22.0547695)); #213389=CARTESIAN_POINT('Origin',(8.695528,4.25,22.0595512)); #213390=CARTESIAN_POINT('',(8.695528,4.25,22.0595512)); #213391=CARTESIAN_POINT('',(8.67568935208109,4.25,23.403212145553)); #213392=CARTESIAN_POINT('',(8.695528,4.24,22.0595512)); #213393=CARTESIAN_POINT('',(8.695528,4.25,22.0595512)); #213394=CARTESIAN_POINT('',(8.695528,4.24,22.0595512)); #213395=CARTESIAN_POINT('Origin',(8.6958866,4.25,22.0640717)); #213396=CARTESIAN_POINT('',(8.6958866,4.25,22.0640717)); #213397=CARTESIAN_POINT('',(8.86508861456696,4.25,24.197026822281)); #213398=CARTESIAN_POINT('',(8.6958866,4.24,22.0640717)); #213399=CARTESIAN_POINT('',(8.6958866,4.25,22.0640717)); #213400=CARTESIAN_POINT('',(8.6958866,4.24,22.0640717)); #213401=CARTESIAN_POINT('Origin',(8.6966782,4.25,22.0683899)); #213402=CARTESIAN_POINT('',(8.6966782,4.25,22.0683899)); #213403=CARTESIAN_POINT('',(9.23468553511149,4.25,25.003234865234)); #213404=CARTESIAN_POINT('',(8.6966782,4.24,22.0683899)); #213405=CARTESIAN_POINT('',(8.6966782,4.25,22.0683899)); #213406=CARTESIAN_POINT('',(8.6966782,4.24,22.0683899)); #213407=CARTESIAN_POINT('Origin',(8.6979065,4.25,22.0725117)); #213408=CARTESIAN_POINT('',(8.6979065,4.25,22.0725117)); #213409=CARTESIAN_POINT('',(9.79596278045484,4.25,25.7572535194044)); #213410=CARTESIAN_POINT('',(8.6979065,4.24,22.0725117)); #213411=CARTESIAN_POINT('',(8.6979065,4.25,22.0725117)); #213412=CARTESIAN_POINT('',(8.6979065,4.24,22.0725117)); #213413=CARTESIAN_POINT('Origin',(8.6995716,4.25,22.0764446)); #213414=CARTESIAN_POINT('',(8.6995716,4.25,22.0764446)); #213415=CARTESIAN_POINT('',(10.5240338877303,4.25,26.385751987793)); #213416=CARTESIAN_POINT('',(8.6995716,4.24,22.0764446)); #213417=CARTESIAN_POINT('',(8.6995716,4.25,22.0764446)); #213418=CARTESIAN_POINT('',(8.6995716,4.24,22.0764446)); #213419=CARTESIAN_POINT('Origin',(8.7016773,4.25,22.0801945)); #213420=CARTESIAN_POINT('',(8.7016773,4.25,22.0801945)); #213421=CARTESIAN_POINT('',(11.3744238447349,4.25,26.8399096864492)); #213422=CARTESIAN_POINT('',(8.7016773,4.24,22.0801945)); #213423=CARTESIAN_POINT('',(8.7016773,4.25,22.0801945)); #213424=CARTESIAN_POINT('',(8.7016773,4.24,22.0801945)); #213425=CARTESIAN_POINT('Origin',(8.7042255,4.25,22.0837631)); #213426=CARTESIAN_POINT('',(8.7042255,4.25,22.0837631)); #213427=CARTESIAN_POINT('',(12.2804052849448,4.25,27.0919866227043)); #213428=CARTESIAN_POINT('',(8.7042255,4.24,22.0837631)); #213429=CARTESIAN_POINT('',(8.7042255,4.25,22.0837631)); #213430=CARTESIAN_POINT('',(8.7042255,4.24,22.0837631)); #213431=CARTESIAN_POINT('Origin',(8.7072191,4.25,22.087162)); #213432=CARTESIAN_POINT('',(8.7072191,4.25,22.087162)); #213433=CARTESIAN_POINT('',(13.163100268942,4.25,27.1463197048091)); #213434=CARTESIAN_POINT('',(8.7072191,4.24,22.087162)); #213435=CARTESIAN_POINT('',(8.7072191,4.25,22.087162)); #213436=CARTESIAN_POINT('',(8.7072191,4.24,22.087162)); #213437=CARTESIAN_POINT('Origin',(8.7106695,4.25,22.0903168)); #213438=CARTESIAN_POINT('',(8.7106695,4.25,22.0903168)); #213439=CARTESIAN_POINT('',(14.0891468153677,4.25,27.0080133669255)); #213440=CARTESIAN_POINT('',(8.7106695,4.24,22.0903168)); #213441=CARTESIAN_POINT('',(8.7106695,4.25,22.0903168)); #213442=CARTESIAN_POINT('',(8.7106695,4.24,22.0903168)); #213443=CARTESIAN_POINT('Origin',(8.7146721,4.25,22.0930424)); #213444=CARTESIAN_POINT('',(8.7146721,4.25,22.0930424)); #213445=CARTESIAN_POINT('',(15.2272100849564,4.25,26.5278031884369)); #213446=CARTESIAN_POINT('',(8.7146721,4.24,22.0930424)); #213447=CARTESIAN_POINT('',(8.7146721,4.25,22.0930424)); #213448=CARTESIAN_POINT('',(8.7146721,4.24,22.0930424)); #213449=CARTESIAN_POINT('Origin',(8.719244,4.25,22.0953903)); #213450=CARTESIAN_POINT('',(8.719244,4.25,22.0953903)); #213451=CARTESIAN_POINT('',(16.0674763575253,4.25,25.8690762406892)); #213452=CARTESIAN_POINT('',(8.719244,4.24,22.0953903)); #213453=CARTESIAN_POINT('',(8.719244,4.25,22.0953903)); #213454=CARTESIAN_POINT('',(8.719244,4.24,22.0953903)); #213455=CARTESIAN_POINT('Origin',(8.7243881,4.25,22.0973587)); #213456=CARTESIAN_POINT('',(8.7243881,4.25,22.0973587)); #213457=CARTESIAN_POINT('',(16.6561553286726,4.25,25.1324650573659)); #213458=CARTESIAN_POINT('',(8.7243881,4.24,22.0973587)); #213459=CARTESIAN_POINT('',(8.7243881,4.25,22.0973587)); #213460=CARTESIAN_POINT('',(8.7243881,4.24,22.0973587)); #213461=CARTESIAN_POINT('Origin',(8.7301006,4.25,22.0989418)); #213462=CARTESIAN_POINT('',(8.7301006,4.25,22.0989418)); #213463=CARTESIAN_POINT('',(17.0296260915944,4.25,24.3989818535203)); #213464=CARTESIAN_POINT('',(8.7301006,4.24,22.0989418)); #213465=CARTESIAN_POINT('',(8.7301006,4.25,22.0989418)); #213466=CARTESIAN_POINT('',(8.7301006,4.24,22.0989418)); #213467=CARTESIAN_POINT('Origin',(8.7363796,4.25,22.1001358)); #213468=CARTESIAN_POINT('',(8.7363796,4.25,22.1001358)); #213469=CARTESIAN_POINT('',(17.2365402192238,4.25,23.7165065245341)); #213470=CARTESIAN_POINT('',(8.7363796,4.24,22.1001358)); #213471=CARTESIAN_POINT('',(8.7363796,4.25,22.1001358)); #213472=CARTESIAN_POINT('',(8.7363796,4.24,22.1001358)); #213473=CARTESIAN_POINT('Origin',(9.00440746268078,4.25,19.039060802958)); #213474=CARTESIAN_POINT('',(9.2728701,4.25,22.1000977)); #213475=CARTESIAN_POINT('Origin',(9.00440746268078,4.25,19.039060802958)); #213476=CARTESIAN_POINT('',(9.2728701,4.24,22.1000977)); #213477=CARTESIAN_POINT('',(9.2728701,4.25,22.1000977)); #213478=CARTESIAN_POINT('Origin',(9.00440746268078,4.24,19.039060802958)); #213479=CARTESIAN_POINT('Origin',(9.279129,4.25,22.0988903)); #213480=CARTESIAN_POINT('',(9.279129,4.25,22.0988903)); #213481=CARTESIAN_POINT('',(16.9734042479295,4.25,20.6145930697593)); #213482=CARTESIAN_POINT('',(9.279129,4.24,22.0988903)); #213483=CARTESIAN_POINT('',(9.279129,4.25,22.0988903)); #213484=CARTESIAN_POINT('',(9.279129,4.24,22.0988903)); #213485=CARTESIAN_POINT('Origin',(9.2848196,4.25,22.0972939)); #213486=CARTESIAN_POINT('',(9.2848196,4.25,22.0972939)); #213487=CARTESIAN_POINT('',(16.5625129617631,4.25,20.0556621418871)); #213488=CARTESIAN_POINT('',(9.2848196,4.24,22.0972939)); #213489=CARTESIAN_POINT('',(9.2848196,4.25,22.0972939)); #213490=CARTESIAN_POINT('',(9.2848196,4.24,22.0972939)); #213491=CARTESIAN_POINT('Origin',(9.2899418,4.25,22.0953102)); #213492=CARTESIAN_POINT('',(9.2899418,4.25,22.0953102)); #213493=CARTESIAN_POINT('',(15.9792401827967,4.25,19.5047121753331)); #213494=CARTESIAN_POINT('',(9.2899418,4.24,22.0953102)); #213495=CARTESIAN_POINT('',(9.2899418,4.25,22.0953102)); #213496=CARTESIAN_POINT('',(9.2899418,4.24,22.0953102)); #213497=CARTESIAN_POINT('Origin',(9.2944937,4.25,22.0929489)); #213498=CARTESIAN_POINT('',(9.2944937,4.25,22.0929489)); #213499=CARTESIAN_POINT('',(15.2031883771037,4.25,19.0278111023652)); #213500=CARTESIAN_POINT('',(9.2944937,4.24,22.0929489)); #213501=CARTESIAN_POINT('',(9.2944937,4.25,22.0929489)); #213502=CARTESIAN_POINT('',(9.2944937,4.24,22.0929489)); #213503=CARTESIAN_POINT('Origin',(9.2984743,4.25,22.0902081)); #213504=CARTESIAN_POINT('',(9.2984743,4.25,22.0902081)); #213505=CARTESIAN_POINT('',(14.2161026116304,4.25,18.704227173379)); #213506=CARTESIAN_POINT('',(9.2984743,4.24,22.0902081)); #213507=CARTESIAN_POINT('',(9.2984743,4.25,22.0902081)); #213508=CARTESIAN_POINT('',(9.2984743,4.24,22.0902081)); #213509=CARTESIAN_POINT('Origin',(9.3018866,4.25,22.0870399)); #213510=CARTESIAN_POINT('',(9.3018866,4.25,22.0870399)); #213511=CARTESIAN_POINT('',(13.0057500017546,4.25,18.6481335818434)); #213512=CARTESIAN_POINT('',(9.3018866,4.24,22.0870399)); #213513=CARTESIAN_POINT('',(9.3018866,4.25,22.0870399)); #213514=CARTESIAN_POINT('',(9.3018866,4.24,22.0870399)); #213515=CARTESIAN_POINT('Origin',(9.3048449,4.25,22.0836353)); #213516=CARTESIAN_POINT('',(9.3048449,4.25,22.0836353)); #213517=CARTESIAN_POINT('',(12.1310202743108,4.25,18.831092731845)); #213518=CARTESIAN_POINT('',(9.3048449,4.24,22.0836353)); #213519=CARTESIAN_POINT('',(9.3048449,4.25,22.0836353)); #213520=CARTESIAN_POINT('',(9.3048449,4.24,22.0836353)); #213521=CARTESIAN_POINT('Origin',(9.3073711,4.25,22.0800591)); #213522=CARTESIAN_POINT('',(9.3073711,4.25,22.0800591)); #213523=CARTESIAN_POINT('',(11.3644340396578,4.25,19.1679901882711)); #213524=CARTESIAN_POINT('',(9.3073711,4.24,22.0800591)); #213525=CARTESIAN_POINT('',(9.3073711,4.25,22.0800591)); #213526=CARTESIAN_POINT('',(9.3073711,4.24,22.0800591)); #213527=CARTESIAN_POINT('Origin',(9.3094645,4.25,22.0763016)); #213528=CARTESIAN_POINT('',(9.3094645,4.25,22.0763016)); #213529=CARTESIAN_POINT('',(10.6409830573361,4.25,19.6863231060727)); #213530=CARTESIAN_POINT('',(9.3094645,4.24,22.0763016)); #213531=CARTESIAN_POINT('',(9.3094645,4.25,22.0763016)); #213532=CARTESIAN_POINT('',(9.3094645,4.24,22.0763016)); #213533=CARTESIAN_POINT('Origin',(9.3111238,4.25,22.0723629)); #213534=CARTESIAN_POINT('',(9.3111238,4.25,22.0723629)); #213535=CARTESIAN_POINT('',(10.0300550174361,4.25,20.3658273813382)); #213536=CARTESIAN_POINT('',(9.3111238,4.24,22.0723629)); #213537=CARTESIAN_POINT('',(9.3111238,4.25,22.0723629)); #213538=CARTESIAN_POINT('',(9.3111238,4.24,22.0723629)); #213539=CARTESIAN_POINT('Origin',(9.3123474,4.25,22.0682335)); #213540=CARTESIAN_POINT('',(9.3123474,4.25,22.0682335)); #213541=CARTESIAN_POINT('',(9.57844671355901,4.25,21.1702026848555)); #213542=CARTESIAN_POINT('',(9.3123474,4.24,22.0682335)); #213543=CARTESIAN_POINT('',(9.3123474,4.25,22.0682335)); #213544=CARTESIAN_POINT('',(9.3123474,4.24,22.0682335)); #213545=CARTESIAN_POINT('Origin',(9.3131351,4.25,22.0639076)); #213546=CARTESIAN_POINT('',(9.3131351,4.25,22.0639076)); #213547=CARTESIAN_POINT('',(9.31895022597577,4.25,22.031972023691)); #213548=CARTESIAN_POINT('',(9.3131351,4.24,22.0639076)); #213549=CARTESIAN_POINT('',(9.3131351,4.25,22.0639076)); #213550=CARTESIAN_POINT('',(9.3131351,4.24,22.0639076)); #213551=CARTESIAN_POINT('Origin',(9.3134842,4.25,22.0593796)); #213552=CARTESIAN_POINT('',(9.3134842,4.25,22.0593796)); #213553=CARTESIAN_POINT('',(9.24950527324093,4.25,22.8892179854654)); #213554=CARTESIAN_POINT('',(9.3134842,4.24,22.0593796)); #213555=CARTESIAN_POINT('',(9.3134842,4.25,22.0593796)); #213556=CARTESIAN_POINT('',(9.3134842,4.24,22.0593796)); #213557=CARTESIAN_POINT('Origin',(9.3133955,4.25,22.0545921)); #213558=CARTESIAN_POINT('',(9.3133955,4.25,22.0545921)); #213559=CARTESIAN_POINT('',(9.34350020164016,4.25,23.6794653706319)); #213560=CARTESIAN_POINT('',(9.3133955,4.24,22.0545921)); #213561=CARTESIAN_POINT('',(9.3133955,4.25,22.0545921)); #213562=CARTESIAN_POINT('',(9.3133955,4.24,22.0545921)); #213563=CARTESIAN_POINT('Origin',(9.3128672,4.25,22.0499516)); #213564=CARTESIAN_POINT('',(9.3128672,4.25,22.0499516)); #213565=CARTESIAN_POINT('',(9.58411958772223,4.25,24.4325878014429)); #213566=CARTESIAN_POINT('',(9.3128672,4.24,22.0499516)); #213567=CARTESIAN_POINT('',(9.3128672,4.25,22.0499516)); #213568=CARTESIAN_POINT('',(9.3128672,4.24,22.0499516)); #213569=CARTESIAN_POINT('Origin',(9.311902,4.25,22.0455475)); #213570=CARTESIAN_POINT('',(9.311902,4.25,22.0455475)); #213571=CARTESIAN_POINT('',(9.99863439694708,4.25,25.179030870697)); #213572=CARTESIAN_POINT('',(9.311902,4.24,22.0455475)); #213573=CARTESIAN_POINT('',(9.311902,4.25,22.0455475)); #213574=CARTESIAN_POINT('',(9.311902,4.24,22.0455475)); #213575=CARTESIAN_POINT('Origin',(9.3105001,4.25,22.0413876)); #213576=CARTESIAN_POINT('',(9.3105001,4.25,22.0413876)); #213577=CARTESIAN_POINT('',(10.5990547465505,4.25,25.8649545264407)); #213578=CARTESIAN_POINT('',(9.3105001,4.24,22.0413876)); #213579=CARTESIAN_POINT('',(9.3105001,4.25,22.0413876)); #213580=CARTESIAN_POINT('',(9.3105001,4.24,22.0413876)); #213581=CARTESIAN_POINT('Origin',(9.3086643,4.25,22.0374718)); #213582=CARTESIAN_POINT('',(9.3086643,4.25,22.0374718)); #213583=CARTESIAN_POINT('',(11.3637435996325,4.25,26.4209991567429)); #213584=CARTESIAN_POINT('',(9.3086643,4.24,22.0374718)); #213585=CARTESIAN_POINT('',(9.3086643,4.25,22.0374718)); #213586=CARTESIAN_POINT('',(9.3086643,4.24,22.0374718)); #213587=CARTESIAN_POINT('Origin',(9.3063946,4.25,22.0338097)); #213588=CARTESIAN_POINT('',(9.3063946,4.25,22.0338097)); #213589=CARTESIAN_POINT('',(12.2591739713922,4.25,26.7980399224842)); #213590=CARTESIAN_POINT('',(9.3063946,4.24,22.0338097)); #213591=CARTESIAN_POINT('',(9.3063946,4.25,22.0338097)); #213592=CARTESIAN_POINT('',(9.3063946,4.24,22.0338097)); #213593=CARTESIAN_POINT('Origin',(9.3036919,4.25,22.030405)); #213594=CARTESIAN_POINT('',(9.3036919,4.25,22.030405)); #213595=CARTESIAN_POINT('',(13.2151052452818,4.25,26.9577698635382)); #213596=CARTESIAN_POINT('',(9.3036919,4.24,22.030405)); #213597=CARTESIAN_POINT('',(9.3036919,4.25,22.030405)); #213598=CARTESIAN_POINT('',(9.3036919,4.24,22.030405)); #213599=CARTESIAN_POINT('Origin',(9.3005581,4.25,22.0272598)); #213600=CARTESIAN_POINT('',(9.3005581,4.25,22.0272598)); #213601=CARTESIAN_POINT('',(14.1536207817189,4.25,26.8979767399907)); #213602=CARTESIAN_POINT('',(9.3005581,4.24,22.0272598)); #213603=CARTESIAN_POINT('',(9.3005581,4.25,22.0272598)); #213604=CARTESIAN_POINT('',(9.3005581,4.24,22.0272598)); #213605=CARTESIAN_POINT('Origin',(9.2969217,4.25,22.024334)); #213606=CARTESIAN_POINT('',(9.2969217,4.25,22.024334)); #213607=CARTESIAN_POINT('',(15.0325406389525,4.25,26.6391381721431)); #213608=CARTESIAN_POINT('',(9.2969217,4.24,22.024334)); #213609=CARTESIAN_POINT('',(9.2969217,4.25,22.024334)); #213610=CARTESIAN_POINT('',(9.2969217,4.24,22.024334)); #213611=CARTESIAN_POINT('Origin',(9.2927084,4.25,22.0217781)); #213612=CARTESIAN_POINT('',(9.2927084,4.25,22.0217781)); #213613=CARTESIAN_POINT('',(15.9864275396451,4.25,26.0823664153399)); #213614=CARTESIAN_POINT('',(9.2927084,4.24,22.0217781)); #213615=CARTESIAN_POINT('',(9.2927084,4.25,22.0217781)); #213616=CARTESIAN_POINT('',(9.2927084,4.24,22.0217781)); #213617=CARTESIAN_POINT('Origin',(9.2879238,4.25,22.0196171)); #213618=CARTESIAN_POINT('',(9.2879238,4.25,22.0196171)); #213619=CARTESIAN_POINT('',(16.704149357005,4.25,25.3692102590295)); #213620=CARTESIAN_POINT('',(9.2879238,4.24,22.0196171)); #213621=CARTESIAN_POINT('',(9.2879238,4.25,22.0196171)); #213622=CARTESIAN_POINT('',(9.2879238,4.24,22.0196171)); #213623=CARTESIAN_POINT('Origin',(9.2825699,4.25,22.0178452)); #213624=CARTESIAN_POINT('',(9.2825699,4.25,22.0178452)); #213625=CARTESIAN_POINT('',(17.1707612895661,4.25,24.6284816189045)); #213626=CARTESIAN_POINT('',(9.2825699,4.24,22.0178452)); #213627=CARTESIAN_POINT('',(9.2825699,4.25,22.0178452)); #213628=CARTESIAN_POINT('',(9.2825699,4.24,22.0178452)); #213629=CARTESIAN_POINT('Origin',(9.25783889060259,4.25,22.1131715534784)); #213630=CARTESIAN_POINT('',(9.2701578,4.25,22.0154629)); #213631=CARTESIAN_POINT('Origin',(9.25783889060259,4.25,22.1131715534784)); #213632=CARTESIAN_POINT('',(9.2701578,4.24,22.0154629)); #213633=CARTESIAN_POINT('',(9.2701578,4.25,22.0154629)); #213634=CARTESIAN_POINT('Origin',(9.25783889060259,4.24,22.1131715534784)); #213635=CARTESIAN_POINT('Origin',(9.25341445637728,4.25,22.1751465580972)); #213636=CARTESIAN_POINT('',(9.2554808,4.25,22.0146008)); #213637=CARTESIAN_POINT('Origin',(9.25341445637728,4.25,22.1751465580972)); #213638=CARTESIAN_POINT('',(9.2554808,4.24,22.0146008)); #213639=CARTESIAN_POINT('',(9.2554808,4.25,22.0146008)); #213640=CARTESIAN_POINT('Origin',(9.25341445637728,4.24,22.1751465580972)); #213641=CARTESIAN_POINT('Origin',(8.9579811,4.25,22.0145988)); #213642=CARTESIAN_POINT('',(8.9579811,4.25,22.0145988)); #213643=CARTESIAN_POINT('',(17.3790005845916,4.25,22.0146554119528)); #213644=CARTESIAN_POINT('',(8.9579811,4.24,22.0145988)); #213645=CARTESIAN_POINT('',(8.9579811,4.25,22.0145988)); #213646=CARTESIAN_POINT('',(8.9579811,4.24,22.0145988)); #213647=CARTESIAN_POINT('Origin',(8.9579029,4.25,21.6060982)); #213648=CARTESIAN_POINT('',(8.95790289999999,4.25,21.6060982)); #213649=CARTESIAN_POINT('',(8.95822805893078,4.25,23.3046610941297)); #213650=CARTESIAN_POINT('',(8.95790289999999,4.24,21.6060982)); #213651=CARTESIAN_POINT('',(8.95790289999999,4.25,21.6060982)); #213652=CARTESIAN_POINT('',(8.9579029,4.24,21.6060982)); #213653=CARTESIAN_POINT('Origin',(9.09285310912956,4.25,16.4797988294957)); #213654=CARTESIAN_POINT('',(9.2753,4.25,21.6046276)); #213655=CARTESIAN_POINT('Origin',(9.09285310912956,4.25,16.4797988294957)); #213656=CARTESIAN_POINT('',(9.2753,4.24,21.6046276)); #213657=CARTESIAN_POINT('',(9.2753,4.25,21.6046276)); #213658=CARTESIAN_POINT('Origin',(9.09285310912956,4.24,16.4797988294957)); #213659=CARTESIAN_POINT('Origin',(9.27402711353946,4.25,21.2126897861443)); #213660=CARTESIAN_POINT('',(9.6621447,4.25,21.2672939)); #213661=CARTESIAN_POINT('Origin',(9.27402711353946,4.25,21.2126897861443)); #213662=CARTESIAN_POINT('',(9.6621447,4.24,21.2672939)); #213663=CARTESIAN_POINT('',(9.6621447,4.25,21.2672939)); #213664=CARTESIAN_POINT('Origin',(9.27402711353946,4.24,21.2126897861443)); #213665=CARTESIAN_POINT('Origin',(9.29887765851881,4.25,21.2589030888609)); #213666=CARTESIAN_POINT('',(9.3195505,4.25,20.8961277)); #213667=CARTESIAN_POINT('Origin',(9.29887765851881,4.25,21.2589030888609)); #213668=CARTESIAN_POINT('',(9.3195505,4.24,20.8961277)); #213669=CARTESIAN_POINT('',(9.3195505,4.25,20.8961277)); #213670=CARTESIAN_POINT('Origin',(9.29887765851881,4.24,21.2589030888609)); #213671=CARTESIAN_POINT('Origin',(9.00830201179729,4.25,26.4523904484626)); #213672=CARTESIAN_POINT('',(8.7354145,4.25,20.8941116)); #213673=CARTESIAN_POINT('Origin',(9.00830201179729,4.25,26.4523904484626)); #213674=CARTESIAN_POINT('',(8.7354145,4.24,20.8941116)); #213675=CARTESIAN_POINT('',(8.7354145,4.25,20.8941116)); #213676=CARTESIAN_POINT('Origin',(9.00830201179729,4.24,26.4523904484626)); #213677=CARTESIAN_POINT('Origin',(8.7292175,4.25,20.895298)); #213678=CARTESIAN_POINT('',(8.7292175,4.25,20.895298)); #213679=CARTESIAN_POINT('',(16.5838039664304,4.25,19.3915572570965)); #213680=CARTESIAN_POINT('',(8.7292175,4.24,20.895298)); #213681=CARTESIAN_POINT('',(8.7292175,4.25,20.895298)); #213682=CARTESIAN_POINT('',(8.7292175,4.24,20.895298)); #213683=CARTESIAN_POINT('Origin',(8.7235899,4.25,20.8968735)); #213684=CARTESIAN_POINT('',(8.7235899,4.25,20.8968735)); #213685=CARTESIAN_POINT('',(16.1086214273359,4.25,18.8293638739939)); #213686=CARTESIAN_POINT('',(8.7235899,4.24,20.8968735)); #213687=CARTESIAN_POINT('',(8.7235899,4.25,20.8968735)); #213688=CARTESIAN_POINT('',(8.7235899,4.24,20.8968735)); #213689=CARTESIAN_POINT('Origin',(8.7185307,4.25,20.8988438)); #213690=CARTESIAN_POINT('',(8.7185307,4.25,20.8988438)); #213691=CARTESIAN_POINT('',(15.4410606678506,4.25,18.2807617325477)); #213692=CARTESIAN_POINT('',(8.7185307,4.24,20.8988438)); #213693=CARTESIAN_POINT('',(8.7185307,4.25,20.8988438)); #213694=CARTESIAN_POINT('',(8.7185307,4.24,20.8988438)); #213695=CARTESIAN_POINT('Origin',(8.7140427,4.25,20.9012203)); #213696=CARTESIAN_POINT('',(8.7140427,4.25,20.9012203)); #213697=CARTESIAN_POINT('',(14.5386362461472,4.25,17.8169630445573)); #213698=CARTESIAN_POINT('',(8.7140427,4.24,20.9012203)); #213699=CARTESIAN_POINT('',(8.7140427,4.25,20.9012203)); #213700=CARTESIAN_POINT('',(8.7140427,4.24,20.9012203)); #213701=CARTESIAN_POINT('Origin',(8.710125,4.25,20.9040089)); #213702=CARTESIAN_POINT('',(8.710125,4.25,20.9040089)); #213703=CARTESIAN_POINT('',(13.4140528529173,4.25,17.5557756992347)); #213704=CARTESIAN_POINT('',(8.710125,4.24,20.9040089)); #213705=CARTESIAN_POINT('',(8.710125,4.25,20.9040089)); #213706=CARTESIAN_POINT('',(8.710125,4.24,20.9040089)); #213707=CARTESIAN_POINT('Origin',(8.7067471,4.25,20.907032)); #213708=CARTESIAN_POINT('',(8.7067471,4.25,20.907032)); #213709=CARTESIAN_POINT('',(12.4353651700446,4.25,17.5700518384936)); #213710=CARTESIAN_POINT('',(8.7067471,4.24,20.907032)); #213711=CARTESIAN_POINT('',(8.7067471,4.25,20.907032)); #213712=CARTESIAN_POINT('',(8.7067471,4.24,20.907032)); #213713=CARTESIAN_POINT('Origin',(8.7038193,4.25,20.9102859)); #213714=CARTESIAN_POINT('',(11.5114290629582,4.25,17.7899629928013)); #213715=CARTESIAN_POINT('',(8.7038193,4.24,20.9102859)); #213716=CARTESIAN_POINT('Origin',(9.17887624667642,4.24,21.4996322463409)); #213717=CARTESIAN_POINT('Origin',(12.646503,4.25,18.5657024)); #213718=CARTESIAN_POINT('',(12.6465030000034,4.25,18.5657024)); #213719=CARTESIAN_POINT('',(12.646503,4.25,18.4410133)); #213720=CARTESIAN_POINT('',(12.646503,4.25,21.7828512)); #213721=CARTESIAN_POINT('',(12.6465030000034,4.24,18.5657024)); #213722=CARTESIAN_POINT('',(12.6465030000034,4.25,18.5657024)); #213723=CARTESIAN_POINT('',(12.646503,4.24,18.4410133)); #213724=CARTESIAN_POINT('',(12.646503,4.24,18.5657024)); #213725=CARTESIAN_POINT('',(12.646503,4.25,18.4410133)); #213726=CARTESIAN_POINT('Origin',(13.1107668631797,4.25,-121.706384187396)); #213727=CARTESIAN_POINT('',(13.533403,4.25,18.565834)); #213728=CARTESIAN_POINT('Origin',(13.1107668631797,4.25,-121.706384187396)); #213729=CARTESIAN_POINT('',(13.533403,4.24,18.565834)); #213730=CARTESIAN_POINT('',(13.533403,4.25,18.565834)); #213731=CARTESIAN_POINT('Origin',(13.1107668631797,4.24,-121.706384187396)); #213732=CARTESIAN_POINT('Origin',(13.533403,4.25,18.4409981)); #213733=CARTESIAN_POINT('',(13.533403,4.25,18.4409981)); #213734=CARTESIAN_POINT('',(13.533403,4.25,21.72049905)); #213735=CARTESIAN_POINT('',(13.533403,4.24,18.4409981)); #213736=CARTESIAN_POINT('',(13.533403,4.25,18.4409981)); #213737=CARTESIAN_POINT('',(13.533403,4.24,18.4409981)); #213738=CARTESIAN_POINT('Origin',(12.64696,4.25,18.4409981)); #213739=CARTESIAN_POINT('',(12.64696,4.25,18.4409981)); #213740=CARTESIAN_POINT('',(19.22348,4.25,18.4409981)); #213741=CARTESIAN_POINT('',(12.64696,4.24,18.4409981)); #213742=CARTESIAN_POINT('',(12.64696,4.25,18.4409981)); #213743=CARTESIAN_POINT('',(12.662214,4.24,18.4409981)); #213744=CARTESIAN_POINT('Origin',(12.646503,4.25,18.4410133)); #213745=CARTESIAN_POINT('',(19.1070272799293,4.25,18.2261337178106)); #213746=CARTESIAN_POINT('',(12.646503,4.24,18.4410133)); #213747=CARTESIAN_POINT('Origin',(13.089953,4.24,18.503734396892)); #213748=CARTESIAN_POINT('Origin',(13.190988,4.25,9.705036)); #213749=CARTESIAN_POINT('',(13.190988,4.25,9.705036)); #213750=CARTESIAN_POINT('',(13.186886,4.25,9.710987)); #213751=CARTESIAN_POINT('',(11.6481225441459,4.25,11.9433568990209)); #213752=CARTESIAN_POINT('',(13.190988,4.24,9.705036)); #213753=CARTESIAN_POINT('',(13.190988,4.25,9.705036)); #213754=CARTESIAN_POINT('',(13.186886,4.24,9.710987)); #213755=CARTESIAN_POINT('',(13.190988,4.24,9.705036)); #213756=CARTESIAN_POINT('',(13.186886,4.25,9.710987)); #213757=CARTESIAN_POINT('Origin',(13.194399,4.25,9.698788)); #213758=CARTESIAN_POINT('',(13.194399,4.25,9.698788)); #213759=CARTESIAN_POINT('',(11.4238782812439,4.25,12.9418878096721)); #213760=CARTESIAN_POINT('',(13.194399,4.24,9.698788)); #213761=CARTESIAN_POINT('',(13.194399,4.25,9.698788)); #213762=CARTESIAN_POINT('',(13.194399,4.24,9.698788)); #213763=CARTESIAN_POINT('Origin',(13.197112,4.25,9.69223)); #213764=CARTESIAN_POINT('',(13.197112,4.25,9.69223)); #213765=CARTESIAN_POINT('',(11.4143068977741,4.25,14.0017161262069)); #213766=CARTESIAN_POINT('',(13.197112,4.24,9.69223)); #213767=CARTESIAN_POINT('',(13.197112,4.25,9.69223)); #213768=CARTESIAN_POINT('',(13.197112,4.24,9.69223)); #213769=CARTESIAN_POINT('Origin',(13.199118,4.25,9.68536)); #213770=CARTESIAN_POINT('',(13.199118,4.25,9.68536)); #213771=CARTESIAN_POINT('',(11.6338594086591,4.25,15.0459415167062)); #213772=CARTESIAN_POINT('',(13.199118,4.24,9.68536)); #213773=CARTESIAN_POINT('',(13.199118,4.25,9.68536)); #213774=CARTESIAN_POINT('',(13.199118,4.24,9.68536)); #213775=CARTESIAN_POINT('Origin',(13.0912852794992,4.25,9.66428139905125)); #213776=CARTESIAN_POINT('',(13.200975,4.25,9.670635)); #213777=CARTESIAN_POINT('Origin',(13.0912852794992,4.25,9.66428139905125)); #213778=CARTESIAN_POINT('',(13.200975,4.24,9.670635)); #213779=CARTESIAN_POINT('',(13.200975,4.25,9.670635)); #213780=CARTESIAN_POINT('Origin',(13.0912852794992,4.24,9.66428139905125)); #213781=CARTESIAN_POINT('Origin',(13.200811,4.25,9.662655)); #213782=CARTESIAN_POINT('',(13.200811,4.25,9.662655)); #213783=CARTESIAN_POINT('',(13.3610058223956,4.25,17.4575006262083)); #213784=CARTESIAN_POINT('',(13.200811,4.24,9.662655)); #213785=CARTESIAN_POINT('',(13.200811,4.25,9.662655)); #213786=CARTESIAN_POINT('',(13.200811,4.24,9.662655)); #213787=CARTESIAN_POINT('Origin',(13.1046624811391,4.25,9.67093893635705)); #213788=CARTESIAN_POINT('',(13.198306,4.25,9.647614)); #213789=CARTESIAN_POINT('Origin',(13.1046624811391,4.25,9.67093893635705)); #213790=CARTESIAN_POINT('',(13.198306,4.24,9.647614)); #213791=CARTESIAN_POINT('',(13.198306,4.25,9.647614)); #213792=CARTESIAN_POINT('Origin',(13.1046624811391,4.24,9.67093893635705)); #213793=CARTESIAN_POINT('Origin',(13.195983,4.25,9.640705)); #213794=CARTESIAN_POINT('',(13.195983,4.25,9.640705)); #213795=CARTESIAN_POINT('',(16.1559158052213,4.25,18.4440522885331)); #213796=CARTESIAN_POINT('',(13.195983,4.24,9.640705)); #213797=CARTESIAN_POINT('',(13.195983,4.25,9.640705)); #213798=CARTESIAN_POINT('',(13.195983,4.24,9.640705)); #213799=CARTESIAN_POINT('Origin',(13.192955,4.25,9.634212)); #213800=CARTESIAN_POINT('',(13.192955,4.25,9.634212)); #213801=CARTESIAN_POINT('',(17.2618489532766,4.25,18.3592213918807)); #213802=CARTESIAN_POINT('',(13.192955,4.24,9.634212)); #213803=CARTESIAN_POINT('',(13.192955,4.25,9.634212)); #213804=CARTESIAN_POINT('',(13.192955,4.24,9.634212)); #213805=CARTESIAN_POINT('Origin',(13.189234,4.25,9.628147)); #213806=CARTESIAN_POINT('',(13.189234,4.25,9.628147)); #213807=CARTESIAN_POINT('',(18.339499498646,4.25,18.0227614179781)); #213808=CARTESIAN_POINT('',(13.189234,4.24,9.628147)); #213809=CARTESIAN_POINT('',(13.189234,4.25,9.628147)); #213810=CARTESIAN_POINT('',(13.189234,4.24,9.628147)); #213811=CARTESIAN_POINT('Origin',(13.184826,4.25,9.622513)); #213812=CARTESIAN_POINT('',(13.184826,4.25,9.622513)); #213813=CARTESIAN_POINT('',(19.3113051668026,4.25,17.4529539314401)); #213814=CARTESIAN_POINT('',(13.184826,4.24,9.622513)); #213815=CARTESIAN_POINT('',(13.184826,4.25,9.622513)); #213816=CARTESIAN_POINT('',(13.184826,4.24,9.622513)); #213817=CARTESIAN_POINT('Origin',(13.17974,4.25,9.617317)); #213818=CARTESIAN_POINT('',(13.17974,4.25,9.617317)); #213819=CARTESIAN_POINT('',(20.1120955606955,4.25,16.6996055358547)); #213820=CARTESIAN_POINT('',(13.17974,4.24,9.617317)); #213821=CARTESIAN_POINT('',(13.17974,4.25,9.617317)); #213822=CARTESIAN_POINT('',(13.17974,4.24,9.617317)); #213823=CARTESIAN_POINT('Origin',(13.1168360298352,4.25,9.69891333692168)); #213824=CARTESIAN_POINT('',(13.107574,4.25,9.596302)); #213825=CARTESIAN_POINT('Origin',(13.1168360298352,4.25,9.69891333692168)); #213826=CARTESIAN_POINT('',(13.107574,4.24,9.596302)); #213827=CARTESIAN_POINT('',(13.107574,4.25,9.596302)); #213828=CARTESIAN_POINT('Origin',(13.1168360298352,4.24,9.69891333692168)); #213829=CARTESIAN_POINT('Origin',(12.728788,4.25,9.596298)); #213830=CARTESIAN_POINT('',(12.728788,4.25,9.596298)); #213831=CARTESIAN_POINT('',(19.2644753312211,4.25,9.59636701720051)); #213832=CARTESIAN_POINT('',(12.728788,4.24,9.596298)); #213833=CARTESIAN_POINT('',(12.728788,4.25,9.596298)); #213834=CARTESIAN_POINT('',(12.728788,4.24,9.596298)); #213835=CARTESIAN_POINT('Origin',(12.728603,4.25,7.872799)); #213836=CARTESIAN_POINT('',(12.728603,4.25,7.872799)); #213837=CARTESIAN_POINT('',(12.7295222902689,4.25,16.4371009417495)); #213838=CARTESIAN_POINT('',(12.728603,4.24,7.872799)); #213839=CARTESIAN_POINT('',(12.728603,4.25,7.872799)); #213840=CARTESIAN_POINT('',(12.728603,4.24,7.872799)); #213841=CARTESIAN_POINT('Origin',(12.8138129358565,4.25,287.78088942094)); #213842=CARTESIAN_POINT('',(13.320704,4.25,7.873245)); #213843=CARTESIAN_POINT('Origin',(12.8138129358565,4.25,287.78088942094)); #213844=CARTESIAN_POINT('',(13.320704,4.24,7.873245)); #213845=CARTESIAN_POINT('',(13.320704,4.25,7.873245)); #213846=CARTESIAN_POINT('Origin',(12.8138129358565,4.24,287.78088942094)); #213847=CARTESIAN_POINT('Origin',(13.320717,4.25,8.376076)); #213848=CARTESIAN_POINT('',(13.320717,4.25,8.376076)); #213849=CARTESIAN_POINT('',(13.3209318984511,4.25,16.6881993117446)); #213850=CARTESIAN_POINT('',(13.320717,4.24,8.376076)); #213851=CARTESIAN_POINT('',(13.320717,4.25,8.376076)); #213852=CARTESIAN_POINT('',(13.320717,4.24,8.376076)); #213853=CARTESIAN_POINT('Origin',(13.4779886319322,4.25,8.37910834574938)); #213854=CARTESIAN_POINT('',(13.32925,4.25,8.430298)); #213855=CARTESIAN_POINT('Origin',(13.4779886319322,4.25,8.37910834574938)); #213856=CARTESIAN_POINT('',(13.32925,4.24,8.430298)); #213857=CARTESIAN_POINT('',(13.32925,4.25,8.430298)); #213858=CARTESIAN_POINT('Origin',(13.4779886319322,4.24,8.37910834574938)); #213859=CARTESIAN_POINT('Origin',(13.332887,4.25,8.43821)); #213860=CARTESIAN_POINT('',(13.332887,4.25,8.43821)); #213861=CARTESIAN_POINT('',(17.5628373640608,4.25,17.6401256668866)); #213862=CARTESIAN_POINT('',(13.332887,4.24,8.43821)); #213863=CARTESIAN_POINT('',(13.332887,4.25,8.43821)); #213864=CARTESIAN_POINT('',(13.332887,4.24,8.43821)); #213865=CARTESIAN_POINT('Origin',(13.337181,4.25,8.44516)); #213866=CARTESIAN_POINT('',(13.337181,4.25,8.44516)); #213867=CARTESIAN_POINT('',(18.759984383243,4.25,17.2221705993317)); #213868=CARTESIAN_POINT('',(13.337181,4.24,8.44516)); #213869=CARTESIAN_POINT('',(13.337181,4.25,8.44516)); #213870=CARTESIAN_POINT('',(13.337181,4.24,8.44516)); #213871=CARTESIAN_POINT('Origin',(13.342067,4.25,8.451107)); #213872=CARTESIAN_POINT('',(13.342067,4.25,8.451107)); #213873=CARTESIAN_POINT('',(19.9108771406814,4.25,16.4463411192417)); #213874=CARTESIAN_POINT('',(13.342067,4.24,8.451107)); #213875=CARTESIAN_POINT('',(13.342067,4.25,8.451107)); #213876=CARTESIAN_POINT('',(13.342067,4.24,8.451107)); #213877=CARTESIAN_POINT('Origin',(13.347292,4.25,8.456139)); #213878=CARTESIAN_POINT('',(13.347292,4.25,8.456139)); #213879=CARTESIAN_POINT('',(20.7106227607546,4.25,15.547484528827)); #213880=CARTESIAN_POINT('',(13.347292,4.24,8.456139)); #213881=CARTESIAN_POINT('',(13.347292,4.25,8.456139)); #213882=CARTESIAN_POINT('',(13.347292,4.24,8.456139)); #213883=CARTESIAN_POINT('Origin',(13.352958,4.25,8.460487)); #213884=CARTESIAN_POINT('',(13.352958,4.25,8.460487)); #213885=CARTESIAN_POINT('',(21.2639471828399,4.25,14.5312566729599)); #213886=CARTESIAN_POINT('',(13.352958,4.24,8.460487)); #213887=CARTESIAN_POINT('',(13.352958,4.25,8.460487)); #213888=CARTESIAN_POINT('',(13.352958,4.24,8.460487)); #213889=CARTESIAN_POINT('Origin',(13.359058,4.25,8.464142)); #213890=CARTESIAN_POINT('',(13.359058,4.25,8.464142)); #213891=CARTESIAN_POINT('',(21.5815091334651,4.25,13.3908729660385)); #213892=CARTESIAN_POINT('',(13.359058,4.24,8.464142)); #213893=CARTESIAN_POINT('',(13.359058,4.25,8.464142)); #213894=CARTESIAN_POINT('',(13.359058,4.24,8.464142)); #213895=CARTESIAN_POINT('Origin',(13.365588,4.25,8.467106)); #213896=CARTESIAN_POINT('',(13.365588,4.25,8.467106)); #213897=CARTESIAN_POINT('',(21.6318746935487,4.25,12.219215304695)); #213898=CARTESIAN_POINT('',(13.365588,4.24,8.467106)); #213899=CARTESIAN_POINT('',(13.365588,4.25,8.467106)); #213900=CARTESIAN_POINT('',(13.365588,4.24,8.467106)); #213901=CARTESIAN_POINT('Origin',(13.37254,4.25,8.46936)); #213902=CARTESIAN_POINT('',(13.37254,4.25,8.46936)); #213903=CARTESIAN_POINT('',(21.4201123333311,4.25,11.0785700171672)); #213904=CARTESIAN_POINT('',(13.37254,4.24,8.46936)); #213905=CARTESIAN_POINT('',(13.37254,4.25,8.46936)); #213906=CARTESIAN_POINT('',(13.37254,4.24,8.46936)); #213907=CARTESIAN_POINT('Origin',(13.379908,4.25,8.470909)); #213908=CARTESIAN_POINT('',(13.379908,4.25,8.470909)); #213909=CARTESIAN_POINT('',(20.9910402607733,4.25,10.0710235320179)); #213910=CARTESIAN_POINT('',(13.379908,4.24,8.470909)); #213911=CARTESIAN_POINT('',(13.379908,4.25,8.470909)); #213912=CARTESIAN_POINT('',(13.379908,4.24,8.470909)); #213913=CARTESIAN_POINT('Origin',(13.3931370309901,4.25,8.37624587832366)); #213914=CARTESIAN_POINT('',(13.403686,4.25,8.471245)); #213915=CARTESIAN_POINT('Origin',(13.3931370309901,4.25,8.37624587832366)); #213916=CARTESIAN_POINT('',(13.403686,4.24,8.471245)); #213917=CARTESIAN_POINT('',(13.403686,4.25,8.471245)); #213918=CARTESIAN_POINT('Origin',(13.3931370309901,4.24,8.37624587832366)); #213919=CARTESIAN_POINT('Origin',(13.41116,4.25,8.469917)); #213920=CARTESIAN_POINT('',(13.41116,4.25,8.469917)); #213921=CARTESIAN_POINT('',(17.9923910759594,4.25,7.65591179945766)); #213922=CARTESIAN_POINT('',(13.41116,4.24,8.469917)); #213923=CARTESIAN_POINT('',(13.41116,4.25,8.469917)); #213924=CARTESIAN_POINT('',(13.41116,4.24,8.469917)); #213925=CARTESIAN_POINT('Origin',(13.418226,4.25,8.46788)); #213926=CARTESIAN_POINT('',(13.418226,4.25,8.46788)); #213927=CARTESIAN_POINT('',(16.9339758750701,4.25,7.45435289901805)); #213928=CARTESIAN_POINT('',(13.418226,4.24,8.46788)); #213929=CARTESIAN_POINT('',(13.418226,4.25,8.46788)); #213930=CARTESIAN_POINT('',(13.418226,4.24,8.46788)); #213931=CARTESIAN_POINT('Origin',(13.424877,4.25,8.465137)); #213932=CARTESIAN_POINT('',(13.424877,4.25,8.465137)); #213933=CARTESIAN_POINT('',(15.7989808083528,4.25,7.48601104205225)); #213934=CARTESIAN_POINT('',(13.424877,4.24,8.465137)); #213935=CARTESIAN_POINT('',(13.424877,4.25,8.465137)); #213936=CARTESIAN_POINT('',(13.424877,4.24,8.465137)); #213937=CARTESIAN_POINT('Origin',(13.431106,4.25,8.461697)); #213938=CARTESIAN_POINT('',(13.431106,4.25,8.461697)); #213939=CARTESIAN_POINT('',(14.6707834177201,4.25,7.77707823022081)); #213940=CARTESIAN_POINT('',(13.431106,4.24,8.461697)); #213941=CARTESIAN_POINT('',(13.431106,4.25,8.461697)); #213942=CARTESIAN_POINT('',(13.431106,4.24,8.461697)); #213943=CARTESIAN_POINT('Origin',(13.436905,4.25,8.457561)); #213944=CARTESIAN_POINT('',(13.436905,4.25,8.457561)); #213945=CARTESIAN_POINT('',(13.6240175815575,4.25,8.32410736362782)); #213946=CARTESIAN_POINT('',(13.436905,4.24,8.457561)); #213947=CARTESIAN_POINT('',(13.436905,4.25,8.457561)); #213948=CARTESIAN_POINT('',(13.436905,4.24,8.457561)); #213949=CARTESIAN_POINT('Origin',(13.442266,4.25,8.452744)); #213950=CARTESIAN_POINT('',(13.442266,4.25,8.452744)); #213951=CARTESIAN_POINT('',(12.7477650144712,4.25,9.07677146638543)); #213952=CARTESIAN_POINT('',(13.442266,4.24,8.452744)); #213953=CARTESIAN_POINT('',(13.442266,4.25,8.452744)); #213954=CARTESIAN_POINT('',(13.442266,4.24,8.452744)); #213955=CARTESIAN_POINT('Origin',(13.447273,4.25,8.447121)); #213956=CARTESIAN_POINT('',(13.447273,4.25,8.447121)); #213957=CARTESIAN_POINT('',(12.0682177428202,4.25,9.99583833795117)); #213958=CARTESIAN_POINT('',(13.447273,4.24,8.447121)); #213959=CARTESIAN_POINT('',(13.447273,4.25,8.447121)); #213960=CARTESIAN_POINT('',(13.447273,4.24,8.447121)); #213961=CARTESIAN_POINT('Origin',(13.451795,4.25,8.440468)); #213962=CARTESIAN_POINT('',(13.451795,4.25,8.440468)); #213963=CARTESIAN_POINT('',(11.5534343262302,4.25,11.2334342898248)); #213964=CARTESIAN_POINT('',(13.451795,4.24,8.440468)); #213965=CARTESIAN_POINT('',(13.451795,4.25,8.440468)); #213966=CARTESIAN_POINT('',(13.451795,4.24,8.440468)); #213967=CARTESIAN_POINT('Origin',(13.3574678331544,4.25,8.38981578914873)); #213968=CARTESIAN_POINT('',(13.464496,4.25,8.392685)); #213969=CARTESIAN_POINT('Origin',(13.3574678331544,4.25,8.38981578914873)); #213970=CARTESIAN_POINT('',(13.464496,4.24,8.392685)); #213971=CARTESIAN_POINT('',(13.464496,4.25,8.392685)); #213972=CARTESIAN_POINT('Origin',(13.3574678331544,4.24,8.38981578914873)); #213973=CARTESIAN_POINT('Origin',(6.78733635637062,4.25,8.0539679488115)); #213974=CARTESIAN_POINT('',(13.465203,4.25,7.729488)); #213975=CARTESIAN_POINT('Origin',(6.78733635637062,4.25,8.0539679488115)); #213976=CARTESIAN_POINT('',(13.465203,4.24,7.729488)); #213977=CARTESIAN_POINT('',(13.465203,4.25,7.729488)); #213978=CARTESIAN_POINT('Origin',(6.78733635637062,4.24,8.0539679488115)); #213979=CARTESIAN_POINT('Origin',(13.464998,4.25,7.728298)); #213980=CARTESIAN_POINT('',(13.464998,4.25,7.728298)); #213981=CARTESIAN_POINT('',(15.0875647079143,4.25,17.1470998654441)); #213982=CARTESIAN_POINT('',(13.464998,4.24,7.728298)); #213983=CARTESIAN_POINT('',(13.464998,4.25,7.728298)); #213984=CARTESIAN_POINT('',(13.464998,4.24,7.728298)); #213985=CARTESIAN_POINT('Origin',(11.85815,4.25,7.728397)); #213986=CARTESIAN_POINT('',(11.85815,4.25,7.728397)); #213987=CARTESIAN_POINT('',(18.8285429105525,4.25,7.72796754500604)); #213988=CARTESIAN_POINT('',(11.85815,4.24,7.728397)); #213989=CARTESIAN_POINT('',(11.85815,4.25,7.728397)); #213990=CARTESIAN_POINT('',(11.85815,4.24,7.728397)); #213991=CARTESIAN_POINT('Origin',(11.847404,4.25,7.72884)); #213992=CARTESIAN_POINT('',(11.847404,4.25,7.72884)); #213993=CARTESIAN_POINT('',(18.456471389466,4.25,7.45638356472074)); #213994=CARTESIAN_POINT('',(11.847404,4.24,7.72884)); #213995=CARTESIAN_POINT('',(11.847404,4.25,7.72884)); #213996=CARTESIAN_POINT('',(11.847404,4.24,7.72884)); #213997=CARTESIAN_POINT('Origin',(11.847404,4.25,8.326397)); #213998=CARTESIAN_POINT('',(11.847404,4.25,8.326397)); #213999=CARTESIAN_POINT('',(11.847404,4.25,16.6631985)); #214000=CARTESIAN_POINT('',(11.847404,4.24,8.326397)); #214001=CARTESIAN_POINT('',(11.847404,4.25,8.326397)); #214002=CARTESIAN_POINT('',(11.847404,4.24,8.326397)); #214003=CARTESIAN_POINT('Origin',(12.1545772815807,4.25,8.35612228301782)); #214004=CARTESIAN_POINT('',(11.851943,4.25,8.41655)); #214005=CARTESIAN_POINT('Origin',(12.1545772815807,4.25,8.35612228301782)); #214006=CARTESIAN_POINT('',(11.851943,4.24,8.41655)); #214007=CARTESIAN_POINT('',(11.851943,4.25,8.41655)); #214008=CARTESIAN_POINT('Origin',(12.1545772815807,4.24,8.35612228301782)); #214009=CARTESIAN_POINT('Origin',(11.960149086318,4.25,8.38901579738788)); #214010=CARTESIAN_POINT('',(11.858107,4.25,8.434338)); #214011=CARTESIAN_POINT('Origin',(11.960149086318,4.25,8.38901579738788)); #214012=CARTESIAN_POINT('',(11.858107,4.24,8.434338)); #214013=CARTESIAN_POINT('',(11.858107,4.25,8.434338)); #214014=CARTESIAN_POINT('Origin',(11.960149086318,4.24,8.38901579738788)); #214015=CARTESIAN_POINT('Origin',(11.862138,4.25,8.441784)); #214016=CARTESIAN_POINT('',(11.862138,4.25,8.441784)); #214017=CARTESIAN_POINT('',(16.9078124783791,4.25,17.7620747878937)); #214018=CARTESIAN_POINT('',(11.862138,4.24,8.441784)); #214019=CARTESIAN_POINT('',(11.862138,4.25,8.441784)); #214020=CARTESIAN_POINT('',(11.862138,4.24,8.441784)); #214021=CARTESIAN_POINT('Origin',(11.866789,4.25,8.448273)); #214022=CARTESIAN_POINT('',(11.866789,4.25,8.448273)); #214023=CARTESIAN_POINT('',(18.1497410362651,4.25,17.2141460946727)); #214024=CARTESIAN_POINT('',(11.866789,4.24,8.448273)); #214025=CARTESIAN_POINT('',(11.866789,4.25,8.448273)); #214026=CARTESIAN_POINT('',(11.866789,4.24,8.448273)); #214027=CARTESIAN_POINT('Origin',(11.872129,4.25,8.45372)); #214028=CARTESIAN_POINT('',(11.872129,4.25,8.45372)); #214029=CARTESIAN_POINT('',(19.4207819081699,4.25,16.1536286874196)); #214030=CARTESIAN_POINT('',(11.872129,4.24,8.45372)); #214031=CARTESIAN_POINT('',(11.872129,4.25,8.45372)); #214032=CARTESIAN_POINT('',(11.872129,4.24,8.45372)); #214033=CARTESIAN_POINT('Origin',(11.87782,4.25,8.458424)); #214034=CARTESIAN_POINT('',(11.87782,4.25,8.458424)); #214035=CARTESIAN_POINT('',(20.0749071760524,4.25,15.2338761307577)); #214036=CARTESIAN_POINT('',(11.87782,4.24,8.458424)); #214037=CARTESIAN_POINT('',(11.87782,4.25,8.458424)); #214038=CARTESIAN_POINT('',(11.87782,4.24,8.458424)); #214039=CARTESIAN_POINT('Origin',(11.9320304547996,4.25,8.38173370519589)); #214040=CARTESIAN_POINT('',(11.890079,4.25,8.465759)); #214041=CARTESIAN_POINT('Origin',(11.9320304547996,4.25,8.38173370519589)); #214042=CARTESIAN_POINT('',(11.890079,4.24,8.465759)); #214043=CARTESIAN_POINT('',(11.890079,4.25,8.465759)); #214044=CARTESIAN_POINT('Origin',(11.9320304547996,4.24,8.38173370519589)); #214045=CARTESIAN_POINT('Origin',(11.896667,4.25,8.46838)); #214046=CARTESIAN_POINT('',(11.896667,4.25,8.46838)); #214047=CARTESIAN_POINT('',(20.7375098456125,4.25,11.985661283907)); #214048=CARTESIAN_POINT('',(11.896667,4.24,8.46838)); #214049=CARTESIAN_POINT('',(11.896667,4.25,8.46838)); #214050=CARTESIAN_POINT('',(11.896667,4.24,8.46838)); #214051=CARTESIAN_POINT('Origin',(11.903571,4.25,8.470299)); #214052=CARTESIAN_POINT('',(11.903571,4.25,8.470299)); #214053=CARTESIAN_POINT('',(20.4859736599005,4.25,10.8558190904308)); #214054=CARTESIAN_POINT('',(11.903571,4.24,8.470299)); #214055=CARTESIAN_POINT('',(11.903571,4.25,8.470299)); #214056=CARTESIAN_POINT('',(11.903571,4.24,8.470299)); #214057=CARTESIAN_POINT('Origin',(11.910802,4.25,8.4715)); #214058=CARTESIAN_POINT('',(11.910802,4.25,8.4715)); #214059=CARTESIAN_POINT('',(20.0047344429723,4.25,9.81582483252773)); #214060=CARTESIAN_POINT('',(11.910802,4.24,8.4715)); #214061=CARTESIAN_POINT('',(11.910802,4.25,8.4715)); #214062=CARTESIAN_POINT('',(11.910802,4.24,8.4715)); #214063=CARTESIAN_POINT('Origin',(11.918365,4.25,8.471985)); #214064=CARTESIAN_POINT('',(11.918365,4.25,8.471985)); #214065=CARTESIAN_POINT('',(19.3585397336724,4.25,8.94910846236152)); #214066=CARTESIAN_POINT('',(11.918365,4.24,8.471985)); #214067=CARTESIAN_POINT('',(11.918365,4.25,8.471985)); #214068=CARTESIAN_POINT('',(11.918365,4.24,8.471985)); #214069=CARTESIAN_POINT('Origin',(11.926361,4.25,8.471748)); #214070=CARTESIAN_POINT('',(11.926361,4.25,8.471748)); #214071=CARTESIAN_POINT('',(18.6123595174231,4.25,8.27357620802665)); #214072=CARTESIAN_POINT('',(11.926361,4.24,8.471748)); #214073=CARTESIAN_POINT('',(11.926361,4.25,8.471748)); #214074=CARTESIAN_POINT('',(11.926361,4.24,8.471748)); #214075=CARTESIAN_POINT('Origin',(11.934046,4.25,8.470795)); #214076=CARTESIAN_POINT('',(11.934046,4.25,8.470795)); #214077=CARTESIAN_POINT('',(17.7526693456375,4.25,7.7492402767225)); #214078=CARTESIAN_POINT('',(11.934046,4.24,8.470795)); #214079=CARTESIAN_POINT('',(11.934046,4.25,8.470795)); #214080=CARTESIAN_POINT('',(11.934046,4.24,8.470795)); #214081=CARTESIAN_POINT('Origin',(11.941327,4.25,8.469124)); #214082=CARTESIAN_POINT('',(11.941327,4.25,8.469124)); #214083=CARTESIAN_POINT('',(16.7219345975825,4.25,7.37196766219287)); #214084=CARTESIAN_POINT('',(11.941327,4.24,8.469124)); #214085=CARTESIAN_POINT('',(11.941327,4.25,8.469124)); #214086=CARTESIAN_POINT('',(11.941327,4.24,8.469124)); #214087=CARTESIAN_POINT('Origin',(11.9482,4.25,8.466743)); #214088=CARTESIAN_POINT('',(11.9482,4.25,8.466743)); #214089=CARTESIAN_POINT('',(15.5750419989748,4.25,7.21030319212082)); #214090=CARTESIAN_POINT('',(11.9482,4.24,8.466743)); #214091=CARTESIAN_POINT('',(11.9482,4.25,8.466743)); #214092=CARTESIAN_POINT('',(11.9482,4.24,8.466743)); #214093=CARTESIAN_POINT('Origin',(11.954659,4.25,8.463665)); #214094=CARTESIAN_POINT('',(11.954659,4.25,8.463665)); #214095=CARTESIAN_POINT('',(14.3852149835867,4.25,7.30539726234925)); #214096=CARTESIAN_POINT('',(11.954659,4.24,8.463665)); #214097=CARTESIAN_POINT('',(11.954659,4.25,8.463665)); #214098=CARTESIAN_POINT('',(11.954659,4.24,8.463665)); #214099=CARTESIAN_POINT('Origin',(11.960699,4.25,8.459885)); #214100=CARTESIAN_POINT('',(11.960699,4.25,8.459885)); #214101=CARTESIAN_POINT('',(13.2138915327324,4.25,7.6756022560053)); #214102=CARTESIAN_POINT('',(11.960699,4.24,8.459885)); #214103=CARTESIAN_POINT('',(11.960699,4.25,8.459885)); #214104=CARTESIAN_POINT('',(11.960699,4.24,8.459885)); #214105=CARTESIAN_POINT('Origin',(11.8844054002755,4.25,8.3796022978491)); #214106=CARTESIAN_POINT('',(11.991903,4.25,8.352951)); #214107=CARTESIAN_POINT('Origin',(11.8844054002755,4.25,8.3796022978491)); #214108=CARTESIAN_POINT('',(11.991903,4.24,8.352951)); #214109=CARTESIAN_POINT('',(11.991903,4.25,8.352951)); #214110=CARTESIAN_POINT('Origin',(11.8844054002755,4.24,8.3796022978491)); #214111=CARTESIAN_POINT('Origin',(11.991938,4.25,7.872898)); #214112=CARTESIAN_POINT('',(11.991938,4.25,7.872898)); #214113=CARTESIAN_POINT('',(11.9913136800485,4.25,16.4359455911343)); #214114=CARTESIAN_POINT('',(11.991938,4.24,7.872898)); #214115=CARTESIAN_POINT('',(11.991938,4.25,7.872898)); #214116=CARTESIAN_POINT('',(11.991938,4.24,7.872898)); #214117=CARTESIAN_POINT('Origin',(12.583505,4.25,7.872799)); #214118=CARTESIAN_POINT('',(12.583505,4.25,7.872799)); #214119=CARTESIAN_POINT('',(19.1903191781442,4.25,7.87169333554671)); #214120=CARTESIAN_POINT('',(12.583505,4.24,7.872799)); #214121=CARTESIAN_POINT('',(12.583505,4.25,7.872799)); #214122=CARTESIAN_POINT('',(12.583505,4.24,7.872799)); #214123=CARTESIAN_POINT('Origin',(12.584104,4.25,7.873669)); #214124=CARTESIAN_POINT('',(12.584104,4.25,7.873669)); #214125=CARTESIAN_POINT('',(18.7089177157393,4.25,16.7694752313543)); #214126=CARTESIAN_POINT('',(12.584104,4.24,7.873669)); #214127=CARTESIAN_POINT('',(12.584104,4.25,7.873669)); #214128=CARTESIAN_POINT('',(12.584104,4.24,7.873669)); #214129=CARTESIAN_POINT('Origin',(-73.333883723111,4.25,8.71363658946175)); #214130=CARTESIAN_POINT('',(12.583676,4.25,9.596298)); #214131=CARTESIAN_POINT('Origin',(-73.333883723111,4.25,8.71363658946175)); #214132=CARTESIAN_POINT('',(12.583676,4.24,9.596298)); #214133=CARTESIAN_POINT('',(12.583676,4.25,9.596298)); #214134=CARTESIAN_POINT('Origin',(-73.333883723111,4.24,8.71363658946175)); #214135=CARTESIAN_POINT('Origin',(12.203926,4.25,9.596416)); #214136=CARTESIAN_POINT('',(12.203926,4.25,9.596416)); #214137=CARTESIAN_POINT('',(18.9995691602986,4.25,9.59430438474545)); #214138=CARTESIAN_POINT('',(12.203926,4.24,9.596416)); #214139=CARTESIAN_POINT('',(12.203926,4.25,9.596416)); #214140=CARTESIAN_POINT('',(12.203926,4.24,9.596416)); #214141=CARTESIAN_POINT('Origin',(12.201402058531,4.25,9.73918551360734)); #214142=CARTESIAN_POINT('',(12.152422,4.25,9.605057)); #214143=CARTESIAN_POINT('Origin',(12.201402058531,4.25,9.73918551360734)); #214144=CARTESIAN_POINT('',(12.152422,4.24,9.605057)); #214145=CARTESIAN_POINT('',(12.152422,4.25,9.605057)); #214146=CARTESIAN_POINT('Origin',(12.201402058531,4.24,9.73918551360734)); #214147=CARTESIAN_POINT('Origin',(12.144883,4.25,9.608719)); #214148=CARTESIAN_POINT('',(12.144883,4.25,9.608719)); #214149=CARTESIAN_POINT('',(14.6445686402784,4.25,8.39451966126797)); #214150=CARTESIAN_POINT('',(12.144883,4.24,9.608719)); #214151=CARTESIAN_POINT('',(12.144883,4.25,9.608719)); #214152=CARTESIAN_POINT('',(12.144883,4.24,9.608719)); #214153=CARTESIAN_POINT('Origin',(12.138251,4.25,9.613037)); #214154=CARTESIAN_POINT('',(12.138251,4.25,9.613037)); #214155=CARTESIAN_POINT('',(13.4176548327741,4.25,8.78003552986732)); #214156=CARTESIAN_POINT('',(12.138251,4.24,9.613037)); #214157=CARTESIAN_POINT('',(12.138251,4.25,9.613037)); #214158=CARTESIAN_POINT('',(12.138251,4.24,9.613037)); #214159=CARTESIAN_POINT('Origin',(12.132511,4.25,9.617931)); #214160=CARTESIAN_POINT('',(12.132511,4.25,9.617931)); #214161=CARTESIAN_POINT('',(12.2924848027931,4.25,9.48153521761859)); #214162=CARTESIAN_POINT('',(12.132511,4.24,9.617931)); #214163=CARTESIAN_POINT('',(12.132511,4.25,9.617931)); #214164=CARTESIAN_POINT('',(12.132511,4.24,9.617931)); #214165=CARTESIAN_POINT('Origin',(12.127501,4.25,9.623173)); #214166=CARTESIAN_POINT('',(12.127501,4.25,9.623173)); #214167=CARTESIAN_POINT('',(11.5507309551065,4.25,10.2266517575512)); #214168=CARTESIAN_POINT('',(12.127501,4.24,9.623173)); #214169=CARTESIAN_POINT('',(12.127501,4.25,9.623173)); #214170=CARTESIAN_POINT('',(12.127501,4.24,9.623173)); #214171=CARTESIAN_POINT('Origin',(12.123177,4.25,9.628853)); #214172=CARTESIAN_POINT('',(12.123177,4.25,9.628853)); #214173=CARTESIAN_POINT('',(10.9280577966197,4.25,11.198759816651)); #214174=CARTESIAN_POINT('',(12.123177,4.24,9.628853)); #214175=CARTESIAN_POINT('',(12.123177,4.25,9.628853)); #214176=CARTESIAN_POINT('',(12.123177,4.24,9.628853)); #214177=CARTESIAN_POINT('Origin',(12.119543,4.25,9.634964)); #214178=CARTESIAN_POINT('',(12.119543,4.25,9.634964)); #214179=CARTESIAN_POINT('',(10.5314845629153,4.25,12.305471735011)); #214180=CARTESIAN_POINT('',(12.119543,4.24,9.634964)); #214181=CARTESIAN_POINT('',(12.119543,4.25,9.634964)); #214182=CARTESIAN_POINT('',(12.119543,4.24,9.634964)); #214183=CARTESIAN_POINT('Origin',(12.116608,4.25,9.641499)); #214184=CARTESIAN_POINT('',(12.116608,4.25,9.641499)); #214185=CARTESIAN_POINT('',(10.394997147099,4.25,13.47479607792)); #214186=CARTESIAN_POINT('',(12.116608,4.24,9.641499)); #214187=CARTESIAN_POINT('',(12.116608,4.25,9.641499)); #214188=CARTESIAN_POINT('',(12.116608,4.24,9.641499)); #214189=CARTESIAN_POINT('Origin',(12.114375,4.25,9.648449)); #214190=CARTESIAN_POINT('',(12.114375,4.25,9.648449)); #214191=CARTESIAN_POINT('',(10.5192401244809,4.25,14.6131545015086)); #214192=CARTESIAN_POINT('',(12.114375,4.24,9.648449)); #214193=CARTESIAN_POINT('',(12.114375,4.25,9.648449)); #214194=CARTESIAN_POINT('',(12.114375,4.24,9.648449)); #214195=CARTESIAN_POINT('Origin',(12.112852,4.25,9.655811)); #214196=CARTESIAN_POINT('',(12.112852,4.25,9.655811)); #214197=CARTESIAN_POINT('',(10.8716997104648,4.25,15.6553928486858)); #214198=CARTESIAN_POINT('',(12.112852,4.24,9.655811)); #214199=CARTESIAN_POINT('',(12.112852,4.25,9.655811)); #214200=CARTESIAN_POINT('',(12.112852,4.24,9.655811)); #214201=CARTESIAN_POINT('Origin',(12.2257421158464,4.25,9.67013071726783)); #214202=CARTESIAN_POINT('',(12.111956,4.25,9.671528)); #214203=CARTESIAN_POINT('Origin',(12.2257421158464,4.25,9.67013071726783)); #214204=CARTESIAN_POINT('',(12.111956,4.24,9.671528)); #214205=CARTESIAN_POINT('',(12.111956,4.25,9.671528)); #214206=CARTESIAN_POINT('Origin',(12.2257421158464,4.24,9.67013071726783)); #214207=CARTESIAN_POINT('Origin',(12.112591,4.25,9.679024)); #214208=CARTESIAN_POINT('',(12.112591,4.25,9.679024)); #214209=CARTESIAN_POINT('',(12.8056626197654,4.25,17.860543467311)); #214210=CARTESIAN_POINT('',(12.112591,4.24,9.679024)); #214211=CARTESIAN_POINT('',(12.112591,4.25,9.679024)); #214212=CARTESIAN_POINT('',(12.112591,4.24,9.679024)); #214213=CARTESIAN_POINT('Origin',(12.113942,4.25,9.686184)); #214214=CARTESIAN_POINT('',(12.113942,4.25,9.686184)); #214215=CARTESIAN_POINT('',(13.7442884617722,4.25,18.32665821636)); #214216=CARTESIAN_POINT('',(12.113942,4.24,9.686184)); #214217=CARTESIAN_POINT('',(12.113942,4.25,9.686184)); #214218=CARTESIAN_POINT('',(12.113942,4.24,9.686184)); #214219=CARTESIAN_POINT('Origin',(12.116004,4.25,9.693024)); #214220=CARTESIAN_POINT('',(12.116004,4.25,9.693024)); #214221=CARTESIAN_POINT('',(14.8010231630944,4.25,18.5996831055116)); #214222=CARTESIAN_POINT('',(12.116004,4.24,9.693024)); #214223=CARTESIAN_POINT('',(12.116004,4.25,9.693024)); #214224=CARTESIAN_POINT('',(12.116004,4.24,9.693024)); #214225=CARTESIAN_POINT('Origin',(12.118771,4.25,9.699547)); #214226=CARTESIAN_POINT('',(12.118771,4.25,9.699547)); #214227=CARTESIAN_POINT('',(15.9122305215658,4.25,18.6423502017217)); #214228=CARTESIAN_POINT('',(12.118771,4.24,9.699547)); #214229=CARTESIAN_POINT('',(12.118771,4.25,9.699547)); #214230=CARTESIAN_POINT('',(12.118771,4.24,9.699547)); #214231=CARTESIAN_POINT('Origin',(12.122236,4.25,9.705765)); #214232=CARTESIAN_POINT('',(12.122236,4.25,9.705765)); #214233=CARTESIAN_POINT('',(16.9943566811472,4.25,18.4488664128056)); #214234=CARTESIAN_POINT('',(12.122236,4.24,9.705765)); #214235=CARTESIAN_POINT('',(12.122236,4.25,9.705765)); #214236=CARTESIAN_POINT('',(12.122236,4.24,9.705765)); #214237=CARTESIAN_POINT('Origin',(12.126394,4.25,9.711685)); #214238=CARTESIAN_POINT('',(12.126394,4.25,9.711685)); #214239=CARTESIAN_POINT('',(17.9802737915146,4.25,18.0462132265)); #214240=CARTESIAN_POINT('',(12.126394,4.24,9.711685)); #214241=CARTESIAN_POINT('',(12.126394,4.25,9.711685)); #214242=CARTESIAN_POINT('',(12.126394,4.24,9.711685)); #214243=CARTESIAN_POINT('Origin',(12.131239,4.25,9.717312)); #214244=CARTESIAN_POINT('',(12.131239,4.25,9.717312)); #214245=CARTESIAN_POINT('',(18.8191969337753,4.25,17.4847298107979)); #214246=CARTESIAN_POINT('',(12.131239,4.24,9.717312)); #214247=CARTESIAN_POINT('',(12.131239,4.25,9.717312)); #214248=CARTESIAN_POINT('',(12.131239,4.24,9.717312)); #214249=CARTESIAN_POINT('Origin',(12.136786,4.25,9.722542)); #214250=CARTESIAN_POINT('',(12.136786,4.25,9.722542)); #214251=CARTESIAN_POINT('',(19.5661251392461,4.25,16.7273092071901)); #214252=CARTESIAN_POINT('',(12.136786,4.24,9.722542)); #214253=CARTESIAN_POINT('',(12.136786,4.25,9.722542)); #214254=CARTESIAN_POINT('',(12.136786,4.24,9.722542)); #214255=CARTESIAN_POINT('Origin',(12.1432,4.25,9.727085)); #214256=CARTESIAN_POINT('',(12.1432,4.25,9.727085)); #214257=CARTESIAN_POINT('',(20.2922413772732,4.25,15.4990050151154)); #214258=CARTESIAN_POINT('',(12.1432,4.24,9.727085)); #214259=CARTESIAN_POINT('',(12.1432,4.25,9.727085)); #214260=CARTESIAN_POINT('',(12.1432,4.24,9.727085)); #214261=CARTESIAN_POINT('Origin',(12.150518,4.25,9.730995)); #214262=CARTESIAN_POINT('',(12.150518,4.25,9.730995)); #214263=CARTESIAN_POINT('',(20.6328645977934,4.25,14.2631042098076)); #214264=CARTESIAN_POINT('',(12.150518,4.24,9.730995)); #214265=CARTESIAN_POINT('',(12.150518,4.25,9.730995)); #214266=CARTESIAN_POINT('',(12.150518,4.24,9.730995)); #214267=CARTESIAN_POINT('Origin',(12.1959492644773,4.25,9.62570831049856)); #214268=CARTESIAN_POINT('',(12.188969,4.25,9.740166)); #214269=CARTESIAN_POINT('Origin',(12.1959492644773,4.25,9.62570831049856)); #214270=CARTESIAN_POINT('',(12.188969,4.24,9.740166)); #214271=CARTESIAN_POINT('',(12.188969,4.25,9.740166)); #214272=CARTESIAN_POINT('Origin',(12.1959492644773,4.24,9.62570831049856)); #214273=CARTESIAN_POINT('Origin',(12.6496616880367,4.25,1.37927919609861)); #214274=CARTESIAN_POINT('',(13.098719,4.25,9.740799)); #214275=CARTESIAN_POINT('Origin',(12.6496616880367,4.25,1.37927919609861)); #214276=CARTESIAN_POINT('',(13.098719,4.24,9.740799)); #214277=CARTESIAN_POINT('',(13.098719,4.25,9.740799)); #214278=CARTESIAN_POINT('Origin',(12.6496616880367,4.24,1.37927919609861)); #214279=CARTESIAN_POINT('Origin',(13.1066102638204,4.25,9.5730098682374)); #214280=CARTESIAN_POINT('',(13.155025,4.25,9.733856)); #214281=CARTESIAN_POINT('Origin',(13.1066102638204,4.25,9.5730098682374)); #214282=CARTESIAN_POINT('',(13.155025,4.24,9.733856)); #214283=CARTESIAN_POINT('',(13.155025,4.25,9.733856)); #214284=CARTESIAN_POINT('Origin',(13.1066102638204,4.24,9.5730098682374)); #214285=CARTESIAN_POINT('Origin',(13.16315,4.25,9.730518)); #214286=CARTESIAN_POINT('',(13.16315,4.25,9.730518)); #214287=CARTESIAN_POINT('',(15.8855042947312,4.25,8.61209109097724)); #214288=CARTESIAN_POINT('',(13.16315,4.24,9.730518)); #214289=CARTESIAN_POINT('',(13.16315,4.25,9.730518)); #214290=CARTESIAN_POINT('',(13.16315,4.24,9.730518)); #214291=CARTESIAN_POINT('Origin',(13.170355,4.25,9.726543)); #214292=CARTESIAN_POINT('',(13.170355,4.25,9.726543)); #214293=CARTESIAN_POINT('',(14.7815793995997,4.25,8.83763016330181)); #214294=CARTESIAN_POINT('',(13.170355,4.24,9.726543)); #214295=CARTESIAN_POINT('',(13.170355,4.25,9.726543)); #214296=CARTESIAN_POINT('',(13.170355,4.24,9.726543)); #214297=CARTESIAN_POINT('Origin',(13.176645,4.25,9.721935)); #214298=CARTESIAN_POINT('',(13.176645,4.25,9.721935)); #214299=CARTESIAN_POINT('',(13.6421831291238,4.25,9.38088576327483)); #214300=CARTESIAN_POINT('',(13.176645,4.24,9.721935)); #214301=CARTESIAN_POINT('',(13.176645,4.25,9.721935)); #214302=CARTESIAN_POINT('',(13.176645,4.24,9.721935)); #214303=CARTESIAN_POINT('Origin',(13.182102,4.25,9.716644)); #214304=CARTESIAN_POINT('',(13.182102,4.25,9.716644)); #214305=CARTESIAN_POINT('',(12.6149767103978,4.25,10.2665175399096)); #214306=CARTESIAN_POINT('',(13.182102,4.24,9.716644)); #214307=CARTESIAN_POINT('',(13.182102,4.25,9.716644)); #214308=CARTESIAN_POINT('',(13.182102,4.24,9.716644)); #214309=CARTESIAN_POINT('Origin',(13.186886,4.25,9.710987)); #214310=CARTESIAN_POINT('',(12.0473362995181,4.25,11.0584854648051)); #214311=CARTESIAN_POINT('',(13.186886,4.24,9.710987)); #214312=CARTESIAN_POINT('Origin',(12.6595253694178,4.24,8.74057333996233)); #214313=CARTESIAN_POINT('Origin',(24.8290993852299,4.25,11.0342674281976)); #214314=CARTESIAN_POINT('',(24.74987,4.25,11.020962)); #214315=CARTESIAN_POINT('',(24.75173,4.25,11.012627)); #214316=CARTESIAN_POINT('Origin',(24.8290993852299,4.25,11.0342674281976)); #214317=CARTESIAN_POINT('',(24.74987,4.24,11.020962)); #214318=CARTESIAN_POINT('',(24.74987,4.25,11.020962)); #214319=CARTESIAN_POINT('',(24.75173,4.24,11.012627)); #214320=CARTESIAN_POINT('Origin',(24.8290993852299,4.24,11.0342674281976)); #214321=CARTESIAN_POINT('',(24.75173,4.25,11.012627)); #214322=CARTESIAN_POINT('Origin',(24.749529,4.25,11.025433)); #214323=CARTESIAN_POINT('',(24.749529,4.25,11.025433)); #214324=CARTESIAN_POINT('',(24.2227335107908,4.25,17.932478842357)); #214325=CARTESIAN_POINT('',(24.749529,4.24,11.025433)); #214326=CARTESIAN_POINT('',(24.749529,4.25,11.025433)); #214327=CARTESIAN_POINT('',(24.749529,4.24,11.025433)); #214328=CARTESIAN_POINT('Origin',(24.749592,4.25,11.030201)); #214329=CARTESIAN_POINT('',(24.749592,4.25,11.030201)); #214330=CARTESIAN_POINT('',(24.8419596535383,4.25,18.02081960441)); #214331=CARTESIAN_POINT('',(24.749592,4.24,11.030201)); #214332=CARTESIAN_POINT('',(24.749592,4.25,11.030201)); #214333=CARTESIAN_POINT('',(24.749592,4.24,11.030201)); #214334=CARTESIAN_POINT('Origin',(24.750086,4.25,11.034859)); #214335=CARTESIAN_POINT('',(24.750086,4.25,11.034859)); #214336=CARTESIAN_POINT('',(25.4882185473141,4.25,17.9948213590869)); #214337=CARTESIAN_POINT('',(24.750086,4.24,11.034859)); #214338=CARTESIAN_POINT('',(24.750086,4.25,11.034859)); #214339=CARTESIAN_POINT('',(24.750086,4.24,11.034859)); #214340=CARTESIAN_POINT('Origin',(24.751007,4.25,11.039265)); #214341=CARTESIAN_POINT('',(24.751007,4.25,11.039265)); #214342=CARTESIAN_POINT('',(26.1710062529293,4.25,17.8324427506921)); #214343=CARTESIAN_POINT('',(24.751007,4.24,11.039265)); #214344=CARTESIAN_POINT('',(24.751007,4.25,11.039265)); #214345=CARTESIAN_POINT('',(24.751007,4.24,11.039265)); #214346=CARTESIAN_POINT('Origin',(24.75235,4.25,11.043427)); #214347=CARTESIAN_POINT('',(24.75235,4.25,11.043427)); #214348=CARTESIAN_POINT('',(26.8411617169731,4.25,17.5167213902239)); #214349=CARTESIAN_POINT('',(24.75235,4.24,11.043427)); #214350=CARTESIAN_POINT('',(24.75235,4.25,11.043427)); #214351=CARTESIAN_POINT('',(24.75235,4.24,11.043427)); #214352=CARTESIAN_POINT('Origin',(24.754112,4.25,11.047337)); #214353=CARTESIAN_POINT('',(24.754112,4.25,11.047337)); #214354=CARTESIAN_POINT('',(27.4555269011509,4.25,17.0419637102746)); #214355=CARTESIAN_POINT('',(24.754112,4.24,11.047337)); #214356=CARTESIAN_POINT('',(24.754112,4.25,11.047337)); #214357=CARTESIAN_POINT('',(24.754112,4.24,11.047337)); #214358=CARTESIAN_POINT('Origin',(24.8153706462826,4.25,11.0111519005461)); #214359=CARTESIAN_POINT('',(24.758875,4.25,11.054398)); #214360=CARTESIAN_POINT('Origin',(24.8153706462826,4.25,11.0111519005461)); #214361=CARTESIAN_POINT('',(24.758875,4.24,11.054398)); #214362=CARTESIAN_POINT('',(24.758875,4.25,11.054398)); #214363=CARTESIAN_POINT('Origin',(24.8153706462826,4.24,11.0111519005461)); #214364=CARTESIAN_POINT('Origin',(24.761866,4.25,11.057545)); #214365=CARTESIAN_POINT('',(24.761866,4.25,11.057545)); #214366=CARTESIAN_POINT('',(28.4893293257865,4.25,14.9794196527083)); #214367=CARTESIAN_POINT('',(24.761866,4.24,11.057545)); #214368=CARTESIAN_POINT('',(24.761866,4.25,11.057545)); #214369=CARTESIAN_POINT('',(24.761866,4.24,11.057545)); #214370=CARTESIAN_POINT('Origin',(24.765303,4.25,11.060486)); #214371=CARTESIAN_POINT('',(24.765303,4.25,11.060486)); #214372=CARTESIAN_POINT('',(28.5069486966766,4.25,14.2621676973858)); #214373=CARTESIAN_POINT('',(24.765303,4.24,11.060486)); #214374=CARTESIAN_POINT('',(24.765303,4.25,11.060486)); #214375=CARTESIAN_POINT('',(24.765303,4.24,11.060486)); #214376=CARTESIAN_POINT('Origin',(24.769333,4.25,11.063072)); #214377=CARTESIAN_POINT('',(24.769333,4.25,11.063072)); #214378=CARTESIAN_POINT('',(28.3017317832883,4.25,13.3297675964231)); #214379=CARTESIAN_POINT('',(24.769333,4.24,11.063072)); #214380=CARTESIAN_POINT('',(24.769333,4.25,11.063072)); #214381=CARTESIAN_POINT('',(24.769333,4.24,11.063072)); #214382=CARTESIAN_POINT('Origin',(24.9304180302385,4.25,10.4444719856719)); #214383=CARTESIAN_POINT('',(25.066792,4.25,11.068985)); #214384=CARTESIAN_POINT('Origin',(24.9304180302385,4.25,10.4444719856719)); #214385=CARTESIAN_POINT('',(25.066792,4.24,11.068985)); #214386=CARTESIAN_POINT('',(25.066792,4.25,11.068985)); #214387=CARTESIAN_POINT('Origin',(24.9304180302385,4.24,10.4444719856719)); #214388=CARTESIAN_POINT('Origin',(25.0469887507227,4.25,10.9691924296572)); #214389=CARTESIAN_POINT('',(25.078213,4.25,11.066021)); #214390=CARTESIAN_POINT('Origin',(25.0469887507227,4.25,10.9691924296572)); #214391=CARTESIAN_POINT('',(25.078213,4.24,11.066021)); #214392=CARTESIAN_POINT('',(25.078213,4.25,11.066021)); #214393=CARTESIAN_POINT('Origin',(25.0469887507227,4.24,10.9691924296572)); #214394=CARTESIAN_POINT('Origin',(25.083065,4.25,11.063957)); #214395=CARTESIAN_POINT('',(25.083065,4.25,11.063957)); #214396=CARTESIAN_POINT('',(22.8766611821355,4.25,12.0025426306833)); #214397=CARTESIAN_POINT('',(25.083065,4.24,11.063957)); #214398=CARTESIAN_POINT('',(25.083065,4.25,11.063957)); #214399=CARTESIAN_POINT('',(25.083065,4.24,11.063957)); #214400=CARTESIAN_POINT('Origin',(25.087347,4.25,11.061512)); #214401=CARTESIAN_POINT('',(25.087347,4.25,11.061512)); #214402=CARTESIAN_POINT('',(22.3550845370841,4.25,12.6216198285446)); #214403=CARTESIAN_POINT('',(25.087347,4.24,11.061512)); #214404=CARTESIAN_POINT('',(25.087347,4.25,11.061512)); #214405=CARTESIAN_POINT('',(25.087347,4.24,11.061512)); #214406=CARTESIAN_POINT('Origin',(25.091059,4.25,11.058681)); #214407=CARTESIAN_POINT('',(25.091059,4.25,11.058681)); #214408=CARTESIAN_POINT('',(21.9539709024214,4.25,13.4512177468321)); #214409=CARTESIAN_POINT('',(25.091059,4.24,11.058681)); #214410=CARTESIAN_POINT('',(25.091059,4.25,11.058681)); #214411=CARTESIAN_POINT('',(25.091059,4.24,11.058681)); #214412=CARTESIAN_POINT('Origin',(25.094255,4.25,11.055481)); #214413=CARTESIAN_POINT('',(25.094255,4.25,11.055481)); #214414=CARTESIAN_POINT('',(21.7843435437923,4.25,14.3695350237352)); #214415=CARTESIAN_POINT('',(25.094255,4.24,11.055481)); #214416=CARTESIAN_POINT('',(25.094255,4.25,11.055481)); #214417=CARTESIAN_POINT('',(25.094255,4.24,11.055481)); #214418=CARTESIAN_POINT('Origin',(25.097048,4.25,11.052086)); #214419=CARTESIAN_POINT('',(25.097048,4.25,11.052086)); #214420=CARTESIAN_POINT('',(21.8173200962137,4.25,15.0387226750322)); #214421=CARTESIAN_POINT('',(25.097048,4.24,11.052086)); #214422=CARTESIAN_POINT('',(25.097048,4.25,11.052086)); #214423=CARTESIAN_POINT('',(25.097048,4.24,11.052086)); #214424=CARTESIAN_POINT('Origin',(25.099434,4.25,11.048515)); #214425=CARTESIAN_POINT('',(25.099434,4.25,11.048515)); #214426=CARTESIAN_POINT('',(21.9852088274739,4.25,15.7094110985319)); #214427=CARTESIAN_POINT('',(25.099434,4.24,11.048515)); #214428=CARTESIAN_POINT('',(25.099434,4.25,11.048515)); #214429=CARTESIAN_POINT('',(25.099434,4.24,11.048515)); #214430=CARTESIAN_POINT('Origin',(25.101408,4.25,11.044758)); #214431=CARTESIAN_POINT('',(25.101408,4.25,11.044758)); #214432=CARTESIAN_POINT('',(22.3039434107172,4.25,16.3690105136416)); #214433=CARTESIAN_POINT('',(25.101408,4.24,11.044758)); #214434=CARTESIAN_POINT('',(25.101408,4.25,11.044758)); #214435=CARTESIAN_POINT('',(25.101408,4.24,11.044758)); #214436=CARTESIAN_POINT('Origin',(25.025869037136,4.25,11.0173588587573)); #214437=CARTESIAN_POINT('',(25.104816,4.25,11.032333)); #214438=CARTESIAN_POINT('Origin',(25.025869037136,4.25,11.0173588587573)); #214439=CARTESIAN_POINT('',(25.104816,4.24,11.032333)); #214440=CARTESIAN_POINT('',(25.104816,4.25,11.032333)); #214441=CARTESIAN_POINT('Origin',(25.025869037136,4.24,11.0173588587573)); #214442=CARTESIAN_POINT('Origin',(25.105099,4.25,11.02779)); #214443=CARTESIAN_POINT('',(25.105099,4.25,11.02779)); #214444=CARTESIAN_POINT('',(24.6729343945501,4.25,17.9653299384016)); #214445=CARTESIAN_POINT('',(25.105099,4.24,11.02779)); #214446=CARTESIAN_POINT('',(25.105099,4.25,11.02779)); #214447=CARTESIAN_POINT('',(25.105099,4.24,11.02779)); #214448=CARTESIAN_POINT('Origin',(25.104946,4.25,11.02301)); #214449=CARTESIAN_POINT('',(25.104946,4.25,11.02301)); #214450=CARTESIAN_POINT('',(25.3287630663691,4.25,18.0154647531058)); #214451=CARTESIAN_POINT('',(25.104946,4.24,11.02301)); #214452=CARTESIAN_POINT('',(25.104946,4.25,11.02301)); #214453=CARTESIAN_POINT('',(25.104946,4.24,11.02301)); #214454=CARTESIAN_POINT('Origin',(25.104362,4.25,11.018421)); #214455=CARTESIAN_POINT('',(25.104362,4.25,11.018421)); #214456=CARTESIAN_POINT('',(25.9853804159487,4.25,17.9413550938302)); #214457=CARTESIAN_POINT('',(25.104362,4.24,11.018421)); #214458=CARTESIAN_POINT('',(25.104362,4.25,11.018421)); #214459=CARTESIAN_POINT('',(25.104362,4.24,11.018421)); #214460=CARTESIAN_POINT('Origin',(25.103352,4.25,11.014069)); #214461=CARTESIAN_POINT('',(25.103352,4.25,11.014069)); #214462=CARTESIAN_POINT('',(26.6611194747431,4.25,17.7263502377091)); #214463=CARTESIAN_POINT('',(25.103352,4.24,11.014069)); #214464=CARTESIAN_POINT('',(25.103352,4.25,11.014069)); #214465=CARTESIAN_POINT('',(25.103352,4.24,11.014069)); #214466=CARTESIAN_POINT('Origin',(25.101917,4.25,11.009956)); #214467=CARTESIAN_POINT('',(25.101917,4.25,11.009956)); #214468=CARTESIAN_POINT('',(27.3154747749053,4.25,17.3544599220789)); #214469=CARTESIAN_POINT('',(25.101917,4.24,11.009956)); #214470=CARTESIAN_POINT('',(25.101917,4.25,11.009956)); #214471=CARTESIAN_POINT('',(25.101917,4.24,11.009956)); #214472=CARTESIAN_POINT('Origin',(25.100065,4.25,11.006088)); #214473=CARTESIAN_POINT('',(25.100065,4.25,11.006088)); #214474=CARTESIAN_POINT('',(27.8906900774546,4.25,16.8344561423371)); #214475=CARTESIAN_POINT('',(25.100065,4.24,11.006088)); #214476=CARTESIAN_POINT('',(25.100065,4.25,11.006088)); #214477=CARTESIAN_POINT('',(25.100065,4.24,11.006088)); #214478=CARTESIAN_POINT('Origin',(25.097801,4.25,11.002472)); #214479=CARTESIAN_POINT('',(25.097801,4.25,11.002472)); #214480=CARTESIAN_POINT('',(28.3446217856013,4.25,16.1882069649884)); #214481=CARTESIAN_POINT('',(25.097801,4.24,11.002472)); #214482=CARTESIAN_POINT('',(25.097801,4.25,11.002472)); #214483=CARTESIAN_POINT('',(25.097801,4.24,11.002472)); #214484=CARTESIAN_POINT('Origin',(25.095131,4.25,10.999111)); #214485=CARTESIAN_POINT('',(25.095131,4.25,10.999111)); #214486=CARTESIAN_POINT('',(28.6410070137686,4.25,15.4626650383003)); #214487=CARTESIAN_POINT('',(25.095131,4.24,10.999111)); #214488=CARTESIAN_POINT('',(25.095131,4.25,10.999111)); #214489=CARTESIAN_POINT('',(25.095131,4.24,10.999111)); #214490=CARTESIAN_POINT('Origin',(25.092054,4.25,10.996014)); #214491=CARTESIAN_POINT('',(25.092054,4.25,10.996014)); #214492=CARTESIAN_POINT('',(28.7688168793629,4.25,14.6966752406231)); #214493=CARTESIAN_POINT('',(25.092054,4.24,10.996014)); #214494=CARTESIAN_POINT('',(25.092054,4.25,10.996014)); #214495=CARTESIAN_POINT('',(25.092054,4.24,10.996014)); #214496=CARTESIAN_POINT('Origin',(25.088406,4.25,10.99313)); #214497=CARTESIAN_POINT('',(25.088406,4.25,10.99313)); #214498=CARTESIAN_POINT('',(28.7145595242609,4.25,13.8598588278431)); #214499=CARTESIAN_POINT('',(25.088406,4.24,10.99313)); #214500=CARTESIAN_POINT('',(25.088406,4.25,10.99313)); #214501=CARTESIAN_POINT('',(25.088406,4.24,10.99313)); #214502=CARTESIAN_POINT('Origin',(25.084234,4.25,10.990623)); #214503=CARTESIAN_POINT('',(25.084234,4.25,10.990623)); #214504=CARTESIAN_POINT('',(28.4396795297411,4.25,13.0069465721598)); #214505=CARTESIAN_POINT('',(25.084234,4.24,10.990623)); #214506=CARTESIAN_POINT('',(25.084234,4.25,10.990623)); #214507=CARTESIAN_POINT('',(25.084234,4.24,10.990623)); #214508=CARTESIAN_POINT('Origin',(25.079542,4.25,10.988514)); #214509=CARTESIAN_POINT('',(25.079542,4.25,10.988514)); #214510=CARTESIAN_POINT('',(27.9989374703825,4.25,12.3007486647571)); #214511=CARTESIAN_POINT('',(25.079542,4.24,10.988514)); #214512=CARTESIAN_POINT('',(25.079542,4.25,10.988514)); #214513=CARTESIAN_POINT('',(25.079542,4.24,10.988514)); #214514=CARTESIAN_POINT('Origin',(25.07431,4.25,10.98679)); #214515=CARTESIAN_POINT('',(25.07431,4.25,10.98679)); #214516=CARTESIAN_POINT('',(27.4842423817969,4.25,11.7808885141868)); #214517=CARTESIAN_POINT('',(25.07431,4.24,10.98679)); #214518=CARTESIAN_POINT('',(25.07431,4.25,10.98679)); #214519=CARTESIAN_POINT('',(25.07431,4.24,10.98679)); #214520=CARTESIAN_POINT('Origin',(25.0484627272403,4.25,11.0932558994408)); #214521=CARTESIAN_POINT('',(25.047705,4.25,10.9837)); #214522=CARTESIAN_POINT('Origin',(25.0484627272403,4.25,11.0932558994408)); #214523=CARTESIAN_POINT('',(25.047705,4.24,10.9837)); #214524=CARTESIAN_POINT('',(25.047705,4.25,10.9837)); #214525=CARTESIAN_POINT('Origin',(25.0484627272403,4.24,11.0932558994408)); #214526=CARTESIAN_POINT('Origin',(24.997505,4.25,10.983696)); #214527=CARTESIAN_POINT('',(24.997505,4.25,10.983696)); #214528=CARTESIAN_POINT('',(25.3993109159356,4.25,10.9837280164077)); #214529=CARTESIAN_POINT('',(24.997505,4.24,10.983696)); #214530=CARTESIAN_POINT('',(24.997505,4.25,10.983696)); #214531=CARTESIAN_POINT('',(24.997505,4.24,10.983696)); #214532=CARTESIAN_POINT('Origin',(24.5974784159904,4.25,10.6155096436999)); #214533=CARTESIAN_POINT('',(25.097145,4.25,10.40123)); #214534=CARTESIAN_POINT('Origin',(24.5974784159904,4.25,10.6155096436999)); #214535=CARTESIAN_POINT('',(25.097145,4.24,10.40123)); #214536=CARTESIAN_POINT('',(25.097145,4.25,10.40123)); #214537=CARTESIAN_POINT('Origin',(24.5974784159904,4.24,10.6155096436999)); #214538=CARTESIAN_POINT('Origin',(24.7771028214428,4.25,10.527600253797)); #214539=CARTESIAN_POINT('',(24.707804,4.25,10.190563)); #214540=CARTESIAN_POINT('Origin',(24.7771028214428,4.25,10.527600253797)); #214541=CARTESIAN_POINT('',(24.707804,4.24,10.190563)); #214542=CARTESIAN_POINT('',(24.707804,4.25,10.190563)); #214543=CARTESIAN_POINT('Origin',(24.7771028214428,4.24,10.527600253797)); #214544=CARTESIAN_POINT('Origin',(24.8242678544484,4.25,10.5511949788607)); #214545=CARTESIAN_POINT('',(24.446114,4.25,10.576073)); #214546=CARTESIAN_POINT('Origin',(24.8242678544484,4.25,10.5511949788607)); #214547=CARTESIAN_POINT('',(24.446114,4.24,10.576073)); #214548=CARTESIAN_POINT('',(24.446114,4.25,10.576073)); #214549=CARTESIAN_POINT('Origin',(24.8242678544484,4.24,10.5511949788607)); #214550=CARTESIAN_POINT('Origin',(24.435091,4.25,10.640411)); #214551=CARTESIAN_POINT('',(24.435091,4.25,10.640411)); #214552=CARTESIAN_POINT('',(23.2595202285434,4.25,17.5018708833306)); #214553=CARTESIAN_POINT('',(24.435091,4.24,10.640411)); #214554=CARTESIAN_POINT('',(24.435091,4.25,10.640411)); #214555=CARTESIAN_POINT('',(24.435091,4.24,10.640411)); #214556=CARTESIAN_POINT('Origin',(24.1420213130091,4.25,10.6583767188293)); #214557=CARTESIAN_POINT('',(24.235264,4.25,10.936798)); #214558=CARTESIAN_POINT('Origin',(24.1420213130091,4.25,10.6583767188293)); #214559=CARTESIAN_POINT('',(24.235264,4.24,10.936798)); #214560=CARTESIAN_POINT('',(24.235264,4.25,10.936798)); #214561=CARTESIAN_POINT('Origin',(24.1420213130091,4.24,10.6583767188293)); #214562=CARTESIAN_POINT('Origin',(24.2170807581403,4.25,10.6732882195337)); #214563=CARTESIAN_POINT('',(24.02689,4.25,10.856579)); #214564=CARTESIAN_POINT('Origin',(24.2170807581403,4.25,10.6732882195337)); #214565=CARTESIAN_POINT('',(24.02689,4.24,10.856579)); #214566=CARTESIAN_POINT('',(24.02689,4.25,10.856579)); #214567=CARTESIAN_POINT('Origin',(24.2170807581403,4.24,10.6732882195337)); #214568=CARTESIAN_POINT('Origin',(24.2183360039173,4.25,10.6747555029276)); #214569=CARTESIAN_POINT('',(23.956005,4.25,10.644859)); #214570=CARTESIAN_POINT('Origin',(24.2183360039173,4.25,10.6747555029276)); #214571=CARTESIAN_POINT('',(23.956005,4.24,10.644859)); #214572=CARTESIAN_POINT('',(23.956005,4.25,10.644859)); #214573=CARTESIAN_POINT('Origin',(24.2183360039173,4.24,10.6747555029276)); #214574=CARTESIAN_POINT('Origin',(24.252186098013,4.25,10.6025749809372)); #214575=CARTESIAN_POINT('',(24.149963,4.25,10.321396)); #214576=CARTESIAN_POINT('Origin',(24.252186098013,4.25,10.6025749809372)); #214577=CARTESIAN_POINT('',(24.149963,4.24,10.321396)); #214578=CARTESIAN_POINT('',(24.149963,4.25,10.321396)); #214579=CARTESIAN_POINT('Origin',(24.252186098013,4.24,10.6025749809372)); #214580=CARTESIAN_POINT('Origin',(24.171267,4.25,10.317783)); #214581=CARTESIAN_POINT('',(24.171267,4.25,10.317783)); #214582=CARTESIAN_POINT('',(23.7526754595497,4.25,10.3887730129387)); #214583=CARTESIAN_POINT('',(24.171267,4.24,10.317783)); #214584=CARTESIAN_POINT('',(24.171267,4.25,10.317783)); #214585=CARTESIAN_POINT('',(24.171267,4.24,10.317783)); #214586=CARTESIAN_POINT('Origin',(24.1656796355463,4.25,10.1371890691432)); #214587=CARTESIAN_POINT('',(24.196989,4.25,10.315136)); #214588=CARTESIAN_POINT('Origin',(24.1656796355463,4.25,10.1371890691432)); #214589=CARTESIAN_POINT('',(24.196989,4.24,10.315136)); #214590=CARTESIAN_POINT('',(24.196989,4.25,10.315136)); #214591=CARTESIAN_POINT('Origin',(24.1656796355463,4.24,10.1371890691432)); #214592=CARTESIAN_POINT('Origin',(24.1784040377186,4.25,10.2296491920624)); #214593=CARTESIAN_POINT('',(24.207499,4.25,10.312153)); #214594=CARTESIAN_POINT('Origin',(24.1784040377186,4.25,10.2296491920624)); #214595=CARTESIAN_POINT('',(24.207499,4.24,10.312153)); #214596=CARTESIAN_POINT('',(24.207499,4.25,10.312153)); #214597=CARTESIAN_POINT('Origin',(24.1784040377186,4.24,10.2296491920624)); #214598=CARTESIAN_POINT('Origin',(24.212,4.25,10.310139)); #214599=CARTESIAN_POINT('',(24.212,4.25,10.310139)); #214600=CARTESIAN_POINT('',(22.1352637507526,4.25,11.2393873461411)); #214601=CARTESIAN_POINT('',(24.212,4.24,10.310139)); #214602=CARTESIAN_POINT('',(24.212,4.25,10.310139)); #214603=CARTESIAN_POINT('',(24.212,4.24,10.310139)); #214604=CARTESIAN_POINT('Origin',(24.216,4.25,10.30777)); #214605=CARTESIAN_POINT('',(24.216,4.25,10.30777)); #214606=CARTESIAN_POINT('',(21.5813821225908,4.25,11.8681224378974)); #214607=CARTESIAN_POINT('',(24.216,4.24,10.30777)); #214608=CARTESIAN_POINT('',(24.216,4.25,10.30777)); #214609=CARTESIAN_POINT('',(24.216,4.24,10.30777)); #214610=CARTESIAN_POINT('Origin',(24.219498,4.25,10.305038)); #214611=CARTESIAN_POINT('',(24.219498,4.25,10.305038)); #214612=CARTESIAN_POINT('',(21.1460218108276,4.25,12.7054773793059)); #214613=CARTESIAN_POINT('',(24.219498,4.24,10.305038)); #214614=CARTESIAN_POINT('',(24.219498,4.25,10.305038)); #214615=CARTESIAN_POINT('',(24.219498,4.24,10.305038)); #214616=CARTESIAN_POINT('Origin',(24.1621558100463,4.25,10.2469414349947)); #214617=CARTESIAN_POINT('',(24.225157,4.25,10.298847)); #214618=CARTESIAN_POINT('Origin',(24.1621558100463,4.25,10.2469414349947)); #214619=CARTESIAN_POINT('',(24.225157,4.24,10.298847)); #214620=CARTESIAN_POINT('',(24.225157,4.25,10.298847)); #214621=CARTESIAN_POINT('Origin',(24.1621558100463,4.24,10.2469414349947)); #214622=CARTESIAN_POINT('Origin',(24.227419,4.25,10.295433)); #214623=CARTESIAN_POINT('',(24.227419,4.25,10.295433)); #214624=CARTESIAN_POINT('',(21.0820290840088,4.25,15.0427191066271)); #214625=CARTESIAN_POINT('',(24.227419,4.24,10.295433)); #214626=CARTESIAN_POINT('',(24.227419,4.25,10.295433)); #214627=CARTESIAN_POINT('',(24.227419,4.24,10.295433)); #214628=CARTESIAN_POINT('Origin',(24.229303,4.25,10.291801)); #214629=CARTESIAN_POINT('',(24.229303,4.25,10.291801)); #214630=CARTESIAN_POINT('',(21.3898914246172,4.25,15.7656560115538)); #214631=CARTESIAN_POINT('',(24.229303,4.24,10.291801)); #214632=CARTESIAN_POINT('',(24.229303,4.25,10.291801)); #214633=CARTESIAN_POINT('',(24.229303,4.24,10.291801)); #214634=CARTESIAN_POINT('Origin',(24.230806,4.25,10.287956)); #214635=CARTESIAN_POINT('',(24.230806,4.25,10.287956)); #214636=CARTESIAN_POINT('',(21.8404864762351,4.25,16.4029118009838)); #214637=CARTESIAN_POINT('',(24.230806,4.24,10.287956)); #214638=CARTESIAN_POINT('',(24.230806,4.25,10.287956)); #214639=CARTESIAN_POINT('',(24.230806,4.24,10.287956)); #214640=CARTESIAN_POINT('Origin',(24.23192,4.25,10.283897)); #214641=CARTESIAN_POINT('',(24.23192,4.25,10.283897)); #214642=CARTESIAN_POINT('',(22.4088655477519,4.25,16.9264266424491)); #214643=CARTESIAN_POINT('',(24.23192,4.24,10.283897)); #214644=CARTESIAN_POINT('',(24.23192,4.25,10.283897)); #214645=CARTESIAN_POINT('',(24.23192,4.24,10.283897)); #214646=CARTESIAN_POINT('Origin',(24.232649,4.25,10.279633)); #214647=CARTESIAN_POINT('',(24.232649,4.25,10.279633)); #214648=CARTESIAN_POINT('',(23.0322981838763,4.25,17.3006150027093)); #214649=CARTESIAN_POINT('',(24.232649,4.24,10.279633)); #214650=CARTESIAN_POINT('',(24.232649,4.25,10.279633)); #214651=CARTESIAN_POINT('',(24.232649,4.24,10.279633)); #214652=CARTESIAN_POINT('Origin',(24.232981,4.25,10.275166)); #214653=CARTESIAN_POINT('',(24.232981,4.25,10.275166)); #214654=CARTESIAN_POINT('',(23.6930957417148,4.25,17.539224580534)); #214655=CARTESIAN_POINT('',(24.232981,4.24,10.275166)); #214656=CARTESIAN_POINT('',(24.232981,4.25,10.275166)); #214657=CARTESIAN_POINT('',(24.232981,4.24,10.275166)); #214658=CARTESIAN_POINT('Origin',(24.1486289678515,4.25,10.2755638323567)); #214659=CARTESIAN_POINT('',(24.232407,4.25,10.265732)); #214660=CARTESIAN_POINT('Origin',(24.1486289678515,4.25,10.2755638323567)); #214661=CARTESIAN_POINT('',(24.232407,4.24,10.265732)); #214662=CARTESIAN_POINT('',(24.232407,4.25,10.265732)); #214663=CARTESIAN_POINT('Origin',(24.1486289678515,4.24,10.2755638323567)); #214664=CARTESIAN_POINT('Origin',(24.231478,4.25,10.261314)); #214665=CARTESIAN_POINT('',(24.231478,4.25,10.261314)); #214666=CARTESIAN_POINT('',(25.7486678016808,4.25,17.4765395584509)); #214667=CARTESIAN_POINT('',(24.231478,4.24,10.261314)); #214668=CARTESIAN_POINT('',(24.231478,4.25,10.261314)); #214669=CARTESIAN_POINT('',(24.231478,4.24,10.261314)); #214670=CARTESIAN_POINT('Origin',(24.230124,4.25,10.257149)); #214671=CARTESIAN_POINT('',(24.230124,4.25,10.257149)); #214672=CARTESIAN_POINT('',(26.472476292009,4.25,17.1547836353234)); #214673=CARTESIAN_POINT('',(24.230124,4.24,10.257149)); #214674=CARTESIAN_POINT('',(24.230124,4.25,10.257149)); #214675=CARTESIAN_POINT('',(24.230124,4.24,10.257149)); #214676=CARTESIAN_POINT('Origin',(24.228352,4.25,10.253239)); #214677=CARTESIAN_POINT('',(24.228352,4.25,10.253239)); #214678=CARTESIAN_POINT('',(27.1344647054125,4.25,16.6657111659836)); #214679=CARTESIAN_POINT('',(24.228352,4.24,10.253239)); #214680=CARTESIAN_POINT('',(24.228352,4.25,10.253239)); #214681=CARTESIAN_POINT('',(24.228352,4.24,10.253239)); #214682=CARTESIAN_POINT('Origin',(24.226168,4.25,10.249584)); #214683=CARTESIAN_POINT('',(24.226168,4.25,10.249584)); #214684=CARTESIAN_POINT('',(27.6806681077671,4.25,16.0308101419007)); #214685=CARTESIAN_POINT('',(24.226168,4.24,10.249584)); #214686=CARTESIAN_POINT('',(24.226168,4.25,10.249584)); #214687=CARTESIAN_POINT('',(24.226168,4.24,10.249584)); #214688=CARTESIAN_POINT('Origin',(24.223574,4.25,10.246193)); #214689=CARTESIAN_POINT('',(24.223574,4.25,10.246193)); #214690=CARTESIAN_POINT('',(28.0744606979638,4.25,15.2802549864302)); #214691=CARTESIAN_POINT('',(24.223574,4.24,10.246193)); #214692=CARTESIAN_POINT('',(24.223574,4.25,10.246193)); #214693=CARTESIAN_POINT('',(24.223574,4.24,10.246193)); #214694=CARTESIAN_POINT('Origin',(24.220573,4.25,10.243076)); #214695=CARTESIAN_POINT('',(24.220573,4.25,10.243076)); #214696=CARTESIAN_POINT('',(28.2870412194159,4.25,14.4667285957793)); #214697=CARTESIAN_POINT('',(24.220573,4.24,10.243076)); #214698=CARTESIAN_POINT('',(24.220573,4.25,10.243076)); #214699=CARTESIAN_POINT('',(24.220573,4.24,10.243076)); #214700=CARTESIAN_POINT('Origin',(24.217134,4.25,10.240128)); #214701=CARTESIAN_POINT('',(24.217134,4.25,10.240128)); #214702=CARTESIAN_POINT('',(28.3199450397956,4.25,13.7571640410856)); #214703=CARTESIAN_POINT('',(24.217134,4.24,10.240128)); #214704=CARTESIAN_POINT('',(24.217134,4.25,10.240128)); #214705=CARTESIAN_POINT('',(24.217134,4.24,10.240128)); #214706=CARTESIAN_POINT('Origin',(24.213133,4.25,10.237534)); #214707=CARTESIAN_POINT('',(24.213133,4.25,10.237534)); #214708=CARTESIAN_POINT('',(28.1410366388329,4.25,12.7841428575702)); #214709=CARTESIAN_POINT('',(24.213133,4.24,10.237534)); #214710=CARTESIAN_POINT('',(24.213133,4.25,10.237534)); #214711=CARTESIAN_POINT('',(24.213133,4.24,10.237534)); #214712=CARTESIAN_POINT('Origin',(24.208563,4.25,10.235344)); #214713=CARTESIAN_POINT('',(24.208563,4.25,10.235344)); #214714=CARTESIAN_POINT('',(27.7326880193953,4.25,11.9241478933224)); #214715=CARTESIAN_POINT('',(24.208563,4.24,10.235344)); #214716=CARTESIAN_POINT('',(24.208563,4.25,10.235344)); #214717=CARTESIAN_POINT('',(24.208563,4.24,10.235344)); #214718=CARTESIAN_POINT('Origin',(24.0630778918709,4.25,10.9247246748465)); #214719=CARTESIAN_POINT('',(23.941196,4.25,10.230782)); #214720=CARTESIAN_POINT('Origin',(24.0630778918709,4.25,10.9247246748465)); #214721=CARTESIAN_POINT('',(23.941196,4.24,10.230782)); #214722=CARTESIAN_POINT('',(23.941196,4.25,10.230782)); #214723=CARTESIAN_POINT('Origin',(24.0630778918709,4.24,10.9247246748465)); #214724=CARTESIAN_POINT('Origin',(23.9564261895623,4.25,10.3621531462347)); #214725=CARTESIAN_POINT('',(23.928682,4.25,10.232845)); #214726=CARTESIAN_POINT('Origin',(23.9564261895623,4.25,10.3621531462347)); #214727=CARTESIAN_POINT('',(23.928682,4.24,10.232845)); #214728=CARTESIAN_POINT('',(23.928682,4.25,10.232845)); #214729=CARTESIAN_POINT('Origin',(23.9564261895623,4.24,10.3621531462347)); #214730=CARTESIAN_POINT('Origin',(23.923279,4.25,10.234455)); #214731=CARTESIAN_POINT('',(23.923279,4.25,10.234455)); #214732=CARTESIAN_POINT('',(22.7645862732794,4.25,10.5797252739254)); #214733=CARTESIAN_POINT('',(23.923279,4.24,10.234455)); #214734=CARTESIAN_POINT('',(23.923279,4.25,10.234455)); #214735=CARTESIAN_POINT('',(23.923279,4.24,10.234455)); #214736=CARTESIAN_POINT('Origin',(23.918442,4.25,10.236458)); #214737=CARTESIAN_POINT('',(23.918442,4.25,10.236458)); #214738=CARTESIAN_POINT('',(22.1121692066507,4.25,10.9844349288986)); #214739=CARTESIAN_POINT('',(23.918442,4.24,10.236458)); #214740=CARTESIAN_POINT('',(23.918442,4.25,10.236458)); #214741=CARTESIAN_POINT('',(23.918442,4.24,10.236458)); #214742=CARTESIAN_POINT('Origin',(23.9531219680709,4.25,10.2980399992695)); #214743=CARTESIAN_POINT('',(23.910477,4.25,10.24168)); #214744=CARTESIAN_POINT('Origin',(23.9531219680709,4.25,10.2980399992695)); #214745=CARTESIAN_POINT('',(23.910477,4.24,10.24168)); #214746=CARTESIAN_POINT('',(23.910477,4.25,10.24168)); #214747=CARTESIAN_POINT('Origin',(23.9531219680709,4.24,10.2980399992695)); #214748=CARTESIAN_POINT('Origin',(23.907288,4.25,10.244701)); #214749=CARTESIAN_POINT('',(23.907288,4.25,10.244701)); #214750=CARTESIAN_POINT('',(20.7226199769389,4.25,13.2615972363375)); #214751=CARTESIAN_POINT('',(23.907288,4.24,10.244701)); #214752=CARTESIAN_POINT('',(23.907288,4.25,10.244701)); #214753=CARTESIAN_POINT('',(23.907288,4.24,10.244701)); #214754=CARTESIAN_POINT('Origin',(23.904503,4.25,10.247967)); #214755=CARTESIAN_POINT('',(23.904503,4.25,10.247967)); #214756=CARTESIAN_POINT('',(20.6618196345091,4.25,14.050697295038)); #214757=CARTESIAN_POINT('',(23.904503,4.24,10.247967)); #214758=CARTESIAN_POINT('',(23.904503,4.25,10.247967)); #214759=CARTESIAN_POINT('',(23.904503,4.24,10.247967)); #214760=CARTESIAN_POINT('Origin',(23.902126,4.25,10.251495)); #214761=CARTESIAN_POINT('',(23.902126,4.25,10.251495)); #214762=CARTESIAN_POINT('',(20.7811916196319,4.25,14.8836601215666)); #214763=CARTESIAN_POINT('',(23.902126,4.24,10.251495)); #214764=CARTESIAN_POINT('',(23.902126,4.25,10.251495)); #214765=CARTESIAN_POINT('',(23.902126,4.24,10.251495)); #214766=CARTESIAN_POINT('Origin',(23.90016,4.25,10.255287)); #214767=CARTESIAN_POINT('',(23.90016,4.25,10.255287)); #214768=CARTESIAN_POINT('',(21.088897184865,4.25,15.6776209750602)); #214769=CARTESIAN_POINT('',(23.90016,4.24,10.255287)); #214770=CARTESIAN_POINT('',(23.90016,4.25,10.255287)); #214771=CARTESIAN_POINT('',(23.90016,4.24,10.255287)); #214772=CARTESIAN_POINT('Origin',(23.9752482586029,4.25,10.2839623171824)); #214773=CARTESIAN_POINT('',(23.897484,4.25,10.263634)); #214774=CARTESIAN_POINT('Origin',(23.9752482586029,4.25,10.2839623171824)); #214775=CARTESIAN_POINT('',(23.897484,4.24,10.263634)); #214776=CARTESIAN_POINT('',(23.897484,4.25,10.263634)); #214777=CARTESIAN_POINT('Origin',(23.9752482586029,4.24,10.2839623171824)); #214778=CARTESIAN_POINT('Origin',(23.9827746105044,4.25,10.2772795934179)); #214779=CARTESIAN_POINT('',(23.896507,4.25,10.272968)); #214780=CARTESIAN_POINT('Origin',(23.9827746105044,4.25,10.2772795934179)); #214781=CARTESIAN_POINT('',(23.896507,4.24,10.272968)); #214782=CARTESIAN_POINT('',(23.896507,4.25,10.272968)); #214783=CARTESIAN_POINT('Origin',(23.9827746105044,4.24,10.2772795934179)); #214784=CARTESIAN_POINT('Origin',(23.9733977057554,4.25,10.2700286418396)); #214785=CARTESIAN_POINT('',(23.898283,4.25,10.28672)); #214786=CARTESIAN_POINT('Origin',(23.9733977057554,4.25,10.2700286418396)); #214787=CARTESIAN_POINT('',(23.898283,4.24,10.28672)); #214788=CARTESIAN_POINT('',(23.898283,4.25,10.28672)); #214789=CARTESIAN_POINT('Origin',(23.9733977057554,4.24,10.2700286418396)); #214790=CARTESIAN_POINT('Origin',(23.899725,4.25,10.290825)); #214791=CARTESIAN_POINT('',(23.899725,4.25,10.290825)); #214792=CARTESIAN_POINT('',(26.3038224808286,4.25,17.1346669963802)); #214793=CARTESIAN_POINT('',(23.899725,4.24,10.290825)); #214794=CARTESIAN_POINT('',(23.899725,4.25,10.290825)); #214795=CARTESIAN_POINT('',(23.899725,4.24,10.290825)); #214796=CARTESIAN_POINT('Origin',(23.901585,4.25,10.294685)); #214797=CARTESIAN_POINT('',(23.901585,4.25,10.294685)); #214798=CARTESIAN_POINT('',(26.9558040320894,4.25,16.6330105182176)); #214799=CARTESIAN_POINT('',(23.901585,4.24,10.294685)); #214800=CARTESIAN_POINT('',(23.901585,4.25,10.294685)); #214801=CARTESIAN_POINT('',(23.901585,4.24,10.294685)); #214802=CARTESIAN_POINT('Origin',(23.903858,4.25,10.298298)); #214803=CARTESIAN_POINT('',(23.903858,4.25,10.298298)); #214804=CARTESIAN_POINT('',(27.4859064480879,4.25,15.9920688063904)); #214805=CARTESIAN_POINT('',(23.903858,4.24,10.298298)); #214806=CARTESIAN_POINT('',(23.903858,4.25,10.298298)); #214807=CARTESIAN_POINT('',(23.903858,4.24,10.298298)); #214808=CARTESIAN_POINT('Origin',(23.906538,4.25,10.301651)); #214809=CARTESIAN_POINT('',(23.906538,4.25,10.301651)); #214810=CARTESIAN_POINT('',(27.8598482403577,4.25,15.2477141477304)); #214811=CARTESIAN_POINT('',(23.906538,4.24,10.301651)); #214812=CARTESIAN_POINT('',(23.906538,4.25,10.301651)); #214813=CARTESIAN_POINT('',(23.906538,4.24,10.301651)); #214814=CARTESIAN_POINT('Origin',(23.909622,4.25,10.304749)); #214815=CARTESIAN_POINT('',(23.909622,4.25,10.304749)); #214816=CARTESIAN_POINT('',(28.0538510660728,4.25,14.4677910384859)); #214817=CARTESIAN_POINT('',(23.909622,4.24,10.304749)); #214818=CARTESIAN_POINT('',(23.909622,4.25,10.304749)); #214819=CARTESIAN_POINT('',(23.909622,4.24,10.304749)); #214820=CARTESIAN_POINT('Origin',(23.913271,4.25,10.307629)); #214821=CARTESIAN_POINT('',(23.913271,4.25,10.307629)); #214822=CARTESIAN_POINT('',(28.0671206196917,4.25,13.5860852632872)); #214823=CARTESIAN_POINT('',(23.913271,4.24,10.307629)); #214824=CARTESIAN_POINT('',(23.913271,4.25,10.307629)); #214825=CARTESIAN_POINT('',(23.913271,4.24,10.307629)); #214826=CARTESIAN_POINT('Origin',(23.917482,4.25,10.31012)); #214827=CARTESIAN_POINT('',(23.917482,4.25,10.31012)); #214828=CARTESIAN_POINT('',(27.8333456254741,4.25,12.6265332726323)); #214829=CARTESIAN_POINT('',(23.917482,4.24,10.31012)); #214830=CARTESIAN_POINT('',(23.917482,4.25,10.31012)); #214831=CARTESIAN_POINT('',(23.917482,4.24,10.31012)); #214832=CARTESIAN_POINT('Origin',(23.922243,4.25,10.312225)); #214833=CARTESIAN_POINT('',(23.922243,4.25,10.312225)); #214834=CARTESIAN_POINT('',(27.4236417827598,4.25,11.8603124685365)); #214835=CARTESIAN_POINT('',(23.922243,4.24,10.312225)); #214836=CARTESIAN_POINT('',(23.922243,4.25,10.312225)); #214837=CARTESIAN_POINT('',(23.922243,4.24,10.312225)); #214838=CARTESIAN_POINT('Origin',(23.927557,4.25,10.313938)); #214839=CARTESIAN_POINT('',(23.927557,4.25,10.313938)); #214840=CARTESIAN_POINT('',(26.9199039076617,4.25,11.278539101398)); #214841=CARTESIAN_POINT('',(23.927557,4.24,10.313938)); #214842=CARTESIAN_POINT('',(23.927557,4.25,10.313938)); #214843=CARTESIAN_POINT('',(23.927557,4.24,10.313938)); #214844=CARTESIAN_POINT('Origin',(23.933426,4.25,10.315269)); #214845=CARTESIAN_POINT('',(23.933426,4.25,10.315269)); #214846=CARTESIAN_POINT('',(26.4047465592228,4.25,10.8757269424647)); #214847=CARTESIAN_POINT('',(23.933426,4.24,10.315269)); #214848=CARTESIAN_POINT('',(23.933426,4.25,10.315269)); #214849=CARTESIAN_POINT('',(23.933426,4.24,10.315269)); #214850=CARTESIAN_POINT('Origin',(23.9555571290692,4.25,10.1749022685226)); #214851=CARTESIAN_POINT('',(23.95437,4.25,10.316998)); #214852=CARTESIAN_POINT('Origin',(23.9555571290692,4.25,10.1749022685226)); #214853=CARTESIAN_POINT('',(23.95437,4.24,10.316998)); #214854=CARTESIAN_POINT('',(23.95437,4.25,10.316998)); #214855=CARTESIAN_POINT('Origin',(23.9555571290692,4.24,10.1749022685226)); #214856=CARTESIAN_POINT('Origin',(23.983604,4.25,10.316998)); #214857=CARTESIAN_POINT('',(23.983604,4.25,10.316998)); #214858=CARTESIAN_POINT('',(24.891802,4.25,10.316998)); #214859=CARTESIAN_POINT('',(23.983604,4.24,10.316998)); #214860=CARTESIAN_POINT('',(23.983604,4.25,10.316998)); #214861=CARTESIAN_POINT('',(23.983604,4.24,10.316998)); #214862=CARTESIAN_POINT('Origin',(24.3510189433806,4.25,10.6321128850882)); #214863=CARTESIAN_POINT('',(23.909054,4.25,10.829491)); #214864=CARTESIAN_POINT('Origin',(24.3510189433806,4.25,10.6321128850882)); #214865=CARTESIAN_POINT('',(23.909054,4.24,10.829491)); #214866=CARTESIAN_POINT('',(23.909054,4.25,10.829491)); #214867=CARTESIAN_POINT('Origin',(24.3510189433806,4.24,10.6321128850882)); #214868=CARTESIAN_POINT('Origin',(24.2056968793146,4.25,10.7033369078365)); #214869=CARTESIAN_POINT('',(24.271374,4.25,11.018929)); #214870=CARTESIAN_POINT('Origin',(24.2056968793146,4.25,10.7033369078365)); #214871=CARTESIAN_POINT('',(24.271374,4.24,11.018929)); #214872=CARTESIAN_POINT('',(24.271374,4.25,11.018929)); #214873=CARTESIAN_POINT('Origin',(24.2056968793146,4.24,10.7033369078365)); #214874=CARTESIAN_POINT('Origin',(24.1451344578941,4.25,10.6701336130397)); #214875=CARTESIAN_POINT('',(24.515924,4.25,10.659657)); #214876=CARTESIAN_POINT('Origin',(24.1451344578941,4.25,10.6701336130397)); #214877=CARTESIAN_POINT('',(24.515924,4.24,10.659657)); #214878=CARTESIAN_POINT('',(24.515924,4.25,10.659657)); #214879=CARTESIAN_POINT('Origin',(24.1451344578941,4.24,10.6701336130397)); #214880=CARTESIAN_POINT('Origin',(24.531387,4.25,10.573547)); #214881=CARTESIAN_POINT('',(24.531387,4.25,10.573547)); #214882=CARTESIAN_POINT('',(23.2963677766165,4.25,17.451093745492)); #214883=CARTESIAN_POINT('',(24.531387,4.24,10.573547)); #214884=CARTESIAN_POINT('',(24.531387,4.25,10.573547)); #214885=CARTESIAN_POINT('',(24.531387,4.24,10.573547)); #214886=CARTESIAN_POINT('Origin',(24.8240156200194,4.25,10.5534869422147)); #214887=CARTESIAN_POINT('',(24.734104,4.25,10.274292)); #214888=CARTESIAN_POINT('Origin',(24.8240156200194,4.25,10.5534869422147)); #214889=CARTESIAN_POINT('',(24.734104,4.24,10.274292)); #214890=CARTESIAN_POINT('',(24.734104,4.25,10.274292)); #214891=CARTESIAN_POINT('Origin',(24.8240156200194,4.24,10.5534869422147)); #214892=CARTESIAN_POINT('Origin',(24.7602142578386,4.25,10.5676699811068)); #214893=CARTESIAN_POINT('',(24.970898,4.25,10.361843)); #214894=CARTESIAN_POINT('Origin',(24.7602142578386,4.25,10.5676699811068)); #214895=CARTESIAN_POINT('',(24.970898,4.24,10.361843)); #214896=CARTESIAN_POINT('',(24.970898,4.25,10.361843)); #214897=CARTESIAN_POINT('Origin',(24.7602142578386,4.24,10.5676699811068)); #214898=CARTESIAN_POINT('Origin',(24.7590846818772,4.25,10.5668066890855)); #214899=CARTESIAN_POINT('',(25.05184,4.25,10.601002)); #214900=CARTESIAN_POINT('Origin',(24.7590846818772,4.25,10.5668066890855)); #214901=CARTESIAN_POINT('',(25.05184,4.24,10.601002)); #214902=CARTESIAN_POINT('',(25.05184,4.25,10.601002)); #214903=CARTESIAN_POINT('Origin',(24.7590846818772,4.24,10.5668066890855)); #214904=CARTESIAN_POINT('Origin',(24.708577254744,4.25,10.6554143318318)); #214905=CARTESIAN_POINT('',(24.835966,4.25,10.978775)); #214906=CARTESIAN_POINT('Origin',(24.708577254744,4.25,10.6554143318318)); #214907=CARTESIAN_POINT('',(24.835966,4.24,10.978775)); #214908=CARTESIAN_POINT('',(24.835966,4.25,10.978775)); #214909=CARTESIAN_POINT('Origin',(24.708577254744,4.24,10.6554143318318)); #214910=CARTESIAN_POINT('Origin',(24.824389,4.25,10.981159)); #214911=CARTESIAN_POINT('',(24.824389,4.25,10.981159)); #214912=CARTESIAN_POINT('',(23.9076503866478,4.25,11.1699388958477)); #214913=CARTESIAN_POINT('',(24.824389,4.24,10.981159)); #214914=CARTESIAN_POINT('',(24.824389,4.25,10.981159)); #214915=CARTESIAN_POINT('',(24.824389,4.24,10.981159)); #214916=CARTESIAN_POINT('Origin',(24.8407648253011,4.25,11.2847127425091)); #214917=CARTESIAN_POINT('',(24.780214,4.25,10.986809)); #214918=CARTESIAN_POINT('Origin',(24.8407648253011,4.25,11.2847127425091)); #214919=CARTESIAN_POINT('',(24.780214,4.24,10.986809)); #214920=CARTESIAN_POINT('',(24.780214,4.25,10.986809)); #214921=CARTESIAN_POINT('Origin',(24.8407648253011,4.24,11.2847127425091)); #214922=CARTESIAN_POINT('Origin',(24.77519,4.25,10.988472)); #214923=CARTESIAN_POINT('',(24.77519,4.25,10.988472)); #214924=CARTESIAN_POINT('',(23.1470064578708,4.25,11.5274189009874)); #214925=CARTESIAN_POINT('',(24.77519,4.24,10.988472)); #214926=CARTESIAN_POINT('',(24.77519,4.25,10.988472)); #214927=CARTESIAN_POINT('',(24.77519,4.24,10.988472)); #214928=CARTESIAN_POINT('Origin',(24.770662,4.25,10.99049)); #214929=CARTESIAN_POINT('',(24.770662,4.25,10.99049)); #214930=CARTESIAN_POINT('',(22.5955411625639,4.25,11.9598791011368)); #214931=CARTESIAN_POINT('',(24.770662,4.24,10.99049)); #214932=CARTESIAN_POINT('',(24.770662,4.25,10.99049)); #214933=CARTESIAN_POINT('',(24.770662,4.24,10.99049)); #214934=CARTESIAN_POINT('Origin',(24.76663,4.25,10.992863)); #214935=CARTESIAN_POINT('',(24.76663,4.25,10.992863)); #214936=CARTESIAN_POINT('',(22.0889287460746,4.25,12.5688017588222)); #214937=CARTESIAN_POINT('',(24.76663,4.24,10.992863)); #214938=CARTESIAN_POINT('',(24.76663,4.25,10.992863)); #214939=CARTESIAN_POINT('',(24.76663,4.24,10.992863)); #214940=CARTESIAN_POINT('Origin',(24.763094,4.25,10.995594)); #214941=CARTESIAN_POINT('',(24.763094,4.25,10.995594)); #214942=CARTESIAN_POINT('',(21.7003916078821,4.25,13.3610465545434)); #214943=CARTESIAN_POINT('',(24.763094,4.24,10.995594)); #214944=CARTESIAN_POINT('',(24.763094,4.25,10.995594)); #214945=CARTESIAN_POINT('',(24.763094,4.24,10.995594)); #214946=CARTESIAN_POINT('Origin',(24.76005,4.25,10.998569)); #214947=CARTESIAN_POINT('',(24.76005,4.25,10.998569)); #214948=CARTESIAN_POINT('',(21.5265596910582,4.25,14.1587640292733)); #214949=CARTESIAN_POINT('',(24.76005,4.24,10.998569)); #214950=CARTESIAN_POINT('',(24.76005,4.25,10.998569)); #214951=CARTESIAN_POINT('',(24.76005,4.24,10.998569)); #214952=CARTESIAN_POINT('Origin',(24.757404,4.25,11.00174)); #214953=CARTESIAN_POINT('',(24.757404,4.25,11.00174)); #214954=CARTESIAN_POINT('',(21.5283707158262,4.25,14.8714544913541)); #214955=CARTESIAN_POINT('',(24.757404,4.24,11.00174)); #214956=CARTESIAN_POINT('',(24.757404,4.25,11.00174)); #214957=CARTESIAN_POINT('',(24.757404,4.24,11.00174)); #214958=CARTESIAN_POINT('Origin',(24.755133,4.25,11.005142)); #214959=CARTESIAN_POINT('',(24.755133,4.25,11.005142)); #214960=CARTESIAN_POINT('',(21.6849535431595,4.25,15.6043276064083)); #214961=CARTESIAN_POINT('',(24.755133,4.24,11.005142)); #214962=CARTESIAN_POINT('',(24.755133,4.25,11.005142)); #214963=CARTESIAN_POINT('',(24.755133,4.24,11.005142)); #214964=CARTESIAN_POINT('Origin',(24.8285112989547,4.25,11.0430189763985)); #214965=CARTESIAN_POINT('Origin',(24.8285112989547,4.25,11.0430189763985)); #214966=CARTESIAN_POINT('Origin',(24.8285112989547,4.24,11.0430189763985)); #214967=CARTESIAN_POINT('Origin',(24.5040680133941,4.24,10.633606957341)); #214968=CARTESIAN_POINT('Origin',(25.029406,4.25,5.897701)); #214969=CARTESIAN_POINT('',(25.029406,4.25,5.897701)); #214970=CARTESIAN_POINT('',(25.036942,4.25,5.897907)); #214971=CARTESIAN_POINT('',(25.6753053978635,4.25,5.91535695487794)); #214972=CARTESIAN_POINT('',(25.029406,4.24,5.897701)); #214973=CARTESIAN_POINT('',(25.029406,4.25,5.897701)); #214974=CARTESIAN_POINT('',(25.036942,4.24,5.897907)); #214975=CARTESIAN_POINT('',(25.029406,4.24,5.897701)); #214976=CARTESIAN_POINT('',(25.036942,4.25,5.897907)); #214977=CARTESIAN_POINT('Origin',(24.979204,4.25,5.897697)); #214978=CARTESIAN_POINT('',(24.979204,4.25,5.897697)); #214979=CARTESIAN_POINT('',(25.3903630149986,4.25,5.89772976036931)); #214980=CARTESIAN_POINT('',(24.979204,4.24,5.897697)); #214981=CARTESIAN_POINT('',(24.979204,4.25,5.897697)); #214982=CARTESIAN_POINT('',(24.979204,4.24,5.897697)); #214983=CARTESIAN_POINT('Origin',(24.579472286124,4.25,5.52956108588895)); #214984=CARTESIAN_POINT('',(25.078844,4.25,5.315231)); #214985=CARTESIAN_POINT('Origin',(24.579472286124,4.25,5.52956108588895)); #214986=CARTESIAN_POINT('',(25.078844,4.24,5.315231)); #214987=CARTESIAN_POINT('',(25.078844,4.25,5.315231)); #214988=CARTESIAN_POINT('Origin',(24.579472286124,4.24,5.52956108588895)); #214989=CARTESIAN_POINT('Origin',(24.7588717229901,4.25,5.44147366556919)); #214990=CARTESIAN_POINT('',(24.689503,4.25,5.104565)); #214991=CARTESIAN_POINT('Origin',(24.7588717229901,4.25,5.44147366556919)); #214992=CARTESIAN_POINT('',(24.689503,4.24,5.104565)); #214993=CARTESIAN_POINT('',(24.689503,4.25,5.104565)); #214994=CARTESIAN_POINT('Origin',(24.7588717229901,4.24,5.44147366556919)); #214995=CARTESIAN_POINT('Origin',(24.8056666510526,4.25,5.46499215106859)); #214996=CARTESIAN_POINT('',(24.427814,4.25,5.490074)); #214997=CARTESIAN_POINT('Origin',(24.8056666510526,4.25,5.46499215106859)); #214998=CARTESIAN_POINT('',(24.427814,4.24,5.490074)); #214999=CARTESIAN_POINT('',(24.427814,4.25,5.490074)); #215000=CARTESIAN_POINT('Origin',(24.8056666510526,4.24,5.46499215106859)); #215001=CARTESIAN_POINT('Origin',(24.41679,4.25,5.554409)); #215002=CARTESIAN_POINT('',(24.41679,4.25,5.554409)); #215003=CARTESIAN_POINT('',(22.8180091311966,4.25,14.8847398412964)); #215004=CARTESIAN_POINT('',(24.41679,4.24,5.554409)); #215005=CARTESIAN_POINT('',(24.41679,4.25,5.554409)); #215006=CARTESIAN_POINT('',(24.41679,4.24,5.554409)); #215007=CARTESIAN_POINT('Origin',(24.1053857236799,4.25,5.56481302003732)); #215008=CARTESIAN_POINT('',(24.24078,4.25,5.845436)); #215009=CARTESIAN_POINT('Origin',(24.1053857236799,4.25,5.56481302003732)); #215010=CARTESIAN_POINT('',(24.24078,4.24,5.845436)); #215011=CARTESIAN_POINT('',(24.24078,4.25,5.845436)); #215012=CARTESIAN_POINT('Origin',(24.1053857236799,4.24,5.56481302003732)); #215013=CARTESIAN_POINT('Origin',(24.18781564132,4.25,5.60578452440275)); #215014=CARTESIAN_POINT('',(24.04217,4.25,5.803333)); #215015=CARTESIAN_POINT('Origin',(24.18781564132,4.25,5.60578452440275)); #215016=CARTESIAN_POINT('',(24.04217,4.24,5.803333)); #215017=CARTESIAN_POINT('',(24.04217,4.25,5.803333)); #215018=CARTESIAN_POINT('Origin',(24.18781564132,4.24,5.60578452440275)); #215019=CARTESIAN_POINT('Origin',(24.1890418778023,4.25,5.60720827825971)); #215020=CARTESIAN_POINT('',(23.944687,4.25,5.62529)); #215021=CARTESIAN_POINT('Origin',(24.1890418778023,4.25,5.60720827825971)); #215022=CARTESIAN_POINT('',(23.944687,4.24,5.62529)); #215023=CARTESIAN_POINT('',(23.944687,4.25,5.62529)); #215024=CARTESIAN_POINT('Origin',(24.1890418778023,4.24,5.60720827825971)); #215025=CARTESIAN_POINT('Origin',(24.2582018205279,4.25,5.53318299587154)); #215026=CARTESIAN_POINT('',(24.110933,4.25,5.241486)); #215027=CARTESIAN_POINT('Origin',(24.2582018205279,4.25,5.53318299587154)); #215028=CARTESIAN_POINT('',(24.110933,4.24,5.241486)); #215029=CARTESIAN_POINT('',(24.110933,4.25,5.241486)); #215030=CARTESIAN_POINT('Origin',(24.2582018205279,4.24,5.53318299587154)); #215031=CARTESIAN_POINT('Origin',(24.131664,4.25,5.235394)); #215032=CARTESIAN_POINT('',(24.131664,4.25,5.235394)); #215033=CARTESIAN_POINT('',(22.2263539412879,4.25,5.79528734222532)); #215034=CARTESIAN_POINT('',(24.131664,4.24,5.235394)); #215035=CARTESIAN_POINT('',(24.131664,4.25,5.235394)); #215036=CARTESIAN_POINT('',(24.131664,4.24,5.235394)); #215037=CARTESIAN_POINT('Origin',(24.088534536075,4.25,4.75066963180621)); #215038=CARTESIAN_POINT('',(24.184196,4.25,5.227814)); #215039=CARTESIAN_POINT('Origin',(24.088534536075,4.25,4.75066963180621)); #215040=CARTESIAN_POINT('',(24.184196,4.24,5.227814)); #215041=CARTESIAN_POINT('',(24.184196,4.25,5.227814)); #215042=CARTESIAN_POINT('Origin',(24.088534536075,4.24,4.75066963180621)); #215043=CARTESIAN_POINT('Origin',(24.189199,4.25,5.226151)); #215044=CARTESIAN_POINT('',(24.189199,4.25,5.226151)); #215045=CARTESIAN_POINT('',(21.9550335035949,4.25,5.9687888613876)); #215046=CARTESIAN_POINT('',(24.189199,4.24,5.226151)); #215047=CARTESIAN_POINT('',(24.189199,4.25,5.226151)); #215048=CARTESIAN_POINT('',(24.189199,4.24,5.226151)); #215049=CARTESIAN_POINT('Origin',(24.193701,4.25,5.22414)); #215050=CARTESIAN_POINT('',(24.193701,4.25,5.22414)); #215051=CARTESIAN_POINT('',(21.181115530363,4.25,6.56983288748389)); #215052=CARTESIAN_POINT('',(24.193701,4.24,5.22414)); #215053=CARTESIAN_POINT('',(24.193701,4.25,5.22414)); #215054=CARTESIAN_POINT('',(24.193701,4.24,5.22414)); #215055=CARTESIAN_POINT('Origin',(24.197701,4.25,5.221771)); #215056=CARTESIAN_POINT('',(24.197701,4.25,5.221771)); #215057=CARTESIAN_POINT('',(20.4548611700591,4.25,7.43846788927809)); #215058=CARTESIAN_POINT('',(24.197701,4.24,5.221771)); #215059=CARTESIAN_POINT('',(24.197701,4.25,5.221771)); #215060=CARTESIAN_POINT('',(24.197701,4.24,5.221771)); #215061=CARTESIAN_POINT('Origin',(24.201197,4.25,5.21904)); #215062=CARTESIAN_POINT('',(24.201197,4.25,5.21904)); #215063=CARTESIAN_POINT('',(19.8994597135104,4.25,8.57946463655884)); #215064=CARTESIAN_POINT('',(24.201197,4.24,5.21904)); #215065=CARTESIAN_POINT('',(24.201197,4.25,5.21904)); #215066=CARTESIAN_POINT('',(24.201197,4.24,5.21904)); #215067=CARTESIAN_POINT('Origin',(24.204218,4.25,5.216042)); #215068=CARTESIAN_POINT('',(24.204218,4.25,5.216042)); #215069=CARTESIAN_POINT('',(19.6603673214973,4.25,9.72529864817965)); #215070=CARTESIAN_POINT('',(24.204218,4.24,5.216042)); #215071=CARTESIAN_POINT('',(24.204218,4.25,5.216042)); #215072=CARTESIAN_POINT('',(24.204218,4.24,5.216042)); #215073=CARTESIAN_POINT('Origin',(24.206856,4.25,5.212849)); #215074=CARTESIAN_POINT('',(24.206856,4.25,5.212849)); #215075=CARTESIAN_POINT('',(19.6720417363964,4.25,10.7017276746424)); #215076=CARTESIAN_POINT('',(24.206856,4.24,5.212849)); #215077=CARTESIAN_POINT('',(24.206856,4.25,5.212849)); #215078=CARTESIAN_POINT('',(24.206856,4.24,5.212849)); #215079=CARTESIAN_POINT('Origin',(24.20912,4.25,5.209435)); #215080=CARTESIAN_POINT('',(24.20912,4.25,5.209435)); #215081=CARTESIAN_POINT('',(19.8943600415947,4.25,11.7158795662429)); #215082=CARTESIAN_POINT('',(24.20912,4.24,5.209435)); #215083=CARTESIAN_POINT('',(24.20912,4.25,5.209435)); #215084=CARTESIAN_POINT('',(24.20912,4.24,5.209435)); #215085=CARTESIAN_POINT('Origin',(24.211004,4.25,5.205803)); #215086=CARTESIAN_POINT('',(24.211004,4.25,5.205803)); #215087=CARTESIAN_POINT('',(20.334103774465,4.25,12.679742288298)); #215088=CARTESIAN_POINT('',(24.211004,4.24,5.205803)); #215089=CARTESIAN_POINT('',(24.211004,4.25,5.205803)); #215090=CARTESIAN_POINT('',(24.211004,4.24,5.205803)); #215091=CARTESIAN_POINT('Origin',(24.212505,4.25,5.201954)); #215092=CARTESIAN_POINT('',(24.212505,4.25,5.201954)); #215093=CARTESIAN_POINT('',(20.9665219074623,4.25,13.5255975197715)); #215094=CARTESIAN_POINT('',(24.212505,4.24,5.201954)); #215095=CARTESIAN_POINT('',(24.212505,4.25,5.201954)); #215096=CARTESIAN_POINT('',(24.212505,4.24,5.201954)); #215097=CARTESIAN_POINT('Origin',(24.213621,4.25,5.197899)); #215098=CARTESIAN_POINT('',(24.213621,4.25,5.197899)); #215099=CARTESIAN_POINT('',(21.7364081014061,4.25,14.1988831431994)); #215100=CARTESIAN_POINT('',(24.213621,4.24,5.197899)); #215101=CARTESIAN_POINT('',(24.213621,4.25,5.197899)); #215102=CARTESIAN_POINT('',(24.213621,4.24,5.197899)); #215103=CARTESIAN_POINT('Origin',(24.214348,4.25,5.193634)); #215104=CARTESIAN_POINT('',(24.214348,4.25,5.193634)); #215105=CARTESIAN_POINT('',(22.5963277860521,4.25,14.6858708809987)); #215106=CARTESIAN_POINT('',(24.214348,4.24,5.193634)); #215107=CARTESIAN_POINT('',(24.214348,4.25,5.193634)); #215108=CARTESIAN_POINT('',(24.214348,4.24,5.193634)); #215109=CARTESIAN_POINT('Origin',(24.21468,4.25,5.189167)); #215110=CARTESIAN_POINT('',(24.21468,4.25,5.189167)); #215111=CARTESIAN_POINT('',(23.4868804310873,4.25,14.9815786697164)); #215112=CARTESIAN_POINT('',(24.21468,4.24,5.189167)); #215113=CARTESIAN_POINT('',(24.21468,4.25,5.189167)); #215114=CARTESIAN_POINT('',(24.21468,4.24,5.189167)); #215115=CARTESIAN_POINT('Origin',(24.214609,4.25,5.184391)); #215116=CARTESIAN_POINT('',(24.214609,4.25,5.184391)); #215117=CARTESIAN_POINT('',(24.362040990356,4.25,15.1017879851726)); #215118=CARTESIAN_POINT('',(24.214609,4.24,5.184391)); #215119=CARTESIAN_POINT('',(24.214609,4.25,5.184391)); #215120=CARTESIAN_POINT('',(24.214609,4.24,5.184391)); #215121=CARTESIAN_POINT('Origin',(24.214108,4.25,5.179729)); #215122=CARTESIAN_POINT('',(24.214108,4.25,5.179729)); #215123=CARTESIAN_POINT('',(25.2759909647347,4.25,15.0609632946522)); #215124=CARTESIAN_POINT('',(24.214108,4.24,5.179729)); #215125=CARTESIAN_POINT('',(24.214108,4.25,5.179729)); #215126=CARTESIAN_POINT('',(24.214108,4.24,5.179729)); #215127=CARTESIAN_POINT('Origin',(24.213177,4.25,5.175316)); #215128=CARTESIAN_POINT('',(24.213177,4.25,5.175316)); #215129=CARTESIAN_POINT('',(26.2490608379455,4.25,14.8255365980894)); #215130=CARTESIAN_POINT('',(24.213177,4.24,5.175316)); #215131=CARTESIAN_POINT('',(24.213177,4.25,5.175316)); #215132=CARTESIAN_POINT('',(24.213177,4.24,5.175316)); #215133=CARTESIAN_POINT('Origin',(24.211823,4.25,5.17115)); #215134=CARTESIAN_POINT('',(24.211823,4.25,5.17115)); #215135=CARTESIAN_POINT('',(27.2021366970754,4.25,14.3717754520328)); #215136=CARTESIAN_POINT('',(24.211823,4.24,5.17115)); #215137=CARTESIAN_POINT('',(24.211823,4.25,5.17115)); #215138=CARTESIAN_POINT('',(24.211823,4.24,5.17115)); #215139=CARTESIAN_POINT('Origin',(24.210052,4.25,5.167236)); #215140=CARTESIAN_POINT('',(24.210052,4.25,5.167236)); #215141=CARTESIAN_POINT('',(28.0695743104092,4.25,13.6969764420671)); #215142=CARTESIAN_POINT('',(24.210052,4.24,5.167236)); #215143=CARTESIAN_POINT('',(24.210052,4.25,5.167236)); #215144=CARTESIAN_POINT('',(24.210052,4.24,5.167236)); #215145=CARTESIAN_POINT('Origin',(24.207867,4.25,5.163586)); #215146=CARTESIAN_POINT('',(24.207867,4.25,5.163586)); #215147=CARTESIAN_POINT('',(28.7888495237777,4.25,12.8160282022096)); #215148=CARTESIAN_POINT('',(24.207867,4.24,5.163586)); #215149=CARTESIAN_POINT('',(24.207867,4.25,5.163586)); #215150=CARTESIAN_POINT('',(24.207867,4.24,5.163586)); #215151=CARTESIAN_POINT('Origin',(24.205273,4.25,5.160194)); #215152=CARTESIAN_POINT('',(24.205273,4.25,5.160194)); #215153=CARTESIAN_POINT('',(29.2862484528862,4.25,11.8042451704612)); #215154=CARTESIAN_POINT('',(24.205273,4.24,5.160194)); #215155=CARTESIAN_POINT('',(24.205273,4.25,5.160194)); #215156=CARTESIAN_POINT('',(24.205273,4.24,5.160194)); #215157=CARTESIAN_POINT('Origin',(24.202274,4.25,5.157078)); #215158=CARTESIAN_POINT('',(24.202274,4.25,5.157078)); #215159=CARTESIAN_POINT('',(29.5435259822613,4.25,10.7067082689972)); #215160=CARTESIAN_POINT('',(24.202274,4.24,5.157078)); #215161=CARTESIAN_POINT('',(24.202274,4.25,5.157078)); #215162=CARTESIAN_POINT('',(24.202274,4.24,5.157078)); #215163=CARTESIAN_POINT('Origin',(24.198835,4.25,5.154129)); #215164=CARTESIAN_POINT('',(24.198835,4.25,5.154129)); #215165=CARTESIAN_POINT('',(29.5636008836161,4.25,9.7545054439583)); #215166=CARTESIAN_POINT('',(24.198835,4.24,5.154129)); #215167=CARTESIAN_POINT('',(24.198835,4.25,5.154129)); #215168=CARTESIAN_POINT('',(24.198835,4.24,5.154129)); #215169=CARTESIAN_POINT('Origin',(24.194834,4.25,5.151535)); #215170=CARTESIAN_POINT('',(24.194834,4.25,5.151535)); #215171=CARTESIAN_POINT('',(29.2899723143459,4.25,8.45490635401963)); #215172=CARTESIAN_POINT('',(24.194834,4.24,5.151535)); #215173=CARTESIAN_POINT('',(24.194834,4.25,5.151535)); #215174=CARTESIAN_POINT('',(24.194834,4.24,5.151535)); #215175=CARTESIAN_POINT('Origin',(24.0432144232125,4.25,5.71870190202097)); #215176=CARTESIAN_POINT('',(23.910383,4.25,5.146843)); #215177=CARTESIAN_POINT('Origin',(24.0432144232125,4.25,5.71870190202097)); #215178=CARTESIAN_POINT('',(23.910383,4.24,5.146843)); #215179=CARTESIAN_POINT('',(23.910383,4.25,5.146843)); #215180=CARTESIAN_POINT('Origin',(24.0432144232125,4.24,5.71870190202097)); #215181=CARTESIAN_POINT('Origin',(23.904978,4.25,5.148453)); #215182=CARTESIAN_POINT('',(23.904978,4.25,5.148453)); #215183=CARTESIAN_POINT('',(22.0596111958411,4.25,5.69813672889861)); #215184=CARTESIAN_POINT('',(23.904978,4.24,5.148453)); #215185=CARTESIAN_POINT('',(23.904978,4.25,5.148453)); #215186=CARTESIAN_POINT('',(23.904978,4.24,5.148453)); #215187=CARTESIAN_POINT('Origin',(23.900143,4.25,5.150459)); #215188=CARTESIAN_POINT('',(23.900143,4.25,5.150459)); #215189=CARTESIAN_POINT('',(21.1975755506873,4.25,6.27173103791444)); #215190=CARTESIAN_POINT('',(23.900143,4.24,5.150459)); #215191=CARTESIAN_POINT('',(23.900143,4.25,5.150459)); #215192=CARTESIAN_POINT('',(23.900143,4.24,5.150459)); #215193=CARTESIAN_POINT('Origin',(23.895876,4.25,5.152863)); #215194=CARTESIAN_POINT('',(23.895876,4.25,5.152863)); #215195=CARTESIAN_POINT('',(20.3747215933004,4.25,7.13665845200614)); #215196=CARTESIAN_POINT('',(23.895876,4.24,5.152863)); #215197=CARTESIAN_POINT('',(23.895876,4.25,5.152863)); #215198=CARTESIAN_POINT('',(23.895876,4.24,5.152863)); #215199=CARTESIAN_POINT('Origin',(23.892176,4.25,5.155678)); #215200=CARTESIAN_POINT('',(23.892176,4.25,5.155678)); #215201=CARTESIAN_POINT('',(19.715055949873,4.25,8.33367609218748)); #215202=CARTESIAN_POINT('',(23.892176,4.24,5.155678)); #215203=CARTESIAN_POINT('',(23.892176,4.25,5.155678)); #215204=CARTESIAN_POINT('',(23.892176,4.24,5.155678)); #215205=CARTESIAN_POINT('Origin',(23.888988,4.25,5.158699)); #215206=CARTESIAN_POINT('',(23.888988,4.25,5.158699)); #215207=CARTESIAN_POINT('',(19.4392684764475,4.25,9.37532468402508)); #215208=CARTESIAN_POINT('',(23.888988,4.24,5.158699)); #215209=CARTESIAN_POINT('',(23.888988,4.25,5.158699)); #215210=CARTESIAN_POINT('',(23.888988,4.24,5.158699)); #215211=CARTESIAN_POINT('Origin',(23.886204,4.25,5.161964)); #215212=CARTESIAN_POINT('',(23.886204,4.25,5.161964)); #215213=CARTESIAN_POINT('',(19.3918560200969,4.25,10.432813911781)); #215214=CARTESIAN_POINT('',(23.886204,4.24,5.161964)); #215215=CARTESIAN_POINT('',(23.886204,4.25,5.161964)); #215216=CARTESIAN_POINT('',(23.886204,4.24,5.161964)); #215217=CARTESIAN_POINT('Origin',(23.883827,4.25,5.165497)); #215218=CARTESIAN_POINT('',(23.883827,4.25,5.165497)); #215219=CARTESIAN_POINT('',(19.5891962680601,4.25,11.5487239145663)); #215220=CARTESIAN_POINT('',(23.883827,4.24,5.165497)); #215221=CARTESIAN_POINT('',(23.883827,4.25,5.165497)); #215222=CARTESIAN_POINT('',(23.883827,4.24,5.165497)); #215223=CARTESIAN_POINT('Origin',(23.881861,4.25,5.169289)); #215224=CARTESIAN_POINT('',(23.881861,4.25,5.169289)); #215225=CARTESIAN_POINT('',(20.0334111218593,4.25,12.5921384089074)); #215226=CARTESIAN_POINT('',(23.881861,4.24,5.169289)); #215227=CARTESIAN_POINT('',(23.881861,4.25,5.169289)); #215228=CARTESIAN_POINT('',(23.881861,4.24,5.169289)); #215229=CARTESIAN_POINT('Origin',(23.880312,4.25,5.173332)); #215230=CARTESIAN_POINT('',(23.880312,4.25,5.173332)); #215231=CARTESIAN_POINT('',(20.691223337629,4.25,13.4970798773151)); #215232=CARTESIAN_POINT('',(23.880312,4.24,5.173332)); #215233=CARTESIAN_POINT('',(23.880312,4.25,5.173332)); #215234=CARTESIAN_POINT('',(23.880312,4.24,5.173332)); #215235=CARTESIAN_POINT('Origin',(23.9542517732,4.25,5.1917314942337)); #215236=CARTESIAN_POINT('',(23.878206,4.25,5.18697)); #215237=CARTESIAN_POINT('Origin',(23.9542517732,4.25,5.1917314942337)); #215238=CARTESIAN_POINT('',(23.878206,4.24,5.18697)); #215239=CARTESIAN_POINT('',(23.878206,4.25,5.18697)); #215240=CARTESIAN_POINT('Origin',(23.9542517732,4.24,5.1917314942337)); #215241=CARTESIAN_POINT('Origin',(23.87837,4.25,5.191784)); #215242=CARTESIAN_POINT('',(23.87837,4.25,5.191784)); #215243=CARTESIAN_POINT('',(24.2164989328262,4.25,15.117105235359)); #215244=CARTESIAN_POINT('',(23.87837,4.24,5.191784)); #215245=CARTESIAN_POINT('',(23.87837,4.25,5.191784)); #215246=CARTESIAN_POINT('',(23.87837,4.24,5.191784)); #215247=CARTESIAN_POINT('Origin',(23.878962,4.25,5.196369)); #215248=CARTESIAN_POINT('',(23.878962,4.25,5.196369)); #215249=CARTESIAN_POINT('',(25.1522375891653,4.25,15.0578024060449)); #215250=CARTESIAN_POINT('',(23.878962,4.24,5.196369)); #215251=CARTESIAN_POINT('',(23.878962,4.25,5.196369)); #215252=CARTESIAN_POINT('',(23.878962,4.24,5.196369)); #215253=CARTESIAN_POINT('Origin',(23.879982,4.25,5.200718)); #215254=CARTESIAN_POINT('',(23.879982,4.25,5.200718)); #215255=CARTESIAN_POINT('',(26.1308064177258,4.25,14.79761544376)); #215256=CARTESIAN_POINT('',(23.879982,4.24,5.200718)); #215257=CARTESIAN_POINT('',(23.879982,4.25,5.200718)); #215258=CARTESIAN_POINT('',(23.879982,4.24,5.200718)); #215259=CARTESIAN_POINT('Origin',(23.881426,4.25,5.204826)); #215260=CARTESIAN_POINT('',(23.881426,4.25,5.204826)); #215261=CARTESIAN_POINT('',(27.0834140415278,4.25,14.3140828383733)); #215262=CARTESIAN_POINT('',(23.881426,4.24,5.204826)); #215263=CARTESIAN_POINT('',(23.881426,4.25,5.204826)); #215264=CARTESIAN_POINT('',(23.881426,4.24,5.204826)); #215265=CARTESIAN_POINT('Origin',(23.883286,4.25,5.208687)); #215266=CARTESIAN_POINT('',(23.883286,4.25,5.208687)); #215267=CARTESIAN_POINT('',(27.9330008763055,4.25,13.6151112674382)); #215268=CARTESIAN_POINT('',(23.883286,4.24,5.208687)); #215269=CARTESIAN_POINT('',(23.883286,4.25,5.208687)); #215270=CARTESIAN_POINT('',(23.883286,4.24,5.208687)); #215271=CARTESIAN_POINT('Origin',(23.885557,4.25,5.212299)); #215272=CARTESIAN_POINT('',(23.885557,4.25,5.212299)); #215273=CARTESIAN_POINT('',(28.6149942507958,4.25,12.7344158427237)); #215274=CARTESIAN_POINT('',(23.885557,4.24,5.212299)); #215275=CARTESIAN_POINT('',(23.885557,4.25,5.212299)); #215276=CARTESIAN_POINT('',(23.885557,4.24,5.212299)); #215277=CARTESIAN_POINT('Origin',(23.888239,4.25,5.215652)); #215278=CARTESIAN_POINT('',(23.888239,4.25,5.215652)); #215279=CARTESIAN_POINT('',(29.0864904140053,4.25,11.7144353673255)); #215280=CARTESIAN_POINT('',(23.888239,4.24,5.215652)); #215281=CARTESIAN_POINT('',(23.888239,4.25,5.215652)); #215282=CARTESIAN_POINT('',(23.888239,4.24,5.215652)); #215283=CARTESIAN_POINT('Origin',(23.891321,4.25,5.218746)); #215284=CARTESIAN_POINT('',(23.891321,4.25,5.218746)); #215285=CARTESIAN_POINT('',(29.3119126307685,4.25,10.6604431140895)); #215286=CARTESIAN_POINT('',(23.891321,4.24,5.218746)); #215287=CARTESIAN_POINT('',(23.891321,4.25,5.218746)); #215288=CARTESIAN_POINT('',(23.891321,4.24,5.218746)); #215289=CARTESIAN_POINT('Origin',(23.894972,4.25,5.221626)); #215290=CARTESIAN_POINT('',(23.894972,4.25,5.221626)); #215291=CARTESIAN_POINT('',(29.290798494226,4.25,9.47798872346334)); #215292=CARTESIAN_POINT('',(23.894972,4.24,5.221626)); #215293=CARTESIAN_POINT('',(23.894972,4.25,5.221626)); #215294=CARTESIAN_POINT('',(23.894972,4.24,5.221626)); #215295=CARTESIAN_POINT('Origin',(23.899181,4.25,5.224121)); #215296=CARTESIAN_POINT('',(23.899181,4.25,5.224121)); #215297=CARTESIAN_POINT('',(28.9397551260659,4.25,8.21205933322323)); #215298=CARTESIAN_POINT('',(23.899181,4.24,5.224121)); #215299=CARTESIAN_POINT('',(23.899181,4.25,5.224121)); #215300=CARTESIAN_POINT('',(23.899181,4.24,5.224121)); #215301=CARTESIAN_POINT('Origin',(23.903944,4.25,5.226223)); #215302=CARTESIAN_POINT('',(23.903944,4.25,5.226223)); #215303=CARTESIAN_POINT('',(28.3494274528548,4.25,7.18809707472398)); #215304=CARTESIAN_POINT('',(23.903944,4.24,5.226223)); #215305=CARTESIAN_POINT('',(23.903944,4.25,5.226223)); #215306=CARTESIAN_POINT('',(23.903944,4.24,5.226223)); #215307=CARTESIAN_POINT('Origin',(23.909258,4.25,5.22794)); #215308=CARTESIAN_POINT('',(23.909258,4.25,5.22794)); #215309=CARTESIAN_POINT('',(27.6575708370723,4.25,6.4390527476949)); #215310=CARTESIAN_POINT('',(23.909258,4.24,5.22794)); #215311=CARTESIAN_POINT('',(23.909258,4.25,5.22794)); #215312=CARTESIAN_POINT('',(23.909258,4.24,5.22794)); #215313=CARTESIAN_POINT('Origin',(23.915127,4.25,5.229271)); #215314=CARTESIAN_POINT('',(23.915127,4.25,5.229271)); #215315=CARTESIAN_POINT('',(26.9436527686265,4.25,5.91609461527372)); #215316=CARTESIAN_POINT('',(23.915127,4.24,5.229271)); #215317=CARTESIAN_POINT('',(23.915127,4.25,5.229271)); #215318=CARTESIAN_POINT('',(23.915127,4.24,5.229271)); #215319=CARTESIAN_POINT('Origin',(23.921551,4.25,5.230221)); #215320=CARTESIAN_POINT('',(23.921551,4.25,5.230221)); #215321=CARTESIAN_POINT('',(26.2711967533326,4.25,5.57769351956164)); #215322=CARTESIAN_POINT('',(23.921551,4.24,5.230221)); #215323=CARTESIAN_POINT('',(23.921551,4.25,5.230221)); #215324=CARTESIAN_POINT('',(23.921551,4.24,5.230221)); #215325=CARTESIAN_POINT('Origin',(23.928532,4.25,5.230797)); #215326=CARTESIAN_POINT('',(23.928532,4.25,5.230797)); #215327=CARTESIAN_POINT('',(25.667999175194,4.25,5.37431986103845)); #215328=CARTESIAN_POINT('',(23.928532,4.24,5.230797)); #215329=CARTESIAN_POINT('',(23.928532,4.25,5.230797)); #215330=CARTESIAN_POINT('',(23.928532,4.24,5.230797)); #215331=CARTESIAN_POINT('Origin',(23.936071,4.25,5.230995)); #215332=CARTESIAN_POINT('',(23.936071,4.25,5.230995)); #215333=CARTESIAN_POINT('',(25.1268151042083,4.25,5.26226802462309)); #215334=CARTESIAN_POINT('',(23.936071,4.24,5.230995)); #215335=CARTESIAN_POINT('',(23.936071,4.25,5.230995)); #215336=CARTESIAN_POINT('',(23.936071,4.24,5.230995)); #215337=CARTESIAN_POINT('Origin',(23.965303,4.25,5.230999)); #215338=CARTESIAN_POINT('',(23.965303,4.25,5.230999)); #215339=CARTESIAN_POINT('',(24.8840040417069,4.25,5.2311247116916)); #215340=CARTESIAN_POINT('',(23.965303,4.24,5.230999)); #215341=CARTESIAN_POINT('',(23.965303,4.25,5.230999)); #215342=CARTESIAN_POINT('',(23.965303,4.24,5.230999)); #215343=CARTESIAN_POINT('Origin',(24.3027773278719,4.25,5.53069760126935)); #215344=CARTESIAN_POINT('',(23.854441,4.25,5.47871)); #215345=CARTESIAN_POINT('Origin',(24.3027773278719,4.25,5.53069760126935)); #215346=CARTESIAN_POINT('',(23.854441,4.24,5.47871)); #215347=CARTESIAN_POINT('',(23.854441,4.25,5.47871)); #215348=CARTESIAN_POINT('Origin',(24.3027773278719,4.24,5.53069760126935)); #215349=CARTESIAN_POINT('Origin',(24.2344357532811,4.25,5.5617864666759)); #215350=CARTESIAN_POINT('',(24.122286,4.25,5.934238)); #215351=CARTESIAN_POINT('Origin',(24.2344357532811,4.25,5.5617864666759)); #215352=CARTESIAN_POINT('',(24.122286,4.24,5.934238)); #215353=CARTESIAN_POINT('',(24.122286,4.25,5.934238)); #215354=CARTESIAN_POINT('Origin',(24.2344357532811,4.24,5.5617864666759)); #215355=CARTESIAN_POINT('Origin',(24.1833472319727,4.25,5.65434435305609)); #215356=CARTESIAN_POINT('',(24.339632,4.25,5.894436)); #215357=CARTESIAN_POINT('Origin',(24.1833472319727,4.25,5.65434435305609)); #215358=CARTESIAN_POINT('',(24.339632,4.24,5.894436)); #215359=CARTESIAN_POINT('',(24.339632,4.25,5.894436)); #215360=CARTESIAN_POINT('Origin',(24.1833472319727,4.24,5.65434435305609)); #215361=CARTESIAN_POINT('Origin',(24.1813050204828,4.25,5.65601032955098)); #215362=CARTESIAN_POINT('',(24.462248,4.25,5.710648)); #215363=CARTESIAN_POINT('Origin',(24.1813050204828,4.25,5.65601032955098)); #215364=CARTESIAN_POINT('',(24.462248,4.24,5.710648)); #215365=CARTESIAN_POINT('',(24.462248,4.25,5.710648)); #215366=CARTESIAN_POINT('Origin',(24.1813050204828,4.24,5.65601032955098)); #215367=CARTESIAN_POINT('Origin',(24.475254,4.25,5.668816)); #215368=CARTESIAN_POINT('',(24.475254,4.25,5.668816)); #215369=CARTESIAN_POINT('',(21.7933929353708,4.25,14.2946511572827)); #215370=CARTESIAN_POINT('',(24.475254,4.24,5.668816)); #215371=CARTESIAN_POINT('',(24.475254,4.25,5.668816)); #215372=CARTESIAN_POINT('',(24.475254,4.24,5.668816)); #215373=CARTESIAN_POINT('Origin',(-7.10972202194199,4.25,-1.08162356878226)); #215374=CARTESIAN_POINT('',(24.532051,4.25,5.397369)); #215375=CARTESIAN_POINT('Origin',(-7.10972202194199,4.25,-1.08162356878226)); #215376=CARTESIAN_POINT('',(24.532051,4.24,5.397369)); #215377=CARTESIAN_POINT('',(24.532051,4.25,5.397369)); #215378=CARTESIAN_POINT('Origin',(-7.10972202194199,4.24,-1.08162356878226)); #215379=CARTESIAN_POINT('Origin',(24.7673694849312,4.25,5.4202995145882)); #215380=CARTESIAN_POINT('',(24.748608,4.25,5.184612)); #215381=CARTESIAN_POINT('Origin',(24.7673694849312,4.25,5.4202995145882)); #215382=CARTESIAN_POINT('',(24.748608,4.24,5.184612)); #215383=CARTESIAN_POINT('',(24.748608,4.25,5.184612)); #215384=CARTESIAN_POINT('Origin',(24.7673694849312,4.24,5.4202995145882)); #215385=CARTESIAN_POINT('Origin',(24.7190274294493,4.25,5.50875096714344)); #215386=CARTESIAN_POINT('',(24.986355,4.25,5.323071)); #215387=CARTESIAN_POINT('Origin',(24.7190274294493,4.25,5.50875096714344)); #215388=CARTESIAN_POINT('',(24.986355,4.24,5.323071)); #215389=CARTESIAN_POINT('',(24.986355,4.25,5.323071)); #215390=CARTESIAN_POINT('Origin',(24.7190274294493,4.24,5.50875096714344)); #215391=CARTESIAN_POINT('Origin',(24.7193295289901,4.25,5.50663801370895)); #215392=CARTESIAN_POINT('',(25.031269,4.25,5.594349)); #215393=CARTESIAN_POINT('Origin',(24.7193295289901,4.25,5.50663801370895)); #215394=CARTESIAN_POINT('',(25.031269,4.24,5.594349)); #215395=CARTESIAN_POINT('',(25.031269,4.25,5.594349)); #215396=CARTESIAN_POINT('Origin',(24.7193295289901,4.24,5.50663801370895)); #215397=CARTESIAN_POINT('Origin',(24.7150560999669,4.25,5.59232419282249)); #215398=CARTESIAN_POINT('',(24.806089,4.25,5.895157)); #215399=CARTESIAN_POINT('Origin',(24.7150560999669,4.25,5.59232419282249)); #215400=CARTESIAN_POINT('',(24.806089,4.24,5.895157)); #215401=CARTESIAN_POINT('',(24.806089,4.25,5.895157)); #215402=CARTESIAN_POINT('Origin',(24.7150560999669,4.24,5.59232419282249)); #215403=CARTESIAN_POINT('Origin',(24.79438,4.25,5.89682)); #215404=CARTESIAN_POINT('',(24.79438,4.25,5.89682)); #215405=CARTESIAN_POINT('',(23.9574832717114,4.25,6.01568235025574)); #215406=CARTESIAN_POINT('',(24.79438,4.24,5.89682)); #215407=CARTESIAN_POINT('',(24.79438,4.25,5.89682)); #215408=CARTESIAN_POINT('',(24.79438,4.24,5.89682)); #215409=CARTESIAN_POINT('Origin',(24.8101147599748,4.25,6.1928756975658)); #215410=CARTESIAN_POINT('',(24.767437,4.25,5.89949)); #215411=CARTESIAN_POINT('Origin',(24.8101147599748,4.25,6.1928756975658)); #215412=CARTESIAN_POINT('',(24.767437,4.24,5.89949)); #215413=CARTESIAN_POINT('',(24.767437,4.25,5.89949)); #215414=CARTESIAN_POINT('Origin',(24.8101147599748,4.24,6.1928756975658)); #215415=CARTESIAN_POINT('Origin',(24.761915,4.25,5.900806)); #215416=CARTESIAN_POINT('',(24.761915,4.25,5.900806)); #215417=CARTESIAN_POINT('',(23.099520428326,4.25,6.29698695913132)); #215418=CARTESIAN_POINT('',(24.761915,4.24,5.900806)); #215419=CARTESIAN_POINT('',(24.761915,4.25,5.900806)); #215420=CARTESIAN_POINT('',(24.761915,4.24,5.900806)); #215421=CARTESIAN_POINT('Origin',(24.756891,4.25,5.902473)); #215422=CARTESIAN_POINT('',(24.756891,4.25,5.902473)); #215423=CARTESIAN_POINT('',(22.3725972703633,4.25,6.69359912406603)); #215424=CARTESIAN_POINT('',(24.756891,4.24,5.902473)); #215425=CARTESIAN_POINT('',(24.756891,4.25,5.902473)); #215426=CARTESIAN_POINT('',(24.756891,4.24,5.902473)); #215427=CARTESIAN_POINT('Origin',(24.752363,4.25,5.904491)); #215428=CARTESIAN_POINT('',(24.752363,4.25,5.904491)); #215429=CARTESIAN_POINT('',(21.6393387408993,4.25,7.29187681158584)); #215430=CARTESIAN_POINT('',(24.752363,4.24,5.904491)); #215431=CARTESIAN_POINT('',(24.752363,4.25,5.904491)); #215432=CARTESIAN_POINT('',(24.752363,4.24,5.904491)); #215433=CARTESIAN_POINT('Origin',(24.748331,4.25,5.906864)); #215434=CARTESIAN_POINT('',(24.748331,4.25,5.906864)); #215435=CARTESIAN_POINT('',(20.965807752965,4.25,8.13303653601717)); #215436=CARTESIAN_POINT('',(24.748331,4.24,5.906864)); #215437=CARTESIAN_POINT('',(24.748331,4.25,5.906864)); #215438=CARTESIAN_POINT('',(24.748331,4.24,5.906864)); #215439=CARTESIAN_POINT('Origin',(24.744793,4.25,5.909592)); #215440=CARTESIAN_POINT('',(24.744793,4.25,5.909592)); #215441=CARTESIAN_POINT('',(20.4599580561338,4.25,9.21344438181407)); #215442=CARTESIAN_POINT('',(24.744793,4.24,5.909592)); #215443=CARTESIAN_POINT('',(24.744793,4.25,5.909592)); #215444=CARTESIAN_POINT('',(24.744793,4.24,5.909592)); #215445=CARTESIAN_POINT('Origin',(24.741751,4.25,5.912571)); #215446=CARTESIAN_POINT('',(24.741751,4.25,5.912571)); #215447=CARTESIAN_POINT('',(20.2410365769396,4.25,10.3200753610457)); #215448=CARTESIAN_POINT('',(24.741751,4.24,5.912571)); #215449=CARTESIAN_POINT('',(24.741751,4.25,5.912571)); #215450=CARTESIAN_POINT('',(24.741751,4.24,5.912571)); #215451=CARTESIAN_POINT('Origin',(24.739103,4.25,5.915737)); #215452=CARTESIAN_POINT('',(24.739103,4.25,5.915737)); #215453=CARTESIAN_POINT('',(20.261521864166,4.25,11.2692195815868)); #215454=CARTESIAN_POINT('',(24.739103,4.24,5.915737)); #215455=CARTESIAN_POINT('',(24.739103,4.25,5.915737)); #215456=CARTESIAN_POINT('',(24.739103,4.24,5.915737)); #215457=CARTESIAN_POINT('Origin',(24.736832,4.25,5.919144)); #215458=CARTESIAN_POINT('',(24.736832,4.25,5.919144)); #215459=CARTESIAN_POINT('',(20.4973372029796,4.25,12.279319593767)); #215460=CARTESIAN_POINT('',(24.736832,4.24,5.919144)); #215461=CARTESIAN_POINT('',(24.736832,4.25,5.919144)); #215462=CARTESIAN_POINT('',(24.736832,4.24,5.919144)); #215463=CARTESIAN_POINT('Origin',(24.73494,4.25,5.922775)); #215464=CARTESIAN_POINT('',(24.73494,4.25,5.922775)); #215465=CARTESIAN_POINT('',(20.939709633995,4.25,13.2063275681705)); #215466=CARTESIAN_POINT('',(24.73494,4.24,5.922775)); #215467=CARTESIAN_POINT('',(24.73494,4.25,5.922775)); #215468=CARTESIAN_POINT('',(24.73494,4.24,5.922775)); #215469=CARTESIAN_POINT('Origin',(24.733429,4.25,5.926624)); #215470=CARTESIAN_POINT('',(24.733429,4.25,5.926624)); #215471=CARTESIAN_POINT('',(21.5607459875796,4.25,14.0084617993627)); #215472=CARTESIAN_POINT('',(24.733429,4.24,5.926624)); #215473=CARTESIAN_POINT('',(24.733429,4.25,5.926624)); #215474=CARTESIAN_POINT('',(24.733429,4.24,5.926624)); #215475=CARTESIAN_POINT('Origin',(24.732306,4.25,5.930691)); #215476=CARTESIAN_POINT('',(24.732306,4.25,5.930691)); #215477=CARTESIAN_POINT('',(22.3238836296287,4.25,14.6529116413991)); #215478=CARTESIAN_POINT('',(24.732306,4.24,5.930691)); #215479=CARTESIAN_POINT('',(24.732306,4.25,5.930691)); #215480=CARTESIAN_POINT('',(24.732306,4.24,5.930691)); #215481=CARTESIAN_POINT('Origin',(24.731571,4.25,5.934963)); #215482=CARTESIAN_POINT('',(24.731571,4.25,5.934963)); #215483=CARTESIAN_POINT('',(23.1540074156651,4.25,15.1041488941956)); #215484=CARTESIAN_POINT('',(24.731571,4.24,5.934963)); #215485=CARTESIAN_POINT('',(24.731571,4.25,5.934963)); #215486=CARTESIAN_POINT('',(24.731571,4.24,5.934963)); #215487=CARTESIAN_POINT('Origin',(24.73123,4.25,5.939434)); #215488=CARTESIAN_POINT('',(24.73123,4.25,5.939434)); #215489=CARTESIAN_POINT('',(24.0116563560813,4.25,15.3740784633029)); #215490=CARTESIAN_POINT('',(24.73123,4.24,5.939434)); #215491=CARTESIAN_POINT('',(24.73123,4.25,5.939434)); #215492=CARTESIAN_POINT('',(24.73123,4.24,5.939434)); #215493=CARTESIAN_POINT('Origin',(24.731291,4.25,5.944202)); #215494=CARTESIAN_POINT('',(24.731291,4.25,5.944202)); #215495=CARTESIAN_POINT('',(24.8532548576349,4.25,15.4773769708858)); #215496=CARTESIAN_POINT('',(24.731291,4.24,5.944202)); #215497=CARTESIAN_POINT('',(24.731291,4.25,5.944202)); #215498=CARTESIAN_POINT('',(24.731291,4.24,5.944202)); #215499=CARTESIAN_POINT('Origin',(24.731785,4.25,5.948856)); #215500=CARTESIAN_POINT('',(24.731785,4.25,5.948856)); #215501=CARTESIAN_POINT('',(25.7375649882659,4.25,15.4243622051557)); #215502=CARTESIAN_POINT('',(24.731785,4.24,5.948856)); #215503=CARTESIAN_POINT('',(24.731785,4.25,5.948856)); #215504=CARTESIAN_POINT('',(24.731785,4.24,5.948856)); #215505=CARTESIAN_POINT('Origin',(24.732706,4.25,5.953266)); #215506=CARTESIAN_POINT('',(24.732706,4.25,5.953266)); #215507=CARTESIAN_POINT('',(26.6607808143576,4.25,15.1854157625804)); #215508=CARTESIAN_POINT('',(24.732706,4.24,5.953266)); #215509=CARTESIAN_POINT('',(24.732706,4.25,5.953266)); #215510=CARTESIAN_POINT('',(24.732706,4.24,5.953266)); #215511=CARTESIAN_POINT('Origin',(24.734051,4.25,5.957428)); #215512=CARTESIAN_POINT('',(24.734051,4.25,5.957428)); #215513=CARTESIAN_POINT('',(27.5704167361905,4.25,14.7343456163674)); #215514=CARTESIAN_POINT('',(24.734051,4.24,5.957428)); #215515=CARTESIAN_POINT('',(24.734051,4.25,5.957428)); #215516=CARTESIAN_POINT('',(24.734051,4.24,5.957428)); #215517=CARTESIAN_POINT('Origin',(24.735813,4.25,5.961334)); #215518=CARTESIAN_POINT('',(24.735813,4.25,5.961334)); #215519=CARTESIAN_POINT('',(28.3938815102752,4.25,14.0705369518433)); #215520=CARTESIAN_POINT('',(24.735813,4.24,5.961334)); #215521=CARTESIAN_POINT('',(24.735813,4.25,5.961334)); #215522=CARTESIAN_POINT('',(24.735813,4.24,5.961334)); #215523=CARTESIAN_POINT('Origin',(24.737989,4.25,5.964993)); #215524=CARTESIAN_POINT('',(24.737989,4.25,5.964993)); #215525=CARTESIAN_POINT('',(29.0579911323618,4.25,13.2291877620866)); #215526=CARTESIAN_POINT('',(24.737989,4.24,5.964993)); #215527=CARTESIAN_POINT('',(24.737989,4.25,5.964993)); #215528=CARTESIAN_POINT('',(24.737989,4.24,5.964993)); #215529=CARTESIAN_POINT('Origin',(24.740574,4.25,5.968399)); #215530=CARTESIAN_POINT('',(24.740574,4.25,5.968399)); #215531=CARTESIAN_POINT('',(29.5166682279664,4.25,12.2613881452484)); #215532=CARTESIAN_POINT('',(24.740574,4.24,5.968399)); #215533=CARTESIAN_POINT('',(24.740574,4.25,5.968399)); #215534=CARTESIAN_POINT('',(24.740574,4.24,5.968399)); #215535=CARTESIAN_POINT('Origin',(24.743565,4.25,5.971546)); #215536=CARTESIAN_POINT('',(24.743565,4.25,5.971546)); #215537=CARTESIAN_POINT('',(29.7452293348672,4.25,11.234079487735)); #215538=CARTESIAN_POINT('',(24.743565,4.24,5.971546)); #215539=CARTESIAN_POINT('',(24.743565,4.25,5.971546)); #215540=CARTESIAN_POINT('',(24.743565,4.24,5.971546)); #215541=CARTESIAN_POINT('Origin',(24.747002,4.25,5.974487)); #215542=CARTESIAN_POINT('',(24.747002,4.25,5.974487)); #215543=CARTESIAN_POINT('',(29.7501430381309,4.25,10.2556152493915)); #215544=CARTESIAN_POINT('',(24.747002,4.24,5.974487)); #215545=CARTESIAN_POINT('',(24.747002,4.25,5.974487)); #215546=CARTESIAN_POINT('',(24.747002,4.24,5.974487)); #215547=CARTESIAN_POINT('Origin',(24.751032,4.25,5.977074)); #215548=CARTESIAN_POINT('',(24.751032,4.25,5.977074)); #215549=CARTESIAN_POINT('',(29.4463921290312,4.25,8.99119227637335)); #215550=CARTESIAN_POINT('',(24.751032,4.24,5.977074)); #215551=CARTESIAN_POINT('',(24.751032,4.25,5.977074)); #215552=CARTESIAN_POINT('',(24.751032,4.24,5.977074)); #215553=CARTESIAN_POINT('Origin',(24.755661,4.25,5.979267)); #215554=CARTESIAN_POINT('',(24.755661,4.25,5.979267)); #215555=CARTESIAN_POINT('',(28.861801878139,4.25,7.92456122030084)); #215556=CARTESIAN_POINT('',(24.755661,4.24,5.979267)); #215557=CARTESIAN_POINT('',(24.755661,4.25,5.979267)); #215558=CARTESIAN_POINT('',(24.755661,4.24,5.979267)); #215559=CARTESIAN_POINT('Origin',(24.9068968338268,4.25,5.34451200630502)); #215560=CARTESIAN_POINT('',(25.034769,4.25,5.984383)); #215561=CARTESIAN_POINT('Origin',(24.9068968338268,4.25,5.34451200630502)); #215562=CARTESIAN_POINT('',(25.034769,4.24,5.984383)); #215563=CARTESIAN_POINT('',(25.034769,4.25,5.984383)); #215564=CARTESIAN_POINT('Origin',(24.9068968338268,4.24,5.34451200630502)); #215565=CARTESIAN_POINT('Origin',(25.041918,4.25,5.983879)); #215566=CARTESIAN_POINT('',(25.041918,4.25,5.983879)); #215567=CARTESIAN_POINT('',(24.7520872253693,4.25,6.00431188717485)); #215568=CARTESIAN_POINT('',(25.041918,4.24,5.983879)); #215569=CARTESIAN_POINT('',(25.041918,4.25,5.983879)); #215570=CARTESIAN_POINT('',(25.041918,4.24,5.983879)); #215571=CARTESIAN_POINT('Origin',(25.048491,4.25,5.982983)); #215572=CARTESIAN_POINT('',(25.048491,4.25,5.982983)); #215573=CARTESIAN_POINT('',(24.1448817113963,4.25,6.10615870707313)); #215574=CARTESIAN_POINT('',(25.048491,4.24,5.982983)); #215575=CARTESIAN_POINT('',(25.048491,4.25,5.982983)); #215576=CARTESIAN_POINT('',(25.048491,4.24,5.982983)); #215577=CARTESIAN_POINT('Origin',(25.054489,4.25,5.981697)); #215578=CARTESIAN_POINT('',(25.054489,4.25,5.981697)); #215579=CARTESIAN_POINT('',(23.4616582627322,4.25,6.32320755820675)); #215580=CARTESIAN_POINT('',(25.054489,4.24,5.981697)); #215581=CARTESIAN_POINT('',(25.054489,4.25,5.981697)); #215582=CARTESIAN_POINT('',(25.054489,4.24,5.981697)); #215583=CARTESIAN_POINT('Origin',(25.059914,4.25,5.980022)); #215584=CARTESIAN_POINT('',(25.059914,4.25,5.980022)); #215585=CARTESIAN_POINT('',(22.7170396108449,4.25,6.70339796347087)); #215586=CARTESIAN_POINT('',(25.059914,4.24,5.980022)); #215587=CARTESIAN_POINT('',(25.059914,4.25,5.980022)); #215588=CARTESIAN_POINT('',(25.059914,4.24,5.980022)); #215589=CARTESIAN_POINT('Origin',(25.064766,4.25,5.977959)); #215590=CARTESIAN_POINT('',(25.064766,4.25,5.977959)); #215591=CARTESIAN_POINT('',(21.9512965085836,4.25,7.30176105292836)); #215592=CARTESIAN_POINT('',(25.064766,4.24,5.977959)); #215593=CARTESIAN_POINT('',(25.064766,4.25,5.977959)); #215594=CARTESIAN_POINT('',(25.064766,4.24,5.977959)); #215595=CARTESIAN_POINT('Origin',(25.069046,4.25,5.97551)); #215596=CARTESIAN_POINT('',(25.069046,4.25,5.97551)); #215597=CARTESIAN_POINT('',(21.2440036016011,4.25,8.16418496113625)); #215598=CARTESIAN_POINT('',(25.069046,4.24,5.97551)); #215599=CARTESIAN_POINT('',(25.069046,4.25,5.97551)); #215600=CARTESIAN_POINT('',(25.069046,4.24,5.97551)); #215601=CARTESIAN_POINT('Origin',(25.072758,4.25,5.972683)); #215602=CARTESIAN_POINT('',(25.072758,4.25,5.972683)); #215603=CARTESIAN_POINT('',(20.7171979588897,4.25,9.28980806363734)); #215604=CARTESIAN_POINT('',(25.072758,4.24,5.972683)); #215605=CARTESIAN_POINT('',(25.072758,4.25,5.972683)); #215606=CARTESIAN_POINT('',(25.072758,4.24,5.972683)); #215607=CARTESIAN_POINT('Origin',(25.075956,4.25,5.969482)); #215608=CARTESIAN_POINT('',(25.075956,4.25,5.969482)); #215609=CARTESIAN_POINT('',(20.4991698671135,4.25,10.5505615532763)); #215610=CARTESIAN_POINT('',(25.075956,4.24,5.969482)); #215611=CARTESIAN_POINT('',(25.075956,4.25,5.969482)); #215612=CARTESIAN_POINT('',(25.075956,4.24,5.969482)); #215613=CARTESIAN_POINT('Origin',(25.078749,4.25,5.966087)); #215614=CARTESIAN_POINT('',(25.078749,4.25,5.966087)); #215615=CARTESIAN_POINT('',(20.5550566042864,4.25,11.4648108394064)); #215616=CARTESIAN_POINT('',(25.078749,4.24,5.966087)); #215617=CARTESIAN_POINT('',(25.078749,4.25,5.966087)); #215618=CARTESIAN_POINT('',(25.078749,4.24,5.966087)); #215619=CARTESIAN_POINT('Origin',(25.081135,4.25,5.962513)); #215620=CARTESIAN_POINT('',(25.081135,4.25,5.962513)); #215621=CARTESIAN_POINT('',(20.7963183543991,4.25,12.3807588890805)); #215622=CARTESIAN_POINT('',(25.081135,4.24,5.962513)); #215623=CARTESIAN_POINT('',(25.081135,4.25,5.962513)); #215624=CARTESIAN_POINT('',(25.081135,4.24,5.962513)); #215625=CARTESIAN_POINT('Origin',(25.083109,4.25,5.958755)); #215626=CARTESIAN_POINT('',(25.083109,4.25,5.958755)); #215627=CARTESIAN_POINT('',(21.241103912684,4.25,13.272967319225)); #215628=CARTESIAN_POINT('',(25.083109,4.24,5.958755)); #215629=CARTESIAN_POINT('',(25.083109,4.25,5.958755)); #215630=CARTESIAN_POINT('',(25.083109,4.24,5.958755)); #215631=CARTESIAN_POINT('Origin',(25.084667,4.25,5.954811)); #215632=CARTESIAN_POINT('',(25.084667,4.25,5.954811)); #215633=CARTESIAN_POINT('',(21.8790062290886,4.25,14.0697828103261)); #215634=CARTESIAN_POINT('',(25.084667,4.24,5.954811)); #215635=CARTESIAN_POINT('',(25.084667,4.25,5.954811)); #215636=CARTESIAN_POINT('',(25.084667,4.24,5.954811)); #215637=CARTESIAN_POINT('Origin',(24.9915402395673,4.25,5.93286911467832)); #215638=CARTESIAN_POINT('',(25.0868,4.25,5.941792)); #215639=CARTESIAN_POINT('Origin',(24.9915402395673,4.25,5.93286911467832)); #215640=CARTESIAN_POINT('',(25.0868,4.24,5.941792)); #215641=CARTESIAN_POINT('',(25.0868,4.25,5.941792)); #215642=CARTESIAN_POINT('Origin',(24.9915402395673,4.24,5.93286911467832)); #215643=CARTESIAN_POINT('Origin',(24.9482500468754,4.25,5.9480001287058)); #215644=CARTESIAN_POINT('',(25.086061,4.25,5.932419)); #215645=CARTESIAN_POINT('Origin',(24.9482500468754,4.25,5.9480001287058)); #215646=CARTESIAN_POINT('',(25.086061,4.24,5.932419)); #215647=CARTESIAN_POINT('',(25.086061,4.25,5.932419)); #215648=CARTESIAN_POINT('Origin',(24.9482500468754,4.24,5.9480001287058)); #215649=CARTESIAN_POINT('Origin',(25.085051,4.25,5.928066)); #215650=CARTESIAN_POINT('',(25.085051,4.25,5.928066)); #215651=CARTESIAN_POINT('',(27.2028556478509,4.25,15.0555943486512)); #215652=CARTESIAN_POINT('',(25.085051,4.24,5.928066)); #215653=CARTESIAN_POINT('',(25.085051,4.25,5.928066)); #215654=CARTESIAN_POINT('',(25.085051,4.24,5.928066)); #215655=CARTESIAN_POINT('Origin',(25.083616,4.25,5.923954)); #215656=CARTESIAN_POINT('',(25.083616,4.25,5.923954)); #215657=CARTESIAN_POINT('',(28.0897049605824,4.25,14.537917627812)); #215658=CARTESIAN_POINT('',(25.083616,4.24,5.923954)); #215659=CARTESIAN_POINT('',(25.083616,4.25,5.923954)); #215660=CARTESIAN_POINT('',(25.083616,4.24,5.923954)); #215661=CARTESIAN_POINT('Origin',(25.081766,4.25,5.92009)); #215662=CARTESIAN_POINT('',(25.081766,4.25,5.92009)); #215663=CARTESIAN_POINT('',(28.8644994705674,4.25,13.820891151518)); #215664=CARTESIAN_POINT('',(25.081766,4.24,5.92009)); #215665=CARTESIAN_POINT('',(25.081766,4.25,5.92009)); #215666=CARTESIAN_POINT('',(25.081766,4.24,5.92009)); #215667=CARTESIAN_POINT('Origin',(25.079502,4.25,5.91647300000002)); #215668=CARTESIAN_POINT('',(25.079502,4.25,5.91647300000002)); #215669=CARTESIAN_POINT('',(29.4721463494265,4.25,12.9342268038053)); #215670=CARTESIAN_POINT('',(25.079502,4.24,5.91647300000002)); #215671=CARTESIAN_POINT('',(25.079502,4.25,5.91647300000002)); #215672=CARTESIAN_POINT('',(25.079502,4.24,5.916473)); #215673=CARTESIAN_POINT('Origin',(24.342412626396,4.25,6.56644554508599)); #215674=CARTESIAN_POINT('',(25.073753,4.25,5.910011)); #215675=CARTESIAN_POINT('Origin',(24.342412626396,4.25,6.56644554508599)); #215676=CARTESIAN_POINT('',(25.073753,4.24,5.910011)); #215677=CARTESIAN_POINT('',(25.073753,4.25,5.910011)); #215678=CARTESIAN_POINT('Origin',(24.342412626396,4.24,6.56644554508599)); #215679=CARTESIAN_POINT('Origin',(25.070105,4.25,5.907127)); #215680=CARTESIAN_POINT('',(25.070105,4.25,5.907127)); #215681=CARTESIAN_POINT('',(29.9390709228879,4.25,9.75638624385721)); #215682=CARTESIAN_POINT('',(25.070105,4.24,5.907127)); #215683=CARTESIAN_POINT('',(25.070105,4.25,5.907127)); #215684=CARTESIAN_POINT('',(25.070105,4.24,5.907127)); #215685=CARTESIAN_POINT('Origin',(25.0226519960614,4.25,5.98744965794398)); #215686=CARTESIAN_POINT('',(25.061241,4.25,5.902512)); #215687=CARTESIAN_POINT('Origin',(25.0226519960614,4.25,5.98744965794398)); #215688=CARTESIAN_POINT('',(25.061241,4.24,5.902512)); #215689=CARTESIAN_POINT('',(25.061241,4.25,5.902512)); #215690=CARTESIAN_POINT('Origin',(25.0226519960614,4.24,5.98744965794398)); #215691=CARTESIAN_POINT('Origin',(25.056011,4.25,5.900791)); #215692=CARTESIAN_POINT('',(25.056011,4.25,5.900791)); #215693=CARTESIAN_POINT('',(28.2270588750461,4.25,6.94426583612643)); #215694=CARTESIAN_POINT('',(25.056011,4.24,5.900791)); #215695=CARTESIAN_POINT('',(25.056011,4.25,5.900791)); #215696=CARTESIAN_POINT('',(25.056011,4.24,5.900791)); #215697=CARTESIAN_POINT('Origin',(25.050228,4.25,5.899448)); #215698=CARTESIAN_POINT('',(25.050228,4.25,5.899448)); #215699=CARTESIAN_POINT('',(27.5103201477068,4.25,6.47076111678705)); #215700=CARTESIAN_POINT('',(25.050228,4.24,5.899448)); #215701=CARTESIAN_POINT('',(25.050228,4.25,5.899448)); #215702=CARTESIAN_POINT('',(25.050228,4.24,5.899448)); #215703=CARTESIAN_POINT('Origin',(25.043877,4.25,5.898491)); #215704=CARTESIAN_POINT('',(25.043877,4.25,5.898491)); #215705=CARTESIAN_POINT('',(26.8207477517416,4.25,6.16623864752238)); #215706=CARTESIAN_POINT('',(25.043877,4.24,5.898491)); #215707=CARTESIAN_POINT('',(25.043877,4.25,5.898491)); #215708=CARTESIAN_POINT('',(25.043877,4.24,5.898491)); #215709=CARTESIAN_POINT('Origin',(25.036942,4.25,5.897907)); #215710=CARTESIAN_POINT('',(26.2144196724598,4.25,5.99706301452275)); #215711=CARTESIAN_POINT('',(25.036942,4.24,5.897907)); #215712=CARTESIAN_POINT('Origin',(24.4841809182155,4.24,5.54726631743064)); #215713=CARTESIAN_POINT('Origin',(18.4376614386163,4.25,7.82291273309655)); #215714=CARTESIAN_POINT('',(18.35454,4.25,7.88102)); #215715=CARTESIAN_POINT('',(18.347271,4.25,7.868904)); #215716=CARTESIAN_POINT('Origin',(18.4376614386163,4.25,7.82291273309655)); #215717=CARTESIAN_POINT('',(18.35454,4.24,7.88102)); #215718=CARTESIAN_POINT('',(18.35454,4.25,7.88102)); #215719=CARTESIAN_POINT('',(18.347271,4.24,7.868904)); #215720=CARTESIAN_POINT('Origin',(18.4376614386163,4.24,7.82291273309655)); #215721=CARTESIAN_POINT('',(18.347271,4.25,7.868904)); #215722=CARTESIAN_POINT('Origin',(18.4380718135136,4.25,7.81289546486481)); #215723=CARTESIAN_POINT('',(18.376068,4.25,7.901066)); #215724=CARTESIAN_POINT('Origin',(18.4380718135136,4.25,7.81289546486481)); #215725=CARTESIAN_POINT('',(18.376068,4.24,7.901066)); #215726=CARTESIAN_POINT('',(18.376068,4.25,7.901066)); #215727=CARTESIAN_POINT('Origin',(18.4380718135136,4.24,7.81289546486481)); #215728=CARTESIAN_POINT('Origin',(18.381985,4.25,7.904484)); #215729=CARTESIAN_POINT('',(18.381985,4.25,7.904484)); #215730=CARTESIAN_POINT('',(24.8652726241257,4.25,11.6496043480242)); #215731=CARTESIAN_POINT('',(18.381985,4.24,7.904484)); #215732=CARTESIAN_POINT('',(18.381985,4.25,7.904484)); #215733=CARTESIAN_POINT('',(18.381985,4.24,7.904484)); #215734=CARTESIAN_POINT('Origin',(18.388012,4.25,7.907192)); #215735=CARTESIAN_POINT('',(18.388012,4.25,7.907192)); #215736=CARTESIAN_POINT('',(24.666497563744,4.25,10.7281873387481)); #215737=CARTESIAN_POINT('',(18.388012,4.24,7.907192)); #215738=CARTESIAN_POINT('',(18.388012,4.25,7.907192)); #215739=CARTESIAN_POINT('',(18.388012,4.24,7.907192)); #215740=CARTESIAN_POINT('Origin',(18.39415,4.25,7.909195)); #215741=CARTESIAN_POINT('',(18.39415,4.25,7.909195)); #215742=CARTESIAN_POINT('',(24.2609254334977,4.25,9.82368688551512)); #215743=CARTESIAN_POINT('',(18.39415,4.24,7.909195)); #215744=CARTESIAN_POINT('',(18.39415,4.25,7.909195)); #215745=CARTESIAN_POINT('',(18.39415,4.24,7.909195)); #215746=CARTESIAN_POINT('Origin',(18.400396,4.25,7.910507)); #215747=CARTESIAN_POINT('',(18.400396,4.25,7.910507)); #215748=CARTESIAN_POINT('',(23.6628646978377,4.25,9.01591188817648)); #215749=CARTESIAN_POINT('',(18.400396,4.24,7.910507)); #215750=CARTESIAN_POINT('',(18.400396,4.25,7.910507)); #215751=CARTESIAN_POINT('',(18.400396,4.24,7.910507)); #215752=CARTESIAN_POINT('Origin',(18.4125429117053,4.25,7.79534168714657)); #215753=CARTESIAN_POINT('',(18.411894,4.25,7.911144)); #215754=CARTESIAN_POINT('Origin',(18.4125429117053,4.25,7.79534168714657)); #215755=CARTESIAN_POINT('',(18.411894,4.24,7.911144)); #215756=CARTESIAN_POINT('',(18.411894,4.25,7.911144)); #215757=CARTESIAN_POINT('Origin',(18.4125429117053,4.24,7.79534168714657)); #215758=CARTESIAN_POINT('Origin',(18.416517,4.25,7.910782)); #215759=CARTESIAN_POINT('',(18.416517,4.25,7.910782)); #215760=CARTESIAN_POINT('',(21.4207596878768,4.25,7.67553738546276)); #215761=CARTESIAN_POINT('',(18.416517,4.24,7.910782)); #215762=CARTESIAN_POINT('',(18.416517,4.25,7.910782)); #215763=CARTESIAN_POINT('',(18.416517,4.24,7.910782)); #215764=CARTESIAN_POINT('Origin',(18.421713,4.25,7.910088)); #215765=CARTESIAN_POINT('',(18.421713,4.25,7.910088)); #215766=CARTESIAN_POINT('',(20.9249002021857,4.25,7.57575160309574)); #215767=CARTESIAN_POINT('',(18.421713,4.24,7.910088)); #215768=CARTESIAN_POINT('',(18.421713,4.25,7.910088)); #215769=CARTESIAN_POINT('',(18.421713,4.24,7.910088)); #215770=CARTESIAN_POINT('Origin',(17.8238735248277,4.25,5.0915387897538)); #215771=CARTESIAN_POINT('',(18.930004,4.25,7.75201)); #215772=CARTESIAN_POINT('Origin',(17.8238735248277,4.25,5.0915387897538)); #215773=CARTESIAN_POINT('',(18.930004,4.24,7.75201)); #215774=CARTESIAN_POINT('',(18.930004,4.25,7.75201)); #215775=CARTESIAN_POINT('Origin',(17.8238735248277,4.24,5.0915387897538)); #215776=CARTESIAN_POINT('Origin',(18.930103,4.25,9.594212)); #215777=CARTESIAN_POINT('',(18.930103,4.25,9.594212)); #215778=CARTESIAN_POINT('',(18.9305169637123,4.25,17.2972905720063)); #215779=CARTESIAN_POINT('',(18.930103,4.24,9.594212)); #215780=CARTESIAN_POINT('',(18.930103,4.25,9.594212)); #215781=CARTESIAN_POINT('',(18.930103,4.24,9.594212)); #215782=CARTESIAN_POINT('Origin',(18.929832,4.25,9.595997)); #215783=CARTESIAN_POINT('',(18.929832,4.25,9.595997)); #215784=CARTESIAN_POINT('',(17.8642468475535,4.25,16.6147036314826)); #215785=CARTESIAN_POINT('',(18.929832,4.24,9.595997)); #215786=CARTESIAN_POINT('',(18.929832,4.25,9.595997)); #215787=CARTESIAN_POINT('',(18.929832,4.24,9.595997)); #215788=CARTESIAN_POINT('Origin',(18.456978,4.25,9.596001)); #215789=CARTESIAN_POINT('',(18.456978,4.25,9.596001)); #215790=CARTESIAN_POINT('',(22.1284238464384,4.25,9.59596994224563)); #215791=CARTESIAN_POINT('',(18.456978,4.24,9.596001)); #215792=CARTESIAN_POINT('',(18.456978,4.25,9.596001)); #215793=CARTESIAN_POINT('',(18.456978,4.24,9.596001)); #215794=CARTESIAN_POINT('Origin',(18.4389141171745,4.25,9.8138493962667)); #215795=CARTESIAN_POINT('',(18.389391,4.25,9.600937)); #215796=CARTESIAN_POINT('Origin',(18.4389141171745,4.25,9.8138493962667)); #215797=CARTESIAN_POINT('',(18.389391,4.24,9.600937)); #215798=CARTESIAN_POINT('',(18.389391,4.25,9.600937)); #215799=CARTESIAN_POINT('Origin',(18.4389141171745,4.24,9.8138493962667)); #215800=CARTESIAN_POINT('Origin',(18.380665,4.25,9.603775)); #215801=CARTESIAN_POINT('',(18.380665,4.25,9.603775)); #215802=CARTESIAN_POINT('',(19.4712751235712,4.25,9.24907049270051)); #215803=CARTESIAN_POINT('',(18.380665,4.24,9.603775)); #215804=CARTESIAN_POINT('',(18.380665,4.25,9.603775)); #215805=CARTESIAN_POINT('',(18.380665,4.24,9.603775)); #215806=CARTESIAN_POINT('Origin',(18.372868,4.25,9.607269)); #215807=CARTESIAN_POINT('',(18.372868,4.25,9.607269)); #215808=CARTESIAN_POINT('',(18.5932708031538,4.25,9.50850185953318)); #215809=CARTESIAN_POINT('',(18.372868,4.24,9.607269)); #215810=CARTESIAN_POINT('',(18.372868,4.25,9.607269)); #215811=CARTESIAN_POINT('',(18.372868,4.24,9.607269)); #215812=CARTESIAN_POINT('Origin',(18.365995,4.25,9.611423)); #215813=CARTESIAN_POINT('',(18.365995,4.25,9.611423)); #215814=CARTESIAN_POINT('',(17.6823469098279,4.25,10.0246158075911)); #215815=CARTESIAN_POINT('',(18.365995,4.24,9.611423)); #215816=CARTESIAN_POINT('',(18.365995,4.25,9.611423)); #215817=CARTESIAN_POINT('',(18.365995,4.24,9.611423)); #215818=CARTESIAN_POINT('Origin',(18.4303237910118,4.25,9.69485358243515)); #215819=CARTESIAN_POINT('',(18.35483,4.25,9.621372)); #215820=CARTESIAN_POINT('Origin',(18.4303237910118,4.25,9.69485358243515)); #215821=CARTESIAN_POINT('',(18.35483,4.24,9.621372)); #215822=CARTESIAN_POINT('',(18.35483,4.25,9.621372)); #215823=CARTESIAN_POINT('Origin',(18.4303237910118,4.24,9.69485358243515)); #215824=CARTESIAN_POINT('Origin',(18.350302,4.25,9.626957)); #215825=CARTESIAN_POINT('',(18.350302,4.25,9.626957)); #215826=CARTESIAN_POINT('',(16.0674172953794,4.25,12.4427500820034)); #215827=CARTESIAN_POINT('',(18.350302,4.24,9.626957)); #215828=CARTESIAN_POINT('',(18.350302,4.25,9.626957)); #215829=CARTESIAN_POINT('',(18.350302,4.24,9.626957)); #215830=CARTESIAN_POINT('Origin',(18.346462,4.25,9.63298)); #215831=CARTESIAN_POINT('',(18.346462,4.25,9.63298)); #215832=CARTESIAN_POINT('',(15.9405797790367,4.25,13.4065812023045)); #215833=CARTESIAN_POINT('',(18.346462,4.24,9.63298)); #215834=CARTESIAN_POINT('',(18.346462,4.25,9.63298)); #215835=CARTESIAN_POINT('',(18.346462,4.24,9.63298)); #215836=CARTESIAN_POINT('Origin',(18.343323,4.25,9.639431)); #215837=CARTESIAN_POINT('',(18.343323,4.25,9.639431)); #215838=CARTESIAN_POINT('',(16.0353803912965,4.25,14.382514073834)); #215839=CARTESIAN_POINT('',(18.343323,4.24,9.639431)); #215840=CARTESIAN_POINT('',(18.343323,4.25,9.639431)); #215841=CARTESIAN_POINT('',(18.343323,4.24,9.639431)); #215842=CARTESIAN_POINT('Origin',(18.340889,4.25,9.646301)); #215843=CARTESIAN_POINT('',(18.340889,4.25,9.646301)); #215844=CARTESIAN_POINT('',(16.3402992820452,4.25,15.2929942466521)); #215845=CARTESIAN_POINT('',(18.340889,4.24,9.646301)); #215846=CARTESIAN_POINT('',(18.340889,4.25,9.646301)); #215847=CARTESIAN_POINT('',(18.340889,4.24,9.646301)); #215848=CARTESIAN_POINT('Origin',(18.339169,4.25,9.653591)); #215849=CARTESIAN_POINT('',(18.339169,4.25,9.653591)); #215850=CARTESIAN_POINT('',(16.820935135744,4.25,16.0884310409432)); #215851=CARTESIAN_POINT('',(18.339169,4.24,9.653591)); #215852=CARTESIAN_POINT('',(18.339169,4.25,9.653591)); #215853=CARTESIAN_POINT('',(18.339169,4.24,9.653591)); #215854=CARTESIAN_POINT('Origin',(18.4372310370291,4.25,9.66934247417758)); #215855=CARTESIAN_POINT('',(18.337912,4.25,9.669312)); #215856=CARTESIAN_POINT('Origin',(18.4372310370291,4.25,9.66934247417758)); #215857=CARTESIAN_POINT('',(18.337912,4.24,9.669312)); #215858=CARTESIAN_POINT('',(18.337912,4.25,9.669312)); #215859=CARTESIAN_POINT('Origin',(18.4372310370291,4.24,9.66934247417758)); #215860=CARTESIAN_POINT('Origin',(18.338385,4.25,9.67691)); #215861=CARTESIAN_POINT('',(18.338385,4.25,9.67691)); #215862=CARTESIAN_POINT('',(18.8279023841944,4.25,17.5402357613545)); #215863=CARTESIAN_POINT('',(18.338385,4.24,9.67691)); #215864=CARTESIAN_POINT('',(18.338385,4.25,9.67691)); #215865=CARTESIAN_POINT('',(18.338385,4.24,9.67691)); #215866=CARTESIAN_POINT('Origin',(18.339588,4.25,9.68417)); #215867=CARTESIAN_POINT('',(18.339588,4.25,9.68417)); #215868=CARTESIAN_POINT('',(19.6742973988236,4.25,17.7390247260629)); #215869=CARTESIAN_POINT('',(18.339588,4.24,9.68417)); #215870=CARTESIAN_POINT('',(18.339588,4.25,9.68417)); #215871=CARTESIAN_POINT('',(18.339588,4.24,9.68417)); #215872=CARTESIAN_POINT('Origin',(18.4336566611228,4.25,9.66004863078369)); #215873=CARTESIAN_POINT('',(18.344149,4.25,9.69772)); #215874=CARTESIAN_POINT('Origin',(18.4336566611228,4.25,9.66004863078369)); #215875=CARTESIAN_POINT('',(18.344149,4.24,9.69772)); #215876=CARTESIAN_POINT('',(18.344149,4.25,9.69772)); #215877=CARTESIAN_POINT('Origin',(18.4336566611228,4.24,9.66004863078369)); #215878=CARTESIAN_POINT('Origin',(18.4242576506118,4.25,9.65700138332948)); #215879=CARTESIAN_POINT('',(18.356249,4.25,9.71574)); #215880=CARTESIAN_POINT('Origin',(18.4242576506118,4.25,9.65700138332948)); #215881=CARTESIAN_POINT('',(18.356249,4.24,9.71574)); #215882=CARTESIAN_POINT('',(18.356249,4.25,9.71574)); #215883=CARTESIAN_POINT('Origin',(18.4242576506118,4.24,9.65700138332948)); #215884=CARTESIAN_POINT('Origin',(18.361649,4.25,9.721107)); #215885=CARTESIAN_POINT('',(18.361649,4.25,9.721107)); #215886=CARTESIAN_POINT('',(24.0522871082056,4.25,15.376968986434)); #215887=CARTESIAN_POINT('',(18.361649,4.24,9.721107)); #215888=CARTESIAN_POINT('',(18.361649,4.25,9.721107)); #215889=CARTESIAN_POINT('',(18.361649,4.24,9.721107)); #215890=CARTESIAN_POINT('Origin',(18.4210118366231,4.25,9.63951003422799)); #215891=CARTESIAN_POINT('',(18.4246879999576,4.25,9.74034899999988)); #215892=CARTESIAN_POINT('Origin',(18.4210118366231,4.25,9.63951003422799)); #215893=CARTESIAN_POINT('',(18.4246879999576,4.24,9.74034899999988)); #215894=CARTESIAN_POINT('',(18.4246879999576,4.25,9.74034899999988)); #215895=CARTESIAN_POINT('Origin',(18.4210118366231,4.24,9.63951003422799)); #215896=CARTESIAN_POINT('Origin',(19.0047152624706,4.25,-338.714473570301)); #215897=CARTESIAN_POINT('',(19.554518,4.25,9.740398)); #215898=CARTESIAN_POINT('Origin',(19.0047152624706,4.25,-338.714473570301)); #215899=CARTESIAN_POINT('',(19.554518,4.24,9.740398)); #215900=CARTESIAN_POINT('',(19.554518,4.25,9.740398)); #215901=CARTESIAN_POINT('Origin',(19.0047152624706,4.24,-338.714473570301)); #215902=CARTESIAN_POINT('Origin',(19.5704743730855,4.25,9.58038614033004)); #215903=CARTESIAN_POINT('',(19.627804,4.25,9.730625)); #215904=CARTESIAN_POINT('Origin',(19.5704743730855,4.25,9.58038614033004)); #215905=CARTESIAN_POINT('',(19.627804,4.24,9.730625)); #215906=CARTESIAN_POINT('',(19.627804,4.25,9.730625)); #215907=CARTESIAN_POINT('Origin',(19.5704743730855,4.24,9.58038614033004)); #215908=CARTESIAN_POINT('Origin',(19.63518,4.25,9.726727)); #215909=CARTESIAN_POINT('',(19.63518,4.25,9.726727)); #215910=CARTESIAN_POINT('',(18.8899734847497,4.25,10.1205468205597)); #215911=CARTESIAN_POINT('',(19.63518,4.24,9.726727)); #215912=CARTESIAN_POINT('',(19.63518,4.25,9.726727)); #215913=CARTESIAN_POINT('',(19.63518,4.24,9.726727)); #215914=CARTESIAN_POINT('Origin',(19.5887545552847,4.25,9.65713935806457)); #215915=CARTESIAN_POINT('',(19.647232,4.25,9.716957)); #215916=CARTESIAN_POINT('Origin',(19.5887545552847,4.25,9.65713935806457)); #215917=CARTESIAN_POINT('',(19.647232,4.24,9.716957)); #215918=CARTESIAN_POINT('',(19.647232,4.25,9.716957)); #215919=CARTESIAN_POINT('Origin',(19.5887545552847,4.24,9.65713935806457)); #215920=CARTESIAN_POINT('Origin',(19.652111,4.25,9.711315)); #215921=CARTESIAN_POINT('',(19.652111,4.25,9.711315)); #215922=CARTESIAN_POINT('',(17.1851450837859,4.25,12.5640761599296)); #215923=CARTESIAN_POINT('',(19.652111,4.24,9.711315)); #215924=CARTESIAN_POINT('',(19.652111,4.25,9.711315)); #215925=CARTESIAN_POINT('',(19.652111,4.24,9.711315)); #215926=CARTESIAN_POINT('Origin',(19.656296,4.25,9.705383)); #215927=CARTESIAN_POINT('',(19.656296,4.25,9.705383)); #215928=CARTESIAN_POINT('',(17.0749073109868,4.25,13.3643549720979)); #215929=CARTESIAN_POINT('',(19.656296,4.24,9.705383)); #215930=CARTESIAN_POINT('',(19.656296,4.25,9.705383)); #215931=CARTESIAN_POINT('',(19.656296,4.24,9.705383)); #215932=CARTESIAN_POINT('Origin',(19.659786,4.25,9.69915)); #215933=CARTESIAN_POINT('',(19.659786,4.25,9.69915)); #215934=CARTESIAN_POINT('',(17.1313556302737,4.25,14.2148252133257)); #215935=CARTESIAN_POINT('',(19.659786,4.24,9.69915)); #215936=CARTESIAN_POINT('',(19.659786,4.25,9.69915)); #215937=CARTESIAN_POINT('',(19.659786,4.24,9.69915)); #215938=CARTESIAN_POINT('Origin',(19.662571,4.25,9.692612)); #215939=CARTESIAN_POINT('',(19.662571,4.25,9.692612)); #215940=CARTESIAN_POINT('',(17.3743427446464,4.25,15.0644024249504)); #215941=CARTESIAN_POINT('',(19.662571,4.24,9.692612)); #215942=CARTESIAN_POINT('',(19.662571,4.25,9.692612)); #215943=CARTESIAN_POINT('',(19.662571,4.24,9.692612)); #215944=CARTESIAN_POINT('Origin',(19.66465,4.25,9.685749)); #215945=CARTESIAN_POINT('',(19.66465,4.25,9.685749)); #215946=CARTESIAN_POINT('',(17.7978983009908,4.25,15.8480947961883)); #215947=CARTESIAN_POINT('',(19.66465,4.24,9.685749)); #215948=CARTESIAN_POINT('',(19.66465,4.25,9.685749)); #215949=CARTESIAN_POINT('',(19.66465,4.24,9.685749)); #215950=CARTESIAN_POINT('Origin',(19.66601,4.25,9.678566)); #215951=CARTESIAN_POINT('',(19.66601,4.25,9.678566)); #215952=CARTESIAN_POINT('',(18.3718983719918,4.25,16.5135688117669)); #215953=CARTESIAN_POINT('',(19.66601,4.24,9.678566)); #215954=CARTESIAN_POINT('',(19.66601,4.25,9.678566)); #215955=CARTESIAN_POINT('',(19.66601,4.24,9.678566)); #215956=CARTESIAN_POINT('Origin',(19.5580827758489,4.25,9.66695857932234)); #215957=CARTESIAN_POINT('',(19.666563,4.25,9.663078)); #215958=CARTESIAN_POINT('Origin',(19.5580827758489,4.25,9.66695857932234)); #215959=CARTESIAN_POINT('',(19.666563,4.24,9.663078)); #215960=CARTESIAN_POINT('',(19.666563,4.25,9.663078)); #215961=CARTESIAN_POINT('Origin',(19.5580827758489,4.24,9.66695857932234)); #215962=CARTESIAN_POINT('Origin',(19.665751,4.25,9.655285)); #215963=CARTESIAN_POINT('',(19.665751,4.25,9.655285)); #215964=CARTESIAN_POINT('',(20.4895360615385,4.25,17.5613896607896)); #215965=CARTESIAN_POINT('',(19.665751,4.24,9.655285)); #215966=CARTESIAN_POINT('',(19.665751,4.25,9.655285)); #215967=CARTESIAN_POINT('',(19.665751,4.24,9.655285)); #215968=CARTESIAN_POINT('Origin',(19.5721817153236,4.25,9.67224249869678)); #215969=CARTESIAN_POINT('',(19.661972,4.25,9.64093)); #215970=CARTESIAN_POINT('Origin',(19.5721817153236,4.25,9.67224249869678)); #215971=CARTESIAN_POINT('',(19.661972,4.24,9.64093)); #215972=CARTESIAN_POINT('',(19.661972,4.25,9.64093)); #215973=CARTESIAN_POINT('Origin',(19.5721817153236,4.24,9.67224249869678)); #215974=CARTESIAN_POINT('Origin',(19.659018,4.25,9.634377)); #215975=CARTESIAN_POINT('',(19.659018,4.25,9.634377)); #215976=CARTESIAN_POINT('',(23.0559796660313,4.25,17.1700201271241)); #215977=CARTESIAN_POINT('',(19.659018,4.24,9.634377)); #215978=CARTESIAN_POINT('',(19.659018,4.25,9.634377)); #215979=CARTESIAN_POINT('',(19.659018,4.24,9.634377)); #215980=CARTESIAN_POINT('Origin',(19.655363,4.25,9.62825)); #215981=CARTESIAN_POINT('',(19.655363,4.25,9.62825)); #215982=CARTESIAN_POINT('',(23.8432928482317,4.25,16.648618311933)); #215983=CARTESIAN_POINT('',(19.655363,4.24,9.62825)); #215984=CARTESIAN_POINT('',(19.655363,4.25,9.62825)); #215985=CARTESIAN_POINT('',(19.655363,4.24,9.62825)); #215986=CARTESIAN_POINT('Origin',(19.566510891713,4.25,9.69509238934863)); #215987=CARTESIAN_POINT('',(19.645969,4.25,9.617317)); #215988=CARTESIAN_POINT('Origin',(19.566510891713,4.25,9.69509238934863)); #215989=CARTESIAN_POINT('',(19.645969,4.24,9.617317)); #215990=CARTESIAN_POINT('',(19.645969,4.25,9.617317)); #215991=CARTESIAN_POINT('Origin',(19.566510891713,4.24,9.69509238934863)); #215992=CARTESIAN_POINT('Origin',(19.640188,4.25,9.612408)); #215993=CARTESIAN_POINT('',(19.640188,4.25,9.61240799999999)); #215994=CARTESIAN_POINT('',(25.2257555983298,4.25,14.3554544176151)); #215995=CARTESIAN_POINT('',(19.640188,4.24,9.61240799999999)); #215996=CARTESIAN_POINT('',(19.640188,4.25,9.61240799999999)); #215997=CARTESIAN_POINT('',(19.640188,4.24,9.612408)); #215998=CARTESIAN_POINT('Origin',(19.5818927087676,4.25,9.7052339022712)); #215999=CARTESIAN_POINT('',(19.573952,4.25,9.595909)); #216000=CARTESIAN_POINT('Origin',(19.5818927087676,4.25,9.7052339022712)); #216001=CARTESIAN_POINT('',(19.573952,4.24,9.595909)); #216002=CARTESIAN_POINT('',(19.573952,4.25,9.595909)); #216003=CARTESIAN_POINT('Origin',(19.5818927087676,4.24,9.7052339022712)); #216004=CARTESIAN_POINT('Origin',(19.074818,4.25,9.596001)); #216005=CARTESIAN_POINT('',(19.074818,4.25,9.596001)); #216006=CARTESIAN_POINT('',(22.4359892591075,4.25,9.59538147146488)); #216007=CARTESIAN_POINT('',(19.074818,4.24,9.596001)); #216008=CARTESIAN_POINT('',(19.074818,4.25,9.596001)); #216009=CARTESIAN_POINT('',(19.074818,4.24,9.596001)); #216010=CARTESIAN_POINT('Origin',(19.074589,4.25,9.297016)); #216011=CARTESIAN_POINT('',(19.074589,4.25,9.297016)); #216012=CARTESIAN_POINT('',(19.0806046209192,4.25,17.1510789717287)); #216013=CARTESIAN_POINT('',(19.074589,4.24,9.297016)); #216014=CARTESIAN_POINT('',(19.074589,4.25,9.297016)); #216015=CARTESIAN_POINT('',(19.074589,4.24,9.297016)); #216016=CARTESIAN_POINT('Origin',(19.074503,4.25,7.551098)); #216017=CARTESIAN_POINT('',(19.074503,4.25,7.551098)); #216018=CARTESIAN_POINT('',(19.0749327550225,4.25,16.2757146202709)); #216019=CARTESIAN_POINT('',(19.074503,4.24,7.551098)); #216020=CARTESIAN_POINT('',(19.074503,4.25,7.551098)); #216021=CARTESIAN_POINT('',(19.074503,4.24,7.551098)); #216022=CARTESIAN_POINT('Origin',(19.045502,4.25,7.560345)); #216023=CARTESIAN_POINT('',(19.045502,4.25,7.560345)); #216024=CARTESIAN_POINT('',(19.5873383021187,4.25,7.38757991308254)); #216025=CARTESIAN_POINT('',(19.045502,4.24,7.560345)); #216026=CARTESIAN_POINT('',(19.045502,4.25,7.560345)); #216027=CARTESIAN_POINT('',(19.045502,4.24,7.560345)); #216028=CARTESIAN_POINT('Origin',(18.412739,4.25,7.762119)); #216029=CARTESIAN_POINT('',(18.412739,4.25,7.762119)); #216030=CARTESIAN_POINT('',(19.2707378378605,4.25,7.48852231765534)); #216031=CARTESIAN_POINT('',(18.412739,4.24,7.762119)); #216032=CARTESIAN_POINT('',(18.412739,4.25,7.762119)); #216033=CARTESIAN_POINT('',(18.412739,4.24,7.762119)); #216034=CARTESIAN_POINT('Origin',(18.4906857717842,4.25,7.99876746142391)); #216035=CARTESIAN_POINT('',(18.377342,4.25,7.776886)); #216036=CARTESIAN_POINT('Origin',(18.4906857717842,4.25,7.99876746142391)); #216037=CARTESIAN_POINT('',(18.377342,4.24,7.776886)); #216038=CARTESIAN_POINT('',(18.377342,4.25,7.776886)); #216039=CARTESIAN_POINT('Origin',(18.4906857717842,4.24,7.99876746142391)); #216040=CARTESIAN_POINT('Origin',(18.4325347229287,4.25,7.88124470402091)); #216041=CARTESIAN_POINT('',(18.360582,4.25,7.787651)); #216042=CARTESIAN_POINT('Origin',(18.4325347229287,4.25,7.88124470402091)); #216043=CARTESIAN_POINT('',(18.360582,4.24,7.787651)); #216044=CARTESIAN_POINT('',(18.360582,4.25,7.787651)); #216045=CARTESIAN_POINT('Origin',(18.4325347229287,4.24,7.88124470402091)); #216046=CARTESIAN_POINT('Origin',(18.4244012943532,4.25,7.8434694437859)); #216047=CARTESIAN_POINT('',(18.346664,4.25,7.809624)); #216048=CARTESIAN_POINT('Origin',(18.4244012943532,4.25,7.8434694437859)); #216049=CARTESIAN_POINT('',(18.346664,4.24,7.809624)); #216050=CARTESIAN_POINT('',(18.346664,4.25,7.809624)); #216051=CARTESIAN_POINT('Origin',(18.4244012943532,4.24,7.8434694437859)); #216052=CARTESIAN_POINT('Origin',(18.344412,4.25,7.815693)); #216053=CARTESIAN_POINT('',(18.344412,4.25,7.815693)); #216054=CARTESIAN_POINT('',(15.9931770252797,4.25,14.1521250877267)); #216055=CARTESIAN_POINT('',(18.344412,4.24,7.815693)); #216056=CARTESIAN_POINT('',(18.344412,4.25,7.815693)); #216057=CARTESIAN_POINT('',(18.344412,4.24,7.815693)); #216058=CARTESIAN_POINT('Origin',(18.342699,4.25,7.821846)); #216059=CARTESIAN_POINT('',(18.342699,4.25,7.821846)); #216060=CARTESIAN_POINT('',(16.3917054056147,4.25,14.8297056533926)); #216061=CARTESIAN_POINT('',(18.342699,4.24,7.821846)); #216062=CARTESIAN_POINT('',(18.342699,4.25,7.821846)); #216063=CARTESIAN_POINT('',(18.342699,4.24,7.821846)); #216064=CARTESIAN_POINT('Origin',(18.4448866080095,4.25,7.84279728852102)); #216065=CARTESIAN_POINT('',(18.34091,4.25,7.834423)); #216066=CARTESIAN_POINT('Origin',(18.4448866080095,4.25,7.84279728852102)); #216067=CARTESIAN_POINT('',(18.34091,4.24,7.834423)); #216068=CARTESIAN_POINT('',(18.34091,4.25,7.834423)); #216069=CARTESIAN_POINT('Origin',(18.4448866080095,4.24,7.84279728852102)); #216070=CARTESIAN_POINT('Origin',(18.4502862487729,4.25,7.83740645946946)); #216071=CARTESIAN_POINT('',(18.341444,4.25,7.848606)); #216072=CARTESIAN_POINT('Origin',(18.4502862487729,4.25,7.83740645946946)); #216073=CARTESIAN_POINT('',(18.341444,4.24,7.848606)); #216074=CARTESIAN_POINT('',(18.341444,4.25,7.848606)); #216075=CARTESIAN_POINT('Origin',(18.4502862487729,4.24,7.83740645946946)); #216076=CARTESIAN_POINT('Origin',(18.342712,4.25,7.855656)); #216077=CARTESIAN_POINT('',(18.342712,4.25,7.855656)); #216078=CARTESIAN_POINT('',(19.9530132268521,4.25,16.808829225014)); #216079=CARTESIAN_POINT('',(18.342712,4.24,7.855656)); #216080=CARTESIAN_POINT('',(18.342712,4.25,7.855656)); #216081=CARTESIAN_POINT('',(18.342712,4.24,7.855656)); #216082=CARTESIAN_POINT('Origin',(18.344652,4.25,7.862423)); #216083=CARTESIAN_POINT('',(18.344652,4.25,7.862423)); #216084=CARTESIAN_POINT('',(20.8977362140439,4.25,16.7679492249532)); #216085=CARTESIAN_POINT('',(18.344652,4.24,7.862423)); #216086=CARTESIAN_POINT('',(18.344652,4.25,7.862423)); #216087=CARTESIAN_POINT('',(18.344652,4.24,7.862423)); #216088=CARTESIAN_POINT('Origin',(18.347271,4.25,7.868904)); #216089=CARTESIAN_POINT('',(21.8458442049219,4.25,16.5265034429578)); #216090=CARTESIAN_POINT('',(18.347271,4.24,7.868904)); #216091=CARTESIAN_POINT('Origin',(19.0022721931469,4.24,8.64614480811138)); #216092=CARTESIAN_POINT('Origin',(24.234743,4.25,22.0846901)); #216093=CARTESIAN_POINT('',(24.234743,4.25,22.0846901)); #216094=CARTESIAN_POINT('',(24.233885,4.25,22.0803051)); #216095=CARTESIAN_POINT('',(24.538299591444,4.25,23.6360836355281)); #216096=CARTESIAN_POINT('',(24.234743,4.24,22.0846901)); #216097=CARTESIAN_POINT('',(24.234743,4.25,22.0846901)); #216098=CARTESIAN_POINT('',(24.233885,4.24,22.0803051)); #216099=CARTESIAN_POINT('',(24.234743,4.24,22.0846901)); #216100=CARTESIAN_POINT('',(24.233885,4.25,22.0803051)); #216101=CARTESIAN_POINT('Origin',(24.236025,4.25,22.0888653)); #216102=CARTESIAN_POINT('',(24.236025,4.25,22.0888653)); #216103=CARTESIAN_POINT('',(24.7118260294697,4.25,23.6384475607158)); #216104=CARTESIAN_POINT('',(24.236025,4.24,22.0888653)); #216105=CARTESIAN_POINT('',(24.236025,4.25,22.0888653)); #216106=CARTESIAN_POINT('',(24.236025,4.24,22.0888653)); #216107=CARTESIAN_POINT('Origin',(24.237728,4.25,22.092823)); #216108=CARTESIAN_POINT('',(24.237728,4.25,22.092823)); #216109=CARTESIAN_POINT('',(24.8875260790981,4.25,23.6029262634473)); #216110=CARTESIAN_POINT('',(24.237728,4.24,22.092823)); #216111=CARTESIAN_POINT('',(24.237728,4.25,22.092823)); #216112=CARTESIAN_POINT('',(24.237728,4.24,22.092823)); #216113=CARTESIAN_POINT('Origin',(24.3078067486011,4.25,22.0530399245731)); #216114=CARTESIAN_POINT('',(24.242374,4.25,22.1000748)); #216115=CARTESIAN_POINT('Origin',(24.3078067486011,4.25,22.0530399245731)); #216116=CARTESIAN_POINT('',(24.242374,4.24,22.1000748)); #216117=CARTESIAN_POINT('',(24.242374,4.25,22.1000748)); #216118=CARTESIAN_POINT('Origin',(24.3078067486011,4.24,22.0530399245731)); #216119=CARTESIAN_POINT('Origin',(24.245308,4.25,22.1033573)); #216120=CARTESIAN_POINT('',(24.245308,4.25,22.1033573)); #216121=CARTESIAN_POINT('',(25.310162318001,4.25,23.294694825167)); #216122=CARTESIAN_POINT('',(24.245308,4.24,22.1033573)); #216123=CARTESIAN_POINT('',(24.245308,4.25,22.1033573)); #216124=CARTESIAN_POINT('',(24.245308,4.24,22.1033573)); #216125=CARTESIAN_POINT('Origin',(24.248663,4.25,22.1064034)); #216126=CARTESIAN_POINT('',(24.248663,4.25,22.1064034)); #216127=CARTESIAN_POINT('',(25.3938796916661,4.25,23.1461782329303)); #216128=CARTESIAN_POINT('',(24.248663,4.24,22.1064034)); #216129=CARTESIAN_POINT('',(24.248663,4.25,22.1064034)); #216130=CARTESIAN_POINT('',(24.248663,4.24,22.1064034)); #216131=CARTESIAN_POINT('Origin',(24.252546,4.25,22.1091022)); #216132=CARTESIAN_POINT('',(24.252546,4.25,22.1091022)); #216133=CARTESIAN_POINT('',(25.4516541944175,4.25,22.9425179082395)); #216134=CARTESIAN_POINT('',(24.252546,4.24,22.1091022)); #216135=CARTESIAN_POINT('',(24.252546,4.25,22.1091022)); #216136=CARTESIAN_POINT('',(24.252546,4.24,22.1091022)); #216137=CARTESIAN_POINT('Origin',(24.256973,4.25,22.1114178)); #216138=CARTESIAN_POINT('',(24.256973,4.25,22.1114178)); #216139=CARTESIAN_POINT('',(25.4559168223476,4.25,22.73854097936)); #216140=CARTESIAN_POINT('',(24.256973,4.24,22.1114178)); #216141=CARTESIAN_POINT('',(24.256973,4.25,22.1114178)); #216142=CARTESIAN_POINT('',(24.256973,4.24,22.1114178)); #216143=CARTESIAN_POINT('Origin',(24.261946,4.25,22.113348)); #216144=CARTESIAN_POINT('',(24.261946,4.25,22.113348)); #216145=CARTESIAN_POINT('',(25.4171489365434,4.25,22.5617237707857)); #216146=CARTESIAN_POINT('',(24.261946,4.24,22.113348)); #216147=CARTESIAN_POINT('',(24.261946,4.25,22.113348)); #216148=CARTESIAN_POINT('',(24.261946,4.24,22.113348)); #216149=CARTESIAN_POINT('Origin',(24.267469,4.25,22.1148911)); #216150=CARTESIAN_POINT('',(24.267469,4.25,22.1148911)); #216151=CARTESIAN_POINT('',(25.3521085527979,4.25,22.4179342457399)); #216152=CARTESIAN_POINT('',(24.267469,4.24,22.1148911)); #216153=CARTESIAN_POINT('',(24.267469,4.25,22.1148911)); #216154=CARTESIAN_POINT('',(24.267469,4.24,22.1148911)); #216155=CARTESIAN_POINT('Origin',(24.273544,4.25,22.1160412)); #216156=CARTESIAN_POINT('',(24.273544,4.25,22.1160412)); #216157=CARTESIAN_POINT('',(25.2739090675848,4.25,22.3054271858814)); #216158=CARTESIAN_POINT('',(24.273544,4.24,22.1160412)); #216159=CARTESIAN_POINT('',(24.273544,4.25,22.1160412)); #216160=CARTESIAN_POINT('',(24.273544,4.24,22.1160412)); #216161=CARTESIAN_POINT('Origin',(24.280174,4.25,22.1168003)); #216162=CARTESIAN_POINT('',(24.280174,4.25,22.1168003)); #216163=CARTESIAN_POINT('',(25.1931740177856,4.25,22.2213339822777)); #216164=CARTESIAN_POINT('',(24.280174,4.24,22.1168003)); #216165=CARTESIAN_POINT('',(24.280174,4.25,22.1168003)); #216166=CARTESIAN_POINT('',(24.280174,4.24,22.1168003)); #216167=CARTESIAN_POINT('Origin',(24.287359,4.25,22.1171646)); #216168=CARTESIAN_POINT('',(24.287359,4.25,22.1171646)); #216169=CARTESIAN_POINT('',(25.1146367414856,4.25,22.1591099418545)); #216170=CARTESIAN_POINT('',(24.287359,4.24,22.1171646)); #216171=CARTESIAN_POINT('',(24.287359,4.25,22.1171646)); #216172=CARTESIAN_POINT('',(24.287359,4.24,22.1171646)); #216173=CARTESIAN_POINT('Origin',(24.3378465898526,4.25,22.4069546386178)); #216174=CARTESIAN_POINT('',(24.390003,4.25,22.1174603)); #216175=CARTESIAN_POINT('Origin',(24.3378465898526,4.25,22.4069546386178)); #216176=CARTESIAN_POINT('',(24.390003,4.24,22.1174603)); #216177=CARTESIAN_POINT('',(24.390003,4.25,22.1174603)); #216178=CARTESIAN_POINT('Origin',(24.3378465898526,4.24,22.4069546386178)); #216179=CARTESIAN_POINT('Origin',(24.390003,4.25,22.4282322)); #216180=CARTESIAN_POINT('',(24.390003,4.25,22.4282322)); #216181=CARTESIAN_POINT('',(24.390003,4.25,23.7141161)); #216182=CARTESIAN_POINT('',(24.390003,4.24,22.4282322)); #216183=CARTESIAN_POINT('',(24.390003,4.25,22.4282322)); #216184=CARTESIAN_POINT('',(24.390003,4.24,22.4282322)); #216185=CARTESIAN_POINT('Origin',(23.93911,4.25,22.428299)); #216186=CARTESIAN_POINT('',(23.93911,4.25,22.428299)); #216187=CARTESIAN_POINT('',(24.8693644802545,4.25,22.4281611823819)); #216188=CARTESIAN_POINT('',(23.93911,4.24,22.428299)); #216189=CARTESIAN_POINT('',(23.93911,4.25,22.428299)); #216190=CARTESIAN_POINT('',(23.93911,4.24,22.428299)); #216191=CARTESIAN_POINT('Origin',(23.939104,4.25,21.7651901)); #216192=CARTESIAN_POINT('',(23.939104,4.25,21.7651901)); #216193=CARTESIAN_POINT('',(23.9391186348212,4.25,23.3826034688286)); #216194=CARTESIAN_POINT('',(23.939104,4.24,21.7651901)); #216195=CARTESIAN_POINT('',(23.939104,4.25,21.7651901)); #216196=CARTESIAN_POINT('',(23.939104,4.24,21.7651901)); #216197=CARTESIAN_POINT('Origin',(24.0177348847787,4.25,18.9790783215709)); #216198=CARTESIAN_POINT('',(24.170397,4.25,21.7621155)); #216199=CARTESIAN_POINT('Origin',(24.0177348847787,4.25,18.9790783215709)); #216200=CARTESIAN_POINT('',(24.170397,4.24,21.7621155)); #216201=CARTESIAN_POINT('',(24.170397,4.25,21.7621155)); #216202=CARTESIAN_POINT('Origin',(24.0177348847787,4.24,18.9790783215709)); #216203=CARTESIAN_POINT('Origin',(24.1510110594862,4.25,21.6678665802678)); #216204=CARTESIAN_POINT('',(24.18154,4.25,21.7591171)); #216205=CARTESIAN_POINT('Origin',(24.1510110594862,4.25,21.6678665802678)); #216206=CARTESIAN_POINT('',(24.18154,4.24,21.7591171)); #216207=CARTESIAN_POINT('',(24.18154,4.25,21.7591171)); #216208=CARTESIAN_POINT('Origin',(24.1510110594862,4.24,21.6678665802678)); #216209=CARTESIAN_POINT('Origin',(24.186291,4.25,21.7570438)); #216210=CARTESIAN_POINT('',(24.186291,4.25,21.7570438)); #216211=CARTESIAN_POINT('',(24.2696669149332,4.25,21.7206591895115)); #216212=CARTESIAN_POINT('',(24.186291,4.24,21.7570438)); #216213=CARTESIAN_POINT('',(24.186291,4.25,21.7570438)); #216214=CARTESIAN_POINT('',(24.186291,4.24,21.7570438)); #216215=CARTESIAN_POINT('Origin',(24.190506,4.25,21.7545929)); #216216=CARTESIAN_POINT('',(24.190506,4.25,21.7545929)); #216217=CARTESIAN_POINT('',(24.086771542732,4.25,21.8149114720803)); #216218=CARTESIAN_POINT('',(24.190506,4.24,21.7545929)); #216219=CARTESIAN_POINT('',(24.190506,4.25,21.7545929)); #216220=CARTESIAN_POINT('',(24.190506,4.24,21.7545929)); #216221=CARTESIAN_POINT('Origin',(24.194191,4.25,21.7517681)); #216222=CARTESIAN_POINT('',(24.194191,4.25,21.7517681)); #216223=CARTESIAN_POINT('',(23.9157314156467,4.25,21.9652260739162)); #216224=CARTESIAN_POINT('',(24.194191,4.24,21.7517681)); #216225=CARTESIAN_POINT('',(24.194191,4.25,21.7517681)); #216226=CARTESIAN_POINT('',(24.194191,4.24,21.7517681)); #216227=CARTESIAN_POINT('Origin',(24.1348679031973,4.25,21.6921183057638)); #216228=CARTESIAN_POINT('',(24.20013,4.25,21.7452049)); #216229=CARTESIAN_POINT('Origin',(24.1348679031973,4.25,21.6921183057638)); #216230=CARTESIAN_POINT('',(24.20013,4.24,21.7452049)); #216231=CARTESIAN_POINT('',(24.20013,4.25,21.7452049)); #216232=CARTESIAN_POINT('Origin',(24.1348679031973,4.24,21.6921183057638)); #216233=CARTESIAN_POINT('Origin',(24.20249,4.25,21.7415943)); #216234=CARTESIAN_POINT('',(24.20249,4.25,21.7415943)); #216235=CARTESIAN_POINT('',(23.695465314588,4.25,22.5172991004863)); #216236=CARTESIAN_POINT('',(24.20249,4.24,21.7415943)); #216237=CARTESIAN_POINT('',(24.20249,4.25,21.7415943)); #216238=CARTESIAN_POINT('',(24.20249,4.24,21.7415943)); #216239=CARTESIAN_POINT('Origin',(24.204437,4.25,21.7377701)); #216240=CARTESIAN_POINT('',(24.204437,4.25,21.7377701)); #216241=CARTESIAN_POINT('',(23.7091653278794,4.25,22.7105579420779)); #216242=CARTESIAN_POINT('',(24.204437,4.24,21.7377701)); #216243=CARTESIAN_POINT('',(24.204437,4.25,21.7377701)); #216244=CARTESIAN_POINT('',(24.204437,4.24,21.7377701)); #216245=CARTESIAN_POINT('Origin',(24.1329797529871,4.25,21.7104831278058)); #216246=CARTESIAN_POINT('',(24.207073,4.25,21.7294807)); #216247=CARTESIAN_POINT('Origin',(24.1329797529871,4.25,21.7104831278058)); #216248=CARTESIAN_POINT('',(24.207073,4.24,21.7294807)); #216249=CARTESIAN_POINT('',(24.207073,4.25,21.7294807)); #216250=CARTESIAN_POINT('Origin',(24.1329797529871,4.24,21.7104831278058)); #216251=CARTESIAN_POINT('Origin',(24.207754,4.25,21.7250195)); #216252=CARTESIAN_POINT('',(24.207754,4.25,21.7250195)); #216253=CARTESIAN_POINT('',(23.9816125893003,4.25,23.2064615872441)); #216254=CARTESIAN_POINT('',(24.207754,4.24,21.7250195)); #216255=CARTESIAN_POINT('',(24.207754,4.25,21.7250195)); #216256=CARTESIAN_POINT('',(24.207754,4.24,21.7250195)); #216257=CARTESIAN_POINT('Origin',(24.208002,4.25,21.7203465)); #216258=CARTESIAN_POINT('',(24.208002,4.25,21.7203465)); #216259=CARTESIAN_POINT('',(24.1234550985206,4.25,23.3134419460049)); #216260=CARTESIAN_POINT('',(24.208002,4.24,21.7203465)); #216261=CARTESIAN_POINT('',(24.208002,4.25,21.7203465)); #216262=CARTESIAN_POINT('',(24.208002,4.24,21.7203465)); #216263=CARTESIAN_POINT('Origin',(24.1196788403097,4.25,21.7237240406341)); #216264=CARTESIAN_POINT('',(24.207199,4.25,21.7113705)); #216265=CARTESIAN_POINT('Origin',(24.1196788403097,4.25,21.7237240406341)); #216266=CARTESIAN_POINT('',(24.207199,4.24,21.7113705)); #216267=CARTESIAN_POINT('',(24.207199,4.25,21.7113705)); #216268=CARTESIAN_POINT('Origin',(24.1196788403097,4.24,21.7237240406341)); #216269=CARTESIAN_POINT('Origin',(24.206154,4.25,21.7071953)); #216270=CARTESIAN_POINT('',(24.206154,4.25,21.7071953)); #216271=CARTESIAN_POINT('',(24.6409149829939,4.25,23.4442422437367)); #216272=CARTESIAN_POINT('',(24.206154,4.24,21.7071953)); #216273=CARTESIAN_POINT('',(24.206154,4.25,21.7071953)); #216274=CARTESIAN_POINT('',(24.206154,4.24,21.7071953)); #216275=CARTESIAN_POINT('Origin',(24.204685,4.25,21.7032242)); #216276=CARTESIAN_POINT('',(24.204685,4.25,21.7032242)); #216277=CARTESIAN_POINT('',(24.8370765442556,4.25,23.4127477271534)); #216278=CARTESIAN_POINT('',(24.204685,4.24,21.7032242)); #216279=CARTESIAN_POINT('',(24.204685,4.25,21.7032242)); #216280=CARTESIAN_POINT('',(24.204685,4.24,21.7032242)); #216281=CARTESIAN_POINT('Origin',(24.202801,4.25,21.6994572)); #216282=CARTESIAN_POINT('',(24.202801,4.25,21.6994572)); #216283=CARTESIAN_POINT('',(25.0228024216812,4.25,23.3390247984477)); #216284=CARTESIAN_POINT('',(24.202801,4.24,21.6994572)); #216285=CARTESIAN_POINT('',(24.202801,4.25,21.6994572)); #216286=CARTESIAN_POINT('',(24.202801,4.24,21.6994572)); #216287=CARTESIAN_POINT('Origin',(24.2005,4.25,21.6958961)); #216288=CARTESIAN_POINT('',(24.2005,4.25,21.6958961)); #216289=CARTESIAN_POINT('',(25.1891174626936,4.25,23.2259115916983)); #216290=CARTESIAN_POINT('',(24.2005,4.24,21.6958961)); #216291=CARTESIAN_POINT('',(24.2005,4.25,21.6958961)); #216292=CARTESIAN_POINT('',(24.2005,4.24,21.6958961)); #216293=CARTESIAN_POINT('Origin',(24.197794,4.25,21.6925335)); #216294=CARTESIAN_POINT('',(24.197794,4.25,21.6925335)); #216295=CARTESIAN_POINT('',(25.3204033662827,4.25,23.087539507045)); #216296=CARTESIAN_POINT('',(24.197794,4.24,21.6925335)); #216297=CARTESIAN_POINT('',(24.197794,4.25,21.6925335)); #216298=CARTESIAN_POINT('',(24.197794,4.24,21.6925335)); #216299=CARTESIAN_POINT('Origin',(24.194685,4.25,21.6893711)); #216300=CARTESIAN_POINT('',(24.194685,4.25,21.6893711)); #216301=CARTESIAN_POINT('',(25.4167169602651,4.25,22.9323926088905)); #216302=CARTESIAN_POINT('',(24.194685,4.24,21.6893711)); #216303=CARTESIAN_POINT('',(24.194685,4.25,21.6893711)); #216304=CARTESIAN_POINT('',(24.194685,4.24,21.6893711)); #216305=CARTESIAN_POINT('Origin',(24.191078,4.25,21.6864605)); #216306=CARTESIAN_POINT('',(24.191078,4.25,21.6864605)); #216307=CARTESIAN_POINT('',(25.4879776860216,4.25,22.7329690184741)); #216308=CARTESIAN_POINT('',(24.191078,4.24,21.6864605)); #216309=CARTESIAN_POINT('',(24.191078,4.25,21.6864605)); #216310=CARTESIAN_POINT('',(24.191078,4.24,21.6864605)); #216311=CARTESIAN_POINT('Origin',(24.186941,4.25,21.6839294)); #216312=CARTESIAN_POINT('',(24.186941,4.25,21.6839294)); #216313=CARTESIAN_POINT('',(25.5119189849372,4.25,22.4945776421255)); #216314=CARTESIAN_POINT('',(24.186941,4.24,21.6839294)); #216315=CARTESIAN_POINT('',(24.186941,4.25,21.6839294)); #216316=CARTESIAN_POINT('',(24.186941,4.24,21.6839294)); #216317=CARTESIAN_POINT('Origin',(24.145483265311,4.25,21.7744057220994)); #216318=CARTESIAN_POINT('',(24.177053,4.25,21.6800232)); #216319=CARTESIAN_POINT('Origin',(24.145483265311,4.25,21.7744057220994)); #216320=CARTESIAN_POINT('',(24.177053,4.24,21.6800232)); #216321=CARTESIAN_POINT('',(24.177053,4.25,21.6800232)); #216322=CARTESIAN_POINT('Origin',(24.145483265311,4.24,21.7744057220994)); #216323=CARTESIAN_POINT('Origin',(24.1492250144581,4.25,21.7908146514883)); #216324=CARTESIAN_POINT('',(24.164965,4.25,21.6776714)); #216325=CARTESIAN_POINT('Origin',(24.1492250144581,4.25,21.7908146514883)); #216326=CARTESIAN_POINT('',(24.164965,4.24,21.6776714)); #216327=CARTESIAN_POINT('',(24.164965,4.25,21.6776714)); #216328=CARTESIAN_POINT('Origin',(24.1492250144581,4.24,21.7908146514883)); #216329=CARTESIAN_POINT('Origin',(24.158075,4.25,21.6770859)); #216330=CARTESIAN_POINT('',(24.158075,4.25,21.6770859)); #216331=CARTESIAN_POINT('',(25.1133270173214,4.25,21.758261524984)); #216332=CARTESIAN_POINT('',(24.158075,4.24,21.6770859)); #216333=CARTESIAN_POINT('',(24.158075,4.25,21.6770859)); #216334=CARTESIAN_POINT('',(24.158075,4.24,21.6770859)); #216335=CARTESIAN_POINT('Origin',(23.996086395685,4.25,38.542075824179)); #216336=CARTESIAN_POINT('',(23.854891,4.25,21.6768990000001)); #216337=CARTESIAN_POINT('Origin',(23.996086395685,4.25,38.542075824179)); #216338=CARTESIAN_POINT('',(23.854891,4.24,21.6768990000001)); #216339=CARTESIAN_POINT('',(23.854891,4.25,21.6768990000001)); #216340=CARTESIAN_POINT('Origin',(23.996086395685,4.24,38.542075824179)); #216341=CARTESIAN_POINT('Origin',(47.9239704504777,4.25,22.2059885099553)); #216342=CARTESIAN_POINT('',(23.853146,4.25,22.6486301)); #216343=CARTESIAN_POINT('Origin',(47.9239704504777,4.25,22.2059885099553)); #216344=CARTESIAN_POINT('',(23.853146,4.24,22.6486301)); #216345=CARTESIAN_POINT('',(23.853146,4.25,22.6486301)); #216346=CARTESIAN_POINT('Origin',(47.9239704504777,4.24,22.2059885099553)); #216347=CARTESIAN_POINT('Origin',(23.9934914009554,4.25,22.6297913255938)); #216348=CARTESIAN_POINT('',(23.855185,4.25,22.6601734)); #216349=CARTESIAN_POINT('Origin',(23.9934914009554,4.25,22.6297913255938)); #216350=CARTESIAN_POINT('',(23.855185,4.24,22.6601734)); #216351=CARTESIAN_POINT('',(23.855185,4.25,22.6601734)); #216352=CARTESIAN_POINT('Origin',(23.9934914009554,4.24,22.6297913255938)); #216353=CARTESIAN_POINT('Origin',(23.9502195774154,4.25,22.6309607414946)); #216354=CARTESIAN_POINT('',(23.85849,4.25,22.6693096)); #216355=CARTESIAN_POINT('Origin',(23.9502195774154,4.25,22.6309607414946)); #216356=CARTESIAN_POINT('',(23.85849,4.24,22.6693096)); #216357=CARTESIAN_POINT('',(23.85849,4.25,22.6693096)); #216358=CARTESIAN_POINT('Origin',(23.9502195774154,4.24,22.6309607414946)); #216359=CARTESIAN_POINT('Origin',(23.860611,4.25,22.6729889)); #216360=CARTESIAN_POINT('',(23.860611,4.25,22.6729889)); #216361=CARTESIAN_POINT('',(24.6059064613217,4.25,23.9658533935591)); #216362=CARTESIAN_POINT('',(23.860611,4.24,22.6729889)); #216363=CARTESIAN_POINT('',(23.860611,4.25,22.6729889)); #216364=CARTESIAN_POINT('',(23.860611,4.24,22.6729889)); #216365=CARTESIAN_POINT('Origin',(23.863199,4.25,22.6762543)); #216366=CARTESIAN_POINT('',(23.863199,4.25,22.6762543)); #216367=CARTESIAN_POINT('',(24.8023909374329,4.25,23.8612764609339)); #216368=CARTESIAN_POINT('',(23.863199,4.24,22.6762543)); #216369=CARTESIAN_POINT('',(23.863199,4.25,22.6762543)); #216370=CARTESIAN_POINT('',(23.863199,4.24,22.6762543)); #216371=CARTESIAN_POINT('Origin',(23.866779,4.25,22.6797981)); #216372=CARTESIAN_POINT('',(23.866779,4.25,22.6797981)); #216373=CARTESIAN_POINT('',(24.9350165294788,4.25,23.7372338980348)); #216374=CARTESIAN_POINT('',(23.866779,4.24,22.6797981)); #216375=CARTESIAN_POINT('',(23.866779,4.25,22.6797981)); #216376=CARTESIAN_POINT('',(23.866779,4.24,22.6797981)); #216377=CARTESIAN_POINT('Origin',(23.870529,4.25,22.6828365)); #216378=CARTESIAN_POINT('',(23.870529,4.25,22.6828365)); #216379=CARTESIAN_POINT('',(25.0196250342938,4.25,23.6138800708261)); #216380=CARTESIAN_POINT('',(23.870529,4.24,22.6828365)); #216381=CARTESIAN_POINT('',(23.870529,4.25,22.6828365)); #216382=CARTESIAN_POINT('',(23.870529,4.24,22.6828365)); #216383=CARTESIAN_POINT('Origin',(23.874453,4.25,22.6853752)); #216384=CARTESIAN_POINT('',(23.874453,4.25,22.6853752)); #216385=CARTESIAN_POINT('',(25.0809643058462,4.25,23.4659486587544)); #216386=CARTESIAN_POINT('',(23.874453,4.24,22.6853752)); #216387=CARTESIAN_POINT('',(23.874453,4.25,22.6853752)); #216388=CARTESIAN_POINT('',(23.874453,4.24,22.6853752)); #216389=CARTESIAN_POINT('Origin',(23.878557,4.25,22.6874218)); #216390=CARTESIAN_POINT('',(23.878557,4.25,22.6874218)); #216391=CARTESIAN_POINT('',(25.1097269567329,4.25,23.3013868178965)); #216392=CARTESIAN_POINT('',(23.878557,4.24,22.6874218)); #216393=CARTESIAN_POINT('',(23.878557,4.25,22.6874218)); #216394=CARTESIAN_POINT('',(23.878557,4.24,22.6874218)); #216395=CARTESIAN_POINT('Origin',(23.882847,4.25,22.6889801)); #216396=CARTESIAN_POINT('',(23.882847,4.25,22.6889801)); #216397=CARTESIAN_POINT('',(25.1004909954374,4.25,23.131277218436)); #216398=CARTESIAN_POINT('',(23.882847,4.24,22.6889801)); #216399=CARTESIAN_POINT('',(23.882847,4.25,22.6889801)); #216400=CARTESIAN_POINT('',(23.882847,4.24,22.6889801)); #216401=CARTESIAN_POINT('Origin',(23.887329,4.25,22.6900558)); #216402=CARTESIAN_POINT('',(23.887329,4.25,22.6900558)); #216403=CARTESIAN_POINT('',(25.0536787159568,4.25,22.9699849364245)); #216404=CARTESIAN_POINT('',(23.887329,4.24,22.6900558)); #216405=CARTESIAN_POINT('',(23.887329,4.25,22.6900558)); #216406=CARTESIAN_POINT('',(23.887329,4.24,22.6900558)); #216407=CARTESIAN_POINT('Origin',(23.8995994106659,4.25,22.5927151800792)); #216408=CARTESIAN_POINT('',(23.896875,4.25,22.6907883)); #216409=CARTESIAN_POINT('Origin',(23.8995994106659,4.25,22.5927151800792)); #216410=CARTESIAN_POINT('',(23.896875,4.24,22.6907883)); #216411=CARTESIAN_POINT('',(23.896875,4.25,22.6907883)); #216412=CARTESIAN_POINT('Origin',(23.8995994106659,4.24,22.5927151800792)); #216413=CARTESIAN_POINT('Origin',(23.901613,4.25,22.6904755)); #216414=CARTESIAN_POINT('',(23.901613,4.25,22.6904755)); #216415=CARTESIAN_POINT('',(24.7707814430948,4.25,22.6330935056987)); #216416=CARTESIAN_POINT('',(23.901613,4.24,22.6904755)); #216417=CARTESIAN_POINT('',(23.901613,4.25,22.6904755)); #216418=CARTESIAN_POINT('',(23.901613,4.24,22.6904755)); #216419=CARTESIAN_POINT('Origin',(23.90612,4.25,22.6897316)); #216420=CARTESIAN_POINT('',(23.90612,4.25,22.6897316)); #216421=CARTESIAN_POINT('',(24.6423431788852,4.25,22.5682147544769)); #216422=CARTESIAN_POINT('',(23.90612,4.24,22.6897316)); #216423=CARTESIAN_POINT('',(23.90612,4.25,22.6897316)); #216424=CARTESIAN_POINT('',(23.90612,4.24,22.6897316)); #216425=CARTESIAN_POINT('Origin',(23.8830992422868,4.25,22.6067303032275)); #216426=CARTESIAN_POINT('',(23.914412,4.25,22.6869717)); #216427=CARTESIAN_POINT('Origin',(23.8830992422868,4.25,22.6067303032275)); #216428=CARTESIAN_POINT('',(23.914412,4.24,22.6869717)); #216429=CARTESIAN_POINT('',(23.914412,4.25,22.6869717)); #216430=CARTESIAN_POINT('Origin',(23.8830992422868,4.24,22.6067303032275)); #216431=CARTESIAN_POINT('Origin',(23.8802186617109,4.25,22.6223203659389)); #216432=CARTESIAN_POINT('',(23.921713,4.25,22.6825466)); #216433=CARTESIAN_POINT('Origin',(23.8802186617109,4.25,22.6223203659389)); #216434=CARTESIAN_POINT('',(23.921713,4.24,22.6825466)); #216435=CARTESIAN_POINT('',(23.921713,4.25,22.6825466)); #216436=CARTESIAN_POINT('Origin',(23.8802186617109,4.24,22.6223203659389)); #216437=CARTESIAN_POINT('Origin',(23.92498,4.25,22.6797199)); #216438=CARTESIAN_POINT('',(23.92498,4.25,22.6797199)); #216439=CARTESIAN_POINT('',(23.8870782740782,4.25,22.7125135359545)); #216440=CARTESIAN_POINT('',(23.92498,4.24,22.6797199)); #216441=CARTESIAN_POINT('',(23.92498,4.25,22.6797199)); #216442=CARTESIAN_POINT('',(23.92498,4.24,22.6797199)); #216443=CARTESIAN_POINT('Origin',(23.928009,4.25,22.676487)); #216444=CARTESIAN_POINT('',(23.928009,4.25,22.676487)); #216445=CARTESIAN_POINT('',(23.7859133808234,4.25,22.8281479201835)); #216446=CARTESIAN_POINT('',(23.928009,4.24,22.676487)); #216447=CARTESIAN_POINT('',(23.928009,4.25,22.676487)); #216448=CARTESIAN_POINT('',(23.928009,4.24,22.676487)); #216449=CARTESIAN_POINT('Origin',(23.930754,4.25,22.6727505)); #216450=CARTESIAN_POINT('',(23.930754,4.25,22.6727505)); #216451=CARTESIAN_POINT('',(23.7031567955555,4.25,22.9825563121698)); #216452=CARTESIAN_POINT('',(23.930754,4.24,22.6727505)); #216453=CARTESIAN_POINT('',(23.930754,4.25,22.6727505)); #216454=CARTESIAN_POINT('',(23.930754,4.24,22.6727505)); #216455=CARTESIAN_POINT('Origin',(23.933098,4.25,22.6684704)); #216456=CARTESIAN_POINT('',(23.933098,4.25,22.6684704)); #216457=CARTESIAN_POINT('',(23.6573350937861,4.25,23.1720082903096)); #216458=CARTESIAN_POINT('',(23.933098,4.24,22.6684704)); #216459=CARTESIAN_POINT('',(23.933098,4.25,22.6684704)); #216460=CARTESIAN_POINT('',(23.933098,4.24,22.6684704)); #216461=CARTESIAN_POINT('Origin',(23.935047,4.25,22.6636429)); #216462=CARTESIAN_POINT('',(23.935047,4.25,22.6636429)); #216463=CARTESIAN_POINT('',(23.660208381791,4.25,23.3443937616752)); #216464=CARTESIAN_POINT('',(23.935047,4.24,22.6636429)); #216465=CARTESIAN_POINT('',(23.935047,4.25,22.6636429)); #216466=CARTESIAN_POINT('',(23.935047,4.24,22.6636429)); #216467=CARTESIAN_POINT('Origin',(23.936607,4.25,22.658268)); #216468=CARTESIAN_POINT('',(23.936607,4.25,22.658268)); #216469=CARTESIAN_POINT('',(23.6955663591291,4.25,23.4887611670619)); #216470=CARTESIAN_POINT('',(23.936607,4.24,22.658268)); #216471=CARTESIAN_POINT('',(23.936607,4.25,22.658268)); #216472=CARTESIAN_POINT('',(23.936607,4.24,22.658268)); #216473=CARTESIAN_POINT('Origin',(23.937786,4.25,22.6523399)); #216474=CARTESIAN_POINT('',(23.937786,4.25,22.6523399)); #216475=CARTESIAN_POINT('',(23.7486418657312,4.25,23.6033707247338)); #216476=CARTESIAN_POINT('',(23.937786,4.24,22.6523399)); #216477=CARTESIAN_POINT('',(23.937786,4.25,22.6523399)); #216478=CARTESIAN_POINT('',(23.937786,4.24,22.6523399)); #216479=CARTESIAN_POINT('Origin',(23.938589,4.25,22.6458607)); #216480=CARTESIAN_POINT('',(23.938589,4.25,22.6458607)); #216481=CARTESIAN_POINT('',(23.808994902878,4.25,23.6915220624816)); #216482=CARTESIAN_POINT('',(23.938589,4.24,22.6458607)); #216483=CARTESIAN_POINT('',(23.938589,4.25,22.6458607)); #216484=CARTESIAN_POINT('',(23.938589,4.24,22.6458607)); #216485=CARTESIAN_POINT('Origin',(23.93902,4.25,22.6388226)); #216486=CARTESIAN_POINT('',(23.93902,4.25,22.6388226)); #216487=CARTESIAN_POINT('',(23.8704694785724,4.25,23.75823193842)); #216488=CARTESIAN_POINT('',(23.93902,4.24,22.6388226)); #216489=CARTESIAN_POINT('',(23.93902,4.25,22.6388226)); #216490=CARTESIAN_POINT('',(23.93902,4.24,22.6388226)); #216491=CARTESIAN_POINT('Origin',(23.939104,4.25,22.5151978)); #216492=CARTESIAN_POINT('',(23.939104,4.25,22.5151978)); #216493=CARTESIAN_POINT('',(23.9382602490855,4.25,23.7569661102436)); #216494=CARTESIAN_POINT('',(23.939104,4.24,22.5151978)); #216495=CARTESIAN_POINT('',(23.939104,4.25,22.5151978)); #216496=CARTESIAN_POINT('',(23.939104,4.24,22.5151978)); #216497=CARTESIAN_POINT('Origin',(24.973848,4.25,22.5150986)); #216498=CARTESIAN_POINT('',(24.973848,4.25,22.5150986)); #216499=CARTESIAN_POINT('',(25.3868048835454,4.25,22.5150590101857)); #216500=CARTESIAN_POINT('',(24.973848,4.24,22.5150986)); #216501=CARTESIAN_POINT('',(24.973848,4.25,22.5150986)); #216502=CARTESIAN_POINT('',(24.973848,4.24,22.5150986)); #216503=CARTESIAN_POINT('Origin',(25.851849139838,4.25,22.5734250498478)); #216504=CARTESIAN_POINT('',(24.975336,4.25,22.6509666)); #216505=CARTESIAN_POINT('Origin',(25.851849139838,4.25,22.5734250498478)); #216506=CARTESIAN_POINT('',(24.975336,4.24,22.6509666)); #216507=CARTESIAN_POINT('',(24.975336,4.25,22.6509666)); #216508=CARTESIAN_POINT('Origin',(25.851849139838,4.24,22.5734250498478)); #216509=CARTESIAN_POINT('Origin',(25.070849412961,4.25,22.6338179350497)); #216510=CARTESIAN_POINT('',(24.979826,4.25,22.6674576)); #216511=CARTESIAN_POINT('Origin',(25.070849412961,4.25,22.6338179350497)); #216512=CARTESIAN_POINT('',(24.979826,4.24,22.6674576)); #216513=CARTESIAN_POINT('',(24.979826,4.25,22.6674576)); #216514=CARTESIAN_POINT('Origin',(25.070849412961,4.24,22.6338179350497)); #216515=CARTESIAN_POINT('Origin',(24.982096,4.25,22.6718578)); #216516=CARTESIAN_POINT('',(24.982096,4.25,22.6718578)); #216517=CARTESIAN_POINT('',(25.5423550194381,4.25,23.7578717812044)); #216518=CARTESIAN_POINT('',(24.982096,4.24,22.6718578)); #216519=CARTESIAN_POINT('',(24.982096,4.25,22.6718578)); #216520=CARTESIAN_POINT('',(24.982096,4.24,22.6718578)); #216521=CARTESIAN_POINT('Origin',(24.98476,4.25,22.6757145)); #216522=CARTESIAN_POINT('',(24.98476,4.25,22.6757145)); #216523=CARTESIAN_POINT('',(25.6598753633346,4.25,23.653085904569)); #216524=CARTESIAN_POINT('',(24.98476,4.24,22.6757145)); #216525=CARTESIAN_POINT('',(24.98476,4.25,22.6757145)); #216526=CARTESIAN_POINT('',(24.98476,4.24,22.6757145)); #216527=CARTESIAN_POINT('Origin',(24.987745,4.25,22.6790466)); #216528=CARTESIAN_POINT('',(24.987745,4.25,22.6790466)); #216529=CARTESIAN_POINT('',(25.7453061274465,4.25,23.5246980012611)); #216530=CARTESIAN_POINT('',(24.987745,4.24,22.6790466)); #216531=CARTESIAN_POINT('',(24.987745,4.25,22.6790466)); #216532=CARTESIAN_POINT('',(24.987745,4.24,22.6790466)); #216533=CARTESIAN_POINT('Origin',(24.990955,4.25,22.6819611)); #216534=CARTESIAN_POINT('',(24.990955,4.25,22.6819611)); #216535=CARTESIAN_POINT('',(25.789506511163,4.25,23.4070010935466)); #216536=CARTESIAN_POINT('',(24.990955,4.24,22.6819611)); #216537=CARTESIAN_POINT('',(24.990955,4.25,22.6819611)); #216538=CARTESIAN_POINT('',(24.990955,4.24,22.6819611)); #216539=CARTESIAN_POINT('Origin',(24.994423,4.25,22.6844692)); #216540=CARTESIAN_POINT('',(24.994423,4.25,22.6844692)); #216541=CARTESIAN_POINT('',(25.8086510642962,4.25,23.2733288909059)); #216542=CARTESIAN_POINT('',(24.994423,4.24,22.6844692)); #216543=CARTESIAN_POINT('',(24.994423,4.25,22.6844692)); #216544=CARTESIAN_POINT('',(24.994423,4.24,22.6844692)); #216545=CARTESIAN_POINT('Origin',(24.998144,4.25,22.6865654)); #216546=CARTESIAN_POINT('',(24.998144,4.25,22.6865654)); #216547=CARTESIAN_POINT('',(25.7971362031647,4.25,23.1366722143709)); #216548=CARTESIAN_POINT('',(24.998144,4.24,22.6865654)); #216549=CARTESIAN_POINT('',(24.998144,4.25,22.6865654)); #216550=CARTESIAN_POINT('',(24.998144,4.24,22.6865654)); #216551=CARTESIAN_POINT('Origin',(25.002113,4.25,22.6882496)); #216552=CARTESIAN_POINT('',(25.002113,4.25,22.6882496)); #216553=CARTESIAN_POINT('',(25.7558234291899,4.25,23.0080780466721)); #216554=CARTESIAN_POINT('',(25.002113,4.24,22.6882496)); #216555=CARTESIAN_POINT('',(25.002113,4.25,22.6882496)); #216556=CARTESIAN_POINT('',(25.002113,4.24,22.6882496)); #216557=CARTESIAN_POINT('Origin',(25.0300436372897,4.25,22.5918924980172)); #216558=CARTESIAN_POINT('',(25.010782,4.25,22.6903496)); #216559=CARTESIAN_POINT('Origin',(25.0300436372897,4.25,22.5918924980172)); #216560=CARTESIAN_POINT('',(25.010782,4.24,22.6903496)); #216561=CARTESIAN_POINT('',(25.010782,4.25,22.6903496)); #216562=CARTESIAN_POINT('Origin',(25.0300436372897,4.24,22.5918924980172)); #216563=CARTESIAN_POINT('Origin',(25.0189368668322,4.25,22.6050137670621)); #216564=CARTESIAN_POINT('',(25.020197,4.25,22.6907291)); #216565=CARTESIAN_POINT('Origin',(25.0189368668322,4.25,22.6050137670621)); #216566=CARTESIAN_POINT('',(25.020197,4.24,22.6907291)); #216567=CARTESIAN_POINT('',(25.020197,4.25,22.6907291)); #216568=CARTESIAN_POINT('Origin',(25.0189368668322,4.24,22.6050137670621)); #216569=CARTESIAN_POINT('Origin',(25.024656,4.25,22.6902676)); #216570=CARTESIAN_POINT('',(25.024656,4.25,22.6902676)); #216571=CARTESIAN_POINT('',(25.2899591185017,4.25,22.6628091139743)); #216572=CARTESIAN_POINT('',(25.024656,4.24,22.6902676)); #216573=CARTESIAN_POINT('',(25.024656,4.25,22.6902676)); #216574=CARTESIAN_POINT('',(25.024656,4.24,22.6902676)); #216575=CARTESIAN_POINT('Origin',(25.028917,4.25,22.6893806)); #216576=CARTESIAN_POINT('',(25.028917,4.25,22.6893806)); #216577=CARTESIAN_POINT('',(25.1679368130382,4.25,22.6604412491047)); #216578=CARTESIAN_POINT('',(25.028917,4.24,22.6893806)); #216579=CARTESIAN_POINT('',(25.028917,4.25,22.6893806)); #216580=CARTESIAN_POINT('',(25.028917,4.24,22.6893806)); #216581=CARTESIAN_POINT('Origin',(25.032986,4.25,22.6880665)); #216582=CARTESIAN_POINT('',(25.032986,4.25,22.6880665)); #216583=CARTESIAN_POINT('',(25.0422071094055,4.25,22.6850885054387)); #216584=CARTESIAN_POINT('',(25.032986,4.24,22.6880665)); #216585=CARTESIAN_POINT('',(25.032986,4.25,22.6880665)); #216586=CARTESIAN_POINT('',(25.032986,4.24,22.6880665)); #216587=CARTESIAN_POINT('Origin',(25.036865,4.25,22.6863327)); #216588=CARTESIAN_POINT('',(25.036865,4.25,22.6863327)); #216589=CARTESIAN_POINT('',(24.9239250380481,4.25,22.7368135729137)); #216590=CARTESIAN_POINT('',(25.036865,4.24,22.6863327)); #216591=CARTESIAN_POINT('',(25.036865,4.25,22.6863327)); #216592=CARTESIAN_POINT('',(25.036865,4.24,22.6863327)); #216593=CARTESIAN_POINT('Origin',(25.040564,4.25,22.684185)); #216594=CARTESIAN_POINT('',(25.040564,4.25,22.684185)); #216595=CARTESIAN_POINT('',(24.821748131318,4.25,22.8112330781747)); #216596=CARTESIAN_POINT('',(25.040564,4.24,22.684185)); #216597=CARTESIAN_POINT('',(25.040564,4.25,22.684185)); #216598=CARTESIAN_POINT('',(25.040564,4.24,22.684185)); #216599=CARTESIAN_POINT('Origin',(25.044086,4.25,22.6816273)); #216600=CARTESIAN_POINT('',(25.044086,4.25,22.6816273)); #216601=CARTESIAN_POINT('',(24.7403940194534,4.25,22.9021704512334)); #216602=CARTESIAN_POINT('',(25.044086,4.24,22.6816273)); #216603=CARTESIAN_POINT('',(25.044086,4.25,22.6816273)); #216604=CARTESIAN_POINT('',(25.044086,4.24,22.6816273)); #216605=CARTESIAN_POINT('Origin',(25.04744,4.25,22.6786633)); #216606=CARTESIAN_POINT('',(25.04744,4.25,22.6786633)); #216607=CARTESIAN_POINT('',(24.6827906334953,4.25,23.0009115773762)); #216608=CARTESIAN_POINT('',(25.04744,4.24,22.6786633)); #216609=CARTESIAN_POINT('',(25.04744,4.25,22.6786633)); #216610=CARTESIAN_POINT('',(25.04744,4.24,22.6786633)); #216611=CARTESIAN_POINT('Origin',(24.9974975700027,4.25,22.6338499568435)); #216612=CARTESIAN_POINT('',(25.053141,4.25,22.6713505)); #216613=CARTESIAN_POINT('Origin',(24.9974975700027,4.25,22.6338499568435)); #216614=CARTESIAN_POINT('',(25.053141,4.24,22.6713505)); #216615=CARTESIAN_POINT('',(25.053141,4.25,22.6713505)); #216616=CARTESIAN_POINT('Origin',(24.9974975700027,4.24,22.6338499568435)); #216617=CARTESIAN_POINT('Origin',(25.055408,4.25,22.6668835)); #216618=CARTESIAN_POINT('',(25.055408,4.25,22.6668835)); #216619=CARTESIAN_POINT('',(24.6608801743484,4.25,23.4442790876418)); #216620=CARTESIAN_POINT('',(25.055408,4.24,22.6668835)); #216621=CARTESIAN_POINT('',(25.055408,4.25,22.6668835)); #216622=CARTESIAN_POINT('',(25.055408,4.24,22.6668835)); #216623=CARTESIAN_POINT('Origin',(25.057297,4.25,22.661869)); #216624=CARTESIAN_POINT('',(25.057297,4.25,22.661869)); #216625=CARTESIAN_POINT('',(24.7177795963289,4.25,23.5631448182708)); #216626=CARTESIAN_POINT('',(25.057297,4.24,22.661869)); #216627=CARTESIAN_POINT('',(25.057297,4.25,22.661869)); #216628=CARTESIAN_POINT('',(25.057297,4.24,22.661869)); #216629=CARTESIAN_POINT('Origin',(25.0588,4.25,22.6563053)); #216630=CARTESIAN_POINT('',(25.0588,4.25,22.6563053)); #216631=CARTESIAN_POINT('',(24.7889696786948,4.25,23.6551442611715)); #216632=CARTESIAN_POINT('',(25.0588,4.24,22.6563053)); #216633=CARTESIAN_POINT('',(25.0588,4.25,22.6563053)); #216634=CARTESIAN_POINT('',(25.0588,4.24,22.6563053)); #216635=CARTESIAN_POINT('Origin',(25.059919,4.25,22.6501904)); #216636=CARTESIAN_POINT('',(25.059919,4.25,22.6501904)); #216637=CARTESIAN_POINT('',(24.8638733075354,4.25,23.7215038985287)); #216638=CARTESIAN_POINT('',(25.059919,4.24,22.6501904)); #216639=CARTESIAN_POINT('',(25.059919,4.25,22.6501904)); #216640=CARTESIAN_POINT('',(25.059919,4.24,22.6501904)); #216641=CARTESIAN_POINT('Origin',(21.6366753856385,4.25,22.3805946556498)); #216642=CARTESIAN_POINT('',(25.060427,4.25,22.1175289)); #216643=CARTESIAN_POINT('Origin',(21.6366753856385,4.25,22.3805946556498)); #216644=CARTESIAN_POINT('',(25.060427,4.24,22.1175289)); #216645=CARTESIAN_POINT('',(25.060427,4.25,22.1175289)); #216646=CARTESIAN_POINT('Origin',(21.6366753856385,4.24,22.3805946556498)); #216647=CARTESIAN_POINT('Origin',(24.9641964919704,4.25,22.1287260438162)); #216648=CARTESIAN_POINT('',(25.05665,4.25,22.0997772)); #216649=CARTESIAN_POINT('Origin',(24.9641964919704,4.25,22.1287260438162)); #216650=CARTESIAN_POINT('',(25.05665,4.24,22.0997772)); #216651=CARTESIAN_POINT('',(25.05665,4.25,22.0997772)); #216652=CARTESIAN_POINT('Origin',(24.9641964919704,4.24,22.1287260438162)); #216653=CARTESIAN_POINT('Origin',(25.054623,4.25,22.0949612)); #216654=CARTESIAN_POINT('',(25.054623,4.25,22.0949612)); #216655=CARTESIAN_POINT('',(25.6300563119506,4.25,23.4621475987905)); #216656=CARTESIAN_POINT('',(25.054623,4.24,22.0949612)); #216657=CARTESIAN_POINT('',(25.054623,4.25,22.0949612)); #216658=CARTESIAN_POINT('',(25.054623,4.24,22.0949612)); #216659=CARTESIAN_POINT('Origin',(24.9894614349932,4.25,22.1313652536765)); #216660=CARTESIAN_POINT('',(25.049437,4.25,22.0869331)); #216661=CARTESIAN_POINT('Origin',(24.9894614349932,4.25,22.1313652536765)); #216662=CARTESIAN_POINT('',(25.049437,4.24,22.0869331)); #216663=CARTESIAN_POINT('',(25.049437,4.25,22.0869331)); #216664=CARTESIAN_POINT('Origin',(24.9894614349932,4.24,22.1313652536765)); #216665=CARTESIAN_POINT('Origin',(24.9863766433964,4.25,22.1493203530331)); #216666=CARTESIAN_POINT('',(25.042818,4.25,22.0808868)); #216667=CARTESIAN_POINT('Origin',(24.9863766433964,4.25,22.1493203530331)); #216668=CARTESIAN_POINT('',(25.042818,4.24,22.0808868)); #216669=CARTESIAN_POINT('',(25.042818,4.25,22.0808868)); #216670=CARTESIAN_POINT('Origin',(24.9863766433964,4.24,22.1493203530331)); #216671=CARTESIAN_POINT('Origin',(25.03923,4.25,22.0784779)); #216672=CARTESIAN_POINT('',(25.03923,4.25,22.0784779)); #216673=CARTESIAN_POINT('',(25.9774406336777,4.25,22.7083707638425)); #216674=CARTESIAN_POINT('',(25.03923,4.24,22.0784779)); #216675=CARTESIAN_POINT('',(25.03923,4.25,22.0784779)); #216676=CARTESIAN_POINT('',(25.03923,4.24,22.0784779)); #216677=CARTESIAN_POINT('Origin',(25.035467,4.25,22.0764809)); #216678=CARTESIAN_POINT('',(25.035467,4.25,22.0764809)); #216679=CARTESIAN_POINT('',(25.9390099789578,4.25,22.5559853722238)); #216680=CARTESIAN_POINT('',(25.035467,4.24,22.0764809)); #216681=CARTESIAN_POINT('',(25.035467,4.25,22.0764809)); #216682=CARTESIAN_POINT('',(25.035467,4.24,22.0764809)); #216683=CARTESIAN_POINT('Origin',(25.031519,4.25,22.0748997)); #216684=CARTESIAN_POINT('',(25.031519,4.25,22.0748997)); #216685=CARTESIAN_POINT('',(25.8674349327205,4.25,22.4096895360733)); #216686=CARTESIAN_POINT('',(25.031519,4.24,22.0748997)); #216687=CARTESIAN_POINT('',(25.031519,4.25,22.0748997)); #216688=CARTESIAN_POINT('',(25.031519,4.24,22.0748997)); #216689=CARTESIAN_POINT('Origin',(25.027382,4.25,22.07374)); #216690=CARTESIAN_POINT('',(25.027382,4.25,22.07374)); #216691=CARTESIAN_POINT('',(25.7658142116712,4.25,22.2807402020486)); #216692=CARTESIAN_POINT('',(25.027382,4.24,22.07374)); #216693=CARTESIAN_POINT('',(25.027382,4.25,22.07374)); #216694=CARTESIAN_POINT('',(25.027382,4.24,22.07374)); #216695=CARTESIAN_POINT('Origin',(25.0120573905894,4.25,22.1666496625489)); #216696=CARTESIAN_POINT('',(25.018513,4.25,22.0727062)); #216697=CARTESIAN_POINT('Origin',(25.0120573905894,4.25,22.1666496625489)); #216698=CARTESIAN_POINT('',(25.018513,4.24,22.0727062)); #216699=CARTESIAN_POINT('',(25.018513,4.25,22.0727062)); #216700=CARTESIAN_POINT('Origin',(25.0120573905894,4.24,22.1666496625489)); #216701=CARTESIAN_POINT('Origin',(25.0201436043474,4.25,22.1580765226039)); #216702=CARTESIAN_POINT('',(25.009127,4.25,22.0734043)); #216703=CARTESIAN_POINT('Origin',(25.0201436043474,4.25,22.1580765226039)); #216704=CARTESIAN_POINT('',(25.009127,4.24,22.0734043)); #216705=CARTESIAN_POINT('',(25.009127,4.25,22.0734043)); #216706=CARTESIAN_POINT('Origin',(25.0201436043474,4.24,22.1580765226039)); #216707=CARTESIAN_POINT('Origin',(25.004759,4.25,22.074398)); #216708=CARTESIAN_POINT('',(25.004759,4.25,22.074398)); #216709=CARTESIAN_POINT('',(25.0664083590997,4.25,22.0603730530821)); #216710=CARTESIAN_POINT('',(25.004759,4.24,22.074398)); #216711=CARTESIAN_POINT('',(25.004759,4.25,22.074398)); #216712=CARTESIAN_POINT('',(25.004759,4.24,22.074398)); #216713=CARTESIAN_POINT('Origin',(25.000633,4.25,22.0758133)); #216714=CARTESIAN_POINT('',(25.000633,4.25,22.0758133)); #216715=CARTESIAN_POINT('',(24.9095110696819,4.25,22.1070699330536)); #216716=CARTESIAN_POINT('',(25.000633,4.24,22.0758133)); #216717=CARTESIAN_POINT('',(25.000633,4.25,22.0758133)); #216718=CARTESIAN_POINT('',(25.000633,4.24,22.0758133)); #216719=CARTESIAN_POINT('Origin',(24.996754,4.25,22.0776501)); #216720=CARTESIAN_POINT('',(24.996754,4.25,22.0776501)); #216721=CARTESIAN_POINT('',(24.7596419161114,4.25,22.1899283871067)); #216722=CARTESIAN_POINT('',(24.996754,4.24,22.0776501)); #216723=CARTESIAN_POINT('',(24.996754,4.25,22.0776501)); #216724=CARTESIAN_POINT('',(24.996754,4.24,22.0776501)); #216725=CARTESIAN_POINT('Origin',(24.993124,4.25,22.0798969)); #216726=CARTESIAN_POINT('',(24.993124,4.25,22.0798969)); #216727=CARTESIAN_POINT('',(24.6314260471973,4.25,22.3037709937622)); #216728=CARTESIAN_POINT('',(24.993124,4.24,22.0798969)); #216729=CARTESIAN_POINT('',(24.993124,4.25,22.0798969)); #216730=CARTESIAN_POINT('',(24.993124,4.24,22.0798969)); #216731=CARTESIAN_POINT('Origin',(24.98975,4.25,22.0825558)); #216732=CARTESIAN_POINT('',(24.98975,4.25,22.0825558)); #216733=CARTESIAN_POINT('',(24.5305182398539,4.25,22.444456015487)); #216734=CARTESIAN_POINT('',(24.98975,4.24,22.0825558)); #216735=CARTESIAN_POINT('',(24.98975,4.25,22.0825558)); #216736=CARTESIAN_POINT('',(24.98975,4.24,22.0825558)); #216737=CARTESIAN_POINT('Origin',(25.0486263737084,4.25,22.14221017709)); #216738=CARTESIAN_POINT('',(24.98374,4.25,22.0891552)); #216739=CARTESIAN_POINT('Origin',(25.0486263737084,4.25,22.14221017709)); #216740=CARTESIAN_POINT('',(24.98374,4.24,22.0891552)); #216741=CARTESIAN_POINT('',(24.98374,4.25,22.0891552)); #216742=CARTESIAN_POINT('Origin',(25.0486263737084,4.24,22.14221017709)); #216743=CARTESIAN_POINT('Origin',(24.981218,4.25,22.0932274)); #216744=CARTESIAN_POINT('',(24.981218,4.25,22.0932274)); #216745=CARTESIAN_POINT('',(24.4441338589961,4.25,22.9604415312425)); #216746=CARTESIAN_POINT('',(24.981218,4.24,22.0932274)); #216747=CARTESIAN_POINT('',(24.981218,4.25,22.0932274)); #216748=CARTESIAN_POINT('',(24.981218,4.24,22.0932274)); #216749=CARTESIAN_POINT('Origin',(25.0610877201299,4.25,22.1305209967884)); #216750=CARTESIAN_POINT('',(24.977352,4.25,22.1029835)); #216751=CARTESIAN_POINT('Origin',(25.0610877201299,4.25,22.1305209967884)); #216752=CARTESIAN_POINT('',(24.977352,4.24,22.1029835)); #216753=CARTESIAN_POINT('',(24.977352,4.25,22.1029835)); #216754=CARTESIAN_POINT('Origin',(25.0610877201299,4.24,22.1305209967884)); #216755=CARTESIAN_POINT('Origin',(25.0670870765613,4.25,22.1266711681968)); #216756=CARTESIAN_POINT('',(24.975023,4.25,22.1149368)); #216757=CARTESIAN_POINT('Origin',(25.0670870765613,4.25,22.1266711681968)); #216758=CARTESIAN_POINT('',(24.975023,4.24,22.1149368)); #216759=CARTESIAN_POINT('',(24.975023,4.25,22.1149368)); #216760=CARTESIAN_POINT('Origin',(25.0670870765613,4.24,22.1266711681968)); #216761=CARTESIAN_POINT('Origin',(24.974428,4.25,22.1217556)); #216762=CARTESIAN_POINT('',(24.974428,4.25,22.1217556)); #216763=CARTESIAN_POINT('',(24.8529201498914,4.25,23.5142559837249)); #216764=CARTESIAN_POINT('',(24.974428,4.24,22.1217556)); #216765=CARTESIAN_POINT('',(24.974428,4.25,22.1217556)); #216766=CARTESIAN_POINT('',(24.974428,4.24,22.1217556)); #216767=CARTESIAN_POINT('Origin',(24.974205,4.25,22.1291466)); #216768=CARTESIAN_POINT('',(24.974205,4.25,22.1291466)); #216769=CARTESIAN_POINT('',(24.9313104741842,4.25,23.5508212202061)); #216770=CARTESIAN_POINT('',(24.974205,4.24,22.1291466)); #216771=CARTESIAN_POINT('',(24.974205,4.25,22.1291466)); #216772=CARTESIAN_POINT('',(24.974205,4.24,22.1291466)); #216773=CARTESIAN_POINT('Origin',(24.974203,4.25,22.4282646)); #216774=CARTESIAN_POINT('',(24.974203,4.25,22.4282646)); #216775=CARTESIAN_POINT('',(24.9741944022898,4.25,23.7141295391692)); #216776=CARTESIAN_POINT('',(24.974203,4.24,22.4282646)); #216777=CARTESIAN_POINT('',(24.974203,4.25,22.4282646)); #216778=CARTESIAN_POINT('',(24.974203,4.24,22.4282646)); #216779=CARTESIAN_POINT('Origin',(24.476803,4.25,22.428299)); #216780=CARTESIAN_POINT('',(24.476803,4.25,22.428299)); #216781=CARTESIAN_POINT('',(25.138312567891,4.25,22.428253250243)); #216782=CARTESIAN_POINT('',(24.476803,4.24,22.428299)); #216783=CARTESIAN_POINT('',(24.476803,4.25,22.428299)); #216784=CARTESIAN_POINT('',(24.476803,4.24,22.428299)); #216785=CARTESIAN_POINT('Origin',(24.476805,4.25,22.414772)); #216786=CARTESIAN_POINT('',(24.476805,4.25,22.414772)); #216787=CARTESIAN_POINT('',(24.4766138983287,4.25,23.7072881529383)); #216788=CARTESIAN_POINT('',(24.476805,4.24,22.414772)); #216789=CARTESIAN_POINT('',(24.476805,4.25,22.414772)); #216790=CARTESIAN_POINT('',(24.476805,4.24,22.414772)); #216791=CARTESIAN_POINT('Origin',(24.476849,4.25,22.1171989)); #216792=CARTESIAN_POINT('',(24.476849,4.25,22.1171989)); #216793=CARTESIAN_POINT('',(24.4766358849079,4.25,23.5585015960641)); #216794=CARTESIAN_POINT('',(24.476849,4.24,22.1171989)); #216795=CARTESIAN_POINT('',(24.476849,4.25,22.1171989)); #216796=CARTESIAN_POINT('',(24.476849,4.24,22.1171989)); #216797=CARTESIAN_POINT('Origin',(24.5251814999517,4.25,20.9878379085637)); #216798=CARTESIAN_POINT('',(24.591537,4.25,22.1162834)); #216799=CARTESIAN_POINT('Origin',(24.5251814999517,4.25,20.9878379085637)); #216800=CARTESIAN_POINT('',(24.591537,4.24,22.1162834)); #216801=CARTESIAN_POINT('',(24.591537,4.25,22.1162834)); #216802=CARTESIAN_POINT('Origin',(24.5251814999517,4.24,20.9878379085637)); #216803=CARTESIAN_POINT('Origin',(24.597761,4.25,22.1152382)); #216804=CARTESIAN_POINT('',(24.597761,4.25,22.1152382)); #216805=CARTESIAN_POINT('',(24.946817004735,4.25,22.0566210187422)); #216806=CARTESIAN_POINT('',(24.597761,4.24,22.1152382)); #216807=CARTESIAN_POINT('',(24.597761,4.25,22.1152382)); #216808=CARTESIAN_POINT('',(24.597761,4.24,22.1152382)); #216809=CARTESIAN_POINT('Origin',(24.603434,4.25,22.1138)); #216810=CARTESIAN_POINT('',(24.603434,4.25,22.1138)); #216811=CARTESIAN_POINT('',(24.8218306090277,4.25,22.0584328215929)); #216812=CARTESIAN_POINT('',(24.603434,4.24,22.1138)); #216813=CARTESIAN_POINT('',(24.603434,4.25,22.1138)); #216814=CARTESIAN_POINT('',(24.603434,4.24,22.1138)); #216815=CARTESIAN_POINT('Origin',(24.608555,4.25,22.1119728)); #216816=CARTESIAN_POINT('',(24.608555,4.25,22.1119728)); #216817=CARTESIAN_POINT('',(24.6799557464967,4.25,22.0864966344076)); #216818=CARTESIAN_POINT('',(24.608555,4.24,22.1119728)); #216819=CARTESIAN_POINT('',(24.608555,4.25,22.1119728)); #216820=CARTESIAN_POINT('',(24.608555,4.24,22.1119728)); #216821=CARTESIAN_POINT('Origin',(24.613129,4.25,22.1097603)); #216822=CARTESIAN_POINT('',(24.613129,4.25,22.1097603)); #216823=CARTESIAN_POINT('',(24.5275628362258,4.25,22.1511497047552)); #216824=CARTESIAN_POINT('',(24.613129,4.24,22.1097603)); #216825=CARTESIAN_POINT('',(24.613129,4.25,22.1097603)); #216826=CARTESIAN_POINT('',(24.613129,4.24,22.1097603)); #216827=CARTESIAN_POINT('Origin',(24.5754029235003,4.25,22.0536802658574)); #216828=CARTESIAN_POINT('',(24.623686,4.25,22.1009769)); #216829=CARTESIAN_POINT('Origin',(24.5754029235003,4.25,22.0536802658574)); #216830=CARTESIAN_POINT('',(24.623686,4.24,22.1009769)); #216831=CARTESIAN_POINT('',(24.623686,4.25,22.1009769)); #216832=CARTESIAN_POINT('Origin',(24.5754029235003,4.24,22.0536802658574)); #216833=CARTESIAN_POINT('Origin',(24.626324,4.25,22.0975246)); #216834=CARTESIAN_POINT('',(24.626324,4.25,22.0975246)); #216835=CARTESIAN_POINT('',(24.1425272972879,4.25,22.7306600650383)); #216836=CARTESIAN_POINT('',(24.626324,4.24,22.0975246)); #216837=CARTESIAN_POINT('',(24.626324,4.25,22.0975246)); #216838=CARTESIAN_POINT('',(24.626324,4.24,22.0975246)); #216839=CARTESIAN_POINT('Origin',(24.628551,4.25,22.0938473)); #216840=CARTESIAN_POINT('',(24.628551,4.25,22.0938473)); #216841=CARTESIAN_POINT('',(24.141872195725,4.25,22.8974682999818)); #216842=CARTESIAN_POINT('',(24.628551,4.24,22.0938473)); #216843=CARTESIAN_POINT('',(24.628551,4.25,22.0938473)); #216844=CARTESIAN_POINT('',(24.628551,4.24,22.0938473)); #216845=CARTESIAN_POINT('Origin',(24.630365,4.25,22.0899487)); #216846=CARTESIAN_POINT('',(24.630365,4.25,22.0899487)); #216847=CARTESIAN_POINT('',(24.177916278491,4.25,23.0623393205496)); #216848=CARTESIAN_POINT('',(24.630365,4.24,22.0899487)); #216849=CARTESIAN_POINT('',(24.630365,4.25,22.0899487)); #216850=CARTESIAN_POINT('',(24.630365,4.24,22.0899487)); #216851=CARTESIAN_POINT('Origin',(24.631762,4.25,22.0858307)); #216852=CARTESIAN_POINT('',(24.631762,4.25,22.0858307)); #216853=CARTESIAN_POINT('',(24.2487587971747,4.25,23.2148265405431)); #216854=CARTESIAN_POINT('',(24.631762,4.24,22.0858307)); #216855=CARTESIAN_POINT('',(24.631762,4.25,22.0858307)); #216856=CARTESIAN_POINT('',(24.631762,4.24,22.0858307)); #216857=CARTESIAN_POINT('Origin',(24.5432923399687,4.25,22.0661399030605)); #216858=CARTESIAN_POINT('',(24.633278,4.25,22.0769653)); #216859=CARTESIAN_POINT('Origin',(24.5432923399687,4.25,22.0661399030605)); #216860=CARTESIAN_POINT('',(24.633278,4.24,22.0769653)); #216861=CARTESIAN_POINT('',(24.633278,4.25,22.0769653)); #216862=CARTESIAN_POINT('Origin',(24.5432923399687,4.24,22.0661399030605)); #216863=CARTESIAN_POINT('Origin',(24.63339,4.25,22.0722733)); #216864=CARTESIAN_POINT('',(24.63339,4.25,22.0722733)); #216865=CARTESIAN_POINT('',(24.5987990454969,4.25,23.5213872154511)); #216866=CARTESIAN_POINT('',(24.63339,4.24,22.0722733)); #216867=CARTESIAN_POINT('',(24.63339,4.25,22.0722733)); #216868=CARTESIAN_POINT('',(24.63339,4.24,22.0722733)); #216869=CARTESIAN_POINT('Origin',(24.633068,4.25,22.0677795)); #216870=CARTESIAN_POINT('',(24.633068,4.25,22.0677795)); #216871=CARTESIAN_POINT('',(24.7405648586047,4.25,23.5679949757622)); #216872=CARTESIAN_POINT('',(24.633068,4.24,22.0677795)); #216873=CARTESIAN_POINT('',(24.633068,4.25,22.0677795)); #216874=CARTESIAN_POINT('',(24.633068,4.24,22.0677795)); #216875=CARTESIAN_POINT('Origin',(24.632313,4.25,22.0634861)); #216876=CARTESIAN_POINT('',(24.632313,4.25,22.0634861)); #216877=CARTESIAN_POINT('',(24.900276088573,4.25,23.5872910330963)); #216878=CARTESIAN_POINT('',(24.632313,4.24,22.0634861)); #216879=CARTESIAN_POINT('',(24.632313,4.25,22.0634861)); #216880=CARTESIAN_POINT('',(24.632313,4.24,22.0634861)); #216881=CARTESIAN_POINT('Origin',(24.631134,4.25,22.0593929)); #216882=CARTESIAN_POINT('',(24.631134,4.25,22.0593929)); #216883=CARTESIAN_POINT('',(25.0669671030901,4.25,23.5724989708778)); #216884=CARTESIAN_POINT('',(24.631134,4.24,22.0593929)); #216885=CARTESIAN_POINT('',(24.631134,4.25,22.0593929)); #216886=CARTESIAN_POINT('',(24.631134,4.24,22.0593929)); #216887=CARTESIAN_POINT('Origin',(24.629534,4.25,22.0554962)); #216888=CARTESIAN_POINT('',(24.629534,4.25,22.0554962)); #216889=CARTESIAN_POINT('',(25.2312648297517,4.25,23.5209740276817)); #216890=CARTESIAN_POINT('',(24.629534,4.24,22.0554962)); #216891=CARTESIAN_POINT('',(24.629534,4.25,22.0554962)); #216892=CARTESIAN_POINT('',(24.629534,4.24,22.0554962)); #216893=CARTESIAN_POINT('Origin',(24.627516,4.25,22.0518036)); #216894=CARTESIAN_POINT('',(24.627516,4.25,22.0518036)); #216895=CARTESIAN_POINT('',(25.3826630451509,4.25,23.4335954627)); #216896=CARTESIAN_POINT('',(24.627516,4.24,22.0518036)); #216897=CARTESIAN_POINT('',(24.627516,4.25,22.0518036)); #216898=CARTESIAN_POINT('',(24.627516,4.24,22.0518036)); #216899=CARTESIAN_POINT('Origin',(24.5705789168725,4.25,22.092148082797)); #216900=CARTESIAN_POINT('',(24.619009,4.25,22.0419083)); #216901=CARTESIAN_POINT('Origin',(24.5705789168725,4.25,22.092148082797)); #216902=CARTESIAN_POINT('',(24.619009,4.24,22.0419083)); #216903=CARTESIAN_POINT('',(24.619009,4.25,22.0419083)); #216904=CARTESIAN_POINT('Origin',(24.5705789168725,4.24,22.092148082797)); #216905=CARTESIAN_POINT('Origin',(24.615259,4.25,22.0391178)); #216906=CARTESIAN_POINT('',(24.615259,4.25,22.0391178)); #216907=CARTESIAN_POINT('',(25.7055457640465,4.25,22.8504365240191)); #216908=CARTESIAN_POINT('',(24.615259,4.24,22.0391178)); #216909=CARTESIAN_POINT('',(24.615259,4.25,22.0391178)); #216910=CARTESIAN_POINT('',(24.615259,4.24,22.0391178)); #216911=CARTESIAN_POINT('Origin',(24.610966,4.25,22.0367069)); #216912=CARTESIAN_POINT('',(24.610966,4.25,22.0367069)); #216913=CARTESIAN_POINT('',(25.6955126072397,4.25,22.6457759462141)); #216914=CARTESIAN_POINT('',(24.610966,4.24,22.0367069)); #216915=CARTESIAN_POINT('',(24.610966,4.25,22.0367069)); #216916=CARTESIAN_POINT('',(24.610966,4.24,22.0367069)); #216917=CARTESIAN_POINT('Origin',(24.5741180785255,4.25,22.1235753421851)); #216918=CARTESIAN_POINT('',(24.600735,4.25,22.0330467)); #216919=CARTESIAN_POINT('Origin',(24.5741180785255,4.25,22.1235753421851)); #216920=CARTESIAN_POINT('',(24.600735,4.24,22.0330467)); #216921=CARTESIAN_POINT('',(24.600735,4.25,22.0330467)); #216922=CARTESIAN_POINT('Origin',(24.5741180785255,4.24,22.1235753421851)); #216923=CARTESIAN_POINT('Origin',(24.4316878989073,4.25,23.2250149766547)); #216924=CARTESIAN_POINT('',(24.273701,4.25,22.0315304)); #216925=CARTESIAN_POINT('Origin',(24.4316878989073,4.25,23.2250149766547)); #216926=CARTESIAN_POINT('',(24.273701,4.24,22.0315304)); #216927=CARTESIAN_POINT('',(24.273701,4.25,22.0315304)); #216928=CARTESIAN_POINT('Origin',(24.4316878989073,4.24,23.2250149766547)); #216929=CARTESIAN_POINT('Origin',(24.267611,4.25,22.0326729)); #216930=CARTESIAN_POINT('',(24.267611,4.25,22.0326729)); #216931=CARTESIAN_POINT('',(24.7388801230847,4.25,21.9442615743638)); #216932=CARTESIAN_POINT('',(24.267611,4.24,22.0326729)); #216933=CARTESIAN_POINT('',(24.267611,4.25,22.0326729)); #216934=CARTESIAN_POINT('',(24.267611,4.24,22.0326729)); #216935=CARTESIAN_POINT('Origin',(24.262075,4.25,22.0342064)); #216936=CARTESIAN_POINT('',(24.262075,4.25,22.0342064)); #216937=CARTESIAN_POINT('',(24.5947414871585,4.25,21.9420561004954)); #216938=CARTESIAN_POINT('',(24.262075,4.24,22.0342064)); #216939=CARTESIAN_POINT('',(24.262075,4.25,22.0342064)); #216940=CARTESIAN_POINT('',(24.262075,4.24,22.0342064)); #216941=CARTESIAN_POINT('Origin',(24.257088,4.25,22.0361271)); #216942=CARTESIAN_POINT('',(24.257088,4.25,22.0361271)); #216943=CARTESIAN_POINT('',(24.4318637979931,4.25,21.9688137101453)); #216944=CARTESIAN_POINT('',(24.257088,4.24,22.0361271)); #216945=CARTESIAN_POINT('',(24.257088,4.25,22.0361271)); #216946=CARTESIAN_POINT('',(24.257088,4.24,22.0361271)); #216947=CARTESIAN_POINT('Origin',(24.252647,4.25,22.0384312)); #216948=CARTESIAN_POINT('',(24.252647,4.25,22.0384312)); #216949=CARTESIAN_POINT('',(24.2569089223798,4.25,22.0362200098727)); #216950=CARTESIAN_POINT('',(24.252647,4.24,22.0384312)); #216951=CARTESIAN_POINT('',(24.252647,4.25,22.0384312)); #216952=CARTESIAN_POINT('',(24.252647,4.24,22.0384312)); #216953=CARTESIAN_POINT('Origin',(24.248751,4.25,22.0411224)); #216954=CARTESIAN_POINT('',(24.248751,4.25,22.0411224)); #216955=CARTESIAN_POINT('',(24.0820025712735,4.25,22.1563055035393)); #216956=CARTESIAN_POINT('',(24.248751,4.24,22.0411224)); #216957=CARTESIAN_POINT('',(24.248751,4.25,22.0411224)); #216958=CARTESIAN_POINT('',(24.248751,4.24,22.0411224)); #216959=CARTESIAN_POINT('Origin',(24.245384,4.25,22.0441589)); #216960=CARTESIAN_POINT('',(24.245384,4.25,22.0441589)); #216961=CARTESIAN_POINT('',(23.9390163540898,4.25,22.3204539272664)); #216962=CARTESIAN_POINT('',(24.245384,4.24,22.0441589)); #216963=CARTESIAN_POINT('',(24.245384,4.25,22.0441589)); #216964=CARTESIAN_POINT('',(24.245384,4.24,22.0441589)); #216965=CARTESIAN_POINT('Origin',(24.242441,4.25,22.0474014)); #216966=CARTESIAN_POINT('',(24.242441,4.25,22.0474014)); #216967=CARTESIAN_POINT('',(23.8595140747815,4.25,22.4692976130548)); #216968=CARTESIAN_POINT('',(24.242441,4.24,22.0474014)); #216969=CARTESIAN_POINT('',(24.242441,4.25,22.0474014)); #216970=CARTESIAN_POINT('',(24.242441,4.24,22.0474014)); #216971=CARTESIAN_POINT('Origin',(24.239902,4.25,22.0508442)); #216972=CARTESIAN_POINT('',(24.239902,4.25,22.0508442)); #216973=CARTESIAN_POINT('',(23.8103205275721,4.25,22.6333424643862)); #216974=CARTESIAN_POINT('',(24.239902,4.24,22.0508442)); #216975=CARTESIAN_POINT('',(24.239902,4.25,22.0508442)); #216976=CARTESIAN_POINT('',(24.239902,4.24,22.0508442)); #216977=CARTESIAN_POINT('Origin',(24.237774,4.25,22.0544872)); #216978=CARTESIAN_POINT('',(24.237774,4.25,22.0544872)); #216979=CARTESIAN_POINT('',(23.7950689634216,4.25,22.812369929442)); #216980=CARTESIAN_POINT('',(24.237774,4.24,22.0544872)); #216981=CARTESIAN_POINT('',(24.237774,4.25,22.0544872)); #216982=CARTESIAN_POINT('',(24.237774,4.24,22.0544872)); #216983=CARTESIAN_POINT('Origin',(24.236063,4.25,22.0583267)); #216984=CARTESIAN_POINT('',(24.236063,4.25,22.0583267)); #216985=CARTESIAN_POINT('',(23.8187702883652,4.25,22.9947354471209)); #216986=CARTESIAN_POINT('',(24.236063,4.24,22.0583267)); #216987=CARTESIAN_POINT('',(24.236063,4.25,22.0583267)); #216988=CARTESIAN_POINT('',(24.236063,4.24,22.0583267)); #216989=CARTESIAN_POINT('Origin',(24.234768,4.25,22.0623684)); #216990=CARTESIAN_POINT('',(24.234768,4.25,22.0623684)); #216991=CARTESIAN_POINT('',(23.8808268103834,4.25,23.1670202193588)); #216992=CARTESIAN_POINT('',(24.234768,4.24,22.0623684)); #216993=CARTESIAN_POINT('',(24.234768,4.25,22.0623684)); #216994=CARTESIAN_POINT('',(24.234768,4.24,22.0623684)); #216995=CARTESIAN_POINT('Origin',(24.2339,4.25,22.0666084)); #216996=CARTESIAN_POINT('',(24.2339,4.25,22.0666084)); #216997=CARTESIAN_POINT('',(23.9772166908836,4.25,23.3204531357751)); #216998=CARTESIAN_POINT('',(24.2339,4.24,22.0666084)); #216999=CARTESIAN_POINT('',(24.2339,4.25,22.0666084)); #217000=CARTESIAN_POINT('',(24.2339,4.24,22.0666084)); #217001=CARTESIAN_POINT('Origin',(24.3088052085527,4.25,22.0735387909754)); #217002=CARTESIAN_POINT('Origin',(24.3088052085527,4.25,22.0735387909754)); #217003=CARTESIAN_POINT('Origin',(24.3088052085527,4.24,22.0735387909754)); #217004=CARTESIAN_POINT('Origin',(24.459797485959,4.24,22.1835481329318)); #217005=CARTESIAN_POINT('Origin',(23.96542,4.25,3.282799)); #217006=CARTESIAN_POINT('',(23.96542,4.25,3.282799)); #217007=CARTESIAN_POINT('',(24.415804,4.25,3.282799)); #217008=CARTESIAN_POINT('',(24.88271,4.25,3.282799)); #217009=CARTESIAN_POINT('',(23.96542,4.24,3.282799)); #217010=CARTESIAN_POINT('',(23.96542,4.25,3.282799)); #217011=CARTESIAN_POINT('',(24.415804,4.24,3.282799)); #217012=CARTESIAN_POINT('',(23.96542,4.24,3.282799)); #217013=CARTESIAN_POINT('',(24.415804,4.25,3.282799)); #217014=CARTESIAN_POINT('Origin',(24.7001423751376,4.25,3.17629285884136)); #217015=CARTESIAN_POINT('',(23.964905,4.25,3.073402)); #217016=CARTESIAN_POINT('Origin',(24.7001423751376,4.25,3.17629285884136)); #217017=CARTESIAN_POINT('',(23.964905,4.24,3.073402)); #217018=CARTESIAN_POINT('',(23.964905,4.25,3.073402)); #217019=CARTESIAN_POINT('Origin',(24.7001423751376,4.24,3.17629285884136)); #217020=CARTESIAN_POINT('Origin',(24.3340347990784,4.25,2.97773499151321)); #217021=CARTESIAN_POINT('',(24.067888,4.25,2.704651)); #217022=CARTESIAN_POINT('Origin',(24.3340347990784,4.25,2.97773499151321)); #217023=CARTESIAN_POINT('',(24.067888,4.24,2.704651)); #217024=CARTESIAN_POINT('',(24.067888,4.25,2.704651)); #217025=CARTESIAN_POINT('Origin',(24.3340347990784,4.24,2.97773499151321)); #217026=CARTESIAN_POINT('Origin',(24.077187,4.25,2.697487)); #217027=CARTESIAN_POINT('',(24.077187,4.25,2.69748699999999)); #217028=CARTESIAN_POINT('',(19.2265669480188,4.25,6.43443097810635)); #217029=CARTESIAN_POINT('',(24.077187,4.24,2.69748699999999)); #217030=CARTESIAN_POINT('',(24.077187,4.25,2.69748699999999)); #217031=CARTESIAN_POINT('',(24.077187,4.24,2.697487)); #217032=CARTESIAN_POINT('Origin',(24.189753128039,4.25,2.85303293066186)); #217033=CARTESIAN_POINT('',(24.33816,4.25,2.731209)); #217034=CARTESIAN_POINT('Origin',(24.189753128039,4.25,2.85303293066186)); #217035=CARTESIAN_POINT('',(24.33816,4.24,2.731209)); #217036=CARTESIAN_POINT('',(24.33816,4.25,2.731209)); #217037=CARTESIAN_POINT('Origin',(24.189753128039,4.24,2.85303293066186)); #217038=CARTESIAN_POINT('Origin',(23.9490072173507,4.25,3.01169283483129)); #217039=CARTESIAN_POINT('',(24.415804,4.25,3.1222)); #217040=CARTESIAN_POINT('Origin',(23.9490072173507,4.25,3.01169283483129)); #217041=CARTESIAN_POINT('',(24.415804,4.24,3.1222)); #217042=CARTESIAN_POINT('',(24.415804,4.25,3.1222)); #217043=CARTESIAN_POINT('Origin',(23.9490072173507,4.24,3.01169283483129)); #217044=CARTESIAN_POINT('Origin',(24.415804,4.25,3.282799)); #217045=CARTESIAN_POINT('',(24.415804,4.25,14.1413995)); #217046=CARTESIAN_POINT('',(24.415804,4.24,3.282799)); #217047=CARTESIAN_POINT('Origin',(24.5102855,4.25,-17.5625282241386)); #217048=CARTESIAN_POINT('',(24.503344,4.25,3.282799)); #217049=CARTESIAN_POINT('',(24.5172269999969,4.25,3.282799)); #217050=CARTESIAN_POINT('Origin',(24.5102855,4.25,-17.5625282241386)); #217051=CARTESIAN_POINT('',(24.503344,4.24,3.282799)); #217052=CARTESIAN_POINT('',(24.503344,4.25,3.282799)); #217053=CARTESIAN_POINT('',(24.5172269999969,4.24,3.282799)); #217054=CARTESIAN_POINT('Origin',(24.5102855,4.24,-17.5625282241386)); #217055=CARTESIAN_POINT('',(24.5172269999969,4.25,3.282799)); #217056=CARTESIAN_POINT('Origin',(24.502665,4.25,3.282799)); #217057=CARTESIAN_POINT('',(24.502665,4.25,3.282799)); #217058=CARTESIAN_POINT('',(25.1513325,4.25,3.282799)); #217059=CARTESIAN_POINT('',(24.502665,4.24,3.282799)); #217060=CARTESIAN_POINT('',(24.502665,4.25,3.282799)); #217061=CARTESIAN_POINT('',(24.502665,4.24,3.282799)); #217062=CARTESIAN_POINT('Origin',(24.502604,4.25,3.280411)); #217063=CARTESIAN_POINT('',(24.502604,4.25,3.280411)); #217064=CARTESIAN_POINT('',(24.7802529262987,4.25,14.1496837217316)); #217065=CARTESIAN_POINT('',(24.502604,4.24,3.280411)); #217066=CARTESIAN_POINT('',(24.502604,4.25,3.280411)); #217067=CARTESIAN_POINT('',(24.502604,4.24,3.280411)); #217068=CARTESIAN_POINT('Origin',(24.502604,4.25,2.996246)); #217069=CARTESIAN_POINT('',(24.502604,4.25,2.996246)); #217070=CARTESIAN_POINT('',(24.5026040000005,4.25,13.998123)); #217071=CARTESIAN_POINT('',(24.502604,4.24,2.996246)); #217072=CARTESIAN_POINT('',(24.502604,4.25,2.996246)); #217073=CARTESIAN_POINT('',(24.502604,4.24,2.996246)); #217074=CARTESIAN_POINT('Origin',(24.892798652918,4.25,2.92240150276146)); #217075=CARTESIAN_POINT('',(24.677147,4.25,2.588936)); #217076=CARTESIAN_POINT('Origin',(24.892798652918,4.25,2.92240150276146)); #217077=CARTESIAN_POINT('',(24.677147,4.24,2.588936)); #217078=CARTESIAN_POINT('',(24.677147,4.25,2.588936)); #217079=CARTESIAN_POINT('Origin',(24.892798652918,4.24,2.92240150276146)); #217080=CARTESIAN_POINT('Origin',(24.760254012873,4.25,2.80135111422519)); #217081=CARTESIAN_POINT('',(24.96817,4.25,2.707554)); #217082=CARTESIAN_POINT('Origin',(24.760254012873,4.25,2.80135111422519)); #217083=CARTESIAN_POINT('',(24.96817,4.24,2.707554)); #217084=CARTESIAN_POINT('',(24.96817,4.25,2.707554)); #217085=CARTESIAN_POINT('Origin',(24.760254012873,4.24,2.80135111422519)); #217086=CARTESIAN_POINT('Origin',(24.4301069044266,4.25,2.93194650215867)); #217087=CARTESIAN_POINT('',(25.000004,4.25,3.054752)); #217088=CARTESIAN_POINT('Origin',(24.4301069044266,4.25,2.93194650215867)); #217089=CARTESIAN_POINT('',(25.000004,4.24,3.054752)); #217090=CARTESIAN_POINT('',(25.000004,4.25,3.054752)); #217091=CARTESIAN_POINT('Origin',(24.4301069044266,4.24,2.93194650215867)); #217092=CARTESIAN_POINT('Origin',(25.000004,4.25,3.282799)); #217093=CARTESIAN_POINT('',(25.000004,4.25,3.282799)); #217094=CARTESIAN_POINT('',(25.000004,4.25,14.1413995)); #217095=CARTESIAN_POINT('',(25.000004,4.24,3.282799)); #217096=CARTESIAN_POINT('',(25.000004,4.25,3.282799)); #217097=CARTESIAN_POINT('',(25.000004,4.24,3.282799)); #217098=CARTESIAN_POINT('Origin',(24.517227,4.25,3.282799)); #217099=CARTESIAN_POINT('',(25.1586135,4.25,3.282799)); #217100=CARTESIAN_POINT('',(24.517227,4.24,3.282799)); #217101=CARTESIAN_POINT('Origin',(25.058069,4.25,3.542831)); #217102=CARTESIAN_POINT('',(25.058069,4.25,3.542831)); #217103=CARTESIAN_POINT('',(25.053946,4.25,3.544075)); #217104=CARTESIAN_POINT('',(22.4311305043507,4.25,4.33543626038905)); #217105=CARTESIAN_POINT('',(25.058069,4.24,3.542831)); #217106=CARTESIAN_POINT('',(25.058069,4.25,3.542831)); #217107=CARTESIAN_POINT('',(25.053946,4.24,3.544075)); #217108=CARTESIAN_POINT('',(25.058069,4.24,3.542831)); #217109=CARTESIAN_POINT('',(25.053946,4.25,3.544075)); #217110=CARTESIAN_POINT('Origin',(25.062002,4.25,3.541164)); #217111=CARTESIAN_POINT('',(25.062002,4.25,3.541164)); #217112=CARTESIAN_POINT('',(21.5197098260554,4.25,5.04256269157296)); #217113=CARTESIAN_POINT('',(25.062002,4.24,3.541164)); #217114=CARTESIAN_POINT('',(25.062002,4.25,3.541164)); #217115=CARTESIAN_POINT('',(25.062002,4.24,3.541164)); #217116=CARTESIAN_POINT('Origin',(25.0241076942909,4.25,3.4756498041967)); #217117=CARTESIAN_POINT('',(25.072721,4.25,3.533657)); #217118=CARTESIAN_POINT('Origin',(25.0241076942909,4.25,3.4756498041967)); #217119=CARTESIAN_POINT('',(25.072721,4.24,3.533657)); #217120=CARTESIAN_POINT('',(25.072721,4.25,3.533657)); #217121=CARTESIAN_POINT('Origin',(25.0241076942909,4.24,3.4756498041967)); #217122=CARTESIAN_POINT('Origin',(25.0159429741427,4.25,3.48147601037408)); #217123=CARTESIAN_POINT('',(25.078575,4.25,3.526463)); #217124=CARTESIAN_POINT('Origin',(25.0159429741427,4.25,3.48147601037408)); #217125=CARTESIAN_POINT('',(25.078575,4.24,3.526463)); #217126=CARTESIAN_POINT('',(25.078575,4.25,3.526463)); #217127=CARTESIAN_POINT('Origin',(25.0159429741427,4.24,3.48147601037408)); #217128=CARTESIAN_POINT('Origin',(24.982818657812,4.25,3.47706122129808)); #217129=CARTESIAN_POINT('',(25.082861,4.25,3.517078)); #217130=CARTESIAN_POINT('Origin',(24.982818657812,4.25,3.47706122129808)); #217131=CARTESIAN_POINT('',(25.082861,4.24,3.517078)); #217132=CARTESIAN_POINT('',(25.082861,4.25,3.517078)); #217133=CARTESIAN_POINT('Origin',(24.982818657812,4.24,3.47706122129808)); #217134=CARTESIAN_POINT('Origin',(25.084425,4.25,3.511551)); #217135=CARTESIAN_POINT('',(25.084425,4.25,3.511551)); #217136=CARTESIAN_POINT('',(22.2960140264704,4.25,13.3654815950591)); #217137=CARTESIAN_POINT('',(25.084425,4.24,3.511551)); #217138=CARTESIAN_POINT('',(25.084425,4.25,3.511551)); #217139=CARTESIAN_POINT('',(25.084425,4.24,3.511551)); #217140=CARTESIAN_POINT('Origin',(25.085598,4.25,3.505459)); #217141=CARTESIAN_POINT('',(25.085598,4.25,3.505459)); #217142=CARTESIAN_POINT('',(23.1029844622591,4.25,13.8022038183231)); #217143=CARTESIAN_POINT('',(25.085598,4.24,3.505459)); #217144=CARTESIAN_POINT('',(25.085598,4.25,3.505459)); #217145=CARTESIAN_POINT('',(25.085598,4.24,3.505459)); #217146=CARTESIAN_POINT('Origin',(25.086378,4.25,3.498802)); #217147=CARTESIAN_POINT('',(25.086378,4.25,3.498802)); #217148=CARTESIAN_POINT('',(23.8486228842265,4.25,14.0625658534925)); #217149=CARTESIAN_POINT('',(25.086378,4.24,3.498802)); #217150=CARTESIAN_POINT('',(25.086378,4.25,3.498802)); #217151=CARTESIAN_POINT('',(25.086378,4.24,3.498802)); #217152=CARTESIAN_POINT('Origin',(19.306393486788,4.25,3.19034319372553)); #217153=CARTESIAN_POINT('',(25.086803,4.25,2.889954)); #217154=CARTESIAN_POINT('Origin',(19.306393486788,4.25,3.19034319372553)); #217155=CARTESIAN_POINT('',(25.086803,4.24,2.889954)); #217156=CARTESIAN_POINT('',(25.086803,4.25,2.889954)); #217157=CARTESIAN_POINT('Origin',(19.306393486788,4.24,3.19034319372553)); #217158=CARTESIAN_POINT('Origin',(24.7213497702991,4.25,2.84298575113135)); #217159=CARTESIAN_POINT('',(24.860771,4.25,2.501923)); #217160=CARTESIAN_POINT('Origin',(24.7213497702991,4.25,2.84298575113135)); #217161=CARTESIAN_POINT('',(24.860771,4.24,2.501923)); #217162=CARTESIAN_POINT('',(24.860771,4.25,2.501923)); #217163=CARTESIAN_POINT('Origin',(24.7213497702991,4.24,2.84298575113135)); #217164=CARTESIAN_POINT('Origin',(24.762542494132,4.25,2.80435248617581)); #217165=CARTESIAN_POINT('',(24.611403,4.25,2.524586)); #217166=CARTESIAN_POINT('Origin',(24.762542494132,4.25,2.80435248617581)); #217167=CARTESIAN_POINT('',(24.611403,4.24,2.524586)); #217168=CARTESIAN_POINT('',(24.611403,4.25,2.524586)); #217169=CARTESIAN_POINT('Origin',(24.762542494132,4.24,2.80435248617581)); #217170=CARTESIAN_POINT('Origin',(24.7640977300532,4.25,2.80348938367887)); #217171=CARTESIAN_POINT('',(24.456858,4.25,2.721596)); #217172=CARTESIAN_POINT('Origin',(24.7640977300532,4.25,2.80348938367887)); #217173=CARTESIAN_POINT('',(24.456858,4.24,2.721596)); #217174=CARTESIAN_POINT('',(24.456858,4.25,2.721596)); #217175=CARTESIAN_POINT('Origin',(24.7640977300532,4.24,2.80348938367887)); #217176=CARTESIAN_POINT('Origin',(24.449018,4.25,2.742245)); #217177=CARTESIAN_POINT('',(24.449018,4.25,2.742245)); #217178=CARTESIAN_POINT('',(20.8410942868126,4.25,12.2447981573499)); #217179=CARTESIAN_POINT('',(24.449018,4.24,2.742245)); #217180=CARTESIAN_POINT('',(24.449018,4.25,2.742245)); #217181=CARTESIAN_POINT('',(24.449018,4.24,2.742245)); #217182=CARTESIAN_POINT('Origin',(24.1652162640618,4.25,2.89025924858585)); #217183=CARTESIAN_POINT('',(24.223404,4.25,2.575512)); #217184=CARTESIAN_POINT('Origin',(24.1652162640618,4.25,2.89025924858585)); #217185=CARTESIAN_POINT('',(24.223404,4.24,2.575512)); #217186=CARTESIAN_POINT('',(24.223404,4.25,2.575512)); #217187=CARTESIAN_POINT('Origin',(24.1652162640618,4.24,2.89025924858585)); #217188=CARTESIAN_POINT('Origin',(24.1990806766619,4.25,2.88912742703319)); #217189=CARTESIAN_POINT('',(23.888556,4.25,2.83892099999999)); #217190=CARTESIAN_POINT('Origin',(24.1990806766619,4.25,2.88912742703319)); #217191=CARTESIAN_POINT('',(23.888556,4.24,2.83892099999999)); #217192=CARTESIAN_POINT('',(23.888556,4.25,2.83892099999999)); #217193=CARTESIAN_POINT('Origin',(24.1990806766619,4.24,2.88912742703319)); #217194=CARTESIAN_POINT('Origin',(28.1308997273467,4.25,3.23105152278675)); #217195=CARTESIAN_POINT('',(23.879318,4.25,3.505459)); #217196=CARTESIAN_POINT('Origin',(28.1308997273467,4.25,3.23105152278675)); #217197=CARTESIAN_POINT('',(23.879318,4.24,3.505459)); #217198=CARTESIAN_POINT('',(23.879318,4.25,3.505459)); #217199=CARTESIAN_POINT('Origin',(28.1308997273467,4.24,3.23105152278675)); #217200=CARTESIAN_POINT('Origin',(23.880428,4.25,3.511551)); #217201=CARTESIAN_POINT('',(23.880428,4.25,3.511551)); #217202=CARTESIAN_POINT('',(25.8060278765946,4.25,14.0797982506535)); #217203=CARTESIAN_POINT('',(23.880428,4.24,3.511551)); #217204=CARTESIAN_POINT('',(23.880428,4.25,3.511551)); #217205=CARTESIAN_POINT('',(23.880428,4.24,3.511551)); #217206=CARTESIAN_POINT('Origin',(23.881927,4.25,3.517078)); #217207=CARTESIAN_POINT('',(23.881927,4.25,3.517078)); #217208=CARTESIAN_POINT('',(26.6612659468362,4.25,13.7648474190693)); #217209=CARTESIAN_POINT('',(23.881927,4.24,3.517078)); #217210=CARTESIAN_POINT('',(23.881927,4.25,3.517078)); #217211=CARTESIAN_POINT('',(23.881927,4.24,3.517078)); #217212=CARTESIAN_POINT('Origin',(23.883821,4.25,3.522049)); #217213=CARTESIAN_POINT('',(23.883821,4.25,3.522049)); #217214=CARTESIAN_POINT('',(27.5782466728957,4.25,13.2184534455906)); #217215=CARTESIAN_POINT('',(23.883821,4.24,3.522049)); #217216=CARTESIAN_POINT('',(23.883821,4.25,3.522049)); #217217=CARTESIAN_POINT('',(23.883821,4.24,3.522049)); #217218=CARTESIAN_POINT('Origin',(23.886122,4.25,3.526463)); #217219=CARTESIAN_POINT('',(23.886122,4.25,3.526463)); #217220=CARTESIAN_POINT('',(28.4916526023451,4.25,12.3612357417286)); #217221=CARTESIAN_POINT('',(23.886122,4.24,3.526463)); #217222=CARTESIAN_POINT('',(23.886122,4.25,3.526463)); #217223=CARTESIAN_POINT('',(23.886122,4.24,3.526463)); #217224=CARTESIAN_POINT('Origin',(23.888832,4.25,3.530327)); #217225=CARTESIAN_POINT('',(23.888832,4.25,3.530327)); #217226=CARTESIAN_POINT('',(29.2504152481947,4.25,11.1750346276932)); #217227=CARTESIAN_POINT('',(23.888832,4.24,3.530327)); #217228=CARTESIAN_POINT('',(23.888832,4.25,3.530327)); #217229=CARTESIAN_POINT('',(23.888832,4.24,3.530327)); #217230=CARTESIAN_POINT('Origin',(23.9529049707603,4.25,3.46910060523606)); #217231=CARTESIAN_POINT('',(23.898525,4.25,3.539078)); #217232=CARTESIAN_POINT('Origin',(23.9529049707603,4.25,3.46910060523606)); #217233=CARTESIAN_POINT('',(23.898525,4.24,3.539078)); #217234=CARTESIAN_POINT('',(23.898525,4.25,3.539078)); #217235=CARTESIAN_POINT('Origin',(23.9529049707603,4.24,3.46910060523606)); #217236=CARTESIAN_POINT('Origin',(23.902271,4.25,3.541164)); #217237=CARTESIAN_POINT('',(23.902271,4.25,3.541164)); #217238=CARTESIAN_POINT('',(29.1871256228511,4.25,6.4840915876313)); #217239=CARTESIAN_POINT('',(23.902271,4.24,3.541164)); #217240=CARTESIAN_POINT('',(23.902271,4.25,3.541164)); #217241=CARTESIAN_POINT('',(23.902271,4.24,3.541164)); #217242=CARTESIAN_POINT('Origin',(23.906277,4.25,3.542831)); #217243=CARTESIAN_POINT('',(23.906277,4.25,3.542831)); #217244=CARTESIAN_POINT('',(28.5188611680018,4.25,5.46224632902021)); #217245=CARTESIAN_POINT('',(23.906277,4.24,3.542831)); #217246=CARTESIAN_POINT('',(23.906277,4.25,3.542831)); #217247=CARTESIAN_POINT('',(23.906277,4.24,3.542831)); #217248=CARTESIAN_POINT('Origin',(23.91054,4.25,3.544075)); #217249=CARTESIAN_POINT('',(23.91054,4.25,3.544075)); #217250=CARTESIAN_POINT('',(27.6660329347784,4.25,4.63997770017757)); #217251=CARTESIAN_POINT('',(23.91054,4.24,3.544075)); #217252=CARTESIAN_POINT('',(23.91054,4.25,3.544075)); #217253=CARTESIAN_POINT('',(23.91054,4.24,3.544075)); #217254=CARTESIAN_POINT('Origin',(23.915052,4.25,3.544888)); #217255=CARTESIAN_POINT('',(23.915052,4.25,3.544888)); #217256=CARTESIAN_POINT('',(26.7000621510307,4.25,4.04670831311885)); #217257=CARTESIAN_POINT('',(23.915052,4.24,3.544888)); #217258=CARTESIAN_POINT('',(23.915052,4.25,3.544888)); #217259=CARTESIAN_POINT('',(23.915052,4.24,3.544888)); #217260=CARTESIAN_POINT('Origin',(23.919813,4.25,3.545269)); #217261=CARTESIAN_POINT('',(23.919813,4.25,3.545269)); #217262=CARTESIAN_POINT('',(25.7069214189811,4.25,3.68828271720988)); #217263=CARTESIAN_POINT('',(23.919813,4.24,3.545269)); #217264=CARTESIAN_POINT('',(23.919813,4.25,3.545269)); #217265=CARTESIAN_POINT('',(23.919813,4.24,3.545269)); #217266=CARTESIAN_POINT('Origin',(23.924696,4.25,3.545208)); #217267=CARTESIAN_POINT('',(23.924696,4.25,3.545208)); #217268=CARTESIAN_POINT('',(24.7282125379954,4.25,3.53517021404472)); #217269=CARTESIAN_POINT('',(23.924696,4.24,3.545208)); #217270=CARTESIAN_POINT('',(23.924696,4.25,3.545208)); #217271=CARTESIAN_POINT('',(23.924696,4.24,3.545208)); #217272=CARTESIAN_POINT('Origin',(23.929361,4.25,3.544708)); #217273=CARTESIAN_POINT('',(23.929361,4.25,3.544708)); #217274=CARTESIAN_POINT('',(23.7173151532463,4.25,3.56743531476455)); #217275=CARTESIAN_POINT('',(23.929361,4.24,3.544708)); #217276=CARTESIAN_POINT('',(23.929361,4.25,3.544708)); #217277=CARTESIAN_POINT('',(23.929361,4.24,3.544708)); #217278=CARTESIAN_POINT('Origin',(23.933788,4.25,3.543781)); #217279=CARTESIAN_POINT('',(23.933788,4.25,3.543781)); #217280=CARTESIAN_POINT('',(22.6756279260827,4.25,3.80723579749781)); #217281=CARTESIAN_POINT('',(23.933788,4.24,3.543781)); #217282=CARTESIAN_POINT('',(23.933788,4.25,3.543781)); #217283=CARTESIAN_POINT('',(23.933788,4.24,3.543781)); #217284=CARTESIAN_POINT('Origin',(23.937973,4.25,3.542419)); #217285=CARTESIAN_POINT('',(23.937973,4.25,3.542419)); #217286=CARTESIAN_POINT('',(21.6225627452674,4.25,4.29596470297431)); #217287=CARTESIAN_POINT('',(23.937973,4.24,3.542419)); #217288=CARTESIAN_POINT('',(23.937973,4.25,3.542419)); #217289=CARTESIAN_POINT('',(23.937973,4.24,3.542419)); #217290=CARTESIAN_POINT('Origin',(23.941912,4.25,3.540634)); #217291=CARTESIAN_POINT('',(23.941912,4.25,3.540634)); #217292=CARTESIAN_POINT('',(20.6787837893911,4.25,5.0193554663477)); #217293=CARTESIAN_POINT('',(23.941912,4.24,3.540634)); #217294=CARTESIAN_POINT('',(23.941912,4.25,3.540634)); #217295=CARTESIAN_POINT('',(23.941912,4.24,3.540634)); #217296=CARTESIAN_POINT('Origin',(23.945595,4.25,3.538433)); #217297=CARTESIAN_POINT('',(23.945595,4.25,3.538433)); #217298=CARTESIAN_POINT('',(19.9035403323555,4.25,5.95400789097898)); #217299=CARTESIAN_POINT('',(23.945595,4.24,3.538433)); #217300=CARTESIAN_POINT('',(23.945595,4.25,3.538433)); #217301=CARTESIAN_POINT('',(23.945595,4.24,3.538433)); #217302=CARTESIAN_POINT('Origin',(23.949022,4.25,3.53582)); #217303=CARTESIAN_POINT('',(23.949022,4.25,3.53582)); #217304=CARTESIAN_POINT('',(19.3596672400957,4.25,7.03508582656252)); #217305=CARTESIAN_POINT('',(23.949022,4.24,3.53582)); #217306=CARTESIAN_POINT('',(23.949022,4.25,3.53582)); #217307=CARTESIAN_POINT('',(23.949022,4.24,3.53582)); #217308=CARTESIAN_POINT('Origin',(23.952187,4.25,3.532795)); #217309=CARTESIAN_POINT('',(23.952187,4.25,3.532795)); #217310=CARTESIAN_POINT('',(19.0737122234413,4.25,8.19547626353955)); #217311=CARTESIAN_POINT('',(23.952187,4.24,3.532795)); #217312=CARTESIAN_POINT('',(23.952187,4.25,3.532795)); #217313=CARTESIAN_POINT('',(23.952187,4.24,3.532795)); #217314=CARTESIAN_POINT('Origin',(23.95513,4.25,3.529324)); #217315=CARTESIAN_POINT('',(23.95513,4.25,3.529324)); #217316=CARTESIAN_POINT('',(19.045513935006,4.25,9.31976822751606)); #217317=CARTESIAN_POINT('',(23.95513,4.24,3.529324)); #217318=CARTESIAN_POINT('',(23.95513,4.25,3.529324)); #217319=CARTESIAN_POINT('',(23.95513,4.24,3.529324)); #217320=CARTESIAN_POINT('Origin',(23.957701,4.25,3.525314)); #217321=CARTESIAN_POINT('',(23.957701,4.25,3.525314)); #217322=CARTESIAN_POINT('',(19.3473261002406,4.25,10.7161359945681)); #217323=CARTESIAN_POINT('',(23.957701,4.24,3.525314)); #217324=CARTESIAN_POINT('',(23.957701,4.25,3.525314)); #217325=CARTESIAN_POINT('',(23.957701,4.24,3.525314)); #217326=CARTESIAN_POINT('Origin',(23.959869,4.25,3.520748)); #217327=CARTESIAN_POINT('',(23.959869,4.25,3.520748)); #217328=CARTESIAN_POINT('',(19.9679450294152,4.25,11.9280934103755)); #217329=CARTESIAN_POINT('',(23.959869,4.24,3.520748)); #217330=CARTESIAN_POINT('',(23.959869,4.25,3.520748)); #217331=CARTESIAN_POINT('',(23.959869,4.24,3.520748)); #217332=CARTESIAN_POINT('Origin',(23.961643,4.25,3.515629)); #217333=CARTESIAN_POINT('',(23.961643,4.25,3.515629)); #217334=CARTESIAN_POINT('',(20.7366272843044,4.25,12.8216354535759)); #217335=CARTESIAN_POINT('',(23.961643,4.24,3.515629)); #217336=CARTESIAN_POINT('',(23.961643,4.25,3.515629)); #217337=CARTESIAN_POINT('',(23.961643,4.24,3.515629)); #217338=CARTESIAN_POINT('Origin',(23.963026,4.25,3.509945)); #217339=CARTESIAN_POINT('',(23.963026,4.25,3.509945)); #217340=CARTESIAN_POINT('',(21.5460691591586,4.25,13.4434104254264)); #217341=CARTESIAN_POINT('',(23.963026,4.24,3.509945)); #217342=CARTESIAN_POINT('',(23.963026,4.25,3.509945)); #217343=CARTESIAN_POINT('',(23.963026,4.24,3.509945)); #217344=CARTESIAN_POINT('Origin',(23.7510820218694,4.25,3.47700498690072)); #217345=CARTESIAN_POINT('',(23.964647,4.25,3.496887)); #217346=CARTESIAN_POINT('Origin',(23.7510820218694,4.25,3.47700498690072)); #217347=CARTESIAN_POINT('',(23.964647,4.24,3.496887)); #217348=CARTESIAN_POINT('',(23.964647,4.25,3.496887)); #217349=CARTESIAN_POINT('Origin',(23.7510820218694,4.24,3.47700498690072)); #217350=CARTESIAN_POINT('Origin',(23.964897,4.25,3.489502)); #217351=CARTESIAN_POINT('',(23.964897,4.25,3.489502)); #217352=CARTESIAN_POINT('',(23.6022730330575,4.25,14.201413983516)); #217353=CARTESIAN_POINT('',(23.964897,4.24,3.489502)); #217354=CARTESIAN_POINT('',(23.964897,4.25,3.489502)); #217355=CARTESIAN_POINT('',(23.964897,4.24,3.489502)); #217356=CARTESIAN_POINT('Origin',(23.964905,4.25,3.369644)); #217357=CARTESIAN_POINT('',(23.964905,4.25,3.369644)); #217358=CARTESIAN_POINT('',(23.964183138016,4.25,14.1847607095155)); #217359=CARTESIAN_POINT('',(23.964905,4.24,3.369644)); #217360=CARTESIAN_POINT('',(23.964905,4.25,3.369644)); #217361=CARTESIAN_POINT('',(23.964905,4.24,3.369644)); #217362=CARTESIAN_POINT('Origin',(25.000004,4.25,3.369598)); #217363=CARTESIAN_POINT('',(25.000004,4.25,3.369598)); #217364=CARTESIAN_POINT('',(25.3995213695842,4.25,3.36958024537073)); #217365=CARTESIAN_POINT('',(25.000004,4.24,3.369598)); #217366=CARTESIAN_POINT('',(25.000004,4.25,3.369598)); #217367=CARTESIAN_POINT('',(25.000004,4.24,3.369598)); #217368=CARTESIAN_POINT('Origin',(27.2102499033467,4.25,3.42867604030528)); #217369=CARTESIAN_POINT('',(25.000267,4.25,3.496887)); #217370=CARTESIAN_POINT('Origin',(27.2102499033467,4.25,3.42867604030528)); #217371=CARTESIAN_POINT('',(25.000267,4.24,3.496887)); #217372=CARTESIAN_POINT('',(25.000267,4.25,3.496887)); #217373=CARTESIAN_POINT('Origin',(27.2102499033467,4.24,3.42867604030528)); #217374=CARTESIAN_POINT('Origin',(25.0009,4.25,3.5037)); #217375=CARTESIAN_POINT('',(25.0009,4.25,3.5037)); #217376=CARTESIAN_POINT('',(25.9943900775309,4.25,14.1966666638667)); #217377=CARTESIAN_POINT('',(25.0009,4.24,3.5037)); #217378=CARTESIAN_POINT('',(25.0009,4.25,3.5037)); #217379=CARTESIAN_POINT('',(25.0009,4.24,3.5037)); #217380=CARTESIAN_POINT('Origin',(25.001917,4.25,3.509945)); #217381=CARTESIAN_POINT('',(25.001917,4.25,3.509945)); #217382=CARTESIAN_POINT('',(26.7168500151146,4.25,14.0406791980189)); #217383=CARTESIAN_POINT('',(25.001917,4.24,3.509945)); #217384=CARTESIAN_POINT('',(25.001917,4.25,3.509945)); #217385=CARTESIAN_POINT('',(25.001917,4.24,3.509945)); #217386=CARTESIAN_POINT('Origin',(25.003319,4.25,3.515629)); #217387=CARTESIAN_POINT('',(25.003319,4.25,3.515629)); #217388=CARTESIAN_POINT('',(27.5238439828832,4.25,13.7343622401466)); #217389=CARTESIAN_POINT('',(25.003319,4.24,3.515629)); #217390=CARTESIAN_POINT('',(25.003319,4.25,3.515629)); #217391=CARTESIAN_POINT('',(25.003319,4.24,3.515629)); #217392=CARTESIAN_POINT('Origin',(25.00511,4.25,3.520748)); #217393=CARTESIAN_POINT('',(25.00511,4.25,3.520748)); #217394=CARTESIAN_POINT('',(28.3961643023711,4.25,13.2129908664693)); #217395=CARTESIAN_POINT('',(25.00511,4.24,3.520748)); #217396=CARTESIAN_POINT('',(25.00511,4.25,3.520748)); #217397=CARTESIAN_POINT('',(25.00511,4.24,3.520748)); #217398=CARTESIAN_POINT('Origin',(25.007294,4.25,3.525314)); #217399=CARTESIAN_POINT('',(25.007294,4.25,3.525314)); #217400=CARTESIAN_POINT('',(29.2607086141252,4.25,12.4177549927181)); #217401=CARTESIAN_POINT('',(25.007294,4.24,3.525314)); #217402=CARTESIAN_POINT('',(25.007294,4.25,3.525314)); #217403=CARTESIAN_POINT('',(25.007294,4.24,3.525314)); #217404=CARTESIAN_POINT('Origin',(25.009876,4.25,3.529324)); #217405=CARTESIAN_POINT('',(25.009876,4.25,3.529324)); #217406=CARTESIAN_POINT('',(30.0121359466214,4.25,11.2981320503302)); #217407=CARTESIAN_POINT('',(25.009876,4.24,3.529324)); #217408=CARTESIAN_POINT('',(25.009876,4.25,3.529324)); #217409=CARTESIAN_POINT('',(25.009876,4.24,3.529324)); #217410=CARTESIAN_POINT('Origin',(25.012819,4.25,3.532795)); #217411=CARTESIAN_POINT('',(25.012819,4.25,3.532795)); #217412=CARTESIAN_POINT('',(30.471985824966,4.25,9.97138421149883)); #217413=CARTESIAN_POINT('',(25.012819,4.24,3.532795)); #217414=CARTESIAN_POINT('',(25.012819,4.25,3.532795)); #217415=CARTESIAN_POINT('',(25.012819,4.24,3.532795)); #217416=CARTESIAN_POINT('Origin',(25.015985,4.25,3.535816)); #217417=CARTESIAN_POINT('',(25.015985,4.25,3.535816)); #217418=CARTESIAN_POINT('',(30.5813254443554,4.25,8.84626877398365)); #217419=CARTESIAN_POINT('',(25.015985,4.24,3.535816)); #217420=CARTESIAN_POINT('',(25.015985,4.25,3.535816)); #217421=CARTESIAN_POINT('',(25.015985,4.24,3.535816)); #217422=CARTESIAN_POINT('Origin',(25.019411,4.25,3.538433)); #217423=CARTESIAN_POINT('',(25.019411,4.25,3.538433)); #217424=CARTESIAN_POINT('',(30.4423469162522,4.25,7.68082158518156)); #217425=CARTESIAN_POINT('',(25.019411,4.24,3.538433)); #217426=CARTESIAN_POINT('',(25.019411,4.25,3.538433)); #217427=CARTESIAN_POINT('',(25.019411,4.24,3.538433)); #217428=CARTESIAN_POINT('Origin',(25.023096,4.25,3.540638)); #217429=CARTESIAN_POINT('',(25.023096,4.25,3.540638)); #217430=CARTESIAN_POINT('',(30.0367526311454,4.25,6.54066863003297)); #217431=CARTESIAN_POINT('',(25.023096,4.24,3.540638)); #217432=CARTESIAN_POINT('',(25.023096,4.25,3.540638)); #217433=CARTESIAN_POINT('',(25.023096,4.24,3.540638)); #217434=CARTESIAN_POINT('Origin',(25.027033,4.25,3.542419)); #217435=CARTESIAN_POINT('',(25.027033,4.25,3.542419)); #217436=CARTESIAN_POINT('',(29.3768020549661,4.25,5.51014536192201)); #217437=CARTESIAN_POINT('',(25.027033,4.24,3.542419)); #217438=CARTESIAN_POINT('',(25.027033,4.25,3.542419)); #217439=CARTESIAN_POINT('',(25.027033,4.24,3.542419)); #217440=CARTESIAN_POINT('Origin',(25.031219,4.25,3.543777)); #217441=CARTESIAN_POINT('',(25.031219,4.25,3.543777)); #217442=CARTESIAN_POINT('',(28.5279532670256,4.25,4.6781690531813)); #217443=CARTESIAN_POINT('',(25.031219,4.24,3.543777)); #217444=CARTESIAN_POINT('',(25.031219,4.25,3.543777)); #217445=CARTESIAN_POINT('',(25.031219,4.24,3.543777)); #217446=CARTESIAN_POINT('Origin',(25.035646,4.25,3.544708)); #217447=CARTESIAN_POINT('',(25.035646,4.25,3.544708)); #217448=CARTESIAN_POINT('',(27.5621152456415,4.25,4.07602556668197)); #217449=CARTESIAN_POINT('',(25.035646,4.24,3.544708)); #217450=CARTESIAN_POINT('',(25.035646,4.25,3.544708)); #217451=CARTESIAN_POINT('',(25.035646,4.24,3.544708)); #217452=CARTESIAN_POINT('Origin',(25.040314,4.25,3.545208)); #217453=CARTESIAN_POINT('',(25.040314,4.25,3.545208)); #217454=CARTESIAN_POINT('',(26.551850648573,4.25,3.70711209689037)); #217455=CARTESIAN_POINT('',(25.040314,4.24,3.545208)); #217456=CARTESIAN_POINT('',(25.040314,4.25,3.545208)); #217457=CARTESIAN_POINT('',(25.040314,4.24,3.545208)); #217458=CARTESIAN_POINT('Origin',(25.045099,4.25,3.545269)); #217459=CARTESIAN_POINT('',(25.045099,4.25,3.545269)); #217460=CARTESIAN_POINT('',(25.5592202408732,4.25,3.55182310568328)); #217461=CARTESIAN_POINT('',(25.045099,4.24,3.545269)); #217462=CARTESIAN_POINT('',(25.045099,4.25,3.545269)); #217463=CARTESIAN_POINT('',(25.045099,4.24,3.545269)); #217464=CARTESIAN_POINT('Origin',(25.049623,4.25,3.544891)); #217465=CARTESIAN_POINT('',(25.049623,4.25,3.544891)); #217466=CARTESIAN_POINT('',(24.5320904382783,4.25,3.58813311059514)); #217467=CARTESIAN_POINT('',(25.049623,4.24,3.544891)); #217468=CARTESIAN_POINT('',(25.049623,4.25,3.544891)); #217469=CARTESIAN_POINT('',(25.049623,4.24,3.544891)); #217470=CARTESIAN_POINT('Origin',(25.053946,4.25,3.544075)); #217471=CARTESIAN_POINT('',(23.4588198854993,4.25,3.84516750738717)); #217472=CARTESIAN_POINT('',(25.053946,4.24,3.544075)); #217473=CARTESIAN_POINT('Origin',(24.4825373018584,4.24,3.01581983652905)); #217474=CARTESIAN_POINT('Origin',(24.551287,4.25,4.711998)); #217475=CARTESIAN_POINT('',(24.551287,4.25,4.711998)); #217476=CARTESIAN_POINT('',(24.42642,4.25,4.711998)); #217477=CARTESIAN_POINT('',(25.1756435,4.25,4.711998)); #217478=CARTESIAN_POINT('',(24.551287,4.24,4.711998)); #217479=CARTESIAN_POINT('',(24.551287,4.25,4.711998)); #217480=CARTESIAN_POINT('',(24.42642,4.24,4.711998)); #217481=CARTESIAN_POINT('',(24.551287,4.24,4.711998)); #217482=CARTESIAN_POINT('',(24.42642,4.25,4.711998)); #217483=CARTESIAN_POINT('Origin',(24.551304,4.25,4.711742)); #217484=CARTESIAN_POINT('',(24.551304,4.25,4.711742)); #217485=CARTESIAN_POINT('',(23.8833691295922,4.25,14.77005534062)); #217486=CARTESIAN_POINT('',(24.551304,4.24,4.711742)); #217487=CARTESIAN_POINT('',(24.551304,4.25,4.711742)); #217488=CARTESIAN_POINT('',(24.551304,4.24,4.711742)); #217489=CARTESIAN_POINT('Origin',(24.551304,4.25,3.832211)); #217490=CARTESIAN_POINT('',(24.551304,4.25,3.832211)); #217491=CARTESIAN_POINT('',(24.551304,4.25,14.4161055)); #217492=CARTESIAN_POINT('',(24.551304,4.24,3.832211)); #217493=CARTESIAN_POINT('',(24.551304,4.25,3.832211)); #217494=CARTESIAN_POINT('',(24.551304,4.24,3.832211)); #217495=CARTESIAN_POINT('Origin',(24.551239,4.25,3.825096)); #217496=CARTESIAN_POINT('',(24.551239,4.25,3.825096)); #217497=CARTESIAN_POINT('',(24.6480060674965,4.25,14.4173680805499)); #217498=CARTESIAN_POINT('',(24.551239,4.24,3.825096)); #217499=CARTESIAN_POINT('',(24.551239,4.25,3.825096)); #217500=CARTESIAN_POINT('',(24.551239,4.24,3.825096)); #217501=CARTESIAN_POINT('Origin',(24.550533,4.25,3.825096)); #217502=CARTESIAN_POINT('',(24.550533,4.25,3.825096)); #217503=CARTESIAN_POINT('',(25.1752665,4.25,3.825096)); #217504=CARTESIAN_POINT('',(24.550533,4.24,3.825096)); #217505=CARTESIAN_POINT('',(24.550533,4.25,3.825096)); #217506=CARTESIAN_POINT('',(24.550533,4.24,3.825096)); #217507=CARTESIAN_POINT('Origin',(24.549091,4.25,3.8251)); #217508=CARTESIAN_POINT('',(24.549091,4.25,3.8251)); #217509=CARTESIAN_POINT('',(25.1451721199984,4.25,3.82344651561624)); #217510=CARTESIAN_POINT('',(24.549091,4.24,3.8251)); #217511=CARTESIAN_POINT('',(24.549091,4.25,3.8251)); #217512=CARTESIAN_POINT('',(24.549091,4.24,3.8251)); #217513=CARTESIAN_POINT('Origin',(24.4478,4.25,3.8251)); #217514=CARTESIAN_POINT('',(24.4478,4.25,3.8251)); #217515=CARTESIAN_POINT('',(25.1239,4.25,3.8251)); #217516=CARTESIAN_POINT('',(24.4478,4.24,3.8251)); #217517=CARTESIAN_POINT('',(24.4478,4.25,3.8251)); #217518=CARTESIAN_POINT('',(24.4478,4.24,3.8251)); #217519=CARTESIAN_POINT('Origin',(24.426403,4.25,3.825096)); #217520=CARTESIAN_POINT('',(24.426403,4.25,3.825096)); #217521=CARTESIAN_POINT('',(25.1151807163845,4.25,3.82522476154915)); #217522=CARTESIAN_POINT('',(24.426403,4.24,3.825096)); #217523=CARTESIAN_POINT('',(24.426403,4.25,3.825096)); #217524=CARTESIAN_POINT('',(24.426403,4.24,3.825096)); #217525=CARTESIAN_POINT('Origin',(24.426405,4.25,3.867123)); #217526=CARTESIAN_POINT('',(24.426405,4.25,3.867123)); #217527=CARTESIAN_POINT('',(24.4269078420369,4.25,14.4335941597024)); #217528=CARTESIAN_POINT('',(24.426405,4.24,3.867123)); #217529=CARTESIAN_POINT('',(24.426405,4.25,3.867123)); #217530=CARTESIAN_POINT('',(24.426405,4.24,3.867123)); #217531=CARTESIAN_POINT('Origin',(24.426403,4.25,3.977032)); #217532=CARTESIAN_POINT('',(24.426403,4.25,3.977032)); #217533=CARTESIAN_POINT('',(24.4262117240994,4.25,14.488503498935)); #217534=CARTESIAN_POINT('',(24.426403,4.24,3.977032)); #217535=CARTESIAN_POINT('',(24.426403,4.25,3.977032)); #217536=CARTESIAN_POINT('',(24.426403,4.24,3.977032)); #217537=CARTESIAN_POINT('Origin',(24.426403,4.25,4.69628900000036)); #217538=CARTESIAN_POINT('',(24.426403,4.25,4.69628900000036)); #217539=CARTESIAN_POINT('',(24.426403,4.25,14.8481445000002)); #217540=CARTESIAN_POINT('',(24.426403,4.24,4.69628900000036)); #217541=CARTESIAN_POINT('',(24.426403,4.25,4.69628900000036)); #217542=CARTESIAN_POINT('',(24.426403,4.24,4.696289)); #217543=CARTESIAN_POINT('Origin',(18.2953965957233,4.25,4.71077837512671)); #217544=CARTESIAN_POINT('Origin',(18.2953965957233,4.25,4.71077837512671)); #217545=CARTESIAN_POINT('Origin',(18.2953965957233,4.24,4.71077837512671)); #217546=CARTESIAN_POINT('Origin',(24.4888535,4.24,4.268547)); #217547=CARTESIAN_POINT('Origin',(8.12269799999999,4.25,18.4511364352917)); #217548=CARTESIAN_POINT('',(8.12269799999999,4.25,18.4511364352917)); #217549=CARTESIAN_POINT('',(8.12269799999999,4.25,18.5511364352917)); #217550=CARTESIAN_POINT('',(8.12269799999999,4.25,21.7255682176459)); #217551=CARTESIAN_POINT('',(8.12269799999999,4.24,18.4511364352917)); #217552=CARTESIAN_POINT('',(8.12269799999999,4.25,18.4511364352917)); #217553=CARTESIAN_POINT('',(8.12269799999999,4.24,18.5511364352917)); #217554=CARTESIAN_POINT('',(8.12269799999999,4.24,18.5511364352917)); #217555=CARTESIAN_POINT('',(8.12269799999999,4.25,18.5511364352917)); #217556=CARTESIAN_POINT('Origin',(7.2657,4.25,18.4511364352917)); #217557=CARTESIAN_POINT('',(7.2657,4.25,18.4511364352917)); #217558=CARTESIAN_POINT('',(16.53285,4.25,18.4511364352917)); #217559=CARTESIAN_POINT('',(7.2657,4.24,18.4511364352917)); #217560=CARTESIAN_POINT('',(7.2657,4.25,18.4511364352917)); #217561=CARTESIAN_POINT('',(8.12269799999999,4.24,18.4511364352917)); #217562=CARTESIAN_POINT('Origin',(7.2657,4.25,18.5511364352917)); #217563=CARTESIAN_POINT('',(7.2657,4.25,18.5511364352917)); #217564=CARTESIAN_POINT('',(7.2657,4.25,21.7755682176459)); #217565=CARTESIAN_POINT('',(7.2657,4.24,18.5511364352917)); #217566=CARTESIAN_POINT('',(7.2657,4.25,18.5511364352917)); #217567=CARTESIAN_POINT('',(7.2657,4.24,18.4511364352917)); #217568=CARTESIAN_POINT('Origin',(8.12269799999999,4.25,18.5511364352917)); #217569=CARTESIAN_POINT('',(16.961349,4.25,18.5511364352917)); #217570=CARTESIAN_POINT('',(7.2657,4.24,18.5511364352917)); #217571=CARTESIAN_POINT('Origin',(7.694199,4.24,18.5011364352917)); #217572=CARTESIAN_POINT('Origin',(16.0292708131533,4.25,5.51079052999556)); #217573=CARTESIAN_POINT('',(15.199703,4.25,5.509899)); #217574=CARTESIAN_POINT('',(15.20461,4.25,5.600891)); #217575=CARTESIAN_POINT('Origin',(16.0292708131533,4.25,5.51079052999556)); #217576=CARTESIAN_POINT('',(15.199703,4.24,5.509899)); #217577=CARTESIAN_POINT('',(15.199703,4.25,5.509899)); #217578=CARTESIAN_POINT('',(15.20461,4.24,5.600891)); #217579=CARTESIAN_POINT('Origin',(16.0292708131533,4.24,5.51079052999556)); #217580=CARTESIAN_POINT('',(15.20461,4.25,5.600891)); #217581=CARTESIAN_POINT('Origin',(15.199703,4.25,4.952698)); #217582=CARTESIAN_POINT('',(15.199703,4.25,4.952698)); #217583=CARTESIAN_POINT('',(15.199703,4.25,14.976349)); #217584=CARTESIAN_POINT('',(15.199703,4.24,4.952698)); #217585=CARTESIAN_POINT('',(15.199703,4.25,4.952698)); #217586=CARTESIAN_POINT('',(15.199703,4.24,5.509899)); #217587=CARTESIAN_POINT('Origin',(15.6663164091545,4.25,4.84610938247541)); #217588=CARTESIAN_POINT('',(15.315627,4.25,4.52037)); #217589=CARTESIAN_POINT('Origin',(15.6663164091545,4.25,4.84610938247541)); #217590=CARTESIAN_POINT('',(15.315627,4.24,4.52037)); #217591=CARTESIAN_POINT('',(15.315627,4.25,4.52037)); #217592=CARTESIAN_POINT('Origin',(15.6663164091545,4.24,4.84610938247541)); #217593=CARTESIAN_POINT('Origin',(15.6402295072587,4.25,5.06753460084378)); #217594=CARTESIAN_POINT('',(15.717146,4.25,4.435997)); #217595=CARTESIAN_POINT('Origin',(15.6402295072587,4.25,5.06753460084378)); #217596=CARTESIAN_POINT('',(15.717146,4.24,4.435997)); #217597=CARTESIAN_POINT('',(15.717146,4.25,4.435997)); #217598=CARTESIAN_POINT('Origin',(15.6402295072587,4.24,5.06753460084378)); #217599=CARTESIAN_POINT('Origin',(16.0154863895588,4.25,8.07800018180178)); #217600=CARTESIAN_POINT('',(16.454994,4.25,4.450325)); #217601=CARTESIAN_POINT('Origin',(16.0154863895588,4.25,8.07800018180178)); #217602=CARTESIAN_POINT('',(16.454994,4.24,4.450325)); #217603=CARTESIAN_POINT('',(16.454994,4.25,4.450325)); #217604=CARTESIAN_POINT('Origin',(16.0154863895588,4.24,8.07800018180178)); #217605=CARTESIAN_POINT('Origin',(16.422573850771,4.25,4.7469537457537)); #217606=CARTESIAN_POINT('',(16.651999,4.25,4.556156)); #217607=CARTESIAN_POINT('Origin',(16.422573850771,4.25,4.7469537457537)); #217608=CARTESIAN_POINT('',(16.651999,4.24,4.556156)); #217609=CARTESIAN_POINT('',(16.651999,4.25,4.556156)); #217610=CARTESIAN_POINT('Origin',(16.422573850771,4.24,4.7469537457537)); #217611=CARTESIAN_POINT('Origin',(16.421363417785,4.25,4.74296455279375)); #217612=CARTESIAN_POINT('',(16.716927,4.25,4.770027)); #217613=CARTESIAN_POINT('Origin',(16.421363417785,4.25,4.74296455279375)); #217614=CARTESIAN_POINT('',(16.716927,4.24,4.770027)); #217615=CARTESIAN_POINT('',(16.716927,4.25,4.770027)); #217616=CARTESIAN_POINT('Origin',(16.421363417785,4.24,4.74296455279375)); #217617=CARTESIAN_POINT('Origin',(11.3466712055007,4.25,5.1688353942223)); #217618=CARTESIAN_POINT('',(16.707132,4.25,5.682796)); #217619=CARTESIAN_POINT('Origin',(11.3466712055007,4.25,5.1688353942223)); #217620=CARTESIAN_POINT('',(16.707132,4.24,5.682796)); #217621=CARTESIAN_POINT('',(16.707132,4.25,5.682796)); #217622=CARTESIAN_POINT('Origin',(11.3466712055007,4.24,5.1688353942223)); #217623=CARTESIAN_POINT('Origin',(16.4297330128927,4.25,5.65113161936754)); #217624=CARTESIAN_POINT('',(16.408247,4.25,5.929504)); #217625=CARTESIAN_POINT('Origin',(16.4297330128927,4.25,5.65113161936754)); #217626=CARTESIAN_POINT('',(16.408247,4.24,5.929504)); #217627=CARTESIAN_POINT('',(16.408247,4.25,5.929504)); #217628=CARTESIAN_POINT('Origin',(16.4297330128927,4.24,5.65113161936754)); #217629=CARTESIAN_POINT('Origin',(15.9683514436272,4.25,-4.85954015316063)); #217630=CARTESIAN_POINT('',(15.504951,4.25,5.92852)); #217631=CARTESIAN_POINT('Origin',(15.9683514436272,4.25,-4.85954015316063)); #217632=CARTESIAN_POINT('',(15.504951,4.24,5.92852)); #217633=CARTESIAN_POINT('',(15.504951,4.25,5.92852)); #217634=CARTESIAN_POINT('Origin',(15.9683514436272,4.24,-4.85954015316063)); #217635=CARTESIAN_POINT('Origin',(15.5252470686876,4.25,5.60843697765856)); #217636=CARTESIAN_POINT('Origin',(15.5252470686876,4.25,5.60843697765856)); #217637=CARTESIAN_POINT('Origin',(15.5252470686876,4.24,5.60843697765856)); #217638=CARTESIAN_POINT('Origin',(15.194777,4.25,3.361134)); #217639=CARTESIAN_POINT('',(15.194777,4.25,3.361134)); #217640=CARTESIAN_POINT('',(15.198305,4.25,3.381618)); #217641=CARTESIAN_POINT('',(17.1573090708301,4.25,14.7558355132843)); #217642=CARTESIAN_POINT('',(15.194777,4.24,3.361134)); #217643=CARTESIAN_POINT('',(15.194777,4.25,3.361134)); #217644=CARTESIAN_POINT('',(15.198305,4.24,3.381618)); #217645=CARTESIAN_POINT('',(15.198305,4.24,3.381618)); #217646=CARTESIAN_POINT('',(15.198305,4.25,3.381618)); #217647=CARTESIAN_POINT('Origin',(15.188766,4.25,3.345081)); #217648=CARTESIAN_POINT('',(15.188766,4.25,3.345081)); #217649=CARTESIAN_POINT('',(19.3969492053043,4.25,14.5834714499727)); #217650=CARTESIAN_POINT('',(15.188766,4.24,3.345081)); #217651=CARTESIAN_POINT('',(15.188766,4.25,3.345081)); #217652=CARTESIAN_POINT('',(15.194777,4.24,3.361134)); #217653=CARTESIAN_POINT('Origin',(15.17966,4.25,3.332954)); #217654=CARTESIAN_POINT('',(15.17966,4.25,3.332954)); #217655=CARTESIAN_POINT('',(22.296020447904,4.25,12.8102330634439)); #217656=CARTESIAN_POINT('',(15.17966,4.24,3.332954)); #217657=CARTESIAN_POINT('',(15.17966,4.25,3.332954)); #217658=CARTESIAN_POINT('',(15.188766,4.24,3.345081)); #217659=CARTESIAN_POINT('Origin',(15.166854,4.25,3.32423)); #217660=CARTESIAN_POINT('',(15.166854,4.25,3.32423)); #217661=CARTESIAN_POINT('',(23.841039713575,4.25,9.23345974896326)); #217662=CARTESIAN_POINT('',(15.166854,4.24,3.32423)); #217663=CARTESIAN_POINT('',(15.166854,4.25,3.32423)); #217664=CARTESIAN_POINT('',(15.17966,4.24,3.332954)); #217665=CARTESIAN_POINT('Origin',(15.009499323905,4.25,3.87194739268993)); #217666=CARTESIAN_POINT('',(14.852542,4.25,3.324116)); #217667=CARTESIAN_POINT('Origin',(15.009499323905,4.25,3.87194739268993)); #217668=CARTESIAN_POINT('',(14.852542,4.24,3.324116)); #217669=CARTESIAN_POINT('',(14.852542,4.25,3.324116)); #217670=CARTESIAN_POINT('Origin',(15.009499323905,4.24,3.87194739268993)); #217671=CARTESIAN_POINT('Origin',(14.84754,4.25,3.327412)); #217672=CARTESIAN_POINT('',(14.84754,4.25,3.327412)); #217673=CARTESIAN_POINT('',(13.6871704089469,4.25,4.09202179050489)); #217674=CARTESIAN_POINT('',(14.84754,4.24,3.327412)); #217675=CARTESIAN_POINT('',(14.84754,4.25,3.327412)); #217676=CARTESIAN_POINT('',(14.852542,4.24,3.324116)); #217677=CARTESIAN_POINT('Origin',(14.843063,4.25,3.331234)); #217678=CARTESIAN_POINT('',(14.843063,4.25,3.331234)); #217679=CARTESIAN_POINT('',(12.6618896110689,4.25,5.19329446292217)); #217680=CARTESIAN_POINT('',(14.843063,4.24,3.331234)); #217681=CARTESIAN_POINT('',(14.843063,4.25,3.331234)); #217682=CARTESIAN_POINT('',(14.84754,4.24,3.327412)); #217683=CARTESIAN_POINT('Origin',(14.839113,4.25,3.335583)); #217684=CARTESIAN_POINT('',(14.839113,4.25,3.335583)); #217685=CARTESIAN_POINT('',(11.9253298684019,4.25,6.54369511122182)); #217686=CARTESIAN_POINT('',(14.839113,4.24,3.335583)); #217687=CARTESIAN_POINT('',(14.839113,4.25,3.335583)); #217688=CARTESIAN_POINT('',(14.843063,4.24,3.331234)); #217689=CARTESIAN_POINT('Origin',(14.83569,4.25,3.340462)); #217690=CARTESIAN_POINT('',(14.83569,4.25,3.340462)); #217691=CARTESIAN_POINT('',(11.552277433515,4.25,8.02049995263772)); #217692=CARTESIAN_POINT('',(14.83569,4.24,3.340462)); #217693=CARTESIAN_POINT('',(14.83569,4.25,3.340462)); #217694=CARTESIAN_POINT('',(14.839113,4.24,3.335583)); #217695=CARTESIAN_POINT('Origin',(14.832791,4.25,3.345863)); #217696=CARTESIAN_POINT('',(14.832791,4.25,3.345863)); #217697=CARTESIAN_POINT('',(11.5476414798703,4.25,9.46628126775614)); #217698=CARTESIAN_POINT('',(14.832791,4.24,3.345863)); #217699=CARTESIAN_POINT('',(14.832791,4.25,3.345863)); #217700=CARTESIAN_POINT('',(14.83569,4.24,3.340462)); #217701=CARTESIAN_POINT('Origin',(14.83042,4.25,3.351799)); #217702=CARTESIAN_POINT('',(14.83042,4.25,3.351799)); #217703=CARTESIAN_POINT('',(11.8565000037375,4.25,10.7972604499433)); #217704=CARTESIAN_POINT('',(14.83042,4.24,3.351799)); #217705=CARTESIAN_POINT('',(14.83042,4.25,3.351799)); #217706=CARTESIAN_POINT('',(14.832791,4.24,3.345863)); #217707=CARTESIAN_POINT('Origin',(14.9402023784866,4.25,3.38471487646173)); #217708=CARTESIAN_POINT('',(14.827258,4.25,3.365242)); #217709=CARTESIAN_POINT('Origin',(14.9402023784866,4.25,3.38471487646173)); #217710=CARTESIAN_POINT('',(14.827258,4.24,3.365242)); #217711=CARTESIAN_POINT('',(14.827258,4.25,3.365242)); #217712=CARTESIAN_POINT('Origin',(14.9402023784866,4.24,3.38471487646173)); #217713=CARTESIAN_POINT('Origin',(14.9595642675275,4.25,3.38202871848427)); #217714=CARTESIAN_POINT('',(14.826203,4.25,3.380798)); #217715=CARTESIAN_POINT('Origin',(14.9595642675275,4.25,3.38202871848427)); #217716=CARTESIAN_POINT('',(14.826203,4.24,3.380798)); #217717=CARTESIAN_POINT('',(14.826203,4.25,3.380798)); #217718=CARTESIAN_POINT('Origin',(14.9595642675275,4.24,3.38202871848427)); #217719=CARTESIAN_POINT('Origin',(14.826306,4.25,6.224392)); #217720=CARTESIAN_POINT('',(14.826306,4.25,6.224392)); #217721=CARTESIAN_POINT('',(14.8266460500498,4.25,15.6123947309777)); #217722=CARTESIAN_POINT('',(14.826306,4.24,6.224392)); #217723=CARTESIAN_POINT('',(14.826306,4.25,6.224392)); #217724=CARTESIAN_POINT('',(14.826203,4.24,3.380798)); #217725=CARTESIAN_POINT('Origin',(14.828715,4.25,6.241337)); #217726=CARTESIAN_POINT('',(14.828715,4.25,6.241337)); #217727=CARTESIAN_POINT('',(16.2443939881993,4.25,16.1992782432821)); #217728=CARTESIAN_POINT('',(14.828715,4.24,6.241337)); #217729=CARTESIAN_POINT('',(14.828715,4.25,6.241337)); #217730=CARTESIAN_POINT('',(14.826306,4.24,6.224392)); #217731=CARTESIAN_POINT('Origin',(14.834162,4.25,6.255512)); #217732=CARTESIAN_POINT('',(14.834162,4.25,6.255512)); #217733=CARTESIAN_POINT('',(18.6776916254968,4.25,16.2577210033806)); #217734=CARTESIAN_POINT('',(14.834162,4.24,6.255512)); #217735=CARTESIAN_POINT('',(14.834162,4.25,6.255512)); #217736=CARTESIAN_POINT('',(14.828715,4.24,6.241337)); #217737=CARTESIAN_POINT('Origin',(14.842432,4.25,6.267155)); #217738=CARTESIAN_POINT('',(14.842432,4.25,6.267155)); #217739=CARTESIAN_POINT('',(21.1016409243798,4.25,15.0792432111893)); #217740=CARTESIAN_POINT('',(14.842432,4.24,6.267155)); #217741=CARTESIAN_POINT('',(14.842432,4.25,6.267155)); #217742=CARTESIAN_POINT('',(14.834162,4.24,6.255512)); #217743=CARTESIAN_POINT('Origin',(14.853312,4.25,6.276497)); #217744=CARTESIAN_POINT('',(14.853312,4.25,6.276497)); #217745=CARTESIAN_POINT('',(22.6309036531319,4.25,12.9546460095192)); #217746=CARTESIAN_POINT('',(14.853312,4.24,6.276497)); #217747=CARTESIAN_POINT('',(14.853312,4.25,6.276497)); #217748=CARTESIAN_POINT('',(14.842432,4.24,6.267155)); #217749=CARTESIAN_POINT('Origin',(14.866589,4.25,6.283775)); #217750=CARTESIAN_POINT('',(14.866589,4.25,6.283775)); #217751=CARTESIAN_POINT('',(23.0147004707894,4.25,10.7502926835429)); #217752=CARTESIAN_POINT('',(14.866589,4.24,6.283775)); #217753=CARTESIAN_POINT('',(14.866589,4.25,6.283775)); #217754=CARTESIAN_POINT('',(14.853312,4.24,6.276497)); #217755=CARTESIAN_POINT('Origin',(14.99132539163,4.25,5.90433288353324)); #217756=CARTESIAN_POINT('',(15.18626,4.25,6.252953)); #217757=CARTESIAN_POINT('Origin',(14.99132539163,4.25,5.90433288353324)); #217758=CARTESIAN_POINT('',(15.18626,4.24,6.252953)); #217759=CARTESIAN_POINT('',(15.18626,4.25,6.252953)); #217760=CARTESIAN_POINT('Origin',(14.99132539163,4.24,5.90433288353324)); #217761=CARTESIAN_POINT('Origin',(14.6891327589959,4.25,6.11214574664145)); #217762=CARTESIAN_POINT('',(15.199703,4.25,6.032898)); #217763=CARTESIAN_POINT('Origin',(14.6891327589959,4.25,6.11214574664145)); #217764=CARTESIAN_POINT('',(15.199703,4.24,6.032898)); #217765=CARTESIAN_POINT('',(15.199703,4.25,6.032898)); #217766=CARTESIAN_POINT('Origin',(14.6891327589959,4.24,6.11214574664145)); #217767=CARTESIAN_POINT('Origin',(13.098035904913,4.25,7.72748071131542)); #217768=CARTESIAN_POINT('',(15.272354,4.25,6.12718200000001)); #217769=CARTESIAN_POINT('Origin',(13.098035904913,4.25,7.72748071131542)); #217770=CARTESIAN_POINT('',(15.272354,4.24,6.12718200000001)); #217771=CARTESIAN_POINT('',(15.272354,4.25,6.12718200000001)); #217772=CARTESIAN_POINT('Origin',(13.098035904913,4.24,7.72748071131542)); #217773=CARTESIAN_POINT('Origin',(15.295896,4.25,6.152317)); #217774=CARTESIAN_POINT('',(15.295896,4.25,6.152317)); #217775=CARTESIAN_POINT('',(22.452066348375,4.25,13.7927189075017)); #217776=CARTESIAN_POINT('',(15.295896,4.24,6.152317)); #217777=CARTESIAN_POINT('',(15.295896,4.25,6.152317)); #217778=CARTESIAN_POINT('',(15.272354,4.24,6.127182)); #217779=CARTESIAN_POINT('Origin',(15.7314030112866,4.25,5.55949580876946)); #217780=CARTESIAN_POINT('',(15.78651,4.25,6.293026)); #217781=CARTESIAN_POINT('Origin',(15.7314030112866,4.25,5.55949580876946)); #217782=CARTESIAN_POINT('',(15.78651,4.24,6.293026)); #217783=CARTESIAN_POINT('',(15.78651,4.25,6.293026)); #217784=CARTESIAN_POINT('Origin',(15.7314030112866,4.24,5.55949580876946)); #217785=CARTESIAN_POINT('Origin',(16.069193531945,4.25,3.54072916084033)); #217786=CARTESIAN_POINT('',(16.654366,4.25,6.244915)); #217787=CARTESIAN_POINT('Origin',(16.069193531945,4.25,3.54072916084033)); #217788=CARTESIAN_POINT('',(16.654366,4.24,6.244915)); #217789=CARTESIAN_POINT('',(16.654366,4.25,6.244915)); #217790=CARTESIAN_POINT('Origin',(16.069193531945,4.24,3.54072916084033)); #217791=CARTESIAN_POINT('Origin',(16.4716192485343,4.25,5.64571787285189)); #217792=CARTESIAN_POINT('',(17.094604,4.25,5.579964)); #217793=CARTESIAN_POINT('Origin',(16.4716192485343,4.25,5.64571787285189)); #217794=CARTESIAN_POINT('',(17.094604,4.24,5.579964)); #217795=CARTESIAN_POINT('',(17.094604,4.25,5.579964)); #217796=CARTESIAN_POINT('Origin',(16.4716192485343,4.24,5.64571787285189)); #217797=CARTESIAN_POINT('Origin',(17.096016,4.25,5.436344)); #217798=CARTESIAN_POINT('',(17.096016,4.25,5.436344)); #217799=CARTESIAN_POINT('',(17.0002758706799,4.25,15.1744441224997)); #217800=CARTESIAN_POINT('',(17.096016,4.24,5.436344)); #217801=CARTESIAN_POINT('',(17.096016,4.25,5.436344)); #217802=CARTESIAN_POINT('',(17.094604,4.24,5.579964)); #217803=CARTESIAN_POINT('Origin',(17.097939,4.25,5.305977)); #217804=CARTESIAN_POINT('',(17.097939,4.25,5.305977)); #217805=CARTESIAN_POINT('',(16.9536671253549,4.25,15.0866797986688)); #217806=CARTESIAN_POINT('',(17.097939,4.24,5.305977)); #217807=CARTESIAN_POINT('',(17.097939,4.25,5.305977)); #217808=CARTESIAN_POINT('',(17.096016,4.24,5.436344)); #217809=CARTESIAN_POINT('Origin',(14.9682002736456,4.25,5.06458029033096)); #217810=CARTESIAN_POINT('',(17.009953,4.25,4.412426)); #217811=CARTESIAN_POINT('Origin',(14.9682002736456,4.25,5.06458029033096)); #217812=CARTESIAN_POINT('',(17.009953,4.24,4.412426)); #217813=CARTESIAN_POINT('',(17.009953,4.25,4.412426)); #217814=CARTESIAN_POINT('Origin',(14.9682002736456,4.24,5.06458029033096)); #217815=CARTESIAN_POINT('Origin',(16.4281206130221,4.25,4.75523950684769)); #217816=CARTESIAN_POINT('',(16.368893,4.25,4.082527)); #217817=CARTESIAN_POINT('Origin',(16.4281206130221,4.25,4.75523950684769)); #217818=CARTESIAN_POINT('',(16.368893,4.24,4.082527)); #217819=CARTESIAN_POINT('',(16.368893,4.25,4.082527)); #217820=CARTESIAN_POINT('Origin',(16.4281206130221,4.24,4.75523950684769)); #217821=CARTESIAN_POINT('Origin',(16.2560524565965,4.25,8.078937410972)); #217822=CARTESIAN_POINT('',(16.252327,4.25,4.080936)); #217823=CARTESIAN_POINT('Origin',(16.2560524565965,4.25,8.078937410972)); #217824=CARTESIAN_POINT('',(16.252327,4.24,4.080936)); #217825=CARTESIAN_POINT('',(16.252327,4.25,4.080936)); #217826=CARTESIAN_POINT('Origin',(16.2560524565965,4.24,8.078937410972)); #217827=CARTESIAN_POINT('Origin',(16.0010655943976,4.25,6.27608676022241)); #217828=CARTESIAN_POINT('',(15.432384,4.25,4.141041)); #217829=CARTESIAN_POINT('Origin',(16.0010655943976,4.25,6.27608676022241)); #217830=CARTESIAN_POINT('',(15.432384,4.24,4.141041)); #217831=CARTESIAN_POINT('',(15.432384,4.25,4.141041)); #217832=CARTESIAN_POINT('Origin',(16.0010655943976,4.24,6.27608676022241)); #217833=CARTESIAN_POINT('Origin',(15.6006841778977,4.25,4.57041378138899)); #217834=CARTESIAN_POINT('',(15.199703,4.25,4.342598)); #217835=CARTESIAN_POINT('Origin',(15.6006841778977,4.25,4.57041378138899)); #217836=CARTESIAN_POINT('',(15.199703,4.24,4.342598)); #217837=CARTESIAN_POINT('',(15.199703,4.25,4.342598)); #217838=CARTESIAN_POINT('Origin',(15.6006841778977,4.24,4.57041378138899)); #217839=CARTESIAN_POINT('Origin',(255.378870708651,4.25,3.93978295760562)); #217840=CARTESIAN_POINT('',(15.199956,4.25,3.407063)); #217841=CARTESIAN_POINT('Origin',(255.378870708651,4.25,3.93978295760562)); #217842=CARTESIAN_POINT('',(15.199956,4.24,3.407063)); #217843=CARTESIAN_POINT('',(15.199956,4.25,3.407063)); #217844=CARTESIAN_POINT('Origin',(255.378870708651,4.24,3.93978295760562)); #217845=CARTESIAN_POINT('Origin',(15.198305,4.25,3.381618)); #217846=CARTESIAN_POINT('',(15.9189428568109,4.25,14.4879961142034)); #217847=CARTESIAN_POINT('',(15.199956,4.24,3.407063)); #217848=CARTESIAN_POINT('Origin',(15.9688894894614,4.24,4.80478981082027)); #217849=CARTESIAN_POINT('Origin',(2.737698,4.25,18.5461364352917)); #217850=CARTESIAN_POINT('',(2.737698,4.25,18.5461364352917)); #217851=CARTESIAN_POINT('',(1.92919899999999,4.25,18.5461364352917)); #217852=CARTESIAN_POINT('',(14.268849,4.25,18.5461364352917)); #217853=CARTESIAN_POINT('',(2.737698,4.24,18.5461364352917)); #217854=CARTESIAN_POINT('',(2.737698,4.25,18.5461364352917)); #217855=CARTESIAN_POINT('',(1.92919899999999,4.24,18.5461364352917)); #217856=CARTESIAN_POINT('',(1.8807,4.24,18.5461364352917)); #217857=CARTESIAN_POINT('',(1.92919899999999,4.25,18.5461364352917)); #217858=CARTESIAN_POINT('Origin',(2.737698,4.25,18.4461364352917)); #217859=CARTESIAN_POINT('',(2.737698,4.25,18.4461364352917)); #217860=CARTESIAN_POINT('',(2.73769799999999,4.25,21.7230682176459)); #217861=CARTESIAN_POINT('',(2.737698,4.24,18.4461364352917)); #217862=CARTESIAN_POINT('',(2.737698,4.25,18.4461364352917)); #217863=CARTESIAN_POINT('',(2.737698,4.24,18.5461364352917)); #217864=CARTESIAN_POINT('Origin',(1.92919899999999,4.25,18.4461364352917)); #217865=CARTESIAN_POINT('',(1.92919899999999,4.25,18.4461364352917)); #217866=CARTESIAN_POINT('',(13.8645995,4.25,18.4461364352917)); #217867=CARTESIAN_POINT('',(1.92919899999999,4.24,18.4461364352917)); #217868=CARTESIAN_POINT('',(1.92919899999999,4.25,18.4461364352917)); #217869=CARTESIAN_POINT('',(2.737698,4.24,18.4461364352917)); #217870=CARTESIAN_POINT('Origin',(1.92919899999999,4.25,18.4456374352918)); #217871=CARTESIAN_POINT('',(1.92919899999999,4.25,18.4456374352918)); #217872=CARTESIAN_POINT('',(1.92919899999999,4.25,21.7228187176459)); #217873=CARTESIAN_POINT('',(1.92919899999999,4.24,18.4456374352918)); #217874=CARTESIAN_POINT('',(1.92919899999999,4.25,18.4456374352918)); #217875=CARTESIAN_POINT('',(1.92919899999999,4.24,19.3026354352918)); #217876=CARTESIAN_POINT('Origin',(1.82919899999999,4.25,18.4456374352918)); #217877=CARTESIAN_POINT('',(1.82919899999999,4.25,18.4456374352918)); #217878=CARTESIAN_POINT('',(13.8145995,4.25,18.4456374352918)); #217879=CARTESIAN_POINT('',(1.82919899999999,4.24,18.4456374352918)); #217880=CARTESIAN_POINT('',(1.82919899999999,4.25,18.4456374352918)); #217881=CARTESIAN_POINT('',(1.92919899999999,4.24,18.4456374352918)); #217882=CARTESIAN_POINT('Origin',(1.82919899999999,4.25,19.3026354352918)); #217883=CARTESIAN_POINT('',(1.82919899999999,4.25,19.3026354352918)); #217884=CARTESIAN_POINT('',(1.82919899999999,4.25,22.1513177176459)); #217885=CARTESIAN_POINT('',(1.82919899999999,4.24,19.3026354352918)); #217886=CARTESIAN_POINT('',(1.82919899999999,4.25,19.3026354352918)); #217887=CARTESIAN_POINT('',(1.82919899999999,4.24,18.4456374352918)); #217888=CARTESIAN_POINT('Origin',(1.92919899999999,4.25,19.3026354352918)); #217889=CARTESIAN_POINT('',(1.92919899999999,4.25,19.3026354352918)); #217890=CARTESIAN_POINT('',(13.8645995,4.25,19.3026354352918)); #217891=CARTESIAN_POINT('',(1.92919899999999,4.24,19.3026354352918)); #217892=CARTESIAN_POINT('',(1.92919899999999,4.25,19.3026354352918)); #217893=CARTESIAN_POINT('',(1.82919899999999,4.24,19.3026354352918)); #217894=CARTESIAN_POINT('Origin',(1.92919899999999,4.25,18.5461364352917)); #217895=CARTESIAN_POINT('',(1.92919899999999,4.25,21.7730682176459)); #217896=CARTESIAN_POINT('',(1.92919899999999,4.24,19.3026354352918)); #217897=CARTESIAN_POINT('Origin',(2.28344849999999,4.24,18.8741364352918)); #217898=CARTESIAN_POINT('Origin',(17.50675,4.25,4.108379)); #217899=CARTESIAN_POINT('',(17.50675,4.25,4.108379)); #217900=CARTESIAN_POINT('',(17.512308,4.25,4.102547)); #217901=CARTESIAN_POINT('',(14.2635044427892,4.25,7.51151107802471)); #217902=CARTESIAN_POINT('',(17.50675,4.24,4.108379)); #217903=CARTESIAN_POINT('',(17.50675,4.25,4.108379)); #217904=CARTESIAN_POINT('',(17.512308,4.24,4.102547)); #217905=CARTESIAN_POINT('',(17.512308,4.24,4.102547)); #217906=CARTESIAN_POINT('',(17.512308,4.25,4.102547)); #217907=CARTESIAN_POINT('Origin',(17.501848,4.25,4.114834)); #217908=CARTESIAN_POINT('',(17.501848,4.25,4.114834)); #217909=CARTESIAN_POINT('',(13.98982854008,4.25,8.73949446793086)); #217910=CARTESIAN_POINT('',(17.501848,4.24,4.114834)); #217911=CARTESIAN_POINT('',(17.501848,4.25,4.114834)); #217912=CARTESIAN_POINT('',(17.50675,4.24,4.108379)); #217913=CARTESIAN_POINT('Origin',(17.5806170058452,4.25,4.16485336990367)); #217914=CARTESIAN_POINT('',(17.491354,4.25,4.137676)); #217915=CARTESIAN_POINT('Origin',(17.5806170058452,4.25,4.16485336990367)); #217916=CARTESIAN_POINT('',(17.491354,4.24,4.137676)); #217917=CARTESIAN_POINT('',(17.491354,4.25,4.137676)); #217918=CARTESIAN_POINT('Origin',(17.5806170058452,4.24,4.16485336990367)); #217919=CARTESIAN_POINT('Origin',(17.6023072503197,4.25,4.16639790465855)); #217920=CARTESIAN_POINT('',(17.487703,4.25,4.16519899999999)); #217921=CARTESIAN_POINT('Origin',(17.6023072503197,4.25,4.16639790465855)); #217922=CARTESIAN_POINT('',(17.487703,4.24,4.16519899999999)); #217923=CARTESIAN_POINT('',(17.487703,4.25,4.16519899999999)); #217924=CARTESIAN_POINT('Origin',(17.6023072503197,4.24,4.16639790465855)); #217925=CARTESIAN_POINT('Origin',(17.487703,4.25,6.160946)); #217926=CARTESIAN_POINT('',(17.487703,4.25,6.160946)); #217927=CARTESIAN_POINT('',(17.487703,4.25,15.580473)); #217928=CARTESIAN_POINT('',(17.487703,4.24,6.160946)); #217929=CARTESIAN_POINT('',(17.487703,4.25,6.160946)); #217930=CARTESIAN_POINT('',(17.487703,4.24,4.16519899999999)); #217931=CARTESIAN_POINT('Origin',(17.5925589157512,4.25,6.19402508748425)); #217932=CARTESIAN_POINT('',(17.510401,4.25,6.267094)); #217933=CARTESIAN_POINT('Origin',(17.5925589157512,4.25,6.19402508748425)); #217934=CARTESIAN_POINT('',(17.510401,4.24,6.267094)); #217935=CARTESIAN_POINT('',(17.510401,4.25,6.267094)); #217936=CARTESIAN_POINT('Origin',(17.5925589157512,4.24,6.19402508748425)); #217937=CARTESIAN_POINT('Origin',(17.6723849212451,4.25,5.91531924529804)); #217938=CARTESIAN_POINT('',(17.8529,4.25,6.257954)); #217939=CARTESIAN_POINT('Origin',(17.6723849212451,4.25,5.91531924529804)); #217940=CARTESIAN_POINT('',(17.8529,4.24,6.257954)); #217941=CARTESIAN_POINT('',(17.8529,4.25,6.257954)); #217942=CARTESIAN_POINT('Origin',(17.6723849212451,4.24,5.91531924529804)); #217943=CARTESIAN_POINT('Origin',(17.858786,4.25,6.242062)); #217944=CARTESIAN_POINT('',(17.858786,4.25,6.242062)); #217945=CARTESIAN_POINT('',(15.2830606417592,4.25,13.1964329468549)); #217946=CARTESIAN_POINT('',(17.858786,4.24,6.242062)); #217947=CARTESIAN_POINT('',(17.858786,4.25,6.242062)); #217948=CARTESIAN_POINT('',(17.8529,4.24,6.257954)); #217949=CARTESIAN_POINT('Origin',(17.7591559932383,4.25,6.21998128579823)); #217950=CARTESIAN_POINT('',(17.861166,4.25,6.222748)); #217951=CARTESIAN_POINT('Origin',(17.7591559932383,4.25,6.21998128579823)); #217952=CARTESIAN_POINT('',(17.861166,4.24,6.222748)); #217953=CARTESIAN_POINT('',(17.861166,4.25,6.222748)); #217954=CARTESIAN_POINT('Origin',(17.7591559932383,4.24,6.21998128579823)); #217955=CARTESIAN_POINT('Origin',(-361.621479084646,4.25,5.2087092054407)); #217956=CARTESIAN_POINT('',(17.860996,4.25,4.13293100000533)); #217957=CARTESIAN_POINT('Origin',(-361.621479084646,4.25,5.2087092054407)); #217958=CARTESIAN_POINT('',(17.860996,4.24,4.13293100000533)); #217959=CARTESIAN_POINT('',(17.860996,4.25,4.13293100000533)); #217960=CARTESIAN_POINT('Origin',(-361.621479084646,4.24,5.2087092054407)); #217961=CARTESIAN_POINT('Origin',(17.857143,4.25,4.119564)); #217962=CARTESIAN_POINT('',(17.857143,4.25,4.119564)); #217963=CARTESIAN_POINT('',(20.9403089243077,4.25,14.8158211788839)); #217964=CARTESIAN_POINT('',(17.857143,4.24,4.119564)); #217965=CARTESIAN_POINT('',(17.857143,4.25,4.119564)); #217966=CARTESIAN_POINT('',(17.860996,4.24,4.132931)); #217967=CARTESIAN_POINT('Origin',(17.848831,4.25,4.108494)); #217968=CARTESIAN_POINT('',(17.848831,4.25,4.108494)); #217969=CARTESIAN_POINT('',(24.2977012256332,4.25,12.6971601931823)); #217970=CARTESIAN_POINT('',(17.848831,4.24,4.108494)); #217971=CARTESIAN_POINT('',(17.848831,4.25,4.108494)); #217972=CARTESIAN_POINT('',(17.857143,4.24,4.119564)); #217973=CARTESIAN_POINT('Origin',(17.836584,4.25,4.099514)); #217974=CARTESIAN_POINT('',(17.836584,4.25,4.099514)); #217975=CARTESIAN_POINT('',(25.4093818330637,4.25,9.65219829336949)); #217976=CARTESIAN_POINT('',(17.836584,4.24,4.099514)); #217977=CARTESIAN_POINT('',(17.836584,4.25,4.099514)); #217978=CARTESIAN_POINT('',(17.848831,4.24,4.108494)); #217979=CARTESIAN_POINT('Origin',(17.6738125502997,4.25,4.64986712177103)); #217980=CARTESIAN_POINT('',(17.518499,4.25,4.097363)); #217981=CARTESIAN_POINT('Origin',(17.6738125502997,4.25,4.64986712177103)); #217982=CARTESIAN_POINT('',(17.518499,4.24,4.097363)); #217983=CARTESIAN_POINT('',(17.518499,4.25,4.097363)); #217984=CARTESIAN_POINT('Origin',(17.6738125502997,4.24,4.64986712177103)); #217985=CARTESIAN_POINT('Origin',(17.512308,4.25,4.102547)); #217986=CARTESIAN_POINT('',(14.8051104001713,4.25,6.36940410830356)); #217987=CARTESIAN_POINT('',(17.518499,4.24,4.097363)); #217988=CARTESIAN_POINT('Origin',(17.6725649059187,4.24,5.18927265913356)); #217989=CARTESIAN_POINT('Origin',(14.107798,4.25,16.037571)); #217990=CARTESIAN_POINT('',(14.107798,4.25,16.037571)); #217991=CARTESIAN_POINT('',(14.109626,4.25,16.0414772)); #217992=CARTESIAN_POINT('',(16.8784189097923,4.25,21.9580301891861)); #217993=CARTESIAN_POINT('',(14.107798,4.24,16.037571)); #217994=CARTESIAN_POINT('',(14.107798,4.25,16.037571)); #217995=CARTESIAN_POINT('',(14.109626,4.24,16.0414772)); #217996=CARTESIAN_POINT('',(14.107798,4.24,16.037571)); #217997=CARTESIAN_POINT('',(14.109626,4.25,16.0414772)); #217998=CARTESIAN_POINT('Origin',(14.105532,4.25,16.0339165)); #217999=CARTESIAN_POINT('',(14.105532,4.25,16.0339165)); #218000=CARTESIAN_POINT('',(17.7371255620913,4.25,21.8907826397402)); #218001=CARTESIAN_POINT('',(14.105532,4.24,16.0339165)); #218002=CARTESIAN_POINT('',(14.105532,4.25,16.0339165)); #218003=CARTESIAN_POINT('',(14.105532,4.24,16.0339165)); #218004=CARTESIAN_POINT('Origin',(14.102831,4.25,16.0305138)); #218005=CARTESIAN_POINT('',(14.102831,4.25,16.0305138)); #218006=CARTESIAN_POINT('',(18.5473946712051,4.25,21.6297425315802)); #218007=CARTESIAN_POINT('',(14.102831,4.24,16.0305138)); #218008=CARTESIAN_POINT('',(14.102831,4.25,16.0305138)); #218009=CARTESIAN_POINT('',(14.102831,4.24,16.0305138)); #218010=CARTESIAN_POINT('Origin',(14.099693,4.25,16.0273743)); #218011=CARTESIAN_POINT('',(14.099693,4.25,16.0273743)); #218012=CARTESIAN_POINT('',(19.2665280327845,4.25,21.1966791392088)); #218013=CARTESIAN_POINT('',(14.099693,4.24,16.0273743)); #218014=CARTESIAN_POINT('',(14.099693,4.25,16.0273743)); #218015=CARTESIAN_POINT('',(14.099693,4.24,16.0273743)); #218016=CARTESIAN_POINT('Origin',(14.096087,4.25,16.0244484)); #218017=CARTESIAN_POINT('',(14.096087,4.25,16.0244484)); #218018=CARTESIAN_POINT('',(19.820593110809,4.25,20.6692993233544)); #218019=CARTESIAN_POINT('',(14.096087,4.24,16.0244484)); #218020=CARTESIAN_POINT('',(14.096087,4.25,16.0244484)); #218021=CARTESIAN_POINT('',(14.096087,4.24,16.0244484)); #218022=CARTESIAN_POINT('Origin',(14.091923,4.25,16.0218849)); #218023=CARTESIAN_POINT('',(14.091923,4.25,16.0218849)); #218024=CARTESIAN_POINT('',(20.3411052366258,4.25,19.869093993082)); #218025=CARTESIAN_POINT('',(14.091923,4.24,16.0218849)); #218026=CARTESIAN_POINT('',(14.091923,4.25,16.0218849)); #218027=CARTESIAN_POINT('',(14.091923,4.24,16.0218849)); #218028=CARTESIAN_POINT('Origin',(14.087195,4.25,16.0197144)); #218029=CARTESIAN_POINT('',(14.087195,4.25,16.0197144)); #218030=CARTESIAN_POINT('',(20.6267100639266,4.25,19.0218331492078)); #218031=CARTESIAN_POINT('',(14.087195,4.24,16.0197144)); #218032=CARTESIAN_POINT('',(14.087195,4.25,16.0197144)); #218033=CARTESIAN_POINT('',(14.087195,4.24,16.0197144)); #218034=CARTESIAN_POINT('Origin',(14.081903,4.25,16.0179291)); #218035=CARTESIAN_POINT('',(14.081903,4.25,16.0179291)); #218036=CARTESIAN_POINT('',(20.7025406870583,4.25,18.2514560203908)); #218037=CARTESIAN_POINT('',(14.081903,4.24,16.0179291)); #218038=CARTESIAN_POINT('',(14.081903,4.25,16.0179291)); #218039=CARTESIAN_POINT('',(14.081903,4.24,16.0179291)); #218040=CARTESIAN_POINT('Origin',(14.0557693513593,4.25,16.1189609477963)); #218041=CARTESIAN_POINT('',(14.055027,4.25,16.0146065)); #218042=CARTESIAN_POINT('Origin',(14.0557693513593,4.25,16.1189609477963)); #218043=CARTESIAN_POINT('',(14.055027,4.24,16.0146065)); #218044=CARTESIAN_POINT('',(14.055027,4.25,16.0146065)); #218045=CARTESIAN_POINT('Origin',(14.0557693513593,4.24,16.1189609477963)); #218046=CARTESIAN_POINT('Origin',(13.8926310000001,4.25,16.0145988)); #218047=CARTESIAN_POINT('',(13.8926310000001,4.25,16.01459880002)); #218048=CARTESIAN_POINT('',(19.8465285078381,4.25,16.0148811038178)); #218049=CARTESIAN_POINT('',(13.8926310000001,4.24,16.01459880002)); #218050=CARTESIAN_POINT('',(13.8926310000001,4.25,16.01459880002)); #218051=CARTESIAN_POINT('',(13.892631,4.24,16.0145988)); #218052=CARTESIAN_POINT('Origin',(350.509341213494,4.25,15.4235815965068)); #218053=CARTESIAN_POINT('',(13.8924040000001,4.25,14.980324)); #218054=CARTESIAN_POINT('Origin',(350.509341213494,4.25,15.4235815965068)); #218055=CARTESIAN_POINT('',(13.8924040000001,4.24,14.980324)); #218056=CARTESIAN_POINT('',(13.8924040000001,4.25,14.980324)); #218057=CARTESIAN_POINT('Origin',(350.509341213494,4.24,15.4235815965068)); #218058=CARTESIAN_POINT('Origin',(13.892968,4.25,14.979496)); #218059=CARTESIAN_POINT('',(13.892968,4.25,14.979496)); #218060=CARTESIAN_POINT('',(13.4486451317039,4.25,15.6317997853722)); #218061=CARTESIAN_POINT('',(13.892968,4.24,14.979496)); #218062=CARTESIAN_POINT('',(13.892968,4.25,14.979496)); #218063=CARTESIAN_POINT('',(13.892968,4.24,14.979496)); #218064=CARTESIAN_POINT('Origin',(13.909397,4.25,14.9795)); #218065=CARTESIAN_POINT('',(13.909397,4.25,14.9795)); #218066=CARTESIAN_POINT('',(19.8559180026323,4.25,14.9809478108222)); #218067=CARTESIAN_POINT('',(13.909397,4.24,14.9795)); #218068=CARTESIAN_POINT('',(13.909397,4.25,14.9795)); #218069=CARTESIAN_POINT('',(13.909397,4.24,14.9795)); #218070=CARTESIAN_POINT('Origin',(14.241674,4.25,15.7393303)); #218071=CARTESIAN_POINT('',(14.241674,4.25,15.7393303)); #218072=CARTESIAN_POINT('',(16.869235461963,4.25,21.7478738161381)); #218073=CARTESIAN_POINT('',(14.241674,4.24,15.7393303)); #218074=CARTESIAN_POINT('',(14.241674,4.25,15.7393303)); #218075=CARTESIAN_POINT('',(14.241674,4.24,15.7393303)); #218076=CARTESIAN_POINT('Origin',(14.343603,4.25,15.739399)); #218077=CARTESIAN_POINT('',(14.343603,4.25,15.739399)); #218078=CARTESIAN_POINT('',(20.0749197123161,4.25,15.7432618992547)); #218079=CARTESIAN_POINT('',(14.343603,4.24,15.739399)); #218080=CARTESIAN_POINT('',(14.343603,4.25,15.739399)); #218081=CARTESIAN_POINT('',(14.343603,4.24,15.739399)); #218082=CARTESIAN_POINT('Origin',(14.35774,4.25,15.7064056)); #218083=CARTESIAN_POINT('',(14.35774,4.25,15.7064056)); #218084=CARTESIAN_POINT('',(13.5629677812264,4.25,17.5612713935125)); #218085=CARTESIAN_POINT('',(14.35774,4.24,15.7064056)); #218086=CARTESIAN_POINT('',(14.35774,4.25,15.7064056)); #218087=CARTESIAN_POINT('',(14.35774,4.24,15.7064056)); #218088=CARTESIAN_POINT('Origin',(14.66921,4.25,14.9795)); #218089=CARTESIAN_POINT('',(14.66921,4.25,14.9795)); #218090=CARTESIAN_POINT('',(13.7187090480858,4.25,17.1977697041504)); #218091=CARTESIAN_POINT('',(14.66921,4.24,14.9795)); #218092=CARTESIAN_POINT('',(14.66921,4.25,14.9795)); #218093=CARTESIAN_POINT('',(14.66921,4.24,14.9795)); #218094=CARTESIAN_POINT('Origin',(14.687669,4.25,14.9795)); #218095=CARTESIAN_POINT('',(14.687669,4.25,14.9795)); #218096=CARTESIAN_POINT('',(20.2438345,4.25,14.9795)); #218097=CARTESIAN_POINT('',(14.687669,4.24,14.9795)); #218098=CARTESIAN_POINT('',(14.687669,4.25,14.9795)); #218099=CARTESIAN_POINT('',(14.687669,4.24,14.9795)); #218100=CARTESIAN_POINT('Origin',(14.688303,4.25,14.980324)); #218101=CARTESIAN_POINT('',(14.688303,4.25,14.980324)); #218102=CARTESIAN_POINT('',(19.1755659993697,4.25,20.8123503585327)); #218103=CARTESIAN_POINT('',(14.688303,4.24,14.980324)); #218104=CARTESIAN_POINT('',(14.688303,4.25,14.980324)); #218105=CARTESIAN_POINT('',(14.688303,4.24,14.980324)); #218106=CARTESIAN_POINT('Origin',(-291.789276153604,4.25,15.4301965060829)); #218107=CARTESIAN_POINT('',(14.688076,4.25,16.0145988)); #218108=CARTESIAN_POINT('Origin',(-291.789276153604,4.25,15.4301965060829)); #218109=CARTESIAN_POINT('',(14.688076,4.24,16.0145988)); #218110=CARTESIAN_POINT('',(14.688076,4.25,16.0145988)); #218111=CARTESIAN_POINT('Origin',(-291.789276153604,4.24,15.4301965060829)); #218112=CARTESIAN_POINT('Origin',(14.606902684757,4.25,17.4506968795731)); #218113=CARTESIAN_POINT('',(14.510892,4.25,16.0155144)); #218114=CARTESIAN_POINT('Origin',(14.606902684757,4.25,17.4506968795731)); #218115=CARTESIAN_POINT('',(14.510892,4.24,16.0155144)); #218116=CARTESIAN_POINT('',(14.510892,4.25,16.0155144)); #218117=CARTESIAN_POINT('Origin',(14.606902684757,4.24,17.4506968795731)); #218118=CARTESIAN_POINT('Origin',(14.5252678177832,4.25,16.1223497027718)); #218119=CARTESIAN_POINT('',(14.498495,4.25,16.0179291)); #218120=CARTESIAN_POINT('Origin',(14.5252678177832,4.25,16.1223497027718)); #218121=CARTESIAN_POINT('',(14.498495,4.24,16.0179291)); #218122=CARTESIAN_POINT('',(14.498495,4.25,16.0179291)); #218123=CARTESIAN_POINT('Origin',(14.5252678177832,4.24,16.1223497027718)); #218124=CARTESIAN_POINT('Origin',(14.493178,4.25,16.0197144)); #218125=CARTESIAN_POINT('',(14.493178,4.25,16.0197144)); #218126=CARTESIAN_POINT('',(18.2188783817408,4.25,14.7687283380249)); #218127=CARTESIAN_POINT('',(14.493178,4.24,16.0197144)); #218128=CARTESIAN_POINT('',(14.493178,4.25,16.0197144)); #218129=CARTESIAN_POINT('',(14.493178,4.24,16.0197144)); #218130=CARTESIAN_POINT('Origin',(14.488447,4.25,16.0218849)); #218131=CARTESIAN_POINT('',(14.488447,4.25,16.0218849)); #218132=CARTESIAN_POINT('',(17.4593935329839,4.25,14.6588666269408)); #218133=CARTESIAN_POINT('',(14.488447,4.24,16.0218849)); #218134=CARTESIAN_POINT('',(14.488447,4.25,16.0218849)); #218135=CARTESIAN_POINT('',(14.488447,4.24,16.0218849)); #218136=CARTESIAN_POINT('Origin',(14.484298,4.25,16.0244484)); #218137=CARTESIAN_POINT('',(14.484298,4.25,16.0244484)); #218138=CARTESIAN_POINT('',(16.5722543892631,4.25,14.7343842390277)); #218139=CARTESIAN_POINT('',(14.484298,4.24,16.0244484)); #218140=CARTESIAN_POINT('',(14.484298,4.25,16.0244484)); #218141=CARTESIAN_POINT('',(14.484298,4.24,16.0244484)); #218142=CARTESIAN_POINT('Origin',(14.480742,4.25,16.0273743)); #218143=CARTESIAN_POINT('',(14.480742,4.25,16.0273743)); #218144=CARTESIAN_POINT('',(15.654414691975,4.25,15.0616687517857)); #218145=CARTESIAN_POINT('',(14.480742,4.24,16.0273743)); #218146=CARTESIAN_POINT('',(14.480742,4.25,16.0273743)); #218147=CARTESIAN_POINT('',(14.480742,4.24,16.0273743)); #218148=CARTESIAN_POINT('Origin',(14.477703,4.25,16.0305138)); #218149=CARTESIAN_POINT('',(14.477703,4.25,16.0305138)); #218150=CARTESIAN_POINT('',(14.9750316248617,4.25,15.5167384733286)); #218151=CARTESIAN_POINT('',(14.477703,4.24,16.0305138)); #218152=CARTESIAN_POINT('',(14.477703,4.25,16.0305138)); #218153=CARTESIAN_POINT('',(14.477703,4.24,16.0305138)); #218154=CARTESIAN_POINT('Origin',(14.475084,4.25,16.0339165)); #218155=CARTESIAN_POINT('',(14.475084,4.25,16.0339165)); #218156=CARTESIAN_POINT('',(14.4147893984184,4.25,16.1122534380685)); #218157=CARTESIAN_POINT('',(14.475084,4.24,16.0339165)); #218158=CARTESIAN_POINT('',(14.475084,4.25,16.0339165)); #218159=CARTESIAN_POINT('',(14.475084,4.24,16.0339165)); #218160=CARTESIAN_POINT('Origin',(14.472885,4.25,16.037571)); #218161=CARTESIAN_POINT('',(14.472885,4.25,16.037571)); #218162=CARTESIAN_POINT('',(13.9987247459346,4.25,16.8255740234113)); #218163=CARTESIAN_POINT('',(14.472885,4.24,16.037571)); #218164=CARTESIAN_POINT('',(14.472885,4.25,16.037571)); #218165=CARTESIAN_POINT('',(14.472885,4.24,16.037571)); #218166=CARTESIAN_POINT('Origin',(14.471106,4.25,16.0414772)); #218167=CARTESIAN_POINT('',(14.471106,4.25,16.0414772)); #218168=CARTESIAN_POINT('',(13.754625339159,4.25,17.6146738033598)); #218169=CARTESIAN_POINT('',(14.471106,4.24,16.0414772)); #218170=CARTESIAN_POINT('',(14.471106,4.25,16.0414772)); #218171=CARTESIAN_POINT('',(14.471106,4.24,16.0414772)); #218172=CARTESIAN_POINT('Origin',(14.469748,4.25,16.0456276)); #218173=CARTESIAN_POINT('',(14.469748,4.25,16.0456276)); #218174=CARTESIAN_POINT('',(13.6943362992796,4.25,18.4154867477726)); #218175=CARTESIAN_POINT('',(14.469748,4.24,16.0456276)); #218176=CARTESIAN_POINT('',(14.469748,4.25,16.0456276)); #218177=CARTESIAN_POINT('',(14.469748,4.24,16.0456276)); #218178=CARTESIAN_POINT('Origin',(14.468816,4.25,16.0500183)); #218179=CARTESIAN_POINT('',(14.468816,4.25,16.0500183)); #218180=CARTESIAN_POINT('',(13.804147714758,4.25,19.1813048236181)); #218181=CARTESIAN_POINT('',(14.468816,4.24,16.0500183)); #218182=CARTESIAN_POINT('',(14.468816,4.25,16.0500183)); #218183=CARTESIAN_POINT('',(14.468816,4.24,16.0500183)); #218184=CARTESIAN_POINT('Origin',(14.468307,4.25,16.0546455)); #218185=CARTESIAN_POINT('',(14.468307,4.25,16.0546455)); #218186=CARTESIAN_POINT('',(14.0499262337894,4.25,19.858047231652)); #218187=CARTESIAN_POINT('',(14.468307,4.24,16.0546455)); #218188=CARTESIAN_POINT('',(14.468307,4.25,16.0546455)); #218189=CARTESIAN_POINT('',(14.468307,4.24,16.0546455)); #218190=CARTESIAN_POINT('Origin',(14.468223,4.25,16.0594139)); #218191=CARTESIAN_POINT('',(14.468223,4.25,16.0594139)); #218192=CARTESIAN_POINT('',(14.3912565805118,4.25,20.4285409796036)); #218193=CARTESIAN_POINT('',(14.468223,4.24,16.0594139)); #218194=CARTESIAN_POINT('',(14.468223,4.25,16.0594139)); #218195=CARTESIAN_POINT('',(14.468223,4.24,16.0594139)); #218196=CARTESIAN_POINT('Origin',(14.468569,4.25,16.0639229)); #218197=CARTESIAN_POINT('',(14.468569,4.25,16.0639229)); #218198=CARTESIAN_POINT('',(14.8425850489193,4.25,20.9380222195883)); #218199=CARTESIAN_POINT('',(14.468569,4.24,16.0639229)); #218200=CARTESIAN_POINT('',(14.468569,4.25,16.0639229)); #218201=CARTESIAN_POINT('',(14.468569,4.24,16.0639229)); #218202=CARTESIAN_POINT('Origin',(14.469351,4.25,16.0682297)); #218203=CARTESIAN_POINT('',(14.469351,4.25,16.0682297)); #218204=CARTESIAN_POINT('',(15.4351738900231,4.25,21.3874189874103)); #218205=CARTESIAN_POINT('',(14.469351,4.24,16.0682297)); #218206=CARTESIAN_POINT('',(14.469351,4.25,16.0682297)); #218207=CARTESIAN_POINT('',(14.469351,4.24,16.0682297)); #218208=CARTESIAN_POINT('Origin',(14.470575,4.25,16.0723457)); #218209=CARTESIAN_POINT('',(14.470575,4.25,16.0723457)); #218210=CARTESIAN_POINT('',(16.1504038639849,4.25,21.721181977911)); #218211=CARTESIAN_POINT('',(14.470575,4.24,16.0723457)); #218212=CARTESIAN_POINT('',(14.470575,4.25,16.0723457)); #218213=CARTESIAN_POINT('',(14.470575,4.24,16.0723457)); #218214=CARTESIAN_POINT('Origin',(14.472248,4.25,16.0762711)); #218215=CARTESIAN_POINT('',(14.472248,4.25,16.0762711)); #218216=CARTESIAN_POINT('',(16.9522279869895,4.25,21.8951075859113)); #218217=CARTESIAN_POINT('',(14.472248,4.24,16.0762711)); #218218=CARTESIAN_POINT('',(14.472248,4.25,16.0762711)); #218219=CARTESIAN_POINT('',(14.472248,4.24,16.0762711)); #218220=CARTESIAN_POINT('Origin',(14.474381,4.25,16.0800133)); #218221=CARTESIAN_POINT('',(14.474381,4.25,16.0800133)); #218222=CARTESIAN_POINT('',(17.7817550745324,4.25,21.8825708535489)); #218223=CARTESIAN_POINT('',(14.474381,4.24,16.0800133)); #218224=CARTESIAN_POINT('',(14.474381,4.25,16.0800133)); #218225=CARTESIAN_POINT('',(14.474381,4.24,16.0800133)); #218226=CARTESIAN_POINT('Origin',(14.476977,4.25,16.08358)); #218227=CARTESIAN_POINT('',(14.476977,4.25,16.08358)); #218228=CARTESIAN_POINT('',(18.5587392058629,4.25,21.6916005160454)); #218229=CARTESIAN_POINT('',(14.476977,4.24,16.08358)); #218230=CARTESIAN_POINT('',(14.476977,4.25,16.08358)); #218231=CARTESIAN_POINT('',(14.476977,4.24,16.08358)); #218232=CARTESIAN_POINT('Origin',(14.5380250054438,4.25,16.0291102691484)); #218233=CARTESIAN_POINT('',(14.483532,4.25,16.0901375)); #218234=CARTESIAN_POINT('Origin',(14.5380250054438,4.25,16.0291102691484)); #218235=CARTESIAN_POINT('',(14.483532,4.24,16.0901375)); #218236=CARTESIAN_POINT('',(14.483532,4.25,16.0901375)); #218237=CARTESIAN_POINT('Origin',(14.5380250054438,4.24,16.0291102691484)); #218238=CARTESIAN_POINT('Origin',(14.487451,4.25,16.0928764)); #218239=CARTESIAN_POINT('',(14.487451,4.25,16.0928764)); #218240=CARTESIAN_POINT('',(20.378739767876,4.25,20.2101641791137)); #218241=CARTESIAN_POINT('',(14.487451,4.24,16.0928764)); #218242=CARTESIAN_POINT('',(14.487451,4.25,16.0928764)); #218243=CARTESIAN_POINT('',(14.487451,4.24,16.0928764)); #218244=CARTESIAN_POINT('Origin',(14.49194,4.25,16.0952415)); #218245=CARTESIAN_POINT('',(14.49194,4.25,16.0952415)); #218246=CARTESIAN_POINT('',(20.7536138070685,4.25,19.3943024759595)); #218247=CARTESIAN_POINT('',(14.49194,4.24,16.0952415)); #218248=CARTESIAN_POINT('',(14.49194,4.25,16.0952415)); #218249=CARTESIAN_POINT('',(14.49194,4.24,16.0952415)); #218250=CARTESIAN_POINT('Origin',(14.496994,4.25,16.097229)); #218251=CARTESIAN_POINT('',(14.496994,4.25,16.097229)); #218252=CARTESIAN_POINT('',(20.9076267463999,4.25,18.6182287197199)); #218253=CARTESIAN_POINT('',(14.496994,4.24,16.097229)); #218254=CARTESIAN_POINT('',(14.496994,4.25,16.097229)); #218255=CARTESIAN_POINT('',(14.496994,4.24,16.097229)); #218256=CARTESIAN_POINT('Origin',(14.6897291408284,4.25,14.9772888231354)); #218257=CARTESIAN_POINT('',(14.87141,4.25,16.0990753)); #218258=CARTESIAN_POINT('Origin',(14.6897291408284,4.25,14.9772888231354)); #218259=CARTESIAN_POINT('',(14.87141,4.24,16.0990753)); #218260=CARTESIAN_POINT('',(14.87141,4.25,16.0990753)); #218261=CARTESIAN_POINT('Origin',(14.6897291408284,4.24,14.9772888231354)); #218262=CARTESIAN_POINT('Origin',(14.877176,4.25,16.0975342)); #218263=CARTESIAN_POINT('',(14.877176,4.25,16.0975342)); #218264=CARTESIAN_POINT('',(18.8640849300407,4.25,15.0319384053242)); #218265=CARTESIAN_POINT('',(14.877176,4.24,16.0975342)); #218266=CARTESIAN_POINT('',(14.877176,4.25,16.0975342)); #218267=CARTESIAN_POINT('',(14.877176,4.24,16.0975342)); #218268=CARTESIAN_POINT('Origin',(14.882362,4.25,16.0956154)); #218269=CARTESIAN_POINT('',(14.882362,4.25,16.0956154)); #218270=CARTESIAN_POINT('',(18.2349300241718,4.25,14.8551781603603)); #218271=CARTESIAN_POINT('',(14.882362,4.24,16.0956154)); #218272=CARTESIAN_POINT('',(14.882362,4.25,16.0956154)); #218273=CARTESIAN_POINT('',(14.882362,4.24,16.0956154)); #218274=CARTESIAN_POINT('Origin',(14.886973,4.25,16.0933151)); #218275=CARTESIAN_POINT('',(14.886973,4.25,16.0933151)); #218276=CARTESIAN_POINT('',(17.4771988243935,4.25,14.8011232839398)); #218277=CARTESIAN_POINT('',(14.886973,4.24,16.0933151)); #218278=CARTESIAN_POINT('',(14.886973,4.25,16.0933151)); #218279=CARTESIAN_POINT('',(14.886973,4.24,16.0933151)); #218280=CARTESIAN_POINT('Origin',(14.891017,4.25,16.090641)); #218281=CARTESIAN_POINT('',(14.891017,4.25,16.090641)); #218282=CARTESIAN_POINT('',(16.6365891629148,4.25,14.9363792490478)); #218283=CARTESIAN_POINT('',(14.891017,4.24,16.090641)); #218284=CARTESIAN_POINT('',(14.891017,4.25,16.090641)); #218285=CARTESIAN_POINT('',(14.891017,4.24,16.090641)); #218286=CARTESIAN_POINT('Origin',(14.894444,4.25,16.0875473)); #218287=CARTESIAN_POINT('',(14.894444,4.25,16.0875473)); #218288=CARTESIAN_POINT('',(15.6823198660369,4.25,15.3762979370707)); #218289=CARTESIAN_POINT('',(14.894444,4.24,16.0875473)); #218290=CARTESIAN_POINT('',(14.894444,4.25,16.0875473)); #218291=CARTESIAN_POINT('',(14.894444,4.24,16.0875473)); #218292=CARTESIAN_POINT('Origin',(14.89737,4.25,16.0841827)); #218293=CARTESIAN_POINT('',(14.89737,4.25,16.0841827)); #218294=CARTESIAN_POINT('',(15.0373958930577,4.25,15.9231672797054)); #218295=CARTESIAN_POINT('',(14.89737,4.24,16.0841827)); #218296=CARTESIAN_POINT('',(14.89737,4.25,16.0841827)); #218297=CARTESIAN_POINT('',(14.89737,4.24,16.0841827)); #218298=CARTESIAN_POINT('Origin',(14.899892,4.25,16.0806465)); #218299=CARTESIAN_POINT('',(14.899892,4.25,16.0806465)); #218300=CARTESIAN_POINT('',(14.6291427185766,4.25,16.4602752109315)); #218301=CARTESIAN_POINT('',(14.899892,4.24,16.0806465)); #218302=CARTESIAN_POINT('',(14.899892,4.25,16.0806465)); #218303=CARTESIAN_POINT('',(14.899892,4.24,16.0806465)); #218304=CARTESIAN_POINT('Origin',(14.902003,4.25,16.0769348)); #218305=CARTESIAN_POINT('',(14.902003,4.25,16.0769348)); #218306=CARTESIAN_POINT('',(14.3165053800244,4.25,17.1063954897501)); #218307=CARTESIAN_POINT('',(14.902003,4.24,16.0769348)); #218308=CARTESIAN_POINT('',(14.902003,4.25,16.0769348)); #218309=CARTESIAN_POINT('',(14.902003,4.24,16.0769348)); #218310=CARTESIAN_POINT('Origin',(14.9037,4.25,16.07304)); #218311=CARTESIAN_POINT('',(14.9037,4.25,16.07304)); #218312=CARTESIAN_POINT('',(14.1384820091436,4.25,17.829298709952)); #218313=CARTESIAN_POINT('',(14.9037,4.24,16.07304)); #218314=CARTESIAN_POINT('',(14.9037,4.25,16.07304)); #218315=CARTESIAN_POINT('',(14.9037,4.24,16.07304)); #218316=CARTESIAN_POINT('Origin',(14.904977,4.25,16.0689583)); #218317=CARTESIAN_POINT('',(14.904977,4.25,16.0689583)); #218318=CARTESIAN_POINT('',(14.1181225760047,4.25,18.5839964381567)); #218319=CARTESIAN_POINT('',(14.904977,4.24,16.0689583)); #218320=CARTESIAN_POINT('',(14.904977,4.25,16.0689583)); #218321=CARTESIAN_POINT('',(14.904977,4.24,16.0689583)); #218322=CARTESIAN_POINT('Origin',(14.905829,4.25,16.0646858)); #218323=CARTESIAN_POINT('',(14.905829,4.25,16.0646858)); #218324=CARTESIAN_POINT('',(14.2573112830364,4.25,19.3167890226762)); #218325=CARTESIAN_POINT('',(14.905829,4.24,16.0646858)); #218326=CARTESIAN_POINT('',(14.905829,4.25,16.0646858)); #218327=CARTESIAN_POINT('',(14.905829,4.24,16.0646858)); #218328=CARTESIAN_POINT('Origin',(14.906254,4.25,16.0602112)); #218329=CARTESIAN_POINT('',(14.906254,4.25,16.0602112)); #218330=CARTESIAN_POINT('',(14.534195305046,4.25,19.9774202269273)); #218331=CARTESIAN_POINT('',(14.906254,4.24,16.0602112)); #218332=CARTESIAN_POINT('',(14.906254,4.25,16.0602112)); #218333=CARTESIAN_POINT('',(14.906254,4.24,16.0602112)); #218334=CARTESIAN_POINT('Origin',(14.906244,4.25,16.0554695)); #218335=CARTESIAN_POINT('',(14.906244,4.25,16.0554695)); #218336=CARTESIAN_POINT('',(14.9156999598697,4.25,20.5392019917903)); #218337=CARTESIAN_POINT('',(14.906244,4.24,16.0554695)); #218338=CARTESIAN_POINT('',(14.906244,4.25,16.0554695)); #218339=CARTESIAN_POINT('',(14.906244,4.24,16.0554695)); #218340=CARTESIAN_POINT('Origin',(14.905802,4.25,16.0508041)); #218341=CARTESIAN_POINT('',(14.905802,4.25,16.0508041)); #218342=CARTESIAN_POINT('',(15.3744106886136,4.25,20.9970642263697)); #218343=CARTESIAN_POINT('',(14.905802,4.24,16.0508041)); #218344=CARTESIAN_POINT('',(14.905802,4.25,16.0508041)); #218345=CARTESIAN_POINT('',(14.905802,4.24,16.0508041)); #218346=CARTESIAN_POINT('Origin',(14.90493,4.25,16.0463715)); #218347=CARTESIAN_POINT('',(14.90493,4.25,16.0463715)); #218348=CARTESIAN_POINT('',(15.9557815918116,4.25,21.388120084691)); #218349=CARTESIAN_POINT('',(14.90493,4.24,16.0463715)); #218350=CARTESIAN_POINT('',(14.90493,4.25,16.0463715)); #218351=CARTESIAN_POINT('',(14.90493,4.24,16.0463715)); #218352=CARTESIAN_POINT('Origin',(14.903635,4.25,16.0421791)); #218353=CARTESIAN_POINT('',(14.903635,4.25,16.0421791)); #218354=CARTESIAN_POINT('',(16.6411838988997,4.25,21.6672756280018)); #218355=CARTESIAN_POINT('',(14.903635,4.24,16.0421791)); #218356=CARTESIAN_POINT('',(14.903635,4.25,16.0421791)); #218357=CARTESIAN_POINT('',(14.903635,4.24,16.0421791)); #218358=CARTESIAN_POINT('Origin',(14.90192,4.25,16.0382347)); #218359=CARTESIAN_POINT('',(14.90192,4.25,16.0382347)); #218360=CARTESIAN_POINT('',(17.4067658925653,4.25,21.7992341977463)); #218361=CARTESIAN_POINT('',(14.90192,4.24,16.0382347)); #218362=CARTESIAN_POINT('',(14.90192,4.25,16.0382347)); #218363=CARTESIAN_POINT('',(14.90192,4.24,16.0382347)); #218364=CARTESIAN_POINT('Origin',(14.899791,4.25,16.0345345)); #218365=CARTESIAN_POINT('',(14.899791,4.25,16.0345345)); #218366=CARTESIAN_POINT('',(18.1930695300543,4.25,21.7582494915039)); #218367=CARTESIAN_POINT('',(14.899791,4.24,16.0345345)); #218368=CARTESIAN_POINT('',(14.899791,4.25,16.0345345)); #218369=CARTESIAN_POINT('',(14.899791,4.24,16.0345345)); #218370=CARTESIAN_POINT('Origin',(14.897252,4.25,16.031086)); #218371=CARTESIAN_POINT('',(14.897252,4.25,16.031086)); #218372=CARTESIAN_POINT('',(18.9546416603894,4.25,21.541880897137)); #218373=CARTESIAN_POINT('',(14.897252,4.24,16.031086)); #218374=CARTESIAN_POINT('',(14.897252,4.25,16.031086)); #218375=CARTESIAN_POINT('',(14.897252,4.24,16.031086)); #218376=CARTESIAN_POINT('Origin',(14.894308,4.25,16.0279007)); #218377=CARTESIAN_POINT('',(14.894308,4.25,16.0279007)); #218378=CARTESIAN_POINT('',(19.6424768518034,4.25,21.1652452121132)); #218379=CARTESIAN_POINT('',(14.894308,4.24,16.0279007)); #218380=CARTESIAN_POINT('',(14.894308,4.25,16.0279007)); #218381=CARTESIAN_POINT('',(14.894308,4.24,16.0279007)); #218382=CARTESIAN_POINT('Origin',(14.890854,4.25,16.0249329)); #218383=CARTESIAN_POINT('',(14.890854,4.25,16.0249329)); #218384=CARTESIAN_POINT('',(20.2469474401833,4.25,20.6270794291788)); #218385=CARTESIAN_POINT('',(14.890854,4.24,16.0249329)); #218386=CARTESIAN_POINT('',(14.890854,4.25,16.0249329)); #218387=CARTESIAN_POINT('',(14.890854,4.24,16.0249329)); #218388=CARTESIAN_POINT('Origin',(14.886785,4.25,16.0223045)); #218389=CARTESIAN_POINT('',(14.886785,4.25,16.0223045)); #218390=CARTESIAN_POINT('',(20.7828144891995,4.25,19.8308874219472)); #218391=CARTESIAN_POINT('',(14.886785,4.24,16.0223045)); #218392=CARTESIAN_POINT('',(14.886785,4.25,16.0223045)); #218393=CARTESIAN_POINT('',(14.886785,4.24,16.0223045)); #218394=CARTESIAN_POINT('Origin',(14.882149,4.25,16.0200615)); #218395=CARTESIAN_POINT('',(14.882149,4.25,16.0200615)); #218396=CARTESIAN_POINT('',(21.0659036692081,4.25,19.0118996628625)); #218397=CARTESIAN_POINT('',(14.882149,4.24,16.0200615)); #218398=CARTESIAN_POINT('',(14.882149,4.25,16.0200615)); #218399=CARTESIAN_POINT('',(14.882149,4.24,16.0200615)); #218400=CARTESIAN_POINT('Origin',(14.876938,4.25,16.0182114)); #218401=CARTESIAN_POINT('',(14.876938,4.25,16.0182114)); #218402=CARTESIAN_POINT('',(21.1430511304177,4.25,18.2429160445197)); #218403=CARTESIAN_POINT('',(14.876938,4.24,16.0182114)); #218404=CARTESIAN_POINT('',(14.876938,4.25,16.0182114)); #218405=CARTESIAN_POINT('',(14.876938,4.24,16.0182114)); #218406=CARTESIAN_POINT('Origin',(14.871146,4.25,16.0167465)); #218407=CARTESIAN_POINT('',(14.871146,4.25,16.0167465)); #218408=CARTESIAN_POINT('',(21.0747564638742,4.25,17.5857501202565)); #218409=CARTESIAN_POINT('',(14.871146,4.24,16.0167465)); #218410=CARTESIAN_POINT('',(14.871146,4.25,16.0167465)); #218411=CARTESIAN_POINT('',(14.871146,4.24,16.0167465)); #218412=CARTESIAN_POINT('Origin',(14.8491463991781,4.25,16.129502693018)); #218413=CARTESIAN_POINT('',(14.850229,4.25,16.0146255)); #218414=CARTESIAN_POINT('Origin',(14.8491463991781,4.25,16.129502693018)); #218415=CARTESIAN_POINT('',(14.850229,4.24,16.0146255)); #218416=CARTESIAN_POINT('',(14.850229,4.25,16.0146255)); #218417=CARTESIAN_POINT('Origin',(14.8491463991781,4.24,16.129502693018)); #218418=CARTESIAN_POINT('Origin',(14.775504,4.25,16.0145988)); #218419=CARTESIAN_POINT('',(14.775504,4.25,16.0145988)); #218420=CARTESIAN_POINT('',(20.2893565835111,4.25,16.0165689554229)); #218421=CARTESIAN_POINT('',(14.775504,4.24,16.0145988)); #218422=CARTESIAN_POINT('',(14.775504,4.25,16.0145988)); #218423=CARTESIAN_POINT('',(14.775504,4.24,16.0145988)); #218424=CARTESIAN_POINT('Origin',(40.1447678100086,4.25,15.4895495926929)); #218425=CARTESIAN_POINT('',(14.775198,4.25,14.9795)); #218426=CARTESIAN_POINT('Origin',(40.1447678100086,4.25,15.4895495926929)); #218427=CARTESIAN_POINT('',(14.775198,4.24,14.9795)); #218428=CARTESIAN_POINT('',(14.775198,4.25,14.9795)); #218429=CARTESIAN_POINT('Origin',(40.1447678100086,4.24,15.4895495926929)); #218430=CARTESIAN_POINT('Origin',(14.8040047974902,4.25,14.5767789573401)); #218431=CARTESIAN_POINT('',(14.84798,4.25,14.978127)); #218432=CARTESIAN_POINT('Origin',(14.8040047974902,4.25,14.5767789573401)); #218433=CARTESIAN_POINT('',(14.84798,4.24,14.978127)); #218434=CARTESIAN_POINT('',(14.84798,4.25,14.978127)); #218435=CARTESIAN_POINT('Origin',(14.8040047974902,4.24,14.5767789573401)); #218436=CARTESIAN_POINT('Origin',(14.854172,4.25,14.976929)); #218437=CARTESIAN_POINT('',(14.854172,4.25,14.976929)); #218438=CARTESIAN_POINT('',(19.1949882122525,4.25,14.1370876204318)); #218439=CARTESIAN_POINT('',(14.854172,4.24,14.976929)); #218440=CARTESIAN_POINT('',(14.854172,4.25,14.976929)); #218441=CARTESIAN_POINT('',(14.854172,4.24,14.976929)); #218442=CARTESIAN_POINT('Origin',(14.85978,4.25,14.975349)); #218443=CARTESIAN_POINT('',(14.85978,4.25,14.975349)); #218444=CARTESIAN_POINT('',(18.6192942024347,4.25,13.9161420742077)); #218445=CARTESIAN_POINT('',(14.85978,4.24,14.975349)); #218446=CARTESIAN_POINT('',(14.85978,4.25,14.975349)); #218447=CARTESIAN_POINT('',(14.85978,4.24,14.975349)); #218448=CARTESIAN_POINT('Origin',(14.864807,4.25,14.973377)); #218449=CARTESIAN_POINT('',(14.864807,4.25,14.973377)); #218450=CARTESIAN_POINT('',(17.8988758229398,4.25,13.7831673881377)); #218451=CARTESIAN_POINT('',(14.864807,4.24,14.973377)); #218452=CARTESIAN_POINT('',(14.864807,4.25,14.973377)); #218453=CARTESIAN_POINT('',(14.864807,4.24,14.973377)); #218454=CARTESIAN_POINT('Origin',(14.869258,4.25,14.971016)); #218455=CARTESIAN_POINT('',(14.869258,4.25,14.971016)); #218456=CARTESIAN_POINT('',(17.0586898312332,4.25,13.809648093114)); #218457=CARTESIAN_POINT('',(14.869258,4.24,14.971016)); #218458=CARTESIAN_POINT('',(14.869258,4.25,14.971016)); #218459=CARTESIAN_POINT('',(14.869258,4.24,14.971016)); #218460=CARTESIAN_POINT('Origin',(14.873136,4.25,14.968254)); #218461=CARTESIAN_POINT('',(14.873136,4.25,14.968254)); #218462=CARTESIAN_POINT('',(16.1277379052221,4.25,14.0746979241303)); #218463=CARTESIAN_POINT('',(14.873136,4.24,14.968254)); #218464=CARTESIAN_POINT('',(14.873136,4.25,14.968254)); #218465=CARTESIAN_POINT('',(14.873136,4.24,14.968254)); #218466=CARTESIAN_POINT('Origin',(14.876379,4.25,14.965214)); #218467=CARTESIAN_POINT('',(14.876379,4.25,14.965214)); #218468=CARTESIAN_POINT('',(15.2801037658132,4.25,14.5867609355316)); #218469=CARTESIAN_POINT('',(14.876379,4.24,14.965214)); #218470=CARTESIAN_POINT('',(14.876379,4.25,14.965214)); #218471=CARTESIAN_POINT('',(14.876379,4.24,14.965214)); #218472=CARTESIAN_POINT('Origin',(14.879181,4.25,14.961937)); #218473=CARTESIAN_POINT('',(14.879181,4.25,14.961937)); #218474=CARTESIAN_POINT('',(14.706252390958,4.25,15.1641807729591)); #218475=CARTESIAN_POINT('',(14.879181,4.24,14.961937)); #218476=CARTESIAN_POINT('',(14.879181,4.25,14.961937)); #218477=CARTESIAN_POINT('',(14.879181,4.24,14.961937)); #218478=CARTESIAN_POINT('Origin',(14.881578,4.25,14.958405)); #218479=CARTESIAN_POINT('',(14.881578,4.25,14.958405)); #218480=CARTESIAN_POINT('',(14.2701500642547,4.25,15.8593492924697)); #218481=CARTESIAN_POINT('',(14.881578,4.24,14.958405)); #218482=CARTESIAN_POINT('',(14.881578,4.25,14.958405)); #218483=CARTESIAN_POINT('',(14.881578,4.24,14.958405)); #218484=CARTESIAN_POINT('Origin',(14.883564,4.25,14.954617)); #218485=CARTESIAN_POINT('',(14.883564,4.25,14.954617)); #218486=CARTESIAN_POINT('',(13.9948556740573,4.25,16.6496961231965)); #218487=CARTESIAN_POINT('',(14.883564,4.24,14.954617)); #218488=CARTESIAN_POINT('',(14.883564,4.25,14.954617)); #218489=CARTESIAN_POINT('',(14.883564,4.24,14.954617)); #218490=CARTESIAN_POINT('Origin',(14.885133,4.25,14.950584)); #218491=CARTESIAN_POINT('',(14.885133,4.25,14.950584)); #218492=CARTESIAN_POINT('',(13.9047046437243,4.25,17.4707035416629)); #218493=CARTESIAN_POINT('',(14.885133,4.24,14.950584)); #218494=CARTESIAN_POINT('',(14.885133,4.25,14.950584)); #218495=CARTESIAN_POINT('',(14.885133,4.24,14.950584)); #218496=CARTESIAN_POINT('Origin',(14.886282,4.25,14.946308)); #218497=CARTESIAN_POINT('',(14.886282,4.25,14.946308)); #218498=CARTESIAN_POINT('',(13.9939625440813,4.25,18.2670721370746)); #218499=CARTESIAN_POINT('',(14.886282,4.24,14.946308)); #218500=CARTESIAN_POINT('',(14.886282,4.25,14.946308)); #218501=CARTESIAN_POINT('',(14.886282,4.24,14.946308)); #218502=CARTESIAN_POINT('Origin',(14.7970317878509,4.25,14.9318406711476)); #218503=CARTESIAN_POINT('',(14.887297,4.25,14.937046)); #218504=CARTESIAN_POINT('Origin',(14.7970317878509,4.25,14.9318406711476)); #218505=CARTESIAN_POINT('',(14.887297,4.24,14.937046)); #218506=CARTESIAN_POINT('',(14.887297,4.25,14.937046)); #218507=CARTESIAN_POINT('Origin',(14.7970317878509,4.24,14.9318406711476)); #218508=CARTESIAN_POINT('Origin',(14.887156,4.25,14.932198)); #218509=CARTESIAN_POINT('',(14.887156,4.25,14.932198)); #218510=CARTESIAN_POINT('',(15.0380506574855,4.25,20.1204057977118)); #218511=CARTESIAN_POINT('',(14.887156,4.24,14.932198)); #218512=CARTESIAN_POINT('',(14.887156,4.25,14.932198)); #218513=CARTESIAN_POINT('',(14.887156,4.24,14.932198)); #218514=CARTESIAN_POINT('Origin',(14.886581,4.25,14.927578)); #218515=CARTESIAN_POINT('',(14.886581,4.25,14.927578)); #218516=CARTESIAN_POINT('',(15.5870562751098,4.25,20.5557445582908)); #218517=CARTESIAN_POINT('',(14.886581,4.24,14.927578)); #218518=CARTESIAN_POINT('',(14.886581,4.25,14.927578)); #218519=CARTESIAN_POINT('',(14.886581,4.24,14.927578)); #218520=CARTESIAN_POINT('Origin',(14.885581,4.25,14.923203)); #218521=CARTESIAN_POINT('',(14.885581,4.25,14.923203)); #218522=CARTESIAN_POINT('',(16.2509906105503,4.25,20.8968700461596)); #218523=CARTESIAN_POINT('',(14.885581,4.24,14.923203)); #218524=CARTESIAN_POINT('',(14.885581,4.25,14.923203)); #218525=CARTESIAN_POINT('',(14.885581,4.24,14.923203)); #218526=CARTESIAN_POINT('Origin',(14.884156,4.25,14.919079)); #218527=CARTESIAN_POINT('',(14.884156,4.25,14.919079)); #218528=CARTESIAN_POINT('',(17.0222096266208,4.25,21.1066812148574)); #218529=CARTESIAN_POINT('',(14.884156,4.24,14.919079)); #218530=CARTESIAN_POINT('',(14.884156,4.25,14.919079)); #218531=CARTESIAN_POINT('',(14.884156,4.24,14.919079)); #218532=CARTESIAN_POINT('Origin',(14.882316,4.25,14.915211)); #218533=CARTESIAN_POINT('',(14.882316,4.25,14.915211)); #218534=CARTESIAN_POINT('',(17.8456730119581,4.25,21.1447028055818)); #218535=CARTESIAN_POINT('',(14.882316,4.24,14.915211)); #218536=CARTESIAN_POINT('',(14.882316,4.25,14.915211)); #218537=CARTESIAN_POINT('',(14.882316,4.24,14.915211)); #218538=CARTESIAN_POINT('Origin',(14.880061,4.25,14.911598)); #218539=CARTESIAN_POINT('',(14.880061,4.25,14.911598)); #218540=CARTESIAN_POINT('',(18.6763870334266,4.25,20.9941372278245)); #218541=CARTESIAN_POINT('',(14.880061,4.24,14.911598)); #218542=CARTESIAN_POINT('',(14.880061,4.25,14.911598)); #218543=CARTESIAN_POINT('',(14.880061,4.24,14.911598)); #218544=CARTESIAN_POINT('Origin',(14.877398,4.25,14.908257)); #218545=CARTESIAN_POINT('',(14.877398,4.25,14.908257)); #218546=CARTESIAN_POINT('',(19.4584989959016,4.25,20.6557066535203)); #218547=CARTESIAN_POINT('',(14.877398,4.24,14.908257)); #218548=CARTESIAN_POINT('',(14.877398,4.25,14.908257)); #218549=CARTESIAN_POINT('',(14.877398,4.24,14.908257)); #218550=CARTESIAN_POINT('Origin',(14.874332,4.25,14.905178)); #218551=CARTESIAN_POINT('',(14.874332,4.25,14.905178)); #218552=CARTESIAN_POINT('',(20.1178751122672,4.25,20.1709540087004)); #218553=CARTESIAN_POINT('',(14.874332,4.24,14.905178)); #218554=CARTESIAN_POINT('',(14.874332,4.25,14.905178)); #218555=CARTESIAN_POINT('',(14.874332,4.24,14.905178)); #218556=CARTESIAN_POINT('Origin',(14.870672,4.25,14.902267)); #218557=CARTESIAN_POINT('',(14.870672,4.25,14.902267)); #218558=CARTESIAN_POINT('',(20.6775842535739,4.25,19.5208248060527)); #218559=CARTESIAN_POINT('',(14.870672,4.24,14.902267)); #218560=CARTESIAN_POINT('',(14.870672,4.25,14.902267)); #218561=CARTESIAN_POINT('',(14.870672,4.24,14.902267)); #218562=CARTESIAN_POINT('Origin',(14.866421,4.25,14.899738)); #218563=CARTESIAN_POINT('',(14.866421,4.25,14.899738)); #218564=CARTESIAN_POINT('',(21.123182735877,4.25,18.6220034504885)); #218565=CARTESIAN_POINT('',(14.866421,4.24,14.899738)); #218566=CARTESIAN_POINT('',(14.866421,4.25,14.899738)); #218567=CARTESIAN_POINT('',(14.866421,4.24,14.899738)); #218568=CARTESIAN_POINT('Origin',(14.861594,4.25,14.897614)); #218569=CARTESIAN_POINT('',(14.861594,4.25,14.897614)); #218570=CARTESIAN_POINT('',(21.3057231149896,4.25,17.7331910126847)); #218571=CARTESIAN_POINT('',(14.861594,4.24,14.897614)); #218572=CARTESIAN_POINT('',(14.861594,4.25,14.897614)); #218573=CARTESIAN_POINT('',(14.861594,4.24,14.897614)); #218574=CARTESIAN_POINT('Origin',(14.7259503229285,4.25,15.4697882951318)); #218575=CARTESIAN_POINT('',(14.612522,4.25,14.892799)); #218576=CARTESIAN_POINT('Origin',(14.7259503229285,4.25,15.4697882951318)); #218577=CARTESIAN_POINT('',(14.612522,4.24,14.892799)); #218578=CARTESIAN_POINT('',(14.612522,4.25,14.892799)); #218579=CARTESIAN_POINT('Origin',(14.7259503229285,4.24,15.4697882951318)); #218580=CARTESIAN_POINT('Origin',(14.292503,4.25,15.6395988)); #218581=CARTESIAN_POINT('',(14.292503,4.25,15.6395988)); #218582=CARTESIAN_POINT('',(13.4907302492275,4.25,17.5106243638645)); #218583=CARTESIAN_POINT('',(14.292503,4.24,15.6395988)); #218584=CARTESIAN_POINT('',(14.292503,4.25,15.6395988)); #218585=CARTESIAN_POINT('',(14.292503,4.24,15.6395988)); #218586=CARTESIAN_POINT('Origin',(13.965785,4.25,14.892799)); #218587=CARTESIAN_POINT('',(13.965785,4.25,14.892799)); #218588=CARTESIAN_POINT('',(16.7720902419477,4.25,21.3073466938079)); #218589=CARTESIAN_POINT('',(13.965785,4.24,14.892799)); #218590=CARTESIAN_POINT('',(13.965785,4.25,14.892799)); #218591=CARTESIAN_POINT('',(13.965785,4.24,14.892799)); #218592=CARTESIAN_POINT('Origin',(13.8561298353866,4.25,15.9444464795083)); #218593=CARTESIAN_POINT('',(13.730814,4.25,14.89455)); #218594=CARTESIAN_POINT('Origin',(13.8561298353866,4.25,15.9444464795083)); #218595=CARTESIAN_POINT('',(13.730814,4.24,14.89455)); #218596=CARTESIAN_POINT('',(13.730814,4.25,14.89455)); #218597=CARTESIAN_POINT('Origin',(13.8561298353866,4.24,15.9444464795083)); #218598=CARTESIAN_POINT('Origin',(13.724873,4.25,14.895885)); #218599=CARTESIAN_POINT('',(13.724873,4.25,14.895885)); #218600=CARTESIAN_POINT('',(18.3915493185007,4.25,13.8472378218821)); #218601=CARTESIAN_POINT('',(13.724873,4.24,14.895885)); #218602=CARTESIAN_POINT('',(13.724873,4.25,14.895885)); #218603=CARTESIAN_POINT('',(13.724873,4.24,14.895885)); #218604=CARTESIAN_POINT('Origin',(13.719495,4.25,14.897614)); #218605=CARTESIAN_POINT('',(13.719495,4.25,14.897614)); #218606=CARTESIAN_POINT('',(17.7221081315616,4.25,13.6107939731354)); #218607=CARTESIAN_POINT('',(13.719495,4.24,14.897614)); #218608=CARTESIAN_POINT('',(13.719495,4.25,14.897614)); #218609=CARTESIAN_POINT('',(13.719495,4.24,14.897614)); #218610=CARTESIAN_POINT('Origin',(13.714682,4.25,14.899738)); #218611=CARTESIAN_POINT('',(13.714682,4.25,14.899738)); #218612=CARTESIAN_POINT('',(16.9069918452595,4.25,13.4909563437933)); #218613=CARTESIAN_POINT('',(13.714682,4.24,14.899738)); #218614=CARTESIAN_POINT('',(13.714682,4.25,14.899738)); #218615=CARTESIAN_POINT('',(13.714682,4.24,14.899738)); #218616=CARTESIAN_POINT('Origin',(13.710435,4.25,14.902267)); #218617=CARTESIAN_POINT('',(13.710435,4.25,14.902267)); #218618=CARTESIAN_POINT('',(15.9533834381495,4.25,13.5666379441768)); #218619=CARTESIAN_POINT('',(13.710435,4.24,14.902267)); #218620=CARTESIAN_POINT('',(13.710435,4.25,14.902267)); #218621=CARTESIAN_POINT('',(13.710435,4.24,14.902267)); #218622=CARTESIAN_POINT('Origin',(13.706755,4.25,14.905178)); #218623=CARTESIAN_POINT('',(13.706755,4.25,14.905178)); #218624=CARTESIAN_POINT('',(14.9701582341181,4.25,13.9057848438819)); #218625=CARTESIAN_POINT('',(13.706755,4.24,14.905178)); #218626=CARTESIAN_POINT('',(13.706755,4.25,14.905178)); #218627=CARTESIAN_POINT('',(13.706755,4.24,14.905178)); #218628=CARTESIAN_POINT('Origin',(13.703567,4.25,14.908257)); #218629=CARTESIAN_POINT('',(13.703567,4.25,14.908257)); #218630=CARTESIAN_POINT('',(14.3114283970341,4.25,14.321178818861)); #218631=CARTESIAN_POINT('',(13.703567,4.24,14.908257)); #218632=CARTESIAN_POINT('',(13.703567,4.25,14.908257)); #218633=CARTESIAN_POINT('',(13.703567,4.24,14.908257)); #218634=CARTESIAN_POINT('Origin',(13.700821,4.25,14.911598)); #218635=CARTESIAN_POINT('',(13.700821,4.25,14.911598)); #218636=CARTESIAN_POINT('',(13.6655096710394,4.25,14.9545605455416)); #218637=CARTESIAN_POINT('',(13.700821,4.24,14.911598)); #218638=CARTESIAN_POINT('',(13.700821,4.25,14.911598)); #218639=CARTESIAN_POINT('',(13.700821,4.24,14.911598)); #218640=CARTESIAN_POINT('Origin',(13.698515,4.25,14.915211)); #218641=CARTESIAN_POINT('',(13.698515,4.25,14.915211)); #218642=CARTESIAN_POINT('',(13.1631472946567,4.25,15.7540156484842)); #218643=CARTESIAN_POINT('',(13.698515,4.24,14.915211)); #218644=CARTESIAN_POINT('',(13.698515,4.25,14.915211)); #218645=CARTESIAN_POINT('',(13.698515,4.24,14.915211)); #218646=CARTESIAN_POINT('Origin',(13.696648,4.25,14.919079)); #218647=CARTESIAN_POINT('',(13.696648,4.25,14.919079)); #218648=CARTESIAN_POINT('',(12.8669405383615,4.25,16.6380444320419)); #218649=CARTESIAN_POINT('',(13.696648,4.24,14.919079)); #218650=CARTESIAN_POINT('',(13.696648,4.25,14.919079)); #218651=CARTESIAN_POINT('',(13.696648,4.24,14.919079)); #218652=CARTESIAN_POINT('Origin',(13.695215,4.25,14.923203)); #218653=CARTESIAN_POINT('',(13.695215,4.25,14.923203)); #218654=CARTESIAN_POINT('',(12.7851376301728,4.25,17.5422951655)); #218655=CARTESIAN_POINT('',(13.695215,4.24,14.923203)); #218656=CARTESIAN_POINT('',(13.695215,4.25,14.923203)); #218657=CARTESIAN_POINT('',(13.695215,4.24,14.923203)); #218658=CARTESIAN_POINT('Origin',(13.694217,4.25,14.927578)); #218659=CARTESIAN_POINT('',(13.694217,4.25,14.927578)); #218660=CARTESIAN_POINT('',(12.901599122739,4.25,18.402230518058)); #218661=CARTESIAN_POINT('',(13.694217,4.24,14.927578)); #218662=CARTESIAN_POINT('',(13.694217,4.25,14.927578)); #218663=CARTESIAN_POINT('',(13.694217,4.24,14.927578)); #218664=CARTESIAN_POINT('Origin',(13.693649,4.25,14.932198)); #218665=CARTESIAN_POINT('',(13.693649,4.25,14.932198)); #218666=CARTESIAN_POINT('',(13.1741100935172,4.25,19.1580250210168)); #218667=CARTESIAN_POINT('',(13.693649,4.24,14.932198)); #218668=CARTESIAN_POINT('',(13.693649,4.25,14.932198)); #218669=CARTESIAN_POINT('',(13.693649,4.24,14.932198)); #218670=CARTESIAN_POINT('Origin',(13.693511,4.25,14.937046)); #218671=CARTESIAN_POINT('',(13.693511,4.25,14.937046)); #218672=CARTESIAN_POINT('',(13.5553050470338,4.25,19.7922812173063)); #218673=CARTESIAN_POINT('',(13.693511,4.24,14.937046)); #218674=CARTESIAN_POINT('',(13.693511,4.25,14.937046)); #218675=CARTESIAN_POINT('',(13.693511,4.24,14.937046)); #218676=CARTESIAN_POINT('Origin',(13.693799,4.25,14.941795)); #218677=CARTESIAN_POINT('',(13.693799,4.25,14.941795)); #218678=CARTESIAN_POINT('',(14.0198482197847,4.25,20.3182107804168)); #218679=CARTESIAN_POINT('',(13.693799,4.24,14.941795)); #218680=CARTESIAN_POINT('',(13.693799,4.25,14.941795)); #218681=CARTESIAN_POINT('',(13.693799,4.24,14.941795)); #218682=CARTESIAN_POINT('Origin',(13.694515,4.25,14.946308)); #218683=CARTESIAN_POINT('',(13.694515,4.25,14.946308)); #218684=CARTESIAN_POINT('',(14.6210679023188,4.25,20.7864382348641)); #218685=CARTESIAN_POINT('',(13.694515,4.24,14.946308)); #218686=CARTESIAN_POINT('',(13.694515,4.25,14.946308)); #218687=CARTESIAN_POINT('',(13.694515,4.24,14.946308)); #218688=CARTESIAN_POINT('Origin',(13.695664,4.25,14.950584)); #218689=CARTESIAN_POINT('',(13.695664,4.25,14.950584)); #218690=CARTESIAN_POINT('',(15.362490327283,4.25,21.1536730996152)); #218691=CARTESIAN_POINT('',(13.695664,4.24,14.950584)); #218692=CARTESIAN_POINT('',(13.695664,4.25,14.950584)); #218693=CARTESIAN_POINT('',(13.695664,4.24,14.950584)); #218694=CARTESIAN_POINT('Origin',(13.697248,4.25,14.954617)); #218695=CARTESIAN_POINT('',(13.697248,4.25,14.954617)); #218696=CARTESIAN_POINT('',(16.2150489829564,4.25,21.365154477436)); #218697=CARTESIAN_POINT('',(13.697248,4.24,14.954617)); #218698=CARTESIAN_POINT('',(13.697248,4.25,14.954617)); #218699=CARTESIAN_POINT('',(13.697248,4.24,14.954617)); #218700=CARTESIAN_POINT('Origin',(13.699267,4.25,14.958405)); #218701=CARTESIAN_POINT('',(13.699267,4.25,14.958405)); #218702=CARTESIAN_POINT('',(17.1218641691613,4.25,21.3798007785004)); #218703=CARTESIAN_POINT('',(13.699267,4.24,14.958405)); #218704=CARTESIAN_POINT('',(13.699267,4.25,14.958405)); #218705=CARTESIAN_POINT('',(13.699267,4.24,14.958405)); #218706=CARTESIAN_POINT('Origin',(13.701725,4.25,14.961937)); #218707=CARTESIAN_POINT('',(13.701725,4.25,14.961937)); #218708=CARTESIAN_POINT('',(18.0286615137585,4.25,21.1794877593948)); #218709=CARTESIAN_POINT('',(13.701725,4.24,14.961937)); #218710=CARTESIAN_POINT('',(13.701725,4.25,14.961937)); #218711=CARTESIAN_POINT('',(13.701725,4.24,14.961937)); #218712=CARTESIAN_POINT('Origin',(13.704625,4.25,14.965214)); #218713=CARTESIAN_POINT('',(13.704625,4.25,14.965214)); #218714=CARTESIAN_POINT('',(18.8508069096138,4.25,20.7803995578638)); #218715=CARTESIAN_POINT('',(13.704625,4.24,14.965214)); #218716=CARTESIAN_POINT('',(13.704625,4.25,14.965214)); #218717=CARTESIAN_POINT('',(13.704625,4.24,14.965214)); #218718=CARTESIAN_POINT('Origin',(13.707971,4.25,14.968254)); #218719=CARTESIAN_POINT('',(13.707971,4.25,14.968254)); #218720=CARTESIAN_POINT('',(19.5164694784785,4.25,20.245550884214)); #218721=CARTESIAN_POINT('',(13.707971,4.24,14.968254)); #218722=CARTESIAN_POINT('',(13.707971,4.25,14.968254)); #218723=CARTESIAN_POINT('',(13.707971,4.24,14.968254)); #218724=CARTESIAN_POINT('Origin',(13.711847,4.25,14.971016)); #218725=CARTESIAN_POINT('',(13.711847,4.25,14.971016)); #218726=CARTESIAN_POINT('',(20.0903129430898,4.25,19.5162489553162)); #218727=CARTESIAN_POINT('',(13.711847,4.24,14.971016)); #218728=CARTESIAN_POINT('',(13.711847,4.25,14.971016)); #218729=CARTESIAN_POINT('',(13.711847,4.24,14.971016)); #218730=CARTESIAN_POINT('Origin',(13.71629,4.25,14.973381)); #218731=CARTESIAN_POINT('',(13.71629,4.25,14.973381)); #218732=CARTESIAN_POINT('',(20.5035963634499,4.25,18.5862505812655)); #218733=CARTESIAN_POINT('',(13.71629,4.24,14.973381)); #218734=CARTESIAN_POINT('',(13.71629,4.25,14.973381)); #218735=CARTESIAN_POINT('',(13.71629,4.24,14.973381)); #218736=CARTESIAN_POINT('Origin',(13.721301,4.25,14.975349)); #218737=CARTESIAN_POINT('',(13.721301,4.25,14.975349)); #218738=CARTESIAN_POINT('',(20.6590776439326,4.25,17.7000635151193)); #218739=CARTESIAN_POINT('',(13.721301,4.24,14.975349)); #218740=CARTESIAN_POINT('',(13.721301,4.25,14.975349)); #218741=CARTESIAN_POINT('',(13.721301,4.24,14.975349)); #218742=CARTESIAN_POINT('Origin',(13.726875,4.25,14.976929)); #218743=CARTESIAN_POINT('',(13.726875,4.25,14.976929)); #218744=CARTESIAN_POINT('',(20.6293918877435,4.25,16.9335089574144)); #218745=CARTESIAN_POINT('',(13.726875,4.24,14.976929)); #218746=CARTESIAN_POINT('',(13.726875,4.25,14.976929)); #218747=CARTESIAN_POINT('',(13.726875,4.24,14.976929)); #218748=CARTESIAN_POINT('Origin',(13.7501076333795,4.25,14.8707991170743)); #218749=CARTESIAN_POINT('',(13.746976,4.25,14.979397)); #218750=CARTESIAN_POINT('Origin',(13.7501076333795,4.25,14.8707991170743)); #218751=CARTESIAN_POINT('',(13.746976,4.24,14.979397)); #218752=CARTESIAN_POINT('',(13.746976,4.25,14.979397)); #218753=CARTESIAN_POINT('Origin',(13.7501076333795,4.24,14.8707991170743)); #218754=CARTESIAN_POINT('Origin',(13.80551,4.25,14.979496)); #218755=CARTESIAN_POINT('',(13.80551,4.25,14.979496)); #218756=CARTESIAN_POINT('',(19.8112117831759,4.25,14.9896535917678)); #218757=CARTESIAN_POINT('',(13.80551,4.24,14.979496)); #218758=CARTESIAN_POINT('',(13.80551,4.25,14.979496)); #218759=CARTESIAN_POINT('',(13.80551,4.24,14.979496)); #218760=CARTESIAN_POINT('Origin',(-11.5876934824732,4.25,15.4895161032587)); #218761=CARTESIAN_POINT('',(13.805203,4.25,16.0145988)); #218762=CARTESIAN_POINT('Origin',(-11.5876934824732,4.25,15.4895161032587)); #218763=CARTESIAN_POINT('',(13.805203,4.24,16.0145988)); #218764=CARTESIAN_POINT('',(13.805203,4.25,16.0145988)); #218765=CARTESIAN_POINT('Origin',(-11.5876934824732,4.24,15.4895161032587)); #218766=CARTESIAN_POINT('Origin',(13.7658554737192,4.25,16.3848605112718)); #218767=CARTESIAN_POINT('',(13.709873,4.25,16.0167465)); #218768=CARTESIAN_POINT('Origin',(13.7658554737192,4.25,16.3848605112718)); #218769=CARTESIAN_POINT('',(13.709873,4.24,16.0167465)); #218770=CARTESIAN_POINT('',(13.709873,4.25,16.0167465)); #218771=CARTESIAN_POINT('Origin',(13.7658554737192,4.24,16.3848605112718)); #218772=CARTESIAN_POINT('Origin',(13.704102,4.25,16.0182114)); #218773=CARTESIAN_POINT('',(13.704102,4.25,16.0182114)); #218774=CARTESIAN_POINT('',(18.314993373915,4.25,14.8477912347523)); #218775=CARTESIAN_POINT('',(13.704102,4.24,16.0182114)); #218776=CARTESIAN_POINT('',(13.704102,4.25,16.0182114)); #218777=CARTESIAN_POINT('',(13.704102,4.24,16.0182114)); #218778=CARTESIAN_POINT('Origin',(13.7297533650263,4.25,16.0935795411065)); #218779=CARTESIAN_POINT('',(13.694282,4.25,16.0223045)); #218780=CARTESIAN_POINT('Origin',(13.7297533650263,4.25,16.0935795411065)); #218781=CARTESIAN_POINT('',(13.694282,4.24,16.0223045)); #218782=CARTESIAN_POINT('',(13.694282,4.25,16.0223045)); #218783=CARTESIAN_POINT('Origin',(13.7297533650263,4.24,16.0935795411065)); #218784=CARTESIAN_POINT('Origin',(13.690235,4.25,16.0249329)); #218785=CARTESIAN_POINT('',(13.690235,4.25,16.0249329)); #218786=CARTESIAN_POINT('',(15.8989481955695,4.25,14.5904427188204)); #218787=CARTESIAN_POINT('',(13.690235,4.24,16.0249329)); #218788=CARTESIAN_POINT('',(13.690235,4.25,16.0249329)); #218789=CARTESIAN_POINT('',(13.690235,4.24,16.0249329)); #218790=CARTESIAN_POINT('Origin',(13.686738,4.25,16.0279007)); #218791=CARTESIAN_POINT('',(13.686738,4.25,16.0279007)); #218792=CARTESIAN_POINT('',(14.9943766442594,4.25,14.9181466341059)); #218793=CARTESIAN_POINT('',(13.686738,4.24,16.0279007)); #218794=CARTESIAN_POINT('',(13.686738,4.25,16.0279007)); #218795=CARTESIAN_POINT('',(13.686738,4.24,16.0279007)); #218796=CARTESIAN_POINT('Origin',(13.683676,4.25,16.031086)); #218797=CARTESIAN_POINT('',(13.683676,4.25,16.031086)); #218798=CARTESIAN_POINT('',(14.3527541364145,4.25,15.3350655597907)); #218799=CARTESIAN_POINT('',(13.683676,4.24,16.031086)); #218800=CARTESIAN_POINT('',(13.683676,4.25,16.031086)); #218801=CARTESIAN_POINT('',(13.683676,4.24,16.031086)); #218802=CARTESIAN_POINT('Origin',(13.68105,4.25,16.0345345)); #218803=CARTESIAN_POINT('',(13.68105,4.25,16.0345345)); #218804=CARTESIAN_POINT('',(13.7444324794369,4.25,15.9512997397798)); #218805=CARTESIAN_POINT('',(13.68105,4.24,16.0345345)); #218806=CARTESIAN_POINT('',(13.68105,4.25,16.0345345)); #218807=CARTESIAN_POINT('',(13.68105,4.24,16.0345345)); #218808=CARTESIAN_POINT('Origin',(13.678861,4.25,16.0382347)); #218809=CARTESIAN_POINT('',(13.678861,4.25,16.0382347)); #218810=CARTESIAN_POINT('',(13.2864280866358,4.25,16.7015879508124)); #218811=CARTESIAN_POINT('',(13.678861,4.24,16.0382347)); #218812=CARTESIAN_POINT('',(13.678861,4.25,16.0382347)); #218813=CARTESIAN_POINT('',(13.678861,4.24,16.0382347)); #218814=CARTESIAN_POINT('Origin',(13.677108,4.25,16.0421791)); #218815=CARTESIAN_POINT('',(13.677108,4.25,16.0421791)); #218816=CARTESIAN_POINT('',(13.0146369616472,4.25,17.5327956223515)); #218817=CARTESIAN_POINT('',(13.677108,4.24,16.0421791)); #218818=CARTESIAN_POINT('',(13.677108,4.25,16.0421791)); #218819=CARTESIAN_POINT('',(13.677108,4.24,16.0421791)); #218820=CARTESIAN_POINT('Origin',(13.67579,4.25,16.0463715)); #218821=CARTESIAN_POINT('',(13.67579,4.25,16.0463715)); #218822=CARTESIAN_POINT('',(12.9402165451397,4.25,18.3861424803923)); #218823=CARTESIAN_POINT('',(13.67579,4.24,16.0463715)); #218824=CARTESIAN_POINT('',(13.67579,4.25,16.0463715)); #218825=CARTESIAN_POINT('',(13.67579,4.24,16.0463715)); #218826=CARTESIAN_POINT('Origin',(13.674909,4.25,16.0508041)); #218827=CARTESIAN_POINT('',(13.674909,4.25,16.0508041)); #218828=CARTESIAN_POINT('',(13.0497489732255,4.25,19.1961892698944)); #218829=CARTESIAN_POINT('',(13.674909,4.24,16.0508041)); #218830=CARTESIAN_POINT('',(13.674909,4.25,16.0508041)); #218831=CARTESIAN_POINT('',(13.674909,4.24,16.0508041)); #218832=CARTESIAN_POINT('Origin',(13.674463,4.25,16.0554695)); #218833=CARTESIAN_POINT('',(13.674463,4.25,16.0554695)); #218834=CARTESIAN_POINT('',(13.305703003498,4.25,19.9128974992971)); #218835=CARTESIAN_POINT('',(13.674463,4.24,16.0554695)); #218836=CARTESIAN_POINT('',(13.674463,4.25,16.0554695)); #218837=CARTESIAN_POINT('',(13.674463,4.24,16.0554695)); #218838=CARTESIAN_POINT('Origin',(13.674454,4.25,16.0602112)); #218839=CARTESIAN_POINT('',(13.674454,4.25,16.0602112)); #218840=CARTESIAN_POINT('',(13.6659917738293,4.25,20.5185820710912)); #218841=CARTESIAN_POINT('',(13.674454,4.24,16.0602112)); #218842=CARTESIAN_POINT('',(13.674454,4.25,16.0602112)); #218843=CARTESIAN_POINT('',(13.674454,4.24,16.0602112)); #218844=CARTESIAN_POINT('Origin',(13.67488,4.25,16.0646858)); #218845=CARTESIAN_POINT('',(13.67488,4.25,16.0646858)); #218846=CARTESIAN_POINT('',(14.150854751436,4.25,21.0642083887281)); #218847=CARTESIAN_POINT('',(13.67488,4.24,16.0646858)); #218848=CARTESIAN_POINT('',(13.67488,4.25,16.0646858)); #218849=CARTESIAN_POINT('',(13.67488,4.24,16.0646858)); #218850=CARTESIAN_POINT('Origin',(13.675742,4.25,16.0689583)); #218851=CARTESIAN_POINT('',(13.675742,4.25,16.0689583)); #218852=CARTESIAN_POINT('',(14.7785551742895,4.25,21.5350479602469)); #218853=CARTESIAN_POINT('',(13.675742,4.24,16.0689583)); #218854=CARTESIAN_POINT('',(13.675742,4.25,16.0689583)); #218855=CARTESIAN_POINT('',(13.675742,4.24,16.0689583)); #218856=CARTESIAN_POINT('Origin',(13.677042,4.25,16.07304)); #218857=CARTESIAN_POINT('',(13.677042,4.25,16.07304)); #218858=CARTESIAN_POINT('',(15.5259549958141,4.25,21.8782001346139)); #218859=CARTESIAN_POINT('',(13.677042,4.24,16.07304)); #218860=CARTESIAN_POINT('',(13.677042,4.25,16.07304)); #218861=CARTESIAN_POINT('',(13.677042,4.24,16.07304)); #218862=CARTESIAN_POINT('Origin',(13.678775,4.25,16.0769348)); #218863=CARTESIAN_POINT('',(13.678775,4.25,16.0769348)); #218864=CARTESIAN_POINT('',(16.3374650514422,4.25,22.0521604274563)); #218865=CARTESIAN_POINT('',(13.678775,4.24,16.0769348)); #218866=CARTESIAN_POINT('',(13.678775,4.25,16.0769348)); #218867=CARTESIAN_POINT('',(13.678775,4.24,16.0769348)); #218868=CARTESIAN_POINT('Origin',(13.680945,4.25,16.0806465)); #218869=CARTESIAN_POINT('',(13.680945,4.25,16.0806465)); #218870=CARTESIAN_POINT('',(17.1676385080988,4.25,22.044499170045)); #218871=CARTESIAN_POINT('',(13.680945,4.24,16.0806465)); #218872=CARTESIAN_POINT('',(13.680945,4.25,16.0806465)); #218873=CARTESIAN_POINT('',(13.680945,4.24,16.0806465)); #218874=CARTESIAN_POINT('Origin',(13.683552,4.25,16.0841827)); #218875=CARTESIAN_POINT('',(13.683552,4.25,16.0841827)); #218876=CARTESIAN_POINT('',(17.9460578963618,4.25,21.8659523013545)); #218877=CARTESIAN_POINT('',(13.683552,4.24,16.0841827)); #218878=CARTESIAN_POINT('',(13.683552,4.25,16.0841827)); #218879=CARTESIAN_POINT('',(13.683552,4.24,16.0841827)); #218880=CARTESIAN_POINT('Origin',(13.686594,4.25,16.0875473)); #218881=CARTESIAN_POINT('',(13.686594,4.25,16.0875473)); #218882=CARTESIAN_POINT('',(18.6275784004212,4.25,21.5525164366374)); #218883=CARTESIAN_POINT('',(13.686594,4.24,16.0875473)); #218884=CARTESIAN_POINT('',(13.686594,4.25,16.0875473)); #218885=CARTESIAN_POINT('',(13.686594,4.24,16.0875473)); #218886=CARTESIAN_POINT('Origin',(13.690073,4.25,16.090641)); #218887=CARTESIAN_POINT('',(13.690073,4.25,16.090641)); #218888=CARTESIAN_POINT('',(19.2833726698761,4.25,21.0644815256336)); #218889=CARTESIAN_POINT('',(13.690073,4.24,16.090641)); #218890=CARTESIAN_POINT('',(13.690073,4.25,16.090641)); #218891=CARTESIAN_POINT('',(13.690073,4.24,16.090641)); #218892=CARTESIAN_POINT('Origin',(13.694094,4.25,16.0933151)); #218893=CARTESIAN_POINT('',(13.694094,4.25,16.0933151)); #218894=CARTESIAN_POINT('',(19.9443643418589,4.25,20.249954722277)); #218895=CARTESIAN_POINT('',(13.694094,4.24,16.0933151)); #218896=CARTESIAN_POINT('',(13.694094,4.25,16.0933151)); #218897=CARTESIAN_POINT('',(13.694094,4.24,16.0933151)); #218898=CARTESIAN_POINT('Origin',(13.7317056006665,4.25,16.0196409784796)); #218899=CARTESIAN_POINT('',(13.703864,4.25,16.0975342)); #218900=CARTESIAN_POINT('Origin',(13.7317056006665,4.25,16.0196409784796)); #218901=CARTESIAN_POINT('',(13.703864,4.24,16.0975342)); #218902=CARTESIAN_POINT('',(13.703864,4.25,16.0975342)); #218903=CARTESIAN_POINT('Origin',(13.7317056006665,4.24,16.0196409784796)); #218904=CARTESIAN_POINT('Origin',(13.70961,4.25,16.0990753)); #218905=CARTESIAN_POINT('',(13.70961,4.25,16.0990753)); #218906=CARTESIAN_POINT('',(20.4626665562554,4.25,17.9102718643688)); #218907=CARTESIAN_POINT('',(13.70961,4.24,16.0990753)); #218908=CARTESIAN_POINT('',(13.70961,4.25,16.0990753)); #218909=CARTESIAN_POINT('',(13.70961,4.24,16.0990753)); #218910=CARTESIAN_POINT('Origin',(13.895128917764,4.25,14.5366742123715)); #218911=CARTESIAN_POINT('',(14.072196,4.25,16.1000557)); #218912=CARTESIAN_POINT('Origin',(13.895128917764,4.25,14.5366742123715)); #218913=CARTESIAN_POINT('',(14.072196,4.24,16.1000557)); #218914=CARTESIAN_POINT('',(14.072196,4.25,16.1000557)); #218915=CARTESIAN_POINT('Origin',(13.895128917764,4.24,14.5366742123715)); #218916=CARTESIAN_POINT('Origin',(14.078403,4.25,16.098835)); #218917=CARTESIAN_POINT('',(14.078403,4.25,16.098835)); #218918=CARTESIAN_POINT('',(18.8782818397786,4.25,15.1548665611881)); #218919=CARTESIAN_POINT('',(14.078403,4.24,16.098835)); #218920=CARTESIAN_POINT('',(14.078403,4.25,16.098835)); #218921=CARTESIAN_POINT('',(14.078403,4.24,16.098835)); #218922=CARTESIAN_POINT('Origin',(14.084042,4.25,16.097229)); #218923=CARTESIAN_POINT('',(14.084042,4.25,16.097229)); #218924=CARTESIAN_POINT('',(18.3298674942608,4.25,14.8880082616088)); #218925=CARTESIAN_POINT('',(14.084042,4.24,16.097229)); #218926=CARTESIAN_POINT('',(14.084042,4.25,16.097229)); #218927=CARTESIAN_POINT('',(14.084042,4.24,16.097229)); #218928=CARTESIAN_POINT('Origin',(14.089113,4.25,16.0952415)); #218929=CARTESIAN_POINT('',(14.089113,4.25,16.0952415)); #218930=CARTESIAN_POINT('',(17.652182667838,4.25,14.6987514655242)); #218931=CARTESIAN_POINT('',(14.089113,4.24,16.0952415)); #218932=CARTESIAN_POINT('',(14.089113,4.25,16.0952415)); #218933=CARTESIAN_POINT('',(14.089113,4.24,16.0952415)); #218934=CARTESIAN_POINT('Origin',(14.093619,4.25,16.0928764)); #218935=CARTESIAN_POINT('',(14.093619,4.25,16.0928764)); #218936=CARTESIAN_POINT('',(16.8498893488254,4.25,14.646170895783)); #218937=CARTESIAN_POINT('',(14.093619,4.24,16.0928764)); #218938=CARTESIAN_POINT('',(14.093619,4.25,16.0928764)); #218939=CARTESIAN_POINT('',(14.093619,4.24,16.0928764)); #218940=CARTESIAN_POINT('Origin',(14.097563,4.25,16.0901375)); #218941=CARTESIAN_POINT('',(14.097563,4.25,16.0901375)); #218942=CARTESIAN_POINT('',(15.9579041513435,4.25,14.7982286816895)); #218943=CARTESIAN_POINT('',(14.097563,4.24,16.0901375)); #218944=CARTESIAN_POINT('',(14.097563,4.25,16.0901375)); #218945=CARTESIAN_POINT('',(14.097563,4.24,16.0901375)); #218946=CARTESIAN_POINT('Origin',(14.100973,4.25,16.0869713)); #218947=CARTESIAN_POINT('',(14.100973,4.25,16.0869713)); #218948=CARTESIAN_POINT('',(15.0201511076324,4.25,15.233510383758)); #218949=CARTESIAN_POINT('',(14.100973,4.24,16.0869713)); #218950=CARTESIAN_POINT('',(14.100973,4.25,16.0869713)); #218951=CARTESIAN_POINT('',(14.100973,4.24,16.0869713)); #218952=CARTESIAN_POINT('Origin',(14.10394,4.25,16.08358)); #218953=CARTESIAN_POINT('',(14.10394,4.25,16.08358)); #218954=CARTESIAN_POINT('',(14.4300962020232,4.25,15.7107813724564)); #218955=CARTESIAN_POINT('',(14.10394,4.24,16.08358)); #218956=CARTESIAN_POINT('',(14.10394,4.25,16.08358)); #218957=CARTESIAN_POINT('',(14.10394,4.24,16.08358)); #218958=CARTESIAN_POINT('Origin',(14.10647,4.25,16.0800133)); #218959=CARTESIAN_POINT('',(14.10647,4.25,16.0800133)); #218960=CARTESIAN_POINT('',(13.9589292280034,4.25,16.2880107986087)); #218961=CARTESIAN_POINT('',(14.10647,4.24,16.0800133)); #218962=CARTESIAN_POINT('',(14.10647,4.25,16.0800133)); #218963=CARTESIAN_POINT('',(14.10647,4.24,16.0800133)); #218964=CARTESIAN_POINT('Origin',(14.108564,4.25,16.0762711)); #218965=CARTESIAN_POINT('',(14.108564,4.25,16.0762711)); #218966=CARTESIAN_POINT('',(13.6011165213314,4.25,16.9831335425382)); #218967=CARTESIAN_POINT('',(14.108564,4.24,16.0762711)); #218968=CARTESIAN_POINT('',(14.108564,4.25,16.0762711)); #218969=CARTESIAN_POINT('',(14.108564,4.24,16.0762711)); #218970=CARTESIAN_POINT('Origin',(14.110224,4.25,16.0723457)); #218971=CARTESIAN_POINT('',(14.110224,4.25,16.0723457)); #218972=CARTESIAN_POINT('',(13.3955909098856,4.25,17.7622377071909)); #218973=CARTESIAN_POINT('',(14.110224,4.24,16.0723457)); #218974=CARTESIAN_POINT('',(14.110224,4.25,16.0723457)); #218975=CARTESIAN_POINT('',(14.110224,4.24,16.0723457)); #218976=CARTESIAN_POINT('Origin',(14.111446,4.25,16.0682297)); #218977=CARTESIAN_POINT('',(14.111446,4.25,16.0682297)); #218978=CARTESIAN_POINT('',(13.3663782947155,4.25,18.5778030837553)); #218979=CARTESIAN_POINT('',(14.111446,4.24,16.0682297)); #218980=CARTESIAN_POINT('',(14.111446,4.25,16.0682297)); #218981=CARTESIAN_POINT('',(14.111446,4.24,16.0682297)); #218982=CARTESIAN_POINT('Origin',(14.112232,4.25,16.0639229)); #218983=CARTESIAN_POINT('',(14.112232,4.25,16.0639229)); #218984=CARTESIAN_POINT('',(13.5114579359987,4.25,19.3557978857955)); #218985=CARTESIAN_POINT('',(14.112232,4.24,16.0639229)); #218986=CARTESIAN_POINT('',(14.112232,4.25,16.0639229)); #218987=CARTESIAN_POINT('',(14.112232,4.24,16.0639229)); #218988=CARTESIAN_POINT('Origin',(14.112583,4.25,16.0594139)); #218989=CARTESIAN_POINT('',(14.112583,4.25,16.0594139)); #218990=CARTESIAN_POINT('',(13.8018901681868,4.25,20.050621816388)); #218991=CARTESIAN_POINT('',(14.112583,4.24,16.0594139)); #218992=CARTESIAN_POINT('',(14.112583,4.25,16.0594139)); #218993=CARTESIAN_POINT('',(14.112583,4.24,16.0594139)); #218994=CARTESIAN_POINT('Origin',(14.0246804460566,4.25,16.0603661201892)); #218995=CARTESIAN_POINT('',(14.111977,4.25,16.0500183)); #218996=CARTESIAN_POINT('Origin',(14.0246804460566,4.25,16.0603661201892)); #218997=CARTESIAN_POINT('',(14.111977,4.24,16.0500183)); #218998=CARTESIAN_POINT('',(14.111977,4.25,16.0500183)); #218999=CARTESIAN_POINT('Origin',(14.0246804460566,4.24,16.0603661201892)); #219000=CARTESIAN_POINT('Origin',(14.111019,4.25,16.0456276)); #219001=CARTESIAN_POINT('',(14.111019,4.25,16.0456276)); #219002=CARTESIAN_POINT('',(15.3090877581172,4.25,21.5366093288932)); #219003=CARTESIAN_POINT('',(14.111019,4.24,16.0456276)); #219004=CARTESIAN_POINT('',(14.111019,4.25,16.0456276)); #219005=CARTESIAN_POINT('',(14.111019,4.24,16.0456276)); #219006=CARTESIAN_POINT('Origin',(14.109626,4.25,16.0414772)); #219007=CARTESIAN_POINT('',(16.0525794769072,4.25,21.830446410734)); #219008=CARTESIAN_POINT('',(14.109626,4.24,16.0414772)); #219009=CARTESIAN_POINT('Origin',(14.290354,4.24,15.4977238670687)); #219010=CARTESIAN_POINT('Origin',(13.020652,4.25,21.6731205)); #219011=CARTESIAN_POINT('',(13.020652,4.25,21.6731205)); #219012=CARTESIAN_POINT('',(13.016326,4.25,21.6721439)); #219013=CARTESIAN_POINT('',(19.4577896927584,4.25,23.126312518203)); #219014=CARTESIAN_POINT('',(13.020652,4.24,21.6731205)); #219015=CARTESIAN_POINT('',(13.020652,4.25,21.6731205)); #219016=CARTESIAN_POINT('',(13.016326,4.24,21.6721439)); #219017=CARTESIAN_POINT('',(13.020652,4.24,21.6731205)); #219018=CARTESIAN_POINT('',(13.016326,4.25,21.6721439)); #219019=CARTESIAN_POINT('Origin',(13.025187,4.25,21.6736698)); #219020=CARTESIAN_POINT('',(13.025187,4.25,21.6736698)); #219021=CARTESIAN_POINT('',(19.5187751784616,4.25,22.4602029833356)); #219022=CARTESIAN_POINT('',(13.025187,4.24,21.6736698)); #219023=CARTESIAN_POINT('',(13.025187,4.25,21.6736698)); #219024=CARTESIAN_POINT('',(13.025187,4.24,21.6736698)); #219025=CARTESIAN_POINT('Origin',(13.029878,4.25,21.6737862)); #219026=CARTESIAN_POINT('',(13.029878,4.25,21.6737862)); #219027=CARTESIAN_POINT('',(19.4522521476487,4.25,21.8331476049858)); #219028=CARTESIAN_POINT('',(13.029878,4.24,21.6737862)); #219029=CARTESIAN_POINT('',(13.029878,4.25,21.6737862)); #219030=CARTESIAN_POINT('',(13.029878,4.24,21.6737862)); #219031=CARTESIAN_POINT('Origin',(13.034377,4.25,21.6734676)); #219032=CARTESIAN_POINT('',(13.034377,4.25,21.6734676)); #219033=CARTESIAN_POINT('',(19.268141568415,4.25,21.2320189688605)); #219034=CARTESIAN_POINT('',(13.034377,4.24,21.6734676)); #219035=CARTESIAN_POINT('',(13.034377,4.25,21.6734676)); #219036=CARTESIAN_POINT('',(13.034377,4.24,21.6734676)); #219037=CARTESIAN_POINT('Origin',(13.038684,4.25,21.67272)); #219038=CARTESIAN_POINT('',(13.038684,4.25,21.67272)); #219039=CARTESIAN_POINT('',(18.952394978171,4.25,20.6462304882086)); #219040=CARTESIAN_POINT('',(13.038684,4.24,21.67272)); #219041=CARTESIAN_POINT('',(13.038684,4.25,21.67272)); #219042=CARTESIAN_POINT('',(13.038684,4.24,21.67272)); #219043=CARTESIAN_POINT('Origin',(13.042796,4.25,21.671545)); #219044=CARTESIAN_POINT('',(13.042796,4.25,21.671545)); #219045=CARTESIAN_POINT('',(18.5002331851719,4.25,20.1120876331285)); #219046=CARTESIAN_POINT('',(13.042796,4.24,21.671545)); #219047=CARTESIAN_POINT('',(13.042796,4.25,21.671545)); #219048=CARTESIAN_POINT('',(13.042796,4.24,21.671545)); #219049=CARTESIAN_POINT('Origin',(13.046711,4.25,21.6699505)); #219050=CARTESIAN_POINT('',(13.046711,4.25,21.6699505)); #219051=CARTESIAN_POINT('',(17.9344607011561,4.25,19.6792692998744)); #219052=CARTESIAN_POINT('',(13.046711,4.24,21.6699505)); #219053=CARTESIAN_POINT('',(13.046711,4.25,21.6699505)); #219054=CARTESIAN_POINT('',(13.046711,4.24,21.6699505)); #219055=CARTESIAN_POINT('Origin',(13.050426,4.25,21.6679382)); #219056=CARTESIAN_POINT('',(13.050426,4.25,21.6679382)); #219057=CARTESIAN_POINT('',(17.281389216602,4.25,19.3761569669545)); #219058=CARTESIAN_POINT('',(13.050426,4.24,21.6679382)); #219059=CARTESIAN_POINT('',(13.050426,4.25,21.6679382)); #219060=CARTESIAN_POINT('',(13.050426,4.24,21.6679382)); #219061=CARTESIAN_POINT('Origin',(13.053939,4.25,21.6655159)); #219062=CARTESIAN_POINT('',(13.053939,4.25,21.6655159)); #219063=CARTESIAN_POINT('',(16.5941786341908,4.25,19.2244335015075)); #219064=CARTESIAN_POINT('',(13.053939,4.24,21.6655159)); #219065=CARTESIAN_POINT('',(13.053939,4.25,21.6655159)); #219066=CARTESIAN_POINT('',(13.053939,4.24,21.6655159)); #219067=CARTESIAN_POINT('Origin',(13.057247,4.25,21.6626873)); #219068=CARTESIAN_POINT('',(13.057247,4.25,21.6626873)); #219069=CARTESIAN_POINT('',(15.913450852176,4.25,19.2204085163647)); #219070=CARTESIAN_POINT('',(13.057247,4.24,21.6626873)); #219071=CARTESIAN_POINT('',(13.057247,4.25,21.6626873)); #219072=CARTESIAN_POINT('',(13.057247,4.24,21.6626873)); #219073=CARTESIAN_POINT('Origin',(13.060342,4.25,21.6594505)); #219074=CARTESIAN_POINT('',(13.060342,4.25,21.6594505)); #219075=CARTESIAN_POINT('',(15.2683762531568,4.25,19.3502533204782)); #219076=CARTESIAN_POINT('',(13.060342,4.24,21.6594505)); #219077=CARTESIAN_POINT('',(13.060342,4.25,21.6594505)); #219078=CARTESIAN_POINT('',(13.060342,4.24,21.6594505)); #219079=CARTESIAN_POINT('Origin',(13.063107,4.25,21.6557083)); #219080=CARTESIAN_POINT('',(13.063107,4.25,21.6557083)); #219081=CARTESIAN_POINT('',(14.5128641657693,4.25,19.6935812599489)); #219082=CARTESIAN_POINT('',(13.063107,4.24,21.6557083)); #219083=CARTESIAN_POINT('',(13.063107,4.25,21.6557083)); #219084=CARTESIAN_POINT('',(13.063107,4.24,21.6557083)); #219085=CARTESIAN_POINT('Origin',(13.065499,4.25,21.6514244)); #219086=CARTESIAN_POINT('',(13.065499,4.25,21.6514244)); #219087=CARTESIAN_POINT('',(13.8661603769435,4.25,20.2174974465363)); #219088=CARTESIAN_POINT('',(13.065499,4.24,21.6514244)); #219089=CARTESIAN_POINT('',(13.065499,4.25,21.6514244)); #219090=CARTESIAN_POINT('',(13.065499,4.24,21.6514244)); #219091=CARTESIAN_POINT('Origin',(13.067513,4.25,21.6465931)); #219092=CARTESIAN_POINT('',(13.067513,4.25,21.6465931)); #219093=CARTESIAN_POINT('',(13.414550263627,4.25,20.8140999859177)); #219094=CARTESIAN_POINT('',(13.067513,4.24,21.6465931)); #219095=CARTESIAN_POINT('',(13.067513,4.25,21.6465931)); #219096=CARTESIAN_POINT('',(13.067513,4.24,21.6465931)); #219097=CARTESIAN_POINT('Origin',(12.1166798985006,4.25,21.4715928334859)); #219098=CARTESIAN_POINT('',(13.069562,4.25,21.3081169)); #219099=CARTESIAN_POINT('Origin',(12.1166798985006,4.25,21.4715928334859)); #219100=CARTESIAN_POINT('',(13.069562,4.24,21.3081169)); #219101=CARTESIAN_POINT('',(13.069562,4.25,21.3081169)); #219102=CARTESIAN_POINT('Origin',(12.1166798985006,4.24,21.4715928334859)); #219103=CARTESIAN_POINT('Origin',(13.068046,4.25,21.3025703)); #219104=CARTESIAN_POINT('',(13.068046,4.25,21.3025703)); #219105=CARTESIAN_POINT('',(13.9807220327811,4.25,24.6417846030521)); #219106=CARTESIAN_POINT('',(13.068046,4.24,21.3025703)); #219107=CARTESIAN_POINT('',(13.068046,4.25,21.3025703)); #219108=CARTESIAN_POINT('',(13.068046,4.24,21.3025703)); #219109=CARTESIAN_POINT('Origin',(13.066148,4.25,21.297575)); #219110=CARTESIAN_POINT('',(13.066148,4.25,21.297575)); #219111=CARTESIAN_POINT('',(14.4840127610185,4.25,25.0292187516941)); #219112=CARTESIAN_POINT('',(13.066148,4.24,21.297575)); #219113=CARTESIAN_POINT('',(13.066148,4.25,21.297575)); #219114=CARTESIAN_POINT('',(13.066148,4.24,21.297575)); #219115=CARTESIAN_POINT('Origin',(13.06387,4.25,21.2931252)); #219116=CARTESIAN_POINT('',(13.06387,4.25,21.2931252)); #219117=CARTESIAN_POINT('',(15.1380326761652,4.25,25.3447534161503)); #219118=CARTESIAN_POINT('',(13.06387,4.24,21.2931252)); #219119=CARTESIAN_POINT('',(13.06387,4.25,21.2931252)); #219120=CARTESIAN_POINT('',(13.06387,4.24,21.2931252)); #219121=CARTESIAN_POINT('Origin',(13.061217,4.25,21.2892189)); #219122=CARTESIAN_POINT('',(13.061217,4.25,21.2892189)); #219123=CARTESIAN_POINT('',(15.9341089330469,4.25,25.5192896719808)); #219124=CARTESIAN_POINT('',(13.061217,4.24,21.2892189)); #219125=CARTESIAN_POINT('',(13.061217,4.25,21.2892189)); #219126=CARTESIAN_POINT('',(13.061217,4.24,21.2892189)); #219127=CARTESIAN_POINT('Origin',(13.058207,4.25,21.2858429)); #219128=CARTESIAN_POINT('',(13.058207,4.25,21.2858429)); #219129=CARTESIAN_POINT('',(16.8021755084251,4.25,25.4850580775581)); #219130=CARTESIAN_POINT('',(13.058207,4.24,21.2858429)); #219131=CARTESIAN_POINT('',(13.058207,4.25,21.2858429)); #219132=CARTESIAN_POINT('',(13.058207,4.24,21.2858429)); #219133=CARTESIAN_POINT('Origin',(13.05496,4.25,21.2828922)); #219134=CARTESIAN_POINT('',(13.05496,4.25,21.2828922)); #219135=CARTESIAN_POINT('',(17.4702217703946,4.25,25.2952460361266)); #219136=CARTESIAN_POINT('',(13.05496,4.24,21.2828922)); #219137=CARTESIAN_POINT('',(13.05496,4.25,21.2828922)); #219138=CARTESIAN_POINT('',(13.05496,4.24,21.2828922)); #219139=CARTESIAN_POINT('Origin',(13.051509,4.25,21.2803459)); #219140=CARTESIAN_POINT('',(13.051509,4.25,21.2803459)); #219141=CARTESIAN_POINT('',(18.06733268469,4.25,24.9812418282299)); #219142=CARTESIAN_POINT('',(13.051509,4.24,21.2803459)); #219143=CARTESIAN_POINT('',(13.051509,4.25,21.2803459)); #219144=CARTESIAN_POINT('',(13.051509,4.24,21.2803459)); #219145=CARTESIAN_POINT('Origin',(13.047855,4.25,21.2782097)); #219146=CARTESIAN_POINT('',(13.047855,4.25,21.2782097)); #219147=CARTESIAN_POINT('',(18.6106058793765,4.25,24.5303028659898)); #219148=CARTESIAN_POINT('',(13.047855,4.24,21.2782097)); #219149=CARTESIAN_POINT('',(13.047855,4.25,21.2782097)); #219150=CARTESIAN_POINT('',(13.047855,4.24,21.2782097)); #219151=CARTESIAN_POINT('Origin',(13.044001,4.25,21.2764893)); #219152=CARTESIAN_POINT('',(13.044001,4.25,21.2764893)); #219153=CARTESIAN_POINT('',(19.0552349815064,4.25,23.9598642200269)); #219154=CARTESIAN_POINT('',(13.044001,4.24,21.2764893)); #219155=CARTESIAN_POINT('',(13.044001,4.25,21.2764893)); #219156=CARTESIAN_POINT('',(13.044001,4.24,21.2764893)); #219157=CARTESIAN_POINT('Origin',(13.039948,4.25,21.2751865)); #219158=CARTESIAN_POINT('',(13.039948,4.25,21.2751865)); #219159=CARTESIAN_POINT('',(19.3650873871319,4.25,23.3083450476316)); #219160=CARTESIAN_POINT('',(13.039948,4.24,21.2751865)); #219161=CARTESIAN_POINT('',(13.039948,4.25,21.2751865)); #219162=CARTESIAN_POINT('',(13.039948,4.24,21.2751865)); #219163=CARTESIAN_POINT('Origin',(13.0357,4.25,21.2743092)); #219164=CARTESIAN_POINT('',(13.0357,4.25,21.2743092)); #219165=CARTESIAN_POINT('',(19.5257598803326,4.25,22.6146410109735)); #219166=CARTESIAN_POINT('',(13.0357,4.24,21.2743092)); #219167=CARTESIAN_POINT('',(13.0357,4.25,21.2743092)); #219168=CARTESIAN_POINT('',(13.0357,4.24,21.2743092)); #219169=CARTESIAN_POINT('Origin',(13.031259,4.25,21.273859)); #219170=CARTESIAN_POINT('',(13.031259,4.25,21.273859)); #219171=CARTESIAN_POINT('',(19.5376322053608,4.25,21.933433243877)); #219172=CARTESIAN_POINT('',(13.031259,4.24,21.273859)); #219173=CARTESIAN_POINT('',(13.031259,4.25,21.273859)); #219174=CARTESIAN_POINT('',(13.031259,4.24,21.273859)); #219175=CARTESIAN_POINT('Origin',(13.0308223682792,4.25,21.3700378209919)); #219176=CARTESIAN_POINT('',(13.022001,4.25,21.2742634)); #219177=CARTESIAN_POINT('Origin',(13.0308223682792,4.25,21.3700378209919)); #219178=CARTESIAN_POINT('',(13.022001,4.24,21.2742634)); #219179=CARTESIAN_POINT('',(13.022001,4.25,21.2742634)); #219180=CARTESIAN_POINT('Origin',(13.0308223682792,4.24,21.3700378209919)); #219181=CARTESIAN_POINT('Origin',(13.017612,4.25,21.2751122)); #219182=CARTESIAN_POINT('',(13.017612,4.25,21.2751122)); #219183=CARTESIAN_POINT('',(18.8311913225374,4.25,20.1508091403121)); #219184=CARTESIAN_POINT('',(13.017612,4.24,21.2751122)); #219185=CARTESIAN_POINT('',(13.017612,4.25,21.2751122)); #219186=CARTESIAN_POINT('',(13.017612,4.24,21.2751122)); #219187=CARTESIAN_POINT('Origin',(13.013433,4.25,21.2763844)); #219188=CARTESIAN_POINT('',(13.013433,4.25,21.2763844)); #219189=CARTESIAN_POINT('',(18.3457552451527,4.25,19.6530820883752)); #219190=CARTESIAN_POINT('',(13.013433,4.24,21.2763844)); #219191=CARTESIAN_POINT('',(13.013433,4.25,21.2763844)); #219192=CARTESIAN_POINT('',(13.013433,4.24,21.2763844)); #219193=CARTESIAN_POINT('Origin',(13.00947,4.25,21.2780762)); #219194=CARTESIAN_POINT('',(13.00947,4.25,21.2780762)); #219195=CARTESIAN_POINT('',(17.7469262413472,4.25,19.2556617490498)); #219196=CARTESIAN_POINT('',(13.00947,4.24,21.2780762)); #219197=CARTESIAN_POINT('',(13.00947,4.25,21.2780762)); #219198=CARTESIAN_POINT('',(13.00947,4.24,21.2780762)); #219199=CARTESIAN_POINT('Origin',(13.005728,4.25,21.2801838)); #219200=CARTESIAN_POINT('',(13.005728,4.25,21.2801838)); #219201=CARTESIAN_POINT('',(17.0669772899831,4.25,18.9927735906025)); #219202=CARTESIAN_POINT('',(13.005728,4.24,21.2801838)); #219203=CARTESIAN_POINT('',(13.005728,4.25,21.2801838)); #219204=CARTESIAN_POINT('',(13.005728,4.24,21.2801838)); #219205=CARTESIAN_POINT('Origin',(13.002211,4.25,21.2827015)); #219206=CARTESIAN_POINT('',(13.002211,4.25,21.2827015)); #219207=CARTESIAN_POINT('',(16.3532670429896,4.25,18.8837951026621)); #219208=CARTESIAN_POINT('',(13.002211,4.24,21.2827015)); #219209=CARTESIAN_POINT('',(13.002211,4.25,21.2827015)); #219210=CARTESIAN_POINT('',(13.002211,4.24,21.2827015)); #219211=CARTESIAN_POINT('Origin',(12.998923,4.25,21.2856255)); #219212=CARTESIAN_POINT('',(12.998923,4.25,21.2856255)); #219213=CARTESIAN_POINT('',(15.6507178230698,4.25,18.9273992035729)); #219214=CARTESIAN_POINT('',(12.998923,4.24,21.2856255)); #219215=CARTESIAN_POINT('',(12.998923,4.25,21.2856255)); #219216=CARTESIAN_POINT('',(12.998923,4.24,21.2856255)); #219217=CARTESIAN_POINT('Origin',(12.995888,4.25,21.2889671)); #219218=CARTESIAN_POINT('',(12.995888,4.25,21.2889671)); #219219=CARTESIAN_POINT('',(14.9663236534745,4.25,19.1194752450885)); #219220=CARTESIAN_POINT('',(12.995888,4.24,21.2889671)); #219221=CARTESIAN_POINT('',(12.995888,4.25,21.2889671)); #219222=CARTESIAN_POINT('',(12.995888,4.24,21.2889671)); #219223=CARTESIAN_POINT('Origin',(12.9932,4.25,21.2928333)); #219224=CARTESIAN_POINT('',(12.9932,4.25,21.2928333)); #219225=CARTESIAN_POINT('',(14.2110720071467,4.25,19.541145519484)); #219226=CARTESIAN_POINT('',(12.9932,4.24,21.2928333)); #219227=CARTESIAN_POINT('',(12.9932,4.25,21.2928333)); #219228=CARTESIAN_POINT('',(12.9932,4.24,21.2928333)); #219229=CARTESIAN_POINT('Origin',(12.990882,4.25,21.2972469)); #219230=CARTESIAN_POINT('',(12.990882,4.25,21.2972469)); #219231=CARTESIAN_POINT('',(13.6134053396664,4.25,20.111928085525)); #219232=CARTESIAN_POINT('',(12.990882,4.24,21.2972469)); #219233=CARTESIAN_POINT('',(12.990882,4.25,21.2972469)); #219234=CARTESIAN_POINT('',(12.990882,4.24,21.2972469)); #219235=CARTESIAN_POINT('Origin',(12.98894,4.25,21.3022041)); #219236=CARTESIAN_POINT('',(12.98894,4.25,21.3022041)); #219237=CARTESIAN_POINT('',(13.2132623911587,4.25,20.7295928963691)); #219238=CARTESIAN_POINT('',(12.98894,4.24,21.3022041)); #219239=CARTESIAN_POINT('',(12.98894,4.25,21.3022041)); #219240=CARTESIAN_POINT('',(12.98894,4.24,21.3022041)); #219241=CARTESIAN_POINT('Origin',(12.98738,4.25,21.3077106)); #219242=CARTESIAN_POINT('',(12.98738,4.25,21.3077106)); #219243=CARTESIAN_POINT('',(12.9791905860988,4.25,21.3366176561838)); #219244=CARTESIAN_POINT('',(12.98738,4.24,21.3077106)); #219245=CARTESIAN_POINT('',(12.98738,4.25,21.3077106)); #219246=CARTESIAN_POINT('',(12.98738,4.24,21.3077106)); #219247=CARTESIAN_POINT('Origin',(13.0853029206982,4.25,21.3293187461834)); #219248=CARTESIAN_POINT('',(12.985423,4.25,21.320385)); #219249=CARTESIAN_POINT('Origin',(13.0853029206982,4.25,21.3293187461834)); #219250=CARTESIAN_POINT('',(12.985423,4.24,21.320385)); #219251=CARTESIAN_POINT('',(12.985423,4.25,21.320385)); #219252=CARTESIAN_POINT('Origin',(13.0853029206982,4.24,21.3293187461834)); #219253=CARTESIAN_POINT('Origin',(12.985044,4.25,21.3275528)); #219254=CARTESIAN_POINT('',(12.985044,4.25,21.3275528)); #219255=CARTESIAN_POINT('',(12.9060878136439,4.25,22.8208038621747)); #219256=CARTESIAN_POINT('',(12.985044,4.24,21.3275528)); #219257=CARTESIAN_POINT('',(12.985044,4.25,21.3275528)); #219258=CARTESIAN_POINT('',(12.985044,4.24,21.3275528)); #219259=CARTESIAN_POINT('Origin',(12.8245361769589,4.25,21.3786613979072)); #219260=CARTESIAN_POINT('',(12.984843,4.25,21.430397)); #219261=CARTESIAN_POINT('Origin',(12.8245361769589,4.25,21.3786613979072)); #219262=CARTESIAN_POINT('',(12.984843,4.24,21.430397)); #219263=CARTESIAN_POINT('',(12.984843,4.25,21.430397)); #219264=CARTESIAN_POINT('Origin',(12.8245361769589,4.24,21.3786613979072)); #219265=CARTESIAN_POINT('Origin',(12.674047,4.25,21.4303989)); #219266=CARTESIAN_POINT('',(12.674047,4.25,21.4303989)); #219267=CARTESIAN_POINT('',(19.2370125886714,4.25,21.4303587783941)); #219268=CARTESIAN_POINT('',(12.674047,4.24,21.4303989)); #219269=CARTESIAN_POINT('',(12.674047,4.25,21.4303989)); #219270=CARTESIAN_POINT('',(12.674047,4.24,21.4303989)); #219271=CARTESIAN_POINT('Origin',(12.673903,4.25,21.4299164)); #219272=CARTESIAN_POINT('',(12.673903,4.25,21.4299164)); #219273=CARTESIAN_POINT('',(13.6998309388919,4.25,24.8674874452015)); #219274=CARTESIAN_POINT('',(12.673903,4.24,21.4299164)); #219275=CARTESIAN_POINT('',(12.673903,4.25,21.4299164)); #219276=CARTESIAN_POINT('',(12.673903,4.24,21.4299164)); #219277=CARTESIAN_POINT('Origin',(12.673903,4.25,20.979557)); #219278=CARTESIAN_POINT('',(12.673903,4.25,20.979557)); #219279=CARTESIAN_POINT('',(12.673903,4.25,22.9897785)); #219280=CARTESIAN_POINT('',(12.673903,4.24,20.979557)); #219281=CARTESIAN_POINT('',(12.673903,4.25,20.979557)); #219282=CARTESIAN_POINT('',(12.673903,4.24,20.979557)); #219283=CARTESIAN_POINT('Origin',(13.294313,4.25,20.9794979)); #219284=CARTESIAN_POINT('',(13.294313,4.25,20.9794979)); #219285=CARTESIAN_POINT('',(19.5469649475774,4.25,20.9789022749616)); #219286=CARTESIAN_POINT('',(13.294313,4.24,20.9794979)); #219287=CARTESIAN_POINT('',(13.294313,4.25,20.9794979)); #219288=CARTESIAN_POINT('',(13.294313,4.24,20.9794979)); #219289=CARTESIAN_POINT('Origin',(13.296204,4.25,20.9800835)); #219290=CARTESIAN_POINT('',(13.296204,4.25,20.9800835)); #219291=CARTESIAN_POINT('',(19.568980741616,4.25,22.9226208135317)); #219292=CARTESIAN_POINT('',(13.296204,4.24,20.9800835)); #219293=CARTESIAN_POINT('',(13.296204,4.25,20.9800835)); #219294=CARTESIAN_POINT('',(13.296204,4.24,20.9800835)); #219295=CARTESIAN_POINT('Origin',(14.6184778433741,4.25,21.0869850782802)); #219296=CARTESIAN_POINT('',(13.297657,4.25,21.2105503)); #219297=CARTESIAN_POINT('Origin',(14.6184778433741,4.25,21.0869850782802)); #219298=CARTESIAN_POINT('',(13.297657,4.24,21.2105503)); #219299=CARTESIAN_POINT('',(13.297657,4.25,21.2105503)); #219300=CARTESIAN_POINT('Origin',(14.6184778433741,4.24,21.0869850782802)); #219301=CARTESIAN_POINT('Origin',(13.298894,4.25,21.2164173)); #219302=CARTESIAN_POINT('',(13.298894,4.25,21.2164173)); #219303=CARTESIAN_POINT('',(13.9468170761433,4.25,24.2894687856404)); #219304=CARTESIAN_POINT('',(13.298894,4.24,21.2164173)); #219305=CARTESIAN_POINT('',(13.298894,4.25,21.2164173)); #219306=CARTESIAN_POINT('',(13.298894,4.24,21.2164173)); #219307=CARTESIAN_POINT('Origin',(13.300514,4.25,21.2217331)); #219308=CARTESIAN_POINT('',(13.300514,4.25,21.2217331)); #219309=CARTESIAN_POINT('',(14.3584164170801,4.25,24.6930896856221)); #219310=CARTESIAN_POINT('',(13.300514,4.24,21.2217331)); #219311=CARTESIAN_POINT('',(13.300514,4.25,21.2217331)); #219312=CARTESIAN_POINT('',(13.300514,4.24,21.2217331)); #219313=CARTESIAN_POINT('Origin',(13.302522,4.25,21.2265053)); #219314=CARTESIAN_POINT('',(13.302522,4.25,21.2265053)); #219315=CARTESIAN_POINT('',(14.9169101271803,4.25,25.0632498321407)); #219316=CARTESIAN_POINT('',(13.302522,4.24,21.2265053)); #219317=CARTESIAN_POINT('',(13.302522,4.25,21.2265053)); #219318=CARTESIAN_POINT('',(13.302522,4.24,21.2265053)); #219319=CARTESIAN_POINT('Origin',(13.304919,4.25,21.2307396)); #219320=CARTESIAN_POINT('',(13.304919,4.25,21.2307396)); #219321=CARTESIAN_POINT('',(15.6290761060556,4.25,25.3363626430407)); #219322=CARTESIAN_POINT('',(13.304919,4.24,21.2307396)); #219323=CARTESIAN_POINT('',(13.304919,4.25,21.2307396)); #219324=CARTESIAN_POINT('',(13.304919,4.24,21.2307396)); #219325=CARTESIAN_POINT('Origin',(13.307712,4.25,21.2344456)); #219326=CARTESIAN_POINT('',(13.307712,4.25,21.2344456)); #219327=CARTESIAN_POINT('',(16.4752384859073,4.25,25.4374005433505)); #219328=CARTESIAN_POINT('',(13.307712,4.24,21.2344456)); #219329=CARTESIAN_POINT('',(13.307712,4.25,21.2344456)); #219330=CARTESIAN_POINT('',(13.307712,4.24,21.2344456)); #219331=CARTESIAN_POINT('Origin',(13.310731,4.25,21.2376366)); #219332=CARTESIAN_POINT('',(13.310731,4.25,21.2376366)); #219333=CARTESIAN_POINT('',(17.1993703807587,4.25,25.3478215168579)); #219334=CARTESIAN_POINT('',(13.310731,4.24,21.2376366)); #219335=CARTESIAN_POINT('',(13.310731,4.25,21.2376366)); #219336=CARTESIAN_POINT('',(13.310731,4.24,21.2376366)); #219337=CARTESIAN_POINT('Origin',(13.313998,4.25,21.2404194)); #219338=CARTESIAN_POINT('',(13.313998,4.25,21.2404194)); #219339=CARTESIAN_POINT('',(17.8599115462014,4.25,25.1125859798484)); #219340=CARTESIAN_POINT('',(13.313998,4.24,21.2404194)); #219341=CARTESIAN_POINT('',(13.313998,4.25,21.2404194)); #219342=CARTESIAN_POINT('',(13.313998,4.24,21.2404194)); #219343=CARTESIAN_POINT('Origin',(13.317533,4.25,21.242794)); #219344=CARTESIAN_POINT('',(13.317533,4.25,21.242794)); #219345=CARTESIAN_POINT('',(18.4877290707626,4.25,24.7158201922634)); #219346=CARTESIAN_POINT('',(13.317533,4.24,21.242794)); #219347=CARTESIAN_POINT('',(13.317533,4.25,21.242794)); #219348=CARTESIAN_POINT('',(13.317533,4.24,21.242794)); #219349=CARTESIAN_POINT('Origin',(13.321329,4.25,21.2447567)); #219350=CARTESIAN_POINT('',(13.321329,4.25,21.2447567)); #219351=CARTESIAN_POINT('',(19.0105511353338,4.25,24.186336332511)); #219352=CARTESIAN_POINT('',(13.321329,4.24,21.2447567)); #219353=CARTESIAN_POINT('',(13.321329,4.25,21.2447567)); #219354=CARTESIAN_POINT('',(13.321329,4.24,21.2447567)); #219355=CARTESIAN_POINT('Origin',(13.32538,4.25,21.2463036)); #219356=CARTESIAN_POINT('',(13.32538,4.25,21.2463036)); #219357=CARTESIAN_POINT('',(19.3944233198086,4.25,23.5638062194553)); #219358=CARTESIAN_POINT('',(13.32538,4.24,21.2463036)); #219359=CARTESIAN_POINT('',(13.32538,4.25,21.2463036)); #219360=CARTESIAN_POINT('',(13.32538,4.24,21.2463036)); #219361=CARTESIAN_POINT('Origin',(13.329685,4.25,21.2474289)); #219362=CARTESIAN_POINT('',(13.329685,4.25,21.2474289)); #219363=CARTESIAN_POINT('',(19.6251439581708,4.25,22.8930223879495)); #219364=CARTESIAN_POINT('',(13.329685,4.24,21.2474289)); #219365=CARTESIAN_POINT('',(13.329685,4.25,21.2474289)); #219366=CARTESIAN_POINT('',(13.329685,4.24,21.2474289)); #219367=CARTESIAN_POINT('Origin',(13.334237,4.25,21.248127)); #219368=CARTESIAN_POINT('',(13.334237,4.25,21.248127)); #219369=CARTESIAN_POINT('',(19.7049767577167,4.25,22.2251510388542)); #219370=CARTESIAN_POINT('',(13.334237,4.24,21.248127)); #219371=CARTESIAN_POINT('',(13.334237,4.25,21.248127)); #219372=CARTESIAN_POINT('',(13.334237,4.24,21.248127)); #219373=CARTESIAN_POINT('Origin',(13.33903,4.25,21.248394)); #219374=CARTESIAN_POINT('',(13.33903,4.25,21.248394)); #219375=CARTESIAN_POINT('',(19.6544111329701,4.25,21.6002001261226)); #219376=CARTESIAN_POINT('',(13.33903,4.24,21.248394)); #219377=CARTESIAN_POINT('',(13.33903,4.25,21.248394)); #219378=CARTESIAN_POINT('',(13.33903,4.24,21.248394)); #219379=CARTESIAN_POINT('Origin',(13.343847,4.25,21.2482281)); #219380=CARTESIAN_POINT('',(13.343847,4.25,21.2482281)); #219381=CARTESIAN_POINT('',(19.5000148702475,4.25,21.036206457967)); #219382=CARTESIAN_POINT('',(13.343847,4.24,21.2482281)); #219383=CARTESIAN_POINT('',(13.343847,4.25,21.2482281)); #219384=CARTESIAN_POINT('',(13.343847,4.24,21.2482281)); #219385=CARTESIAN_POINT('Origin',(13.348432,4.25,21.2476292)); #219386=CARTESIAN_POINT('',(13.348432,4.25,21.2476292)); #219387=CARTESIAN_POINT('',(19.2288145862282,4.25,20.4795242641455)); #219388=CARTESIAN_POINT('',(13.348432,4.24,21.2476292)); #219389=CARTESIAN_POINT('',(13.348432,4.25,21.2476292)); #219390=CARTESIAN_POINT('',(13.348432,4.24,21.2476292)); #219391=CARTESIAN_POINT('Origin',(13.352778,4.25,21.246603)); #219392=CARTESIAN_POINT('',(13.352778,4.25,21.246603)); #219393=CARTESIAN_POINT('',(18.8279826744324,4.25,19.9537693513792)); #219394=CARTESIAN_POINT('',(13.352778,4.24,21.246603)); #219395=CARTESIAN_POINT('',(13.352778,4.25,21.246603)); #219396=CARTESIAN_POINT('',(13.352778,4.24,21.246603)); #219397=CARTESIAN_POINT('Origin',(13.356884,4.25,21.2451534)); #219398=CARTESIAN_POINT('',(13.356884,4.25,21.2451534)); #219399=CARTESIAN_POINT('',(18.2995704562383,4.25,19.5001659945049)); #219400=CARTESIAN_POINT('',(13.356884,4.24,21.2451534)); #219401=CARTESIAN_POINT('',(13.356884,4.25,21.2451534)); #219402=CARTESIAN_POINT('',(13.356884,4.24,21.2451534)); #219403=CARTESIAN_POINT('Origin',(13.360744,4.25,21.243288)); #219404=CARTESIAN_POINT('',(13.360744,4.25,21.243288)); #219405=CARTESIAN_POINT('',(17.6669416388876,4.25,19.1622566332702)); #219406=CARTESIAN_POINT('',(13.360744,4.24,21.243288)); #219407=CARTESIAN_POINT('',(13.360744,4.25,21.243288)); #219408=CARTESIAN_POINT('',(13.360744,4.24,21.243288)); #219409=CARTESIAN_POINT('Origin',(13.364352,4.25,21.2410088)); #219410=CARTESIAN_POINT('',(13.364352,4.25,21.2410088)); #219411=CARTESIAN_POINT('',(16.9600190676429,4.25,18.9695996036087)); #219412=CARTESIAN_POINT('',(13.364352,4.24,21.2410088)); #219413=CARTESIAN_POINT('',(13.364352,4.25,21.2410088)); #219414=CARTESIAN_POINT('',(13.364352,4.24,21.2410088)); #219415=CARTESIAN_POINT('Origin',(13.367705,4.25,21.2383194)); #219416=CARTESIAN_POINT('',(13.367705,4.25,21.2383194)); #219417=CARTESIAN_POINT('',(16.2323193463024,4.25,18.9406475172257)); #219418=CARTESIAN_POINT('',(13.367705,4.24,21.2383194)); #219419=CARTESIAN_POINT('',(13.367705,4.25,21.2383194)); #219420=CARTESIAN_POINT('',(13.367705,4.24,21.2383194)); #219421=CARTESIAN_POINT('Origin',(13.370798,4.25,21.2352276)); #219422=CARTESIAN_POINT('',(13.370798,4.25,21.2352276)); #219423=CARTESIAN_POINT('',(15.5381112529297,4.25,19.0687552057531)); #219424=CARTESIAN_POINT('',(13.370798,4.24,21.2352276)); #219425=CARTESIAN_POINT('',(13.370798,4.25,21.2352276)); #219426=CARTESIAN_POINT('',(13.370798,4.24,21.2352276)); #219427=CARTESIAN_POINT('Origin',(13.373673,4.25,21.2316494)); #219428=CARTESIAN_POINT('',(13.373673,4.25,21.2316494)); #219429=CARTESIAN_POINT('',(14.8911794346459,4.25,19.3429740871492)); #219430=CARTESIAN_POINT('',(13.373673,4.24,21.2316494)); #219431=CARTESIAN_POINT('',(13.373673,4.25,21.2316494)); #219432=CARTESIAN_POINT('',(13.373673,4.24,21.2316494)); #219433=CARTESIAN_POINT('Origin',(13.376163,4.25,21.2275391)); #219434=CARTESIAN_POINT('',(13.376163,4.25,21.2275391)); #219435=CARTESIAN_POINT('',(14.2079400432595,4.25,19.854505694011)); #219436=CARTESIAN_POINT('',(13.376163,4.24,21.2275391)); #219437=CARTESIAN_POINT('',(13.376163,4.25,21.2275391)); #219438=CARTESIAN_POINT('',(13.376163,4.24,21.2275391)); #219439=CARTESIAN_POINT('Origin',(13.378261,4.25,21.2228928)); #219440=CARTESIAN_POINT('',(13.378261,4.25,21.2228928)); #219441=CARTESIAN_POINT('',(13.7217925961153,4.25,20.4620963962672)); #219442=CARTESIAN_POINT('',(13.378261,4.24,21.2228928)); #219443=CARTESIAN_POINT('',(13.378261,4.25,21.2228928)); #219444=CARTESIAN_POINT('',(13.378261,4.24,21.2228928)); #219445=CARTESIAN_POINT('Origin',(13.379971,4.25,21.2177029)); #219446=CARTESIAN_POINT('',(13.379971,4.25,21.2177029)); #219447=CARTESIAN_POINT('',(13.4260296442108,4.25,21.0779135680763)); #219448=CARTESIAN_POINT('',(13.379971,4.24,21.2177029)); #219449=CARTESIAN_POINT('',(13.379971,4.25,21.2177029)); #219450=CARTESIAN_POINT('',(13.379971,4.24,21.2177029)); #219451=CARTESIAN_POINT('Origin',(13.381297,4.25,21.2119637)); #219452=CARTESIAN_POINT('',(13.381297,4.25,21.2119637)); #219453=CARTESIAN_POINT('',(13.2805362777086,4.25,21.6480767749429)); #219454=CARTESIAN_POINT('',(13.381297,4.24,21.2119637)); #219455=CARTESIAN_POINT('',(13.381297,4.25,21.2119637)); #219456=CARTESIAN_POINT('',(13.381297,4.24,21.2119637)); #219457=CARTESIAN_POINT('Origin',(11.9803684643399,4.25,21.0449889057353)); #219458=CARTESIAN_POINT('',(13.38298,4.25,20.8927975)); #219459=CARTESIAN_POINT('Origin',(11.9803684643399,4.25,21.0449889057353)); #219460=CARTESIAN_POINT('',(13.38298,4.24,20.8927975)); #219461=CARTESIAN_POINT('',(13.38298,4.25,20.8927975)); #219462=CARTESIAN_POINT('Origin',(11.9803684643399,4.24,21.0449889057353)); #219463=CARTESIAN_POINT('Origin',(12.503203,4.25,20.8927975)); #219464=CARTESIAN_POINT('',(12.503203,4.25,20.8927975)); #219465=CARTESIAN_POINT('',(19.1516015,4.25,20.8927975)); #219466=CARTESIAN_POINT('',(12.503203,4.24,20.8927975)); #219467=CARTESIAN_POINT('',(12.503203,4.25,20.8927975)); #219468=CARTESIAN_POINT('',(12.503203,4.24,20.8927975)); #219469=CARTESIAN_POINT('Origin',(12.4831129590249,4.25,21.1424000198583)); #219470=CARTESIAN_POINT('',(12.447531,4.25,20.8945312)); #219471=CARTESIAN_POINT('Origin',(12.4831129590249,4.25,21.1424000198583)); #219472=CARTESIAN_POINT('',(12.447531,4.24,20.8945312)); #219473=CARTESIAN_POINT('',(12.447531,4.25,20.8945312)); #219474=CARTESIAN_POINT('Origin',(12.4831129590249,4.24,21.1424000198583)); #219475=CARTESIAN_POINT('Origin',(12.441807,4.25,20.8958626)); #219476=CARTESIAN_POINT('',(12.441807,4.25,20.8958626)); #219477=CARTESIAN_POINT('',(18.3252820877333,4.25,19.5273687614589)); #219478=CARTESIAN_POINT('',(12.441807,4.24,20.8958626)); #219479=CARTESIAN_POINT('',(12.441807,4.25,20.8958626)); #219480=CARTESIAN_POINT('',(12.441807,4.24,20.8958626)); #219481=CARTESIAN_POINT('Origin',(12.436638,4.25,20.8975849)); #219482=CARTESIAN_POINT('',(12.436638,4.25,20.8975849)); #219483=CARTESIAN_POINT('',(17.8354772232419,4.25,19.0987029510372)); #219484=CARTESIAN_POINT('',(12.436638,4.24,20.8975849)); #219485=CARTESIAN_POINT('',(12.436638,4.25,20.8975849)); #219486=CARTESIAN_POINT('',(12.436638,4.24,20.8975849)); #219487=CARTESIAN_POINT('Origin',(12.432019,4.25,20.899704)); #219488=CARTESIAN_POINT('',(12.432019,4.25,20.899704)); #219489=CARTESIAN_POINT('',(17.1767769541094,4.25,18.7229088970449)); #219490=CARTESIAN_POINT('',(12.432019,4.24,20.899704)); #219491=CARTESIAN_POINT('',(12.432019,4.25,20.899704)); #219492=CARTESIAN_POINT('',(12.432019,4.24,20.899704)); #219493=CARTESIAN_POINT('Origin',(12.427948,4.25,20.9022274)); #219494=CARTESIAN_POINT('',(12.427948,4.25,20.9022274)); #219495=CARTESIAN_POINT('',(16.3406663984268,4.25,18.476937887205)); #219496=CARTESIAN_POINT('',(12.427948,4.24,20.9022274)); #219497=CARTESIAN_POINT('',(12.427948,4.25,20.9022274)); #219498=CARTESIAN_POINT('',(12.427948,4.24,20.9022274)); #219499=CARTESIAN_POINT('Origin',(12.42442,4.25,20.905138)); #219500=CARTESIAN_POINT('',(12.42442,4.25,20.905138)); #219501=CARTESIAN_POINT('',(15.3987060096682,4.25,18.4513520420231)); #219502=CARTESIAN_POINT('',(12.42442,4.24,20.905138)); #219503=CARTESIAN_POINT('',(12.42442,4.25,20.905138)); #219504=CARTESIAN_POINT('',(12.42442,4.24,20.905138)); #219505=CARTESIAN_POINT('Origin',(12.421348,4.25,20.9082088)); #219506=CARTESIAN_POINT('',(12.421348,4.25,20.9082088)); #219507=CARTESIAN_POINT('',(14.744370042237,4.25,18.5860941882482)); #219508=CARTESIAN_POINT('',(12.421348,4.24,20.9082088)); #219509=CARTESIAN_POINT('',(12.421348,4.25,20.9082088)); #219510=CARTESIAN_POINT('',(12.421348,4.24,20.9082088)); #219511=CARTESIAN_POINT('Origin',(12.418678,4.25,20.9115524)); #219512=CARTESIAN_POINT('',(12.418678,4.25,20.9115524)); #219513=CARTESIAN_POINT('',(14.027074486454,4.25,18.8973821782368)); #219514=CARTESIAN_POINT('',(12.418678,4.24,20.9115524)); #219515=CARTESIAN_POINT('',(12.418678,4.25,20.9115524)); #219516=CARTESIAN_POINT('',(12.418678,4.24,20.9115524)); #219517=CARTESIAN_POINT('Origin',(12.416418,4.25,20.9151611)); #219518=CARTESIAN_POINT('',(12.416418,4.25,20.9151611)); #219519=CARTESIAN_POINT('',(13.3828495456372,4.25,19.3719922863979)); #219520=CARTESIAN_POINT('',(12.416418,4.24,20.9151611)); #219521=CARTESIAN_POINT('',(12.416418,4.25,20.9151611)); #219522=CARTESIAN_POINT('',(12.416418,4.24,20.9151611)); #219523=CARTESIAN_POINT('Origin',(12.414571,4.25,20.9190273)); #219524=CARTESIAN_POINT('',(12.414571,4.25,20.9190273)); #219525=CARTESIAN_POINT('',(12.8645288250006,4.25,19.9771610612249)); #219526=CARTESIAN_POINT('',(12.414571,4.24,20.9190273)); #219527=CARTESIAN_POINT('',(12.414571,4.25,20.9190273)); #219528=CARTESIAN_POINT('',(12.414571,4.24,20.9190273)); #219529=CARTESIAN_POINT('Origin',(12.413141,4.25,20.923151)); #219530=CARTESIAN_POINT('',(12.413141,4.25,20.923151)); #219531=CARTESIAN_POINT('',(12.5006498845253,4.25,20.6708010789393)); #219532=CARTESIAN_POINT('',(12.413141,4.24,20.923151)); #219533=CARTESIAN_POINT('',(12.413141,4.25,20.923151)); #219534=CARTESIAN_POINT('',(12.413141,4.24,20.923151)); #219535=CARTESIAN_POINT('Origin',(12.412135,4.25,20.9275246)); #219536=CARTESIAN_POINT('',(12.412135,4.25,20.9275246)); #219537=CARTESIAN_POINT('',(12.3036653935067,4.25,21.3990978315701)); #219538=CARTESIAN_POINT('',(12.412135,4.24,20.9275246)); #219539=CARTESIAN_POINT('',(12.412135,4.25,20.9275246)); #219540=CARTESIAN_POINT('',(12.412135,4.24,20.9275246)); #219541=CARTESIAN_POINT('Origin',(12.411556,4.25,20.9321442)); #219542=CARTESIAN_POINT('',(12.411556,4.25,20.9321442)); #219543=CARTESIAN_POINT('',(12.264108324932,4.25,22.1085678264952)); #219544=CARTESIAN_POINT('',(12.411556,4.24,20.9321442)); #219545=CARTESIAN_POINT('',(12.411556,4.25,20.9321442)); #219546=CARTESIAN_POINT('',(12.411556,4.24,20.9321442)); #219547=CARTESIAN_POINT('Origin',(12.411409,4.25,20.9369946)); #219548=CARTESIAN_POINT('',(12.411409,4.25,20.9369946)); #219549=CARTESIAN_POINT('',(12.3560402692774,4.25,22.7639367190353)); #219550=CARTESIAN_POINT('',(12.411409,4.24,20.9369946)); #219551=CARTESIAN_POINT('',(12.411409,4.25,20.9369946)); #219552=CARTESIAN_POINT('',(12.411409,4.24,20.9369946)); #219553=CARTESIAN_POINT('Origin',(12.411697,4.25,20.9417458)); #219554=CARTESIAN_POINT('',(12.411697,4.25,20.9417458)); #219555=CARTESIAN_POINT('',(12.5587513130105,4.25,23.3677334804563)); #219556=CARTESIAN_POINT('',(12.411697,4.24,20.9417458)); #219557=CARTESIAN_POINT('',(12.411697,4.25,20.9417458)); #219558=CARTESIAN_POINT('',(12.411697,4.24,20.9417458)); #219559=CARTESIAN_POINT('Origin',(12.412416,4.25,20.9462624)); #219560=CARTESIAN_POINT('',(12.412416,4.25,20.9462624)); #219561=CARTESIAN_POINT('',(12.8925388376075,4.25,23.9622885590226)); #219562=CARTESIAN_POINT('',(12.412416,4.24,20.9462624)); #219563=CARTESIAN_POINT('',(12.412416,4.25,20.9462624)); #219564=CARTESIAN_POINT('',(12.412416,4.24,20.9462624)); #219565=CARTESIAN_POINT('Origin',(12.413561,4.25,20.9505424)); #219566=CARTESIAN_POINT('',(12.413561,4.25,20.9505424)); #219567=CARTESIAN_POINT('',(13.3660781548438,4.25,24.5110432058858)); #219568=CARTESIAN_POINT('',(12.413561,4.24,20.9505424)); #219569=CARTESIAN_POINT('',(12.413561,4.25,20.9505424)); #219570=CARTESIAN_POINT('',(12.413561,4.24,20.9505424)); #219571=CARTESIAN_POINT('Origin',(12.415127,4.25,20.9545803)); #219572=CARTESIAN_POINT('',(12.415127,4.25,20.9545803)); #219573=CARTESIAN_POINT('',(13.9720152968496,4.25,24.9689859537946)); #219574=CARTESIAN_POINT('',(12.415127,4.24,20.9545803)); #219575=CARTESIAN_POINT('',(12.415127,4.25,20.9545803)); #219576=CARTESIAN_POINT('',(12.415127,4.24,20.9545803)); #219577=CARTESIAN_POINT('Origin',(12.417109,4.25,20.9583702)); #219578=CARTESIAN_POINT('',(12.417109,4.25,20.9583702)); #219579=CARTESIAN_POINT('',(14.6840256577658,4.25,25.2930762753105)); #219580=CARTESIAN_POINT('',(12.417109,4.24,20.9583702)); #219581=CARTESIAN_POINT('',(12.417109,4.25,20.9583702)); #219582=CARTESIAN_POINT('',(12.417109,4.24,20.9583702)); #219583=CARTESIAN_POINT('Origin',(12.419502,4.25,20.9619083)); #219584=CARTESIAN_POINT('',(12.419502,4.25,20.9619083)); #219585=CARTESIAN_POINT('',(15.4563441030308,4.25,25.4519421674221)); #219586=CARTESIAN_POINT('',(12.419502,4.24,20.9619083)); #219587=CARTESIAN_POINT('',(12.419502,4.25,20.9619083)); #219588=CARTESIAN_POINT('',(12.419502,4.24,20.9619083)); #219589=CARTESIAN_POINT('Origin',(12.422304,4.25,20.965189)); #219590=CARTESIAN_POINT('',(12.422304,4.25,20.965189)); #219591=CARTESIAN_POINT('',(16.2398501101634,4.25,25.4349332982204)); #219592=CARTESIAN_POINT('',(12.422304,4.24,20.965189)); #219593=CARTESIAN_POINT('',(12.422304,4.25,20.965189)); #219594=CARTESIAN_POINT('',(12.422304,4.24,20.965189)); #219595=CARTESIAN_POINT('Origin',(12.42551,4.25,20.9682293)); #219596=CARTESIAN_POINT('',(12.42551,4.25,20.9682293)); #219597=CARTESIAN_POINT('',(16.9529300641491,4.25,25.2616526378113)); #219598=CARTESIAN_POINT('',(12.42551,4.24,20.9682293)); #219599=CARTESIAN_POINT('',(12.42551,4.25,20.9682293)); #219600=CARTESIAN_POINT('',(12.42551,4.24,20.9682293)); #219601=CARTESIAN_POINT('Origin',(12.429214,4.25,20.9709969)); #219602=CARTESIAN_POINT('',(12.429214,4.25,20.9709969)); #219603=CARTESIAN_POINT('',(17.6853474531343,4.25,24.8983389477568)); #219604=CARTESIAN_POINT('',(12.429214,4.24,20.9709969)); #219605=CARTESIAN_POINT('',(12.429214,4.25,20.9709969)); #219606=CARTESIAN_POINT('',(12.429214,4.24,20.9709969)); #219607=CARTESIAN_POINT('Origin',(12.433462,4.25,20.9733639)); #219608=CARTESIAN_POINT('',(12.433462,4.25,20.9733639)); #219609=CARTESIAN_POINT('',(18.3893886400771,4.25,24.292026413435)); #219610=CARTESIAN_POINT('',(12.433462,4.24,20.9733639)); #219611=CARTESIAN_POINT('',(12.433462,4.25,20.9733639)); #219612=CARTESIAN_POINT('',(12.433462,4.24,20.9733639)); #219613=CARTESIAN_POINT('Origin',(12.43826,4.25,20.9753361)); #219614=CARTESIAN_POINT('',(12.43826,4.25,20.9753361)); #219615=CARTESIAN_POINT('',(18.8610954567306,4.25,23.6154186526806)); #219616=CARTESIAN_POINT('',(12.43826,4.24,20.9753361)); #219617=CARTESIAN_POINT('',(12.43826,4.25,20.9753361)); #219618=CARTESIAN_POINT('',(12.43826,4.24,20.9753361)); #219619=CARTESIAN_POINT('Origin',(12.4659478519421,4.25,20.8875724088623)); #219620=CARTESIAN_POINT('',(12.449514,4.25,20.9781208)); #219621=CARTESIAN_POINT('Origin',(12.4659478519421,4.25,20.8875724088623)); #219622=CARTESIAN_POINT('',(12.449514,4.24,20.9781208)); #219623=CARTESIAN_POINT('',(12.449514,4.25,20.9781208)); #219624=CARTESIAN_POINT('Origin',(12.4659478519421,4.24,20.8875724088623)); #219625=CARTESIAN_POINT('Origin',(12.455979,4.25,20.9789448)); #219626=CARTESIAN_POINT('',(12.455979,4.25,20.9789448)); #219627=CARTESIAN_POINT('',(19.273492453791,4.25,21.8478745890061)); #219628=CARTESIAN_POINT('',(12.455979,4.24,20.9789448)); #219629=CARTESIAN_POINT('',(12.455979,4.25,20.9789448)); #219630=CARTESIAN_POINT('',(12.455979,4.24,20.9789448)); #219631=CARTESIAN_POINT('Origin',(12.463007,4.25,20.9793968)); #219632=CARTESIAN_POINT('',(12.463007,4.25,20.9793968)); #219633=CARTESIAN_POINT('',(19.2327924331429,4.25,21.4147899439643)); #219634=CARTESIAN_POINT('',(12.463007,4.24,20.9793968)); #219635=CARTESIAN_POINT('',(12.463007,4.25,20.9793968)); #219636=CARTESIAN_POINT('',(12.463007,4.24,20.9793968)); #219637=CARTESIAN_POINT('Origin',(12.586888,4.25,20.9794979)); #219638=CARTESIAN_POINT('',(12.586888,4.25,20.9794979)); #219639=CARTESIAN_POINT('',(19.1950801762537,4.25,20.9848908838233)); #219640=CARTESIAN_POINT('',(12.586888,4.24,20.9794979)); #219641=CARTESIAN_POINT('',(12.586888,4.25,20.9794979)); #219642=CARTESIAN_POINT('',(12.586888,4.24,20.9794979)); #219643=CARTESIAN_POINT('Origin',(12.587104,4.25,20.9838715)); #219644=CARTESIAN_POINT('',(12.587104,4.25,20.9838715)); #219645=CARTESIAN_POINT('',(12.7021100039064,4.25,23.3125301050287)); #219646=CARTESIAN_POINT('',(12.587104,4.24,20.9838715)); #219647=CARTESIAN_POINT('',(12.587104,4.25,20.9838715)); #219648=CARTESIAN_POINT('',(12.587104,4.24,20.9838715)); #219649=CARTESIAN_POINT('Origin',(12.587049,4.25,22.0145988)); #219650=CARTESIAN_POINT('',(12.587049,4.25,22.0145988)); #219651=CARTESIAN_POINT('',(12.5869693677404,4.25,23.5069468717102)); #219652=CARTESIAN_POINT('',(12.587049,4.24,22.0145988)); #219653=CARTESIAN_POINT('',(12.587049,4.25,22.0145988)); #219654=CARTESIAN_POINT('',(12.587049,4.24,22.0145988)); #219655=CARTESIAN_POINT('Origin',(12.5266466695617,4.25,23.4208932156393)); #219656=CARTESIAN_POINT('',(12.458366,4.25,22.0149593)); #219657=CARTESIAN_POINT('Origin',(12.5266466695617,4.25,23.4208932156393)); #219658=CARTESIAN_POINT('',(12.458366,4.24,22.0149593)); #219659=CARTESIAN_POINT('',(12.458366,4.25,22.0149593)); #219660=CARTESIAN_POINT('Origin',(12.5266466695617,4.24,23.4208932156393)); #219661=CARTESIAN_POINT('Origin',(12.4719763065093,4.25,22.1581259887523)); #219662=CARTESIAN_POINT('',(12.445606,4.25,22.0167522)); #219663=CARTESIAN_POINT('Origin',(12.4719763065093,4.25,22.1581259887523)); #219664=CARTESIAN_POINT('',(12.445606,4.24,22.0167522)); #219665=CARTESIAN_POINT('',(12.445606,4.25,22.0167522)); #219666=CARTESIAN_POINT('Origin',(12.4719763065093,4.24,22.1581259887523)); #219667=CARTESIAN_POINT('Origin',(12.440063,4.25,22.0182228)); #219668=CARTESIAN_POINT('',(12.440063,4.25,22.0182228)); #219669=CARTESIAN_POINT('',(18.3112272189826,4.25,20.4605583402425)); #219670=CARTESIAN_POINT('',(12.440063,4.24,22.0182228)); #219671=CARTESIAN_POINT('',(12.440063,4.25,22.0182228)); #219672=CARTESIAN_POINT('',(12.440063,4.24,22.0182228)); #219673=CARTESIAN_POINT('Origin',(12.435074,4.25,22.0200748)); #219674=CARTESIAN_POINT('',(12.435074,4.25,22.0200748)); #219675=CARTESIAN_POINT('',(17.8220965216995,4.25,20.0203222022468)); #219676=CARTESIAN_POINT('',(12.435074,4.24,22.0200748)); #219677=CARTESIAN_POINT('',(12.435074,4.25,22.0200748)); #219678=CARTESIAN_POINT('',(12.435074,4.24,22.0200748)); #219679=CARTESIAN_POINT('Origin',(12.430634,4.25,22.0223179)); #219680=CARTESIAN_POINT('',(12.430634,4.25,22.0223179)); #219681=CARTESIAN_POINT('',(17.1568734627401,4.25,19.6346089498047)); #219682=CARTESIAN_POINT('',(12.430634,4.24,22.0223179)); #219683=CARTESIAN_POINT('',(12.430634,4.25,22.0223179)); #219684=CARTESIAN_POINT('',(12.430634,4.24,22.0223179)); #219685=CARTESIAN_POINT('Origin',(12.426741,4.25,22.0249557)); #219686=CARTESIAN_POINT('',(12.426741,4.25,22.0249557)); #219687=CARTESIAN_POINT('',(16.3186538892108,4.25,19.3878922992386)); #219688=CARTESIAN_POINT('',(12.426741,4.24,22.0249557)); #219689=CARTESIAN_POINT('',(12.426741,4.25,22.0249557)); #219690=CARTESIAN_POINT('',(12.426741,4.24,22.0249557)); #219691=CARTESIAN_POINT('Origin',(12.423376,4.25,22.0279236)); #219692=CARTESIAN_POINT('',(12.423376,4.25,22.0279236)); #219693=CARTESIAN_POINT('',(15.4480762257064,4.25,19.3601649670524)); #219694=CARTESIAN_POINT('',(12.423376,4.24,22.0279236)); #219695=CARTESIAN_POINT('',(12.423376,4.25,22.0279236)); #219696=CARTESIAN_POINT('',(12.423376,4.24,22.0279236)); #219697=CARTESIAN_POINT('Origin',(12.420434,4.25,22.0311146)); #219698=CARTESIAN_POINT('',(12.420434,4.25,22.0311146)); #219699=CARTESIAN_POINT('',(14.7543885826463,4.25,19.499622732826)); #219700=CARTESIAN_POINT('',(12.420434,4.24,22.0311146)); #219701=CARTESIAN_POINT('',(12.420434,4.25,22.0311146)); #219702=CARTESIAN_POINT('',(12.420434,4.24,22.0311146)); #219703=CARTESIAN_POINT('Origin',(12.417897,4.25,22.0345631)); #219704=CARTESIAN_POINT('',(12.417897,4.25,22.0345631)); #219705=CARTESIAN_POINT('',(14.0598190560779,4.25,19.8027269902699)); #219706=CARTESIAN_POINT('',(12.417897,4.24,22.0345631)); #219707=CARTESIAN_POINT('',(12.417897,4.25,22.0345631)); #219708=CARTESIAN_POINT('',(12.417897,4.24,22.0345631)); #219709=CARTESIAN_POINT('Origin',(12.415771,4.25,22.0382633)); #219710=CARTESIAN_POINT('',(12.415771,4.25,22.0382633)); #219711=CARTESIAN_POINT('',(13.4370069473358,4.25,20.2608516102847)); #219712=CARTESIAN_POINT('',(12.415771,4.24,22.0382633)); #219713=CARTESIAN_POINT('',(12.415771,4.25,22.0382633)); #219714=CARTESIAN_POINT('',(12.415771,4.24,22.0382633)); #219715=CARTESIAN_POINT('Origin',(12.41406,4.25,22.0422173)); #219716=CARTESIAN_POINT('',(12.41406,4.25,22.0422173)); #219717=CARTESIAN_POINT('',(12.9306456573187,4.25,20.8484243782941)); #219718=CARTESIAN_POINT('',(12.41406,4.24,22.0422173)); #219719=CARTESIAN_POINT('',(12.41406,4.25,22.0422173)); #219720=CARTESIAN_POINT('',(12.41406,4.24,22.0422173)); #219721=CARTESIAN_POINT('Origin',(12.412766,4.25,22.0464134)); #219722=CARTESIAN_POINT('',(12.412766,4.25,22.0464134)); #219723=CARTESIAN_POINT('',(12.5781773116186,4.25,21.5100282340949)); #219724=CARTESIAN_POINT('',(12.412766,4.24,22.0464134)); #219725=CARTESIAN_POINT('',(12.412766,4.25,22.0464134)); #219726=CARTESIAN_POINT('',(12.412766,4.24,22.0464134)); #219727=CARTESIAN_POINT('Origin',(12.4119,4.25,22.050848)); #219728=CARTESIAN_POINT('',(12.4119,4.25,22.050848)); #219729=CARTESIAN_POINT('',(12.3804209354518,4.25,22.2120455284593)); #219730=CARTESIAN_POINT('',(12.4119,4.24,22.050848)); #219731=CARTESIAN_POINT('',(12.4119,4.25,22.050848)); #219732=CARTESIAN_POINT('',(12.4119,4.24,22.050848)); #219733=CARTESIAN_POINT('Origin',(12.41146,4.25,22.0555191)); #219734=CARTESIAN_POINT('',(12.41146,4.25,22.0555191)); #219735=CARTESIAN_POINT('',(12.3328755619846,4.25,22.8897822100326)); #219736=CARTESIAN_POINT('',(12.41146,4.24,22.0555191)); #219737=CARTESIAN_POINT('',(12.41146,4.25,22.0555191)); #219738=CARTESIAN_POINT('',(12.41146,4.24,22.0555191)); #219739=CARTESIAN_POINT('Origin',(12.411456,4.25,22.060257)); #219740=CARTESIAN_POINT('',(12.411456,4.25,22.060257)); #219741=CARTESIAN_POINT('',(12.4102198246668,4.25,23.5244757777597)); #219742=CARTESIAN_POINT('',(12.411456,4.24,22.060257)); #219743=CARTESIAN_POINT('',(12.411456,4.25,22.060257)); #219744=CARTESIAN_POINT('',(12.411456,4.24,22.060257)); #219745=CARTESIAN_POINT('Origin',(12.411884,4.25,22.0647316)); #219746=CARTESIAN_POINT('',(12.411884,4.25,22.0647316)); #219747=CARTESIAN_POINT('',(12.6116814293177,4.25,24.1535483692229)); #219748=CARTESIAN_POINT('',(12.411884,4.24,22.0647316)); #219749=CARTESIAN_POINT('',(12.411884,4.25,22.0647316)); #219750=CARTESIAN_POINT('',(12.411884,4.24,22.0647316)); #219751=CARTESIAN_POINT('Origin',(12.412742,4.25,22.069006)); #219752=CARTESIAN_POINT('',(12.412742,4.25,22.069006)); #219753=CARTESIAN_POINT('',(12.954774336527,4.25,24.7693125492392)); #219754=CARTESIAN_POINT('',(12.412742,4.24,22.069006)); #219755=CARTESIAN_POINT('',(12.412742,4.25,22.069006)); #219756=CARTESIAN_POINT('',(12.412742,4.24,22.069006)); #219757=CARTESIAN_POINT('Origin',(12.414024,4.25,22.0730858)); #219758=CARTESIAN_POINT('',(12.414024,4.25,22.0730858)); #219759=CARTESIAN_POINT('',(13.4340433275291,4.25,25.3191660281216)); #219760=CARTESIAN_POINT('',(12.414024,4.24,22.0730858)); #219761=CARTESIAN_POINT('',(12.414024,4.25,22.0730858)); #219762=CARTESIAN_POINT('',(12.414024,4.24,22.0730858)); #219763=CARTESIAN_POINT('Origin',(12.415727,4.25,22.0769787)); #219764=CARTESIAN_POINT('',(12.415727,4.25,22.0769787)); #219765=CARTESIAN_POINT('',(14.0273599312496,4.25,25.7610220576418)); #219766=CARTESIAN_POINT('',(12.415727,4.24,22.0769787)); #219767=CARTESIAN_POINT('',(12.415727,4.25,22.0769787)); #219768=CARTESIAN_POINT('',(12.415727,4.24,22.0769787)); #219769=CARTESIAN_POINT('Origin',(12.417844,4.25,22.0806885)); #219770=CARTESIAN_POINT('',(12.417844,4.25,22.0806885)); #219771=CARTESIAN_POINT('',(14.6898379728074,4.25,26.0620976829544)); #219772=CARTESIAN_POINT('',(12.417844,4.24,22.0806885)); #219773=CARTESIAN_POINT('',(12.417844,4.25,22.0806885)); #219774=CARTESIAN_POINT('',(12.417844,4.24,22.0806885)); #219775=CARTESIAN_POINT('Origin',(12.42037,4.25,22.0842247)); #219776=CARTESIAN_POINT('',(12.42037,4.25,22.0842247)); #219777=CARTESIAN_POINT('',(15.3701660182974,4.25,26.2137055709074)); #219778=CARTESIAN_POINT('',(12.42037,4.24,22.0842247)); #219779=CARTESIAN_POINT('',(12.42037,4.25,22.0842247)); #219780=CARTESIAN_POINT('',(12.42037,4.24,22.0842247)); #219781=CARTESIAN_POINT('Origin',(12.423303,4.25,22.0875893)); #219782=CARTESIAN_POINT('',(12.423303,4.25,22.0875893)); #219783=CARTESIAN_POINT('',(16.0325355568337,4.25,26.2279315641384)); #219784=CARTESIAN_POINT('',(12.423303,4.24,22.0875893)); #219785=CARTESIAN_POINT('',(12.423303,4.25,22.0875893)); #219786=CARTESIAN_POINT('',(12.423303,4.24,22.0875893)); #219787=CARTESIAN_POINT('Origin',(12.426657,4.25,22.0906792)); #219788=CARTESIAN_POINT('',(12.426657,4.25,22.0906792)); #219789=CARTESIAN_POINT('',(16.7684739224082,4.25,26.0906136390437)); #219790=CARTESIAN_POINT('',(12.426657,4.24,22.0906792)); #219791=CARTESIAN_POINT('',(12.426657,4.25,22.0906792)); #219792=CARTESIAN_POINT('',(12.426657,4.24,22.0906792)); #219793=CARTESIAN_POINT('Origin',(12.430537,4.25,22.0933495)); #219794=CARTESIAN_POINT('',(12.430537,4.25,22.0933495)); #219795=CARTESIAN_POINT('',(17.645443126461,4.25,25.6823607962608)); #219796=CARTESIAN_POINT('',(12.430537,4.24,22.0933495)); #219797=CARTESIAN_POINT('',(12.430537,4.25,22.0933495)); #219798=CARTESIAN_POINT('',(12.430537,4.24,22.0933495)); #219799=CARTESIAN_POINT('Origin',(12.434964,4.25,22.095644)); #219800=CARTESIAN_POINT('',(12.434964,4.25,22.095644)); #219801=CARTESIAN_POINT('',(18.2957491956195,4.25,25.1332703002807)); #219802=CARTESIAN_POINT('',(12.434964,4.24,22.095644)); #219803=CARTESIAN_POINT('',(12.434964,4.25,22.095644)); #219804=CARTESIAN_POINT('',(12.434964,4.24,22.095644)); #219805=CARTESIAN_POINT('Origin',(12.439941,4.25,22.0975609)); #219806=CARTESIAN_POINT('',(12.439941,4.25,22.0975609)); #219807=CARTESIAN_POINT('',(18.7437862335424,4.25,24.5254975944305)); #219808=CARTESIAN_POINT('',(12.439941,4.24,22.0975609)); #219809=CARTESIAN_POINT('',(12.439941,4.25,22.0975609)); #219810=CARTESIAN_POINT('',(12.439941,4.24,22.0975609)); #219811=CARTESIAN_POINT('Origin',(12.445471,4.25,22.0990944)); #219812=CARTESIAN_POINT('',(12.445471,4.25,22.0990944)); #219813=CARTESIAN_POINT('',(19.0194274651026,4.25,23.9220893799693)); #219814=CARTESIAN_POINT('',(12.445471,4.24,22.0990944)); #219815=CARTESIAN_POINT('',(12.445471,4.25,22.0990944)); #219816=CARTESIAN_POINT('',(12.445471,4.24,22.0990944)); #219817=CARTESIAN_POINT('Origin',(12.451558,4.25,22.1002426)); #219818=CARTESIAN_POINT('',(12.451558,4.25,22.1002426)); #219819=CARTESIAN_POINT('',(19.1605533650571,4.25,23.3657705247847)); #219820=CARTESIAN_POINT('',(12.451558,4.24,22.1002426)); #219821=CARTESIAN_POINT('',(12.451558,4.25,22.1002426)); #219822=CARTESIAN_POINT('',(12.451558,4.24,22.1002426)); #219823=CARTESIAN_POINT('Origin',(12.458205,4.25,22.1009998)); #219824=CARTESIAN_POINT('',(12.458205,4.25,22.1009998)); #219825=CARTESIAN_POINT('',(19.2066501541648,4.25,22.8697560314924)); #219826=CARTESIAN_POINT('',(12.458205,4.24,22.1009998)); #219827=CARTESIAN_POINT('',(12.458205,4.25,22.1009998)); #219828=CARTESIAN_POINT('',(12.458205,4.24,22.1009998)); #219829=CARTESIAN_POINT('Origin',(12.9463941177526,4.25,12.5205871952488)); #219830=CARTESIAN_POINT('',(13.426733,4.25,22.1013966)); #219831=CARTESIAN_POINT('Origin',(12.9463941177526,4.25,12.5205871952488)); #219832=CARTESIAN_POINT('',(13.426733,4.24,22.1013966)); #219833=CARTESIAN_POINT('',(13.426733,4.25,22.1013966)); #219834=CARTESIAN_POINT('Origin',(12.9463941177526,4.24,12.5205871952488)); #219835=CARTESIAN_POINT('Origin',(13.427403,4.25,22.1011353)); #219836=CARTESIAN_POINT('',(13.427403,4.25,22.1011353)); #219837=CARTESIAN_POINT('',(18.3063370191787,4.25,20.1983510325164)); #219838=CARTESIAN_POINT('',(13.427403,4.24,22.1011353)); #219839=CARTESIAN_POINT('',(13.427403,4.25,22.1011353)); #219840=CARTESIAN_POINT('',(13.427403,4.24,22.1011353)); #219841=CARTESIAN_POINT('Origin',(10.5679828293522,4.25,21.9279448909839)); #219842=CARTESIAN_POINT('',(13.426882,4.25,21.746357)); #219843=CARTESIAN_POINT('Origin',(10.5679828293522,4.25,21.9279448909839)); #219844=CARTESIAN_POINT('',(13.426882,4.24,21.746357)); #219845=CARTESIAN_POINT('',(13.426882,4.25,21.746357)); #219846=CARTESIAN_POINT('Origin',(10.5679828293522,4.24,21.9279448909839)); #219847=CARTESIAN_POINT('Origin',(13.3230959639675,4.25,21.7567396045439)); #219848=CARTESIAN_POINT('',(13.424876,4.25,21.7339325)); #219849=CARTESIAN_POINT('Origin',(13.3230959639675,4.25,21.7567396045439)); #219850=CARTESIAN_POINT('',(13.424876,4.24,21.7339325)); #219851=CARTESIAN_POINT('',(13.424876,4.25,21.7339325)); #219852=CARTESIAN_POINT('Origin',(13.3230959639675,4.24,21.7567396045439)); #219853=CARTESIAN_POINT('Origin',(13.3412317604022,4.25,21.7571863717916)); #219854=CARTESIAN_POINT('',(13.421338,4.25,21.7237186)); #219855=CARTESIAN_POINT('Origin',(13.3412317604022,4.25,21.7571863717916)); #219856=CARTESIAN_POINT('',(13.421338,4.24,21.7237186)); #219857=CARTESIAN_POINT('',(13.421338,4.25,21.7237186)); #219858=CARTESIAN_POINT('Origin',(13.3412317604022,4.24,21.7571863717916)); #219859=CARTESIAN_POINT('Origin',(13.418987,4.25,21.7194195)); #219860=CARTESIAN_POINT('',(13.418987,4.25,21.7194195)); #219861=CARTESIAN_POINT('',(15.5346066525131,4.25,25.5880968492633)); #219862=CARTESIAN_POINT('',(13.418987,4.24,21.7194195)); #219863=CARTESIAN_POINT('',(13.418987,4.25,21.7194195)); #219864=CARTESIAN_POINT('',(13.418987,4.24,21.7194195)); #219865=CARTESIAN_POINT('Origin',(13.416241,4.25,21.7156563)); #219866=CARTESIAN_POINT('',(13.416241,4.25,21.7156563)); #219867=CARTESIAN_POINT('',(16.3495742024381,4.25,25.7355832874089)); #219868=CARTESIAN_POINT('',(13.416241,4.24,21.7156563)); #219869=CARTESIAN_POINT('',(13.416241,4.25,21.7156563)); #219870=CARTESIAN_POINT('',(13.416241,4.24,21.7156563)); #219871=CARTESIAN_POINT('Origin',(13.413239,4.25,21.71241)); #219872=CARTESIAN_POINT('',(13.413239,4.25,21.71241)); #219873=CARTESIAN_POINT('',(17.0875346029237,4.25,25.6857164009878)); #219874=CARTESIAN_POINT('',(13.413239,4.24,21.71241)); #219875=CARTESIAN_POINT('',(13.413239,4.25,21.71241)); #219876=CARTESIAN_POINT('',(13.413239,4.24,21.71241)); #219877=CARTESIAN_POINT('Origin',(13.410002,4.25,21.7095795)); #219878=CARTESIAN_POINT('',(13.410002,4.25,21.7095795)); #219879=CARTESIAN_POINT('',(17.7359424584879,4.25,25.4922716432624)); #219880=CARTESIAN_POINT('',(13.410002,4.24,21.7095795)); #219881=CARTESIAN_POINT('',(13.410002,4.25,21.7095795)); #219882=CARTESIAN_POINT('',(13.410002,4.24,21.7095795)); #219883=CARTESIAN_POINT('Origin',(13.406499,4.25,21.7071571)); #219884=CARTESIAN_POINT('',(13.406499,4.25,21.7071571)); #219885=CARTESIAN_POINT('',(18.3688019390523,4.25,25.1386965346437)); #219886=CARTESIAN_POINT('',(13.406499,4.24,21.7071571)); #219887=CARTESIAN_POINT('',(13.406499,4.25,21.7071571)); #219888=CARTESIAN_POINT('',(13.406499,4.24,21.7071571)); #219889=CARTESIAN_POINT('Origin',(13.402733,4.25,21.7051468)); #219890=CARTESIAN_POINT('',(13.402733,4.25,21.7051468)); #219891=CARTESIAN_POINT('',(18.9111661071566,4.25,24.6455618492098)); #219892=CARTESIAN_POINT('',(13.402733,4.24,21.7051468)); #219893=CARTESIAN_POINT('',(13.402733,4.25,21.7051468)); #219894=CARTESIAN_POINT('',(13.402733,4.24,21.7051468)); #219895=CARTESIAN_POINT('Origin',(13.39871,4.25,21.7035503)); #219896=CARTESIAN_POINT('',(13.39871,4.25,21.7035503)); #219897=CARTESIAN_POINT('',(19.3208038339374,4.25,24.053692682771)); #219898=CARTESIAN_POINT('',(13.39871,4.24,21.7035503)); #219899=CARTESIAN_POINT('',(13.39871,4.25,21.7035503)); #219900=CARTESIAN_POINT('',(13.39871,4.24,21.7035503)); #219901=CARTESIAN_POINT('Origin',(13.3773172587624,4.25,21.7803539721256)); #219902=CARTESIAN_POINT('',(13.389912,4.25,21.7016277)); #219903=CARTESIAN_POINT('Origin',(13.3773172587624,4.25,21.7803539721256)); #219904=CARTESIAN_POINT('',(13.389912,4.24,21.7016277)); #219905=CARTESIAN_POINT('',(13.389912,4.25,21.7016277)); #219906=CARTESIAN_POINT('Origin',(13.3773172587624,4.24,21.7803539721256)); #219907=CARTESIAN_POINT('Origin',(13.385146,4.25,21.7013092)); #219908=CARTESIAN_POINT('',(13.385146,4.25,21.7013092)); #219909=CARTESIAN_POINT('',(19.67470601375,4.25,22.1216249499739)); #219910=CARTESIAN_POINT('',(13.385146,4.24,21.7013092)); #219911=CARTESIAN_POINT('',(13.385146,4.25,21.7013092)); #219912=CARTESIAN_POINT('',(13.385146,4.24,21.7013092)); #219913=CARTESIAN_POINT('Origin',(13.380307,4.25,21.7014275)); #219914=CARTESIAN_POINT('',(13.380307,4.25,21.7014275)); #219915=CARTESIAN_POINT('',(19.5461479585505,4.25,21.5506899539376)); #219916=CARTESIAN_POINT('',(13.380307,4.24,21.7014275)); #219917=CARTESIAN_POINT('',(13.380307,4.25,21.7014275)); #219918=CARTESIAN_POINT('',(13.380307,4.24,21.7014275)); #219919=CARTESIAN_POINT('Origin',(13.375696,4.25,21.7019749)); #219920=CARTESIAN_POINT('',(13.375696,4.25,21.7019749)); #219921=CARTESIAN_POINT('',(19.3084699956338,4.25,20.9976590281268)); #219922=CARTESIAN_POINT('',(13.375696,4.24,21.7019749)); #219923=CARTESIAN_POINT('',(13.375696,4.25,21.7019749)); #219924=CARTESIAN_POINT('',(13.375696,4.24,21.7019749)); #219925=CARTESIAN_POINT('Origin',(13.371322,4.25,21.7029514)); #219926=CARTESIAN_POINT('',(13.371322,4.25,21.7029514)); #219927=CARTESIAN_POINT('',(18.9400739655473,4.25,20.4597217945236)); #219928=CARTESIAN_POINT('',(13.371322,4.24,21.7029514)); #219929=CARTESIAN_POINT('',(13.371322,4.25,21.7029514)); #219930=CARTESIAN_POINT('',(13.371322,4.24,21.7029514)); #219931=CARTESIAN_POINT('Origin',(13.367188,4.25,21.7043533)); #219932=CARTESIAN_POINT('',(13.367188,4.25,21.7043533)); #219933=CARTESIAN_POINT('',(18.4412788337888,4.25,19.9836547175398)); #219934=CARTESIAN_POINT('',(13.367188,4.24,21.7043533)); #219935=CARTESIAN_POINT('',(13.367188,4.25,21.7043533)); #219936=CARTESIAN_POINT('',(13.367188,4.24,21.7043533)); #219937=CARTESIAN_POINT('Origin',(13.363299,4.25,21.7061691)); #219938=CARTESIAN_POINT('',(13.363299,4.25,21.7061691)); #219939=CARTESIAN_POINT('',(17.8373437662871,4.25,19.6172078023343)); #219940=CARTESIAN_POINT('',(13.363299,4.24,21.7061691)); #219941=CARTESIAN_POINT('',(13.363299,4.25,21.7061691)); #219942=CARTESIAN_POINT('',(13.363299,4.24,21.7061691)); #219943=CARTESIAN_POINT('Origin',(13.359661,4.25,21.7084026)); #219944=CARTESIAN_POINT('',(13.359661,4.25,21.7084026)); #219945=CARTESIAN_POINT('',(17.1432960565937,4.25,19.3854919625881)); #219946=CARTESIAN_POINT('',(13.359661,4.24,21.7084026)); #219947=CARTESIAN_POINT('',(13.359661,4.25,21.7084026)); #219948=CARTESIAN_POINT('',(13.359661,4.24,21.7084026)); #219949=CARTESIAN_POINT('Origin',(13.356278,4.25,21.7110424)); #219950=CARTESIAN_POINT('',(13.356278,4.25,21.7110424)); #219951=CARTESIAN_POINT('',(16.4258822991217,4.25,19.3157892433865)); #219952=CARTESIAN_POINT('',(13.356278,4.24,21.7110424)); #219953=CARTESIAN_POINT('',(13.356278,4.25,21.7110424)); #219954=CARTESIAN_POINT('',(13.356278,4.24,21.7110424)); #219955=CARTESIAN_POINT('Origin',(13.353155,4.25,21.7140865)); #219956=CARTESIAN_POINT('',(13.353155,4.25,21.7140865)); #219957=CARTESIAN_POINT('',(15.7232642907934,4.25,19.4038560510398)); #219958=CARTESIAN_POINT('',(13.353155,4.24,21.7140865)); #219959=CARTESIAN_POINT('',(13.353155,4.25,21.7140865)); #219960=CARTESIAN_POINT('',(13.353155,4.24,21.7140865)); #219961=CARTESIAN_POINT('Origin',(13.350248,4.25,21.7176037)); #219962=CARTESIAN_POINT('',(13.350248,4.25,21.7176037)); #219963=CARTESIAN_POINT('',(15.070781004886,4.25,19.6359185659137)); #219964=CARTESIAN_POINT('',(13.350248,4.24,21.7176037)); #219965=CARTESIAN_POINT('',(13.350248,4.25,21.7176037)); #219966=CARTESIAN_POINT('',(13.350248,4.24,21.7176037)); #219967=CARTESIAN_POINT('Origin',(13.347713,4.25,21.721653)); #219968=CARTESIAN_POINT('',(13.347713,4.25,21.721653)); #219969=CARTESIAN_POINT('',(14.36355083143,4.25,20.0989973270978)); #219970=CARTESIAN_POINT('',(13.347713,4.24,21.721653)); #219971=CARTESIAN_POINT('',(13.347713,4.25,21.721653)); #219972=CARTESIAN_POINT('',(13.347713,4.24,21.721653)); #219973=CARTESIAN_POINT('Origin',(13.34557,4.25,21.7262363)); #219974=CARTESIAN_POINT('',(13.34557,4.25,21.7262363)); #219975=CARTESIAN_POINT('',(13.8346770472404,4.25,20.6801680173971)); #219976=CARTESIAN_POINT('',(13.34557,4.24,21.7262363)); #219977=CARTESIAN_POINT('',(13.34557,4.25,21.7262363)); #219978=CARTESIAN_POINT('',(13.34557,4.24,21.7262363)); #219979=CARTESIAN_POINT('Origin',(13.343815,4.25,21.7313614)); #219980=CARTESIAN_POINT('',(13.343815,4.25,21.7313614)); #219981=CARTESIAN_POINT('',(13.4965652902397,4.25,21.285287033899)); #219982=CARTESIAN_POINT('',(13.343815,4.24,21.7313614)); #219983=CARTESIAN_POINT('',(13.343815,4.25,21.7313614)); #219984=CARTESIAN_POINT('',(13.343815,4.24,21.7313614)); #219985=CARTESIAN_POINT('Origin',(13.342445,4.25,21.7370358)); #219986=CARTESIAN_POINT('',(13.342445,4.25,21.7370358)); #219987=CARTESIAN_POINT('',(13.3133265536675,4.25,21.8576414291017)); #219988=CARTESIAN_POINT('',(13.342445,4.24,21.7370358)); #219989=CARTESIAN_POINT('',(13.342445,4.25,21.7370358)); #219990=CARTESIAN_POINT('',(13.342445,4.24,21.7370358)); #219991=CARTESIAN_POINT('Origin',(13.4475680178186,4.25,21.7567896480039)); #219992=CARTESIAN_POINT('',(13.340607,4.25,21.7574253)); #219993=CARTESIAN_POINT('Origin',(13.4475680178186,4.25,21.7567896480039)); #219994=CARTESIAN_POINT('',(13.340607,4.24,21.7574253)); #219995=CARTESIAN_POINT('',(13.340607,4.25,21.7574253)); #219996=CARTESIAN_POINT('Origin',(13.4475680178186,4.24,21.7567896480039)); #219997=CARTESIAN_POINT('Origin',(13.340604,4.25,22.0144939)); #219998=CARTESIAN_POINT('',(13.340604,4.25,22.0144939)); #219999=CARTESIAN_POINT('',(13.3405865803655,4.25,23.5071742489923)); #220000=CARTESIAN_POINT('',(13.340604,4.24,22.0144939)); #220001=CARTESIAN_POINT('',(13.340604,4.25,22.0144939)); #220002=CARTESIAN_POINT('',(13.340604,4.24,22.0144939)); #220003=CARTESIAN_POINT('Origin',(12.673972,4.25,22.0145969)); #220004=CARTESIAN_POINT('',(12.673972,4.25,22.0145969)); #220005=CARTESIAN_POINT('',(19.236755208946,4.25,22.0135828972661)); #220006=CARTESIAN_POINT('',(12.673972,4.24,22.0145969)); #220007=CARTESIAN_POINT('',(12.673972,4.25,22.0145969)); #220008=CARTESIAN_POINT('',(12.673972,4.24,22.0145969)); #220009=CARTESIAN_POINT('Origin',(12.673903,4.25,21.5171986000004)); #220010=CARTESIAN_POINT('',(12.6739030026772,4.25,21.5171986)); #220011=CARTESIAN_POINT('',(12.6741446965764,4.25,23.2595097045381)); #220012=CARTESIAN_POINT('',(12.6739030026772,4.24,21.5171986)); #220013=CARTESIAN_POINT('',(12.6739030026772,4.25,21.5171986)); #220014=CARTESIAN_POINT('',(12.673903,4.24,21.5171986)); #220015=CARTESIAN_POINT('Origin',(12.6587899520685,4.25,1348.8490739229)); #220016=CARTESIAN_POINT('',(12.985003,4.25,21.5172386)); #220017=CARTESIAN_POINT('Origin',(12.6587899520685,4.25,1348.8490739229)); #220018=CARTESIAN_POINT('',(12.985003,4.24,21.5172386)); #220019=CARTESIAN_POINT('',(12.985003,4.25,21.5172386)); #220020=CARTESIAN_POINT('Origin',(12.6587899520685,4.24,1348.8490739229)); #220021=CARTESIAN_POINT('Origin',(14.0816821375263,4.25,21.565716402109)); #220022=CARTESIAN_POINT('',(12.985928,4.25,21.6318836)); #220023=CARTESIAN_POINT('Origin',(14.0816821375263,4.25,21.565716402109)); #220024=CARTESIAN_POINT('',(12.985928,4.24,21.6318836)); #220025=CARTESIAN_POINT('',(12.985928,4.25,21.6318836)); #220026=CARTESIAN_POINT('Origin',(14.0816821375263,4.24,21.565716402109)); #220027=CARTESIAN_POINT('Origin',(12.986982,4.25,21.6381111)); #220028=CARTESIAN_POINT('',(12.986982,4.25,21.6381111)); #220029=CARTESIAN_POINT('',(13.4419640880712,4.25,24.3263472987254)); #220030=CARTESIAN_POINT('',(12.986982,4.24,21.6381111)); #220031=CARTESIAN_POINT('',(12.986982,4.25,21.6381111)); #220032=CARTESIAN_POINT('',(12.986982,4.24,21.6381111)); #220033=CARTESIAN_POINT('Origin',(12.988427,4.25,21.6437836)); #220034=CARTESIAN_POINT('',(12.988427,4.25,21.6437836)); #220035=CARTESIAN_POINT('',(13.7802050252076,4.25,24.7519917993059)); #220036=CARTESIAN_POINT('',(12.988427,4.24,21.6437836)); #220037=CARTESIAN_POINT('',(12.988427,4.25,21.6437836)); #220038=CARTESIAN_POINT('',(12.988427,4.24,21.6437836)); #220039=CARTESIAN_POINT('Origin',(12.990255,4.25,21.6489086)); #220040=CARTESIAN_POINT('',(12.990255,4.25,21.6489086)); #220041=CARTESIAN_POINT('',(14.2433204057418,4.25,25.162015932839)); #220042=CARTESIAN_POINT('',(12.990255,4.24,21.6489086)); #220043=CARTESIAN_POINT('',(12.990255,4.25,21.6489086)); #220044=CARTESIAN_POINT('',(12.990255,4.24,21.6489086)); #220045=CARTESIAN_POINT('Origin',(12.99246,4.25,21.6534843)); #220046=CARTESIAN_POINT('',(12.99246,4.25,21.6534843)); #220047=CARTESIAN_POINT('',(14.8536711036381,4.25,25.5157716682129)); #220048=CARTESIAN_POINT('',(12.99246,4.24,21.6534843)); #220049=CARTESIAN_POINT('',(12.99246,4.25,21.6534843)); #220050=CARTESIAN_POINT('',(12.99246,4.24,21.6534843)); #220051=CARTESIAN_POINT('Origin',(12.995036,4.25,21.6575184)); #220052=CARTESIAN_POINT('',(12.995036,4.25,21.6575184)); #220053=CARTESIAN_POINT('',(15.6075802355103,4.25,25.7488478644676)); #220054=CARTESIAN_POINT('',(12.995036,4.24,21.6575184)); #220055=CARTESIAN_POINT('',(12.995036,4.25,21.6575184)); #220056=CARTESIAN_POINT('',(12.995036,4.24,21.6575184)); #220057=CARTESIAN_POINT('Origin',(13.0531863550347,4.25,21.608904113125)); #220058=CARTESIAN_POINT('',(13.001192,4.25,21.664053)); #220059=CARTESIAN_POINT('Origin',(13.0531863550347,4.25,21.608904113125)); #220060=CARTESIAN_POINT('',(13.001192,4.24,21.664053)); #220061=CARTESIAN_POINT('',(13.001192,4.25,21.664053)); #220062=CARTESIAN_POINT('Origin',(13.0531863550347,4.24,21.608904113125)); #220063=CARTESIAN_POINT('Origin',(13.004641,4.25,21.6666946)); #220064=CARTESIAN_POINT('',(13.004641,4.25,21.6666946)); #220065=CARTESIAN_POINT('',(17.8414852350641,4.25,25.3712488856913)); #220066=CARTESIAN_POINT('',(13.004641,4.24,21.6666946)); #220067=CARTESIAN_POINT('',(13.004641,4.25,21.6666946)); #220068=CARTESIAN_POINT('',(13.004641,4.24,21.6666946)); #220069=CARTESIAN_POINT('Origin',(13.008315,4.25,21.6689262)); #220070=CARTESIAN_POINT('',(13.008315,4.25,21.6689262)); #220071=CARTESIAN_POINT('',(18.4194370380747,4.25,24.9556599888307)); #220072=CARTESIAN_POINT('',(13.008315,4.24,21.6689262)); #220073=CARTESIAN_POINT('',(13.008315,4.25,21.6689262)); #220074=CARTESIAN_POINT('',(13.008315,4.24,21.6689262)); #220075=CARTESIAN_POINT('Origin',(13.012212,4.25,21.6707439)); #220076=CARTESIAN_POINT('',(13.012212,4.25,21.6707439)); #220077=CARTESIAN_POINT('',(18.9013037502854,4.25,24.417626649421)); #220078=CARTESIAN_POINT('',(13.012212,4.24,21.6707439)); #220079=CARTESIAN_POINT('',(13.012212,4.25,21.6707439)); #220080=CARTESIAN_POINT('',(13.012212,4.24,21.6707439)); #220081=CARTESIAN_POINT('Origin',(13.016326,4.25,21.6721439)); #220082=CARTESIAN_POINT('',(19.2522487216372,4.25,23.7942371937032)); #220083=CARTESIAN_POINT('',(13.016326,4.24,21.6721439)); #220084=CARTESIAN_POINT('Origin',(12.9220260642997,4.24,21.5027101818054)); #220085=CARTESIAN_POINT('Origin',(1.69963357511437,4.25,20.5558891179007)); #220086=CARTESIAN_POINT('',(1.2515403,4.25,20.5040112)); #220087=CARTESIAN_POINT('',(1.3624035,4.25,20.2562981)); #220088=CARTESIAN_POINT('Origin',(1.69963357511437,4.25,20.5558891179007)); #220089=CARTESIAN_POINT('',(1.2515403,4.24,20.5040112)); #220090=CARTESIAN_POINT('',(1.2515403,4.25,20.5040112)); #220091=CARTESIAN_POINT('',(1.3624035,4.24,20.2562981)); #220092=CARTESIAN_POINT('Origin',(1.69963357511437,4.24,20.5558891179007)); #220093=CARTESIAN_POINT('',(1.3624035,4.25,20.2562981)); #220094=CARTESIAN_POINT('Origin',(1.63157709442646,4.25,20.5870627903482)); #220095=CARTESIAN_POINT('',(1.5193866,4.25,20.9595394)); #220096=CARTESIAN_POINT('Origin',(1.63157709442646,4.25,20.5870627903482)); #220097=CARTESIAN_POINT('',(1.5193866,4.24,20.9595394)); #220098=CARTESIAN_POINT('',(1.5193866,4.25,20.9595394)); #220099=CARTESIAN_POINT('Origin',(1.63157709442646,4.24,20.5870627903482)); #220100=CARTESIAN_POINT('Origin',(1.57613273041432,4.25,20.6643075226641)); #220101=CARTESIAN_POINT('',(1.7552339,4.25,20.9057713)); #220102=CARTESIAN_POINT('Origin',(1.57613273041432,4.25,20.6643075226641)); #220103=CARTESIAN_POINT('',(1.7552339,4.24,20.9057713)); #220104=CARTESIAN_POINT('',(1.7552339,4.25,20.9057713)); #220105=CARTESIAN_POINT('Origin',(1.57613273041432,4.24,20.6643075226641)); #220106=CARTESIAN_POINT('Origin',(1.57296806289403,4.25,20.6666819445024)); #220107=CARTESIAN_POINT('',(1.8723541,4.25,20.6941147)); #220108=CARTESIAN_POINT('Origin',(1.57296806289403,4.25,20.6666819445024)); #220109=CARTESIAN_POINT('',(1.8723541,4.24,20.6941147)); #220110=CARTESIAN_POINT('',(1.8723541,4.25,20.6941147)); #220111=CARTESIAN_POINT('Origin',(1.57296806289403,4.24,20.6666819445024)); #220112=CARTESIAN_POINT('Origin',(1.8841491,4.25,20.6484547)); #220113=CARTESIAN_POINT('',(1.8841491,4.25,20.6484547)); #220114=CARTESIAN_POINT('',(2.10529869935483,4.25,19.7923554455243)); #220115=CARTESIAN_POINT('',(1.8841491,4.24,20.6484547)); #220116=CARTESIAN_POINT('',(1.8841491,4.25,20.6484547)); #220117=CARTESIAN_POINT('',(1.8841491,4.24,20.6484547)); #220118=CARTESIAN_POINT('Origin',(17.8241496554142,4.25,23.6552975394535)); #220119=CARTESIAN_POINT('',(1.9229976,4.25,20.4493523)); #220120=CARTESIAN_POINT('Origin',(17.8241496554142,4.25,23.6552975394535)); #220121=CARTESIAN_POINT('',(1.9229976,4.24,20.4493523)); #220122=CARTESIAN_POINT('',(1.9229976,4.25,20.4493523)); #220123=CARTESIAN_POINT('Origin',(17.8241496554142,4.24,23.6552975394535)); #220124=CARTESIAN_POINT('Origin',(2.17431365666353,4.25,20.4585657280823)); #220125=CARTESIAN_POINT('',(2.1290734,4.25,20.2111835)); #220126=CARTESIAN_POINT('Origin',(2.17431365666353,4.25,20.4585657280823)); #220127=CARTESIAN_POINT('',(2.1290734,4.24,20.2111835)); #220128=CARTESIAN_POINT('',(2.1290734,4.25,20.2111835)); #220129=CARTESIAN_POINT('Origin',(2.17431365666353,4.24,20.4585657280823)); #220130=CARTESIAN_POINT('Origin',(2.12884662895773,4.25,20.5202004881853)); #220131=CARTESIAN_POINT('',(2.3677759,4.25,20.3242302)); #220132=CARTESIAN_POINT('Origin',(2.12884662895773,4.25,20.5202004881853)); #220133=CARTESIAN_POINT('',(2.3677759,4.24,20.3242302)); #220134=CARTESIAN_POINT('',(2.3677759,4.25,20.3242302)); #220135=CARTESIAN_POINT('Origin',(2.12884662895773,4.24,20.5202004881853)); #220136=CARTESIAN_POINT('Origin',(2.12793580090219,4.25,20.5190785445591)); #220137=CARTESIAN_POINT('',(2.4307356,4.25,20.5807343)); #220138=CARTESIAN_POINT('Origin',(2.12793580090219,4.25,20.5190785445591)); #220139=CARTESIAN_POINT('',(2.4307356,4.24,20.5807343)); #220140=CARTESIAN_POINT('',(2.4307356,4.25,20.5807343)); #220141=CARTESIAN_POINT('Origin',(2.12793580090219,4.24,20.5190785445591)); #220142=CARTESIAN_POINT('Origin',(2.10366607794701,4.25,20.6077304834091)); #220143=CARTESIAN_POINT('',(2.2031894,4.25,20.9204578)); #220144=CARTESIAN_POINT('Origin',(2.10366607794701,4.25,20.6077304834091)); #220145=CARTESIAN_POINT('',(2.2031894,4.24,20.9204578)); #220146=CARTESIAN_POINT('',(2.2031894,4.25,20.9204578)); #220147=CARTESIAN_POINT('Origin',(2.10366607794701,4.24,20.6077304834091)); #220148=CARTESIAN_POINT('Origin',(2.1914797,4.25,20.922121)); #220149=CARTESIAN_POINT('',(2.1914797,4.25,20.922121)); #220150=CARTESIAN_POINT('',(13.478431068666,4.25,19.3189665625371)); #220151=CARTESIAN_POINT('',(2.1914797,4.24,20.922121)); #220152=CARTESIAN_POINT('',(2.1914797,4.25,20.922121)); #220153=CARTESIAN_POINT('',(2.1914797,4.24,20.922121)); #220154=CARTESIAN_POINT('Origin',(2.19500713075687,4.25,21.095088746804)); #220155=CARTESIAN_POINT('',(2.1645372,4.25,20.9247894)); #220156=CARTESIAN_POINT('Origin',(2.19500713075687,4.25,21.095088746804)); #220157=CARTESIAN_POINT('',(2.1645372,4.24,20.9247894)); #220158=CARTESIAN_POINT('',(2.1645372,4.25,20.9247894)); #220159=CARTESIAN_POINT('Origin',(2.19500713075687,4.24,21.095088746804)); #220160=CARTESIAN_POINT('Origin',(2.1590147,4.25,20.9261074)); #220161=CARTESIAN_POINT('',(2.1590147,4.25,20.9261074)); #220162=CARTESIAN_POINT('',(12.8825708533531,4.25,18.3668231971703)); #220163=CARTESIAN_POINT('',(2.1590147,4.24,20.9261074)); #220164=CARTESIAN_POINT('',(2.1590147,4.25,20.9261074)); #220165=CARTESIAN_POINT('',(2.1590147,4.24,20.9261074)); #220166=CARTESIAN_POINT('Origin',(2.1539905,4.25,20.9277725)); #220167=CARTESIAN_POINT('',(2.1539905,4.25,20.9277725)); #220168=CARTESIAN_POINT('',(12.1988970104009,4.25,17.5987302981654)); #220169=CARTESIAN_POINT('',(2.1539905,4.24,20.9277725)); #220170=CARTESIAN_POINT('',(2.1539905,4.25,20.9277725)); #220171=CARTESIAN_POINT('',(2.1539905,4.24,20.9277725)); #220172=CARTESIAN_POINT('Origin',(2.1494632,4.25,20.9297905)); #220173=CARTESIAN_POINT('',(2.1494632,4.25,20.9297905)); #220174=CARTESIAN_POINT('',(11.2578992455383,4.25,16.8697936056249)); #220175=CARTESIAN_POINT('',(2.1494632,4.24,20.9297905)); #220176=CARTESIAN_POINT('',(2.1494632,4.25,20.9297905)); #220177=CARTESIAN_POINT('',(2.1494632,4.24,20.9297905)); #220178=CARTESIAN_POINT('Origin',(2.145431,4.25,20.9321632)); #220179=CARTESIAN_POINT('',(2.145431,4.25,20.9321632)); #220180=CARTESIAN_POINT('',(10.0417173625747,4.25,16.2856876649366)); #220181=CARTESIAN_POINT('',(2.145431,4.24,20.9321632)); #220182=CARTESIAN_POINT('',(2.145431,4.25,20.9321632)); #220183=CARTESIAN_POINT('',(2.145431,4.24,20.9321632)); #220184=CARTESIAN_POINT('Origin',(2.1418936,4.25,20.9348927)); #220185=CARTESIAN_POINT('',(2.1418936,4.25,20.9348927)); #220186=CARTESIAN_POINT('',(8.57338874638595,4.25,15.972274392186)); #220187=CARTESIAN_POINT('',(2.1418936,4.24,20.9348927)); #220188=CARTESIAN_POINT('',(2.1418936,4.25,20.9348927)); #220189=CARTESIAN_POINT('',(2.1418936,4.24,20.9348927)); #220190=CARTESIAN_POINT('Origin',(2.13885,4.25,20.9378719)); #220191=CARTESIAN_POINT('',(2.13885,4.25,20.9378719)); #220192=CARTESIAN_POINT('',(7.16532400812168,4.25,16.017753827655)); #220193=CARTESIAN_POINT('',(2.13885,4.24,20.9378719)); #220194=CARTESIAN_POINT('',(2.13885,4.25,20.9378719)); #220195=CARTESIAN_POINT('',(2.13885,4.24,20.9378719)); #220196=CARTESIAN_POINT('Origin',(2.1362035,4.25,20.9410381)); #220197=CARTESIAN_POINT('',(2.1362035,4.25,20.9410381)); #220198=CARTESIAN_POINT('',(6.00403330345193,4.25,16.3136726272272)); #220199=CARTESIAN_POINT('',(2.1362035,4.24,20.9410381)); #220200=CARTESIAN_POINT('',(2.1362035,4.25,20.9410381)); #220201=CARTESIAN_POINT('',(2.1362035,4.24,20.9410381)); #220202=CARTESIAN_POINT('Origin',(2.1339321,4.25,20.9444427)); #220203=CARTESIAN_POINT('',(2.1339321,4.25,20.9444427)); #220204=CARTESIAN_POINT('',(4.84240525016976,4.25,16.8847140361502)); #220205=CARTESIAN_POINT('',(2.1339321,4.24,20.9444427)); #220206=CARTESIAN_POINT('',(2.1339321,4.25,20.9444427)); #220207=CARTESIAN_POINT('',(2.1339321,4.24,20.9444427)); #220208=CARTESIAN_POINT('Origin',(2.1320398,4.25,20.9480743)); #220209=CARTESIAN_POINT('',(2.1320398,4.25,20.9480743)); #220210=CARTESIAN_POINT('',(3.82874182082483,4.25,17.691855381845)); #220211=CARTESIAN_POINT('',(2.1320398,4.24,20.9480743)); #220212=CARTESIAN_POINT('',(2.1320398,4.25,20.9480743)); #220213=CARTESIAN_POINT('',(2.1320398,4.24,20.9480743)); #220214=CARTESIAN_POINT('Origin',(2.1305296,4.25,20.9519253)); #220215=CARTESIAN_POINT('',(2.1305296,4.25,20.9519253)); #220216=CARTESIAN_POINT('',(3.02003088606201,4.25,18.6837029104979)); #220217=CARTESIAN_POINT('',(2.1305296,4.24,20.9519253)); #220218=CARTESIAN_POINT('',(2.1305296,4.25,20.9519253)); #220219=CARTESIAN_POINT('',(2.1305296,4.24,20.9519253)); #220220=CARTESIAN_POINT('Origin',(2.1294055,4.25,20.9559898)); #220221=CARTESIAN_POINT('',(2.1294055,4.25,20.9559898)); #220222=CARTESIAN_POINT('',(2.45086410895744,4.25,19.7936657041834)); #220223=CARTESIAN_POINT('',(2.1294055,4.24,20.9559898)); #220224=CARTESIAN_POINT('',(2.1294055,4.25,20.9559898)); #220225=CARTESIAN_POINT('',(2.1294055,4.24,20.9559898)); #220226=CARTESIAN_POINT('Origin',(2.1286709,4.25,20.9602623)); #220227=CARTESIAN_POINT('',(2.1286709,4.25,20.9602623)); #220228=CARTESIAN_POINT('',(2.13119552105695,4.25,20.945578875734)); #220229=CARTESIAN_POINT('',(2.1286709,4.24,20.9602623)); #220230=CARTESIAN_POINT('',(2.1286709,4.25,20.9602623)); #220231=CARTESIAN_POINT('',(2.1286709,4.24,20.9602623)); #220232=CARTESIAN_POINT('Origin',(2.1283295,4.25,20.9647331)); #220233=CARTESIAN_POINT('',(2.1283295,4.25,20.9647331)); #220234=CARTESIAN_POINT('',(2.04376861855541,4.25,22.0720992065103)); #220235=CARTESIAN_POINT('',(2.1283295,4.24,20.9647331)); #220236=CARTESIAN_POINT('',(2.1283295,4.25,20.9647331)); #220237=CARTESIAN_POINT('',(2.1283295,4.24,20.9647331)); #220238=CARTESIAN_POINT('Origin',(2.1283922,4.25,20.9695015)); #220239=CARTESIAN_POINT('',(2.1283922,4.25,20.9695015)); #220240=CARTESIAN_POINT('',(2.15693229386487,4.25,23.1400052254317)); #220241=CARTESIAN_POINT('',(2.1283922,4.24,20.9695015)); #220242=CARTESIAN_POINT('',(2.1283922,4.25,20.9695015)); #220243=CARTESIAN_POINT('',(2.1283922,4.24,20.9695015)); #220244=CARTESIAN_POINT('Origin',(2.128885,4.25,20.9741573)); #220245=CARTESIAN_POINT('',(2.128885,4.25,20.9741573)); #220246=CARTESIAN_POINT('',(2.47071531167631,4.25,24.2036491123052)); #220247=CARTESIAN_POINT('',(2.128885,4.24,20.9741573)); #220248=CARTESIAN_POINT('',(2.128885,4.25,20.9741573)); #220249=CARTESIAN_POINT('',(2.128885,4.24,20.9741573)); #220250=CARTESIAN_POINT('Origin',(2.129806,4.25,20.9785652)); #220251=CARTESIAN_POINT('',(2.129806,4.25,20.9785652)); #220252=CARTESIAN_POINT('',(3.02743071626522,4.25,25.2745912443258)); #220253=CARTESIAN_POINT('',(2.129806,4.24,20.9785652)); #220254=CARTESIAN_POINT('',(2.129806,4.25,20.9785652)); #220255=CARTESIAN_POINT('',(2.129806,4.24,20.9785652)); #220256=CARTESIAN_POINT('Origin',(2.1311502,4.25,20.9827271)); #220257=CARTESIAN_POINT('',(2.1311502,4.25,20.9827271)); #220258=CARTESIAN_POINT('',(3.83650318435155,4.25,26.2628257353029)); #220259=CARTESIAN_POINT('',(2.1311502,4.24,20.9827271)); #220260=CARTESIAN_POINT('',(2.1311502,4.25,20.9827271)); #220261=CARTESIAN_POINT('',(2.1311502,4.24,20.9827271)); #220262=CARTESIAN_POINT('Origin',(2.1329131,4.25,20.9866352)); #220263=CARTESIAN_POINT('',(2.1329131,4.25,20.9866352)); #220264=CARTESIAN_POINT('',(4.88583882540707,4.25,27.0894822290227)); #220265=CARTESIAN_POINT('',(2.1329131,4.24,20.9866352)); #220266=CARTESIAN_POINT('',(2.1329131,4.25,20.9866352)); #220267=CARTESIAN_POINT('',(2.1329131,4.24,20.9866352)); #220268=CARTESIAN_POINT('Origin',(2.1350896,4.25,20.9902916)); #220269=CARTESIAN_POINT('',(2.1350896,4.25,20.9902916)); #220270=CARTESIAN_POINT('',(6.11197229966213,4.25,27.6712352724289)); #220271=CARTESIAN_POINT('',(2.1350896,4.24,20.9902916)); #220272=CARTESIAN_POINT('',(2.1350896,4.25,20.9902916)); #220273=CARTESIAN_POINT('',(2.1350896,4.24,20.9902916)); #220274=CARTESIAN_POINT('Origin',(2.1376753,4.25,20.9936981)); #220275=CARTESIAN_POINT('',(2.1376753,4.25,20.9936981)); #220276=CARTESIAN_POINT('',(7.42717672447557,4.25,27.9622894301925)); #220277=CARTESIAN_POINT('',(2.1376753,4.24,20.9936981)); #220278=CARTESIAN_POINT('',(2.1376753,4.25,20.9936981)); #220279=CARTESIAN_POINT('',(2.1376753,4.24,20.9936981)); #220280=CARTESIAN_POINT('Origin',(2.1406651,4.25,20.9968452)); #220281=CARTESIAN_POINT('',(2.1406651,4.25,20.9968452)); #220282=CARTESIAN_POINT('',(8.75195638130498,4.25,27.955971158725)); #220283=CARTESIAN_POINT('',(2.1406651,4.24,20.9968452)); #220284=CARTESIAN_POINT('',(2.1406651,4.25,20.9968452)); #220285=CARTESIAN_POINT('',(2.1406651,4.24,20.9968452)); #220286=CARTESIAN_POINT('Origin',(2.1441026,4.25,20.9997864)); #220287=CARTESIAN_POINT('',(2.1441026,4.25,20.9997864)); #220288=CARTESIAN_POINT('',(9.96084070931534,4.25,27.6879580733436)); #220289=CARTESIAN_POINT('',(2.1441026,4.24,20.9997864)); #220290=CARTESIAN_POINT('',(2.1441026,4.25,20.9997864)); #220291=CARTESIAN_POINT('',(2.1441026,4.24,20.9997864)); #220292=CARTESIAN_POINT('Origin',(2.1481328,4.25,21.0023727)); #220293=CARTESIAN_POINT('',(2.1481328,4.25,21.0023727)); #220294=CARTESIAN_POINT('',(11.4330679162025,4.25,26.960793545376)); #220295=CARTESIAN_POINT('',(2.1481328,4.24,21.0023727)); #220296=CARTESIAN_POINT('',(2.1481328,4.25,21.0023727)); #220297=CARTESIAN_POINT('',(2.1481328,4.24,21.0023727)); #220298=CARTESIAN_POINT('Origin',(2.1527615,4.25,21.0045662)); #220299=CARTESIAN_POINT('',(2.1527615,4.25,21.0045662)); #220300=CARTESIAN_POINT('',(12.5811487067615,4.25,25.9464866826478)); #220301=CARTESIAN_POINT('',(2.1527615,4.24,21.0045662)); #220302=CARTESIAN_POINT('',(2.1527615,4.25,21.0045662)); #220303=CARTESIAN_POINT('',(2.1527615,4.24,21.0045662)); #220304=CARTESIAN_POINT('Origin',(2.30874944910494,4.25,20.2523185064719)); #220305=CARTESIAN_POINT('',(2.445591,4.25,21.0082836)); #220306=CARTESIAN_POINT('Origin',(2.30874944910494,4.25,20.2523185064719)); #220307=CARTESIAN_POINT('',(2.445591,4.24,21.0082836)); #220308=CARTESIAN_POINT('',(2.445591,4.25,21.0082836)); #220309=CARTESIAN_POINT('Origin',(2.30874944910494,4.24,20.2523185064719)); #220310=CARTESIAN_POINT('Origin',(2.4515889,4.25,21.0069981)); #220311=CARTESIAN_POINT('',(2.4515889,4.25,21.0069981)); #220312=CARTESIAN_POINT('',(13.2039809531132,4.25,18.7024915253193)); #220313=CARTESIAN_POINT('',(2.4515889,4.24,21.0069981)); #220314=CARTESIAN_POINT('',(2.4515889,4.25,21.0069981)); #220315=CARTESIAN_POINT('',(2.4515889,4.24,21.0069981)); #220316=CARTESIAN_POINT('Origin',(2.4570136,4.25,21.0053215)); #220317=CARTESIAN_POINT('',(2.4570136,4.25,21.0053215)); #220318=CARTESIAN_POINT('',(12.5473367191416,4.25,17.8867277083508)); #220319=CARTESIAN_POINT('',(2.4570136,4.24,21.0053215)); #220320=CARTESIAN_POINT('',(2.4570136,4.25,21.0053215)); #220321=CARTESIAN_POINT('',(2.4570136,4.24,21.0053215)); #220322=CARTESIAN_POINT('Origin',(2.4618657,4.25,21.0032578)); #220323=CARTESIAN_POINT('',(2.4618657,4.25,21.0032578)); #220324=CARTESIAN_POINT('',(11.6236378718897,4.25,17.1065637435862)); #220325=CARTESIAN_POINT('',(2.4618657,4.24,21.0032578)); #220326=CARTESIAN_POINT('',(2.4618657,4.25,21.0032578)); #220327=CARTESIAN_POINT('',(2.4618657,4.24,21.0032578)); #220328=CARTESIAN_POINT('Origin',(2.4661467,4.25,21.0008106)); #220329=CARTESIAN_POINT('',(2.4661467,4.25,21.0008106)); #220330=CARTESIAN_POINT('',(10.3980689568071,4.25,16.4665895892872)); #220331=CARTESIAN_POINT('',(2.4661467,4.24,21.0008106)); #220332=CARTESIAN_POINT('',(2.4661467,4.25,21.0008106)); #220333=CARTESIAN_POINT('',(2.4661467,4.24,21.0008106)); #220334=CARTESIAN_POINT('Origin',(2.4698579,4.25,20.997982)); #220335=CARTESIAN_POINT('',(2.4698579,4.25,20.997982)); #220336=CARTESIAN_POINT('',(8.8838195461649,4.25,16.109392888031)); #220337=CARTESIAN_POINT('',(2.4698579,4.24,20.997982)); #220338=CARTESIAN_POINT('',(2.4698579,4.25,20.997982)); #220339=CARTESIAN_POINT('',(2.4698579,4.24,20.997982)); #220340=CARTESIAN_POINT('Origin',(2.4730554,4.25,20.9947815)); #220341=CARTESIAN_POINT('',(2.4730554,4.25,20.9947815)); #220342=CARTESIAN_POINT('',(7.29801840481261,4.25,16.1652915556988)); #220343=CARTESIAN_POINT('',(2.4730554,4.24,20.9947815)); #220344=CARTESIAN_POINT('',(2.4730554,4.25,20.9947815)); #220345=CARTESIAN_POINT('',(2.4730554,4.24,20.9947815)); #220346=CARTESIAN_POINT('Origin',(2.4758482,4.25,20.9913864)); #220347=CARTESIAN_POINT('',(2.4758482,4.25,20.9913864)); #220348=CARTESIAN_POINT('',(6.19906743959828,4.25,16.4652113640664)); #220349=CARTESIAN_POINT('',(2.4758482,4.24,20.9913864)); #220350=CARTESIAN_POINT('',(2.4758482,4.25,20.9913864)); #220351=CARTESIAN_POINT('',(2.4758482,4.24,20.9913864)); #220352=CARTESIAN_POINT('Origin',(2.4782341,4.25,20.9878139)); #220353=CARTESIAN_POINT('',(2.4782341,4.25,20.9878139)); #220354=CARTESIAN_POINT('',(5.14850614871063,4.25,16.9895126744571)); #220355=CARTESIAN_POINT('',(2.4782341,4.24,20.9878139)); #220356=CARTESIAN_POINT('',(2.4782341,4.25,20.9878139)); #220357=CARTESIAN_POINT('',(2.4782341,4.24,20.9878139)); #220358=CARTESIAN_POINT('Origin',(2.4802089,4.25,20.9840565)); #220359=CARTESIAN_POINT('',(2.4802089,4.25,20.9840565)); #220360=CARTESIAN_POINT('',(4.17698311929247,4.25,17.7556488376707)); #220361=CARTESIAN_POINT('',(2.4802089,4.24,20.9840565)); #220362=CARTESIAN_POINT('',(2.4802089,4.25,20.9840565)); #220363=CARTESIAN_POINT('',(2.4802089,4.24,20.9840565)); #220364=CARTESIAN_POINT('Origin',(2.4817672,4.25,20.9801102)); #220365=CARTESIAN_POINT('',(2.4817672,4.25,20.9801102)); #220366=CARTESIAN_POINT('',(3.3678906509364,4.25,18.7360564398569)); #220367=CARTESIAN_POINT('',(2.4817672,4.24,20.9801102)); #220368=CARTESIAN_POINT('',(2.4817672,4.25,20.9801102)); #220369=CARTESIAN_POINT('',(2.4817672,4.24,20.9801102)); #220370=CARTESIAN_POINT('Origin',(2.4829049,4.25,20.9759712)); #220371=CARTESIAN_POINT('',(2.4829049,4.25,20.9759712)); #220372=CARTESIAN_POINT('',(2.78769236271724,4.25,19.8671417122733)); #220373=CARTESIAN_POINT('',(2.4829049,4.24,20.9759712)); #220374=CARTESIAN_POINT('',(2.4829049,4.25,20.9759712)); #220375=CARTESIAN_POINT('',(2.4829049,4.24,20.9759712)); #220376=CARTESIAN_POINT('Origin',(2.4836171,4.25,20.9716339)); #220377=CARTESIAN_POINT('',(2.4836171,4.25,20.9716339)); #220378=CARTESIAN_POINT('',(2.46764916160029,4.25,21.0688786896954)); #220379=CARTESIAN_POINT('',(2.4836171,4.24,20.9716339)); #220380=CARTESIAN_POINT('',(2.4836171,4.25,20.9716339)); #220381=CARTESIAN_POINT('',(2.4836171,4.24,20.9716339)); #220382=CARTESIAN_POINT('Origin',(2.4838986,4.25,20.9670925)); #220383=CARTESIAN_POINT('',(2.4838986,4.25,20.9670925)); #220384=CARTESIAN_POINT('',(2.40400736988465,4.25,22.2559665051346)); #220385=CARTESIAN_POINT('',(2.4838986,4.24,20.9670925)); #220386=CARTESIAN_POINT('',(2.4838986,4.25,20.9670925)); #220387=CARTESIAN_POINT('',(2.4838986,4.24,20.9670925)); #220388=CARTESIAN_POINT('Origin',(2.4837461,4.25,20.9623089)); #220389=CARTESIAN_POINT('',(2.4837461,4.25,20.9623089)); #220390=CARTESIAN_POINT('',(2.5598774034846,4.25,23.3503856432643)); #220391=CARTESIAN_POINT('',(2.4837461,4.24,20.9623089)); #220392=CARTESIAN_POINT('',(2.4837461,4.25,20.9623089)); #220393=CARTESIAN_POINT('',(2.4837461,4.24,20.9623089)); #220394=CARTESIAN_POINT('Origin',(2.4831619,4.25,20.9577198)); #220395=CARTESIAN_POINT('',(2.4831619,4.25,20.9577198)); #220396=CARTESIAN_POINT('',(2.92227321604696,4.25,24.4070962814637)); #220397=CARTESIAN_POINT('',(2.4831619,4.24,20.9577198)); #220398=CARTESIAN_POINT('',(2.4831619,4.25,20.9577198)); #220399=CARTESIAN_POINT('',(2.4831619,4.24,20.9577198)); #220400=CARTESIAN_POINT('Origin',(2.4821506,4.25,20.9533672)); #220401=CARTESIAN_POINT('',(2.4821506,4.25,20.9533672)); #220402=CARTESIAN_POINT('',(3.52533266564341,4.25,25.4431865008224)); #220403=CARTESIAN_POINT('',(2.4821506,4.24,20.9533672)); #220404=CARTESIAN_POINT('',(2.4821506,4.25,20.9533672)); #220405=CARTESIAN_POINT('',(2.4821506,4.24,20.9533672)); #220406=CARTESIAN_POINT('Origin',(2.4807167,4.25,20.949255)); #220407=CARTESIAN_POINT('',(2.4807167,4.25,20.949255)); #220408=CARTESIAN_POINT('',(4.37437467887781,4.25,26.379968676505)); #220409=CARTESIAN_POINT('',(2.4807167,4.24,20.949255)); #220410=CARTESIAN_POINT('',(2.4807167,4.25,20.949255)); #220411=CARTESIAN_POINT('',(2.4807167,4.24,20.949255)); #220412=CARTESIAN_POINT('Origin',(2.4788656,4.25,20.9453888)); #220413=CARTESIAN_POINT('',(2.4788656,4.25,20.9453888)); #220414=CARTESIAN_POINT('',(5.44307643032013,4.25,27.1364275943292)); #220415=CARTESIAN_POINT('',(2.4788656,4.24,20.9453888)); #220416=CARTESIAN_POINT('',(2.4788656,4.25,20.9453888)); #220417=CARTESIAN_POINT('',(2.4788656,4.24,20.9453888)); #220418=CARTESIAN_POINT('Origin',(2.4766016,4.25,20.9417725)); #220419=CARTESIAN_POINT('',(2.4766016,4.25,20.9417725)); #220420=CARTESIAN_POINT('',(6.67293981464307,4.25,27.6446072551293)); #220421=CARTESIAN_POINT('',(2.4766016,4.24,20.9417725)); #220422=CARTESIAN_POINT('',(2.4766016,4.25,20.9417725)); #220423=CARTESIAN_POINT('',(2.4766016,4.24,20.9417725)); #220424=CARTESIAN_POINT('Origin',(2.4739294,4.25,20.9384117)); #220425=CARTESIAN_POINT('',(2.4739294,4.25,20.9384117)); #220426=CARTESIAN_POINT('',(7.98064126505569,4.25,27.8641497570624)); #220427=CARTESIAN_POINT('',(2.4739294,4.24,20.9384117)); #220428=CARTESIAN_POINT('',(2.4739294,4.25,20.9384117)); #220429=CARTESIAN_POINT('',(2.4739294,4.24,20.9384117)); #220430=CARTESIAN_POINT('Origin',(2.4708543,4.25,20.9353123)); #220431=CARTESIAN_POINT('',(2.4708543,4.25,20.9353123)); #220432=CARTESIAN_POINT('',(9.27337547491299,4.25,27.7915882355857)); #220433=CARTESIAN_POINT('',(2.4708543,4.24,20.9353123)); #220434=CARTESIAN_POINT('',(2.4708543,4.25,20.9353123)); #220435=CARTESIAN_POINT('',(2.4708543,4.24,20.9353123)); #220436=CARTESIAN_POINT('Origin',(2.4672055,4.25,20.9324284)); #220437=CARTESIAN_POINT('',(2.4672055,4.25,20.9324284)); #220438=CARTESIAN_POINT('',(10.6373115903884,4.25,27.3898305470268)); #220439=CARTESIAN_POINT('',(2.4672055,4.24,20.9324284)); #220440=CARTESIAN_POINT('',(2.4672055,4.25,20.9324284)); #220441=CARTESIAN_POINT('',(2.4672055,4.24,20.9324284)); #220442=CARTESIAN_POINT('Origin',(2.4630346,4.25,20.929924)); #220443=CARTESIAN_POINT('',(2.4630346,4.25,20.929924)); #220444=CARTESIAN_POINT('',(11.937550024703,4.25,26.618858385775)); #220445=CARTESIAN_POINT('',(2.4630346,4.24,20.929924)); #220446=CARTESIAN_POINT('',(2.4630346,4.25,20.929924)); #220447=CARTESIAN_POINT('',(2.4630346,4.24,20.929924)); #220448=CARTESIAN_POINT('Origin',(2.4583418,4.25,20.9278126)); #220449=CARTESIAN_POINT('',(2.4583418,4.25,20.9278126)); #220450=CARTESIAN_POINT('',(12.9262318053256,4.25,25.6375600763987)); #220451=CARTESIAN_POINT('',(2.4583418,4.24,20.9278126)); #220452=CARTESIAN_POINT('',(2.4583418,4.25,20.9278126)); #220453=CARTESIAN_POINT('',(2.4583418,4.24,20.9278126)); #220454=CARTESIAN_POINT('Origin',(2.4531116,4.25,20.9260902)); #220455=CARTESIAN_POINT('',(2.4531116,4.25,20.9260902)); #220456=CARTESIAN_POINT('',(13.5896042347439,4.25,24.5935398030902)); #220457=CARTESIAN_POINT('',(2.4531116,4.24,20.9260902)); #220458=CARTESIAN_POINT('',(2.4531116,4.25,20.9260902)); #220459=CARTESIAN_POINT('',(2.4531116,4.24,20.9260902)); #220460=CARTESIAN_POINT('Origin',(2.42829230853033,4.25,21.0237075391882)); #220461=CARTESIAN_POINT('',(2.4265051,4.25,20.9230003)); #220462=CARTESIAN_POINT('Origin',(2.42829230853033,4.25,21.0237075391882)); #220463=CARTESIAN_POINT('',(2.4265051,4.24,20.9230003)); #220464=CARTESIAN_POINT('',(2.4265051,4.25,20.9230003)); #220465=CARTESIAN_POINT('Origin',(2.42829230853033,4.24,21.0237075391882)); #220466=CARTESIAN_POINT('Origin',(2.3763046,4.25,20.9229984)); #220467=CARTESIAN_POINT('',(2.3763046,4.25,20.9229984)); #220468=CARTESIAN_POINT('',(14.0882294368671,4.25,20.9234416756086)); #220469=CARTESIAN_POINT('',(2.3763046,4.24,20.9229984)); #220470=CARTESIAN_POINT('',(2.3763046,4.25,20.9229984)); #220471=CARTESIAN_POINT('',(2.3763046,4.24,20.9229984)); #220472=CARTESIAN_POINT('Origin',(1.97635533948378,4.25,20.5548247926034)); #220473=CARTESIAN_POINT('',(2.4759443,4.25,20.3405304)); #220474=CARTESIAN_POINT('Origin',(1.97635533948378,4.25,20.5548247926034)); #220475=CARTESIAN_POINT('',(2.4759443,4.24,20.3405304)); #220476=CARTESIAN_POINT('',(2.4759443,4.25,20.3405304)); #220477=CARTESIAN_POINT('Origin',(1.97635533948378,4.24,20.5548247926034)); #220478=CARTESIAN_POINT('Origin',(2.1560366254329,4.25,20.4666554575806)); #220479=CARTESIAN_POINT('',(2.0866029,4.25,20.1298656)); #220480=CARTESIAN_POINT('Origin',(2.1560366254329,4.25,20.4666554575806)); #220481=CARTESIAN_POINT('',(2.0866029,4.24,20.1298656)); #220482=CARTESIAN_POINT('',(2.0866029,4.25,20.1298656)); #220483=CARTESIAN_POINT('Origin',(2.1560366254329,4.24,20.4666554575806)); #220484=CARTESIAN_POINT('Origin',(2.20292701605385,4.25,20.4904015132759)); #220485=CARTESIAN_POINT('',(1.8249141,4.25,20.5153751)); #220486=CARTESIAN_POINT('Origin',(2.20292701605385,4.25,20.4904015132759)); #220487=CARTESIAN_POINT('',(1.8249141,4.24,20.5153751)); #220488=CARTESIAN_POINT('',(1.8249141,4.25,20.5153751)); #220489=CARTESIAN_POINT('Origin',(2.20292701605385,4.24,20.4904015132759)); #220490=CARTESIAN_POINT('Origin',(1.8138902,4.25,20.57971)); #220491=CARTESIAN_POINT('',(1.8138902,4.25,20.57971)); #220492=CARTESIAN_POINT('',(1.78806934058245,4.25,20.7303991761121)); #220493=CARTESIAN_POINT('',(1.8138902,4.24,20.57971)); #220494=CARTESIAN_POINT('',(1.8138902,4.25,20.57971)); #220495=CARTESIAN_POINT('',(1.8138902,4.24,20.57971)); #220496=CARTESIAN_POINT('Origin',(1.52086712321907,4.25,20.5977089611684)); #220497=CARTESIAN_POINT('',(1.6140642,4.25,20.8760986)); #220498=CARTESIAN_POINT('Origin',(1.52086712321907,4.25,20.5977089611684)); #220499=CARTESIAN_POINT('',(1.6140642,4.24,20.8760986)); #220500=CARTESIAN_POINT('',(1.6140642,4.25,20.8760986)); #220501=CARTESIAN_POINT('Origin',(1.52086712321907,4.24,20.5977089611684)); #220502=CARTESIAN_POINT('Origin',(1.59583291175307,4.25,20.6126460268252)); #220503=CARTESIAN_POINT('',(1.4056798,4.25,20.7958984)); #220504=CARTESIAN_POINT('Origin',(1.59583291175307,4.25,20.6126460268252)); #220505=CARTESIAN_POINT('',(1.4056798,4.24,20.7958984)); #220506=CARTESIAN_POINT('',(1.4056798,4.25,20.7958984)); #220507=CARTESIAN_POINT('Origin',(1.59583291175307,4.24,20.6126460268252)); #220508=CARTESIAN_POINT('Origin',(1.59721277283904,4.25,20.6140555336092)); #220509=CARTESIAN_POINT('',(1.334805,4.25,20.5841579)); #220510=CARTESIAN_POINT('Origin',(1.59721277283904,4.25,20.6140555336092)); #220511=CARTESIAN_POINT('',(1.334805,4.24,20.5841579)); #220512=CARTESIAN_POINT('',(1.334805,4.25,20.5841579)); #220513=CARTESIAN_POINT('Origin',(1.59721277283904,4.24,20.6140555336092)); #220514=CARTESIAN_POINT('Origin',(1.63109052371161,4.25,20.5419366244135)); #220515=CARTESIAN_POINT('',(1.5287645,4.25,20.2606945)); #220516=CARTESIAN_POINT('Origin',(1.63109052371161,4.25,20.5419366244135)); #220517=CARTESIAN_POINT('',(1.5287645,4.24,20.2606945)); #220518=CARTESIAN_POINT('',(1.5287645,4.25,20.2606945)); #220519=CARTESIAN_POINT('Origin',(1.63109052371161,4.24,20.5419366244135)); #220520=CARTESIAN_POINT('Origin',(1.5500662,4.25,20.257082)); #220521=CARTESIAN_POINT('',(1.5500662,4.25,20.257082)); #220522=CARTESIAN_POINT('',(12.9451424145346,4.25,18.3246206084207)); #220523=CARTESIAN_POINT('',(1.5500662,4.24,20.257082)); #220524=CARTESIAN_POINT('',(1.5500662,4.25,20.257082)); #220525=CARTESIAN_POINT('',(1.5500662,4.24,20.257082)); #220526=CARTESIAN_POINT('Origin',(1.54618056404968,4.25,20.0929217606596)); #220527=CARTESIAN_POINT('',(1.5757892,4.25,20.2544365)); #220528=CARTESIAN_POINT('Origin',(1.54618056404968,4.25,20.0929217606596)); #220529=CARTESIAN_POINT('',(1.5757892,4.24,20.2544365)); #220530=CARTESIAN_POINT('',(1.5757892,4.25,20.2544365)); #220531=CARTESIAN_POINT('Origin',(1.54618056404968,4.24,20.0929217606596)); #220532=CARTESIAN_POINT('Origin',(1.5812953,4.25,20.2531166)); #220533=CARTESIAN_POINT('',(1.5812953,4.25,20.2531166)); #220534=CARTESIAN_POINT('',(12.4945778146852,4.25,17.6370286991018)); #220535=CARTESIAN_POINT('',(1.5812953,4.24,20.2531166)); #220536=CARTESIAN_POINT('',(1.5812953,4.25,20.2531166)); #220537=CARTESIAN_POINT('',(1.5812953,4.24,20.2531166)); #220538=CARTESIAN_POINT('Origin',(1.5862991,4.25,20.2514515)); #220539=CARTESIAN_POINT('',(1.5862991,4.25,20.2514515)); #220540=CARTESIAN_POINT('',(11.7748507271085,4.25,16.8610367523496)); #220541=CARTESIAN_POINT('',(1.5862991,4.24,20.2514515)); #220542=CARTESIAN_POINT('',(1.5862991,4.25,20.2514515)); #220543=CARTESIAN_POINT('',(1.5862991,4.24,20.2514515)); #220544=CARTESIAN_POINT('Origin',(1.5908006,4.25,20.2494392)); #220545=CARTESIAN_POINT('',(1.5908006,4.25,20.2494392)); #220546=CARTESIAN_POINT('',(10.7943857675295,4.25,16.1351718596435)); #220547=CARTESIAN_POINT('',(1.5908006,4.24,20.2494392)); #220548=CARTESIAN_POINT('',(1.5908006,4.25,20.2494392)); #220549=CARTESIAN_POINT('',(1.5908006,4.24,20.2494392)); #220550=CARTESIAN_POINT('Origin',(1.5948,4.25,20.2470703)); #220551=CARTESIAN_POINT('',(1.5948,4.25,20.2470703)); #220552=CARTESIAN_POINT('',(9.51211149405898,4.25,15.5575370704454)); #220553=CARTESIAN_POINT('',(1.5948,4.24,20.2470703)); #220554=CARTESIAN_POINT('',(1.5948,4.25,20.2470703)); #220555=CARTESIAN_POINT('',(1.5948,4.24,20.2470703)); #220556=CARTESIAN_POINT('Origin',(1.5982972,4.25,20.2443409)); #220557=CARTESIAN_POINT('',(1.5982972,4.25,20.2443409)); #220558=CARTESIAN_POINT('',(7.96522540347184,4.25,15.2752531044638)); #220559=CARTESIAN_POINT('',(1.5982972,4.24,20.2443409)); #220560=CARTESIAN_POINT('',(1.5982972,4.25,20.2443409)); #220561=CARTESIAN_POINT('',(1.5982972,4.24,20.2443409)); #220562=CARTESIAN_POINT('Origin',(1.6013173,4.25,20.2413406)); #220563=CARTESIAN_POINT('',(1.6013173,4.25,20.2413406)); #220564=CARTESIAN_POINT('',(6.50114096176183,4.25,15.3736405462297)); #220565=CARTESIAN_POINT('',(1.6013173,4.24,20.2413406)); #220566=CARTESIAN_POINT('',(1.6013173,4.25,20.2413406)); #220567=CARTESIAN_POINT('',(1.6013173,4.24,20.2413406)); #220568=CARTESIAN_POINT('Origin',(1.6039559,4.25,20.2381477)); #220569=CARTESIAN_POINT('',(1.6039559,4.25,20.2381477)); #220570=CARTESIAN_POINT('',(5.34416970863405,4.25,15.7122139966779)); #220571=CARTESIAN_POINT('',(1.6039559,4.24,20.2381477)); #220572=CARTESIAN_POINT('',(1.6039559,4.25,20.2381477)); #220573=CARTESIAN_POINT('',(1.6039559,4.24,20.2381477)); #220574=CARTESIAN_POINT('Origin',(1.6062191,4.25,20.2347336)); #220575=CARTESIAN_POINT('',(1.6062191,4.25,20.2347336)); #220576=CARTESIAN_POINT('',(4.2019196980499,4.25,16.3190472215078)); #220577=CARTESIAN_POINT('',(1.6062191,4.24,20.2347336)); #220578=CARTESIAN_POINT('',(1.6062191,4.25,20.2347336)); #220579=CARTESIAN_POINT('',(1.6062191,4.24,20.2347336)); #220580=CARTESIAN_POINT('Origin',(1.6081035,4.25,20.231102)); #220581=CARTESIAN_POINT('',(1.6081035,4.25,20.231102)); #220582=CARTESIAN_POINT('',(3.19922572130617,4.25,17.1647044947487)); #220583=CARTESIAN_POINT('',(1.6081035,4.24,20.231102)); #220584=CARTESIAN_POINT('',(1.6081035,4.25,20.231102)); #220585=CARTESIAN_POINT('',(1.6081035,4.24,20.231102)); #220586=CARTESIAN_POINT('Origin',(1.6096053,4.25,20.2272549)); #220587=CARTESIAN_POINT('',(1.6096053,4.25,20.2272549)); #220588=CARTESIAN_POINT('',(2.40067192604349,4.25,18.2008116871547)); #220589=CARTESIAN_POINT('',(1.6096053,4.24,20.2272549)); #220590=CARTESIAN_POINT('',(1.6096053,4.25,20.2272549)); #220591=CARTESIAN_POINT('',(1.6096053,4.24,20.2272549)); #220592=CARTESIAN_POINT('Origin',(1.6107212,4.25,20.2231979)); #220593=CARTESIAN_POINT('',(1.6107212,4.25,20.2231979)); #220594=CARTESIAN_POINT('',(1.85065239771041,4.25,19.3508967358178)); #220595=CARTESIAN_POINT('',(1.6107212,4.24,20.2231979)); #220596=CARTESIAN_POINT('',(1.6107212,4.25,20.2231979)); #220597=CARTESIAN_POINT('',(1.6107212,4.24,20.2231979)); #220598=CARTESIAN_POINT('Origin',(1.6114475,4.25,20.2189331)); #220599=CARTESIAN_POINT('',(1.6114475,4.25,20.2189331)); #220600=CARTESIAN_POINT('',(1.55668892331642,4.25,20.5404729290515)); #220601=CARTESIAN_POINT('',(1.6114475,4.24,20.2189331)); #220602=CARTESIAN_POINT('',(1.6114475,4.25,20.2189331)); #220603=CARTESIAN_POINT('',(1.6114475,4.24,20.2189331)); #220604=CARTESIAN_POINT('Origin',(1.6117805,4.25,20.2144661)); #220605=CARTESIAN_POINT('',(1.6117805,4.25,20.2144661)); #220606=CARTESIAN_POINT('',(1.5012314915489,4.25,21.6974163124666)); #220607=CARTESIAN_POINT('',(1.6117805,4.24,20.2144661)); #220608=CARTESIAN_POINT('',(1.6117805,4.25,20.2144661)); #220609=CARTESIAN_POINT('',(1.6117805,4.24,20.2144661)); #220610=CARTESIAN_POINT('Origin',(1.611709,4.25,20.209692)); #220611=CARTESIAN_POINT('',(1.611709,4.25,20.209692)); #220612=CARTESIAN_POINT('',(1.65028443376749,4.25,22.7853979908961)); #220613=CARTESIAN_POINT('',(1.611709,4.24,20.209692)); #220614=CARTESIAN_POINT('',(1.611709,4.25,20.209692)); #220615=CARTESIAN_POINT('',(1.611709,4.24,20.209692)); #220616=CARTESIAN_POINT('Origin',(1.611207,4.25,20.2050304)); #220617=CARTESIAN_POINT('',(1.611207,4.25,20.2050304)); #220618=CARTESIAN_POINT('',(2.00507661389719,4.25,23.8625256034719)); #220619=CARTESIAN_POINT('',(1.611207,4.24,20.2050304)); #220620=CARTESIAN_POINT('',(1.611207,4.25,20.2050304)); #220621=CARTESIAN_POINT('',(1.611207,4.24,20.2050304)); #220622=CARTESIAN_POINT('Origin',(1.6102769,4.25,20.2006149)); #220623=CARTESIAN_POINT('',(1.6102769,4.25,20.2006149)); #220624=CARTESIAN_POINT('',(2.60814359987134,4.25,24.9378263969157)); #220625=CARTESIAN_POINT('',(1.6102769,4.24,20.2006149)); #220626=CARTESIAN_POINT('',(1.6102769,4.25,20.2006149)); #220627=CARTESIAN_POINT('',(1.6102769,4.24,20.2006149)); #220628=CARTESIAN_POINT('Origin',(1.6089237,4.25,20.1964493)); #220629=CARTESIAN_POINT('',(1.6089237,4.25,20.1964493)); #220630=CARTESIAN_POINT('',(3.46924772803249,4.25,25.9231458497892)); #220631=CARTESIAN_POINT('',(1.6089237,4.24,20.1964493)); #220632=CARTESIAN_POINT('',(1.6089237,4.25,20.1964493)); #220633=CARTESIAN_POINT('',(1.6089237,4.24,20.1964493)); #220634=CARTESIAN_POINT('Origin',(1.6071521,4.25,20.1925373)); #220635=CARTESIAN_POINT('',(1.6071521,4.25,20.1925373)); #220636=CARTESIAN_POINT('',(4.56906350071883,4.25,26.7329512758462)); #220637=CARTESIAN_POINT('',(1.6071521,4.24,20.1925373)); #220638=CARTESIAN_POINT('',(1.6071521,4.25,20.1925373)); #220639=CARTESIAN_POINT('',(1.6071521,4.24,20.1925373)); #220640=CARTESIAN_POINT('Origin',(1.6049668,4.25,20.1888847)); #220641=CARTESIAN_POINT('',(1.6049668,4.25,20.1888847)); #220642=CARTESIAN_POINT('',(5.85364238776696,4.25,27.2902952394578)); #220643=CARTESIAN_POINT('',(1.6049668,4.24,20.1888847)); #220644=CARTESIAN_POINT('',(1.6049668,4.25,20.1888847)); #220645=CARTESIAN_POINT('',(1.6049668,4.24,20.1888847)); #220646=CARTESIAN_POINT('Origin',(1.6023725,4.25,20.1854954)); #220647=CARTESIAN_POINT('',(1.6023725,4.25,20.1854954)); #220648=CARTESIAN_POINT('',(7.23404584332368,4.25,27.5429446011426)); #220649=CARTESIAN_POINT('',(1.6023725,4.24,20.1854954)); #220650=CARTESIAN_POINT('',(1.6023725,4.25,20.1854954)); #220651=CARTESIAN_POINT('',(1.6023725,4.24,20.1854954)); #220652=CARTESIAN_POINT('Origin',(1.5993741,4.25,20.182375)); #220653=CARTESIAN_POINT('',(1.5993741,4.25,20.182375)); #220654=CARTESIAN_POINT('',(8.61181277187184,4.25,27.4801383510246)); #220655=CARTESIAN_POINT('',(1.5993741,4.24,20.182375)); #220656=CARTESIAN_POINT('',(1.5993741,4.25,20.182375)); #220657=CARTESIAN_POINT('',(1.5993741,4.24,20.182375)); #220658=CARTESIAN_POINT('Origin',(1.595934,4.25,20.1794281)); #220659=CARTESIAN_POINT('',(1.595934,4.25,20.1794281)); #220660=CARTESIAN_POINT('',(9.76677838848262,4.25,27.1788354801403)); #220661=CARTESIAN_POINT('',(1.595934,4.24,20.1794281)); #220662=CARTESIAN_POINT('',(1.595934,4.25,20.1794281)); #220663=CARTESIAN_POINT('',(1.595934,4.24,20.1794281)); #220664=CARTESIAN_POINT('Origin',(1.5919329,4.25,20.1768341)); #220665=CARTESIAN_POINT('',(1.5919329,4.25,20.1768341)); #220666=CARTESIAN_POINT('',(11.2147679738582,4.25,26.4155270048517)); #220667=CARTESIAN_POINT('',(1.5919329,4.24,20.1768341)); #220668=CARTESIAN_POINT('',(1.5919329,4.25,20.1768341)); #220669=CARTESIAN_POINT('',(1.5919329,4.24,20.1768341)); #220670=CARTESIAN_POINT('Origin',(1.44056703425662,4.25,20.7452135485912)); #220671=CARTESIAN_POINT('',(1.3134552,4.25,20.1709232)); #220672=CARTESIAN_POINT('Origin',(1.44056703425662,4.25,20.7452135485912)); #220673=CARTESIAN_POINT('',(1.3134552,4.24,20.1709232)); #220674=CARTESIAN_POINT('',(1.3134552,4.25,20.1709232)); #220675=CARTESIAN_POINT('Origin',(1.44056703425662,4.24,20.7452135485912)); #220676=CARTESIAN_POINT('Origin',(1.3074825,4.25,20.1721439)); #220677=CARTESIAN_POINT('',(1.3074825,4.25,20.1721439)); #220678=CARTESIAN_POINT('',(12.589135143324,4.25,17.8664005374153)); #220679=CARTESIAN_POINT('',(1.3074825,4.24,20.1721439)); #220680=CARTESIAN_POINT('',(1.3074825,4.25,20.1721439)); #220681=CARTESIAN_POINT('',(1.3074825,4.24,20.1721439)); #220682=CARTESIAN_POINT('Origin',(1.3020781,4.25,20.1737537)); #220683=CARTESIAN_POINT('',(1.3020781,4.25,20.1737537)); #220684=CARTESIAN_POINT('',(11.8925960046095,4.25,17.0191730392721)); #220685=CARTESIAN_POINT('',(1.3020781,4.24,20.1737537)); #220686=CARTESIAN_POINT('',(1.3020781,4.25,20.1737537)); #220687=CARTESIAN_POINT('',(1.3020781,4.24,20.1737537)); #220688=CARTESIAN_POINT('Origin',(1.2972424,4.25,20.1757584)); #220689=CARTESIAN_POINT('',(1.2972424,4.25,20.1757584)); #220690=CARTESIAN_POINT('',(10.898546204151,4.25,16.1954176559138)); #220691=CARTESIAN_POINT('',(1.2972424,4.24,20.1757584)); #220692=CARTESIAN_POINT('',(1.2972424,4.25,20.1757584)); #220693=CARTESIAN_POINT('',(1.2972424,4.24,20.1757584)); #220694=CARTESIAN_POINT('Origin',(1.2929752,4.25,20.1781635)); #220695=CARTESIAN_POINT('',(1.2929752,4.25,20.1781635)); #220696=CARTESIAN_POINT('',(9.56108047963106,4.25,15.5180538243254)); #220697=CARTESIAN_POINT('',(1.2929752,4.24,20.1781635)); #220698=CARTESIAN_POINT('',(1.2929752,4.25,20.1781635)); #220699=CARTESIAN_POINT('',(1.2929752,4.24,20.1781635)); #220700=CARTESIAN_POINT('Origin',(1.2892765,4.25,20.1809788)); #220701=CARTESIAN_POINT('',(1.2892765,4.25,20.1809788)); #220702=CARTESIAN_POINT('',(7.8877195775808,4.25,15.158512312771)); #220703=CARTESIAN_POINT('',(1.2892765,4.24,20.1809788)); #220704=CARTESIAN_POINT('',(1.2892765,4.25,20.1809788)); #220705=CARTESIAN_POINT('',(1.2892765,4.24,20.1809788)); #220706=CARTESIAN_POINT('Origin',(1.2860881,4.25,20.184)); #220707=CARTESIAN_POINT('',(1.2860881,4.25,20.184)); #220708=CARTESIAN_POINT('',(6.54210294463585,4.25,15.2036110749553)); #220709=CARTESIAN_POINT('',(1.2860881,4.24,20.184)); #220710=CARTESIAN_POINT('',(1.2860881,4.25,20.184)); #220711=CARTESIAN_POINT('',(1.2860881,4.24,20.184)); #220712=CARTESIAN_POINT('Origin',(1.2833041,4.25,20.1872654)); #220713=CARTESIAN_POINT('',(1.2833041,4.25,20.1872654)); #220714=CARTESIAN_POINT('',(5.25507938966334,4.25,15.5287039664986)); #220715=CARTESIAN_POINT('',(1.2833041,4.24,20.1872654)); #220716=CARTESIAN_POINT('',(1.2833041,4.25,20.1872654)); #220717=CARTESIAN_POINT('',(1.2833041,4.24,20.1872654)); #220718=CARTESIAN_POINT('Origin',(1.2809267,4.25,20.1907959)); #220719=CARTESIAN_POINT('',(1.2809267,4.25,20.1907959)); #220720=CARTESIAN_POINT('',(3.99163634943585,4.25,16.1653225184347)); #220721=CARTESIAN_POINT('',(1.2809267,4.24,20.1907959)); #220722=CARTESIAN_POINT('',(1.2809267,4.25,20.1907959)); #220723=CARTESIAN_POINT('',(1.2809267,4.24,20.1907959)); #220724=CARTESIAN_POINT('Origin',(1.2789608,4.25,20.1945858)); #220725=CARTESIAN_POINT('',(1.2789608,4.25,20.1945858)); #220726=CARTESIAN_POINT('',(2.8963794217153,4.25,17.0764949335071)); #220727=CARTESIAN_POINT('',(1.2789608,4.24,20.1945858)); #220728=CARTESIAN_POINT('',(1.2789608,4.25,20.1945858)); #220729=CARTESIAN_POINT('',(1.2789608,4.24,20.1945858)); #220730=CARTESIAN_POINT('Origin',(1.2774112,4.25,20.1986332)); #220731=CARTESIAN_POINT('',(1.2774112,4.25,20.1986332)); #220732=CARTESIAN_POINT('',(2.04331939071067,4.25,18.1981577153054)); #220733=CARTESIAN_POINT('',(1.2774112,4.24,20.1986332)); #220734=CARTESIAN_POINT('',(1.2774112,4.25,20.1986332)); #220735=CARTESIAN_POINT('',(1.2774112,4.24,20.1986332)); #220736=CARTESIAN_POINT('Origin',(1.2762825,4.25,20.2029343)); #220737=CARTESIAN_POINT('',(1.2762825,4.25,20.2029343)); #220738=CARTESIAN_POINT('',(1.47741718322149,4.25,19.4364769720974)); #220739=CARTESIAN_POINT('',(1.2762825,4.24,20.2029343)); #220740=CARTESIAN_POINT('',(1.2762825,4.25,20.2029343)); #220741=CARTESIAN_POINT('',(1.2762825,4.24,20.2029343)); #220742=CARTESIAN_POINT('Origin',(1.2755796,4.25,20.2074814)); #220743=CARTESIAN_POINT('',(1.2755796,4.25,20.2074814)); #220744=CARTESIAN_POINT('',(1.19998039674059,4.25,20.6965369372612)); #220745=CARTESIAN_POINT('',(1.2755796,4.24,20.2074814)); #220746=CARTESIAN_POINT('',(1.2755796,4.25,20.2074814)); #220747=CARTESIAN_POINT('',(1.2755796,4.24,20.2074814)); #220748=CARTESIAN_POINT('Origin',(1.2753071,4.25,20.2122688)); #220749=CARTESIAN_POINT('',(1.2753071,4.25,20.2122688)); #220750=CARTESIAN_POINT('',(1.1790883715522,4.25,21.9026817929221)); #220751=CARTESIAN_POINT('',(1.2753071,4.24,20.2122688)); #220752=CARTESIAN_POINT('',(1.2753071,4.25,20.2122688)); #220753=CARTESIAN_POINT('',(1.2753071,4.24,20.2122688)); #220754=CARTESIAN_POINT('Origin',(1.2754688,4.25,20.2170849)); #220755=CARTESIAN_POINT('',(1.2754688,4.25,20.2170849)); #220756=CARTESIAN_POINT('',(1.36947865476831,4.25,23.017090228078)); #220757=CARTESIAN_POINT('',(1.2754688,4.24,20.2170849)); #220758=CARTESIAN_POINT('',(1.2754688,4.25,20.2170849)); #220759=CARTESIAN_POINT('',(1.2754688,4.24,20.2170849)); #220760=CARTESIAN_POINT('Origin',(1.2760624,4.25,20.2216702)); #220761=CARTESIAN_POINT('',(1.2760624,4.25,20.2216702)); #220762=CARTESIAN_POINT('',(1.78237193332054,4.25,24.1326895785952)); #220763=CARTESIAN_POINT('',(1.2760624,4.24,20.2216702)); #220764=CARTESIAN_POINT('',(1.2760624,4.25,20.2216702)); #220765=CARTESIAN_POINT('',(1.2760624,4.24,20.2216702)); #220766=CARTESIAN_POINT('Origin',(1.2770828,4.25,20.2260189)); #220767=CARTESIAN_POINT('',(1.2770828,4.25,20.2260189)); #220768=CARTESIAN_POINT('',(2.44781332326817,4.25,25.215391524987)); #220769=CARTESIAN_POINT('',(1.2770828,4.24,20.2260189)); #220770=CARTESIAN_POINT('',(1.2770828,4.25,20.2260189)); #220771=CARTESIAN_POINT('',(1.2770828,4.24,20.2260189)); #220772=CARTESIAN_POINT('Origin',(1.2785255,4.25,20.2301254)); #220773=CARTESIAN_POINT('',(1.2785255,4.25,20.2301254)); #220774=CARTESIAN_POINT('',(3.37138926281375,4.25,26.1872509576314)); #220775=CARTESIAN_POINT('',(1.2785255,4.24,20.2301254)); #220776=CARTESIAN_POINT('',(1.2785255,4.25,20.2301254)); #220777=CARTESIAN_POINT('',(1.2785255,4.24,20.2301254)); #220778=CARTESIAN_POINT('Origin',(1.2803855,4.25,20.2339859)); #220779=CARTESIAN_POINT('',(1.2803855,4.25,20.2339859)); #220780=CARTESIAN_POINT('',(4.52196299113952,4.25,26.9620019777117)); #220781=CARTESIAN_POINT('',(1.2803855,4.24,20.2339859)); #220782=CARTESIAN_POINT('',(1.2803855,4.25,20.2339859)); #220783=CARTESIAN_POINT('',(1.2803855,4.24,20.2339859)); #220784=CARTESIAN_POINT('Origin',(1.2826582,4.25,20.2375965)); #220785=CARTESIAN_POINT('',(1.2826582,4.25,20.2375965)); #220786=CARTESIAN_POINT('',(5.83488233087184,4.25,27.4696378811422)); #220787=CARTESIAN_POINT('',(1.2826582,4.24,20.2375965)); #220788=CARTESIAN_POINT('',(1.2826582,4.25,20.2375965)); #220789=CARTESIAN_POINT('',(1.2826582,4.24,20.2375965)); #220790=CARTESIAN_POINT('Origin',(1.2853389,4.25,20.2409515)); #220791=CARTESIAN_POINT('',(1.2853389,4.25,20.2409515)); #220792=CARTESIAN_POINT('',(7.2219420568746,4.25,27.6708405555886)); #220793=CARTESIAN_POINT('',(1.2853389,4.24,20.2409515)); #220794=CARTESIAN_POINT('',(1.2853389,4.25,20.2409515)); #220795=CARTESIAN_POINT('',(1.2853389,4.24,20.2409515)); #220796=CARTESIAN_POINT('Origin',(1.2884226,4.25,20.2440472)); #220797=CARTESIAN_POINT('',(1.2884226,4.25,20.2440472)); #220798=CARTESIAN_POINT('',(8.58149631352503,4.25,27.5655013930012)); #220799=CARTESIAN_POINT('',(1.2884226,4.24,20.2440472)); #220800=CARTESIAN_POINT('',(1.2884226,4.25,20.2440472)); #220801=CARTESIAN_POINT('',(1.2884226,4.24,20.2440472)); #220802=CARTESIAN_POINT('Origin',(1.2920725,4.25,20.2469273)); #220803=CARTESIAN_POINT('',(1.2920725,4.25,20.2469273)); #220804=CARTESIAN_POINT('',(9.99952641998085,4.25,27.1178930894582)); #220805=CARTESIAN_POINT('',(1.2920725,4.24,20.2469273)); #220806=CARTESIAN_POINT('',(1.2920725,4.25,20.2469273)); #220807=CARTESIAN_POINT('',(1.2920725,4.24,20.2469273)); #220808=CARTESIAN_POINT('Origin',(1.2962821,4.25,20.2494202)); #220809=CARTESIAN_POINT('',(1.2962821,4.25,20.2494202)); #220810=CARTESIAN_POINT('',(11.4084864606637,4.25,26.2378072796984)); #220811=CARTESIAN_POINT('',(1.2962821,4.24,20.2494202)); #220812=CARTESIAN_POINT('',(1.2962821,4.25,20.2494202)); #220813=CARTESIAN_POINT('',(1.2962821,4.24,20.2494202)); #220814=CARTESIAN_POINT('Origin',(1.3010435,4.25,20.251524)); #220815=CARTESIAN_POINT('',(1.3010435,4.25,20.251524)); #220816=CARTESIAN_POINT('',(12.4274024433455,4.25,25.1676482376204)); #220817=CARTESIAN_POINT('',(1.3010435,4.24,20.251524)); #220818=CARTESIAN_POINT('',(1.3010435,4.25,20.251524)); #220819=CARTESIAN_POINT('',(1.3010435,4.24,20.251524)); #220820=CARTESIAN_POINT('Origin',(1.306358,4.25,20.2532387)); #220821=CARTESIAN_POINT('',(1.306358,4.25,20.2532387)); #220822=CARTESIAN_POINT('',(13.0920474350836,4.25,24.0558394478293)); #220823=CARTESIAN_POINT('',(1.306358,4.24,20.2532387)); #220824=CARTESIAN_POINT('',(1.306358,4.25,20.2532387)); #220825=CARTESIAN_POINT('',(1.306358,4.24,20.2532387)); #220826=CARTESIAN_POINT('Origin',(1.3314289509894,4.25,20.1524747371862)); #220827=CARTESIAN_POINT('',(1.3331704,4.25,20.2562962)); #220828=CARTESIAN_POINT('Origin',(1.3314289509894,4.25,20.1524747371862)); #220829=CARTESIAN_POINT('',(1.3331704,4.24,20.2562962)); #220830=CARTESIAN_POINT('',(1.3331704,4.25,20.2562962)); #220831=CARTESIAN_POINT('Origin',(1.3314289509894,4.24,20.1524747371862)); #220832=CARTESIAN_POINT('Origin',(1.3624035,4.25,20.2562981)); #220833=CARTESIAN_POINT('',(13.5813558564029,4.25,20.2570922685792)); #220834=CARTESIAN_POINT('',(1.3624035,4.24,20.2562981)); #220835=CARTESIAN_POINT('Origin',(1.88126802126478,4.24,20.5716758705935)); #220836=CARTESIAN_POINT('Origin',(21.3592137182751,4.25,8.93414763176912)); #220837=CARTESIAN_POINT('',(21.377886,4.25,9.026493)); #220838=CARTESIAN_POINT('',(21.363188,4.25,9.028278)); #220839=CARTESIAN_POINT('Origin',(21.3592137182751,4.25,8.93414763176912)); #220840=CARTESIAN_POINT('',(21.377886,4.24,9.026493)); #220841=CARTESIAN_POINT('',(21.377886,4.25,9.026493)); #220842=CARTESIAN_POINT('',(21.363188,4.24,9.028278)); #220843=CARTESIAN_POINT('Origin',(21.3592137182751,4.24,8.93414763176912)); #220844=CARTESIAN_POINT('',(21.363188,4.25,9.028278)); #220845=CARTESIAN_POINT('Origin',(21.384726,4.25,9.024536)); #220846=CARTESIAN_POINT('',(21.384726,4.25,9.024536)); #220847=CARTESIAN_POINT('',(21.3128665090985,4.25,9.04509579878569)); #220848=CARTESIAN_POINT('',(21.384726,4.24,9.024536)); #220849=CARTESIAN_POINT('',(21.384726,4.25,9.024536)); #220850=CARTESIAN_POINT('',(21.384726,4.24,9.024536)); #220851=CARTESIAN_POINT('Origin',(21.391228,4.25,9.021877)); #220852=CARTESIAN_POINT('',(21.391228,4.25,9.021877)); #220853=CARTESIAN_POINT('',(20.4807481348836,4.25,9.39421873505769)); #220854=CARTESIAN_POINT('',(21.391228,4.24,9.021877)); #220855=CARTESIAN_POINT('',(21.391228,4.25,9.021877)); #220856=CARTESIAN_POINT('',(21.391228,4.24,9.021877)); #220857=CARTESIAN_POINT('Origin',(21.397392,4.25,9.018524)); #220858=CARTESIAN_POINT('',(21.397392,4.25,9.018524)); #220859=CARTESIAN_POINT('',(19.7418777037365,4.25,9.91906576433626)); #220860=CARTESIAN_POINT('',(21.397392,4.24,9.018524)); #220861=CARTESIAN_POINT('',(21.397392,4.25,9.018524)); #220862=CARTESIAN_POINT('',(21.397392,4.24,9.018524)); #220863=CARTESIAN_POINT('Origin',(21.403223,4.25,9.014481)); #220864=CARTESIAN_POINT('',(21.403223,4.25,9.014481)); #220865=CARTESIAN_POINT('',(19.1452520970149,4.25,10.5800746135772)); #220866=CARTESIAN_POINT('',(21.403223,4.24,9.014481)); #220867=CARTESIAN_POINT('',(21.403223,4.25,9.014481)); #220868=CARTESIAN_POINT('',(21.403223,4.24,9.014481)); #220869=CARTESIAN_POINT('Origin',(21.408718,4.25,9.009754)); #220870=CARTESIAN_POINT('',(21.408718,4.25,9.009754)); #220871=CARTESIAN_POINT('',(18.7178918521928,4.25,11.3245010792865)); #220872=CARTESIAN_POINT('',(21.408718,4.24,9.009754)); #220873=CARTESIAN_POINT('',(21.408718,4.25,9.009754)); #220874=CARTESIAN_POINT('',(21.408718,4.24,9.009754)); #220875=CARTESIAN_POINT('Origin',(21.413868,4.25,9.004337)); #220876=CARTESIAN_POINT('',(21.413868,4.25,9.004337)); #220877=CARTESIAN_POINT('',(18.4612105232862,4.25,12.1100740002653)); #220878=CARTESIAN_POINT('',(21.413868,4.24,9.004337)); #220879=CARTESIAN_POINT('',(21.413868,4.25,9.004337)); #220880=CARTESIAN_POINT('',(21.413868,4.24,9.004337)); #220881=CARTESIAN_POINT('Origin',(21.418478,4.25,8.998081)); #220882=CARTESIAN_POINT('',(21.418478,4.25,8.998081)); #220883=CARTESIAN_POINT('',(18.3684400715478,4.25,13.1371346399989)); #220884=CARTESIAN_POINT('',(21.418478,4.24,8.998081)); #220885=CARTESIAN_POINT('',(21.418478,4.25,8.998081)); #220886=CARTESIAN_POINT('',(21.418478,4.24,8.998081)); #220887=CARTESIAN_POINT('Origin',(21.3120824526419,4.25,8.93726968319521)); #220888=CARTESIAN_POINT('',(21.425833,4.25,8.982864)); #220889=CARTESIAN_POINT('Origin',(21.3120824526419,4.25,8.93726968319521)); #220890=CARTESIAN_POINT('',(21.425833,4.24,8.982864)); #220891=CARTESIAN_POINT('',(21.425833,4.25,8.982864)); #220892=CARTESIAN_POINT('Origin',(21.3120824526419,4.24,8.93726968319521)); #220893=CARTESIAN_POINT('Origin',(21.2895662473265,4.25,8.9380268058434)); #220894=CARTESIAN_POINT('',(21.430649,4.25,8.964001)); #220895=CARTESIAN_POINT('Origin',(21.2895662473265,4.25,8.9380268058434)); #220896=CARTESIAN_POINT('',(21.430649,4.24,8.964001)); #220897=CARTESIAN_POINT('',(21.430649,4.25,8.964001)); #220898=CARTESIAN_POINT('Origin',(21.2895662473265,4.24,8.9380268058434)); #220899=CARTESIAN_POINT('Origin',(18.8120482116207,4.25,8.69638429450076)); #220900=CARTESIAN_POINT('',(21.432953,4.25,8.452362)); #220901=CARTESIAN_POINT('Origin',(18.8120482116207,4.25,8.69638429450076)); #220902=CARTESIAN_POINT('',(21.432953,4.24,8.452362)); #220903=CARTESIAN_POINT('',(21.432953,4.25,8.452362)); #220904=CARTESIAN_POINT('Origin',(18.8120482116207,4.24,8.69638429450076)); #220905=CARTESIAN_POINT('Origin',(21.292069868276,4.25,8.45169459746426)); #220906=CARTESIAN_POINT('',(21.426628,4.25,8.40995)); #220907=CARTESIAN_POINT('Origin',(21.292069868276,4.25,8.45169459746426)); #220908=CARTESIAN_POINT('',(21.426628,4.24,8.40995)); #220909=CARTESIAN_POINT('',(21.426628,4.25,8.40995)); #220910=CARTESIAN_POINT('Origin',(21.292069868276,4.24,8.45169459746426)); #220911=CARTESIAN_POINT('Origin',(21.423433,4.25,8.401646)); #220912=CARTESIAN_POINT('',(21.423433,4.25,8.401646)); #220913=CARTESIAN_POINT('',(24.4870034410751,4.25,16.3640525548245)); #220914=CARTESIAN_POINT('',(21.423433,4.24,8.401646)); #220915=CARTESIAN_POINT('',(21.423433,4.25,8.401646)); #220916=CARTESIAN_POINT('',(21.423433,4.24,8.401646)); #220917=CARTESIAN_POINT('Origin',(21.419609,4.25,8.394245)); #220918=CARTESIAN_POINT('',(21.419609,4.25,8.394245)); #220919=CARTESIAN_POINT('',(25.2671448191827,4.25,15.8407964115596)); #220920=CARTESIAN_POINT('',(21.419609,4.24,8.394245)); #220921=CARTESIAN_POINT('',(21.419609,4.25,8.394245)); #220922=CARTESIAN_POINT('',(21.419609,4.24,8.394245)); #220923=CARTESIAN_POINT('Origin',(21.415165,4.25,8.387749)); #220924=CARTESIAN_POINT('',(21.415165,4.25,8.387749)); #220925=CARTESIAN_POINT('',(25.9848898984509,4.25,15.0675268893588)); #220926=CARTESIAN_POINT('',(21.415165,4.24,8.387749)); #220927=CARTESIAN_POINT('',(21.415165,4.25,8.387749)); #220928=CARTESIAN_POINT('',(21.415165,4.24,8.387749)); #220929=CARTESIAN_POINT('Origin',(21.3335731837163,4.25,8.45814622262613)); #220930=CARTESIAN_POINT('',(21.40473,4.25,8.377216)); #220931=CARTESIAN_POINT('Origin',(21.3335731837163,4.25,8.45814622262613)); #220932=CARTESIAN_POINT('',(21.40473,4.24,8.377216)); #220933=CARTESIAN_POINT('',(21.40473,4.25,8.377216)); #220934=CARTESIAN_POINT('Origin',(21.3335731837163,4.24,8.45814622262613)); #220935=CARTESIAN_POINT('Origin',(21.398989,4.25,8.37299)); #220936=CARTESIAN_POINT('',(21.398989,4.25,8.37299)); #220937=CARTESIAN_POINT('',(26.7951795370513,4.25,12.3451727572907)); #220938=CARTESIAN_POINT('',(21.398989,4.24,8.37299)); #220939=CARTESIAN_POINT('',(21.398989,4.25,8.37299)); #220940=CARTESIAN_POINT('',(21.398989,4.24,8.37299)); #220941=CARTESIAN_POINT('Origin',(21.3509224933756,4.25,8.45157092792243)); #220942=CARTESIAN_POINT('',(21.386503,4.25,8.366604)); #220943=CARTESIAN_POINT('Origin',(21.3509224933756,4.25,8.45157092792243)); #220944=CARTESIAN_POINT('',(21.386503,4.24,8.366604)); #220945=CARTESIAN_POINT('',(21.386503,4.25,8.366604)); #220946=CARTESIAN_POINT('Origin',(21.3509224933756,4.24,8.45157092792243)); #220947=CARTESIAN_POINT('Origin',(21.3534925250881,4.25,8.46548458697178)); #220948=CARTESIAN_POINT('',(21.372667,4.25,8.363018)); #220949=CARTESIAN_POINT('Origin',(21.3534925250881,4.25,8.46548458697178)); #220950=CARTESIAN_POINT('',(21.372667,4.24,8.363018)); #220951=CARTESIAN_POINT('',(21.372667,4.25,8.363018)); #220952=CARTESIAN_POINT('Origin',(21.3534925250881,4.24,8.46548458697178)); #220953=CARTESIAN_POINT('Origin',(21.36524,4.25,8.362289)); #220954=CARTESIAN_POINT('',(21.36524,4.25,8.362289)); #220955=CARTESIAN_POINT('',(24.3702091046108,4.25,8.65724288141366)); #220956=CARTESIAN_POINT('',(21.36524,4.24,8.362289)); #220957=CARTESIAN_POINT('',(21.36524,4.25,8.362289)); #220958=CARTESIAN_POINT('',(21.36524,4.24,8.362289)); #220959=CARTESIAN_POINT('Origin',(21.3626110196688,4.25,8.47360026171883)); #220960=CARTESIAN_POINT('',(21.349821,4.25,8.362995)); #220961=CARTESIAN_POINT('Origin',(21.3626110196688,4.25,8.47360026171883)); #220962=CARTESIAN_POINT('',(21.349821,4.24,8.362995)); #220963=CARTESIAN_POINT('',(21.349821,4.25,8.362995)); #220964=CARTESIAN_POINT('Origin',(21.3626110196688,4.24,8.47360026171883)); #220965=CARTESIAN_POINT('Origin',(21.342522,4.25,8.364414)); #220966=CARTESIAN_POINT('',(21.342522,4.25,8.364414)); #220967=CARTESIAN_POINT('',(21.9319207401761,4.25,8.24982887706392)); #220968=CARTESIAN_POINT('',(21.342522,4.24,8.364414)); #220969=CARTESIAN_POINT('',(21.342522,4.25,8.364414)); #220970=CARTESIAN_POINT('',(21.342522,4.24,8.364414)); #220971=CARTESIAN_POINT('Origin',(21.3691802744761,4.25,8.45826298733608)); #220972=CARTESIAN_POINT('',(21.32897,4.25,8.369373)); #220973=CARTESIAN_POINT('Origin',(21.3691802744761,4.25,8.45826298733608)); #220974=CARTESIAN_POINT('',(21.32897,4.24,8.369373)); #220975=CARTESIAN_POINT('',(21.32897,4.25,8.369373)); #220976=CARTESIAN_POINT('Origin',(21.3691802744761,4.24,8.45826298733608)); #220977=CARTESIAN_POINT('Origin',(21.3754411259583,4.25,8.45535233130246)); #220978=CARTESIAN_POINT('',(21.316879,4.25,8.377106)); #220979=CARTESIAN_POINT('Origin',(21.3754411259583,4.25,8.45535233130246)); #220980=CARTESIAN_POINT('',(21.316879,4.24,8.377106)); #220981=CARTESIAN_POINT('',(21.316879,4.25,8.377106)); #220982=CARTESIAN_POINT('Origin',(21.3754411259583,4.24,8.45535233130246)); #220983=CARTESIAN_POINT('Origin',(21.311407,4.25,8.382)); #220984=CARTESIAN_POINT('',(21.311407,4.25,8.382)); #220985=CARTESIAN_POINT('',(18.4295609476827,4.25,10.9594405299772)); #220986=CARTESIAN_POINT('',(21.311407,4.24,8.382)); #220987=CARTESIAN_POINT('',(21.311407,4.25,8.382)); #220988=CARTESIAN_POINT('',(21.311407,4.24,8.382)); #220989=CARTESIAN_POINT('Origin',(21.30636,4.25,8.387604)); #220990=CARTESIAN_POINT('',(21.30636,4.25,8.387604)); #220991=CARTESIAN_POINT('',(18.1821468012001,4.25,11.8566134642532)); #220992=CARTESIAN_POINT('',(21.30636,4.24,8.387604)); #220993=CARTESIAN_POINT('',(21.30636,4.25,8.387604)); #220994=CARTESIAN_POINT('',(21.30636,4.24,8.387604)); #220995=CARTESIAN_POINT('Origin',(21.3918987215388,4.25,8.44591044196393)); #220996=CARTESIAN_POINT('',(21.288561,4.25,8.452061)); #220997=CARTESIAN_POINT('Origin',(21.3918987215388,4.25,8.44591044196393)); #220998=CARTESIAN_POINT('',(21.288561,4.24,8.452061)); #220999=CARTESIAN_POINT('',(21.288561,4.25,8.452061)); #221000=CARTESIAN_POINT('Origin',(21.3918987215388,4.24,8.44591044196393)); #221001=CARTESIAN_POINT('Origin',(21.288504,4.25,8.622894)); #221002=CARTESIAN_POINT('',(21.288504,4.25,8.622894)); #221003=CARTESIAN_POINT('',(21.2857720654915,4.25,16.8106934374198)); #221004=CARTESIAN_POINT('',(21.288504,4.24,8.622894)); #221005=CARTESIAN_POINT('',(21.288504,4.25,8.622894)); #221006=CARTESIAN_POINT('',(21.288504,4.24,8.622894)); #221007=CARTESIAN_POINT('Origin',(21.288065,4.25,8.622997)); #221008=CARTESIAN_POINT('',(21.288065,4.25,8.622997)); #221009=CARTESIAN_POINT('',(21.6053464647815,4.25,8.54855510735038)); #221010=CARTESIAN_POINT('',(21.288065,4.24,8.622997)); #221011=CARTESIAN_POINT('',(21.288065,4.25,8.622997)); #221012=CARTESIAN_POINT('',(21.288065,4.24,8.622997)); #221013=CARTESIAN_POINT('Origin',(20.770414,4.25,8.623096)); #221014=CARTESIAN_POINT('',(20.770414,4.25,8.623096)); #221015=CARTESIAN_POINT('',(23.2836408785544,4.25,8.62261534901899)); #221016=CARTESIAN_POINT('',(20.770414,4.24,8.623096)); #221017=CARTESIAN_POINT('',(20.770414,4.25,8.623096)); #221018=CARTESIAN_POINT('',(20.770414,4.24,8.623096)); #221019=CARTESIAN_POINT('Origin',(20.770304,4.25,8.621925)); #221020=CARTESIAN_POINT('',(20.770304,4.25,8.621925)); #221021=CARTESIAN_POINT('',(21.5548246505089,4.25,16.9735039248058)); #221022=CARTESIAN_POINT('',(20.770304,4.24,8.621925)); #221023=CARTESIAN_POINT('',(20.770304,4.25,8.621925)); #221024=CARTESIAN_POINT('',(20.770304,4.24,8.621925)); #221025=CARTESIAN_POINT('Origin',(20.770304,4.25,7.87241)); #221026=CARTESIAN_POINT('',(20.770304,4.25,7.87241)); #221027=CARTESIAN_POINT('',(20.770304,4.25,16.436205)); #221028=CARTESIAN_POINT('',(20.770304,4.24,7.87241)); #221029=CARTESIAN_POINT('',(20.770304,4.25,7.87241)); #221030=CARTESIAN_POINT('',(20.770304,4.24,7.87241)); #221031=CARTESIAN_POINT('Origin',(21.877104,4.25,7.872314)); #221032=CARTESIAN_POINT('',(21.877104,4.25,7.872314)); #221033=CARTESIAN_POINT('',(23.8378091871574,4.25,7.87214393522049)); #221034=CARTESIAN_POINT('',(21.877104,4.24,7.872314)); #221035=CARTESIAN_POINT('',(21.877104,4.25,7.872314)); #221036=CARTESIAN_POINT('',(21.877104,4.24,7.872314)); #221037=CARTESIAN_POINT('Origin',(23.2898332321334,4.25,8.05249601477605)); #221038=CARTESIAN_POINT('',(21.881918,4.25,8.267075)); #221039=CARTESIAN_POINT('Origin',(23.2898332321334,4.25,8.05249601477605)); #221040=CARTESIAN_POINT('',(21.881918,4.24,8.267075)); #221041=CARTESIAN_POINT('',(21.881918,4.25,8.267075)); #221042=CARTESIAN_POINT('Origin',(23.2898332321334,4.24,8.05249601477605)); #221043=CARTESIAN_POINT('Origin',(21.884739,4.25,8.275898)); #221044=CARTESIAN_POINT('',(21.884739,4.25,8.275898)); #221045=CARTESIAN_POINT('',(24.4919524742692,4.25,16.4302562004518)); #221046=CARTESIAN_POINT('',(21.884739,4.24,8.275898)); #221047=CARTESIAN_POINT('',(21.884739,4.25,8.275898)); #221048=CARTESIAN_POINT('',(21.884739,4.24,8.275898)); #221049=CARTESIAN_POINT('Origin',(21.888193,4.25,8.28381)); #221050=CARTESIAN_POINT('',(21.888193,4.25,8.28381)); #221051=CARTESIAN_POINT('',(25.265961011217,4.25,16.021187100386)); #221052=CARTESIAN_POINT('',(21.888193,4.24,8.28381)); #221053=CARTESIAN_POINT('',(21.888193,4.25,8.28381)); #221054=CARTESIAN_POINT('',(21.888193,4.24,8.28381)); #221055=CARTESIAN_POINT('Origin',(21.892279,4.25,8.290813)); #221056=CARTESIAN_POINT('',(21.892279,4.25,8.290813)); #221057=CARTESIAN_POINT('',(26.0251006206648,4.25,15.3740606283683)); #221058=CARTESIAN_POINT('',(21.892279,4.24,8.290813)); #221059=CARTESIAN_POINT('',(21.892279,4.25,8.290813)); #221060=CARTESIAN_POINT('',(21.892279,4.24,8.290813)); #221061=CARTESIAN_POINT('Origin',(21.896988,4.25,8.296913)); #221062=CARTESIAN_POINT('',(21.896988,4.25,8.296913)); #221063=CARTESIAN_POINT('',(26.6654109355832,4.25,14.4738889836628)); #221064=CARTESIAN_POINT('',(21.896988,4.24,8.296913)); #221065=CARTESIAN_POINT('',(21.896988,4.25,8.296913)); #221066=CARTESIAN_POINT('',(21.896988,4.24,8.296913)); #221067=CARTESIAN_POINT('Origin',(21.902229,4.25,8.302181)); #221068=CARTESIAN_POINT('',(21.902229,4.25,8.302181)); #221069=CARTESIAN_POINT('',(27.0460642948639,4.25,13.4725157325573)); #221070=CARTESIAN_POINT('',(21.902229,4.24,8.302181)); #221071=CARTESIAN_POINT('',(21.902229,4.25,8.302181)); #221072=CARTESIAN_POINT('',(21.902229,4.24,8.302181)); #221073=CARTESIAN_POINT('Origin',(21.9610114142582,4.25,8.23406316001346)); #221074=CARTESIAN_POINT('',(21.913876,4.25,8.310703)); #221075=CARTESIAN_POINT('Origin',(21.9610114142582,4.25,8.23406316001346)); #221076=CARTESIAN_POINT('',(21.913876,4.24,8.310703)); #221077=CARTESIAN_POINT('',(21.913876,4.25,8.310703)); #221078=CARTESIAN_POINT('Origin',(21.9610114142582,4.24,8.23406316001346)); #221079=CARTESIAN_POINT('Origin',(21.9641258300707,4.25,8.21453856967903)); #221080=CARTESIAN_POINT('',(21.927008,4.25,8.316494)); #221081=CARTESIAN_POINT('Origin',(21.9641258300707,4.25,8.21453856967903)); #221082=CARTESIAN_POINT('',(21.927008,4.24,8.316494)); #221083=CARTESIAN_POINT('',(21.927008,4.25,8.316494)); #221084=CARTESIAN_POINT('Origin',(21.9641258300707,4.24,8.21453856967903)); #221085=CARTESIAN_POINT('Origin',(21.9545160558774,4.25,8.21934374207103)); #221086=CARTESIAN_POINT('',(21.941547,4.25,8.319477)); #221087=CARTESIAN_POINT('Origin',(21.9545160558774,4.25,8.21934374207103)); #221088=CARTESIAN_POINT('',(21.941547,4.24,8.319477)); #221089=CARTESIAN_POINT('',(21.941547,4.25,8.319477)); #221090=CARTESIAN_POINT('Origin',(21.9545160558774,4.24,8.21934374207103)); #221091=CARTESIAN_POINT('Origin',(21.949322,4.25,8.319897)); #221092=CARTESIAN_POINT('',(21.949322,4.25,8.319897)); #221093=CARTESIAN_POINT('',(24.3182718876174,4.25,8.4478659971456)); #221094=CARTESIAN_POINT('',(21.949322,4.24,8.319897)); #221095=CARTESIAN_POINT('',(21.949322,4.25,8.319897)); #221096=CARTESIAN_POINT('',(21.949322,4.24,8.319897)); #221097=CARTESIAN_POINT('Origin',(21.956961,4.25,8.319588)); #221098=CARTESIAN_POINT('',(21.956961,4.25,8.319588)); #221099=CARTESIAN_POINT('',(23.5385286863659,4.25,8.25561307984144)); #221100=CARTESIAN_POINT('',(21.956961,4.24,8.319588)); #221101=CARTESIAN_POINT('',(21.956961,4.25,8.319588)); #221102=CARTESIAN_POINT('',(21.956961,4.24,8.319588)); #221103=CARTESIAN_POINT('Origin',(21.964247,4.25,8.318554)); #221104=CARTESIAN_POINT('',(21.964247,4.25,8.318554)); #221105=CARTESIAN_POINT('',(22.6839465685645,4.25,8.21641711365738)); #221106=CARTESIAN_POINT('',(21.964247,4.24,8.318554)); #221107=CARTESIAN_POINT('',(21.964247,4.25,8.318554)); #221108=CARTESIAN_POINT('',(21.964247,4.24,8.318554)); #221109=CARTESIAN_POINT('Origin',(21.9404194787247,4.25,8.2174954371693)); #221110=CARTESIAN_POINT('',(21.977802,4.25,8.314362)); #221111=CARTESIAN_POINT('Origin',(21.9404194787247,4.25,8.2174954371693)); #221112=CARTESIAN_POINT('',(21.977802,4.24,8.314362)); #221113=CARTESIAN_POINT('',(21.977802,4.25,8.314362)); #221114=CARTESIAN_POINT('Origin',(21.9404194787247,4.24,8.2174954371693)); #221115=CARTESIAN_POINT('Origin',(21.984074,4.25,8.311218)); #221116=CARTESIAN_POINT('',(21.984074,4.25,8.311218)); #221117=CARTESIAN_POINT('',(20.1660308276616,4.25,9.22255851878574)); #221118=CARTESIAN_POINT('',(21.984074,4.24,8.311218)); #221119=CARTESIAN_POINT('',(21.984074,4.25,8.311218)); #221120=CARTESIAN_POINT('',(21.984074,4.24,8.311218)); #221121=CARTESIAN_POINT('Origin',(21.990009,4.25,8.307396)); #221122=CARTESIAN_POINT('',(21.990009,4.25,8.307396)); #221123=CARTESIAN_POINT('',(19.5373248063808,4.25,9.88686676461901)); #221124=CARTESIAN_POINT('',(21.990009,4.24,8.307396)); #221125=CARTESIAN_POINT('',(21.990009,4.25,8.307396)); #221126=CARTESIAN_POINT('',(21.990009,4.24,8.307396)); #221127=CARTESIAN_POINT('Origin',(21.9208967073931,4.25,8.21873824525325)); #221128=CARTESIAN_POINT('',(22.000879,4.25,8.297729)); #221129=CARTESIAN_POINT('Origin',(21.9208967073931,4.25,8.21873824525325)); #221130=CARTESIAN_POINT('',(22.000879,4.24,8.297729)); #221131=CARTESIAN_POINT('',(22.000879,4.25,8.297729)); #221132=CARTESIAN_POINT('Origin',(21.9208967073931,4.24,8.21873824525325)); #221133=CARTESIAN_POINT('Origin',(22.005682,4.25,8.291759)); #221134=CARTESIAN_POINT('',(22.005682,4.25,8.291759)); #221135=CARTESIAN_POINT('',(18.670967792295,4.25,12.4367191957121)); #221136=CARTESIAN_POINT('',(22.005682,4.24,8.291759)); #221137=CARTESIAN_POINT('',(22.005682,4.25,8.291759)); #221138=CARTESIAN_POINT('',(22.005682,4.24,8.291759)); #221139=CARTESIAN_POINT('Origin',(22.009871,4.25,8.284889)); #221140=CARTESIAN_POINT('',(22.009871,4.25,8.284889)); #221141=CARTESIAN_POINT('',(18.8086280302576,4.25,13.5349580384628)); #221142=CARTESIAN_POINT('',(22.009871,4.24,8.284889)); #221143=CARTESIAN_POINT('',(22.009871,4.25,8.284889)); #221144=CARTESIAN_POINT('',(22.009871,4.24,8.284889)); #221145=CARTESIAN_POINT('Origin',(22.013433,4.25,8.277115)); #221146=CARTESIAN_POINT('',(22.013433,4.25,8.277115)); #221147=CARTESIAN_POINT('',(19.1755414301026,4.25,14.470761564966)); #221148=CARTESIAN_POINT('',(22.013433,4.24,8.277115)); #221149=CARTESIAN_POINT('',(22.013433,4.25,8.277115)); #221150=CARTESIAN_POINT('',(22.013433,4.24,8.277115)); #221151=CARTESIAN_POINT('Origin',(21.8945968396199,4.25,8.23358329101237)); #221152=CARTESIAN_POINT('',(22.0203,4.25,8.24827199999998)); #221153=CARTESIAN_POINT('Origin',(21.8945968396199,4.25,8.23358329101237)); #221154=CARTESIAN_POINT('',(22.0203,4.24,8.24827199999998)); #221155=CARTESIAN_POINT('',(22.0203,4.25,8.24827199999998)); #221156=CARTESIAN_POINT('Origin',(21.8945968396199,4.24,8.23358329101237)); #221157=CARTESIAN_POINT('Origin',(13.2627468324328,4.25,7.97285706650259)); #221158=CARTESIAN_POINT('',(22.021202,4.25,7.727798)); #221159=CARTESIAN_POINT('Origin',(13.2627468324328,4.25,7.97285706650259)); #221160=CARTESIAN_POINT('',(22.021202,4.24,7.727798)); #221161=CARTESIAN_POINT('',(22.021202,4.25,7.727798)); #221162=CARTESIAN_POINT('Origin',(13.2627468324328,4.24,7.97285706650259)); #221163=CARTESIAN_POINT('Origin',(20.482494,4.25,7.727894)); #221164=CARTESIAN_POINT('',(20.482494,4.25,7.727894)); #221165=CARTESIAN_POINT('',(23.140708186262,4.25,7.72772815400331)); #221166=CARTESIAN_POINT('',(20.482494,4.24,7.727894)); #221167=CARTESIAN_POINT('',(20.482494,4.25,7.727894)); #221168=CARTESIAN_POINT('',(20.482494,4.24,7.727894)); #221169=CARTESIAN_POINT('Origin',(20.4470239134659,4.25,7.99516594692185)); #221170=CARTESIAN_POINT('',(20.376226,4.25,7.735012)); #221171=CARTESIAN_POINT('Origin',(20.4470239134659,4.25,7.99516594692185)); #221172=CARTESIAN_POINT('',(20.376226,4.24,7.735012)); #221173=CARTESIAN_POINT('',(20.376226,4.25,7.735012)); #221174=CARTESIAN_POINT('Origin',(20.4470239134659,4.24,7.99516594692185)); #221175=CARTESIAN_POINT('Origin',(20.369108,4.25,7.738029)); #221176=CARTESIAN_POINT('',(20.369108,4.25,7.738029)); #221177=CARTESIAN_POINT('',(19.569855649321,4.25,8.07679611744817)); #221178=CARTESIAN_POINT('',(20.369108,4.24,7.738029)); #221179=CARTESIAN_POINT('',(20.369108,4.25,7.738029)); #221180=CARTESIAN_POINT('',(20.369108,4.24,7.738029)); #221181=CARTESIAN_POINT('Origin',(20.362967,4.25,7.74157)); #221182=CARTESIAN_POINT('',(20.362967,4.25,7.74157)); #221183=CARTESIAN_POINT('',(18.6689693440153,4.25,8.71835646797643)); #221184=CARTESIAN_POINT('',(20.362967,4.24,7.74157)); #221185=CARTESIAN_POINT('',(20.362967,4.25,7.74157)); #221186=CARTESIAN_POINT('',(20.362967,4.24,7.74157)); #221187=CARTESIAN_POINT('Origin',(20.357515,4.25,7.745888)); #221188=CARTESIAN_POINT('',(20.357515,4.25,7.745888)); #221189=CARTESIAN_POINT('',(17.8309431438765,4.25,9.74694032478927)); #221190=CARTESIAN_POINT('',(20.357515,4.24,7.745888)); #221191=CARTESIAN_POINT('',(20.357515,4.25,7.745888)); #221192=CARTESIAN_POINT('',(20.357515,4.24,7.745888)); #221193=CARTESIAN_POINT('Origin',(20.4390136457845,4.25,7.82995696577746)); #221194=CARTESIAN_POINT('',(20.346575,4.25,7.758091)); #221195=CARTESIAN_POINT('Origin',(20.4390136457845,4.25,7.82995696577746)); #221196=CARTESIAN_POINT('',(20.346575,4.24,7.758091)); #221197=CARTESIAN_POINT('',(20.346575,4.25,7.758091)); #221198=CARTESIAN_POINT('Origin',(20.4390136457845,4.24,7.82995696577746)); #221199=CARTESIAN_POINT('Origin',(20.342348,4.25,7.764622)); #221200=CARTESIAN_POINT('',(20.342348,4.25,7.764622)); #221201=CARTESIAN_POINT('',(17.2170585773164,4.25,12.5934048766352)); #221202=CARTESIAN_POINT('',(20.342348,4.24,7.764622)); #221203=CARTESIAN_POINT('',(20.342348,4.25,7.764622)); #221204=CARTESIAN_POINT('',(20.342348,4.24,7.764622)); #221205=CARTESIAN_POINT('Origin',(20.33894,4.25,7.771454)); #221206=CARTESIAN_POINT('',(20.33894,4.25,7.771454)); #221207=CARTESIAN_POINT('',(17.4421410467686,4.25,13.5786519015508)); #221208=CARTESIAN_POINT('',(20.33894,4.24,7.771454)); #221209=CARTESIAN_POINT('',(20.33894,4.25,7.771454)); #221210=CARTESIAN_POINT('',(20.33894,4.24,7.771454)); #221211=CARTESIAN_POINT('Origin',(20.336342,4.25,7.778591)); #221212=CARTESIAN_POINT('',(20.336342,4.25,7.778591)); #221213=CARTESIAN_POINT('',(17.8882719557774,4.25,14.5037164448101)); #221214=CARTESIAN_POINT('',(20.336342,4.24,7.778591)); #221215=CARTESIAN_POINT('',(20.336342,4.25,7.778591)); #221216=CARTESIAN_POINT('',(20.336342,4.24,7.778591)); #221217=CARTESIAN_POINT('Origin',(20.334545,4.25,7.786041)); #221218=CARTESIAN_POINT('',(20.334545,4.25,7.786041)); #221219=CARTESIAN_POINT('',(18.5228714989692,4.25,15.2968743793496)); #221220=CARTESIAN_POINT('',(20.334545,4.24,7.786041)); #221221=CARTESIAN_POINT('',(20.334545,4.25,7.786041)); #221222=CARTESIAN_POINT('',(20.334545,4.24,7.786041)); #221223=CARTESIAN_POINT('Origin',(20.33354,4.25,7.793808)); #221224=CARTESIAN_POINT('',(20.33354,4.25,7.793808)); #221225=CARTESIAN_POINT('',(19.2836934566523,4.25,15.9073981514001)); #221226=CARTESIAN_POINT('',(20.33354,4.24,7.793808)); #221227=CARTESIAN_POINT('',(20.33354,4.25,7.793808)); #221228=CARTESIAN_POINT('',(20.33354,4.24,7.793808)); #221229=CARTESIAN_POINT('Origin',(20.333321,4.25,7.801888)); #221230=CARTESIAN_POINT('',(20.333321,4.25,7.801888)); #221231=CARTESIAN_POINT('',(20.102430123491,4.25,16.3206016172699)); #221232=CARTESIAN_POINT('',(20.333321,4.24,7.801888)); #221233=CARTESIAN_POINT('',(20.333321,4.25,7.801888)); #221234=CARTESIAN_POINT('',(20.333321,4.24,7.801888)); #221235=CARTESIAN_POINT('Origin',(20.333838,4.25,7.809799)); #221236=CARTESIAN_POINT('',(20.333838,4.25,7.809799)); #221237=CARTESIAN_POINT('',(20.904779622226,4.25,16.5461997222619)); #221238=CARTESIAN_POINT('',(20.333838,4.24,7.809799)); #221239=CARTESIAN_POINT('',(20.333838,4.25,7.809799)); #221240=CARTESIAN_POINT('',(20.333838,4.24,7.809799)); #221241=CARTESIAN_POINT('Origin',(20.33507,4.25,7.817318)); #221242=CARTESIAN_POINT('',(20.33507,4.25,7.817318)); #221243=CARTESIAN_POINT('',(21.7774111550332,4.25,16.6200478252425)); #221244=CARTESIAN_POINT('',(20.33507,4.24,7.817318)); #221245=CARTESIAN_POINT('',(20.33507,4.25,7.817318)); #221246=CARTESIAN_POINT('',(20.33507,4.24,7.817318)); #221247=CARTESIAN_POINT('Origin',(20.337008,4.25,7.824436)); #221248=CARTESIAN_POINT('',(20.337008,4.25,7.824436)); #221249=CARTESIAN_POINT('',(22.7023264478172,4.25,16.5119162433448)); #221250=CARTESIAN_POINT('',(20.337008,4.24,7.824436)); #221251=CARTESIAN_POINT('',(20.337008,4.25,7.824436)); #221252=CARTESIAN_POINT('',(20.337008,4.24,7.824436)); #221253=CARTESIAN_POINT('Origin',(20.339649,4.25,7.831146)); #221254=CARTESIAN_POINT('',(20.339649,4.25,7.831146)); #221255=CARTESIAN_POINT('',(23.631410128467,4.25,16.1945375834899)); #221256=CARTESIAN_POINT('',(20.339649,4.24,7.831146)); #221257=CARTESIAN_POINT('',(20.339649,4.25,7.831146)); #221258=CARTESIAN_POINT('',(20.339649,4.24,7.831146)); #221259=CARTESIAN_POINT('Origin',(20.342987,4.25,7.837437)); #221260=CARTESIAN_POINT('',(20.342987,4.25,7.837437)); #221261=CARTESIAN_POINT('',(24.4953440835961,4.25,15.6632244214668)); #221262=CARTESIAN_POINT('',(20.342987,4.24,7.837437)); #221263=CARTESIAN_POINT('',(20.342987,4.25,7.837437)); #221264=CARTESIAN_POINT('',(20.342987,4.24,7.837437)); #221265=CARTESIAN_POINT('Origin',(20.347013,4.25,7.843296)); #221266=CARTESIAN_POINT('',(20.347013,4.25,7.843296)); #221267=CARTESIAN_POINT('',(25.225498922637,4.25,14.9429107592545)); #221268=CARTESIAN_POINT('',(20.347013,4.24,7.843296)); #221269=CARTESIAN_POINT('',(20.347013,4.25,7.843296)); #221270=CARTESIAN_POINT('',(20.347013,4.24,7.843296)); #221271=CARTESIAN_POINT('Origin',(20.351727,4.25,7.848717)); #221272=CARTESIAN_POINT('',(20.351727,4.25,7.848717)); #221273=CARTESIAN_POINT('',(25.7709763923327,4.25,14.0807388404453)); #221274=CARTESIAN_POINT('',(20.351727,4.24,7.848717)); #221275=CARTESIAN_POINT('',(20.351727,4.25,7.848717)); #221276=CARTESIAN_POINT('',(20.351727,4.24,7.848717)); #221277=CARTESIAN_POINT('Origin',(20.357124,4.25,7.853741)); #221278=CARTESIAN_POINT('',(20.357124,4.25,7.853741)); #221279=CARTESIAN_POINT('',(26.090722461253,4.25,13.1910763102343)); #221280=CARTESIAN_POINT('',(20.357124,4.24,7.853741)); #221281=CARTESIAN_POINT('',(20.357124,4.25,7.853741)); #221282=CARTESIAN_POINT('',(20.357124,4.24,7.853741)); #221283=CARTESIAN_POINT('Origin',(20.4195829191254,4.25,7.76111398487581)); #221284=CARTESIAN_POINT('',(20.429419,4.25,7.872398)); #221285=CARTESIAN_POINT('Origin',(20.4195829191254,4.25,7.76111398487581)); #221286=CARTESIAN_POINT('',(20.429419,4.24,7.872398)); #221287=CARTESIAN_POINT('',(20.429419,4.25,7.872398)); #221288=CARTESIAN_POINT('Origin',(20.4195829191254,4.24,7.76111398487581)); #221289=CARTESIAN_POINT('Origin',(20.625637,4.25,7.872398)); #221290=CARTESIAN_POINT('',(20.625637,4.25,7.872398)); #221291=CARTESIAN_POINT('',(23.2128185,4.25,7.872398)); #221292=CARTESIAN_POINT('',(20.625637,4.24,7.872398)); #221293=CARTESIAN_POINT('',(20.625637,4.25,7.872398)); #221294=CARTESIAN_POINT('',(20.625637,4.24,7.872398)); #221295=CARTESIAN_POINT('Origin',(-34.0778135550074,4.25,8.74232944449665)); #221296=CARTESIAN_POINT('',(20.625904,4.25,9.595306)); #221297=CARTESIAN_POINT('Origin',(-34.0778135550074,4.25,8.74232944449665)); #221298=CARTESIAN_POINT('',(20.625904,4.24,9.595306)); #221299=CARTESIAN_POINT('',(20.625904,4.25,9.595306)); #221300=CARTESIAN_POINT('Origin',(-34.0778135550074,4.24,8.74232944449665)); #221301=CARTESIAN_POINT('Origin',(20.5277592952148,4.25,10.6855643276985)); #221302=CARTESIAN_POINT('',(20.389687,4.25,9.59964)); #221303=CARTESIAN_POINT('Origin',(20.5277592952148,4.25,10.6855643276985)); #221304=CARTESIAN_POINT('',(20.389687,4.24,9.59964)); #221305=CARTESIAN_POINT('',(20.389687,4.25,9.59964)); #221306=CARTESIAN_POINT('Origin',(20.5277592952148,4.24,10.6855643276985)); #221307=CARTESIAN_POINT('Origin',(20.4142176824225,4.25,9.7052627080021)); #221308=CARTESIAN_POINT('',(20.37228,4.25,9.605267)); #221309=CARTESIAN_POINT('Origin',(20.4142176824225,4.25,9.7052627080021)); #221310=CARTESIAN_POINT('',(20.37228,4.24,9.605267)); #221311=CARTESIAN_POINT('',(20.37228,4.25,9.605267)); #221312=CARTESIAN_POINT('Origin',(20.4142176824225,4.24,9.7052627080021)); #221313=CARTESIAN_POINT('Origin',(20.411765473289,4.25,9.68695211720025)); #221314=CARTESIAN_POINT('',(20.358515,4.25,9.613495)); #221315=CARTESIAN_POINT('Origin',(20.411765473289,4.25,9.68695211720025)); #221316=CARTESIAN_POINT('',(20.358515,4.24,9.613495)); #221317=CARTESIAN_POINT('',(20.358515,4.25,9.613495)); #221318=CARTESIAN_POINT('Origin',(20.411765473289,4.24,9.68695211720025)); #221319=CARTESIAN_POINT('Origin',(20.352951,4.25,9.61845)); #221320=CARTESIAN_POINT('',(20.352951,4.25,9.61845)); #221321=CARTESIAN_POINT('',(18.05217036711,4.25,11.667401839679)); #221322=CARTESIAN_POINT('',(20.352951,4.24,9.61845)); #221323=CARTESIAN_POINT('',(20.352951,4.25,9.61845)); #221324=CARTESIAN_POINT('',(20.352951,4.24,9.61845)); #221325=CARTESIAN_POINT('Origin',(20.348091,4.25,9.623764)); #221326=CARTESIAN_POINT('',(20.348091,4.25,9.623764)); #221327=CARTESIAN_POINT('',(17.760887849229,4.25,12.452652383373)); #221328=CARTESIAN_POINT('',(20.348091,4.24,9.623764)); #221329=CARTESIAN_POINT('',(20.348091,4.25,9.623764)); #221330=CARTESIAN_POINT('',(20.348091,4.24,9.623764)); #221331=CARTESIAN_POINT('Origin',(20.343912,4.25,9.629513)); #221332=CARTESIAN_POINT('',(20.343912,4.25,9.629513)); #221333=CARTESIAN_POINT('',(17.6319307158117,4.25,13.3603530102411)); #221334=CARTESIAN_POINT('',(20.343912,4.24,9.629513)); #221335=CARTESIAN_POINT('',(20.343912,4.25,9.629513)); #221336=CARTESIAN_POINT('',(20.343912,4.24,9.629513)); #221337=CARTESIAN_POINT('Origin',(20.340424,4.25,9.635693)); #221338=CARTESIAN_POINT('',(20.340424,4.25,9.635693)); #221339=CARTESIAN_POINT('',(17.7115895006554,4.25,14.2934330246423)); #221340=CARTESIAN_POINT('',(20.340424,4.24,9.635693)); #221341=CARTESIAN_POINT('',(20.340424,4.25,9.635693)); #221342=CARTESIAN_POINT('',(20.340424,4.24,9.635693)); #221343=CARTESIAN_POINT('Origin',(20.337627,4.25,9.642292)); #221344=CARTESIAN_POINT('',(20.337627,4.25,9.642292)); #221345=CARTESIAN_POINT('',(17.9945272021086,4.25,15.1703991027122)); #221346=CARTESIAN_POINT('',(20.337627,4.24,9.642292)); #221347=CARTESIAN_POINT('',(20.337627,4.25,9.642292)); #221348=CARTESIAN_POINT('',(20.337627,4.24,9.642292)); #221349=CARTESIAN_POINT('Origin',(20.4323374220334,4.25,9.6727730851707)); #221350=CARTESIAN_POINT('',(20.334146,4.25,9.656723)); #221351=CARTESIAN_POINT('Origin',(20.4323374220334,4.25,9.6727730851707)); #221352=CARTESIAN_POINT('',(20.334146,4.24,9.656723)); #221353=CARTESIAN_POINT('',(20.334146,4.25,9.656723)); #221354=CARTESIAN_POINT('Origin',(20.4323374220334,4.24,9.6727730851707)); #221355=CARTESIAN_POINT('Origin',(20.333473,4.25,9.664543)); #221356=CARTESIAN_POINT('',(20.333473,4.25,9.664543)); #221357=CARTESIAN_POINT('',(19.6985245638107,4.25,17.0423985290229)); #221358=CARTESIAN_POINT('',(20.333473,4.24,9.664543)); #221359=CARTESIAN_POINT('',(20.333473,4.25,9.664543)); #221360=CARTESIAN_POINT('',(20.333473,4.24,9.664543)); #221361=CARTESIAN_POINT('Origin',(20.333517,4.25,9.672405)); #221362=CARTESIAN_POINT('',(20.333517,4.25,9.672405)); #221363=CARTESIAN_POINT('',(20.3764920142618,4.25,17.3512586848601)); #221364=CARTESIAN_POINT('',(20.333517,4.24,9.672405)); #221365=CARTESIAN_POINT('',(20.333517,4.25,9.672405)); #221366=CARTESIAN_POINT('',(20.333517,4.24,9.672405)); #221367=CARTESIAN_POINT('Origin',(20.33428,4.25,9.679817)); #221368=CARTESIAN_POINT('',(20.33428,4.25,9.679817)); #221369=CARTESIAN_POINT('',(21.1432065341311,4.25,17.5379604744274)); #221370=CARTESIAN_POINT('',(20.33428,4.24,9.679817)); #221371=CARTESIAN_POINT('',(20.33428,4.25,9.679817)); #221372=CARTESIAN_POINT('',(20.33428,4.24,9.679817)); #221373=CARTESIAN_POINT('Origin',(20.4250813621194,4.25,9.66328544757688)); #221374=CARTESIAN_POINT('',(20.337933,4.25,9.693672)); #221375=CARTESIAN_POINT('Origin',(20.4250813621194,4.25,9.66328544757688)); #221376=CARTESIAN_POINT('',(20.337933,4.24,9.693672)); #221377=CARTESIAN_POINT('',(20.337933,4.25,9.693672)); #221378=CARTESIAN_POINT('Origin',(20.4250813621194,4.24,9.66328544757688)); #221379=CARTESIAN_POINT('Origin',(20.340811,4.25,9.700127)); #221380=CARTESIAN_POINT('',(20.340811,4.25,9.700127)); #221381=CARTESIAN_POINT('',(23.6386254853033,4.25,17.0967192524863)); #221382=CARTESIAN_POINT('',(20.340811,4.24,9.700127)); #221383=CARTESIAN_POINT('',(20.340811,4.25,9.700127)); #221384=CARTESIAN_POINT('',(20.340811,4.24,9.700127)); #221385=CARTESIAN_POINT('Origin',(20.344385,4.25,9.706276)); #221386=CARTESIAN_POINT('',(20.344385,4.25,9.706276)); #221387=CARTESIAN_POINT('',(24.3554624310697,4.25,16.6072595264854)); #221388=CARTESIAN_POINT('',(20.344385,4.24,9.706276)); #221389=CARTESIAN_POINT('',(20.344385,4.25,9.706276)); #221390=CARTESIAN_POINT('',(20.344385,4.24,9.706276)); #221391=CARTESIAN_POINT('Origin',(20.348646,4.25,9.712132)); #221392=CARTESIAN_POINT('',(20.348646,4.25,9.712132)); #221393=CARTESIAN_POINT('',(24.9287714060419,4.25,16.0067141116545)); #221394=CARTESIAN_POINT('',(20.348646,4.24,9.712132)); #221395=CARTESIAN_POINT('',(20.348646,4.25,9.712132)); #221396=CARTESIAN_POINT('',(20.348646,4.24,9.712132)); #221397=CARTESIAN_POINT('Origin',(20.4214128313427,4.25,9.65048820696668)); #221398=CARTESIAN_POINT('',(20.359251,4.25,9.722813)); #221399=CARTESIAN_POINT('Origin',(20.4214128313427,4.25,9.65048820696668)); #221400=CARTESIAN_POINT('',(20.359251,4.24,9.722813)); #221401=CARTESIAN_POINT('',(20.359251,4.25,9.722813)); #221402=CARTESIAN_POINT('Origin',(20.4214128313427,4.24,9.65048820696668)); #221403=CARTESIAN_POINT('Origin',(20.4152330818555,4.25,9.63934909630617)); #221404=CARTESIAN_POINT('',(20.412195,4.25,9.739803)); #221405=CARTESIAN_POINT('Origin',(20.4152330818555,4.25,9.63934909630617)); #221406=CARTESIAN_POINT('',(20.412195,4.24,9.739803)); #221407=CARTESIAN_POINT('',(20.412195,4.25,9.739803)); #221408=CARTESIAN_POINT('Origin',(20.4152330818555,4.24,9.63934909630617)); #221409=CARTESIAN_POINT('Origin',(20.8434768623903,4.25,0.567946293960118)); #221410=CARTESIAN_POINT('',(21.264078,4.25,9.740299)); #221411=CARTESIAN_POINT('Origin',(20.8434768623903,4.25,0.567946293960118)); #221412=CARTESIAN_POINT('',(21.264078,4.24,9.740299)); #221413=CARTESIAN_POINT('',(21.264078,4.25,9.740299)); #221414=CARTESIAN_POINT('Origin',(20.8434768623903,4.24,0.567946293960118)); #221415=CARTESIAN_POINT('Origin',(21.269402237122,4.25,9.57916753796251)); #221416=CARTESIAN_POINT('',(21.317577,4.25,9.733021)); #221417=CARTESIAN_POINT('Origin',(21.269402237122,4.25,9.57916753796251)); #221418=CARTESIAN_POINT('',(21.317577,4.24,9.733021)); #221419=CARTESIAN_POINT('',(21.317577,4.25,9.733021)); #221420=CARTESIAN_POINT('Origin',(21.269402237122,4.24,9.57916753796251)); #221421=CARTESIAN_POINT('Origin',(21.3256,4.25,9.729626)); #221422=CARTESIAN_POINT('',(21.3256,4.25,9.729626)); #221423=CARTESIAN_POINT('',(20.4828175334491,4.25,10.0862564965646)); #221424=CARTESIAN_POINT('',(21.3256,4.24,9.729626)); #221425=CARTESIAN_POINT('',(21.3256,4.25,9.729626)); #221426=CARTESIAN_POINT('',(21.3256,4.24,9.729626)); #221427=CARTESIAN_POINT('Origin',(21.332712,4.25,9.725594)); #221428=CARTESIAN_POINT('',(21.332712,4.25,9.725594)); #221429=CARTESIAN_POINT('',(19.7464435180404,4.25,10.6248958165441)); #221430=CARTESIAN_POINT('',(21.332712,4.24,9.725594)); #221431=CARTESIAN_POINT('',(21.332712,4.25,9.725594)); #221432=CARTESIAN_POINT('',(21.332712,4.24,9.725594)); #221433=CARTESIAN_POINT('Origin',(21.338924,4.25,9.720932)); #221434=CARTESIAN_POINT('',(21.338924,4.25,9.720932)); #221435=CARTESIAN_POINT('',(19.0981699690983,4.25,11.4025796645308)); #221436=CARTESIAN_POINT('',(21.338924,4.24,9.720932)); #221437=CARTESIAN_POINT('',(21.338924,4.25,9.720932)); #221438=CARTESIAN_POINT('',(21.338924,4.24,9.720932)); #221439=CARTESIAN_POINT('Origin',(21.344282,4.25,9.715595)); #221440=CARTESIAN_POINT('',(21.344282,4.25,9.715595)); #221441=CARTESIAN_POINT('',(18.641514174981,4.25,12.4077696700514)); #221442=CARTESIAN_POINT('',(21.344282,4.24,9.715595)); #221443=CARTESIAN_POINT('',(21.344282,4.25,9.715595)); #221444=CARTESIAN_POINT('',(21.344282,4.24,9.715595)); #221445=CARTESIAN_POINT('Origin',(21.2681398288485,4.25,9.64972121362804)); #221446=CARTESIAN_POINT('',(21.352964,4.25,9.70396)); #221447=CARTESIAN_POINT('Origin',(21.2681398288485,4.25,9.64972121362804)); #221448=CARTESIAN_POINT('',(21.352964,4.24,9.70396)); #221449=CARTESIAN_POINT('',(21.352964,4.25,9.70396)); #221450=CARTESIAN_POINT('Origin',(21.2681398288485,4.24,9.64972121362804)); #221451=CARTESIAN_POINT('Origin',(21.2663057657243,4.25,9.65589207927833)); #221452=CARTESIAN_POINT('',(21.358925,4.25,9.691132)); #221453=CARTESIAN_POINT('Origin',(21.2663057657243,4.25,9.65589207927833)); #221454=CARTESIAN_POINT('',(21.358925,4.24,9.691132)); #221455=CARTESIAN_POINT('',(21.358925,4.25,9.691132)); #221456=CARTESIAN_POINT('Origin',(21.2663057657243,4.24,9.65589207927833)); #221457=CARTESIAN_POINT('Origin',(21.360861,4.25,9.68425)); #221458=CARTESIAN_POINT('',(21.360861,4.25,9.68425)); #221459=CARTESIAN_POINT('',(19.5273470196252,4.25,16.2019376099977)); #221460=CARTESIAN_POINT('',(21.360861,4.24,9.68425)); #221461=CARTESIAN_POINT('',(21.360861,4.25,9.68425)); #221462=CARTESIAN_POINT('',(21.360861,4.24,9.68425)); #221463=CARTESIAN_POINT('Origin',(21.267080165068,4.25,9.66577264904825)); #221464=CARTESIAN_POINT('',(21.362591,4.25,9.669506)); #221465=CARTESIAN_POINT('Origin',(21.267080165068,4.25,9.66577264904825)); #221466=CARTESIAN_POINT('',(21.362591,4.24,9.669506)); #221467=CARTESIAN_POINT('',(21.362591,4.25,9.669506)); #221468=CARTESIAN_POINT('Origin',(21.267080165068,4.24,9.66577264904825)); #221469=CARTESIAN_POINT('Origin',(21.362368,4.25,9.661533)); #221470=CARTESIAN_POINT('',(21.362368,4.25,9.661533)); #221471=CARTESIAN_POINT('',(21.5784385562827,4.25,17.3867820818321)); #221472=CARTESIAN_POINT('',(21.362368,4.24,9.661533)); #221473=CARTESIAN_POINT('',(21.362368,4.25,9.661533)); #221474=CARTESIAN_POINT('',(21.362368,4.24,9.661533)); #221475=CARTESIAN_POINT('Origin',(21.361423,4.25,9.653862)); #221476=CARTESIAN_POINT('',(21.361423,4.25,9.653862)); #221477=CARTESIAN_POINT('',(22.3257236801686,4.25,17.481534505376)); #221478=CARTESIAN_POINT('',(21.361423,4.24,9.653862)); #221479=CARTESIAN_POINT('',(21.361423,4.25,9.653862)); #221480=CARTESIAN_POINT('',(21.361423,4.24,9.653862)); #221481=CARTESIAN_POINT('Origin',(21.359764,4.25,9.646591)); #221482=CARTESIAN_POINT('',(21.359764,4.25,9.646591)); #221483=CARTESIAN_POINT('',(23.1345179235681,4.25,17.4249127469814)); #221484=CARTESIAN_POINT('',(21.359764,4.24,9.646591)); #221485=CARTESIAN_POINT('',(21.359764,4.25,9.646591)); #221486=CARTESIAN_POINT('',(21.359764,4.24,9.646591)); #221487=CARTESIAN_POINT('Origin',(21.357401,4.25,9.639729)); #221488=CARTESIAN_POINT('',(21.357401,4.25,9.639729)); #221489=CARTESIAN_POINT('',(23.9572450253353,4.25,17.1895088145777)); #221490=CARTESIAN_POINT('',(21.357401,4.24,9.639729)); #221491=CARTESIAN_POINT('',(21.357401,4.25,9.639729)); #221492=CARTESIAN_POINT('',(21.357401,4.24,9.639729)); #221493=CARTESIAN_POINT('Origin',(21.354345,4.25,9.633282)); #221494=CARTESIAN_POINT('',(21.354345,4.25,9.633282)); #221495=CARTESIAN_POINT('',(24.7360171844389,4.25,16.7673266901435)); #221496=CARTESIAN_POINT('',(21.354345,4.24,9.633282)); #221497=CARTESIAN_POINT('',(21.354345,4.25,9.633282)); #221498=CARTESIAN_POINT('',(21.354345,4.24,9.633282)); #221499=CARTESIAN_POINT('Origin',(21.350603,4.25,9.627258)); #221500=CARTESIAN_POINT('',(21.350603,4.25,9.627258)); #221501=CARTESIAN_POINT('',(25.4152589697329,4.25,16.1706806514379)); #221502=CARTESIAN_POINT('',(21.350603,4.24,9.627258)); #221503=CARTESIAN_POINT('',(21.350603,4.25,9.627258)); #221504=CARTESIAN_POINT('',(21.350603,4.24,9.627258)); #221505=CARTESIAN_POINT('Origin',(21.346186,4.25,9.62167)); #221506=CARTESIAN_POINT('',(21.346186,4.25,9.62167)); #221507=CARTESIAN_POINT('',(25.9431954822649,4.25,15.4374021681874)); #221508=CARTESIAN_POINT('',(21.346186,4.24,9.62167)); #221509=CARTESIAN_POINT('',(21.346186,4.25,9.62167)); #221510=CARTESIAN_POINT('',(21.346186,4.24,9.62167)); #221511=CARTESIAN_POINT('Origin',(21.341103,4.25,9.616516)); #221512=CARTESIAN_POINT('',(21.341103,4.25,9.616516)); #221513=CARTESIAN_POINT('',(26.2858663961849,4.25,14.6303484894638)); #221514=CARTESIAN_POINT('',(21.341103,4.24,9.616516)); #221515=CARTESIAN_POINT('',(21.341103,4.25,9.616516)); #221516=CARTESIAN_POINT('',(21.341103,4.24,9.616516)); #221517=CARTESIAN_POINT('Origin',(21.2778596050871,4.25,9.70065991327337)); #221518=CARTESIAN_POINT('',(21.268654,4.25,9.595802)); #221519=CARTESIAN_POINT('Origin',(21.2778596050871,4.25,9.70065991327337)); #221520=CARTESIAN_POINT('',(21.268654,4.24,9.595802)); #221521=CARTESIAN_POINT('',(21.268654,4.25,9.595802)); #221522=CARTESIAN_POINT('Origin',(21.2778596050871,4.24,9.70065991327337)); #221523=CARTESIAN_POINT('Origin',(20.770458,4.25,9.595898)); #221524=CARTESIAN_POINT('',(20.770458,4.25,9.595898)); #221525=CARTESIAN_POINT('',(23.2837447580778,4.25,9.59541370159378)); #221526=CARTESIAN_POINT('',(20.770458,4.24,9.595898)); #221527=CARTESIAN_POINT('',(20.770458,4.25,9.595898)); #221528=CARTESIAN_POINT('',(20.770458,4.24,9.595898)); #221529=CARTESIAN_POINT('Origin',(20.770304,4.25,8.767597)); #221530=CARTESIAN_POINT('',(20.770304,4.25,8.767597)); #221531=CARTESIAN_POINT('',(20.7718130733842,4.25,16.8842657868857)); #221532=CARTESIAN_POINT('',(20.770304,4.24,8.767597)); #221533=CARTESIAN_POINT('',(20.770304,4.25,8.767597)); #221534=CARTESIAN_POINT('',(20.770304,4.24,8.767597)); #221535=CARTESIAN_POINT('Origin',(21.029178,4.25,8.767617)); #221536=CARTESIAN_POINT('',(21.029178,4.25,8.767617)); #221537=CARTESIAN_POINT('',(23.4152160237383,4.25,8.76780133971925)); #221538=CARTESIAN_POINT('',(21.029178,4.24,8.767617)); #221539=CARTESIAN_POINT('',(21.029178,4.25,8.767617)); #221540=CARTESIAN_POINT('',(21.029178,4.24,8.767617)); #221541=CARTESIAN_POINT('Origin',(21.288504,4.25,8.767574)); #221542=CARTESIAN_POINT('',(21.288504,4.25,8.767574)); #221543=CARTESIAN_POINT('',(23.542906152561,4.25,8.76720018753011)); #221544=CARTESIAN_POINT('',(21.288504,4.24,8.767574)); #221545=CARTESIAN_POINT('',(21.288504,4.25,8.767574)); #221546=CARTESIAN_POINT('',(21.288504,4.24,8.767574)); #221547=CARTESIAN_POINT('Origin',(21.7516950233304,4.25,8.85912164325383)); #221548=CARTESIAN_POINT('',(21.297165,4.25,8.986908)); #221549=CARTESIAN_POINT('Origin',(21.7516950233304,4.25,8.85912164325383)); #221550=CARTESIAN_POINT('',(21.297165,4.24,8.986908)); #221551=CARTESIAN_POINT('',(21.297165,4.25,8.986908)); #221552=CARTESIAN_POINT('Origin',(21.7516950233304,4.24,8.85912164325383)); #221553=CARTESIAN_POINT('Origin',(21.300821,4.25,8.99453)); #221554=CARTESIAN_POINT('',(21.300821,4.25,8.99453)); #221555=CARTESIAN_POINT('',(24.8422276358867,4.25,16.3776266572027)); #221556=CARTESIAN_POINT('',(21.300821,4.24,8.99453)); #221557=CARTESIAN_POINT('',(21.300821,4.25,8.99453)); #221558=CARTESIAN_POINT('',(21.300821,4.24,8.99453)); #221559=CARTESIAN_POINT('Origin',(21.305103,4.25,9.001247)); #221560=CARTESIAN_POINT('',(21.305103,4.25,9.001247)); #221561=CARTESIAN_POINT('',(25.5804744383843,4.25,15.7078490438205)); #221562=CARTESIAN_POINT('',(21.305103,4.24,9.001247)); #221563=CARTESIAN_POINT('',(21.305103,4.25,9.001247)); #221564=CARTESIAN_POINT('',(21.305103,4.24,9.001247)); #221565=CARTESIAN_POINT('Origin',(21.310005,4.25,9.007065)); #221566=CARTESIAN_POINT('',(21.310005,4.25,9.007065)); #221567=CARTESIAN_POINT('',(26.1823363785571,4.25,14.7898524256285)); #221568=CARTESIAN_POINT('',(21.310005,4.24,9.007065)); #221569=CARTESIAN_POINT('',(21.310005,4.25,9.007065)); #221570=CARTESIAN_POINT('',(21.310005,4.24,9.007065)); #221571=CARTESIAN_POINT('Origin',(21.315372,4.25,9.012142)); #221572=CARTESIAN_POINT('',(21.315372,4.25,9.012142)); #221573=CARTESIAN_POINT('',(26.4895494933714,4.25,13.9067384475269)); #221574=CARTESIAN_POINT('',(21.315372,4.24,9.012142)); #221575=CARTESIAN_POINT('',(21.315372,4.25,9.012142)); #221576=CARTESIAN_POINT('',(21.315372,4.24,9.012142)); #221577=CARTESIAN_POINT('Origin',(21.321129,4.25,9.016537)); #221578=CARTESIAN_POINT('',(21.321129,4.25,9.016537)); #221579=CARTESIAN_POINT('',(26.590543988107,4.25,13.0393056073836)); #221580=CARTESIAN_POINT('',(21.321129,4.24,9.016537)); #221581=CARTESIAN_POINT('',(21.321129,4.25,9.016537)); #221582=CARTESIAN_POINT('',(21.321129,4.24,9.016537)); #221583=CARTESIAN_POINT('Origin',(21.327261,4.25,9.020245)); #221584=CARTESIAN_POINT('',(21.327261,4.25,9.020245)); #221585=CARTESIAN_POINT('',(26.5026574015404,4.25,12.1497899864515)); #221586=CARTESIAN_POINT('',(21.327261,4.24,9.020245)); #221587=CARTESIAN_POINT('',(21.327261,4.25,9.020245)); #221588=CARTESIAN_POINT('',(21.327261,4.24,9.020245)); #221589=CARTESIAN_POINT('Origin',(21.333761,4.25,9.023262)); #221590=CARTESIAN_POINT('',(21.333761,4.25,9.023262)); #221591=CARTESIAN_POINT('',(26.2216679275663,4.25,11.2920028000714)); #221592=CARTESIAN_POINT('',(21.333761,4.24,9.023262)); #221593=CARTESIAN_POINT('',(21.333761,4.25,9.023262)); #221594=CARTESIAN_POINT('',(21.333761,4.24,9.023262)); #221595=CARTESIAN_POINT('Origin',(21.340622,4.25,9.025578)); #221596=CARTESIAN_POINT('',(21.340622,4.25,9.025578)); #221597=CARTESIAN_POINT('',(25.7626040575996,4.25,10.5182628047511)); #221598=CARTESIAN_POINT('',(21.340622,4.24,9.025578)); #221599=CARTESIAN_POINT('',(21.340622,4.25,9.025578)); #221600=CARTESIAN_POINT('',(21.340622,4.24,9.025578)); #221601=CARTESIAN_POINT('Origin',(21.34783,4.25,9.027191)); #221602=CARTESIAN_POINT('',(21.34783,4.25,9.027191)); #221603=CARTESIAN_POINT('',(25.1697166800608,4.25,9.8824494926388)); #221604=CARTESIAN_POINT('',(21.34783,4.24,9.027191)); #221605=CARTESIAN_POINT('',(21.34783,4.25,9.027191)); #221606=CARTESIAN_POINT('',(21.34783,4.24,9.027191)); #221607=CARTESIAN_POINT('Origin',(21.355377,4.25,9.028091)); #221608=CARTESIAN_POINT('',(21.355377,4.25,9.028091)); #221609=CARTESIAN_POINT('',(24.4855205961519,4.25,9.40136902259636)); #221610=CARTESIAN_POINT('',(21.355377,4.24,9.028091)); #221611=CARTESIAN_POINT('',(21.355377,4.25,9.028091)); #221612=CARTESIAN_POINT('',(21.355377,4.24,9.028091)); #221613=CARTESIAN_POINT('Origin',(21.363188,4.25,9.028278)); #221614=CARTESIAN_POINT('',(23.7714000047364,4.25,9.08593203211964)); #221615=CARTESIAN_POINT('',(21.363188,4.24,9.028278)); #221616=CARTESIAN_POINT('Origin',(21.1789753352598,4.24,8.73774398116778)); #221617=CARTESIAN_POINT('Origin',(25.0241080486193,4.25,16.8623337418914)); #221618=CARTESIAN_POINT('',(25.014248,4.25,16.9528961)); #221619=CARTESIAN_POINT('',(25.005232,4.25,16.9514542)); #221620=CARTESIAN_POINT('Origin',(25.0241080486193,4.25,16.8623337418914)); #221621=CARTESIAN_POINT('',(25.014248,4.24,16.9528961)); #221622=CARTESIAN_POINT('',(25.014248,4.25,16.9528961)); #221623=CARTESIAN_POINT('',(25.005232,4.24,16.9514542)); #221624=CARTESIAN_POINT('Origin',(25.0241080486193,4.24,16.8623337418914)); #221625=CARTESIAN_POINT('',(25.005232,4.25,16.9514542)); #221626=CARTESIAN_POINT('Origin',(25.019016,4.25,16.95298)); #221627=CARTESIAN_POINT('',(25.019016,4.25,16.95298)); #221628=CARTESIAN_POINT('',(25.4801648109363,4.25,16.9610945942193)); #221629=CARTESIAN_POINT('',(25.019016,4.24,16.95298)); #221630=CARTESIAN_POINT('',(25.019016,4.25,16.95298)); #221631=CARTESIAN_POINT('',(25.019016,4.24,16.95298)); #221632=CARTESIAN_POINT('Origin',(25.023527,4.25,16.9526329)); #221633=CARTESIAN_POINT('',(25.023527,4.25,16.9526329)); #221634=CARTESIAN_POINT('',(25.1016973704093,4.25,16.9466180617005)); #221635=CARTESIAN_POINT('',(25.023527,4.24,16.9526329)); #221636=CARTESIAN_POINT('',(25.023527,4.25,16.9526329)); #221637=CARTESIAN_POINT('',(25.023527,4.24,16.9526329)); #221638=CARTESIAN_POINT('Origin',(25.027836,4.25,16.9518509)); #221639=CARTESIAN_POINT('',(25.027836,4.25,16.9518509)); #221640=CARTESIAN_POINT('',(24.6946015950318,4.25,17.01232648707)); #221641=CARTESIAN_POINT('',(25.027836,4.24,16.9518509)); #221642=CARTESIAN_POINT('',(25.027836,4.25,16.9518509)); #221643=CARTESIAN_POINT('',(25.027836,4.24,16.9518509)); #221644=CARTESIAN_POINT('Origin',(25.03195,4.25,16.9506264)); #221645=CARTESIAN_POINT('',(25.03195,4.25,16.9506264)); #221646=CARTESIAN_POINT('',(24.2842938882315,4.25,17.1731604079873)); #221647=CARTESIAN_POINT('',(25.03195,4.24,16.9506264)); #221648=CARTESIAN_POINT('',(25.03195,4.25,16.9506264)); #221649=CARTESIAN_POINT('',(25.03195,4.24,16.9506264)); #221650=CARTESIAN_POINT('Origin',(25.035875,4.25,16.9489517)); #221651=CARTESIAN_POINT('',(25.035875,4.25,16.9489517)); #221652=CARTESIAN_POINT('',(23.9060355291024,4.25,17.4310261361559)); #221653=CARTESIAN_POINT('',(25.035875,4.24,16.9489517)); #221654=CARTESIAN_POINT('',(25.035875,4.25,16.9489517)); #221655=CARTESIAN_POINT('',(25.035875,4.24,16.9489517)); #221656=CARTESIAN_POINT('Origin',(25.039619,4.25,16.9468193)); #221657=CARTESIAN_POINT('',(25.039619,4.25,16.9468193)); #221658=CARTESIAN_POINT('',(23.595058766474,4.25,17.7695704330052)); #221659=CARTESIAN_POINT('',(25.039619,4.24,16.9468193)); #221660=CARTESIAN_POINT('',(25.039619,4.25,16.9468193)); #221661=CARTESIAN_POINT('',(25.039619,4.24,16.9468193)); #221662=CARTESIAN_POINT('Origin',(25.043184,4.25,16.9442253)); #221663=CARTESIAN_POINT('',(25.043184,4.25,16.9442253)); #221664=CARTESIAN_POINT('',(23.3743416744753,4.25,18.1585245966088)); #221665=CARTESIAN_POINT('',(25.043184,4.24,16.9442253)); #221666=CARTESIAN_POINT('',(25.043184,4.25,16.9442253)); #221667=CARTESIAN_POINT('',(25.043184,4.24,16.9442253)); #221668=CARTESIAN_POINT('Origin',(25.046579,4.25,16.9411545)); #221669=CARTESIAN_POINT('',(25.046579,4.25,16.9411545)); #221670=CARTESIAN_POINT('',(23.2491689921644,4.25,18.56692376423)); #221671=CARTESIAN_POINT('',(25.046579,4.24,16.9411545)); #221672=CARTESIAN_POINT('',(25.046579,4.25,16.9411545)); #221673=CARTESIAN_POINT('',(25.046579,4.24,16.9411545)); #221674=CARTESIAN_POINT('Origin',(25.049744,4.25,16.9376717)); #221675=CARTESIAN_POINT('',(25.049744,4.25,16.9376717)); #221676=CARTESIAN_POINT('',(23.2130253099408,4.25,18.9588166774847)); #221677=CARTESIAN_POINT('',(25.049744,4.24,16.9376717)); #221678=CARTESIAN_POINT('',(25.049744,4.25,16.9376717)); #221679=CARTESIAN_POINT('',(25.049744,4.24,16.9376717)); #221680=CARTESIAN_POINT('Origin',(25.052483,4.25,16.9337502)); #221681=CARTESIAN_POINT('',(25.052483,4.25,16.9337502)); #221682=CARTESIAN_POINT('',(23.2817109236458,4.25,19.4690122289996)); #221683=CARTESIAN_POINT('',(25.052483,4.24,16.9337502)); #221684=CARTESIAN_POINT('',(25.052483,4.25,16.9337502)); #221685=CARTESIAN_POINT('',(25.052483,4.24,16.9337502)); #221686=CARTESIAN_POINT('Origin',(25.054848,4.25,16.9292603)); #221687=CARTESIAN_POINT('',(25.054848,4.25,16.9292603)); #221688=CARTESIAN_POINT('',(23.4718464695696,4.25,19.9345535648928)); #221689=CARTESIAN_POINT('',(25.054848,4.24,16.9292603)); #221690=CARTESIAN_POINT('',(25.054848,4.25,16.9292603)); #221691=CARTESIAN_POINT('',(25.054848,4.24,16.9292603)); #221692=CARTESIAN_POINT('Origin',(24.9752357263622,4.25,16.8965110758004)); #221693=CARTESIAN_POINT('',(25.058439,4.25,16.9185982)); #221694=CARTESIAN_POINT('Origin',(24.9752357263622,4.25,16.8965110758004)); #221695=CARTESIAN_POINT('',(25.058439,4.24,16.9185982)); #221696=CARTESIAN_POINT('',(25.058439,4.25,16.9185982)); #221697=CARTESIAN_POINT('Origin',(24.9752357263622,4.24,16.8965110758004)); #221698=CARTESIAN_POINT('Origin',(23.6329441380841,4.25,16.731890237742)); #221699=CARTESIAN_POINT('',(25.059832,4.25,16.5561447)); #221700=CARTESIAN_POINT('Origin',(23.6329441380841,4.25,16.731890237742)); #221701=CARTESIAN_POINT('',(25.059832,4.24,16.5561447)); #221702=CARTESIAN_POINT('',(25.059832,4.25,16.5561447)); #221703=CARTESIAN_POINT('Origin',(23.6329441380841,4.24,16.731890237742)); #221704=CARTESIAN_POINT('Origin',(25.05868,4.25,16.5497932)); #221705=CARTESIAN_POINT('',(25.05868,4.25,16.5497932)); #221706=CARTESIAN_POINT('',(25.8124043713984,4.25,20.7054184994172)); #221707=CARTESIAN_POINT('',(25.05868,4.24,16.5497932)); #221708=CARTESIAN_POINT('',(25.05868,4.25,16.5497932)); #221709=CARTESIAN_POINT('',(25.05868,4.24,16.5497932)); #221710=CARTESIAN_POINT('Origin',(25.05714,4.25,16.5440254)); #221711=CARTESIAN_POINT('',(25.05714,4.25,16.5440254)); #221712=CARTESIAN_POINT('',(26.1356069185233,4.25,20.5832341614715)); #221713=CARTESIAN_POINT('',(25.05714,4.24,16.5440254)); #221714=CARTESIAN_POINT('',(25.05714,4.25,16.5440254)); #221715=CARTESIAN_POINT('',(25.05714,4.24,16.5440254)); #221716=CARTESIAN_POINT('Origin',(25.05522,4.25,16.5388412)); #221717=CARTESIAN_POINT('',(25.05522,4.25,16.5388412)); #221718=CARTESIAN_POINT('',(26.4779696686629,4.25,20.3804135084854)); #221719=CARTESIAN_POINT('',(25.05522,4.24,16.5388412)); #221720=CARTESIAN_POINT('',(25.05522,4.25,16.5388412)); #221721=CARTESIAN_POINT('',(25.05522,4.24,16.5388412)); #221722=CARTESIAN_POINT('Origin',(25.052921,4.25,16.5342293)); #221723=CARTESIAN_POINT('',(25.052921,4.25,16.5342293)); #221724=CARTESIAN_POINT('',(26.8173542737387,4.25,20.073763799845)); #221725=CARTESIAN_POINT('',(25.052921,4.24,16.5342293)); #221726=CARTESIAN_POINT('',(25.052921,4.25,16.5342293)); #221727=CARTESIAN_POINT('',(25.052921,4.24,16.5342293)); #221728=CARTESIAN_POINT('Origin',(25.050247,4.25,16.5301857)); #221729=CARTESIAN_POINT('',(25.050247,4.25,16.5301857)); #221730=CARTESIAN_POINT('',(27.1127472091948,4.25,19.649080930327)); #221731=CARTESIAN_POINT('',(25.050247,4.24,16.5301857)); #221732=CARTESIAN_POINT('',(25.050247,4.25,16.5301857)); #221733=CARTESIAN_POINT('',(25.050247,4.24,16.5301857)); #221734=CARTESIAN_POINT('Origin',(25.047152,4.25,16.5267563)); #221735=CARTESIAN_POINT('',(25.047152,4.25,16.5267563)); #221736=CARTESIAN_POINT('',(27.3233321076439,4.25,19.0488668205673)); #221737=CARTESIAN_POINT('',(25.047152,4.24,16.5267563)); #221738=CARTESIAN_POINT('',(25.047152,4.25,16.5267563)); #221739=CARTESIAN_POINT('',(25.047152,4.24,16.5267563)); #221740=CARTESIAN_POINT('Origin',(25.043785,4.25,16.5238304)); #221741=CARTESIAN_POINT('',(25.043785,4.25,16.5238304)); #221742=CARTESIAN_POINT('',(27.3575338299949,4.25,18.534462328032)); #221743=CARTESIAN_POINT('',(25.043785,4.24,16.5238304)); #221744=CARTESIAN_POINT('',(25.043785,4.25,16.5238304)); #221745=CARTESIAN_POINT('',(25.043785,4.24,16.5238304)); #221746=CARTESIAN_POINT('Origin',(25.040251,4.25,16.5213089)); #221747=CARTESIAN_POINT('',(25.040251,4.25,16.5213089)); #221748=CARTESIAN_POINT('',(27.2963539742256,4.25,18.1310326265167)); #221749=CARTESIAN_POINT('',(25.040251,4.24,16.5213089)); #221750=CARTESIAN_POINT('',(25.040251,4.25,16.5213089)); #221751=CARTESIAN_POINT('',(25.040251,4.24,16.5213089)); #221752=CARTESIAN_POINT('Origin',(24.9949823077124,4.25,16.6022375299656)); #221753=CARTESIAN_POINT('',(25.032646,4.25,16.5175018)); #221754=CARTESIAN_POINT('Origin',(24.9949823077124,4.25,16.6022375299656)); #221755=CARTESIAN_POINT('',(25.032646,4.24,16.5175018)); #221756=CARTESIAN_POINT('',(25.032646,4.25,16.5175018)); #221757=CARTESIAN_POINT('Origin',(24.9949823077124,4.24,16.6022375299656)); #221758=CARTESIAN_POINT('Origin',(25.028564,4.25,16.5162239)); #221759=CARTESIAN_POINT('',(25.028564,4.25,16.5162239)); #221760=CARTESIAN_POINT('',(26.5892784767244,4.25,17.0048169989246)); #221761=CARTESIAN_POINT('',(25.028564,4.24,16.5162239)); #221762=CARTESIAN_POINT('',(25.028564,4.25,16.5162239)); #221763=CARTESIAN_POINT('',(25.028564,4.24,16.5162239)); #221764=CARTESIAN_POINT('Origin',(25.02429,4.25,16.5153732)); #221765=CARTESIAN_POINT('',(25.02429,4.25,16.5153732)); #221766=CARTESIAN_POINT('',(26.2095801923643,4.25,16.7512942029586)); #221767=CARTESIAN_POINT('',(25.02429,4.24,16.5153732)); #221768=CARTESIAN_POINT('',(25.02429,4.25,16.5153732)); #221769=CARTESIAN_POINT('',(25.02429,4.24,16.5153732)); #221770=CARTESIAN_POINT('Origin',(25.019815,4.25,16.5149498)); #221771=CARTESIAN_POINT('',(25.019815,4.25,16.5149498)); #221772=CARTESIAN_POINT('',(25.8042894542971,4.25,16.5891724779773)); #221773=CARTESIAN_POINT('',(25.019815,4.24,16.5149498)); #221774=CARTESIAN_POINT('',(25.019815,4.25,16.5149498)); #221775=CARTESIAN_POINT('',(25.019815,4.24,16.5149498)); #221776=CARTESIAN_POINT('Origin',(25.015078,4.25,16.5149574)); #221777=CARTESIAN_POINT('',(25.015078,4.25,16.5149574)); #221778=CARTESIAN_POINT('',(25.4007313444593,4.25,16.5143386612586)); #221779=CARTESIAN_POINT('',(25.015078,4.24,16.5149574)); #221780=CARTESIAN_POINT('',(25.015078,4.25,16.5149574)); #221781=CARTESIAN_POINT('',(25.015078,4.24,16.5149574)); #221782=CARTESIAN_POINT('Origin',(25.01041,4.25,16.5153999)); #221783=CARTESIAN_POINT('',(25.01041,4.25,16.5153999)); #221784=CARTESIAN_POINT('',(25.0031244157279,4.25,16.5160905321852)); #221785=CARTESIAN_POINT('',(25.01041,4.24,16.5153999)); #221786=CARTESIAN_POINT('',(25.01041,4.25,16.5153999)); #221787=CARTESIAN_POINT('',(25.01041,4.24,16.5153999)); #221788=CARTESIAN_POINT('Origin',(25.00598,4.25,16.5162697)); #221789=CARTESIAN_POINT('',(25.00598,4.25,16.5162697)); #221790=CARTESIAN_POINT('',(24.5863076127593,4.25,16.5986694838422)); #221791=CARTESIAN_POINT('',(25.00598,4.24,16.5162697)); #221792=CARTESIAN_POINT('',(25.00598,4.25,16.5162697)); #221793=CARTESIAN_POINT('',(25.00598,4.24,16.5162697)); #221794=CARTESIAN_POINT('Origin',(25.001787,4.25,16.5175667)); #221795=CARTESIAN_POINT('',(25.001787,4.25,16.5175667)); #221796=CARTESIAN_POINT('',(24.1686911550476,4.25,16.7752641268788)); #221797=CARTESIAN_POINT('',(25.001787,4.24,16.5175667)); #221798=CARTESIAN_POINT('',(25.001787,4.25,16.5175667)); #221799=CARTESIAN_POINT('',(25.001787,4.24,16.5175667)); #221800=CARTESIAN_POINT('Origin',(24.997839,4.25,16.5192833)); #221801=CARTESIAN_POINT('',(24.997839,4.25,16.5192833)); #221802=CARTESIAN_POINT('',(23.784573120351,4.25,17.0468142546636)); #221803=CARTESIAN_POINT('',(24.997839,4.24,16.5192833)); #221804=CARTESIAN_POINT('',(24.997839,4.25,16.5192833)); #221805=CARTESIAN_POINT('',(24.997839,4.24,16.5192833)); #221806=CARTESIAN_POINT('Origin',(24.994139,4.25,16.5214119)); #221807=CARTESIAN_POINT('',(24.994139,4.25,16.5214119)); #221808=CARTESIAN_POINT('',(23.4644812852849,4.25,17.401419849065)); #221809=CARTESIAN_POINT('',(24.994139,4.24,16.5214119)); #221810=CARTESIAN_POINT('',(24.994139,4.25,16.5214119)); #221811=CARTESIAN_POINT('',(24.994139,4.24,16.5214119)); #221812=CARTESIAN_POINT('Origin',(24.990694,4.25,16.5239487)); #221813=CARTESIAN_POINT('',(24.990694,4.25,16.5239487)); #221814=CARTESIAN_POINT('',(23.2295523273385,4.25,17.8208033285062)); #221815=CARTESIAN_POINT('',(24.990694,4.24,16.5239487)); #221816=CARTESIAN_POINT('',(24.990694,4.25,16.5239487)); #221817=CARTESIAN_POINT('',(24.990694,4.24,16.5239487)); #221818=CARTESIAN_POINT('Origin',(24.987509,4.25,16.5268936)); #221819=CARTESIAN_POINT('',(24.987509,4.25,16.5268936)); #221820=CARTESIAN_POINT('',(23.0947325663476,4.25,18.2769838101914)); #221821=CARTESIAN_POINT('',(24.987509,4.24,16.5268936)); #221822=CARTESIAN_POINT('',(24.987509,4.25,16.5268936)); #221823=CARTESIAN_POINT('',(24.987509,4.24,16.5268936)); #221824=CARTESIAN_POINT('Origin',(24.984541,4.25,16.5303459)); #221825=CARTESIAN_POINT('',(24.984541,4.25,16.5303459)); #221826=CARTESIAN_POINT('',(23.0643703033922,4.25,18.7638382503701)); #221827=CARTESIAN_POINT('',(24.984541,4.24,16.5303459)); #221828=CARTESIAN_POINT('',(24.984541,4.25,16.5303459)); #221829=CARTESIAN_POINT('',(24.984541,4.24,16.5303459)); #221830=CARTESIAN_POINT('Origin',(24.981909,4.25,16.5344162)); #221831=CARTESIAN_POINT('',(24.981909,4.25,16.5344162)); #221832=CARTESIAN_POINT('',(23.172467989236,4.25,19.3326562251177)); #221833=CARTESIAN_POINT('',(24.981909,4.24,16.5344162)); #221834=CARTESIAN_POINT('',(24.981909,4.25,16.5344162)); #221835=CARTESIAN_POINT('',(24.981909,4.24,16.5344162)); #221836=CARTESIAN_POINT('Origin',(25.0800875126567,4.25,16.5809832637967)); #221837=CARTESIAN_POINT('',(24.977818,4.25,16.5442619)); #221838=CARTESIAN_POINT('Origin',(25.0800875126567,4.25,16.5809832637967)); #221839=CARTESIAN_POINT('',(24.977818,4.24,16.5442619)); #221840=CARTESIAN_POINT('',(24.977818,4.25,16.5442619)); #221841=CARTESIAN_POINT('Origin',(25.0800875126567,4.24,16.5809832637967)); #221842=CARTESIAN_POINT('Origin',(24.976353,4.25,16.5500565)); #221843=CARTESIAN_POINT('',(24.976353,4.25,16.5500565)); #221844=CARTESIAN_POINT('',(23.9971045073845,4.25,20.4233352135208)); #221845=CARTESIAN_POINT('',(24.976353,4.24,16.5500565)); #221846=CARTESIAN_POINT('',(24.976353,4.25,16.5500565)); #221847=CARTESIAN_POINT('',(24.976353,4.24,16.5500565)); #221848=CARTESIAN_POINT('Origin',(24.975269,4.25,16.5564346)); #221849=CARTESIAN_POINT('',(24.975269,4.25,16.5564346)); #221850=CARTESIAN_POINT('',(24.2894700319722,4.25,20.5915770335664)); #221851=CARTESIAN_POINT('',(24.975269,4.24,16.5564346)); #221852=CARTESIAN_POINT('',(24.975269,4.25,16.5564346)); #221853=CARTESIAN_POINT('',(24.975269,4.24,16.5564346)); #221854=CARTESIAN_POINT('Origin',(25.1513659619087,4.25,16.5763017083947)); #221855=CARTESIAN_POINT('',(24.974232,4.25,16.5709724)); #221856=CARTESIAN_POINT('Origin',(25.1513659619087,4.25,16.5763017083947)); #221857=CARTESIAN_POINT('',(24.974232,4.24,16.5709724)); #221858=CARTESIAN_POINT('',(24.974232,4.25,16.5709724)); #221859=CARTESIAN_POINT('Origin',(25.1513659619087,4.24,16.5763017083947)); #221860=CARTESIAN_POINT('Origin',(24.974203,4.25,16.6456985)); #221861=CARTESIAN_POINT('',(24.974203,4.25,16.6456985)); #221862=CARTESIAN_POINT('',(24.9725819772707,4.25,20.8226883816266)); #221863=CARTESIAN_POINT('',(24.974203,4.24,16.6456985)); #221864=CARTESIAN_POINT('',(24.974203,4.25,16.6456985)); #221865=CARTESIAN_POINT('',(24.974203,4.24,16.6456985)); #221866=CARTESIAN_POINT('Origin',(24.4491619963817,4.25,-8.76190743133474)); #221867=CARTESIAN_POINT('',(23.939104,4.25,16.6460037)); #221868=CARTESIAN_POINT('Origin',(24.4491619963817,4.25,-8.76190743133474)); #221869=CARTESIAN_POINT('',(23.939104,4.24,16.6460037)); #221870=CARTESIAN_POINT('',(23.939104,4.25,16.6460037)); #221871=CARTESIAN_POINT('Origin',(24.4491619963817,4.24,-8.76190743133474)); #221872=CARTESIAN_POINT('Origin',(23.5543087049041,4.25,16.616846766473)); #221873=CARTESIAN_POINT('',(23.937733,4.25,16.5732193)); #221874=CARTESIAN_POINT('Origin',(23.5543087049041,4.25,16.616846766473)); #221875=CARTESIAN_POINT('',(23.937733,4.24,16.5732193)); #221876=CARTESIAN_POINT('',(23.937733,4.25,16.5732193)); #221877=CARTESIAN_POINT('Origin',(23.5543087049041,4.24,16.616846766473)); #221878=CARTESIAN_POINT('Origin',(23.936535,4.25,16.567028)); #221879=CARTESIAN_POINT('',(23.936535,4.25,16.567028)); #221880=CARTESIAN_POINT('',(24.7565949267667,4.25,20.805122344408)); #221881=CARTESIAN_POINT('',(23.936535,4.24,16.567028)); #221882=CARTESIAN_POINT('',(23.936535,4.25,16.567028)); #221883=CARTESIAN_POINT('',(23.936535,4.24,16.567028)); #221884=CARTESIAN_POINT('Origin',(23.934954,4.25,16.5614204)); #221885=CARTESIAN_POINT('',(23.934954,4.25,16.5614204)); #221886=CARTESIAN_POINT('',(25.1056066856809,4.25,20.7135722028068)); #221887=CARTESIAN_POINT('',(23.934954,4.24,16.5614204)); #221888=CARTESIAN_POINT('',(23.934954,4.25,16.5614204)); #221889=CARTESIAN_POINT('',(23.934954,4.24,16.5614204)); #221890=CARTESIAN_POINT('Origin',(23.932985,4.25,16.5563927)); #221891=CARTESIAN_POINT('',(23.932985,4.25,16.5563927)); #221892=CARTESIAN_POINT('',(25.4906428629954,4.25,20.5337601138007)); #221893=CARTESIAN_POINT('',(23.932985,4.24,16.5563927)); #221894=CARTESIAN_POINT('',(23.932985,4.25,16.5563927)); #221895=CARTESIAN_POINT('',(23.932985,4.24,16.5563927)); #221896=CARTESIAN_POINT('Origin',(23.93062,4.25,16.5519447)); #221897=CARTESIAN_POINT('',(23.93062,4.25,16.5519447)); #221898=CARTESIAN_POINT('',(25.8875438616747,4.25,20.2324509734574)); #221899=CARTESIAN_POINT('',(23.93062,4.24,16.5519447)); #221900=CARTESIAN_POINT('',(23.93062,4.25,16.5519447)); #221901=CARTESIAN_POINT('',(23.93062,4.24,16.5519447)); #221902=CARTESIAN_POINT('Origin',(23.927858,4.25,16.5480652)); #221903=CARTESIAN_POINT('',(23.927858,4.25,16.5480652)); #221904=CARTESIAN_POINT('',(26.2393607070229,4.25,19.7947975504323)); #221905=CARTESIAN_POINT('',(23.927858,4.24,16.5480652)); #221906=CARTESIAN_POINT('',(23.927858,4.25,16.5480652)); #221907=CARTESIAN_POINT('',(23.927858,4.24,16.5480652)); #221908=CARTESIAN_POINT('Origin',(23.924822,4.25,16.5448227)); #221909=CARTESIAN_POINT('',(23.924822,4.25,16.5448227)); #221910=CARTESIAN_POINT('',(26.4720385578253,4.25,19.2652936119702)); #221911=CARTESIAN_POINT('',(23.924822,4.24,16.5448227)); #221912=CARTESIAN_POINT('',(23.924822,4.25,16.5448227)); #221913=CARTESIAN_POINT('',(23.924822,4.24,16.5448227)); #221914=CARTESIAN_POINT('Origin',(23.921543,4.25,16.5420189)); #221915=CARTESIAN_POINT('',(23.921543,4.25,16.5420189)); #221916=CARTESIAN_POINT('',(26.552928655483,4.25,18.7920582720178)); #221917=CARTESIAN_POINT('',(23.921543,4.24,16.5420189)); #221918=CARTESIAN_POINT('',(23.921543,4.25,16.5420189)); #221919=CARTESIAN_POINT('',(23.921543,4.24,16.5420189)); #221920=CARTESIAN_POINT('Origin',(23.918011,4.25,16.5396233)); #221921=CARTESIAN_POINT('',(23.918011,4.25,16.5396233)); #221922=CARTESIAN_POINT('',(26.5276436489393,4.25,18.3096221600775)); #221923=CARTESIAN_POINT('',(23.918011,4.24,16.5396233)); #221924=CARTESIAN_POINT('',(23.918011,4.25,16.5396233)); #221925=CARTESIAN_POINT('',(23.918011,4.24,16.5396233)); #221926=CARTESIAN_POINT('Origin',(23.914225,4.25,16.5376358)); #221927=CARTESIAN_POINT('',(23.914225,4.25,16.5376358)); #221928=CARTESIAN_POINT('',(26.3947295771366,4.25,17.8398024262707)); #221929=CARTESIAN_POINT('',(23.914225,4.24,16.5376358)); #221930=CARTESIAN_POINT('',(23.914225,4.25,16.5376358)); #221931=CARTESIAN_POINT('',(23.914225,4.24,16.5376358)); #221932=CARTESIAN_POINT('Origin',(23.910191,4.25,16.536068)); #221933=CARTESIAN_POINT('',(23.910191,4.25,16.536068)); #221934=CARTESIAN_POINT('',(26.16000817179,4.25,17.4104515800543)); #221935=CARTESIAN_POINT('',(23.910191,4.24,16.536068)); #221936=CARTESIAN_POINT('',(23.910191,4.25,16.536068)); #221937=CARTESIAN_POINT('',(23.910191,4.24,16.536068)); #221938=CARTESIAN_POINT('Origin',(23.905914,4.25,16.5349197)); #221939=CARTESIAN_POINT('',(23.905914,4.25,16.5349197)); #221940=CARTESIAN_POINT('',(25.8492397555374,4.25,17.0566688150541)); #221941=CARTESIAN_POINT('',(23.905914,4.24,16.5349197)); #221942=CARTESIAN_POINT('',(23.905914,4.25,16.5349197)); #221943=CARTESIAN_POINT('',(23.905914,4.24,16.5349197)); #221944=CARTESIAN_POINT('Origin',(23.9014,4.25,16.5341988)); #221945=CARTESIAN_POINT('',(23.9014,4.25,16.5341988)); #221946=CARTESIAN_POINT('',(25.4862849019233,4.25,16.7873099045175)); #221947=CARTESIAN_POINT('',(23.9014,4.24,16.5341988)); #221948=CARTESIAN_POINT('',(23.9014,4.25,16.5341988)); #221949=CARTESIAN_POINT('',(23.9014,4.24,16.5341988)); #221950=CARTESIAN_POINT('Origin',(23.8948015495975,4.25,16.6473801353997)); #221951=CARTESIAN_POINT('',(23.891806,4.25,16.5340462)); #221952=CARTESIAN_POINT('Origin',(23.8948015495975,4.25,16.6473801353997)); #221953=CARTESIAN_POINT('',(23.891806,4.24,16.5340462)); #221954=CARTESIAN_POINT('',(23.891806,4.25,16.5340462)); #221955=CARTESIAN_POINT('Origin',(23.8948015495975,4.24,16.6473801353997)); #221956=CARTESIAN_POINT('Origin',(23.887184,4.25,16.5346184)); #221957=CARTESIAN_POINT('',(23.887184,4.25,16.5346184)); #221958=CARTESIAN_POINT('',(24.3130610783743,4.25,16.4818951494059)); #221959=CARTESIAN_POINT('',(23.887184,4.24,16.5346184)); #221960=CARTESIAN_POINT('',(23.887184,4.25,16.5346184)); #221961=CARTESIAN_POINT('',(23.887184,4.24,16.5346184)); #221962=CARTESIAN_POINT('Origin',(23.9098501231851,4.25,16.6301466940941)); #221963=CARTESIAN_POINT('',(23.878683,4.25,16.5370445)); #221964=CARTESIAN_POINT('Origin',(23.9098501231851,4.25,16.6301466940941)); #221965=CARTESIAN_POINT('',(23.878683,4.24,16.5370445)); #221966=CARTESIAN_POINT('',(23.878683,4.25,16.5370445)); #221967=CARTESIAN_POINT('Origin',(23.9098501231851,4.24,16.6301466940941)); #221968=CARTESIAN_POINT('Origin',(23.874815,4.25,16.538887)); #221969=CARTESIAN_POINT('',(23.874815,4.25,16.538887)); #221970=CARTESIAN_POINT('',(23.0168755967347,4.25,16.9475615994091)); #221971=CARTESIAN_POINT('',(23.874815,4.24,16.538887)); #221972=CARTESIAN_POINT('',(23.874815,4.25,16.538887)); #221973=CARTESIAN_POINT('',(23.874815,4.24,16.538887)); #221974=CARTESIAN_POINT('Origin',(23.871204,4.25,16.5411415)); #221975=CARTESIAN_POINT('',(23.871204,4.25,16.5411415)); #221976=CARTESIAN_POINT('',(22.6651243034415,4.25,17.2941480566033)); #221977=CARTESIAN_POINT('',(23.871204,4.24,16.5411415)); #221978=CARTESIAN_POINT('',(23.871204,4.25,16.5411415)); #221979=CARTESIAN_POINT('',(23.871204,4.24,16.5411415)); #221980=CARTESIAN_POINT('Origin',(23.867859,4.25,16.5438042)); #221981=CARTESIAN_POINT('',(23.867859,4.25,16.5438042)); #221982=CARTESIAN_POINT('',(22.399006698223,4.25,17.7130457617156)); #221983=CARTESIAN_POINT('',(23.867859,4.24,16.5438042)); #221984=CARTESIAN_POINT('',(23.867859,4.25,16.5438042)); #221985=CARTESIAN_POINT('',(23.867859,4.24,16.5438042)); #221986=CARTESIAN_POINT('Origin',(23.864784,4.25,16.5468712)); #221987=CARTESIAN_POINT('',(23.864784,4.25,16.5468712)); #221988=CARTESIAN_POINT('',(22.2365732848322,4.25,18.1708459198123)); #221989=CARTESIAN_POINT('',(23.864784,4.24,16.5468712)); #221990=CARTESIAN_POINT('',(23.864784,4.25,16.5468712)); #221991=CARTESIAN_POINT('',(23.864784,4.24,16.5468712)); #221992=CARTESIAN_POINT('Origin',(23.861872,4.25,16.5505295)); #221993=CARTESIAN_POINT('',(23.861872,4.25,16.5505295)); #221994=CARTESIAN_POINT('',(22.1791786389318,4.25,18.664470819642)); #221995=CARTESIAN_POINT('',(23.861872,4.24,16.5505295)); #221996=CARTESIAN_POINT('',(23.861872,4.25,16.5505295)); #221997=CARTESIAN_POINT('',(23.861872,4.24,16.5505295)); #221998=CARTESIAN_POINT('Origin',(23.859344,4.25,16.5547829)); #221999=CARTESIAN_POINT('',(23.859344,4.25,16.5547829)); #222000=CARTESIAN_POINT('',(22.2580642588514,4.25,19.2489614011852)); #222001=CARTESIAN_POINT('',(23.859344,4.24,16.5547829)); #222002=CARTESIAN_POINT('',(23.859344,4.25,16.5547829)); #222003=CARTESIAN_POINT('',(23.859344,4.24,16.5547829)); #222004=CARTESIAN_POINT('Origin',(23.857222,4.25,16.5596085)); #222005=CARTESIAN_POINT('',(23.857222,4.25,16.5596085)); #222006=CARTESIAN_POINT('',(22.4595463018861,4.25,19.7380363269653)); #222007=CARTESIAN_POINT('',(23.857222,4.24,16.5596085)); #222008=CARTESIAN_POINT('',(23.857222,4.25,16.5596085)); #222009=CARTESIAN_POINT('',(23.857222,4.24,16.5596085)); #222010=CARTESIAN_POINT('Origin',(24.4242458658906,4.25,16.6951599536395)); #222011=CARTESIAN_POINT('',(23.852404,4.25,16.8086815)); #222012=CARTESIAN_POINT('Origin',(24.4242458658906,4.25,16.6951599536395)); #222013=CARTESIAN_POINT('',(23.852404,4.24,16.8086815)); #222014=CARTESIAN_POINT('',(23.852404,4.25,16.8086815)); #222015=CARTESIAN_POINT('Origin',(24.4242458658906,4.24,16.6951599536395)); #222016=CARTESIAN_POINT('Origin',(24.599203,4.25,17.1287003)); #222017=CARTESIAN_POINT('',(24.599203,4.25,17.1287003)); #222018=CARTESIAN_POINT('',(26.5313151085575,4.25,17.9566502550027)); #222019=CARTESIAN_POINT('',(24.599203,4.24,17.1287003)); #222020=CARTESIAN_POINT('',(24.599203,4.25,17.1287003)); #222021=CARTESIAN_POINT('',(24.599203,4.24,17.1287003)); #222022=CARTESIAN_POINT('Origin',(23.852404,4.25,17.4554176)); #222023=CARTESIAN_POINT('',(23.852404,4.25,17.4554176)); #222024=CARTESIAN_POINT('',(23.2845476982187,4.25,17.7038492097182)); #222025=CARTESIAN_POINT('',(23.852404,4.24,17.4554176)); #222026=CARTESIAN_POINT('',(23.852404,4.25,17.4554176)); #222027=CARTESIAN_POINT('',(23.852404,4.24,17.4554176)); #222028=CARTESIAN_POINT('Origin',(24.9049671723778,4.25,17.5650654608669)); #222029=CARTESIAN_POINT('',(23.854155,4.25,17.6903877)); #222030=CARTESIAN_POINT('Origin',(24.9049671723778,4.25,17.5650654608669)); #222031=CARTESIAN_POINT('',(23.854155,4.24,17.6903877)); #222032=CARTESIAN_POINT('',(23.854155,4.25,17.6903877)); #222033=CARTESIAN_POINT('Origin',(24.9049671723778,4.24,17.5650654608669)); #222034=CARTESIAN_POINT('Origin',(23.9565067524661,4.25,17.6687271219316)); #222035=CARTESIAN_POINT('',(23.857222,4.25,17.7017059)); #222036=CARTESIAN_POINT('Origin',(23.9565067524661,4.25,17.6687271219316)); #222037=CARTESIAN_POINT('',(23.857222,4.24,17.7017059)); #222038=CARTESIAN_POINT('',(23.857222,4.25,17.7017059)); #222039=CARTESIAN_POINT('Origin',(23.9565067524661,4.24,17.6687271219316)); #222040=CARTESIAN_POINT('Origin',(23.859344,4.25,17.7065201)); #222041=CARTESIAN_POINT('',(23.859344,4.25,17.7065201)); #222042=CARTESIAN_POINT('',(25.3631116180229,4.25,21.1181308760054)); #222043=CARTESIAN_POINT('',(23.859344,4.24,17.7065201)); #222044=CARTESIAN_POINT('',(23.859344,4.25,17.7065201)); #222045=CARTESIAN_POINT('',(23.859344,4.24,17.7065201)); #222046=CARTESIAN_POINT('Origin',(23.861872,4.25,17.7107658)); #222047=CARTESIAN_POINT('',(23.861872,4.25,17.7107658)); #222048=CARTESIAN_POINT('',(25.7176153631451,4.25,20.8274309886468)); #222049=CARTESIAN_POINT('',(23.861872,4.24,17.7107658)); #222050=CARTESIAN_POINT('',(23.861872,4.25,17.7107658)); #222051=CARTESIAN_POINT('',(23.861872,4.24,17.7107658)); #222052=CARTESIAN_POINT('Origin',(23.864784,4.25,17.714447)); #222053=CARTESIAN_POINT('',(23.864784,4.25,17.714447)); #222054=CARTESIAN_POINT('',(26.0096898897854,4.25,20.4259262450143)); #222055=CARTESIAN_POINT('',(23.864784,4.24,17.714447)); #222056=CARTESIAN_POINT('',(23.864784,4.25,17.714447)); #222057=CARTESIAN_POINT('',(23.864784,4.24,17.714447)); #222058=CARTESIAN_POINT('Origin',(23.867859,4.25,17.7176361)); #222059=CARTESIAN_POINT('',(23.867859,4.25,17.7176361)); #222060=CARTESIAN_POINT('',(26.1526864959193,4.25,20.0872436991008)); #222061=CARTESIAN_POINT('',(23.867859,4.24,17.7176361)); #222062=CARTESIAN_POINT('',(23.867859,4.25,17.7176361)); #222063=CARTESIAN_POINT('',(23.867859,4.24,17.7176361)); #222064=CARTESIAN_POINT('Origin',(23.871204,4.25,17.7203808)); #222065=CARTESIAN_POINT('',(23.871204,4.25,17.7203808)); #222066=CARTESIAN_POINT('',(26.2324309916926,4.25,19.6578575486097)); #222067=CARTESIAN_POINT('',(23.871204,4.24,17.7203808)); #222068=CARTESIAN_POINT('',(23.871204,4.25,17.7203808)); #222069=CARTESIAN_POINT('',(23.871204,4.24,17.7203808)); #222070=CARTESIAN_POINT('Origin',(23.874815,4.25,17.7226868)); #222071=CARTESIAN_POINT('',(23.874815,4.25,17.7226868)); #222072=CARTESIAN_POINT('',(26.2091083359583,4.25,19.2133764795137)); #222073=CARTESIAN_POINT('',(23.874815,4.24,17.7226868)); #222074=CARTESIAN_POINT('',(23.874815,4.25,17.7226868)); #222075=CARTESIAN_POINT('',(23.874815,4.24,17.7226868)); #222076=CARTESIAN_POINT('Origin',(23.878685,4.25,17.7245541)); #222077=CARTESIAN_POINT('',(23.878685,4.25,17.7245541)); #222078=CARTESIAN_POINT('',(26.0816820967709,4.25,18.7875144304385)); #222079=CARTESIAN_POINT('',(23.878685,4.24,17.7245541)); #222080=CARTESIAN_POINT('',(23.878685,4.25,17.7245541)); #222081=CARTESIAN_POINT('',(23.878685,4.24,17.7245541)); #222082=CARTESIAN_POINT('Origin',(23.882809,4.25,17.7259865)); #222083=CARTESIAN_POINT('',(23.882809,4.25,17.7259865)); #222084=CARTESIAN_POINT('',(25.865467869457,4.25,18.4146287319623)); #222085=CARTESIAN_POINT('',(23.882809,4.24,17.7259865)); #222086=CARTESIAN_POINT('',(23.882809,4.25,17.7259865)); #222087=CARTESIAN_POINT('',(23.882809,4.24,17.7259865)); #222088=CARTESIAN_POINT('Origin',(23.887184,4.25,17.7269859)); #222089=CARTESIAN_POINT('',(23.887184,4.25,17.7269859)); #222090=CARTESIAN_POINT('',(25.585664407275,4.25,18.1149770586354)); #222091=CARTESIAN_POINT('',(23.887184,4.24,17.7269859)); #222092=CARTESIAN_POINT('',(23.887184,4.25,17.7269859)); #222093=CARTESIAN_POINT('',(23.887184,4.24,17.7269859)); #222094=CARTESIAN_POINT('Origin',(23.891806,4.25,17.7275524)); #222095=CARTESIAN_POINT('',(23.891806,4.25,17.7275524)); #222096=CARTESIAN_POINT('',(25.2708635809719,4.25,17.8965779559543)); #222097=CARTESIAN_POINT('',(23.891806,4.24,17.7275524)); #222098=CARTESIAN_POINT('',(23.891806,4.25,17.7275524)); #222099=CARTESIAN_POINT('',(23.891806,4.24,17.7275524)); #222100=CARTESIAN_POINT('Origin',(23.8945914818552,4.25,17.5977837454208)); #222101=CARTESIAN_POINT('',(23.9014,4.25,17.7274036)); #222102=CARTESIAN_POINT('Origin',(23.8945914818552,4.25,17.5977837454208)); #222103=CARTESIAN_POINT('',(23.9014,4.24,17.7274036)); #222104=CARTESIAN_POINT('',(23.9014,4.25,17.7274036)); #222105=CARTESIAN_POINT('Origin',(23.8945914818552,4.24,17.5977837454208)); #222106=CARTESIAN_POINT('Origin',(23.905914,4.25,17.7266865)); #222107=CARTESIAN_POINT('',(23.905914,4.25,17.7266865)); #222108=CARTESIAN_POINT('',(24.2661418655833,4.25,17.6694602256514)); #222109=CARTESIAN_POINT('',(23.905914,4.24,17.7266865)); #222110=CARTESIAN_POINT('',(23.905914,4.25,17.7266865)); #222111=CARTESIAN_POINT('',(23.905914,4.24,17.7266865)); #222112=CARTESIAN_POINT('Origin',(23.910191,4.25,17.7255383)); #222113=CARTESIAN_POINT('',(23.910191,4.25,17.7255383)); #222114=CARTESIAN_POINT('',(23.8807679441395,4.25,17.7334371900489)); #222115=CARTESIAN_POINT('',(23.910191,4.24,17.7255383)); #222116=CARTESIAN_POINT('',(23.910191,4.25,17.7255383)); #222117=CARTESIAN_POINT('',(23.910191,4.24,17.7255383)); #222118=CARTESIAN_POINT('Origin',(23.914223,4.25,17.7239552)); #222119=CARTESIAN_POINT('',(23.914223,4.25,17.7239552)); #222120=CARTESIAN_POINT('',(23.493551743484,4.25,17.8891250080832)); #222121=CARTESIAN_POINT('',(23.914223,4.24,17.7239552)); #222122=CARTESIAN_POINT('',(23.914223,4.25,17.7239552)); #222123=CARTESIAN_POINT('',(23.914223,4.24,17.7239552)); #222124=CARTESIAN_POINT('Origin',(23.8808805644719,4.25,17.6612363635166)); #222125=CARTESIAN_POINT('',(23.921543,4.25,17.7194767)); #222126=CARTESIAN_POINT('Origin',(23.8808805644719,4.25,17.6612363635166)); #222127=CARTESIAN_POINT('',(23.921543,4.24,17.7194767)); #222128=CARTESIAN_POINT('',(23.921543,4.25,17.7194767)); #222129=CARTESIAN_POINT('Origin',(23.8808805644719,4.24,17.6612363635166)); #222130=CARTESIAN_POINT('Origin',(23.924822,4.25,17.7165775)); #222131=CARTESIAN_POINT('',(23.924822,4.25,17.7165775)); #222132=CARTESIAN_POINT('',(22.6438919288853,4.25,18.8491400075262)); #222133=CARTESIAN_POINT('',(23.924822,4.24,17.7165775)); #222134=CARTESIAN_POINT('',(23.924822,4.25,17.7165775)); #222135=CARTESIAN_POINT('',(23.924822,4.24,17.7165775)); #222136=CARTESIAN_POINT('Origin',(23.8709001893938,4.25,17.6673557466393)); #222137=CARTESIAN_POINT('',(23.93062,4.25,17.7093544)); #222138=CARTESIAN_POINT('Origin',(23.8709001893938,4.25,17.6673557466393)); #222139=CARTESIAN_POINT('',(23.93062,4.24,17.7093544)); #222140=CARTESIAN_POINT('',(23.93062,4.25,17.7093544)); #222141=CARTESIAN_POINT('Origin',(23.8709001893938,4.24,17.6673557466393)); #222142=CARTESIAN_POINT('Origin',(23.8472714001705,4.25,17.6654270109018)); #222143=CARTESIAN_POINT('',(23.934954,4.25,17.6998997)); #222144=CARTESIAN_POINT('Origin',(23.8472714001705,4.25,17.6654270109018)); #222145=CARTESIAN_POINT('',(23.934954,4.24,17.6998997)); #222146=CARTESIAN_POINT('',(23.934954,4.25,17.6998997)); #222147=CARTESIAN_POINT('Origin',(23.8472714001705,4.24,17.6654270109018)); #222148=CARTESIAN_POINT('Origin',(23.936535,4.25,17.6943264)); #222149=CARTESIAN_POINT('',(23.936535,4.25,17.6943264)); #222150=CARTESIAN_POINT('',(23.0468884015798,4.25,20.8304854050495)); #222151=CARTESIAN_POINT('',(23.936535,4.24,17.6943264)); #222152=CARTESIAN_POINT('',(23.936535,4.25,17.6943264)); #222153=CARTESIAN_POINT('',(23.936535,4.24,17.6943264)); #222154=CARTESIAN_POINT('Origin',(23.937733,4.25,17.6881886)); #222155=CARTESIAN_POINT('',(23.937733,4.25,17.6881886)); #222156=CARTESIAN_POINT('',(23.2845174950679,4.25,21.0348548155097)); #222157=CARTESIAN_POINT('',(23.937733,4.24,17.6881886)); #222158=CARTESIAN_POINT('',(23.937733,4.25,17.6881886)); #222159=CARTESIAN_POINT('',(23.937733,4.24,17.6881886)); #222160=CARTESIAN_POINT('Origin',(23.8016829710589,4.25,17.6687555637948)); #222161=CARTESIAN_POINT('',(23.939005,4.25,17.6742249)); #222162=CARTESIAN_POINT('Origin',(23.8016829710589,4.25,17.6687555637948)); #222163=CARTESIAN_POINT('',(23.939005,4.24,17.6742249)); #222164=CARTESIAN_POINT('',(23.939005,4.25,17.6742249)); #222165=CARTESIAN_POINT('Origin',(23.8016829710589,4.24,17.6687555637948)); #222166=CARTESIAN_POINT('Origin',(23.939104,4.25,17.6156921)); #222167=CARTESIAN_POINT('',(23.939104,4.25,17.6156921)); #222168=CARTESIAN_POINT('',(23.9328619203902,4.25,21.3062617704527)); #222169=CARTESIAN_POINT('',(23.939104,4.24,17.6156921)); #222170=CARTESIAN_POINT('',(23.939104,4.25,17.6156921)); #222171=CARTESIAN_POINT('',(23.939104,4.24,17.6156921)); #222172=CARTESIAN_POINT('Origin',(24.4491381930474,4.25,42.9466392393734)); #222173=CARTESIAN_POINT('',(24.974203,4.25,17.6159992)); #222174=CARTESIAN_POINT('Origin',(24.4491381930474,4.25,42.9466392393734)); #222175=CARTESIAN_POINT('',(24.974203,4.24,17.6159992)); #222176=CARTESIAN_POINT('',(24.974203,4.25,17.6159992)); #222177=CARTESIAN_POINT('Origin',(24.4491381930474,4.24,42.9466392393734)); #222178=CARTESIAN_POINT('Origin',(25.553886537317,4.25,17.6535670326179)); #222179=CARTESIAN_POINT('',(24.975267,4.25,17.7049847)); #222180=CARTESIAN_POINT('Origin',(25.553886537317,4.25,17.6535670326179)); #222181=CARTESIAN_POINT('',(24.975267,4.24,17.7049847)); #222182=CARTESIAN_POINT('',(24.975267,4.25,17.7049847)); #222183=CARTESIAN_POINT('Origin',(25.553886537317,4.24,17.6535670326179)); #222184=CARTESIAN_POINT('Origin',(25.0694150545905,4.25,17.6914872976707)); #222185=CARTESIAN_POINT('',(24.977818,4.25,17.7171001)); #222186=CARTESIAN_POINT('Origin',(25.0694150545905,4.25,17.6914872976707)); #222187=CARTESIAN_POINT('',(24.977818,4.24,17.7171001)); #222188=CARTESIAN_POINT('',(24.977818,4.25,17.7171001)); #222189=CARTESIAN_POINT('Origin',(25.0694150545905,4.24,17.6914872976707)); #222190=CARTESIAN_POINT('Origin',(24.97967,4.25,17.7222977)); #222191=CARTESIAN_POINT('',(24.97967,4.25,17.7222977)); #222192=CARTESIAN_POINT('',(26.1763954014466,4.25,21.0808829843157)); #222193=CARTESIAN_POINT('',(24.97967,4.24,17.7222977)); #222194=CARTESIAN_POINT('',(24.97967,4.25,17.7222977)); #222195=CARTESIAN_POINT('',(24.97967,4.24,17.7222977)); #222196=CARTESIAN_POINT('Origin',(24.981909,4.25,17.7269211)); #222197=CARTESIAN_POINT('',(24.981909,4.25,17.7269211)); #222198=CARTESIAN_POINT('',(26.4861484229467,4.25,20.8330848106151)); #222199=CARTESIAN_POINT('',(24.981909,4.24,17.7269211)); #222200=CARTESIAN_POINT('',(24.981909,4.25,17.7269211)); #222201=CARTESIAN_POINT('',(24.981909,4.24,17.7269211)); #222202=CARTESIAN_POINT('Origin',(24.984541,4.25,17.7309666)); #222203=CARTESIAN_POINT('',(24.984541,4.25,17.7309666)); #222204=CARTESIAN_POINT('',(26.7671830406349,4.25,20.4709659827458)); #222205=CARTESIAN_POINT('',(24.984541,4.24,17.7309666)); #222206=CARTESIAN_POINT('',(24.984541,4.25,17.7309666)); #222207=CARTESIAN_POINT('',(24.984541,4.24,17.7309666)); #222208=CARTESIAN_POINT('Origin',(24.987509,4.25,17.7344646)); #222209=CARTESIAN_POINT('',(24.987509,4.25,17.7344646)); #222210=CARTESIAN_POINT('',(26.949694705713,4.25,20.0470406103035)); #222211=CARTESIAN_POINT('',(24.987509,4.24,17.7344646)); #222212=CARTESIAN_POINT('',(24.987509,4.25,17.7344646)); #222213=CARTESIAN_POINT('',(24.987509,4.24,17.7344646)); #222214=CARTESIAN_POINT('Origin',(24.990694,4.25,17.7375259)); #222215=CARTESIAN_POINT('',(24.990694,4.25,17.7375259)); #222216=CARTESIAN_POINT('',(27.015225952243,4.25,19.6834284637054)); #222217=CARTESIAN_POINT('',(24.990694,4.24,17.7375259)); #222218=CARTESIAN_POINT('',(24.990694,4.25,17.7375259)); #222219=CARTESIAN_POINT('',(24.990694,4.24,17.7375259)); #222220=CARTESIAN_POINT('Origin',(24.994139,4.25,17.7401524)); #222221=CARTESIAN_POINT('',(24.994139,4.25,17.7401524)); #222222=CARTESIAN_POINT('',(26.9991237817407,4.25,19.2687714215499)); #222223=CARTESIAN_POINT('',(24.994139,4.24,17.7401524)); #222224=CARTESIAN_POINT('',(24.994139,4.25,17.7401524)); #222225=CARTESIAN_POINT('',(24.994139,4.24,17.7401524)); #222226=CARTESIAN_POINT('Origin',(24.997839,4.25,17.742342)); #222227=CARTESIAN_POINT('',(24.997839,4.25,17.742342)); #222228=CARTESIAN_POINT('',(26.8853723045595,4.25,18.8593536009895)); #222229=CARTESIAN_POINT('',(24.997839,4.24,17.742342)); #222230=CARTESIAN_POINT('',(24.997839,4.25,17.742342)); #222231=CARTESIAN_POINT('',(24.997839,4.24,17.742342)); #222232=CARTESIAN_POINT('Origin',(25.001787,4.25,17.7440948)); #222233=CARTESIAN_POINT('',(25.001787,4.25,17.7440948)); #222234=CARTESIAN_POINT('',(26.6806746335593,4.25,18.4894732812831)); #222235=CARTESIAN_POINT('',(25.001787,4.24,17.7440948)); #222236=CARTESIAN_POINT('',(25.001787,4.25,17.7440948)); #222237=CARTESIAN_POINT('',(25.001787,4.24,17.7440948)); #222238=CARTESIAN_POINT('Origin',(25.00598,4.25,17.7454109)); #222239=CARTESIAN_POINT('',(25.00598,4.25,17.7454109)); #222240=CARTESIAN_POINT('',(26.403810771718,4.25,18.1841624093388)); #222241=CARTESIAN_POINT('',(25.00598,4.24,17.7454109)); #222242=CARTESIAN_POINT('',(25.00598,4.25,17.7454109)); #222243=CARTESIAN_POINT('',(25.00598,4.24,17.7454109)); #222244=CARTESIAN_POINT('Origin',(25.01041,4.25,17.746294)); #222245=CARTESIAN_POINT('',(25.01041,4.25,17.746294)); #222246=CARTESIAN_POINT('',(26.0854796245288,4.25,17.9606041547225)); #222247=CARTESIAN_POINT('',(25.01041,4.24,17.746294)); #222248=CARTESIAN_POINT('',(25.01041,4.25,17.746294)); #222249=CARTESIAN_POINT('',(25.01041,4.24,17.746294)); #222250=CARTESIAN_POINT('Origin',(25.015078,4.25,17.7467384)); #222251=CARTESIAN_POINT('',(25.015078,4.25,17.7467384)); #222252=CARTESIAN_POINT('',(25.7461730895152,4.25,17.8163396548804)); #222253=CARTESIAN_POINT('',(25.015078,4.24,17.7467384)); #222254=CARTESIAN_POINT('',(25.015078,4.25,17.7467384)); #222255=CARTESIAN_POINT('',(25.015078,4.24,17.7467384)); #222256=CARTESIAN_POINT('Origin',(25.019815,4.25,17.746748)); #222257=CARTESIAN_POINT('',(25.019815,4.25,17.746748)); #222258=CARTESIAN_POINT('',(25.417255584705,4.25,17.7475534527364)); #222259=CARTESIAN_POINT('',(25.019815,4.24,17.746748)); #222260=CARTESIAN_POINT('',(25.019815,4.25,17.746748)); #222261=CARTESIAN_POINT('',(25.019815,4.24,17.746748)); #222262=CARTESIAN_POINT('Origin',(25.02429,4.25,17.7463226)); #222263=CARTESIAN_POINT('',(25.02429,4.25,17.7463226)); #222264=CARTESIAN_POINT('',(25.066986609557,4.25,17.7422637982781)); #222265=CARTESIAN_POINT('',(25.02429,4.24,17.7463226)); #222266=CARTESIAN_POINT('',(25.02429,4.25,17.7463226)); #222267=CARTESIAN_POINT('',(25.02429,4.24,17.7463226)); #222268=CARTESIAN_POINT('Origin',(25.028564,4.25,17.7454586)); #222269=CARTESIAN_POINT('',(25.028564,4.25,17.7454586)); #222270=CARTESIAN_POINT('',(24.6946649790772,4.25,17.8129571386235)); #222271=CARTESIAN_POINT('',(25.028564,4.24,17.7454586)); #222272=CARTESIAN_POINT('',(25.028564,4.25,17.7454586)); #222273=CARTESIAN_POINT('',(25.028564,4.24,17.7454586)); #222274=CARTESIAN_POINT('Origin',(25.032646,4.25,17.7441597)); #222275=CARTESIAN_POINT('',(25.032646,4.25,17.7441597)); #222276=CARTESIAN_POINT('',(24.3327756261407,4.25,17.9668597560035)); #222277=CARTESIAN_POINT('',(25.032646,4.24,17.7441597)); #222278=CARTESIAN_POINT('',(25.032646,4.25,17.7441597)); #222279=CARTESIAN_POINT('',(25.032646,4.24,17.7441597)); #222280=CARTESIAN_POINT('Origin',(25.036539,4.25,17.7424259)); #222281=CARTESIAN_POINT('',(25.036539,4.25,17.7424259)); #222282=CARTESIAN_POINT('',(24.0064558678321,4.25,18.2011873011179)); #222283=CARTESIAN_POINT('',(25.036539,4.24,17.7424259)); #222284=CARTESIAN_POINT('',(25.036539,4.25,17.7424259)); #222285=CARTESIAN_POINT('',(25.036539,4.24,17.7424259)); #222286=CARTESIAN_POINT('Origin',(25.040251,4.25,17.7402554)); #222287=CARTESIAN_POINT('',(25.040251,4.25,17.7402554)); #222288=CARTESIAN_POINT('',(23.7416455026107,4.25,18.499582779333)); #222289=CARTESIAN_POINT('',(25.040251,4.24,17.7402554)); #222290=CARTESIAN_POINT('',(25.040251,4.25,17.7402554)); #222291=CARTESIAN_POINT('',(25.040251,4.24,17.7402554)); #222292=CARTESIAN_POINT('Origin',(25.043787,4.25,17.7376499)); #222293=CARTESIAN_POINT('',(25.043787,4.25,17.7376499)); #222294=CARTESIAN_POINT('',(23.5547385674711,4.25,18.8348545637311)); #222295=CARTESIAN_POINT('',(25.043787,4.24,17.7376499)); #222296=CARTESIAN_POINT('',(25.043787,4.25,17.7376499)); #222297=CARTESIAN_POINT('',(25.043787,4.24,17.7376499)); #222298=CARTESIAN_POINT('Origin',(25.047152,4.25,17.7346077)); #222299=CARTESIAN_POINT('',(25.047152,4.25,17.7346077)); #222300=CARTESIAN_POINT('',(23.4471297242854,4.25,19.1811419547343)); #222301=CARTESIAN_POINT('',(25.047152,4.24,17.7346077)); #222302=CARTESIAN_POINT('',(25.047152,4.25,17.7346077)); #222303=CARTESIAN_POINT('',(25.047152,4.24,17.7346077)); #222304=CARTESIAN_POINT('Origin',(25.050247,4.25,17.7311287)); #222305=CARTESIAN_POINT('',(25.050247,4.25,17.7311287)); #222306=CARTESIAN_POINT('',(23.4110029828232,4.25,19.5737554966933)); #222307=CARTESIAN_POINT('',(25.050247,4.24,17.7311287)); #222308=CARTESIAN_POINT('',(25.050247,4.25,17.7311287)); #222309=CARTESIAN_POINT('',(25.050247,4.24,17.7311287)); #222310=CARTESIAN_POINT('Origin',(24.9840734413235,4.25,17.6871970891686)); #222311=CARTESIAN_POINT('',(25.05522,4.25,17.7225113)); #222312=CARTESIAN_POINT('Origin',(24.9840734413235,4.25,17.6871970891686)); #222313=CARTESIAN_POINT('',(25.05522,4.24,17.7225113)); #222314=CARTESIAN_POINT('',(25.05522,4.25,17.7225113)); #222315=CARTESIAN_POINT('Origin',(24.9840734413235,4.24,17.6871970891686)); #222316=CARTESIAN_POINT('Origin',(25.05714,4.25,17.7173386)); #222317=CARTESIAN_POINT('',(25.05714,4.25,17.7173386)); #222318=CARTESIAN_POINT('',(23.9141946702808,4.25,20.7965642807534)); #222319=CARTESIAN_POINT('',(25.05714,4.24,17.7173386)); #222320=CARTESIAN_POINT('',(25.05714,4.25,17.7173386)); #222321=CARTESIAN_POINT('',(25.05714,4.24,17.7173386)); #222322=CARTESIAN_POINT('Origin',(25.05868,4.25,17.7115917)); #222323=CARTESIAN_POINT('',(25.05868,4.25,17.7115917)); #222324=CARTESIAN_POINT('',(24.1723992576385,4.25,21.0189727378466)); #222325=CARTESIAN_POINT('',(25.05868,4.24,17.7115917)); #222326=CARTESIAN_POINT('',(25.05868,4.25,17.7115917)); #222327=CARTESIAN_POINT('',(25.05868,4.24,17.7115917)); #222328=CARTESIAN_POINT('Origin',(23.820153468619,4.25,17.5273835152893)); #222329=CARTESIAN_POINT('',(25.06049,4.25,17.3557854)); #222330=CARTESIAN_POINT('Origin',(23.820153468619,4.25,17.5273835152893)); #222331=CARTESIAN_POINT('',(25.06049,4.24,17.3557854)); #222332=CARTESIAN_POINT('',(25.06049,4.25,17.3557854)); #222333=CARTESIAN_POINT('Origin',(23.820153468619,4.24,17.5273835152893)); #222334=CARTESIAN_POINT('Origin',(25.05966,4.25,17.3490067)); #222335=CARTESIAN_POINT('',(25.05966,4.25,17.3490067)); #222336=CARTESIAN_POINT('',(25.5266118572316,4.25,21.1626531513628)); #222337=CARTESIAN_POINT('',(25.05966,4.24,17.3490067)); #222338=CARTESIAN_POINT('',(25.05966,4.25,17.3490067)); #222339=CARTESIAN_POINT('',(25.05966,4.24,17.3490067)); #222340=CARTESIAN_POINT('Origin',(25.058439,4.25,17.3428001)); #222341=CARTESIAN_POINT('',(25.058439,4.25,17.3428001)); #222342=CARTESIAN_POINT('',(25.7973763154061,4.25,21.0989740081074)); #222343=CARTESIAN_POINT('',(25.058439,4.24,17.3428001)); #222344=CARTESIAN_POINT('',(25.058439,4.25,17.3428001)); #222345=CARTESIAN_POINT('',(25.058439,4.24,17.3428001)); #222346=CARTESIAN_POINT('Origin',(24.9749169796078,4.25,17.3648426284223)); #222347=CARTESIAN_POINT('',(25.054848,4.25,17.3320885)); #222348=CARTESIAN_POINT('Origin',(24.9749169796078,4.25,17.3648426284223)); #222349=CARTESIAN_POINT('',(25.054848,4.24,17.3320885)); #222350=CARTESIAN_POINT('',(25.054848,4.25,17.3320885)); #222351=CARTESIAN_POINT('Origin',(24.9749169796078,4.24,17.3648426284223)); #222352=CARTESIAN_POINT('Origin',(25.052483,4.25,17.3275833)); #222353=CARTESIAN_POINT('',(25.052483,4.25,17.3275833)); #222354=CARTESIAN_POINT('',(26.7119829645025,4.25,20.48884302096)); #222355=CARTESIAN_POINT('',(25.052483,4.24,17.3275833)); #222356=CARTESIAN_POINT('',(25.052483,4.25,17.3275833)); #222357=CARTESIAN_POINT('',(25.052483,4.24,17.3275833)); #222358=CARTESIAN_POINT('Origin',(25.049744,4.25,17.3236389)); #222359=CARTESIAN_POINT('',(25.049744,4.25,17.3236389)); #222360=CARTESIAN_POINT('',(26.9699547354429,4.25,20.0889106140867)); #222361=CARTESIAN_POINT('',(25.049744,4.24,17.3236389)); #222362=CARTESIAN_POINT('',(25.049744,4.25,17.3236389)); #222363=CARTESIAN_POINT('',(25.049744,4.24,17.3236389)); #222364=CARTESIAN_POINT('Origin',(25.046579,4.25,17.3202286)); #222365=CARTESIAN_POINT('',(25.046579,4.25,17.3202286)); #222366=CARTESIAN_POINT('',(27.1355061968374,4.25,19.5710559047021)); #222367=CARTESIAN_POINT('',(25.046579,4.24,17.3202286)); #222368=CARTESIAN_POINT('',(25.046579,4.25,17.3202286)); #222369=CARTESIAN_POINT('',(25.046579,4.24,17.3202286)); #222370=CARTESIAN_POINT('Origin',(25.043184,4.25,17.3172607)); #222371=CARTESIAN_POINT('',(25.043184,4.25,17.3172607)); #222372=CARTESIAN_POINT('',(27.1611290612923,4.25,19.168762657999)); #222373=CARTESIAN_POINT('',(25.043184,4.24,17.3172607)); #222374=CARTESIAN_POINT('',(25.043184,4.25,17.3172607)); #222375=CARTESIAN_POINT('',(25.043184,4.24,17.3172607)); #222376=CARTESIAN_POINT('Origin',(25.039618,4.25,17.3147316)); #222377=CARTESIAN_POINT('',(25.039618,4.25,17.3147316)); #222378=CARTESIAN_POINT('',(27.1058082634124,4.25,18.7801275044309)); #222379=CARTESIAN_POINT('',(25.039618,4.24,17.3147316)); #222380=CARTESIAN_POINT('',(25.039618,4.25,17.3147316)); #222381=CARTESIAN_POINT('',(25.039618,4.24,17.3147316)); #222382=CARTESIAN_POINT('Origin',(25.035875,4.25,17.3126373)); #222383=CARTESIAN_POINT('',(25.035875,4.25,17.3126373)); #222384=CARTESIAN_POINT('',(26.9647141293779,4.25,18.3918699445772)); #222385=CARTESIAN_POINT('',(25.035875,4.24,17.3126373)); #222386=CARTESIAN_POINT('',(25.035875,4.25,17.3126373)); #222387=CARTESIAN_POINT('',(25.035875,4.24,17.3126373)); #222388=CARTESIAN_POINT('Origin',(25.03195,4.25,17.3109779)); #222389=CARTESIAN_POINT('',(25.03195,4.25,17.3109779)); #222390=CARTESIAN_POINT('',(26.7366476846246,4.25,18.0316849924487)); #222391=CARTESIAN_POINT('',(25.03195,4.24,17.3109779)); #222392=CARTESIAN_POINT('',(25.03195,4.25,17.3109779)); #222393=CARTESIAN_POINT('',(25.03195,4.24,17.3109779)); #222394=CARTESIAN_POINT('Origin',(25.027836,4.25,17.3097572)); #222395=CARTESIAN_POINT('',(25.027836,4.25,17.3097572)); #222396=CARTESIAN_POINT('',(26.431275302592,4.25,17.72618363575)); #222397=CARTESIAN_POINT('',(25.027836,4.24,17.3097572)); #222398=CARTESIAN_POINT('',(25.027836,4.25,17.3097572)); #222399=CARTESIAN_POINT('',(25.027836,4.24,17.3097572)); #222400=CARTESIAN_POINT('Origin',(25.0109122411096,4.25,17.4062868999805)); #222401=CARTESIAN_POINT('',(25.019016,4.25,17.3086205)); #222402=CARTESIAN_POINT('Origin',(25.0109122411096,4.25,17.4062868999805)); #222403=CARTESIAN_POINT('',(25.019016,4.24,17.3086205)); #222404=CARTESIAN_POINT('',(25.019016,4.25,17.3086205)); #222405=CARTESIAN_POINT('Origin',(25.0109122411096,4.24,17.4062868999805)); #222406=CARTESIAN_POINT('Origin',(25.01425,4.25,17.3087044)); #222407=CARTESIAN_POINT('',(25.01425,4.25,17.3087044)); #222408=CARTESIAN_POINT('',(25.3393260121776,4.25,17.3029818071712)); #222409=CARTESIAN_POINT('',(25.01425,4.24,17.3087044)); #222410=CARTESIAN_POINT('',(25.01425,4.25,17.3087044)); #222411=CARTESIAN_POINT('',(25.01425,4.24,17.3087044)); #222412=CARTESIAN_POINT('Origin',(25.0235932431591,4.25,17.3940591786043)); #222413=CARTESIAN_POINT('',(25.005232,4.25,17.3101807)); #222414=CARTESIAN_POINT('Origin',(25.0235932431591,4.25,17.3940591786043)); #222415=CARTESIAN_POINT('',(25.005232,4.24,17.3101807)); #222416=CARTESIAN_POINT('',(25.005232,4.25,17.3101807)); #222417=CARTESIAN_POINT('Origin',(25.0235932431591,4.24,17.3940591786043)); #222418=CARTESIAN_POINT('Origin',(25.0303043639595,4.25,17.3845104629751)); #222419=CARTESIAN_POINT('',(24.997177,4.25,17.3134041)); #222420=CARTESIAN_POINT('Origin',(25.0303043639595,4.25,17.3845104629751)); #222421=CARTESIAN_POINT('',(24.997177,4.24,17.3134041)); #222422=CARTESIAN_POINT('',(24.997177,4.25,17.3134041)); #222423=CARTESIAN_POINT('Origin',(25.0303043639595,4.24,17.3845104629751)); #222424=CARTESIAN_POINT('Origin',(24.993521,4.25,17.31567)); #222425=CARTESIAN_POINT('',(24.993521,4.25,17.31567)); #222426=CARTESIAN_POINT('',(23.5644264965961,4.25,18.201388062161)); #222427=CARTESIAN_POINT('',(24.993521,4.24,17.31567)); #222428=CARTESIAN_POINT('',(24.993521,4.25,17.31567)); #222429=CARTESIAN_POINT('',(24.993521,4.24,17.31567)); #222430=CARTESIAN_POINT('Origin',(24.990122,4.25,17.3183708)); #222431=CARTESIAN_POINT('',(24.990122,4.25,17.3183708)); #222432=CARTESIAN_POINT('',(23.3676057511255,4.25,18.6076005396189)); #222433=CARTESIAN_POINT('',(24.990122,4.24,17.3183708)); #222434=CARTESIAN_POINT('',(24.990122,4.25,17.3183708)); #222435=CARTESIAN_POINT('',(24.990122,4.24,17.3183708)); #222436=CARTESIAN_POINT('Origin',(24.986979,4.25,17.3215103)); #222437=CARTESIAN_POINT('',(24.986979,4.25,17.3215103)); #222438=CARTESIAN_POINT('',(23.2708394847372,4.25,19.0357387467604)); #222439=CARTESIAN_POINT('',(24.986979,4.24,17.3215103)); #222440=CARTESIAN_POINT('',(24.986979,4.25,17.3215103)); #222441=CARTESIAN_POINT('',(24.986979,4.24,17.3215103)); #222442=CARTESIAN_POINT('Origin',(24.984055,4.25,17.3251152)); #222443=CARTESIAN_POINT('',(24.984055,4.25,17.3251152)); #222444=CARTESIAN_POINT('',(23.2685189466283,4.25,19.4401411640206)); #222445=CARTESIAN_POINT('',(24.984055,4.24,17.3251152)); #222446=CARTESIAN_POINT('',(24.984055,4.25,17.3251152)); #222447=CARTESIAN_POINT('',(24.984055,4.24,17.3251152)); #222448=CARTESIAN_POINT('Origin',(24.981491,4.25,17.329277)); #222449=CARTESIAN_POINT('',(24.981491,4.25,17.329277)); #222450=CARTESIAN_POINT('',(23.3812972978193,4.25,19.9266584936606)); #222451=CARTESIAN_POINT('',(24.981491,4.24,17.329277)); #222452=CARTESIAN_POINT('',(24.981491,4.25,17.329277)); #222453=CARTESIAN_POINT('',(24.981491,4.24,17.329277)); #222454=CARTESIAN_POINT('Origin',(24.979319,4.25,17.3340073)); #222455=CARTESIAN_POINT('',(24.979319,4.25,17.3340073)); #222456=CARTESIAN_POINT('',(23.5972367414933,4.25,20.3439813826004)); #222457=CARTESIAN_POINT('',(24.979319,4.24,17.3340073)); #222458=CARTESIAN_POINT('',(24.979319,4.25,17.3340073)); #222459=CARTESIAN_POINT('',(24.979319,4.24,17.3340073)); #222460=CARTESIAN_POINT('Origin',(24.977535,4.25,17.3392982)); #222461=CARTESIAN_POINT('',(24.977535,4.25,17.3392982)); #222462=CARTESIAN_POINT('',(23.8598346160025,4.25,20.6541193668628)); #222463=CARTESIAN_POINT('',(24.977535,4.24,17.3392982)); #222464=CARTESIAN_POINT('',(24.977535,4.25,17.3392982)); #222465=CARTESIAN_POINT('',(24.977535,4.24,17.3392982)); #222466=CARTESIAN_POINT('Origin',(25.100029317892,4.25,17.3685933683166)); #222467=CARTESIAN_POINT('',(24.974478,4.25,17.3585968)); #222468=CARTESIAN_POINT('Origin',(25.100029317892,4.25,17.3685933683166)); #222469=CARTESIAN_POINT('',(24.974478,4.24,17.3585968)); #222470=CARTESIAN_POINT('',(24.974478,4.25,17.3585968)); #222471=CARTESIAN_POINT('Origin',(25.100029317892,4.24,17.3685933683166)); #222472=CARTESIAN_POINT('Origin',(24.974213,4.25,17.3661766)); #222473=CARTESIAN_POINT('',(24.974213,4.25,17.3661766)); #222474=CARTESIAN_POINT('',(24.8414356057895,4.25,21.1640109118147)); #222475=CARTESIAN_POINT('',(24.974213,4.24,17.3661766)); #222476=CARTESIAN_POINT('',(24.974213,4.25,17.3661766)); #222477=CARTESIAN_POINT('',(24.974213,4.24,17.3661766)); #222478=CARTESIAN_POINT('Origin',(24.974203,4.25,17.5285721)); #222479=CARTESIAN_POINT('',(24.9742029999948,4.25,17.5285721)); #222480=CARTESIAN_POINT('',(24.9739729635451,4.25,21.2642606103458)); #222481=CARTESIAN_POINT('',(24.9742029999948,4.24,17.5285721)); #222482=CARTESIAN_POINT('',(24.9742029999948,4.25,17.5285721)); #222483=CARTESIAN_POINT('',(24.974203,4.24,17.5285721)); #222484=CARTESIAN_POINT('Origin',(24.3885725591879,4.25,-294.544161793183)); #222485=CARTESIAN_POINT('',(23.939928,4.25,17.5287991)); #222486=CARTESIAN_POINT('Origin',(24.3885725591879,4.25,-294.544161793183)); #222487=CARTESIAN_POINT('',(23.939928,4.24,17.5287991)); #222488=CARTESIAN_POINT('',(23.939928,4.25,17.5287991)); #222489=CARTESIAN_POINT('Origin',(24.3885725591879,4.24,-294.544161793183)); #222490=CARTESIAN_POINT('Origin',(23.939104,4.25,17.5282326)); #222491=CARTESIAN_POINT('',(23.939104,4.25,17.5282326)); #222492=CARTESIAN_POINT('',(26.3149931756006,4.25,19.1616564082534)); #222493=CARTESIAN_POINT('',(23.939104,4.24,17.5282326)); #222494=CARTESIAN_POINT('',(23.939104,4.25,17.5282326)); #222495=CARTESIAN_POINT('',(23.939104,4.24,17.5282326)); #222496=CARTESIAN_POINT('Origin',(23.939104,4.25,17.5118046)); #222497=CARTESIAN_POINT('',(23.939104,4.25,17.5118046)); #222498=CARTESIAN_POINT('',(23.939104,4.25,21.2559023)); #222499=CARTESIAN_POINT('',(23.939104,4.24,17.5118046)); #222500=CARTESIAN_POINT('',(23.939104,4.25,17.5118046)); #222501=CARTESIAN_POINT('',(23.939104,4.24,17.5118046)); #222502=CARTESIAN_POINT('Origin',(24.698936,4.25,17.1795273)); #222503=CARTESIAN_POINT('',(24.698936,4.25,17.1795273)); #222504=CARTESIAN_POINT('',(23.7256359341322,4.25,17.6051549623995)); #222505=CARTESIAN_POINT('',(24.698936,4.24,17.1795273)); #222506=CARTESIAN_POINT('',(24.698936,4.25,17.1795273)); #222507=CARTESIAN_POINT('',(24.698936,4.24,17.1795273)); #222508=CARTESIAN_POINT('Origin',(24.699003,4.25,17.0775986)); #222509=CARTESIAN_POINT('',(24.699003,4.25,17.0775986)); #222510=CARTESIAN_POINT('',(24.6963994537175,4.25,21.0384357337316)); #222511=CARTESIAN_POINT('',(24.699003,4.24,17.0775986)); #222512=CARTESIAN_POINT('',(24.699003,4.25,17.0775986)); #222513=CARTESIAN_POINT('',(24.699003,4.24,17.0775986)); #222514=CARTESIAN_POINT('Origin',(24.66601,4.25,17.0634613)); #222515=CARTESIAN_POINT('',(24.66601,4.25,17.0634613)); #222516=CARTESIAN_POINT('',(26.5816578633909,4.25,17.8843047679815)); #222517=CARTESIAN_POINT('',(24.66601,4.24,17.0634613)); #222518=CARTESIAN_POINT('',(24.66601,4.25,17.0634613)); #222519=CARTESIAN_POINT('',(24.66601,4.24,17.0634613)); #222520=CARTESIAN_POINT('Origin',(23.939104,4.25,16.7519913)); #222521=CARTESIAN_POINT('',(23.939104,4.25,16.7519913)); #222522=CARTESIAN_POINT('',(26.21819187366,4.25,17.7285515430148)); #222523=CARTESIAN_POINT('',(23.939104,4.24,16.7519913)); #222524=CARTESIAN_POINT('',(23.939104,4.25,16.7519913)); #222525=CARTESIAN_POINT('',(23.939104,4.24,16.7519913)); #222526=CARTESIAN_POINT('Origin',(23.939104,4.25,16.733532)); #222527=CARTESIAN_POINT('',(23.939104,4.25,16.733532)); #222528=CARTESIAN_POINT('',(23.939104,4.25,20.866766)); #222529=CARTESIAN_POINT('',(23.939104,4.24,16.733532)); #222530=CARTESIAN_POINT('',(23.939104,4.25,16.733532)); #222531=CARTESIAN_POINT('',(23.939104,4.24,16.733532)); #222532=CARTESIAN_POINT('Origin',(23.939928,4.25,16.7328986999999)); #222533=CARTESIAN_POINT('',(23.939928,4.25,16.7328986999999)); #222534=CARTESIAN_POINT('',(22.5274157466079,4.25,17.8185103628363)); #222535=CARTESIAN_POINT('',(23.939928,4.24,16.7328986999999)); #222536=CARTESIAN_POINT('',(23.939928,4.25,16.7328986999999)); #222537=CARTESIAN_POINT('',(23.939928,4.24,16.7328986999999)); #222538=CARTESIAN_POINT('Origin',(24.3913345238631,4.25,313.735657729853)); #222539=CARTESIAN_POINT('',(24.974203,4.25,16.7331275999999)); #222540=CARTESIAN_POINT('Origin',(24.3913345238631,4.25,313.735657729853)); #222541=CARTESIAN_POINT('',(24.974203,4.24,16.7331275999999)); #222542=CARTESIAN_POINT('',(24.974203,4.25,16.7331275999999)); #222543=CARTESIAN_POINT('Origin',(24.3913345238631,4.24,313.735657729853)); #222544=CARTESIAN_POINT('Origin',(25.7460650160615,4.25,16.8168473871311)); #222545=CARTESIAN_POINT('',(24.976137,4.25,16.9168015)); #222546=CARTESIAN_POINT('Origin',(25.7460650160615,4.25,16.8168473871311)); #222547=CARTESIAN_POINT('',(24.976137,4.24,16.9168015)); #222548=CARTESIAN_POINT('',(24.976137,4.25,16.9168015)); #222549=CARTESIAN_POINT('Origin',(25.7460650160615,4.24,16.8168473871311)); #222550=CARTESIAN_POINT('Origin',(24.977535,4.25,16.9227066)); #222551=CARTESIAN_POINT('',(24.977535,4.25,16.9227066)); #222552=CARTESIAN_POINT('',(25.9047430515672,4.25,20.8391989213967)); #222553=CARTESIAN_POINT('',(24.977535,4.24,16.9227066)); #222554=CARTESIAN_POINT('',(24.977535,4.25,16.9227066)); #222555=CARTESIAN_POINT('',(24.977535,4.24,16.9227066)); #222556=CARTESIAN_POINT('Origin',(24.979319,4.25,16.9280243)); #222557=CARTESIAN_POINT('',(24.979319,4.25,16.9280243)); #222558=CARTESIAN_POINT('',(26.2378614954979,4.25,20.6794544728143)); #222559=CARTESIAN_POINT('',(24.979319,4.24,16.9280243)); #222560=CARTESIAN_POINT('',(24.979319,4.25,16.9280243)); #222561=CARTESIAN_POINT('',(24.979319,4.24,16.9280243)); #222562=CARTESIAN_POINT('Origin',(25.0543962068989,4.25,16.8936889762952)); #222563=CARTESIAN_POINT('',(24.984055,4.25,16.9369049)); #222564=CARTESIAN_POINT('Origin',(25.0543962068989,4.25,16.8936889762952)); #222565=CARTESIAN_POINT('',(24.984055,4.24,16.9369049)); #222566=CARTESIAN_POINT('',(24.984055,4.25,16.9369049)); #222567=CARTESIAN_POINT('Origin',(25.0543962068989,4.24,16.8936889762952)); #222568=CARTESIAN_POINT('Origin',(24.986979,4.25,16.9404602)); #222569=CARTESIAN_POINT('',(24.986979,4.25,16.9404602)); #222570=CARTESIAN_POINT('',(27.127988084315,4.25,19.5437192962594)); #222571=CARTESIAN_POINT('',(24.986979,4.24,16.9404602)); #222572=CARTESIAN_POINT('',(24.986979,4.25,16.9404602)); #222573=CARTESIAN_POINT('',(24.986979,4.24,16.9404602)); #222574=CARTESIAN_POINT('Origin',(24.990122,4.25,16.9434967)); #222575=CARTESIAN_POINT('',(24.990122,4.25,16.9434967)); #222576=CARTESIAN_POINT('',(27.2124980001766,4.25,19.0905678818449)); #222577=CARTESIAN_POINT('',(24.990122,4.24,16.9434967)); #222578=CARTESIAN_POINT('',(24.990122,4.25,16.9434967)); #222579=CARTESIAN_POINT('',(24.990122,4.24,16.9434967)); #222580=CARTESIAN_POINT('Origin',(25.0393440222894,4.25,16.8790498176881)); #222581=CARTESIAN_POINT('',(24.997177,4.25,16.9483185)); #222582=CARTESIAN_POINT('Origin',(25.0393440222894,4.25,16.8790498176881)); #222583=CARTESIAN_POINT('',(24.997177,4.24,16.9483185)); #222584=CARTESIAN_POINT('',(24.997177,4.25,16.9483185)); #222585=CARTESIAN_POINT('Origin',(25.0393440222894,4.24,16.8790498176881)); #222586=CARTESIAN_POINT('Origin',(25.001081,4.25,16.9500961)); #222587=CARTESIAN_POINT('',(25.001081,4.25,16.9500961)); #222588=CARTESIAN_POINT('',(26.8499080562986,4.25,17.7919185834211)); #222589=CARTESIAN_POINT('',(25.001081,4.24,16.9500961)); #222590=CARTESIAN_POINT('',(25.001081,4.25,16.9500961)); #222591=CARTESIAN_POINT('',(25.001081,4.24,16.9500961)); #222592=CARTESIAN_POINT('Origin',(25.005232,4.25,16.9514542)); #222593=CARTESIAN_POINT('',(26.5535207813791,4.25,17.4580143045516)); #222594=CARTESIAN_POINT('',(25.005232,4.24,16.9514542)); #222595=CARTESIAN_POINT('Origin',(24.4567743264921,4.24,17.1308489)); #222596=CARTESIAN_POINT('Origin',(23.852848,4.25,20.8798656)); #222597=CARTESIAN_POINT('',(23.852848,4.25,20.8798656)); #222598=CARTESIAN_POINT('',(23.853609,4.25,20.8732986)); #222599=CARTESIAN_POINT('',(23.6301863461298,4.25,22.8013098588416)); #222600=CARTESIAN_POINT('',(23.852848,4.24,20.8798656)); #222601=CARTESIAN_POINT('',(23.852848,4.25,20.8798656)); #222602=CARTESIAN_POINT('',(23.853609,4.24,20.8732986)); #222603=CARTESIAN_POINT('',(23.852848,4.24,20.8798656)); #222604=CARTESIAN_POINT('',(23.853609,4.25,20.8732986)); #222605=CARTESIAN_POINT('Origin',(28.6784141660174,4.25,21.1420414566302)); #222606=CARTESIAN_POINT('',(23.852453,4.25,21.396843)); #222607=CARTESIAN_POINT('Origin',(28.6784141660174,4.25,21.1420414566302)); #222608=CARTESIAN_POINT('',(23.852453,4.24,21.396843)); #222609=CARTESIAN_POINT('',(23.852453,4.25,21.396843)); #222610=CARTESIAN_POINT('Origin',(28.6784141660174,4.24,21.1420414566302)); #222611=CARTESIAN_POINT('Origin',(23.852827,4.25,21.4040127)); #222612=CARTESIAN_POINT('',(23.852827,4.25,21.4040127)); #222613=CARTESIAN_POINT('',(23.9490049812449,4.25,23.2477754597272)); #222614=CARTESIAN_POINT('',(23.852827,4.24,21.4040127)); #222615=CARTESIAN_POINT('',(23.852827,4.25,21.4040127)); #222616=CARTESIAN_POINT('',(23.852827,4.24,21.4040127)); #222617=CARTESIAN_POINT('Origin',(23.853573,4.25,21.4106083)); #222618=CARTESIAN_POINT('',(23.853573,4.25,21.4106083)); #222619=CARTESIAN_POINT('',(24.0662922700028,4.25,23.2913203874282)); #222620=CARTESIAN_POINT('',(23.853573,4.24,21.4106083)); #222621=CARTESIAN_POINT('',(23.853573,4.25,21.4106083)); #222622=CARTESIAN_POINT('',(23.853573,4.24,21.4106083)); #222623=CARTESIAN_POINT('Origin',(23.854702,4.25,21.4166374)); #222624=CARTESIAN_POINT('',(23.854702,4.25,21.4166374)); #222625=CARTESIAN_POINT('',(24.2117944284146,4.25,23.3235868775584)); #222626=CARTESIAN_POINT('',(23.854702,4.24,21.4166374)); #222627=CARTESIAN_POINT('',(23.854702,4.25,21.4166374)); #222628=CARTESIAN_POINT('',(23.854702,4.24,21.4166374)); #222629=CARTESIAN_POINT('Origin',(23.85622,4.25,21.4221039)); #222630=CARTESIAN_POINT('',(23.85622,4.25,21.4221039)); #222631=CARTESIAN_POINT('',(24.3870098181441,4.25,23.3335416739657)); #222632=CARTESIAN_POINT('',(23.85622,4.24,21.4221039)); #222633=CARTESIAN_POINT('',(23.85622,4.25,21.4221039)); #222634=CARTESIAN_POINT('',(23.85622,4.24,21.4221039)); #222635=CARTESIAN_POINT('Origin',(23.858131,4.25,21.4270153)); #222636=CARTESIAN_POINT('',(23.858131,4.25,21.4270153)); #222637=CARTESIAN_POINT('',(24.5895128237367,4.25,23.30671634087)); #222638=CARTESIAN_POINT('',(23.858131,4.24,21.4270153)); #222639=CARTESIAN_POINT('',(23.858131,4.25,21.4270153)); #222640=CARTESIAN_POINT('',(23.858131,4.24,21.4270153)); #222641=CARTESIAN_POINT('Origin',(23.860449,4.25,21.4313774)); #222642=CARTESIAN_POINT('',(23.860449,4.25,21.4313774)); #222643=CARTESIAN_POINT('',(24.813380340661,4.25,23.2246396092749)); #222644=CARTESIAN_POINT('',(23.860449,4.24,21.4313774)); #222645=CARTESIAN_POINT('',(23.860449,4.25,21.4313774)); #222646=CARTESIAN_POINT('',(23.860449,4.24,21.4313774)); #222647=CARTESIAN_POINT('Origin',(23.9326828682993,4.25,21.3792929964968)); #222648=CARTESIAN_POINT('',(23.86618,4.25,21.4385204)); #222649=CARTESIAN_POINT('Origin',(23.9326828682993,4.25,21.3792929964968)); #222650=CARTESIAN_POINT('',(23.86618,4.24,21.4385204)); #222651=CARTESIAN_POINT('',(23.86618,4.25,21.4385204)); #222652=CARTESIAN_POINT('Origin',(23.9326828682993,4.24,21.3792929964968)); #222653=CARTESIAN_POINT('Origin',(23.869394,4.25,21.4414291)); #222654=CARTESIAN_POINT('',(23.869394,4.25,21.4414291)); #222655=CARTESIAN_POINT('',(25.2852893389587,4.25,22.7228275979546)); #222656=CARTESIAN_POINT('',(23.869394,4.24,21.4414291)); #222657=CARTESIAN_POINT('',(23.869394,4.25,21.4414291)); #222658=CARTESIAN_POINT('',(23.869394,4.24,21.4414291)); #222659=CARTESIAN_POINT('Origin',(23.872877,4.25,21.4439163)); #222660=CARTESIAN_POINT('',(23.872877,4.25,21.4439163)); #222661=CARTESIAN_POINT('',(25.3519185074803,4.25,22.5000954666403)); #222662=CARTESIAN_POINT('',(23.872877,4.24,21.4439163)); #222663=CARTESIAN_POINT('',(23.872877,4.25,21.4439163)); #222664=CARTESIAN_POINT('',(23.872877,4.24,21.4439163)); #222665=CARTESIAN_POINT('Origin',(23.876625,4.25,21.4459782)); #222666=CARTESIAN_POINT('',(23.876625,4.25,21.4459782)); #222667=CARTESIAN_POINT('',(25.3653482761082,4.25,22.2649746042168)); #222668=CARTESIAN_POINT('',(23.876625,4.24,21.4459782)); #222669=CARTESIAN_POINT('',(23.876625,4.25,21.4459782)); #222670=CARTESIAN_POINT('',(23.876625,4.24,21.4459782)); #222671=CARTESIAN_POINT('Origin',(23.880632,4.25,21.4476166)); #222672=CARTESIAN_POINT('',(23.880632,4.25,21.4476166)); #222673=CARTESIAN_POINT('',(25.325080832403,4.25,22.0382292695802)); #222674=CARTESIAN_POINT('',(23.880632,4.24,21.4476166)); #222675=CARTESIAN_POINT('',(23.880632,4.25,21.4476166)); #222676=CARTESIAN_POINT('',(23.880632,4.24,21.4476166)); #222677=CARTESIAN_POINT('Origin',(23.884893,4.25,21.4488297)); #222678=CARTESIAN_POINT('',(23.884893,4.25,21.4488297)); #222679=CARTESIAN_POINT('',(25.2382582252055,4.25,21.8341306515839)); #222680=CARTESIAN_POINT('',(23.884893,4.24,21.4488297)); #222681=CARTESIAN_POINT('',(23.884893,4.25,21.4488297)); #222682=CARTESIAN_POINT('',(23.884893,4.24,21.4488297)); #222683=CARTESIAN_POINT('Origin',(23.889406,4.25,21.4496155)); #222684=CARTESIAN_POINT('',(23.889406,4.25,21.4496155)); #222685=CARTESIAN_POINT('',(25.1165928376611,4.25,21.6632923041288)); #222686=CARTESIAN_POINT('',(23.889406,4.24,21.4496155)); #222687=CARTESIAN_POINT('',(23.889406,4.25,21.4496155)); #222688=CARTESIAN_POINT('',(23.889406,4.24,21.4496155)); #222689=CARTESIAN_POINT('Origin',(23.894163,4.25,21.4499741)); #222690=CARTESIAN_POINT('',(23.894163,4.25,21.4499741)); #222691=CARTESIAN_POINT('',(24.9747478135777,4.25,21.5314325221462)); #222692=CARTESIAN_POINT('',(23.894163,4.24,21.4499741)); #222693=CARTESIAN_POINT('',(23.894163,4.25,21.4499741)); #222694=CARTESIAN_POINT('',(23.894163,4.24,21.4499741)); #222695=CARTESIAN_POINT('Origin',(23.899033,4.25,21.4499035)); #222696=CARTESIAN_POINT('',(23.899033,4.25,21.4499035)); #222697=CARTESIAN_POINT('',(24.8235894622482,4.25,21.4365002790073)); #222698=CARTESIAN_POINT('',(23.899033,4.24,21.4499035)); #222699=CARTESIAN_POINT('',(23.899033,4.25,21.4499035)); #222700=CARTESIAN_POINT('',(23.899033,4.24,21.4499035)); #222701=CARTESIAN_POINT('Origin',(23.903683,4.25,21.4494019)); #222702=CARTESIAN_POINT('',(23.903683,4.25,21.4494019)); #222703=CARTESIAN_POINT('',(24.6516349950677,4.25,21.3687195944675)); #222704=CARTESIAN_POINT('',(23.903683,4.24,21.4494019)); #222705=CARTESIAN_POINT('',(23.903683,4.25,21.4494019)); #222706=CARTESIAN_POINT('',(23.903683,4.24,21.4494019)); #222707=CARTESIAN_POINT('Origin',(23.908094,4.25,21.448473)); #222708=CARTESIAN_POINT('',(23.908094,4.25,21.448473)); #222709=CARTESIAN_POINT('',(24.4558046910612,4.25,21.3331321564437)); #222710=CARTESIAN_POINT('',(23.908094,4.24,21.448473)); #222711=CARTESIAN_POINT('',(23.908094,4.25,21.448473)); #222712=CARTESIAN_POINT('',(23.908094,4.24,21.448473)); #222713=CARTESIAN_POINT('Origin',(23.8842992871344,4.25,21.3749861583486)); #222714=CARTESIAN_POINT('',(23.916189,4.25,21.4453392)); #222715=CARTESIAN_POINT('Origin',(23.8842992871344,4.25,21.3749861583486)); #222716=CARTESIAN_POINT('',(23.916189,4.24,21.4453392)); #222717=CARTESIAN_POINT('',(23.916189,4.25,21.4453392)); #222718=CARTESIAN_POINT('Origin',(23.8842992871344,4.24,21.3749861583486)); #222719=CARTESIAN_POINT('Origin',(23.919861,4.25,21.4431343)); #222720=CARTESIAN_POINT('',(23.919861,4.25,21.4431343)); #222721=CARTESIAN_POINT('',(23.8259188321214,4.25,21.4995430924716)); #222722=CARTESIAN_POINT('',(23.919861,4.24,21.4431343)); #222723=CARTESIAN_POINT('',(23.919861,4.25,21.4431343)); #222724=CARTESIAN_POINT('',(23.919861,4.24,21.4431343)); #222725=CARTESIAN_POINT('Origin',(23.923275,4.25,21.440506)); #222726=CARTESIAN_POINT('',(23.923275,4.25,21.440506)); #222727=CARTESIAN_POINT('',(23.6521649758983,4.25,21.649222601156)); #222728=CARTESIAN_POINT('',(23.923275,4.24,21.440506)); #222729=CARTESIAN_POINT('',(23.923275,4.25,21.440506)); #222730=CARTESIAN_POINT('',(23.923275,4.24,21.440506)); #222731=CARTESIAN_POINT('Origin',(23.926428,4.25,21.437458)); #222732=CARTESIAN_POINT('',(23.926428,4.25,21.437458)); #222733=CARTESIAN_POINT('',(23.5205538952995,4.25,21.829815840509)); #222734=CARTESIAN_POINT('',(23.926428,4.24,21.437458)); #222735=CARTESIAN_POINT('',(23.926428,4.25,21.437458)); #222736=CARTESIAN_POINT('',(23.926428,4.24,21.437458)); #222737=CARTESIAN_POINT('Origin',(23.929363,4.25,21.4339828)); #222738=CARTESIAN_POINT('',(23.929363,4.25,21.4339828)); #222739=CARTESIAN_POINT('',(23.4398258727768,4.25,22.013621445495)); #222740=CARTESIAN_POINT('',(23.929363,4.24,21.4339828)); #222741=CARTESIAN_POINT('',(23.929363,4.25,21.4339828)); #222742=CARTESIAN_POINT('',(23.929363,4.24,21.4339828)); #222743=CARTESIAN_POINT('Origin',(23.931923,4.25,21.429985)); #222744=CARTESIAN_POINT('',(23.931923,4.25,21.429985)); #222745=CARTESIAN_POINT('',(23.3929140225703,4.25,22.2717233163931)); #222746=CARTESIAN_POINT('',(23.931923,4.24,21.429985)); #222747=CARTESIAN_POINT('',(23.931923,4.25,21.429985)); #222748=CARTESIAN_POINT('',(23.931923,4.24,21.429985)); #222749=CARTESIAN_POINT('Origin',(23.934084,4.25,21.4254398)); #222750=CARTESIAN_POINT('',(23.934084,4.25,21.4254398)); #222751=CARTESIAN_POINT('',(23.4130107383493,4.25,22.5214056439897)); #222752=CARTESIAN_POINT('',(23.934084,4.24,21.4254398)); #222753=CARTESIAN_POINT('',(23.934084,4.25,21.4254398)); #222754=CARTESIAN_POINT('',(23.934084,4.24,21.4254398)); #222755=CARTESIAN_POINT('Origin',(23.935852,4.25,21.4203434)); #222756=CARTESIAN_POINT('',(23.935852,4.25,21.4203434)); #222757=CARTESIAN_POINT('',(23.4817614976843,4.25,22.7292952303161)); #222758=CARTESIAN_POINT('',(23.935852,4.24,21.4203434)); #222759=CARTESIAN_POINT('',(23.935852,4.25,21.4203434)); #222760=CARTESIAN_POINT('',(23.935852,4.24,21.4203434)); #222761=CARTESIAN_POINT('Origin',(23.937229,4.25,21.41469)); #222762=CARTESIAN_POINT('',(23.937229,4.25,21.41469)); #222763=CARTESIAN_POINT('',(23.5772062713105,4.25,22.8927963866154)); #222764=CARTESIAN_POINT('',(23.937229,4.24,21.41469)); #222765=CARTESIAN_POINT('',(23.937229,4.25,21.41469)); #222766=CARTESIAN_POINT('',(23.937229,4.24,21.41469)); #222767=CARTESIAN_POINT('Origin',(23.938227,4.25,21.4084721)); #222768=CARTESIAN_POINT('',(23.938227,4.25,21.4084721)); #222769=CARTESIAN_POINT('',(23.6806167080323,4.25,23.0134771445207)); #222770=CARTESIAN_POINT('',(23.938227,4.24,21.4084721)); #222771=CARTESIAN_POINT('',(23.938227,4.25,21.4084721)); #222772=CARTESIAN_POINT('',(23.938227,4.24,21.4084721)); #222773=CARTESIAN_POINT('Origin',(23.8277480917861,4.25,21.3945855866642)); #222774=CARTESIAN_POINT('',(23.939096,4.25,21.3943253)); #222775=CARTESIAN_POINT('Origin',(23.8277480917861,4.25,21.3945855866642)); #222776=CARTESIAN_POINT('',(23.939096,4.24,21.3943253)); #222777=CARTESIAN_POINT('',(23.939096,4.25,21.3943253)); #222778=CARTESIAN_POINT('Origin',(23.8277480917861,4.24,21.3945855866642)); #222779=CARTESIAN_POINT('Origin',(23.939104,4.25,21.1856194)); #222780=CARTESIAN_POINT('',(23.939104,4.25,21.1856194)); #222781=CARTESIAN_POINT('',(23.9390308959955,4.25,23.0927740317758)); #222782=CARTESIAN_POINT('',(23.939104,4.24,21.1856194)); #222783=CARTESIAN_POINT('',(23.939104,4.25,21.1856194)); #222784=CARTESIAN_POINT('',(23.939104,4.24,21.1856194)); #222785=CARTESIAN_POINT('Origin',(24.4742809671065,4.25,173.262648369834)); #222786=CARTESIAN_POINT('',(24.974136,4.25,21.1854992)); #222787=CARTESIAN_POINT('Origin',(24.4742809671065,4.25,173.262648369834)); #222788=CARTESIAN_POINT('',(24.974136,4.24,21.1854992)); #222789=CARTESIAN_POINT('',(24.974136,4.25,21.1854992)); #222790=CARTESIAN_POINT('Origin',(24.4742809671065,4.24,173.262648369834)); #222791=CARTESIAN_POINT('Origin',(27.0262836091097,4.25,21.2886917929698)); #222792=CARTESIAN_POINT('',(24.974981,4.25,21.4075031)); #222793=CARTESIAN_POINT('Origin',(27.0262836091097,4.25,21.2886917929698)); #222794=CARTESIAN_POINT('',(24.974981,4.24,21.4075031)); #222795=CARTESIAN_POINT('',(24.974981,4.25,21.4075031)); #222796=CARTESIAN_POINT('Origin',(27.0262836091097,4.24,21.2886917929698)); #222797=CARTESIAN_POINT('Origin',(24.975939,4.25,21.413805)); #222798=CARTESIAN_POINT('',(24.975939,4.25,21.413805)); #222799=CARTESIAN_POINT('',(25.2516712265386,4.25,23.2276222426135)); #222800=CARTESIAN_POINT('',(24.975939,4.24,21.413805)); #222801=CARTESIAN_POINT('',(24.975939,4.25,21.413805)); #222802=CARTESIAN_POINT('',(24.975939,4.24,21.413805)); #222803=CARTESIAN_POINT('Origin',(24.977278,4.25,21.4195423)); #222804=CARTESIAN_POINT('',(24.977278,4.25,21.4195423)); #222805=CARTESIAN_POINT('',(25.3947573756681,4.25,23.2083432126393)); #222806=CARTESIAN_POINT('',(24.977278,4.24,21.4195423)); #222807=CARTESIAN_POINT('',(24.977278,4.25,21.4195423)); #222808=CARTESIAN_POINT('',(24.977278,4.24,21.4195423)); #222809=CARTESIAN_POINT('Origin',(24.979006,4.25,21.4247189)); #222810=CARTESIAN_POINT('',(24.979006,4.25,21.4247189)); #222811=CARTESIAN_POINT('',(25.5570665588724,4.25,23.1564250857973)); #222812=CARTESIAN_POINT('',(24.979006,4.24,21.4247189)); #222813=CARTESIAN_POINT('',(24.979006,4.25,21.4247189)); #222814=CARTESIAN_POINT('',(24.979006,4.24,21.4247189)); #222815=CARTESIAN_POINT('Origin',(24.981125,4.25,21.4293461)); #222816=CARTESIAN_POINT('',(24.981125,4.25,21.4293461)); #222817=CARTESIAN_POINT('',(25.7279506652752,4.25,23.0601680529784)); #222818=CARTESIAN_POINT('',(24.981125,4.24,21.4293461)); #222819=CARTESIAN_POINT('',(24.981125,4.25,21.4293461)); #222820=CARTESIAN_POINT('',(24.981125,4.24,21.4293461)); #222821=CARTESIAN_POINT('Origin',(24.983637,4.25,21.4334259)); #222822=CARTESIAN_POINT('',(24.983637,4.25,21.4334259)); #222823=CARTESIAN_POINT('',(25.8920101502592,4.25,22.9087367194368)); #222824=CARTESIAN_POINT('',(24.983637,4.24,21.4334259)); #222825=CARTESIAN_POINT('',(24.983637,4.25,21.4334259)); #222826=CARTESIAN_POINT('',(24.983637,4.24,21.4334259)); #222827=CARTESIAN_POINT('Origin',(24.986532,4.25,21.4369698)); #222828=CARTESIAN_POINT('',(24.986532,4.25,21.4369698)); #222829=CARTESIAN_POINT('',(26.0221672445251,4.25,22.7047375868984)); #222830=CARTESIAN_POINT('',(24.986532,4.24,21.4369698)); #222831=CARTESIAN_POINT('',(24.986532,4.25,21.4369698)); #222832=CARTESIAN_POINT('',(24.986532,4.24,21.4369698)); #222833=CARTESIAN_POINT('Origin',(25.0488646475931,4.25,21.3737488672141)); #222834=CARTESIAN_POINT('',(24.993025,4.25,21.4427719)); #222835=CARTESIAN_POINT('Origin',(25.0488646475931,4.25,21.3737488672141)); #222836=CARTESIAN_POINT('',(24.993025,4.24,21.4427719)); #222837=CARTESIAN_POINT('',(24.993025,4.25,21.4427719)); #222838=CARTESIAN_POINT('Origin',(25.0488646475931,4.24,21.3737488672141)); #222839=CARTESIAN_POINT('Origin',(24.996658,4.25,21.4450378)); #222840=CARTESIAN_POINT('',(24.996658,4.25,21.4450378)); #222841=CARTESIAN_POINT('',(26.0839754089686,4.25,22.1231970394665)); #222842=CARTESIAN_POINT('',(24.996658,4.24,21.4450378)); #222843=CARTESIAN_POINT('',(24.996658,4.25,21.4450378)); #222844=CARTESIAN_POINT('',(24.996658,4.24,21.4450378)); #222845=CARTESIAN_POINT('Origin',(25.000544,4.25,21.4468822)); #222846=CARTESIAN_POINT('',(25.000544,4.25,21.4468822)); #222847=CARTESIAN_POINT('',(26.0149570773094,4.25,21.9283498993798)); #222848=CARTESIAN_POINT('',(25.000544,4.24,21.4468822)); #222849=CARTESIAN_POINT('',(25.000544,4.25,21.4468822)); #222850=CARTESIAN_POINT('',(25.000544,4.24,21.4468822)); #222851=CARTESIAN_POINT('Origin',(25.004679,4.25,21.4482994)); #222852=CARTESIAN_POINT('',(25.004679,4.25,21.4482994)); #222853=CARTESIAN_POINT('',(25.9052010874536,4.25,21.7569378286188)); #222854=CARTESIAN_POINT('',(25.004679,4.24,21.4482994)); #222855=CARTESIAN_POINT('',(25.004679,4.25,21.4482994)); #222856=CARTESIAN_POINT('',(25.004679,4.24,21.4482994)); #222857=CARTESIAN_POINT('Origin',(25.009056,4.25,21.4492912)); #222858=CARTESIAN_POINT('',(25.009056,4.25,21.4492912)); #222859=CARTESIAN_POINT('',(25.7678517729127,4.25,21.6212294333963)); #222860=CARTESIAN_POINT('',(25.009056,4.24,21.4492912)); #222861=CARTESIAN_POINT('',(25.009056,4.25,21.4492912)); #222862=CARTESIAN_POINT('',(25.009056,4.24,21.4492912)); #222863=CARTESIAN_POINT('Origin',(25.013672,4.25,21.4498558)); #222864=CARTESIAN_POINT('',(25.013672,4.25,21.4498558)); #222865=CARTESIAN_POINT('',(25.6149560278872,4.25,21.5234010690955)); #222866=CARTESIAN_POINT('',(25.013672,4.24,21.4498558)); #222867=CARTESIAN_POINT('',(25.013672,4.25,21.4498558)); #222868=CARTESIAN_POINT('',(25.013672,4.24,21.4498558)); #222869=CARTESIAN_POINT('Origin',(25.018469,4.25,21.4499912)); #222870=CARTESIAN_POINT('',(25.018469,4.25,21.4499912)); #222871=CARTESIAN_POINT('',(25.4589847676551,4.25,21.4624251868545)); #222872=CARTESIAN_POINT('',(25.018469,4.24,21.4499912)); #222873=CARTESIAN_POINT('',(25.018469,4.25,21.4499912)); #222874=CARTESIAN_POINT('',(25.018469,4.24,21.4499912)); #222875=CARTESIAN_POINT('Origin',(25.02302,4.25,21.4496956)); #222876=CARTESIAN_POINT('',(25.02302,4.25,21.4496956)); #222877=CARTESIAN_POINT('',(25.2950612651775,4.25,21.432025769636)); #222878=CARTESIAN_POINT('',(25.02302,4.24,21.4496956)); #222879=CARTESIAN_POINT('',(25.02302,4.25,21.4496956)); #222880=CARTESIAN_POINT('',(25.02302,4.24,21.4496956)); #222881=CARTESIAN_POINT('Origin',(25.027369,4.25,21.4489746)); #222882=CARTESIAN_POINT('',(25.027369,4.25,21.4489746)); #222883=CARTESIAN_POINT('',(25.1168707711159,4.25,21.4341365275754)); #222884=CARTESIAN_POINT('',(25.027369,4.24,21.4489746)); #222885=CARTESIAN_POINT('',(25.027369,4.25,21.4489746)); #222886=CARTESIAN_POINT('',(25.027369,4.24,21.4489746)); #222887=CARTESIAN_POINT('Origin',(25.003017120197,4.25,21.3630873667734)); #222888=CARTESIAN_POINT('',(25.035479,4.25,21.446249)); #222889=CARTESIAN_POINT('Origin',(25.003017120197,4.25,21.3630873667734)); #222890=CARTESIAN_POINT('',(25.035479,4.24,21.446249)); #222891=CARTESIAN_POINT('',(25.035479,4.25,21.446249)); #222892=CARTESIAN_POINT('Origin',(25.003017120197,4.24,21.3630873667734)); #222893=CARTESIAN_POINT('Origin',(25.039255,4.25,21.4442482)); #222894=CARTESIAN_POINT('',(25.039255,4.25,21.4442482)); #222895=CARTESIAN_POINT('',(24.6007080321755,4.25,21.6766223454514)); #222896=CARTESIAN_POINT('',(25.039255,4.24,21.4442482)); #222897=CARTESIAN_POINT('',(25.039255,4.25,21.4442482)); #222898=CARTESIAN_POINT('',(25.039255,4.24,21.4442482)); #222899=CARTESIAN_POINT('Origin',(25.042852,4.25,21.441824)); #222900=CARTESIAN_POINT('',(25.042852,4.25,21.441824)); #222901=CARTESIAN_POINT('',(24.4786668617161,4.25,21.8220568641166)); #222902=CARTESIAN_POINT('',(25.042852,4.24,21.441824)); #222903=CARTESIAN_POINT('',(25.042852,4.25,21.441824)); #222904=CARTESIAN_POINT('',(25.042852,4.24,21.441824)); #222905=CARTESIAN_POINT('Origin',(25.046276,4.25,21.4389763)); #222906=CARTESIAN_POINT('',(25.046276,4.25,21.4389763)); #222907=CARTESIAN_POINT('',(24.3936986037808,4.25,21.9817171443957)); #222908=CARTESIAN_POINT('',(25.046276,4.24,21.4389763)); #222909=CARTESIAN_POINT('',(25.046276,4.25,21.4389763)); #222910=CARTESIAN_POINT('',(25.046276,4.24,21.4389763)); #222911=CARTESIAN_POINT('Origin',(25.049486,4.25,21.4357166)); #222912=CARTESIAN_POINT('',(25.049486,4.25,21.4357166)); #222913=CARTESIAN_POINT('',(24.3432662720326,4.25,22.1528706334139)); #222914=CARTESIAN_POINT('',(25.049486,4.24,21.4357166)); #222915=CARTESIAN_POINT('',(25.049486,4.25,21.4357166)); #222916=CARTESIAN_POINT('',(25.049486,4.24,21.4357166)); #222917=CARTESIAN_POINT('Origin',(24.9920817842274,4.25,21.3929121302633)); #222918=CARTESIAN_POINT('',(25.054672,4.25,21.4276962)); #222919=CARTESIAN_POINT('Origin',(24.9920817842274,4.25,21.3929121302633)); #222920=CARTESIAN_POINT('',(25.054672,4.24,21.4276962)); #222921=CARTESIAN_POINT('',(25.054672,4.25,21.4276962)); #222922=CARTESIAN_POINT('Origin',(24.9920817842274,4.24,21.3929121302633)); #222923=CARTESIAN_POINT('Origin',(25.056696,4.25,21.4228668)); #222924=CARTESIAN_POINT('',(25.056696,4.25,21.4228668)); #222925=CARTESIAN_POINT('',(24.4746246159467,4.25,22.8117282338666)); #222926=CARTESIAN_POINT('',(25.056696,4.24,21.4228668)); #222927=CARTESIAN_POINT('',(25.056696,4.25,21.4228668)); #222928=CARTESIAN_POINT('',(25.056696,4.24,21.4228668)); #222929=CARTESIAN_POINT('Origin',(25.058336,4.25,21.4174824)); #222930=CARTESIAN_POINT('',(25.058336,4.25,21.4174824)); #222931=CARTESIAN_POINT('',(24.5905477153847,4.25,22.9533112046862)); #222932=CARTESIAN_POINT('',(25.058336,4.24,21.4174824)); #222933=CARTESIAN_POINT('',(25.058336,4.25,21.4174824)); #222934=CARTESIAN_POINT('',(25.058336,4.24,21.4174824)); #222935=CARTESIAN_POINT('Origin',(25.059589,4.25,21.4115372)); #222936=CARTESIAN_POINT('',(25.059589,4.25,21.4115372)); #222937=CARTESIAN_POINT('',(24.7132673644185,4.25,23.0547545885475)); #222938=CARTESIAN_POINT('',(25.059589,4.24,21.4115372)); #222939=CARTESIAN_POINT('',(25.059589,4.25,21.4115372)); #222940=CARTESIAN_POINT('',(25.059589,4.24,21.4115372)); #222941=CARTESIAN_POINT('Origin',(24.8633193051543,4.25,21.3855322481741)); #222942=CARTESIAN_POINT('',(25.060915,4.25,21.3979416)); #222943=CARTESIAN_POINT('Origin',(24.8633193051543,4.25,21.3855322481741)); #222944=CARTESIAN_POINT('',(25.060915,4.24,21.3979416)); #222945=CARTESIAN_POINT('',(25.060915,4.25,21.3979416)); #222946=CARTESIAN_POINT('Origin',(24.8633193051543,4.24,21.3855322481741)); #222947=CARTESIAN_POINT('Origin',(25.061005,4.25,20.4343872)); #222948=CARTESIAN_POINT('',(25.061005,4.25,20.4343872)); #222949=CARTESIAN_POINT('',(25.060791779586,4.25,22.7171590674766)); #222950=CARTESIAN_POINT('',(25.061005,4.24,20.4343872)); #222951=CARTESIAN_POINT('',(25.061005,4.25,20.4343872)); #222952=CARTESIAN_POINT('',(25.061005,4.24,20.4343872)); #222953=CARTESIAN_POINT('Origin',(25.060499,4.25,20.4339981)); #222954=CARTESIAN_POINT('',(25.060499,4.25,20.4339981)); #222955=CARTESIAN_POINT('',(26.3960676713627,4.25,21.4610134557909)); #222956=CARTESIAN_POINT('',(25.060499,4.24,20.4339981)); #222957=CARTESIAN_POINT('',(25.060499,4.25,20.4339981)); #222958=CARTESIAN_POINT('',(25.060499,4.24,20.4339981)); #222959=CARTESIAN_POINT('Origin',(24.8433138278529,4.25,24.4704976892114)); #222960=CARTESIAN_POINT('',(24.617987,4.25,20.4344444)); #222961=CARTESIAN_POINT('Origin',(24.8433138278529,4.25,24.4704976892114)); #222962=CARTESIAN_POINT('',(24.617987,4.24,20.4344444)); #222963=CARTESIAN_POINT('',(24.617987,4.25,20.4344444)); #222964=CARTESIAN_POINT('Origin',(24.8433138278529,4.24,24.4704976892114)); #222965=CARTESIAN_POINT('Origin',(24.628048912098,4.25,20.5368068207963)); #222966=CARTESIAN_POINT('',(24.599363,4.25,20.4380322)); #222967=CARTESIAN_POINT('Origin',(24.628048912098,4.25,20.5368068207963)); #222968=CARTESIAN_POINT('',(24.599363,4.24,20.4380322)); #222969=CARTESIAN_POINT('',(24.599363,4.25,20.4380322)); #222970=CARTESIAN_POINT('Origin',(24.628048912098,4.24,20.5368068207963)); #222971=CARTESIAN_POINT('Origin',(24.594368,4.25,20.4400024)); #222972=CARTESIAN_POINT('',(24.594368,4.25,20.4400024)); #222973=CARTESIAN_POINT('',(24.3377917213044,4.25,20.5412049193766)); #222974=CARTESIAN_POINT('',(24.594368,4.24,20.4400024)); #222975=CARTESIAN_POINT('',(24.594368,4.25,20.4400024)); #222976=CARTESIAN_POINT('',(24.594368,4.24,20.4400024)); #222977=CARTESIAN_POINT('Origin',(24.589979,4.25,20.4423523)); #222978=CARTESIAN_POINT('',(24.589979,4.25,20.4423523)); #222979=CARTESIAN_POINT('',(24.1119297134668,4.25,20.6983030902537)); #222980=CARTESIAN_POINT('',(24.589979,4.24,20.4423523)); #222981=CARTESIAN_POINT('',(24.589979,4.25,20.4423523)); #222982=CARTESIAN_POINT('',(24.589979,4.24,20.4423523)); #222983=CARTESIAN_POINT('Origin',(24.586193,4.25,20.4450722)); #222984=CARTESIAN_POINT('',(24.586193,4.25,20.4450722)); #222985=CARTESIAN_POINT('',(23.907319261833,4.25,20.9327818356157)); #222986=CARTESIAN_POINT('',(24.586193,4.24,20.4450722)); #222987=CARTESIAN_POINT('',(24.586193,4.25,20.4450722)); #222988=CARTESIAN_POINT('',(24.586193,4.24,20.4450722)); #222989=CARTESIAN_POINT('Origin',(24.582996,4.25,20.4481335)); #222990=CARTESIAN_POINT('',(24.582996,4.25,20.4481335)); #222991=CARTESIAN_POINT('',(23.7635380009303,4.25,21.232808749469)); #222992=CARTESIAN_POINT('',(24.582996,4.24,20.4481335)); #222993=CARTESIAN_POINT('',(24.582996,4.25,20.4481335)); #222994=CARTESIAN_POINT('',(24.582996,4.24,20.4481335)); #222995=CARTESIAN_POINT('Origin',(24.580235,4.25,20.4514103)); #222996=CARTESIAN_POINT('',(24.580235,4.25,20.4514103)); #222997=CARTESIAN_POINT('',(23.7127825818624,4.25,21.4809170308059)); #222998=CARTESIAN_POINT('',(24.580235,4.24,20.4514103)); #222999=CARTESIAN_POINT('',(24.580235,4.25,20.4514103)); #223000=CARTESIAN_POINT('',(24.580235,4.24,20.4514103)); #223001=CARTESIAN_POINT('Origin',(24.577864,4.25,20.4548912)); #223002=CARTESIAN_POINT('',(24.577864,4.25,20.4548912)); #223003=CARTESIAN_POINT('',(23.7141584802,4.25,21.7229099869557)); #223004=CARTESIAN_POINT('',(24.577864,4.24,20.4548912)); #223005=CARTESIAN_POINT('',(24.577864,4.25,20.4548912)); #223006=CARTESIAN_POINT('',(24.577864,4.24,20.4548912)); #223007=CARTESIAN_POINT('Origin',(24.575891,4.25,20.4585762)); #223008=CARTESIAN_POINT('',(24.575891,4.25,20.4585762)); #223009=CARTESIAN_POINT('',(23.7673575113707,4.25,21.9686856301028)); #223010=CARTESIAN_POINT('',(24.575891,4.24,20.4585762)); #223011=CARTESIAN_POINT('',(24.575891,4.25,20.4585762)); #223012=CARTESIAN_POINT('',(24.575891,4.24,20.4585762)); #223013=CARTESIAN_POINT('Origin',(24.57432,4.25,20.4624653)); #223014=CARTESIAN_POINT('',(24.57432,4.25,20.4624653)); #223015=CARTESIAN_POINT('',(23.8723903604701,4.25,22.2001321116502)); #223016=CARTESIAN_POINT('',(24.57432,4.24,20.4624653)); #223017=CARTESIAN_POINT('',(24.57432,4.25,20.4624653)); #223018=CARTESIAN_POINT('',(24.57432,4.24,20.4624653)); #223019=CARTESIAN_POINT('Origin',(24.573153,4.25,20.4665604)); #223020=CARTESIAN_POINT('',(24.573153,4.25,20.4665604)); #223021=CARTESIAN_POINT('',(24.0217882892622,4.25,22.401344998923)); #223022=CARTESIAN_POINT('',(24.573153,4.24,20.4665604)); #223023=CARTESIAN_POINT('',(24.573153,4.25,20.4665604)); #223024=CARTESIAN_POINT('',(24.573153,4.24,20.4665604)); #223025=CARTESIAN_POINT('Origin',(24.6514297484827,4.25,20.4810033745419)); #223026=CARTESIAN_POINT('',(24.572031,4.25,20.4753742)); #223027=CARTESIAN_POINT('Origin',(24.6514297484827,4.25,20.4810033745419)); #223028=CARTESIAN_POINT('',(24.572031,4.24,20.4753742)); #223029=CARTESIAN_POINT('',(24.572031,4.25,20.4753742)); #223030=CARTESIAN_POINT('Origin',(24.6514297484827,4.24,20.4810033745419)); #223031=CARTESIAN_POINT('Origin',(24.57209,4.25,20.4800854)); #223032=CARTESIAN_POINT('',(24.57209,4.25,20.4800854)); #223033=CARTESIAN_POINT('',(24.6004840691415,4.25,22.7473758836732)); #223034=CARTESIAN_POINT('',(24.57209,4.24,20.4800854)); #223035=CARTESIAN_POINT('',(24.57209,4.25,20.4800854)); #223036=CARTESIAN_POINT('',(24.57209,4.24,20.4800854)); #223037=CARTESIAN_POINT('Origin',(24.6585601910219,4.25,20.4709729818188)); #223038=CARTESIAN_POINT('',(24.573507,4.25,20.4890308)); #223039=CARTESIAN_POINT('Origin',(24.6585601910219,4.25,20.4709729818188)); #223040=CARTESIAN_POINT('',(24.573507,4.24,20.4890308)); #223041=CARTESIAN_POINT('',(24.573507,4.25,20.4890308)); #223042=CARTESIAN_POINT('Origin',(24.6585601910219,4.24,20.4709729818188)); #223043=CARTESIAN_POINT('Origin',(24.57486,4.25,20.493185)); #223044=CARTESIAN_POINT('',(24.57486,4.25,20.493185)); #223045=CARTESIAN_POINT('',(25.2971442340973,4.25,22.7108591798099)); #223046=CARTESIAN_POINT('',(24.57486,4.24,20.493185)); #223047=CARTESIAN_POINT('',(24.57486,4.25,20.493185)); #223048=CARTESIAN_POINT('',(24.57486,4.24,20.493185)); #223049=CARTESIAN_POINT('Origin',(24.576632,4.25,20.4971237)); #223050=CARTESIAN_POINT('',(24.576632,4.25,20.4971237)); #223051=CARTESIAN_POINT('',(25.5220021409799,4.25,22.598438245304)); #223052=CARTESIAN_POINT('',(24.576632,4.24,20.4971237)); #223053=CARTESIAN_POINT('',(24.576632,4.25,20.4971237)); #223054=CARTESIAN_POINT('',(24.576632,4.24,20.4971237)); #223055=CARTESIAN_POINT('Origin',(24.578823,4.25,20.5008373)); #223056=CARTESIAN_POINT('',(24.578823,4.25,20.5008373)); #223057=CARTESIAN_POINT('',(25.7210145057515,4.25,22.4367763122144)); #223058=CARTESIAN_POINT('',(24.578823,4.24,20.5008373)); #223059=CARTESIAN_POINT('',(24.578823,4.25,20.5008373)); #223060=CARTESIAN_POINT('',(24.578823,4.24,20.5008373)); #223061=CARTESIAN_POINT('Origin',(24.581425,4.25,20.5043259)); #223062=CARTESIAN_POINT('',(24.581425,4.25,20.5043259)); #223063=CARTESIAN_POINT('',(25.8764908328477,4.25,22.24066973723)); #223064=CARTESIAN_POINT('',(24.581425,4.24,20.5043259)); #223065=CARTESIAN_POINT('',(24.581425,4.25,20.5043259)); #223066=CARTESIAN_POINT('',(24.581425,4.24,20.5043259)); #223067=CARTESIAN_POINT('Origin',(24.584435,4.25,20.5075798)); #223068=CARTESIAN_POINT('',(24.584435,4.25,20.5075798)); #223069=CARTESIAN_POINT('',(25.9844012813869,4.25,22.0209852096364)); #223070=CARTESIAN_POINT('',(24.584435,4.24,20.5075798)); #223071=CARTESIAN_POINT('',(24.584435,4.25,20.5075798)); #223072=CARTESIAN_POINT('',(24.584435,4.24,20.5075798)); #223073=CARTESIAN_POINT('Origin',(24.587906,4.25,20.5105629)); #223074=CARTESIAN_POINT('',(24.587906,4.25,20.5105629)); #223075=CARTESIAN_POINT('',(26.0460878800951,4.25,21.7637759125348)); #223076=CARTESIAN_POINT('',(24.587906,4.24,20.5105629)); #223077=CARTESIAN_POINT('',(24.587906,4.25,20.5105629)); #223078=CARTESIAN_POINT('',(24.587906,4.24,20.5105629)); #223079=CARTESIAN_POINT('Origin',(24.591911,4.25,20.5131779)); #223080=CARTESIAN_POINT('',(24.591911,4.25,20.5131779)); #223081=CARTESIAN_POINT('',(26.042384749679,4.25,21.4602412846228)); #223082=CARTESIAN_POINT('',(24.591911,4.24,20.5131779)); #223083=CARTESIAN_POINT('',(24.591911,4.25,20.5131779)); #223084=CARTESIAN_POINT('',(24.591911,4.24,20.5131779)); #223085=CARTESIAN_POINT('Origin',(24.6274194206991,4.25,20.4393360043981)); #223086=CARTESIAN_POINT('',(24.607185,4.25,20.518734)); #223087=CARTESIAN_POINT('Origin',(24.6274194206991,4.25,20.4393360043981)); #223088=CARTESIAN_POINT('',(24.607185,4.24,20.518734)); #223089=CARTESIAN_POINT('',(24.607185,4.25,20.518734)); #223090=CARTESIAN_POINT('Origin',(24.6274194206991,4.24,20.4393360043981)); #223091=CARTESIAN_POINT('Origin',(24.613386,4.25,20.5198135)); #223092=CARTESIAN_POINT('',(24.613386,4.25,20.5198135)); #223093=CARTESIAN_POINT('',(25.5677371282523,4.25,20.6859515491776)); #223094=CARTESIAN_POINT('',(24.613386,4.24,20.5198135)); #223095=CARTESIAN_POINT('',(24.613386,4.25,20.5198135)); #223096=CARTESIAN_POINT('',(24.613386,4.24,20.5198135)); #223097=CARTESIAN_POINT('Origin',(24.620152,4.25,20.5205002)); #223098=CARTESIAN_POINT('',(24.620152,4.25,20.5205002)); #223099=CARTESIAN_POINT('',(25.429061990595,4.25,20.6025987058441)); #223100=CARTESIAN_POINT('',(24.620152,4.24,20.5205002)); #223101=CARTESIAN_POINT('',(24.620152,4.25,20.5205002)); #223102=CARTESIAN_POINT('',(24.620152,4.24,20.5205002)); #223103=CARTESIAN_POINT('Origin',(24.627493,4.25,20.5207882)); #223104=CARTESIAN_POINT('',(24.627493,4.25,20.5207882)); #223105=CARTESIAN_POINT('',(25.3005741168474,4.25,20.5471943247312)); #223106=CARTESIAN_POINT('',(24.627493,4.24,20.5207882)); #223107=CARTESIAN_POINT('',(24.627493,4.25,20.5207882)); #223108=CARTESIAN_POINT('',(24.627493,4.24,20.5207882)); #223109=CARTESIAN_POINT('Origin',(24.973846,4.25,20.5207977)); #223110=CARTESIAN_POINT('',(24.973846,4.25,20.5207977)); #223111=CARTESIAN_POINT('',(25.3869844289562,4.25,20.5208090318351)); #223112=CARTESIAN_POINT('',(24.973846,4.24,20.5207977)); #223113=CARTESIAN_POINT('',(24.973846,4.25,20.5207977)); #223114=CARTESIAN_POINT('',(24.973846,4.24,20.5207977)); #223115=CARTESIAN_POINT('Origin',(-39.4368377802321,4.25,20.8494727925689)); #223116=CARTESIAN_POINT('',(24.974203,4.25,21.098547)); #223117=CARTESIAN_POINT('Origin',(-39.4368377802321,4.25,20.8494727925689)); #223118=CARTESIAN_POINT('',(24.974203,4.24,21.098547)); #223119=CARTESIAN_POINT('',(24.974203,4.25,21.098547)); #223120=CARTESIAN_POINT('Origin',(-39.4368377802321,4.24,20.8494727925689)); #223121=CARTESIAN_POINT('Origin',(24.820555,4.25,21.0986977)); #223122=CARTESIAN_POINT('',(24.820555,4.25,21.0986977)); #223123=CARTESIAN_POINT('',(25.3083638061633,4.25,21.0982192506411)); #223124=CARTESIAN_POINT('',(24.820555,4.24,21.0986977)); #223125=CARTESIAN_POINT('',(24.820555,4.25,21.0986977)); #223126=CARTESIAN_POINT('',(24.820555,4.24,21.0986977)); #223127=CARTESIAN_POINT('Origin',(23.939104,4.25,21.0986977)); #223128=CARTESIAN_POINT('',(23.939104,4.25,21.0986977000004)); #223129=CARTESIAN_POINT('',(24.869552,4.25,21.0986977)); #223130=CARTESIAN_POINT('',(23.939104,4.24,21.0986977000004)); #223131=CARTESIAN_POINT('',(23.939104,4.25,21.0986977000004)); #223132=CARTESIAN_POINT('',(23.939104,4.24,21.0986977)); #223133=CARTESIAN_POINT('Origin',(-31.0468754207463,4.25,21.0523070163704)); #223134=CARTESIAN_POINT('',(23.938862,4.25,20.8827038)); #223135=CARTESIAN_POINT('Origin',(-31.0468754207463,4.25,21.0523070163704)); #223136=CARTESIAN_POINT('',(23.938862,4.24,20.8827038)); #223137=CARTESIAN_POINT('',(23.938862,4.25,20.8827038)); #223138=CARTESIAN_POINT('Origin',(-31.0468754207463,4.24,21.0523070163704)); #223139=CARTESIAN_POINT('Origin',(23.938257,4.25,20.8759079)); #223140=CARTESIAN_POINT('',(23.938257,4.25,20.8759079)); #223141=CARTESIAN_POINT('',(24.1277051503103,4.25,23.0039586184862)); #223142=CARTESIAN_POINT('',(23.938257,4.24,20.8759079)); #223143=CARTESIAN_POINT('',(23.938257,4.25,20.8759079)); #223144=CARTESIAN_POINT('',(23.938257,4.24,20.8759079)); #223145=CARTESIAN_POINT('Origin',(23.8461282043436,4.25,20.8890392676921)); #223146=CARTESIAN_POINT('',(23.934158,4.25,20.8588581)); #223147=CARTESIAN_POINT('Origin',(23.8461282043436,4.25,20.8890392676921)); #223148=CARTESIAN_POINT('',(23.934158,4.24,20.8588581)); #223149=CARTESIAN_POINT('',(23.934158,4.25,20.8588581)); #223150=CARTESIAN_POINT('Origin',(23.8461282043436,4.24,20.8890392676921)); #223151=CARTESIAN_POINT('Origin',(23.932011,4.25,20.8542824)); #223152=CARTESIAN_POINT('',(23.932011,4.25,20.8542824)); #223153=CARTESIAN_POINT('',(24.897663337434,4.25,22.9122867783879)); #223154=CARTESIAN_POINT('',(23.932011,4.24,20.8542824)); #223155=CARTESIAN_POINT('',(23.932011,4.25,20.8542824)); #223156=CARTESIAN_POINT('',(23.932011,4.24,20.8542824)); #223157=CARTESIAN_POINT('Origin',(23.929464,4.25,20.8502541)); #223158=CARTESIAN_POINT('',(23.929464,4.25,20.8502541)); #223159=CARTESIAN_POINT('',(25.1337938410037,4.25,22.7550055324775)); #223160=CARTESIAN_POINT('',(23.929464,4.24,20.8502541)); #223161=CARTESIAN_POINT('',(23.929464,4.25,20.8502541)); #223162=CARTESIAN_POINT('',(23.929464,4.24,20.8502541)); #223163=CARTESIAN_POINT('Origin',(23.92654,4.25,20.8467674)); #223164=CARTESIAN_POINT('',(23.92654,4.25,20.8467674)); #223165=CARTESIAN_POINT('',(25.3357432765726,4.25,22.5271603768861)); #223166=CARTESIAN_POINT('',(23.92654,4.24,20.8467674)); #223167=CARTESIAN_POINT('',(23.92654,4.25,20.8467674)); #223168=CARTESIAN_POINT('',(23.92654,4.24,20.8467674)); #223169=CARTESIAN_POINT('Origin',(23.923397,4.25,20.8437271)); #223170=CARTESIAN_POINT('',(23.923397,4.25,20.8437271)); #223171=CARTESIAN_POINT('',(25.4466230330184,4.25,22.3171805229062)); #223172=CARTESIAN_POINT('',(23.923397,4.24,20.8437271)); #223173=CARTESIAN_POINT('',(23.923397,4.25,20.8437271)); #223174=CARTESIAN_POINT('',(23.923397,4.24,20.8437271)); #223175=CARTESIAN_POINT('Origin',(23.919992,4.25,20.841095)); #223176=CARTESIAN_POINT('',(23.919992,4.25,20.841095)); #223177=CARTESIAN_POINT('',(25.5145901680027,4.25,22.0737357747425)); #223178=CARTESIAN_POINT('',(23.919992,4.24,20.841095)); #223179=CARTESIAN_POINT('',(23.919992,4.25,20.841095)); #223180=CARTESIAN_POINT('',(23.919992,4.24,20.841095)); #223181=CARTESIAN_POINT('Origin',(23.916328,4.25,20.8388748)); #223182=CARTESIAN_POINT('',(23.916328,4.25,20.8388748)); #223183=CARTESIAN_POINT('',(25.527352361219,4.25,21.8150746599277)); #223184=CARTESIAN_POINT('',(23.916328,4.24,20.8388748)); #223185=CARTESIAN_POINT('',(23.916328,4.25,20.8388748)); #223186=CARTESIAN_POINT('',(23.916328,4.24,20.8388748)); #223187=CARTESIAN_POINT('Origin',(23.912415,4.25,20.8370705)); #223188=CARTESIAN_POINT('',(23.912415,4.25,20.8370705)); #223189=CARTESIAN_POINT('',(25.4822135338297,4.25,21.5609109023995)); #223190=CARTESIAN_POINT('',(23.912415,4.24,20.8370705)); #223191=CARTESIAN_POINT('',(23.912415,4.25,20.8370705)); #223192=CARTESIAN_POINT('',(23.912415,4.24,20.8370705)); #223193=CARTESIAN_POINT('Origin',(23.908253,4.25,20.8356876)); #223194=CARTESIAN_POINT('',(23.908253,4.25,20.8356876)); #223195=CARTESIAN_POINT('',(25.3831310133322,4.25,21.3257425746846)); #223196=CARTESIAN_POINT('',(23.908253,4.24,20.8356876)); #223197=CARTESIAN_POINT('',(23.908253,4.25,20.8356876)); #223198=CARTESIAN_POINT('',(23.908253,4.24,20.8356876)); #223199=CARTESIAN_POINT('Origin',(23.903851,4.25,20.8347321)); #223200=CARTESIAN_POINT('',(23.903851,4.25,20.8347321)); #223201=CARTESIAN_POINT('',(25.2409836057094,4.25,21.1249706744561)); #223202=CARTESIAN_POINT('',(23.903851,4.24,20.8347321)); #223203=CARTESIAN_POINT('',(23.903851,4.25,20.8347321)); #223204=CARTESIAN_POINT('',(23.903851,4.24,20.8347321)); #223205=CARTESIAN_POINT('Origin',(23.899208,4.25,20.8342075)); #223206=CARTESIAN_POINT('',(23.899208,4.25,20.8342075)); #223207=CARTESIAN_POINT('',(25.0699983484459,4.25,20.9664919317887)); #223208=CARTESIAN_POINT('',(23.899208,4.24,20.8342075)); #223209=CARTESIAN_POINT('',(23.899208,4.25,20.8342075)); #223210=CARTESIAN_POINT('',(23.899208,4.24,20.8342075)); #223211=CARTESIAN_POINT('Origin',(23.8970196324125,4.25,20.9325094106506)); #223212=CARTESIAN_POINT('',(23.88958,4.25,20.834465)); #223213=CARTESIAN_POINT('Origin',(23.8970196324125,4.25,20.9325094106506)); #223214=CARTESIAN_POINT('',(23.88958,4.24,20.834465)); #223215=CARTESIAN_POINT('',(23.88958,4.25,20.834465)); #223216=CARTESIAN_POINT('Origin',(23.8970196324125,4.24,20.9325094106506)); #223217=CARTESIAN_POINT('Origin',(23.885057,4.25,20.835247)); #223218=CARTESIAN_POINT('',(23.885057,4.25,20.835247)); #223219=CARTESIAN_POINT('',(24.4651573230045,4.25,20.7349510785785)); #223220=CARTESIAN_POINT('',(23.885057,4.24,20.835247)); #223221=CARTESIAN_POINT('',(23.885057,4.25,20.835247)); #223222=CARTESIAN_POINT('',(23.885057,4.24,20.835247)); #223223=CARTESIAN_POINT('Origin',(23.880785,4.25,20.8364563)); #223224=CARTESIAN_POINT('',(23.880785,4.25,20.8364563)); #223225=CARTESIAN_POINT('',(24.2236210629309,4.25,20.739407692579)); #223226=CARTESIAN_POINT('',(23.880785,4.24,20.8364563)); #223227=CARTESIAN_POINT('',(23.880785,4.25,20.8364563)); #223228=CARTESIAN_POINT('',(23.880785,4.24,20.8364563)); #223229=CARTESIAN_POINT('Origin',(23.876768,4.25,20.838089)); #223230=CARTESIAN_POINT('',(23.876768,4.25,20.838089)); #223231=CARTESIAN_POINT('',(23.9761643876627,4.25,20.7976895770135)); #223232=CARTESIAN_POINT('',(23.876768,4.24,20.838089)); #223233=CARTESIAN_POINT('',(23.876768,4.25,20.838089)); #223234=CARTESIAN_POINT('',(23.876768,4.24,20.838089)); #223235=CARTESIAN_POINT('Origin',(23.873011,4.25,20.8401413)); #223236=CARTESIAN_POINT('',(23.873011,4.25,20.8401413)); #223237=CARTESIAN_POINT('',(23.7400101404753,4.25,20.9127943912969)); #223238=CARTESIAN_POINT('',(23.873011,4.24,20.8401413)); #223239=CARTESIAN_POINT('',(23.873011,4.25,20.8401413)); #223240=CARTESIAN_POINT('',(23.873011,4.24,20.8401413)); #223241=CARTESIAN_POINT('Origin',(23.869516,4.25,20.8426056)); #223242=CARTESIAN_POINT('',(23.869516,4.25,20.8426056)); #223243=CARTESIAN_POINT('',(23.5352603964418,4.25,21.0782868829327)); #223244=CARTESIAN_POINT('',(23.869516,4.24,20.8426056)); #223245=CARTESIAN_POINT('',(23.869516,4.25,20.8426056)); #223246=CARTESIAN_POINT('',(23.869516,4.24,20.8426056)); #223247=CARTESIAN_POINT('Origin',(23.866293,4.25,20.84548)); #223248=CARTESIAN_POINT('',(23.866293,4.25,20.84548)); #223249=CARTESIAN_POINT('',(23.3729541874354,4.25,21.2854592376156)); #223250=CARTESIAN_POINT('',(23.866293,4.24,20.84548)); #223251=CARTESIAN_POINT('',(23.866293,4.25,20.84548)); #223252=CARTESIAN_POINT('',(23.866293,4.24,20.84548)); #223253=CARTESIAN_POINT('Origin',(23.863283,4.25,20.8487682)); #223254=CARTESIAN_POINT('',(23.863283,4.25,20.8487682)); #223255=CARTESIAN_POINT('',(23.2710057559561,4.25,21.4957868159023)); #223256=CARTESIAN_POINT('',(23.863283,4.24,20.8487682)); #223257=CARTESIAN_POINT('',(23.863283,4.25,20.8487682)); #223258=CARTESIAN_POINT('',(23.863283,4.24,20.8487682)); #223259=CARTESIAN_POINT('Origin',(23.860542,4.25,20.8525734)); #223260=CARTESIAN_POINT('',(23.860542,4.25,20.8525734)); #223261=CARTESIAN_POINT('',(23.2083558451934,4.25,21.7579724354871)); #223262=CARTESIAN_POINT('',(23.860542,4.24,20.8525734)); #223263=CARTESIAN_POINT('',(23.860542,4.25,20.8525734)); #223264=CARTESIAN_POINT('',(23.860542,4.24,20.8525734)); #223265=CARTESIAN_POINT('Origin',(23.858212,4.25,20.8569279)); #223266=CARTESIAN_POINT('',(23.858212,4.25,20.8569279)); #223267=CARTESIAN_POINT('',(23.2125982610731,4.25,22.0635051644445)); #223268=CARTESIAN_POINT('',(23.858212,4.24,20.8569279)); #223269=CARTESIAN_POINT('',(23.858212,4.25,20.8569279)); #223270=CARTESIAN_POINT('',(23.858212,4.24,20.8569279)); #223271=CARTESIAN_POINT('Origin',(23.856283,4.25,20.8618317)); #223272=CARTESIAN_POINT('',(23.856283,4.25,20.8618317)); #223273=CARTESIAN_POINT('',(23.2816697038893,4.25,22.3225827012789)); #223274=CARTESIAN_POINT('',(23.856283,4.24,20.8618317)); #223275=CARTESIAN_POINT('',(23.856283,4.25,20.8618317)); #223276=CARTESIAN_POINT('',(23.856283,4.24,20.8618317)); #223277=CARTESIAN_POINT('Origin',(23.854752,4.25,20.8672886)); #223278=CARTESIAN_POINT('',(23.854752,4.25,20.8672886)); #223279=CARTESIAN_POINT('',(23.3882886430335,4.25,22.5298907506367)); #223280=CARTESIAN_POINT('',(23.854752,4.24,20.8672886)); #223281=CARTESIAN_POINT('',(23.854752,4.25,20.8672886)); #223282=CARTESIAN_POINT('',(23.854752,4.24,20.8672886)); #223283=CARTESIAN_POINT('Origin',(23.853609,4.25,20.8732986)); #223284=CARTESIAN_POINT('',(23.5088640727743,4.25,22.6859993984496)); #223285=CARTESIAN_POINT('',(23.853609,4.24,20.8732986)); #223286=CARTESIAN_POINT('Origin',(24.4533680817712,4.24,20.9390753316475)); #223287=CARTESIAN_POINT('Origin',(14.831051,4.25,7.8727)); #223288=CARTESIAN_POINT('',(14.831051,4.25,7.8727)); #223289=CARTESIAN_POINT('',(14.301104,4.25,7.87273)); #223290=CARTESIAN_POINT('',(20.3150406990419,4.25,7.87238955444418)); #223291=CARTESIAN_POINT('',(14.831051,4.24,7.8727)); #223292=CARTESIAN_POINT('',(14.831051,4.25,7.8727)); #223293=CARTESIAN_POINT('',(14.301104,4.24,7.87273)); #223294=CARTESIAN_POINT('',(14.831051,4.24,7.8727)); #223295=CARTESIAN_POINT('',(14.301104,4.25,7.87273)); #223296=CARTESIAN_POINT('Origin',(14.8437844271494,4.25,8.39426134516999)); #223297=CARTESIAN_POINT('',(15.145277,4.25,7.968479)); #223298=CARTESIAN_POINT('Origin',(14.8437844271494,4.25,8.39426134516999)); #223299=CARTESIAN_POINT('',(15.145277,4.24,7.968479)); #223300=CARTESIAN_POINT('',(15.145277,4.25,7.968479)); #223301=CARTESIAN_POINT('Origin',(14.8437844271494,4.24,8.39426134516999)); #223302=CARTESIAN_POINT('Origin',(14.9790827091178,4.25,8.27852040138748)); #223303=CARTESIAN_POINT('',(15.322562,4.25,8.354469)); #223304=CARTESIAN_POINT('Origin',(14.9790827091178,4.25,8.27852040138748)); #223305=CARTESIAN_POINT('',(15.322562,4.24,8.354469)); #223306=CARTESIAN_POINT('',(15.322562,4.25,8.354469)); #223307=CARTESIAN_POINT('Origin',(14.9790827091178,4.24,8.27852040138748)); #223308=CARTESIAN_POINT('Origin',(14.7656537467821,4.25,8.07871412928228)); #223309=CARTESIAN_POINT('',(14.706602,4.25,8.697342)); #223310=CARTESIAN_POINT('Origin',(14.7656537467821,4.25,8.07871412928228)); #223311=CARTESIAN_POINT('',(14.706602,4.24,8.697342)); #223312=CARTESIAN_POINT('',(14.706602,4.25,8.697342)); #223313=CARTESIAN_POINT('Origin',(14.7656537467821,4.24,8.07871412928228)); #223314=CARTESIAN_POINT('Origin',(14.301104,4.25,8.697399)); #223315=CARTESIAN_POINT('',(14.301104,4.25,8.697399)); #223316=CARTESIAN_POINT('',(20.0494060752704,4.25,8.69659097328155)); #223317=CARTESIAN_POINT('',(14.301104,4.24,8.697399)); #223318=CARTESIAN_POINT('',(14.301104,4.25,8.697399)); #223319=CARTESIAN_POINT('',(14.301104,4.24,8.697399)); #223320=CARTESIAN_POINT('Origin',(14.301104,4.25,7.87273)); #223321=CARTESIAN_POINT('',(14.301104,4.25,16.436365)); #223322=CARTESIAN_POINT('',(14.301104,4.24,7.87273)); #223323=CARTESIAN_POINT('Origin',(15.785768,4.25,9.718258)); #223324=CARTESIAN_POINT('',(15.785768,4.25,9.718258)); #223325=CARTESIAN_POINT('',(15.779913,4.25,9.723339)); #223326=CARTESIAN_POINT('',(14.8595885187257,4.25,10.5220014576187)); #223327=CARTESIAN_POINT('',(15.785768,4.24,9.718258)); #223328=CARTESIAN_POINT('',(15.785768,4.25,9.718258)); #223329=CARTESIAN_POINT('',(15.779913,4.24,9.723339)); #223330=CARTESIAN_POINT('',(15.785768,4.24,9.718258)); #223331=CARTESIAN_POINT('',(15.779913,4.25,9.723339)); #223332=CARTESIAN_POINT('Origin',(15.790748,4.25,9.712696)); #223333=CARTESIAN_POINT('',(15.790748,4.25,9.712696)); #223334=CARTESIAN_POINT('',(14.2190067175936,4.25,11.4681227093857)); #223335=CARTESIAN_POINT('',(15.790748,4.24,9.712696)); #223336=CARTESIAN_POINT('',(15.790748,4.25,9.712696)); #223337=CARTESIAN_POINT('',(15.790748,4.24,9.712696)); #223338=CARTESIAN_POINT('Origin',(15.698432197576,4.25,9.64323738443556)); #223339=CARTESIAN_POINT('',(15.798654,4.25,9.700703)); #223340=CARTESIAN_POINT('Origin',(15.698432197576,4.25,9.64323738443556)); #223341=CARTESIAN_POINT('',(15.798654,4.24,9.700703)); #223342=CARTESIAN_POINT('',(15.798654,4.25,9.700703)); #223343=CARTESIAN_POINT('Origin',(15.698432197576,4.24,9.64323738443556)); #223344=CARTESIAN_POINT('Origin',(15.801566,4.25,9.694256)); #223345=CARTESIAN_POINT('',(15.801566,4.25,9.694256)); #223346=CARTESIAN_POINT('',(13.7777215458988,4.25,14.1749308611211)); #223347=CARTESIAN_POINT('',(15.801566,4.24,9.694256)); #223348=CARTESIAN_POINT('',(15.801566,4.25,9.694256)); #223349=CARTESIAN_POINT('',(15.801566,4.24,9.694256)); #223350=CARTESIAN_POINT('Origin',(15.7095103415727,4.25,9.6621135341854)); #223351=CARTESIAN_POINT('',(15.805283,4.25,9.680416)); #223352=CARTESIAN_POINT('Origin',(15.7095103415727,4.25,9.6621135341854)); #223353=CARTESIAN_POINT('',(15.805283,4.24,9.680416)); #223354=CARTESIAN_POINT('',(15.805283,4.25,9.680416)); #223355=CARTESIAN_POINT('Origin',(15.7095103415727,4.24,9.6621135341854)); #223356=CARTESIAN_POINT('Origin',(15.6898019729958,4.25,9.66623036598948)); #223357=CARTESIAN_POINT('',(15.806146,4.25,9.66515)); #223358=CARTESIAN_POINT('Origin',(15.6898019729958,4.25,9.66623036598948)); #223359=CARTESIAN_POINT('',(15.806146,4.24,9.66515)); #223360=CARTESIAN_POINT('',(15.806146,4.25,9.66515)); #223361=CARTESIAN_POINT('Origin',(15.6898019729958,4.24,9.66623036598948)); #223362=CARTESIAN_POINT('Origin',(15.805498,4.25,9.65731)); #223363=CARTESIAN_POINT('',(15.805498,4.25,9.65731)); #223364=CARTESIAN_POINT('',(16.4691631632377,4.25,17.6868391354867)); #223365=CARTESIAN_POINT('',(15.805498,4.24,9.65731)); #223366=CARTESIAN_POINT('',(15.805498,4.25,9.65731)); #223367=CARTESIAN_POINT('',(15.805498,4.24,9.65731)); #223368=CARTESIAN_POINT('Origin',(15.804135,4.25,9.649868)); #223369=CARTESIAN_POINT('',(15.804135,4.25,9.649868)); #223370=CARTESIAN_POINT('',(17.3264078309744,4.25,17.9614999942066)); #223371=CARTESIAN_POINT('',(15.804135,4.24,9.649868)); #223372=CARTESIAN_POINT('',(15.804135,4.25,9.649868)); #223373=CARTESIAN_POINT('',(15.804135,4.24,9.649868)); #223374=CARTESIAN_POINT('Origin',(15.802065,4.25,9.642834)); #223375=CARTESIAN_POINT('',(15.802065,4.25,9.642834)); #223376=CARTESIAN_POINT('',(18.2800796462834,4.25,18.0632953632633)); #223377=CARTESIAN_POINT('',(15.802065,4.24,9.642834)); #223378=CARTESIAN_POINT('',(15.802065,4.25,9.642834)); #223379=CARTESIAN_POINT('',(15.802065,4.24,9.642834)); #223380=CARTESIAN_POINT('Origin',(15.7094255328235,4.25,9.68001297578839)); #223381=CARTESIAN_POINT('',(15.795822,4.25,9.630013)); #223382=CARTESIAN_POINT('Origin',(15.7094255328235,4.25,9.68001297578839)); #223383=CARTESIAN_POINT('',(15.795822,4.24,9.630013)); #223384=CARTESIAN_POINT('',(15.795822,4.25,9.630013)); #223385=CARTESIAN_POINT('Origin',(15.7094255328235,4.24,9.68001297578839)); #223386=CARTESIAN_POINT('Origin',(15.791662,4.25,9.624241)); #223387=CARTESIAN_POINT('',(15.791662,4.25,9.624241)); #223388=CARTESIAN_POINT('',(21.149017459643,4.25,17.0575717002574)); #223389=CARTESIAN_POINT('',(15.791662,4.24,9.624241)); #223390=CARTESIAN_POINT('',(15.791662,4.25,9.624241)); #223391=CARTESIAN_POINT('',(15.791662,4.24,9.624241)); #223392=CARTESIAN_POINT('Origin',(15.786819,4.25,9.618908)); #223393=CARTESIAN_POINT('',(15.786819,4.25,9.618908)); #223394=CARTESIAN_POINT('',(21.8770744649075,4.25,16.3253569767397)); #223395=CARTESIAN_POINT('',(15.786819,4.24,9.618908)); #223396=CARTESIAN_POINT('',(15.786819,4.25,9.618908)); #223397=CARTESIAN_POINT('',(15.786819,4.24,9.618908)); #223398=CARTESIAN_POINT('Origin',(15.6699262914891,4.25,9.7956639947671)); #223399=CARTESIAN_POINT('',(15.59837,4.25,9.596199)); #223400=CARTESIAN_POINT('Origin',(15.6699262914891,4.25,9.7956639947671)); #223401=CARTESIAN_POINT('',(15.59837,4.24,9.596199)); #223402=CARTESIAN_POINT('',(15.59837,4.25,9.596199)); #223403=CARTESIAN_POINT('Origin',(15.6699262914891,4.24,9.7956639947671)); #223404=CARTESIAN_POINT('Origin',(13.6022710917822,4.25,10.5172852700066)); #223405=CARTESIAN_POINT('',(14.990608,4.25,8.812782)); #223406=CARTESIAN_POINT('Origin',(13.6022710917822,4.25,10.5172852700066)); #223407=CARTESIAN_POINT('',(14.990608,4.24,8.812782)); #223408=CARTESIAN_POINT('',(14.990608,4.25,8.812782)); #223409=CARTESIAN_POINT('Origin',(13.6022710917822,4.24,10.5172852700066)); #223410=CARTESIAN_POINT('Origin',(14.978473,4.25,8.804348)); #223411=CARTESIAN_POINT('',(14.978473,4.25,8.804348)); #223412=CARTESIAN_POINT('',(22.421854023919,4.25,13.977605153338)); #223413=CARTESIAN_POINT('',(14.978473,4.24,8.804348)); #223414=CARTESIAN_POINT('',(14.978473,4.25,8.804348)); #223415=CARTESIAN_POINT('',(14.978473,4.24,8.804348)); #223416=CARTESIAN_POINT('Origin',(14.8681527671512,4.25,8.19052230165806)); #223417=CARTESIAN_POINT('',(15.475687,4.25,8.331429)); #223418=CARTESIAN_POINT('Origin',(14.8681527671512,4.25,8.19052230165806)); #223419=CARTESIAN_POINT('',(15.475687,4.24,8.331429)); #223420=CARTESIAN_POINT('',(15.475687,4.25,8.331429)); #223421=CARTESIAN_POINT('Origin',(14.8681527671512,4.24,8.19052230165806)); #223422=CARTESIAN_POINT('Origin',(14.8853670086461,4.25,8.31886599382467)); #223423=CARTESIAN_POINT('',(15.304405,4.25,7.902882)); #223424=CARTESIAN_POINT('Origin',(14.8853670086461,4.25,8.31886599382467)); #223425=CARTESIAN_POINT('',(15.304405,4.24,7.902882)); #223426=CARTESIAN_POINT('',(15.304405,4.25,7.902882)); #223427=CARTESIAN_POINT('Origin',(14.8853670086461,4.24,8.31886599382467)); #223428=CARTESIAN_POINT('Origin',(14.8859740520195,4.25,8.31958721411243)); #223429=CARTESIAN_POINT('',(14.87685,4.25,7.729126)); #223430=CARTESIAN_POINT('Origin',(14.8859740520195,4.25,8.31958721411243)); #223431=CARTESIAN_POINT('',(14.87685,4.24,7.729126)); #223432=CARTESIAN_POINT('',(14.87685,4.25,7.729126)); #223433=CARTESIAN_POINT('Origin',(14.8859740520195,4.24,8.31958721411243)); #223434=CARTESIAN_POINT('Origin',(14.42454898892,4.25,23.8320299323693)); #223435=CARTESIAN_POINT('',(14.002909,4.25,7.728294)); #223436=CARTESIAN_POINT('Origin',(14.42454898892,4.25,23.8320299323693)); #223437=CARTESIAN_POINT('',(14.002909,4.24,7.728294)); #223438=CARTESIAN_POINT('',(14.002909,4.25,7.728294)); #223439=CARTESIAN_POINT('Origin',(14.42454898892,4.24,23.8320299323693)); #223440=CARTESIAN_POINT('Origin',(13.9756097244807,4.25,8.00164461232078)); #223441=CARTESIAN_POINT('',(13.917341,4.25,7.733185)); #223442=CARTESIAN_POINT('Origin',(13.9756097244807,4.25,8.00164461232078)); #223443=CARTESIAN_POINT('',(13.917341,4.24,7.733185)); #223444=CARTESIAN_POINT('',(13.917341,4.25,7.733185)); #223445=CARTESIAN_POINT('Origin',(13.9756097244807,4.24,8.00164461232078)); #223446=CARTESIAN_POINT('Origin',(13.908268,4.25,7.735992)); #223447=CARTESIAN_POINT('',(13.908268,4.25,7.735992)); #223448=CARTESIAN_POINT('',(16.8974569184798,4.25,6.81119829404013)); #223449=CARTESIAN_POINT('',(13.908268,4.24,7.735992)); #223450=CARTESIAN_POINT('',(13.908268,4.25,7.735992)); #223451=CARTESIAN_POINT('',(13.908268,4.24,7.735992)); #223452=CARTESIAN_POINT('Origin',(13.900143,4.25,7.739449)); #223453=CARTESIAN_POINT('',(13.900143,4.25,7.739449)); #223454=CARTESIAN_POINT('',(15.8289206385043,4.25,6.9187986250692)); #223455=CARTESIAN_POINT('',(13.900143,4.24,7.739449)); #223456=CARTESIAN_POINT('',(13.900143,4.25,7.739449)); #223457=CARTESIAN_POINT('',(13.900143,4.24,7.739449)); #223458=CARTESIAN_POINT('Origin',(13.892965,4.25,7.743565)); #223459=CARTESIAN_POINT('',(13.892965,4.25,7.743565)); #223460=CARTESIAN_POINT('',(14.6499853676439,4.25,7.30947530465011)); #223461=CARTESIAN_POINT('',(13.892965,4.24,7.743565)); #223462=CARTESIAN_POINT('',(13.892965,4.25,7.743565)); #223463=CARTESIAN_POINT('',(13.892965,4.24,7.743565)); #223464=CARTESIAN_POINT('Origin',(13.88674,4.25,7.748337)); #223465=CARTESIAN_POINT('',(13.88674,4.25,7.748337)); #223466=CARTESIAN_POINT('',(13.47366864609,4.25,8.06499185957552)); #223467=CARTESIAN_POINT('',(13.88674,4.24,7.748337)); #223468=CARTESIAN_POINT('',(13.88674,4.25,7.748337)); #223469=CARTESIAN_POINT('',(13.88674,4.24,7.748337)); #223470=CARTESIAN_POINT('Origin',(13.9494562181345,4.25,7.8172110928064)); #223471=CARTESIAN_POINT('',(13.876729,4.25,7.759007)); #223472=CARTESIAN_POINT('Origin',(13.9494562181345,4.25,7.8172110928064)); #223473=CARTESIAN_POINT('',(13.876729,4.24,7.759007)); #223474=CARTESIAN_POINT('',(13.876729,4.25,7.759007)); #223475=CARTESIAN_POINT('Origin',(13.9494562181345,4.24,7.8172110928064)); #223476=CARTESIAN_POINT('Origin',(13.872799,4.25,7.765003)); #223477=CARTESIAN_POINT('',(13.872799,4.25,7.765003)); #223478=CARTESIAN_POINT('',(11.7139547963689,4.25,11.0587510521545)); #223479=CARTESIAN_POINT('',(13.872799,4.24,7.765003)); #223480=CARTESIAN_POINT('',(13.872799,4.25,7.765003)); #223481=CARTESIAN_POINT('',(13.872799,4.24,7.765003)); #223482=CARTESIAN_POINT('Origin',(13.86959,4.25,7.771427)); #223483=CARTESIAN_POINT('',(13.86959,4.25,7.771427)); #223484=CARTESIAN_POINT('',(11.6170659872315,4.25,12.2806866628303)); #223485=CARTESIAN_POINT('',(13.86959,4.24,7.771427)); #223486=CARTESIAN_POINT('',(13.86959,4.25,7.771427)); #223487=CARTESIAN_POINT('',(13.86959,4.24,7.771427)); #223488=CARTESIAN_POINT('Origin',(13.867104,4.25,7.778267)); #223489=CARTESIAN_POINT('',(13.867104,4.25,7.778267)); #223490=CARTESIAN_POINT('',(11.7988367259628,4.25,13.4689138843206)); #223491=CARTESIAN_POINT('',(13.867104,4.24,7.778267)); #223492=CARTESIAN_POINT('',(13.867104,4.25,7.778267)); #223493=CARTESIAN_POINT('',(13.867104,4.24,7.778267)); #223494=CARTESIAN_POINT('Origin',(13.9768443149262,4.25,7.80656826213202)); #223495=CARTESIAN_POINT('',(13.864309,4.25,7.793163)); #223496=CARTESIAN_POINT('Origin',(13.9768443149262,4.25,7.80656826213202)); #223497=CARTESIAN_POINT('',(13.864309,4.24,7.793163)); #223498=CARTESIAN_POINT('',(13.864309,4.25,7.793163)); #223499=CARTESIAN_POINT('Origin',(13.9768443149262,4.24,7.80656826213202)); #223500=CARTESIAN_POINT('Origin',(13.9628467740459,4.25,7.79962737065439)); #223501=CARTESIAN_POINT('',(13.865593,4.25,7.81675)); #223502=CARTESIAN_POINT('Origin',(13.9628467740459,4.25,7.79962737065439)); #223503=CARTESIAN_POINT('',(13.865593,4.24,7.81675)); #223504=CARTESIAN_POINT('',(13.865593,4.25,7.81675)); #223505=CARTESIAN_POINT('Origin',(13.9628467740459,4.24,7.79962737065439)); #223506=CARTESIAN_POINT('Origin',(13.867478,4.25,7.823929)); #223507=CARTESIAN_POINT('',(13.867478,4.25,7.823929)); #223508=CARTESIAN_POINT('',(16.3618176320313,4.25,17.3235917152983)); #223509=CARTESIAN_POINT('',(13.867478,4.24,7.823929)); #223510=CARTESIAN_POINT('',(13.867478,4.25,7.823929)); #223511=CARTESIAN_POINT('',(13.867478,4.24,7.823929)); #223512=CARTESIAN_POINT('Origin',(13.870089,4.25,7.8307)); #223513=CARTESIAN_POINT('',(13.870089,4.25,7.8307)); #223514=CARTESIAN_POINT('',(17.5240956982098,4.25,17.3064868071889)); #223515=CARTESIAN_POINT('',(13.870089,4.24,7.8307)); #223516=CARTESIAN_POINT('',(13.870089,4.25,7.8307)); #223517=CARTESIAN_POINT('',(13.870089,4.24,7.8307)); #223518=CARTESIAN_POINT('Origin',(13.9592978611157,4.25,7.78537427193292)); #223519=CARTESIAN_POINT('',(13.877474,4.25,7.842972)); #223520=CARTESIAN_POINT('Origin',(13.9592978611157,4.25,7.78537427193292)); #223521=CARTESIAN_POINT('',(13.877474,4.24,7.842972)); #223522=CARTESIAN_POINT('',(13.877474,4.25,7.842972)); #223523=CARTESIAN_POINT('Origin',(13.9592978611157,4.24,7.78537427193292)); #223524=CARTESIAN_POINT('Origin',(13.882246,4.25,7.848457)); #223525=CARTESIAN_POINT('',(13.882246,4.25,7.848457)); #223526=CARTESIAN_POINT('',(20.6960998447416,4.25,15.6803908513025)); #223527=CARTESIAN_POINT('',(13.882246,4.24,7.848457)); #223528=CARTESIAN_POINT('',(13.882246,4.25,7.848457)); #223529=CARTESIAN_POINT('',(13.882246,4.24,7.848457)); #223530=CARTESIAN_POINT('Origin',(13.9500010600339,4.25,7.76983727812275)); #223531=CARTESIAN_POINT('',(13.963066,4.25,7.872799)); #223532=CARTESIAN_POINT('Origin',(13.9500010600339,4.25,7.76983727812275)); #223533=CARTESIAN_POINT('',(13.963066,4.24,7.872799)); #223534=CARTESIAN_POINT('',(13.963066,4.25,7.872799)); #223535=CARTESIAN_POINT('Origin',(13.9500010600339,4.24,7.76983727812275)); #223536=CARTESIAN_POINT('Origin',(14.156603,4.25,7.872803)); #223537=CARTESIAN_POINT('',(14.156603,4.25,7.872803)); #223538=CARTESIAN_POINT('',(19.9784784889618,4.25,7.87292332583928)); #223539=CARTESIAN_POINT('',(14.156603,4.24,7.872803)); #223540=CARTESIAN_POINT('',(14.156603,4.25,7.872803)); #223541=CARTESIAN_POINT('',(14.156603,4.24,7.872803)); #223542=CARTESIAN_POINT('Origin',(14.156666,4.25,9.596298)); #223543=CARTESIAN_POINT('',(14.156666,4.25,9.596298)); #223544=CARTESIAN_POINT('',(14.1569475383967,4.25,17.2983617927752)); #223545=CARTESIAN_POINT('',(14.156666,4.24,9.596298)); #223546=CARTESIAN_POINT('',(14.156666,4.25,9.596298)); #223547=CARTESIAN_POINT('',(14.156666,4.24,9.596298)); #223548=CARTESIAN_POINT('Origin',(13.957931,4.25,9.59634)); #223549=CARTESIAN_POINT('',(13.957931,4.25,9.59634)); #223550=CARTESIAN_POINT('',(19.8773375562484,4.25,9.59508901212494)); #223551=CARTESIAN_POINT('',(13.957931,4.24,9.59634)); #223552=CARTESIAN_POINT('',(13.957931,4.25,9.59634)); #223553=CARTESIAN_POINT('',(13.957931,4.24,9.59634)); #223554=CARTESIAN_POINT('Origin',(13.9538290406809,4.25,9.72742650381778)); #223555=CARTESIAN_POINT('',(13.897258,4.25,9.609104)); #223556=CARTESIAN_POINT('Origin',(13.9538290406809,4.25,9.72742650381778)); #223557=CARTESIAN_POINT('',(13.897258,4.24,9.609104)); #223558=CARTESIAN_POINT('',(13.897258,4.25,9.609104)); #223559=CARTESIAN_POINT('Origin',(13.9538290406809,4.24,9.72742650381778)); #223560=CARTESIAN_POINT('Origin',(13.890461,4.25,9.613495)); #223561=CARTESIAN_POINT('',(13.890461,4.25,9.613495)); #223562=CARTESIAN_POINT('',(14.585262979435,4.25,9.16463881466851)); #223563=CARTESIAN_POINT('',(13.890461,4.24,9.613495)); #223564=CARTESIAN_POINT('',(13.890461,4.25,9.613495)); #223565=CARTESIAN_POINT('',(13.890461,4.24,9.613495)); #223566=CARTESIAN_POINT('Origin',(13.884609,4.25,9.618427)); #223567=CARTESIAN_POINT('',(13.884609,4.25,9.618427)); #223568=CARTESIAN_POINT('',(13.5782210497465,4.25,9.87664732991303)); #223569=CARTESIAN_POINT('',(13.884609,4.24,9.618427)); #223570=CARTESIAN_POINT('',(13.884609,4.25,9.618427)); #223571=CARTESIAN_POINT('',(13.884609,4.24,9.618427)); #223572=CARTESIAN_POINT('Origin',(13.879531,4.25,9.623711)); #223573=CARTESIAN_POINT('',(13.879531,4.25,9.623711)); #223574=CARTESIAN_POINT('',(12.900168738481,4.25,10.6428031996582)); #223575=CARTESIAN_POINT('',(13.879531,4.24,9.623711)); #223576=CARTESIAN_POINT('',(13.879531,4.25,9.623711)); #223577=CARTESIAN_POINT('',(13.879531,4.24,9.623711)); #223578=CARTESIAN_POINT('Origin',(13.87517,4.25,9.629433)); #223579=CARTESIAN_POINT('',(13.87517,4.25,9.629433)); #223580=CARTESIAN_POINT('',(12.3608483446797,4.25,11.6163507967774)); #223581=CARTESIAN_POINT('',(13.87517,4.24,9.629433)); #223582=CARTESIAN_POINT('',(13.87517,4.25,9.629433)); #223583=CARTESIAN_POINT('',(13.87517,4.24,9.629433)); #223584=CARTESIAN_POINT('Origin',(13.871529,4.25,9.635582)); #223585=CARTESIAN_POINT('',(13.871529,4.25,9.635582)); #223586=CARTESIAN_POINT('',(12.0518431785754,4.25,12.7087069975138)); #223587=CARTESIAN_POINT('',(13.871529,4.24,9.635582)); #223588=CARTESIAN_POINT('',(13.871529,4.25,9.635582)); #223589=CARTESIAN_POINT('',(13.871529,4.24,9.635582)); #223590=CARTESIAN_POINT('Origin',(13.868608,4.25,9.642155)); #223591=CARTESIAN_POINT('',(13.868608,4.25,9.642155)); #223592=CARTESIAN_POINT('',(12.0027613518173,4.25,13.8407890357728)); #223593=CARTESIAN_POINT('',(13.868608,4.24,9.642155)); #223594=CARTESIAN_POINT('',(13.868608,4.25,9.642155)); #223595=CARTESIAN_POINT('',(13.868608,4.24,9.642155)); #223596=CARTESIAN_POINT('Origin',(13.866412,4.25,9.649143)); #223597=CARTESIAN_POINT('',(13.866412,4.25,9.649143)); #223598=CARTESIAN_POINT('',(12.2074651899647,4.25,14.9281595339395)); #223599=CARTESIAN_POINT('',(13.866412,4.24,9.649143)); #223600=CARTESIAN_POINT('',(13.866412,4.25,9.649143)); #223601=CARTESIAN_POINT('',(13.866412,4.24,9.649143)); #223602=CARTESIAN_POINT('Origin',(13.864942,4.25,9.65654)); #223603=CARTESIAN_POINT('',(13.864942,4.25,9.65654)); #223604=CARTESIAN_POINT('',(12.6249922486086,4.25,15.8959334088769)); #223605=CARTESIAN_POINT('',(13.864942,4.24,9.65654)); #223606=CARTESIAN_POINT('',(13.864942,4.25,9.65654)); #223607=CARTESIAN_POINT('',(13.864942,4.24,9.65654)); #223608=CARTESIAN_POINT('Origin',(13.9757288413795,4.25,9.66971400530149)); #223609=CARTESIAN_POINT('',(13.86419,4.25,9.672237)); #223610=CARTESIAN_POINT('Origin',(13.9757288413795,4.25,9.66971400530149)); #223611=CARTESIAN_POINT('',(13.86419,4.24,9.672237)); #223612=CARTESIAN_POINT('',(13.86419,4.25,9.672237)); #223613=CARTESIAN_POINT('Origin',(13.9757288413795,4.24,9.66971400530149)); #223614=CARTESIAN_POINT('Origin',(13.864911,4.25,9.679684)); #223615=CARTESIAN_POINT('',(13.864911,4.25,9.679684)); #223616=CARTESIAN_POINT('',(14.6550792379969,4.25,17.8411026801261)); #223617=CARTESIAN_POINT('',(13.864911,4.24,9.679684)); #223618=CARTESIAN_POINT('',(13.864911,4.25,9.679684)); #223619=CARTESIAN_POINT('',(13.864911,4.24,9.679684)); #223620=CARTESIAN_POINT('Origin',(13.86636,4.25,9.686802)); #223621=CARTESIAN_POINT('',(13.86636,4.25,9.686802)); #223622=CARTESIAN_POINT('',(15.6004082352109,4.25,18.2050596523169)); #223623=CARTESIAN_POINT('',(13.86636,4.24,9.686802)); #223624=CARTESIAN_POINT('',(13.86636,4.25,9.686802)); #223625=CARTESIAN_POINT('',(13.86636,4.24,9.686802)); #223626=CARTESIAN_POINT('Origin',(13.868536,4.25,9.693596)); #223627=CARTESIAN_POINT('',(13.868536,4.25,9.693596)); #223628=CARTESIAN_POINT('',(16.6467065221607,4.25,18.3677184851003)); #223629=CARTESIAN_POINT('',(13.868536,4.24,9.693596)); #223630=CARTESIAN_POINT('',(13.868536,4.25,9.693596)); #223631=CARTESIAN_POINT('',(13.868536,4.24,9.693596)); #223632=CARTESIAN_POINT('Origin',(13.871435,4.25,9.700081)); #223633=CARTESIAN_POINT('',(13.871435,4.25,9.700081)); #223634=CARTESIAN_POINT('',(17.7150059177548,4.25,18.2980656159491)); #223635=CARTESIAN_POINT('',(13.871435,4.24,9.700081)); #223636=CARTESIAN_POINT('',(13.871435,4.25,9.700081)); #223637=CARTESIAN_POINT('',(13.871435,4.24,9.700081)); #223638=CARTESIAN_POINT('Origin',(13.875056,4.25,9.706261)); #223639=CARTESIAN_POINT('',(13.875056,4.25,9.706261)); #223640=CARTESIAN_POINT('',(18.7342786038535,4.25,17.9995489568682)); #223641=CARTESIAN_POINT('',(13.875056,4.24,9.706261)); #223642=CARTESIAN_POINT('',(13.875056,4.25,9.706261)); #223643=CARTESIAN_POINT('',(13.875056,4.24,9.706261)); #223644=CARTESIAN_POINT('Origin',(13.879396,4.25,9.712139)); #223645=CARTESIAN_POINT('',(13.879396,4.25,9.712139)); #223646=CARTESIAN_POINT('',(19.6349071936209,4.25,17.5072760497934)); #223647=CARTESIAN_POINT('',(13.879396,4.24,9.712139)); #223648=CARTESIAN_POINT('',(13.879396,4.25,9.712139)); #223649=CARTESIAN_POINT('',(13.879396,4.24,9.712139)); #223650=CARTESIAN_POINT('Origin',(13.884454,4.25,9.717735)); #223651=CARTESIAN_POINT('',(13.884454,4.25,9.717735)); #223652=CARTESIAN_POINT('',(20.3643842501327,4.25,16.8869105001482)); #223653=CARTESIAN_POINT('',(13.884454,4.24,9.717735)); #223654=CARTESIAN_POINT('',(13.884454,4.25,9.717735)); #223655=CARTESIAN_POINT('',(13.884454,4.24,9.717735)); #223656=CARTESIAN_POINT('Origin',(13.890281,4.25,9.722893)); #223657=CARTESIAN_POINT('',(13.890281,4.25,9.722893)); #223658=CARTESIAN_POINT('',(21.0200792508879,4.25,16.0341165074789)); #223659=CARTESIAN_POINT('',(13.890281,4.24,9.722893)); #223660=CARTESIAN_POINT('',(13.890281,4.25,9.722893)); #223661=CARTESIAN_POINT('',(13.890281,4.24,9.722893)); #223662=CARTESIAN_POINT('Origin',(13.9480564687479,4.25,9.63503957033994)); #223663=CARTESIAN_POINT('',(13.945114,4.25,9.740147)); #223664=CARTESIAN_POINT('Origin',(13.9480564687479,4.25,9.63503957033994)); #223665=CARTESIAN_POINT('',(13.945114,4.24,9.740147)); #223666=CARTESIAN_POINT('',(13.945114,4.25,9.740147)); #223667=CARTESIAN_POINT('Origin',(13.9480564687479,4.24,9.63503957033994)); #223668=CARTESIAN_POINT('Origin',(14.2612077135992,4.25,3.292444762938)); #223669=CARTESIAN_POINT('',(14.565812,4.25,9.7407)); #223670=CARTESIAN_POINT('Origin',(14.2612077135992,4.25,3.292444762938)); #223671=CARTESIAN_POINT('',(14.565812,4.24,9.7407)); #223672=CARTESIAN_POINT('',(14.565812,4.25,9.7407)); #223673=CARTESIAN_POINT('Origin',(14.2612077135992,4.24,3.292444762938)); #223674=CARTESIAN_POINT('Origin',(14.5740026767018,4.25,9.59095301631591)); #223675=CARTESIAN_POINT('',(14.629719,4.25,9.73019)); #223676=CARTESIAN_POINT('Origin',(14.5740026767018,4.25,9.59095301631591)); #223677=CARTESIAN_POINT('',(14.629719,4.24,9.73019)); #223678=CARTESIAN_POINT('',(14.629719,4.25,9.73019)); #223679=CARTESIAN_POINT('Origin',(14.5740026767018,4.24,9.59095301631591)); #223680=CARTESIAN_POINT('Origin',(14.637193,4.25,9.726181)); #223681=CARTESIAN_POINT('',(14.637193,4.25,9.726181)); #223682=CARTESIAN_POINT('',(15.7904119955194,4.25,9.10760260114598)); #223683=CARTESIAN_POINT('',(14.637193,4.24,9.726181)); #223684=CARTESIAN_POINT('',(14.637193,4.25,9.726181)); #223685=CARTESIAN_POINT('',(14.637193,4.24,9.726181)); #223686=CARTESIAN_POINT('Origin',(14.643714,4.25,9.721539)); #223687=CARTESIAN_POINT('',(14.643714,4.25,9.721539)); #223688=CARTESIAN_POINT('',(14.7367137836931,4.25,9.65533673103765)); #223689=CARTESIAN_POINT('',(14.643714,4.24,9.721539)); #223690=CARTESIAN_POINT('',(14.643714,4.25,9.721539)); #223691=CARTESIAN_POINT('',(14.643714,4.24,9.721539)); #223692=CARTESIAN_POINT('Origin',(14.5822262329548,4.25,9.65534405074992)); #223693=CARTESIAN_POINT('',(14.657967,4.25,9.704597)); #223694=CARTESIAN_POINT('Origin',(14.5822262329548,4.25,9.65534405074992)); #223695=CARTESIAN_POINT('',(14.657967,4.24,9.704597)); #223696=CARTESIAN_POINT('',(14.657967,4.25,9.704597)); #223697=CARTESIAN_POINT('Origin',(14.5822262329548,4.24,9.65534405074992)); #223698=CARTESIAN_POINT('Origin',(14.565809264703,4.25,9.65361949871359)); #223699=CARTESIAN_POINT('',(14.66397,4.25,9.69178)); #223700=CARTESIAN_POINT('Origin',(14.565809264703,4.25,9.65361949871359)); #223701=CARTESIAN_POINT('',(14.66397,4.24,9.69178)); #223702=CARTESIAN_POINT('',(14.66397,4.25,9.69178)); #223703=CARTESIAN_POINT('Origin',(14.565809264703,4.24,9.65361949871359)); #223704=CARTESIAN_POINT('Origin',(14.665919,4.25,9.684902)); #223705=CARTESIAN_POINT('',(14.665919,4.25,9.684902)); #223706=CARTESIAN_POINT('',(13.0710964734062,4.25,15.3130135125297)); #223707=CARTESIAN_POINT('',(14.665919,4.24,9.684902)); #223708=CARTESIAN_POINT('',(14.665919,4.25,9.684902)); #223709=CARTESIAN_POINT('',(14.665919,4.24,9.684902)); #223710=CARTESIAN_POINT('Origin',(14.667159,4.25,9.6777)); #223711=CARTESIAN_POINT('',(14.667159,4.25,9.6777)); #223712=CARTESIAN_POINT('',(13.5463417532292,4.25,16.1874788800337)); #223713=CARTESIAN_POINT('',(14.667159,4.24,9.6777)); #223714=CARTESIAN_POINT('',(14.667159,4.25,9.6777)); #223715=CARTESIAN_POINT('',(14.667159,4.24,9.6777)); #223716=CARTESIAN_POINT('Origin',(14.5503319222454,4.25,9.66746438037135)); #223717=CARTESIAN_POINT('',(14.667488,4.25,9.662193)); #223718=CARTESIAN_POINT('Origin',(14.5503319222454,4.25,9.66746438037135)); #223719=CARTESIAN_POINT('',(14.667488,4.24,9.662193)); #223720=CARTESIAN_POINT('',(14.667488,4.25,9.662193)); #223721=CARTESIAN_POINT('Origin',(14.5503319222454,4.24,9.66746438037135)); #223722=CARTESIAN_POINT('Origin',(14.5769314243409,4.25,9.67088618117131)); #223723=CARTESIAN_POINT('',(14.662617,4.25,9.640324)); #223724=CARTESIAN_POINT('Origin',(14.5769314243409,4.25,9.67088618117131)); #223725=CARTESIAN_POINT('',(14.662617,4.24,9.640324)); #223726=CARTESIAN_POINT('',(14.662617,4.25,9.640324)); #223727=CARTESIAN_POINT('Origin',(14.5769314243409,4.24,9.67088618117131)); #223728=CARTESIAN_POINT('Origin',(14.659583,4.25,9.633862)); #223729=CARTESIAN_POINT('',(14.659583,4.25,9.633862)); #223730=CARTESIAN_POINT('',(18.6214421977837,4.25,18.0720736466925)); #223731=CARTESIAN_POINT('',(14.659583,4.24,9.633862)); #223732=CARTESIAN_POINT('',(14.659583,4.25,9.633862)); #223733=CARTESIAN_POINT('',(14.659583,4.24,9.633862)); #223734=CARTESIAN_POINT('Origin',(14.5762430756977,4.25,9.68341862890061)); #223735=CARTESIAN_POINT('',(14.651443,4.25,9.622211)); #223736=CARTESIAN_POINT('Origin',(14.5762430756977,4.25,9.68341862890061)); #223737=CARTESIAN_POINT('',(14.651443,4.24,9.622211)); #223738=CARTESIAN_POINT('',(14.651443,4.25,9.622211)); #223739=CARTESIAN_POINT('Origin',(14.5762430756977,4.24,9.68341862890061)); #223740=CARTESIAN_POINT('Origin',(14.646345,4.25,9.617039)); #223741=CARTESIAN_POINT('',(14.646345,4.25,9.617039)); #223742=CARTESIAN_POINT('',(21.2399182091967,4.25,16.3063211965422)); #223743=CARTESIAN_POINT('',(14.646345,4.24,9.617039)); #223744=CARTESIAN_POINT('',(14.646345,4.25,9.617039)); #223745=CARTESIAN_POINT('',(14.646345,4.24,9.617039)); #223746=CARTESIAN_POINT('Origin',(14.5812824310054,4.25,9.70548640392098)); #223747=CARTESIAN_POINT('',(14.570642,4.25,9.596203)); #223748=CARTESIAN_POINT('Origin',(14.5812824310054,4.25,9.70548640392098)); #223749=CARTESIAN_POINT('',(14.570642,4.24,9.596203)); #223750=CARTESIAN_POINT('',(14.570642,4.25,9.596203)); #223751=CARTESIAN_POINT('Origin',(14.5812824310054,4.24,9.70548640392098)); #223752=CARTESIAN_POINT('Origin',(14.301246,4.25,9.596195)); #223753=CARTESIAN_POINT('',(14.301246,4.25,9.596195)); #223754=CARTESIAN_POINT('',(20.0508517110947,4.25,9.59636574064083)); #223755=CARTESIAN_POINT('',(14.301246,4.24,9.596195)); #223756=CARTESIAN_POINT('',(14.301246,4.25,9.596195)); #223757=CARTESIAN_POINT('',(14.301246,4.24,9.596195)); #223758=CARTESIAN_POINT('Origin',(14.301104,4.25,8.8419)); #223759=CARTESIAN_POINT('',(14.301104,4.25,8.8419)); #223760=CARTESIAN_POINT('',(14.3026251273507,4.25,16.9220320774576)); #223761=CARTESIAN_POINT('',(14.301104,4.24,8.8419)); #223762=CARTESIAN_POINT('',(14.301104,4.25,8.8419)); #223763=CARTESIAN_POINT('',(14.301104,4.24,8.8419)); #223764=CARTESIAN_POINT('Origin',(14.528193,4.25,8.84186)); #223765=CARTESIAN_POINT('',(14.528193,4.25,8.84186)); #223766=CARTESIAN_POINT('',(20.1626732584567,4.25,8.84086752916111)); #223767=CARTESIAN_POINT('',(14.528193,4.24,8.84186)); #223768=CARTESIAN_POINT('',(14.528193,4.25,8.84186)); #223769=CARTESIAN_POINT('',(14.528193,4.24,8.84186)); #223770=CARTESIAN_POINT('Origin',(14.755189,4.25,8.8419)); #223771=CARTESIAN_POINT('',(14.755189,4.25,8.8419)); #223772=CARTESIAN_POINT('',(20.279017974708,4.25,8.84287337908589)); #223773=CARTESIAN_POINT('',(14.755189,4.24,8.8419)); #223774=CARTESIAN_POINT('',(14.755189,4.25,8.8419)); #223775=CARTESIAN_POINT('',(14.755189,4.24,8.8419)); #223776=CARTESIAN_POINT('Origin',(14.022338535676,4.25,10.1662292412519)); #223777=CARTESIAN_POINT('',(15.401278,4.25,9.542175)); #223778=CARTESIAN_POINT('Origin',(14.022338535676,4.25,10.1662292412519)); #223779=CARTESIAN_POINT('',(15.401278,4.24,9.542175)); #223780=CARTESIAN_POINT('',(15.401278,4.25,9.542175)); #223781=CARTESIAN_POINT('Origin',(14.022338535676,4.24,10.1662292412519)); #223782=CARTESIAN_POINT('Origin',(15.515876,4.25,9.740696)); #223783=CARTESIAN_POINT('',(15.515876,4.25,9.740696)); #223784=CARTESIAN_POINT('',(20.1045606664318,4.25,17.6897899515933)); #223785=CARTESIAN_POINT('',(15.515876,4.24,9.740696)); #223786=CARTESIAN_POINT('',(15.515876,4.25,9.740696)); #223787=CARTESIAN_POINT('',(15.515876,4.24,9.740696)); #223788=CARTESIAN_POINT('Origin',(15.6181737456732,4.25,8.87143550782439)); #223789=CARTESIAN_POINT('',(15.747195,4.25,9.737133)); #223790=CARTESIAN_POINT('Origin',(15.6181737456732,4.25,8.87143550782439)); #223791=CARTESIAN_POINT('',(15.747195,4.24,9.737133)); #223792=CARTESIAN_POINT('',(15.747195,4.25,9.737133)); #223793=CARTESIAN_POINT('Origin',(15.6181737456732,4.24,8.87143550782439)); #223794=CARTESIAN_POINT('Origin',(15.7264821926179,4.25,9.63784513408885)); #223795=CARTESIAN_POINT('',(15.765373,4.25,9.731518)); #223796=CARTESIAN_POINT('Origin',(15.7264821926179,4.25,9.63784513408885)); #223797=CARTESIAN_POINT('',(15.765373,4.24,9.731518)); #223798=CARTESIAN_POINT('',(15.765373,4.25,9.731518)); #223799=CARTESIAN_POINT('Origin',(15.7264821926179,4.24,9.63784513408885)); #223800=CARTESIAN_POINT('Origin',(15.773095,4.25,9.727745)); #223801=CARTESIAN_POINT('',(15.773095,4.25,9.727745)); #223802=CARTESIAN_POINT('',(16.8083538153309,4.25,9.22191341359194)); #223803=CARTESIAN_POINT('',(15.773095,4.24,9.727745)); #223804=CARTESIAN_POINT('',(15.773095,4.25,9.727745)); #223805=CARTESIAN_POINT('',(15.773095,4.24,9.727745)); #223806=CARTESIAN_POINT('Origin',(15.779913,4.25,9.723339)); #223807=CARTESIAN_POINT('',(15.8320575608215,4.25,9.68964159093873)); #223808=CARTESIAN_POINT('',(15.779913,4.24,9.723339)); #223809=CARTESIAN_POINT('Origin',(14.8351241013525,4.24,8.73533278866172)); #223810=CARTESIAN_POINT('Origin',(5.4582191,4.25,5.616982)); #223811=CARTESIAN_POINT('',(5.4582191,4.25,5.616982)); #223812=CARTESIAN_POINT('',(5.4594498,4.25,5.620979)); #223813=CARTESIAN_POINT('',(9.06464337002965,4.25,17.3297290604666)); #223814=CARTESIAN_POINT('',(5.4582191,4.24,5.616982)); #223815=CARTESIAN_POINT('',(5.4582191,4.25,5.616982)); #223816=CARTESIAN_POINT('',(5.4594498,4.24,5.620979)); #223817=CARTESIAN_POINT('',(5.4594498,4.24,5.620979)); #223818=CARTESIAN_POINT('',(5.4594498,4.25,5.620979)); #223819=CARTESIAN_POINT('Origin',(0.803672760982997,4.25,8.23426098706027)); #223820=CARTESIAN_POINT('',(5.0499134,4.25,4.99630000000001)); #223821=CARTESIAN_POINT('Origin',(0.803672760982997,4.25,8.23426098706027)); #223822=CARTESIAN_POINT('',(5.0499134,4.24,4.99630000000001)); #223823=CARTESIAN_POINT('',(5.0499134,4.25,4.99630000000001)); #223824=CARTESIAN_POINT('Origin',(0.803672760982997,4.24,8.23426098706027)); #223825=CARTESIAN_POINT('Origin',(5.0491714,4.25,4.9963)); #223826=CARTESIAN_POINT('',(5.0491714,4.25,4.9963)); #223827=CARTESIAN_POINT('',(15.4245857,4.25,4.9963)); #223828=CARTESIAN_POINT('',(5.0491714,4.24,4.9963)); #223829=CARTESIAN_POINT('',(5.0491714,4.25,4.9963)); #223830=CARTESIAN_POINT('',(5.0499134,4.24,4.9963)); #223831=CARTESIAN_POINT('Origin',(5.0488224,4.25,4.996296)); #223832=CARTESIAN_POINT('',(5.0488224,4.25,4.996296)); #223833=CARTESIAN_POINT('',(15.5376677732134,4.25,5.11651199288618)); #223834=CARTESIAN_POINT('',(5.0488224,4.24,4.996296)); #223835=CARTESIAN_POINT('',(5.0488224,4.25,4.996296)); #223836=CARTESIAN_POINT('',(5.0491714,4.24,4.9963)); #223837=CARTESIAN_POINT('Origin',(5.0482836,4.25,4.99791)); #223838=CARTESIAN_POINT('',(5.0482836,4.25,4.99791)); #223839=CARTESIAN_POINT('',(3.08476691825639,4.25,10.8797138684713)); #223840=CARTESIAN_POINT('',(5.0482836,4.24,4.99791)); #223841=CARTESIAN_POINT('',(5.0482836,4.25,4.99791)); #223842=CARTESIAN_POINT('',(5.0488224,4.24,4.996296)); #223843=CARTESIAN_POINT('Origin',(5.0460057,4.25,5.00317)); #223844=CARTESIAN_POINT('',(5.0460057,4.25,5.00317)); #223845=CARTESIAN_POINT('',(3.0386636214731,4.25,9.63841269417001)); #223846=CARTESIAN_POINT('',(5.0460057,4.24,5.00317)); #223847=CARTESIAN_POINT('',(5.0460057,4.25,5.00317)); #223848=CARTESIAN_POINT('',(5.0482836,4.24,4.99791)); #223849=CARTESIAN_POINT('Origin',(-55.6827059184942,4.25,-33.8433533103652)); #223850=CARTESIAN_POINT('',(4.66266729999978,4.25,5.59602700000035)); #223851=CARTESIAN_POINT('Origin',(-55.6827059184942,4.25,-33.8433533103652)); #223852=CARTESIAN_POINT('',(4.66266729999978,4.24,5.59602700000035)); #223853=CARTESIAN_POINT('',(4.66266729999978,4.25,5.59602700000035)); #223854=CARTESIAN_POINT('Origin',(-55.6827059184942,4.24,-33.8433533103652)); #223855=CARTESIAN_POINT('Origin',(4.6605172,4.25,5.602085)); #223856=CARTESIAN_POINT('',(4.6605172,4.25,5.602085)); #223857=CARTESIAN_POINT('',(2.78577344439063,4.25,10.8842568392091)); #223858=CARTESIAN_POINT('',(4.6605172,4.24,5.602085)); #223859=CARTESIAN_POINT('',(4.6605172,4.25,5.602085)); #223860=CARTESIAN_POINT('',(4.6626673,4.24,5.596027)); #223861=CARTESIAN_POINT('Origin',(4.658761,4.25,5.60841)); #223862=CARTESIAN_POINT('',(4.658761,4.25,5.60841)); #223863=CARTESIAN_POINT('',(2.91593253605657,4.25,11.8852520649357)); #223864=CARTESIAN_POINT('',(4.658761,4.24,5.60841)); #223865=CARTESIAN_POINT('',(4.658761,4.25,5.60841)); #223866=CARTESIAN_POINT('',(4.6605172,4.24,5.602085)); #223867=CARTESIAN_POINT('Origin',(4.76579486688016,4.25,5.63236768954621)); #223868=CARTESIAN_POINT('',(4.6566038,4.25,5.621998)); #223869=CARTESIAN_POINT('Origin',(4.76579486688016,4.25,5.63236768954621)); #223870=CARTESIAN_POINT('',(4.6566038,4.24,5.621998)); #223871=CARTESIAN_POINT('',(4.6566038,4.25,5.621998)); #223872=CARTESIAN_POINT('Origin',(4.76579486688016,4.24,5.63236768954621)); #223873=CARTESIAN_POINT('Origin',(5.459383,4.25,5.621998)); #223874=CARTESIAN_POINT('',(5.459383,4.25,5.621998)); #223875=CARTESIAN_POINT('',(15.6296915,4.25,5.621998)); #223876=CARTESIAN_POINT('',(5.459383,4.24,5.621998)); #223877=CARTESIAN_POINT('',(5.459383,4.25,5.621998)); #223878=CARTESIAN_POINT('',(4.6566038,4.24,5.621998)); #223879=CARTESIAN_POINT('Origin',(5.4594498,4.25,5.620979)); #223880=CARTESIAN_POINT('',(4.87049570288232,4.25,14.6051739844244)); #223881=CARTESIAN_POINT('',(5.459383,4.24,5.621998)); #223882=CARTESIAN_POINT('Origin',(5.0580268,4.24,5.309147)); #223883=CARTESIAN_POINT('Origin',(209.599237882087,4.25,92.5375607335356)); #223884=CARTESIAN_POINT('',(9.25876809999998,4.25,17.9794979)); #223885=CARTESIAN_POINT('',(9.02514079999998,4.25,18.6102982)); #223886=CARTESIAN_POINT('Origin',(209.599237882087,4.25,92.5375607335356)); #223887=CARTESIAN_POINT('',(9.25876809999998,4.24,17.9794979)); #223888=CARTESIAN_POINT('',(9.25876809999998,4.25,17.9794979)); #223889=CARTESIAN_POINT('',(9.02514079999998,4.24,18.6102982)); #223890=CARTESIAN_POINT('Origin',(209.599237882087,4.24,92.5375607335356)); #223891=CARTESIAN_POINT('',(9.02514079999998,4.25,18.6102982)); #223892=CARTESIAN_POINT('Origin',(9.2903671,4.25,17.9794979)); #223893=CARTESIAN_POINT('',(9.2903671,4.25,17.9794979)); #223894=CARTESIAN_POINT('',(17.54518355,4.25,17.9794979)); #223895=CARTESIAN_POINT('',(9.2903671,4.24,17.9794979)); #223896=CARTESIAN_POINT('',(9.2903671,4.25,17.9794979)); #223897=CARTESIAN_POINT('',(9.2903671,4.24,17.9794979)); #223898=CARTESIAN_POINT('Origin',(9.2905264,4.25,17.9798222)); #223899=CARTESIAN_POINT('',(9.2905264,4.25,17.9798222)); #223900=CARTESIAN_POINT('',(12.2841683319708,4.25,24.0742231952132)); #223901=CARTESIAN_POINT('',(9.2905264,4.24,17.9798222)); #223902=CARTESIAN_POINT('',(9.2905264,4.25,17.9798222)); #223903=CARTESIAN_POINT('',(9.2905264,4.24,17.9798222)); #223904=CARTESIAN_POINT('Origin',(9.3452348,4.25,18.1249719)); #223905=CARTESIAN_POINT('',(9.3452348,4.25,18.1249719)); #223906=CARTESIAN_POINT('',(11.5031107680539,4.25,23.8501448058101)); #223907=CARTESIAN_POINT('',(9.3452348,4.24,18.1249719)); #223908=CARTESIAN_POINT('',(9.3452348,4.25,18.1249719)); #223909=CARTESIAN_POINT('',(9.3452348,4.24,18.1249719)); #223910=CARTESIAN_POINT('Origin',(9.3999252,4.25,18.2700214)); #223911=CARTESIAN_POINT('',(9.3999252,4.25,18.2700214)); #223912=CARTESIAN_POINT('',(11.5314120909613,4.25,23.9231361658548)); #223913=CARTESIAN_POINT('',(9.3999252,4.24,18.2700214)); #223914=CARTESIAN_POINT('',(9.3999252,4.25,18.2700214)); #223915=CARTESIAN_POINT('',(9.3999252,4.24,18.2700214)); #223916=CARTESIAN_POINT('Origin',(9.4874668,4.25,18.5022373)); #223917=CARTESIAN_POINT('',(9.4874668,4.25,18.5022373)); #223918=CARTESIAN_POINT('',(11.5747462850381,4.25,24.0390276279087)); #223919=CARTESIAN_POINT('',(9.4874668,4.24,18.5022373)); #223920=CARTESIAN_POINT('',(9.4874668,4.25,18.5022373)); #223921=CARTESIAN_POINT('',(9.4874668,4.24,18.5022373)); #223922=CARTESIAN_POINT('Origin',(9.528204,4.25,18.6102982)); #223923=CARTESIAN_POINT('',(9.528204,4.25,18.6102982)); #223924=CARTESIAN_POINT('',(11.5951152885745,4.25,24.0930582832538)); #223925=CARTESIAN_POINT('',(9.528204,4.24,18.6102982)); #223926=CARTESIAN_POINT('',(9.528204,4.25,18.6102982)); #223927=CARTESIAN_POINT('',(9.528204,4.24,18.6102982)); #223928=CARTESIAN_POINT('Origin',(9.02514079999998,4.25,18.6102982)); #223929=CARTESIAN_POINT('',(17.4125704000321,4.25,18.6102982000842)); #223930=CARTESIAN_POINT('',(9.02514079999998,4.24,18.6102982)); #223931=CARTESIAN_POINT('Origin',(8.8743668,4.25,17.8992958)); #223932=CARTESIAN_POINT('',(8.8743668,4.25,17.8992958)); #223933=CARTESIAN_POINT('',(8.8792992,4.25,17.8972473)); #223934=CARTESIAN_POINT('',(14.8346071247123,4.25,15.4239182342775)); #223935=CARTESIAN_POINT('',(8.8743668,4.24,17.8992958)); #223936=CARTESIAN_POINT('',(8.8743668,4.25,17.8992958)); #223937=CARTESIAN_POINT('',(8.8792992,4.24,17.8972473)); #223938=CARTESIAN_POINT('',(8.8743668,4.24,17.8992958)); #223939=CARTESIAN_POINT('',(8.8792992,4.25,17.8972473)); #223940=CARTESIAN_POINT('Origin',(8.8700027,4.25,17.9017506)); #223941=CARTESIAN_POINT('',(8.8700027,4.25,17.9017506)); #223942=CARTESIAN_POINT('',(13.7838573752373,4.25,15.1377143825052)); #223943=CARTESIAN_POINT('',(8.8700027,4.24,17.9017506)); #223944=CARTESIAN_POINT('',(8.8700027,4.25,17.9017506)); #223945=CARTESIAN_POINT('',(8.8700027,4.24,17.9017506)); #223946=CARTESIAN_POINT('Origin',(8.866209,4.25,17.9046154)); #223947=CARTESIAN_POINT('',(8.866209,4.25,17.9046154)); #223948=CARTESIAN_POINT('',(12.5521699692085,4.25,15.1211741725452)); #223949=CARTESIAN_POINT('',(8.866209,4.24,17.9046154)); #223950=CARTESIAN_POINT('',(8.866209,4.25,17.9046154)); #223951=CARTESIAN_POINT('',(8.866209,4.24,17.9046154)); #223952=CARTESIAN_POINT('Origin',(8.8629303,4.25,17.9076576)); #223953=CARTESIAN_POINT('',(8.8629303,4.25,17.9076576)); #223954=CARTESIAN_POINT('',(11.6454815584034,4.25,15.3258180177511)); #223955=CARTESIAN_POINT('',(8.8629303,4.24,17.9076576)); #223956=CARTESIAN_POINT('',(8.8629303,4.25,17.9076576)); #223957=CARTESIAN_POINT('',(8.8629303,4.24,17.9076576)); #223958=CARTESIAN_POINT('Origin',(8.860096,4.25,17.9109592)); #223959=CARTESIAN_POINT('',(8.860096,4.25,17.9109592)); #223960=CARTESIAN_POINT('',(10.7019140741658,4.25,15.765474758105)); #223961=CARTESIAN_POINT('',(8.860096,4.24,17.9109592)); #223962=CARTESIAN_POINT('',(8.860096,4.25,17.9109592)); #223963=CARTESIAN_POINT('',(8.860096,4.24,17.9109592)); #223964=CARTESIAN_POINT('Origin',(8.8577061,4.25,17.914526)); #223965=CARTESIAN_POINT('',(8.8577061,4.25,17.914526)); #223966=CARTESIAN_POINT('',(9.84419652122454,4.25,16.4422409527504)); #223967=CARTESIAN_POINT('',(8.8577061,4.24,17.914526)); #223968=CARTESIAN_POINT('',(8.8577061,4.25,17.914526)); #223969=CARTESIAN_POINT('',(8.8577061,4.24,17.914526)); #223970=CARTESIAN_POINT('Origin',(8.8557549,4.25,17.9183559)); #223971=CARTESIAN_POINT('',(8.8557549,4.25,17.9183559)); #223972=CARTESIAN_POINT('',(9.16940018156992,4.25,17.3027193358937)); #223973=CARTESIAN_POINT('',(8.8557549,4.24,17.9183559)); #223974=CARTESIAN_POINT('',(8.8557549,4.25,17.9183559)); #223975=CARTESIAN_POINT('',(8.8557549,4.24,17.9183559)); #223976=CARTESIAN_POINT('Origin',(8.8542404,4.25,17.9224434)); #223977=CARTESIAN_POINT('',(8.8542404,4.25,17.9224434)); #223978=CARTESIAN_POINT('',(8.72411596005601,4.25,18.2736376213744)); #223979=CARTESIAN_POINT('',(8.8542404,4.24,17.9224434)); #223980=CARTESIAN_POINT('',(8.8542404,4.25,17.9224434)); #223981=CARTESIAN_POINT('',(8.8542404,4.24,17.9224434)); #223982=CARTESIAN_POINT('Origin',(8.8531628,4.25,17.9267826)); #223983=CARTESIAN_POINT('',(8.8531628,4.25,17.9267826)); #223984=CARTESIAN_POINT('',(8.51812383933842,4.25,19.275892713311)); #223985=CARTESIAN_POINT('',(8.8531628,4.24,17.9267826)); #223986=CARTESIAN_POINT('',(8.8531628,4.25,17.9267826)); #223987=CARTESIAN_POINT('',(8.8531628,4.24,17.9267826)); #223988=CARTESIAN_POINT('Origin',(8.8525181,4.25,17.9313698)); #223989=CARTESIAN_POINT('',(8.8525181,4.25,17.9313698)); #223990=CARTESIAN_POINT('',(8.52955012686568,4.25,20.2293668317312)); #223991=CARTESIAN_POINT('',(8.8525181,4.24,17.9313698)); #223992=CARTESIAN_POINT('',(8.8525181,4.25,17.9313698)); #223993=CARTESIAN_POINT('',(8.8525181,4.24,17.9313698)); #223994=CARTESIAN_POINT('Origin',(8.8523035,4.25,17.9361973)); #223995=CARTESIAN_POINT('',(8.8523035,4.25,17.9361973)); #223996=CARTESIAN_POINT('',(8.71231961713976,4.25,21.085182362956)); #223997=CARTESIAN_POINT('',(8.8523035,4.24,17.9361973)); #223998=CARTESIAN_POINT('',(8.8523035,4.25,17.9361973)); #223999=CARTESIAN_POINT('',(8.8523035,4.24,17.9361973)); #224000=CARTESIAN_POINT('Origin',(8.8525181,4.25,17.9409943)); #224001=CARTESIAN_POINT('',(8.8525181,4.25,17.9409943)); #224002=CARTESIAN_POINT('',(9.02702453192693,4.25,21.8417741413637)); #224003=CARTESIAN_POINT('',(8.8525181,4.24,17.9409943)); #224004=CARTESIAN_POINT('',(8.8525181,4.25,17.9409943)); #224005=CARTESIAN_POINT('',(8.8525181,4.24,17.9409943)); #224006=CARTESIAN_POINT('Origin',(8.8531628,4.25,17.9455566)); #224007=CARTESIAN_POINT('',(8.8531628,4.25,17.9455566)); #224008=CARTESIAN_POINT('',(9.50772715933888,4.25,22.5776629697874)); #224009=CARTESIAN_POINT('',(8.8531628,4.24,17.9455566)); #224010=CARTESIAN_POINT('',(8.8531628,4.25,17.9455566)); #224011=CARTESIAN_POINT('',(8.8531628,4.24,17.9455566)); #224012=CARTESIAN_POINT('Origin',(8.8542404,4.25,17.9498825)); #224013=CARTESIAN_POINT('',(8.8542404,4.25,17.9498825)); #224014=CARTESIAN_POINT('',(10.1760897652887,4.25,23.25629319906)); #224015=CARTESIAN_POINT('',(8.8542404,4.24,17.9498825)); #224016=CARTESIAN_POINT('',(8.8542404,4.25,17.9498825)); #224017=CARTESIAN_POINT('',(8.8542404,4.24,17.9498825)); #224018=CARTESIAN_POINT('Origin',(8.8557549,4.25,17.9539642)); #224019=CARTESIAN_POINT('',(8.8557549,4.25,17.9539642)); #224020=CARTESIAN_POINT('',(11.0300182991068,4.25,23.8137799254192)); #224021=CARTESIAN_POINT('',(8.8557549,4.24,17.9539642)); #224022=CARTESIAN_POINT('',(8.8557549,4.25,17.9539642)); #224023=CARTESIAN_POINT('',(8.8557549,4.24,17.9539642)); #224024=CARTESIAN_POINT('Origin',(8.8577061,4.25,17.9577999)); #224025=CARTESIAN_POINT('',(8.8577061,4.25,17.9577999)); #224026=CARTESIAN_POINT('',(12.0221003926584,4.25,24.1784165401941)); #224027=CARTESIAN_POINT('',(8.8577061,4.24,17.9577999)); #224028=CARTESIAN_POINT('',(8.8577061,4.25,17.9577999)); #224029=CARTESIAN_POINT('',(8.8577061,4.24,17.9577999)); #224030=CARTESIAN_POINT('Origin',(8.860096,4.25,17.9613819)); #224031=CARTESIAN_POINT('',(8.860096,4.25,17.9613819)); #224032=CARTESIAN_POINT('',(13.0938988855097,4.25,24.3070373399292)); #224033=CARTESIAN_POINT('',(8.860096,4.24,17.9613819)); #224034=CARTESIAN_POINT('',(8.860096,4.25,17.9613819)); #224035=CARTESIAN_POINT('',(8.860096,4.24,17.9613819)); #224036=CARTESIAN_POINT('Origin',(8.8629303,4.25,17.9647083)); #224037=CARTESIAN_POINT('',(8.8629303,4.25,17.9647083)); #224038=CARTESIAN_POINT('',(14.1615640413121,4.25,24.1833073463565)); #224039=CARTESIAN_POINT('',(8.8629303,4.24,17.9647083)); #224040=CARTESIAN_POINT('',(8.8629303,4.25,17.9647083)); #224041=CARTESIAN_POINT('',(8.8629303,4.24,17.9647083)); #224042=CARTESIAN_POINT('Origin',(8.866209,4.25,17.9677773)); #224043=CARTESIAN_POINT('',(8.866209,4.25,17.9677773)); #224044=CARTESIAN_POINT('',(15.1332832412388,4.25,23.8340202762938)); #224045=CARTESIAN_POINT('',(8.866209,4.24,17.9677773)); #224046=CARTESIAN_POINT('',(8.866209,4.25,17.9677773)); #224047=CARTESIAN_POINT('',(8.866209,4.24,17.9677773)); #224048=CARTESIAN_POINT('Origin',(8.8700027,4.25,17.9706097)); #224049=CARTESIAN_POINT('',(8.8700027,4.25,17.9706097)); #224050=CARTESIAN_POINT('',(15.9901667571387,4.25,23.286568440925)); #224051=CARTESIAN_POINT('',(8.8700027,4.24,17.9706097)); #224052=CARTESIAN_POINT('',(8.8700027,4.25,17.9706097)); #224053=CARTESIAN_POINT('',(8.8700027,4.24,17.9706097)); #224054=CARTESIAN_POINT('Origin',(8.8743668,4.25,17.9730396)); #224055=CARTESIAN_POINT('',(8.8743668,4.25,17.9730396)); #224056=CARTESIAN_POINT('',(16.8277648819938,4.25,22.4014353744818)); #224057=CARTESIAN_POINT('',(8.8743668,4.24,17.9730396)); #224058=CARTESIAN_POINT('',(8.8743668,4.25,17.9730396)); #224059=CARTESIAN_POINT('',(8.8743668,4.24,17.9730396)); #224060=CARTESIAN_POINT('Origin',(8.91299005488271,4.25,17.8723545231873)); #224061=CARTESIAN_POINT('',(8.9252186,4.25,17.9794979)); #224062=CARTESIAN_POINT('Origin',(8.91299005488271,4.25,17.8723545231873)); #224063=CARTESIAN_POINT('',(8.9252186,4.24,17.9794979)); #224064=CARTESIAN_POINT('',(8.9252186,4.25,17.9794979)); #224065=CARTESIAN_POINT('Origin',(8.91299005488271,4.24,17.8723545231873)); #224066=CARTESIAN_POINT('Origin',(9.1649704,4.25,17.9794979)); #224067=CARTESIAN_POINT('',(9.1649704,4.25,17.9794979)); #224068=CARTESIAN_POINT('',(17.4824852,4.25,17.9794979)); #224069=CARTESIAN_POINT('',(9.1649704,4.24,17.9794979)); #224070=CARTESIAN_POINT('',(9.1649704,4.25,17.9794979)); #224071=CARTESIAN_POINT('',(9.1649704,4.24,17.9794979)); #224072=CARTESIAN_POINT('Origin',(9.1656437,4.25,17.9810085)); #224073=CARTESIAN_POINT('',(9.1656437,4.25,17.9810085)); #224074=CARTESIAN_POINT('',(11.8491006372059,4.25,24.0015491941103)); #224075=CARTESIAN_POINT('',(9.1656437,4.24,17.9810085)); #224076=CARTESIAN_POINT('',(9.1656437,4.25,17.9810085)); #224077=CARTESIAN_POINT('',(9.1656437,4.24,17.9810085)); #224078=CARTESIAN_POINT('Origin',(8.7930775,4.25,18.9876499)); #224079=CARTESIAN_POINT('',(8.7930775,4.25,18.9876499)); #224080=CARTESIAN_POINT('',(8.83898388462862,4.25,18.863614849876)); #224081=CARTESIAN_POINT('',(8.7930775,4.24,18.9876499)); #224082=CARTESIAN_POINT('',(8.7930775,4.25,18.9876499)); #224083=CARTESIAN_POINT('',(8.7930775,4.24,18.9876499)); #224084=CARTESIAN_POINT('Origin',(8.7829647,4.25,19.0145988)); #224085=CARTESIAN_POINT('',(8.7829647,4.25,19.0145988)); #224086=CARTESIAN_POINT('',(8.84881532779716,4.25,18.8391180248)); #224087=CARTESIAN_POINT('',(8.7829647,4.24,19.0145988)); #224088=CARTESIAN_POINT('',(8.7829647,4.25,19.0145988)); #224089=CARTESIAN_POINT('',(8.7829647,4.24,19.0145988)); #224090=CARTESIAN_POINT('Origin',(8.75314806875305,4.25,19.3383963687031)); #224091=CARTESIAN_POINT('',(8.7062263,4.25,19.0166321)); #224092=CARTESIAN_POINT('Origin',(8.75314806875305,4.25,19.3383963687031)); #224093=CARTESIAN_POINT('',(8.7062263,4.24,19.0166321)); #224094=CARTESIAN_POINT('',(8.7062263,4.25,19.0166321)); #224095=CARTESIAN_POINT('Origin',(8.75314806875305,4.24,19.3383963687031)); #224096=CARTESIAN_POINT('Origin',(8.7003918,4.25,19.0180664)); #224097=CARTESIAN_POINT('',(8.7003918,4.25,19.0180664)); #224098=CARTESIAN_POINT('',(16.0695831772999,4.25,17.2064919390415)); #224099=CARTESIAN_POINT('',(8.7003918,4.24,19.0180664)); #224100=CARTESIAN_POINT('',(8.7003918,4.25,19.0180664)); #224101=CARTESIAN_POINT('',(8.7003918,4.24,19.0180664)); #224102=CARTESIAN_POINT('Origin',(8.6951332,4.25,19.019886)); #224103=CARTESIAN_POINT('',(8.6951332,4.25,19.019886)); #224104=CARTESIAN_POINT('',(15.4090615809961,4.25,16.6967079522205)); #224105=CARTESIAN_POINT('',(8.6951332,4.24,19.019886)); #224106=CARTESIAN_POINT('',(8.6951332,4.25,19.019886)); #224107=CARTESIAN_POINT('',(8.6951332,4.24,19.019886)); #224108=CARTESIAN_POINT('Origin',(8.6904526,4.25,19.0220966)); #224109=CARTESIAN_POINT('',(8.6904526,4.25,19.0220966)); #224110=CARTESIAN_POINT('',(14.5308327415819,4.25,16.26374417916)); #224111=CARTESIAN_POINT('',(8.6904526,4.24,19.0220966)); #224112=CARTESIAN_POINT('',(8.6904526,4.25,19.0220966)); #224113=CARTESIAN_POINT('',(8.6904526,4.24,19.0220966)); #224114=CARTESIAN_POINT('Origin',(8.6863499,4.25,19.0247021)); #224115=CARTESIAN_POINT('',(8.6863499,4.25,19.0247021)); #224116=CARTESIAN_POINT('',(13.4318758435678,4.25,16.0109628926567)); #224117=CARTESIAN_POINT('',(8.6863499,4.24,19.0247021)); #224118=CARTESIAN_POINT('',(8.6863499,4.25,19.0247021)); #224119=CARTESIAN_POINT('',(8.6863499,4.24,19.0247021)); #224120=CARTESIAN_POINT('Origin',(8.6828041,4.25,19.0276546)); #224121=CARTESIAN_POINT('',(8.6828041,4.25,19.0276546)); #224122=CARTESIAN_POINT('',(12.2686502128297,4.25,16.0418091918751)); #224123=CARTESIAN_POINT('',(8.6828041,4.24,19.0276546)); #224124=CARTESIAN_POINT('',(8.6828041,4.25,19.0276546)); #224125=CARTESIAN_POINT('',(8.6828041,4.24,19.0276546)); #224126=CARTESIAN_POINT('Origin',(8.6797028,4.25,19.0308266)); #224127=CARTESIAN_POINT('',(8.6797028,4.25,19.0308266)); #224128=CARTESIAN_POINT('',(11.3714022830399,4.25,16.2777647355542)); #224129=CARTESIAN_POINT('',(8.6797028,4.24,19.0308266)); #224130=CARTESIAN_POINT('',(8.6797028,4.25,19.0308266)); #224131=CARTESIAN_POINT('',(8.6797028,4.24,19.0308266)); #224132=CARTESIAN_POINT('Origin',(8.6770372,4.25,19.0342579)); #224133=CARTESIAN_POINT('',(8.6770372,4.25,19.0342579)); #224134=CARTESIAN_POINT('',(10.4541317313022,4.25,16.7466886978485)); #224135=CARTESIAN_POINT('',(8.6770372,4.24,19.0342579)); #224136=CARTESIAN_POINT('',(8.6770372,4.25,19.0342579)); #224137=CARTESIAN_POINT('',(8.6770372,4.24,19.0342579)); #224138=CARTESIAN_POINT('Origin',(8.6748104,4.25,19.0379448)); #224139=CARTESIAN_POINT('',(8.6748104,4.25,19.0379448)); #224140=CARTESIAN_POINT('',(9.64423324460318,4.25,17.4328769511734)); #224141=CARTESIAN_POINT('',(8.6748104,4.24,19.0379448)); #224142=CARTESIAN_POINT('',(8.6748104,4.25,19.0379448)); #224143=CARTESIAN_POINT('',(8.6748104,4.24,19.0379448)); #224144=CARTESIAN_POINT('Origin',(8.6730213,4.25,19.0418816)); #224145=CARTESIAN_POINT('',(8.6730213,4.25,19.0418816)); #224146=CARTESIAN_POINT('',(9.0167885621967,4.25,18.2854437497866)); #224147=CARTESIAN_POINT('',(8.6730213,4.24,19.0418816)); #224148=CARTESIAN_POINT('',(8.6730213,4.25,19.0418816)); #224149=CARTESIAN_POINT('',(8.6730213,4.24,19.0418816)); #224150=CARTESIAN_POINT('Origin',(8.671669,4.25,19.0460644)); #224151=CARTESIAN_POINT('',(8.671669,4.25,19.0460644)); #224152=CARTESIAN_POINT('',(8.61073600401146,4.25,19.234536289093)); #224153=CARTESIAN_POINT('',(8.671669,4.24,19.0460644)); #224154=CARTESIAN_POINT('',(8.671669,4.25,19.0460644)); #224155=CARTESIAN_POINT('',(8.671669,4.24,19.0460644)); #224156=CARTESIAN_POINT('Origin',(8.6707554,4.25,19.0504894)); #224157=CARTESIAN_POINT('',(8.6707554,4.25,19.0504894)); #224158=CARTESIAN_POINT('',(8.4318465411829,4.25,20.2076388092244)); #224159=CARTESIAN_POINT('',(8.6707554,4.24,19.0504894)); #224160=CARTESIAN_POINT('',(8.6707554,4.25,19.0504894)); #224161=CARTESIAN_POINT('',(8.6707554,4.24,19.0504894)); #224162=CARTESIAN_POINT('Origin',(8.6702795,4.25,19.0551491)); #224163=CARTESIAN_POINT('',(8.6702795,4.25,19.0551491)); #224164=CARTESIAN_POINT('',(8.45825211410376,4.25,21.1311819011316)); #224165=CARTESIAN_POINT('',(8.6702795,4.24,19.0551491)); #224166=CARTESIAN_POINT('',(8.6702795,4.25,19.0551491)); #224167=CARTESIAN_POINT('',(8.6702795,4.24,19.0551491)); #224168=CARTESIAN_POINT('Origin',(8.6702404,4.25,19.0599098)); #224169=CARTESIAN_POINT('',(8.6702404,4.25,19.0599098)); #224170=CARTESIAN_POINT('',(8.64642653551623,4.25,21.9594152898016)); #224171=CARTESIAN_POINT('',(8.6702404,4.24,19.0599098)); #224172=CARTESIAN_POINT('',(8.6702404,4.25,19.0599098)); #224173=CARTESIAN_POINT('',(8.6702404,4.24,19.0599098)); #224174=CARTESIAN_POINT('Origin',(8.67064,4.25,19.0644054)); #224175=CARTESIAN_POINT('',(8.67064,4.25,19.0644054)); #224176=CARTESIAN_POINT('',(8.99950851981628,4.25,22.7642585473771)); #224177=CARTESIAN_POINT('',(8.67064,4.24,19.0644054)); #224178=CARTESIAN_POINT('',(8.67064,4.25,19.0644054)); #224179=CARTESIAN_POINT('',(8.67064,4.24,19.0644054)); #224180=CARTESIAN_POINT('Origin',(8.6714764,4.25,19.0687008)); #224181=CARTESIAN_POINT('',(8.6714764,4.25,19.0687008)); #224182=CARTESIAN_POINT('',(9.54071100572849,4.25,23.5327255793334)); #224183=CARTESIAN_POINT('',(8.6714764,4.24,19.0687008)); #224184=CARTESIAN_POINT('',(8.6714764,4.25,19.0687008)); #224185=CARTESIAN_POINT('',(8.6714764,4.24,19.0687008)); #224186=CARTESIAN_POINT('Origin',(8.6727505,4.25,19.0728016)); #224187=CARTESIAN_POINT('',(8.6727505,4.25,19.0728016)); #224188=CARTESIAN_POINT('',(10.266355096641,4.25,24.2019545157118)); #224189=CARTESIAN_POINT('',(8.6727505,4.24,19.0728016)); #224190=CARTESIAN_POINT('',(8.6727505,4.25,19.0728016)); #224191=CARTESIAN_POINT('',(8.6727505,4.24,19.0728016)); #224192=CARTESIAN_POINT('Origin',(8.6744633,4.25,19.0767136)); #224193=CARTESIAN_POINT('',(8.6744633,4.25,19.0767136)); #224194=CARTESIAN_POINT('',(11.1399901057919,4.25,24.7079261550378)); #224195=CARTESIAN_POINT('',(8.6744633,4.24,19.0767136)); #224196=CARTESIAN_POINT('',(8.6744633,4.25,19.0767136)); #224197=CARTESIAN_POINT('',(8.6744633,4.24,19.0767136)); #224198=CARTESIAN_POINT('Origin',(8.6766129,4.25,19.0804424)); #224199=CARTESIAN_POINT('',(8.6766129,4.25,19.0804424)); #224200=CARTESIAN_POINT('',(12.0928894312303,4.25,25.0064806999862)); #224201=CARTESIAN_POINT('',(8.6766129,4.24,19.0804424)); #224202=CARTESIAN_POINT('',(8.6766129,4.25,19.0804424)); #224203=CARTESIAN_POINT('',(8.6766129,4.24,19.0804424)); #224204=CARTESIAN_POINT('Origin',(8.6791992,4.25,19.0839958)); #224205=CARTESIAN_POINT('',(8.6791992,4.25,19.0839958)); #224206=CARTESIAN_POINT('',(13.0510324159299,4.25,25.0905967934991)); #224207=CARTESIAN_POINT('',(8.6791992,4.24,19.0839958)); #224208=CARTESIAN_POINT('',(8.6791992,4.25,19.0839958)); #224209=CARTESIAN_POINT('',(8.6791992,4.24,19.0839958)); #224210=CARTESIAN_POINT('Origin',(8.6822243,4.25,19.0873756)); #224211=CARTESIAN_POINT('',(8.6822243,4.25,19.0873756)); #224212=CARTESIAN_POINT('',(13.958247875446,4.25,24.9820251918444)); #224213=CARTESIAN_POINT('',(8.6822243,4.24,19.0873756)); #224214=CARTESIAN_POINT('',(8.6822243,4.25,19.0873756)); #224215=CARTESIAN_POINT('',(8.6822243,4.24,19.0873756)); #224216=CARTESIAN_POINT('Origin',(8.6856861,4.25,19.0904961)); #224217=CARTESIAN_POINT('',(8.6856861,4.25,19.0904961)); #224218=CARTESIAN_POINT('',(14.8762268198608,4.25,24.6707093752676)); #224219=CARTESIAN_POINT('',(8.6856861,4.24,19.0904961)); #224220=CARTESIAN_POINT('',(8.6856861,4.25,19.0904961)); #224221=CARTESIAN_POINT('',(8.6856861,4.24,19.0904961)); #224222=CARTESIAN_POINT('Origin',(8.6896858,4.25,19.093195)); #224223=CARTESIAN_POINT('',(8.6896858,4.25,19.093195)); #224224=CARTESIAN_POINT('',(15.937593952523,4.25,23.9839066315817)); #224225=CARTESIAN_POINT('',(8.6896858,4.24,19.093195)); #224226=CARTESIAN_POINT('',(8.6896858,4.25,19.093195)); #224227=CARTESIAN_POINT('',(8.6896858,4.24,19.093195)); #224228=CARTESIAN_POINT('Origin',(8.6942654,4.25,19.0955162)); #224229=CARTESIAN_POINT('',(8.6942654,4.25,19.0955162)); #224230=CARTESIAN_POINT('',(16.6894935418038,4.25,23.1479495048225)); #224231=CARTESIAN_POINT('',(8.6942654,4.24,19.0955162)); #224232=CARTESIAN_POINT('',(8.6942654,4.25,19.0955162)); #224233=CARTESIAN_POINT('',(8.6942654,4.24,19.0955162)); #224234=CARTESIAN_POINT('Origin',(8.6994209,4.25,19.0974579)); #224235=CARTESIAN_POINT('',(8.6994209,4.25,19.0974579)); #224236=CARTESIAN_POINT('',(17.1609841843624,4.25,22.2843102769273)); #224237=CARTESIAN_POINT('',(8.6994209,4.24,19.0974579)); #224238=CARTESIAN_POINT('',(8.6994209,4.25,19.0974579)); #224239=CARTESIAN_POINT('',(8.6994209,4.24,19.0974579)); #224240=CARTESIAN_POINT('Origin',(8.88486703589848,4.25,17.9456868243117)); #224241=CARTESIAN_POINT('',(9.0614233,4.25,19.0988541)); #224242=CARTESIAN_POINT('Origin',(8.88486703589848,4.25,17.9456868243117)); #224243=CARTESIAN_POINT('',(9.0614233,4.24,19.0988541)); #224244=CARTESIAN_POINT('',(9.0614233,4.25,19.0988541)); #224245=CARTESIAN_POINT('Origin',(8.88486703589848,4.24,17.9456868243117)); #224246=CARTESIAN_POINT('Origin',(9.0670795,4.25,19.09725)); #224247=CARTESIAN_POINT('',(9.0670795,4.25,19.09725)); #224248=CARTESIAN_POINT('',(16.0360228809069,4.25,17.1208555872656)); #224249=CARTESIAN_POINT('',(9.0670795,4.24,19.09725)); #224250=CARTESIAN_POINT('',(9.0670795,4.25,19.09725)); #224251=CARTESIAN_POINT('',(9.0670795,4.24,19.09725)); #224252=CARTESIAN_POINT('Origin',(9.0721664,4.25,19.0952625)); #224253=CARTESIAN_POINT('',(9.0721664,4.25,19.0952625)); #224254=CARTESIAN_POINT('',(15.3276420790926,4.25,16.651188916443)); #224255=CARTESIAN_POINT('',(9.0721664,4.24,19.0952625)); #224256=CARTESIAN_POINT('',(9.0721664,4.25,19.0952625)); #224257=CARTESIAN_POINT('',(9.0721664,4.24,19.0952625)); #224258=CARTESIAN_POINT('Origin',(9.0766869,4.25,19.0928974)); #224259=CARTESIAN_POINT('',(9.0766869,4.25,19.0928974)); #224260=CARTESIAN_POINT('',(14.428184353753,4.25,16.2930242382094)); #224261=CARTESIAN_POINT('',(9.0766869,4.24,19.0928974)); #224262=CARTESIAN_POINT('',(9.0766869,4.25,19.0928974)); #224263=CARTESIAN_POINT('',(9.0766869,4.24,19.0928974)); #224264=CARTESIAN_POINT('Origin',(9.0806427,4.25,19.0901546)); #224265=CARTESIAN_POINT('',(9.0806427,4.25,19.0901546)); #224266=CARTESIAN_POINT('',(13.3425687477374,4.25,16.1350985395987)); #224267=CARTESIAN_POINT('',(9.0806427,4.24,19.0901546)); #224268=CARTESIAN_POINT('',(9.0806427,4.25,19.0901546)); #224269=CARTESIAN_POINT('',(9.0806427,4.24,19.0901546)); #224270=CARTESIAN_POINT('Origin',(9.0840607,4.25,19.0869865)); #224271=CARTESIAN_POINT('',(9.0840607,4.25,19.0869865)); #224272=CARTESIAN_POINT('',(12.1057176508196,4.25,16.286251746958)); #224273=CARTESIAN_POINT('',(9.0840607,4.24,19.0869865)); #224274=CARTESIAN_POINT('',(9.0840607,4.25,19.0869865)); #224275=CARTESIAN_POINT('',(9.0840607,4.24,19.0869865)); #224276=CARTESIAN_POINT('Origin',(9.0870342,4.25,19.0835857)); #224277=CARTESIAN_POINT('',(9.0870342,4.25,19.0835857)); #224278=CARTESIAN_POINT('',(11.2417365239432,4.25,16.6192468187959)); #224279=CARTESIAN_POINT('',(9.0870342,4.24,19.0835857)); #224280=CARTESIAN_POINT('',(9.0870342,4.25,19.0835857)); #224281=CARTESIAN_POINT('',(9.0870342,4.24,19.0835857)); #224282=CARTESIAN_POINT('Origin',(9.08957,4.25,19.0800133)); #224283=CARTESIAN_POINT('',(9.08957,4.25,19.0800133)); #224284=CARTESIAN_POINT('',(10.4918038624813,4.25,17.104565610778)); #224285=CARTESIAN_POINT('',(9.08957,4.24,19.0800133)); #224286=CARTESIAN_POINT('',(9.08957,4.25,19.0800133)); #224287=CARTESIAN_POINT('',(9.08957,4.24,19.0800133)); #224288=CARTESIAN_POINT('Origin',(9.0916681,4.25,19.0762634)); #224289=CARTESIAN_POINT('',(9.0916681,4.25,19.0762634)); #224290=CARTESIAN_POINT('',(9.82132650622579,4.25,17.772156799978)); #224291=CARTESIAN_POINT('',(9.0916681,4.24,19.0762634)); #224292=CARTESIAN_POINT('',(9.0916681,4.25,19.0762634)); #224293=CARTESIAN_POINT('',(9.0916681,4.24,19.0762634)); #224294=CARTESIAN_POINT('Origin',(9.0933285,4.25,19.0723286)); #224295=CARTESIAN_POINT('',(9.0933285,4.25,19.0723286)); #224296=CARTESIAN_POINT('',(9.29430871429778,4.25,18.5960476151659)); #224297=CARTESIAN_POINT('',(9.0933285,4.24,19.0723286)); #224298=CARTESIAN_POINT('',(9.0933285,4.25,19.0723286)); #224299=CARTESIAN_POINT('',(9.0933285,4.24,19.0723286)); #224300=CARTESIAN_POINT('Origin',(9.0945511,4.25,19.0682068)); #224301=CARTESIAN_POINT('',(9.0945511,4.25,19.0682068)); #224302=CARTESIAN_POINT('',(8.96141752565965,4.25,19.5170453135906)); #224303=CARTESIAN_POINT('',(9.0945511,4.24,19.0682068)); #224304=CARTESIAN_POINT('',(9.0945511,4.25,19.0682068)); #224305=CARTESIAN_POINT('',(9.0945511,4.24,19.0682068)); #224306=CARTESIAN_POINT('Origin',(9.0953369,4.25,19.0638885)); #224307=CARTESIAN_POINT('',(9.0953369,4.25,19.0638885)); #224308=CARTESIAN_POINT('',(8.84025764544128,4.25,20.465655800789)); #224309=CARTESIAN_POINT('',(9.0953369,4.24,19.0638885)); #224310=CARTESIAN_POINT('',(9.0953369,4.25,19.0638885)); #224311=CARTESIAN_POINT('',(9.0953369,4.24,19.0638885)); #224312=CARTESIAN_POINT('Origin',(9.095685,4.25,19.0593662)); #224313=CARTESIAN_POINT('',(9.095685,4.25,19.0593662)); #224314=CARTESIAN_POINT('',(8.91758937255229,4.25,21.3730744907373)); #224315=CARTESIAN_POINT('',(9.095685,4.24,19.0593662)); #224316=CARTESIAN_POINT('',(9.095685,4.25,19.0593662)); #224317=CARTESIAN_POINT('',(9.095685,4.24,19.0593662)); #224318=CARTESIAN_POINT('Origin',(9.0955944,4.25,19.0545883)); #224319=CARTESIAN_POINT('',(9.0955944,4.25,19.0545883)); #224320=CARTESIAN_POINT('',(9.15494560947167,4.25,22.184545736287)); #224321=CARTESIAN_POINT('',(9.0955944,4.24,19.0545883)); #224322=CARTESIAN_POINT('',(9.0955944,4.25,19.0545883)); #224323=CARTESIAN_POINT('',(9.0955944,4.24,19.0545883)); #224324=CARTESIAN_POINT('Origin',(9.095067,4.25,19.0499554)); #224325=CARTESIAN_POINT('',(9.095067,4.25,19.0499554)); #224326=CARTESIAN_POINT('',(9.5362604180286,4.25,22.9255810852279)); #224327=CARTESIAN_POINT('',(9.095067,4.24,19.0499554)); #224328=CARTESIAN_POINT('',(9.095067,4.25,19.0499554)); #224329=CARTESIAN_POINT('',(9.095067,4.24,19.0499554)); #224330=CARTESIAN_POINT('Origin',(9.094101,4.25,19.0455608)); #224331=CARTESIAN_POINT('',(9.094101,4.25,19.0455608)); #224332=CARTESIAN_POINT('',(10.1033762498701,4.25,23.6370318280313)); #224333=CARTESIAN_POINT('',(9.094101,4.24,19.0455608)); #224334=CARTESIAN_POINT('',(9.094101,4.25,19.0455608)); #224335=CARTESIAN_POINT('',(9.094101,4.24,19.0455608)); #224336=CARTESIAN_POINT('Origin',(9.0926981,4.25,19.0414085)); #224337=CARTESIAN_POINT('',(9.0926981,4.25,19.0414085)); #224338=CARTESIAN_POINT('',(10.8520276783844,4.25,24.2486678971937)); #224339=CARTESIAN_POINT('',(9.0926981,4.24,19.0414085)); #224340=CARTESIAN_POINT('',(9.0926981,4.25,19.0414085)); #224341=CARTESIAN_POINT('',(9.0926981,4.24,19.0414085)); #224342=CARTESIAN_POINT('Origin',(9.0908575,4.25,19.0374985)); #224343=CARTESIAN_POINT('',(9.0908575,4.25,19.0374985)); #224344=CARTESIAN_POINT('',(11.7551986273964,4.25,24.6973777829068)); #224345=CARTESIAN_POINT('',(9.0908575,4.24,19.0374985)); #224346=CARTESIAN_POINT('',(9.0908575,4.25,19.0374985)); #224347=CARTESIAN_POINT('',(9.0908575,4.24,19.0374985)); #224348=CARTESIAN_POINT('Origin',(9.0885792,4.25,19.0338421)); #224349=CARTESIAN_POINT('',(9.0885792,4.25,19.0338421)); #224350=CARTESIAN_POINT('',(12.7643372437288,4.25,24.9329957281802)); #224351=CARTESIAN_POINT('',(9.0885792,4.24,19.0338421)); #224352=CARTESIAN_POINT('',(9.0885792,4.25,19.0338421)); #224353=CARTESIAN_POINT('',(9.0885792,4.24,19.0338421)); #224354=CARTESIAN_POINT('Origin',(9.0858631,4.25,19.0304394)); #224355=CARTESIAN_POINT('',(9.0858631,4.25,19.0304394)); #224356=CARTESIAN_POINT('',(13.7935735022,4.25,24.9282068553846)); #224357=CARTESIAN_POINT('',(9.0858631,4.24,19.0304394)); #224358=CARTESIAN_POINT('',(9.0858631,4.25,19.0304394)); #224359=CARTESIAN_POINT('',(9.0858631,4.24,19.0304394)); #224360=CARTESIAN_POINT('Origin',(9.0827084,4.25,19.0272999)); #224361=CARTESIAN_POINT('',(9.0827084,4.25,19.0272999)); #224362=CARTESIAN_POINT('',(14.7753742663548,4.25,24.6925373195397)); #224363=CARTESIAN_POINT('',(9.0827084,4.24,19.0272999)); #224364=CARTESIAN_POINT('',(9.0827084,4.25,19.0272999)); #224365=CARTESIAN_POINT('',(9.0827084,4.24,19.0272999)); #224366=CARTESIAN_POINT('Origin',(9.0790815,4.25,19.0243759)); #224367=CARTESIAN_POINT('',(9.0790815,4.25,19.0243759)); #224368=CARTESIAN_POINT('',(15.6060625146047,4.25,24.2864157926654)); #224369=CARTESIAN_POINT('',(9.0790815,4.24,19.0243759)); #224370=CARTESIAN_POINT('',(9.0790815,4.25,19.0243759)); #224371=CARTESIAN_POINT('',(9.0790815,4.24,19.0243759)); #224372=CARTESIAN_POINT('Origin',(9.074894,4.25,19.0218182)); #224373=CARTESIAN_POINT('',(9.074894,4.25,19.0218182)); #224374=CARTESIAN_POINT('',(16.4949648794454,4.25,23.5539531942342)); #224375=CARTESIAN_POINT('',(9.074894,4.24,19.0218182)); #224376=CARTESIAN_POINT('',(9.074894,4.25,19.0218182)); #224377=CARTESIAN_POINT('',(9.074894,4.24,19.0218182)); #224378=CARTESIAN_POINT('Origin',(9.0701408,4.25,19.0196514)); #224379=CARTESIAN_POINT('',(9.0701408,4.25,19.0196514)); #224380=CARTESIAN_POINT('',(17.124419293859,4.25,22.6912853814235)); #224381=CARTESIAN_POINT('',(9.0701408,4.24,19.0196514)); #224382=CARTESIAN_POINT('',(9.0701408,4.25,19.0196514)); #224383=CARTESIAN_POINT('',(9.0701408,4.24,19.0196514)); #224384=CARTESIAN_POINT('Origin',(9.0648193,4.25,19.0178776)); #224385=CARTESIAN_POINT('',(9.0648193,4.25,19.0178776)); #224386=CARTESIAN_POINT('',(17.4929837884679,4.25,21.8272129696601)); #224387=CARTESIAN_POINT('',(9.0648193,4.24,19.0178776)); #224388=CARTESIAN_POINT('',(9.0648193,4.25,19.0178776)); #224389=CARTESIAN_POINT('',(9.0648193,4.24,19.0178776)); #224390=CARTESIAN_POINT('Origin',(9.0589285,4.25,19.016489)); #224391=CARTESIAN_POINT('',(9.0589285,4.25,19.016489)); #224392=CARTESIAN_POINT('',(17.6569380389,4.25,21.0432419105912)); #224393=CARTESIAN_POINT('',(9.0589285,4.24,19.016489)); #224394=CARTESIAN_POINT('',(9.0589285,4.25,19.016489)); #224395=CARTESIAN_POINT('',(9.0589285,4.24,19.016489)); #224396=CARTESIAN_POINT('Origin',(9.03810269399199,4.25,19.1306038622984)); #224397=CARTESIAN_POINT('',(9.0378075,4.25,19.0146046)); #224398=CARTESIAN_POINT('Origin',(9.03810269399199,4.25,19.1306038622984)); #224399=CARTESIAN_POINT('',(9.0378075,4.24,19.0146046)); #224400=CARTESIAN_POINT('',(9.0378075,4.25,19.0146046)); #224401=CARTESIAN_POINT('Origin',(9.03810269399199,4.24,19.1306038622984)); #224402=CARTESIAN_POINT('Origin',(8.8756084,4.25,19.0145988)); #224403=CARTESIAN_POINT('',(8.8756084,4.25,19.0145988)); #224404=CARTESIAN_POINT('',(17.3379112037281,4.25,19.0149013994365)); #224405=CARTESIAN_POINT('',(8.8756084,4.24,19.0145988)); #224406=CARTESIAN_POINT('',(8.8756084,4.25,19.0145988)); #224407=CARTESIAN_POINT('',(8.8756084,4.24,19.0145988)); #224408=CARTESIAN_POINT('Origin',(8.9929848,4.25,18.6971493)); #224409=CARTESIAN_POINT('',(8.9929848,4.25,18.6971493)); #224410=CARTESIAN_POINT('',(8.97859350041092,4.25,18.7360711859916)); #224411=CARTESIAN_POINT('',(8.9929848,4.24,18.6971493)); #224412=CARTESIAN_POINT('',(8.9929848,4.25,18.6971493)); #224413=CARTESIAN_POINT('',(8.9929848,4.24,18.6971493)); #224414=CARTESIAN_POINT('Origin',(9.56100369991261,4.25,18.6970978)); #224415=CARTESIAN_POINT('',(9.56100369991259,4.25,18.6970977997673)); #224416=CARTESIAN_POINT('',(17.6802160537571,4.25,18.6963616635154)); #224417=CARTESIAN_POINT('',(9.56100369991259,4.24,18.6970977997673)); #224418=CARTESIAN_POINT('',(9.56100369991259,4.25,18.6970977997673)); #224419=CARTESIAN_POINT('',(9.5610037,4.24,18.6970978)); #224420=CARTESIAN_POINT('Origin',(-828.591148771327,4.25,334.327189479181)); #224421=CARTESIAN_POINT('',(9.68049910000019,4.25,19.0145988)); #224422=CARTESIAN_POINT('Origin',(-828.591148771327,4.25,334.327189479181)); #224423=CARTESIAN_POINT('',(9.68049910000019,4.24,19.0145988)); #224424=CARTESIAN_POINT('',(9.68049910000019,4.25,19.0145988)); #224425=CARTESIAN_POINT('Origin',(-828.591148771327,4.24,334.327189479181)); #224426=CARTESIAN_POINT('Origin',(9.60005898374495,4.25,20.4814695326538)); #224427=CARTESIAN_POINT('',(9.5048409,4.25,19.0154839)); #224428=CARTESIAN_POINT('Origin',(9.60005898374495,4.25,20.4814695326538)); #224429=CARTESIAN_POINT('',(9.5048409,4.24,19.0154839)); #224430=CARTESIAN_POINT('',(9.5048409,4.25,19.0154839)); #224431=CARTESIAN_POINT('Origin',(9.60005898374495,4.24,20.4814695326538)); #224432=CARTESIAN_POINT('Origin',(9.51943988079758,4.25,19.1251115258877)); #224433=CARTESIAN_POINT('',(9.4923801,4.25,19.0178776)); #224434=CARTESIAN_POINT('Origin',(9.51943988079758,4.25,19.1251115258877)); #224435=CARTESIAN_POINT('',(9.4923801,4.24,19.0178776)); #224436=CARTESIAN_POINT('',(9.4923801,4.25,19.0178776)); #224437=CARTESIAN_POINT('Origin',(9.51943988079758,4.24,19.1251115258877)); #224438=CARTESIAN_POINT('Origin',(9.4870338,4.25,19.0196533)); #224439=CARTESIAN_POINT('',(9.4870338,4.25,19.0196533)); #224440=CARTESIAN_POINT('',(15.9386637263683,4.25,16.8768331701425)); #224441=CARTESIAN_POINT('',(9.4870338,4.24,19.0196533)); #224442=CARTESIAN_POINT('',(9.4870338,4.25,19.0196533)); #224443=CARTESIAN_POINT('',(9.4870338,4.24,19.0196533)); #224444=CARTESIAN_POINT('Origin',(9.482276,4.25,19.0218182)); #224445=CARTESIAN_POINT('',(9.482276,4.25,19.0218182)); #224446=CARTESIAN_POINT('',(15.1148464737258,4.25,16.4588790645664)); #224447=CARTESIAN_POINT('',(9.482276,4.24,19.0218182)); #224448=CARTESIAN_POINT('',(9.482276,4.25,19.0218182)); #224449=CARTESIAN_POINT('',(9.482276,4.24,19.0218182)); #224450=CARTESIAN_POINT('Origin',(9.4781017,4.25,19.0243759)); #224451=CARTESIAN_POINT('',(9.4781017,4.25,19.0243759)); #224452=CARTESIAN_POINT('',(14.0804652868794,4.25,16.2043904303015)); #224453=CARTESIAN_POINT('',(9.4781017,4.24,19.0243759)); #224454=CARTESIAN_POINT('',(9.4781017,4.25,19.0243759)); #224455=CARTESIAN_POINT('',(9.4781017,4.24,19.0243759)); #224456=CARTESIAN_POINT('Origin',(9.4745235,4.25,19.0272999)); #224457=CARTESIAN_POINT('',(9.4745235,4.25,19.0272999)); #224458=CARTESIAN_POINT('',(12.9056848653704,4.25,16.2234555558204)); #224459=CARTESIAN_POINT('',(9.4745235,4.24,19.0272999)); #224460=CARTESIAN_POINT('',(9.4745235,4.25,19.0272999)); #224461=CARTESIAN_POINT('',(9.4745235,4.24,19.0272999)); #224462=CARTESIAN_POINT('Origin',(9.4714699,4.25,19.0304413)); #224463=CARTESIAN_POINT('',(9.4714699,4.25,19.0304413)); #224464=CARTESIAN_POINT('',(11.9461255657286,4.25,16.4846319902277)); #224465=CARTESIAN_POINT('',(9.4714699,4.24,19.0304413)); #224466=CARTESIAN_POINT('',(9.4714699,4.25,19.0304413)); #224467=CARTESIAN_POINT('',(9.4714699,4.24,19.0304413)); #224468=CARTESIAN_POINT('Origin',(9.4688358,4.25,19.0338421)); #224469=CARTESIAN_POINT('',(9.4688358,4.25,19.0338421)); #224470=CARTESIAN_POINT('',(11.0865569736368,4.25,16.9452554224615)); #224471=CARTESIAN_POINT('',(9.4688358,4.24,19.0338421)); #224472=CARTESIAN_POINT('',(9.4688358,4.25,19.0338421)); #224473=CARTESIAN_POINT('',(9.4688358,4.24,19.0338421)); #224474=CARTESIAN_POINT('Origin',(9.4666233,4.25,19.0374985)); #224475=CARTESIAN_POINT('',(9.4666233,4.25,19.0374985)); #224476=CARTESIAN_POINT('',(10.3349531096716,4.25,17.6024878260643)); #224477=CARTESIAN_POINT('',(9.4666233,4.24,19.0374985)); #224478=CARTESIAN_POINT('',(9.4666233,4.25,19.0374985)); #224479=CARTESIAN_POINT('',(9.4666233,4.24,19.0374985)); #224480=CARTESIAN_POINT('Origin',(9.4648333,4.25,19.0414085)); #224481=CARTESIAN_POINT('',(9.4648333,4.25,19.0414085)); #224482=CARTESIAN_POINT('',(9.75241188919244,4.25,18.4132340398088)); #224483=CARTESIAN_POINT('',(9.4648333,4.24,19.0414085)); #224484=CARTESIAN_POINT('',(9.4648333,4.25,19.0414085)); #224485=CARTESIAN_POINT('',(9.4648333,4.24,19.0414085)); #224486=CARTESIAN_POINT('Origin',(9.4634666,4.25,19.0455608)); #224487=CARTESIAN_POINT('',(9.4634666,4.25,19.0455608)); #224488=CARTESIAN_POINT('',(9.37773335464782,4.25,19.306035047952)); #224489=CARTESIAN_POINT('',(9.4634666,4.24,19.0455608)); #224490=CARTESIAN_POINT('',(9.4634666,4.25,19.0455608)); #224491=CARTESIAN_POINT('',(9.4634666,4.24,19.0455608)); #224492=CARTESIAN_POINT('Origin',(9.4625254,4.25,19.0499554)); #224493=CARTESIAN_POINT('',(9.4625254,4.25,19.0499554)); #224494=CARTESIAN_POINT('',(9.21156732764802,4.25,20.22171522231)); #224495=CARTESIAN_POINT('',(9.4625254,4.24,19.0499554)); #224496=CARTESIAN_POINT('',(9.4625254,4.25,19.0499554)); #224497=CARTESIAN_POINT('',(9.4625254,4.24,19.0499554)); #224498=CARTESIAN_POINT('Origin',(9.4620104,4.25,19.0545883)); #224499=CARTESIAN_POINT('',(9.4620104,4.25,19.0545883)); #224500=CARTESIAN_POINT('',(9.23530464232041,4.25,21.0940156878677)); #224501=CARTESIAN_POINT('',(9.4620104,4.24,19.0545883)); #224502=CARTESIAN_POINT('',(9.4620104,4.25,19.0545883)); #224503=CARTESIAN_POINT('',(9.4620104,4.24,19.0545883)); #224504=CARTESIAN_POINT('Origin',(9.4619226,4.25,19.0593662)); #224505=CARTESIAN_POINT('',(9.4619226,4.25,19.0593662)); #224506=CARTESIAN_POINT('',(9.41011531900852,4.25,21.8786145809572)); #224507=CARTESIAN_POINT('',(9.4619226,4.24,19.0593662)); #224508=CARTESIAN_POINT('',(9.4619226,4.25,19.0593662)); #224509=CARTESIAN_POINT('',(9.4619226,4.24,19.0593662)); #224510=CARTESIAN_POINT('Origin',(9.4622612,4.25,19.0638885)); #224511=CARTESIAN_POINT('',(9.4622612,4.25,19.0638885)); #224512=CARTESIAN_POINT('',(9.72879037166903,4.25,22.6236193713559)); #224513=CARTESIAN_POINT('',(9.4622612,4.24,19.0638885)); #224514=CARTESIAN_POINT('',(9.4622612,4.25,19.0638885)); #224515=CARTESIAN_POINT('',(9.4622612,4.24,19.0638885)); #224516=CARTESIAN_POINT('Origin',(9.463028,4.25,19.0682068)); #224517=CARTESIAN_POINT('',(9.463028,4.25,19.0682068)); #224518=CARTESIAN_POINT('',(10.223271638083,4.25,23.3495840852518)); #224519=CARTESIAN_POINT('',(9.463028,4.24,19.0682068)); #224520=CARTESIAN_POINT('',(9.463028,4.25,19.0682068)); #224521=CARTESIAN_POINT('',(9.463028,4.24,19.0682068)); #224522=CARTESIAN_POINT('Origin',(9.4642191,4.25,19.0723286)); #224523=CARTESIAN_POINT('',(9.4642191,4.25,19.0723286)); #224524=CARTESIAN_POINT('',(10.8841934559449,4.25,23.9861480109098)); #224525=CARTESIAN_POINT('',(9.4642191,4.24,19.0723286)); #224526=CARTESIAN_POINT('',(9.4642191,4.25,19.0723286)); #224527=CARTESIAN_POINT('',(9.4642191,4.24,19.0723286)); #224528=CARTESIAN_POINT('Origin',(9.4658346,4.25,19.0762634)); #224529=CARTESIAN_POINT('',(9.4658346,4.25,19.0762634)); #224530=CARTESIAN_POINT('',(11.6845671173479,4.25,24.480329453398)); #224531=CARTESIAN_POINT('',(9.4658346,4.24,19.0762634)); #224532=CARTESIAN_POINT('',(9.4658346,4.25,19.0762634)); #224533=CARTESIAN_POINT('',(9.4658346,4.24,19.0762634)); #224534=CARTESIAN_POINT('Origin',(9.4678736,4.25,19.0800133)); #224535=CARTESIAN_POINT('',(9.4678736,4.25,19.0800133)); #224536=CARTESIAN_POINT('',(12.5735316046524,4.25,24.7915910104673)); #224537=CARTESIAN_POINT('',(9.4678736,4.24,19.0800133)); #224538=CARTESIAN_POINT('',(9.4678736,4.25,19.0800133)); #224539=CARTESIAN_POINT('',(9.4678736,4.24,19.0800133)); #224540=CARTESIAN_POINT('Origin',(9.4703341,4.25,19.0835857)); #224541=CARTESIAN_POINT('',(9.4703341,4.25,19.0835857)); #224542=CARTESIAN_POINT('',(13.4792784928278,4.25,24.9041721454108)); #224543=CARTESIAN_POINT('',(9.4703341,4.24,19.0835857)); #224544=CARTESIAN_POINT('',(9.4703341,4.25,19.0835857)); #224545=CARTESIAN_POINT('',(9.4703341,4.24,19.0835857)); #224546=CARTESIAN_POINT('Origin',(9.4732151,4.25,19.0869865)); #224547=CARTESIAN_POINT('',(9.4732151,4.25,19.0869865)); #224548=CARTESIAN_POINT('',(14.3421565883924,4.25,24.8343992781079)); #224549=CARTESIAN_POINT('',(9.4732151,4.24,19.0869865)); #224550=CARTESIAN_POINT('',(9.4732151,4.25,19.0869865)); #224551=CARTESIAN_POINT('',(9.4732151,4.24,19.0869865)); #224552=CARTESIAN_POINT('Origin',(9.476553,4.25,19.0901546)); #224553=CARTESIAN_POINT('',(9.476553,4.25,19.0901546)); #224554=CARTESIAN_POINT('',(15.2457324110229,4.25,24.5658540793309)); #224555=CARTESIAN_POINT('',(9.476553,4.24,19.0901546)); #224556=CARTESIAN_POINT('',(9.476553,4.25,19.0901546)); #224557=CARTESIAN_POINT('',(9.476553,4.24,19.0901546)); #224558=CARTESIAN_POINT('Origin',(9.4804869,4.25,19.0928955)); #224559=CARTESIAN_POINT('',(9.4804869,4.25,19.0928955)); #224560=CARTESIAN_POINT('',(16.3589728736474,4.25,23.8854022249219)); #224561=CARTESIAN_POINT('',(9.4804869,4.24,19.0928955)); #224562=CARTESIAN_POINT('',(9.4804869,4.25,19.0928955)); #224563=CARTESIAN_POINT('',(9.4804869,4.24,19.0928955)); #224564=CARTESIAN_POINT('Origin',(9.4850044,4.25,19.0952625)); #224565=CARTESIAN_POINT('',(9.4850044,4.25,19.0952625)); #224566=CARTESIAN_POINT('',(17.099087981218,4.25,23.0847557676796)); #224567=CARTESIAN_POINT('',(9.4850044,4.24,19.0952625)); #224568=CARTESIAN_POINT('',(9.4850044,4.25,19.0952625)); #224569=CARTESIAN_POINT('',(9.4850044,4.24,19.0952625)); #224570=CARTESIAN_POINT('Origin',(9.4901075,4.25,19.09725)); #224571=CARTESIAN_POINT('',(9.4901075,4.25,19.09725)); #224572=CARTESIAN_POINT('',(17.5690578454705,4.25,22.2437518932853)); #224573=CARTESIAN_POINT('',(9.4901075,4.24,19.09725)); #224574=CARTESIAN_POINT('',(9.4901075,4.25,19.09725)); #224575=CARTESIAN_POINT('',(9.4901075,4.24,19.09725)); #224576=CARTESIAN_POINT('Origin',(9.67558138902244,4.25,17.9967977774777)); #224577=CARTESIAN_POINT('',(9.8502531,4.25,19.0990162)); #224578=CARTESIAN_POINT('Origin',(9.67558138902244,4.25,17.9967977774777)); #224579=CARTESIAN_POINT('',(9.8502531,4.24,19.0990162)); #224580=CARTESIAN_POINT('',(9.8502531,4.25,19.0990162)); #224581=CARTESIAN_POINT('Origin',(9.67558138902244,4.24,17.9967977774777)); #224582=CARTESIAN_POINT('Origin',(9.8559856,4.25,19.0974579)); #224583=CARTESIAN_POINT('',(9.8559856,4.25,19.0974579)); #224584=CARTESIAN_POINT('',(16.532380029756,4.25,17.2825733923881)); #224585=CARTESIAN_POINT('',(9.8559856,4.24,19.0974579)); #224586=CARTESIAN_POINT('',(9.8559856,4.25,19.0974579)); #224587=CARTESIAN_POINT('',(9.8559856,4.24,19.0974579)); #224588=CARTESIAN_POINT('Origin',(9.8611422,4.25,19.0955162)); #224589=CARTESIAN_POINT('',(9.8611422,4.25,19.0955162)); #224590=CARTESIAN_POINT('',(15.8673154027398,4.25,16.8339123316059)); #224591=CARTESIAN_POINT('',(9.8611422,4.24,19.0955162)); #224592=CARTESIAN_POINT('',(9.8611422,4.25,19.0955162)); #224593=CARTESIAN_POINT('',(9.8611422,4.24,19.0955162)); #224594=CARTESIAN_POINT('Origin',(9.8657207,4.25,19.093195)); #224595=CARTESIAN_POINT('',(9.8657207,4.25,19.093195)); #224596=CARTESIAN_POINT('',(15.0126498829403,4.25,16.4838137573556)); #224597=CARTESIAN_POINT('',(9.8657207,4.24,19.093195)); #224598=CARTESIAN_POINT('',(9.8657207,4.25,19.093195)); #224599=CARTESIAN_POINT('',(9.8657207,4.24,19.093195)); #224600=CARTESIAN_POINT('Origin',(9.8697214,4.25,19.0904961)); #224601=CARTESIAN_POINT('',(9.8697214,4.25,19.0904961)); #224602=CARTESIAN_POINT('',(13.9738142956741,4.25,16.3218465346424)); #224603=CARTESIAN_POINT('',(9.8697214,4.24,19.0904961)); #224604=CARTESIAN_POINT('',(9.8697214,4.25,19.0904961)); #224605=CARTESIAN_POINT('',(9.8697214,4.24,19.0904961)); #224606=CARTESIAN_POINT('Origin',(9.8731823,4.25,19.0873756)); #224607=CARTESIAN_POINT('',(9.8731823,4.25,19.0873756)); #224608=CARTESIAN_POINT('',(12.7953987118763,4.25,16.4525764687728)); #224609=CARTESIAN_POINT('',(9.8731823,4.24,19.0873756)); #224610=CARTESIAN_POINT('',(9.8731823,4.25,19.0873756)); #224611=CARTESIAN_POINT('',(9.8731823,4.24,19.0873756)); #224612=CARTESIAN_POINT('Origin',(9.8762074,4.25,19.0839939)); #224613=CARTESIAN_POINT('',(9.8762074,4.25,19.0839939)); #224614=CARTESIAN_POINT('',(11.9455021918043,4.25,16.77076980908)); #224615=CARTESIAN_POINT('',(9.8762074,4.24,19.0839939)); #224616=CARTESIAN_POINT('',(9.8762074,4.25,19.0839939)); #224617=CARTESIAN_POINT('',(9.8762074,4.24,19.0839939)); #224618=CARTESIAN_POINT('Origin',(9.8787947,4.25,19.0804424)); #224619=CARTESIAN_POINT('',(9.8787947,4.25,19.0804424)); #224620=CARTESIAN_POINT('',(11.2302250910467,4.25,17.2253791936434)); #224621=CARTESIAN_POINT('',(9.8787947,4.24,19.0804424)); #224622=CARTESIAN_POINT('',(9.8787947,4.25,19.0804424)); #224623=CARTESIAN_POINT('',(9.8787947,4.24,19.0804424)); #224624=CARTESIAN_POINT('Origin',(9.8809443,4.25,19.0767136)); #224625=CARTESIAN_POINT('',(9.8809443,4.25,19.0767136)); #224626=CARTESIAN_POINT('',(10.5848892104519,4.25,17.8556167531028)); #224627=CARTESIAN_POINT('',(9.8809443,4.24,19.0767136)); #224628=CARTESIAN_POINT('',(9.8809443,4.25,19.0767136)); #224629=CARTESIAN_POINT('',(9.8809443,4.24,19.0767136)); #224630=CARTESIAN_POINT('Origin',(9.8826561,4.25,19.0728016)); #224631=CARTESIAN_POINT('',(9.8826561,4.25,19.0728016)); #224632=CARTESIAN_POINT('',(10.073236707744,4.25,18.6372651252395)); #224633=CARTESIAN_POINT('',(9.8826561,4.24,19.0728016)); #224634=CARTESIAN_POINT('',(9.8826561,4.25,19.0728016)); #224635=CARTESIAN_POINT('',(9.8826561,4.24,19.0728016)); #224636=CARTESIAN_POINT('Origin',(9.8839312,4.25,19.0687008)); #224637=CARTESIAN_POINT('',(9.8839312,4.25,19.0687008)); #224638=CARTESIAN_POINT('',(9.74466734663755,4.25,19.5165819151037)); #224639=CARTESIAN_POINT('',(9.8839312,4.24,19.0687008)); #224640=CARTESIAN_POINT('',(9.8839312,4.25,19.0687008)); #224641=CARTESIAN_POINT('',(9.8839312,4.24,19.0687008)); #224642=CARTESIAN_POINT('Origin',(9.8847675,4.25,19.0644054)); #224643=CARTESIAN_POINT('',(9.8847675,4.25,19.0644054)); #224644=CARTESIAN_POINT('',(9.61868142842865,4.25,20.4310753890305)); #224645=CARTESIAN_POINT('',(9.8847675,4.24,19.0644054)); #224646=CARTESIAN_POINT('',(9.8847675,4.25,19.0644054)); #224647=CARTESIAN_POINT('',(9.8847675,4.24,19.0644054)); #224648=CARTESIAN_POINT('Origin',(9.8851662,4.25,19.0599098)); #224649=CARTESIAN_POINT('',(9.8851662,4.25,19.0599098)); #224650=CARTESIAN_POINT('',(9.68591754943854,4.25,21.3065670196271)); #224651=CARTESIAN_POINT('',(9.8851662,4.24,19.0599098)); #224652=CARTESIAN_POINT('',(9.8851662,4.25,19.0599098)); #224653=CARTESIAN_POINT('',(9.8851662,4.24,19.0599098)); #224654=CARTESIAN_POINT('Origin',(9.885128,4.25,19.0551491)); #224655=CARTESIAN_POINT('',(9.885128,4.25,19.0551491)); #224656=CARTESIAN_POINT('',(9.90948960268101,4.25,22.0912297775877)); #224657=CARTESIAN_POINT('',(9.885128,4.24,19.0551491)); #224658=CARTESIAN_POINT('',(9.885128,4.25,19.0551491)); #224659=CARTESIAN_POINT('',(9.885128,4.24,19.0551491)); #224660=CARTESIAN_POINT('Origin',(9.8846521,4.25,19.0504894)); #224661=CARTESIAN_POINT('',(9.8846521,4.25,19.0504894)); #224662=CARTESIAN_POINT('',(10.2674782839301,4.25,22.7988717686716)); #224663=CARTESIAN_POINT('',(9.8846521,4.24,19.0504894)); #224664=CARTESIAN_POINT('',(9.8846521,4.25,19.0504894)); #224665=CARTESIAN_POINT('',(9.8846521,4.24,19.0504894)); #224666=CARTESIAN_POINT('Origin',(9.8837376,4.25,19.0460644)); #224667=CARTESIAN_POINT('',(9.8837376,4.25,19.0460644)); #224668=CARTESIAN_POINT('',(10.7997541531388,4.25,23.4784025603508)); #224669=CARTESIAN_POINT('',(9.8837376,4.24,19.0460644)); #224670=CARTESIAN_POINT('',(9.8837376,4.25,19.0460644)); #224671=CARTESIAN_POINT('',(9.8837376,4.24,19.0460644)); #224672=CARTESIAN_POINT('Origin',(9.8823862,4.25,19.0418835)); #224673=CARTESIAN_POINT('',(9.8823862,4.25,19.0418835)); #224674=CARTESIAN_POINT('',(11.5070920938753,4.25,24.0683248731729)); #224675=CARTESIAN_POINT('',(9.8823862,4.24,19.0418835)); #224676=CARTESIAN_POINT('',(9.8823862,4.25,19.0418835)); #224677=CARTESIAN_POINT('',(9.8823862,4.24,19.0418835)); #224678=CARTESIAN_POINT('Origin',(9.8805971,4.25,19.0379448)); #224679=CARTESIAN_POINT('',(9.8805971,4.25,19.0379448)); #224680=CARTESIAN_POINT('',(12.3645098618007,4.25,24.5062736775938)); #224681=CARTESIAN_POINT('',(9.8805971,4.24,19.0379448)); #224682=CARTESIAN_POINT('',(9.8805971,4.25,19.0379448)); #224683=CARTESIAN_POINT('',(9.8805971,4.24,19.0379448)); #224684=CARTESIAN_POINT('Origin',(9.8783693,4.25,19.0342598)); #224685=CARTESIAN_POINT('',(9.8783693,4.25,19.0342598)); #224686=CARTESIAN_POINT('',(13.3298238742695,4.25,24.743304645227)); #224687=CARTESIAN_POINT('',(9.8783693,4.24,19.0342598)); #224688=CARTESIAN_POINT('',(9.8783693,4.25,19.0342598)); #224689=CARTESIAN_POINT('',(9.8783693,4.24,19.0342598)); #224690=CARTESIAN_POINT('Origin',(9.8757057,4.25,19.0308266)); #224691=CARTESIAN_POINT('',(9.8757057,4.25,19.0308266)); #224692=CARTESIAN_POINT('',(14.3129624424013,4.25,24.7501500149271)); #224693=CARTESIAN_POINT('',(9.8757057,4.24,19.0308266)); #224694=CARTESIAN_POINT('',(9.8757057,4.25,19.0308266)); #224695=CARTESIAN_POINT('',(9.8757057,4.24,19.0308266)); #224696=CARTESIAN_POINT('Origin',(9.8726025,4.25,19.0276546)); #224697=CARTESIAN_POINT('',(9.8726025,4.25,19.0276546)); #224698=CARTESIAN_POINT('',(15.2598774575797,4.25,24.5343690126853)); #224699=CARTESIAN_POINT('',(9.8726025,4.24,19.0276546)); #224700=CARTESIAN_POINT('',(9.8726025,4.25,19.0276546)); #224701=CARTESIAN_POINT('',(9.8726025,4.24,19.0276546)); #224702=CARTESIAN_POINT('Origin',(9.8690577,4.25,19.0247021)); #224703=CARTESIAN_POINT('',(9.8690577,4.25,19.0247021)); #224704=CARTESIAN_POINT('',(16.0411492183458,4.25,24.1654999469642)); #224705=CARTESIAN_POINT('',(9.8690577,4.24,19.0247021)); #224706=CARTESIAN_POINT('',(9.8690577,4.25,19.0247021)); #224707=CARTESIAN_POINT('',(9.8690577,4.24,19.0247021)); #224708=CARTESIAN_POINT('Origin',(9.8649549,4.25,19.0220985)); #224709=CARTESIAN_POINT('',(9.8649549,4.25,19.0220985)); #224710=CARTESIAN_POINT('',(16.8972806885251,4.25,23.4847492319401)); #224711=CARTESIAN_POINT('',(9.8649549,4.24,19.0220985)); #224712=CARTESIAN_POINT('',(9.8649549,4.25,19.0220985)); #224713=CARTESIAN_POINT('',(9.8649549,4.24,19.0220985)); #224714=CARTESIAN_POINT('Origin',(9.8602743,4.25,19.019886)); #224715=CARTESIAN_POINT('',(9.8602743,4.25,19.019886)); #224716=CARTESIAN_POINT('',(17.529829065141,4.25,22.6452523884706)); #224717=CARTESIAN_POINT('',(9.8602743,4.24,19.019886)); #224718=CARTESIAN_POINT('',(9.8602743,4.25,19.019886)); #224719=CARTESIAN_POINT('',(9.8602743,4.24,19.019886)); #224720=CARTESIAN_POINT('Origin',(9.8550158,4.25,19.0180664)); #224721=CARTESIAN_POINT('',(9.8550158,4.25,19.0180664)); #224722=CARTESIAN_POINT('',(17.8992776715466,4.25,21.8016242399661)); #224723=CARTESIAN_POINT('',(9.8550158,4.24,19.0180664)); #224724=CARTESIAN_POINT('',(9.8550158,4.25,19.0180664)); #224725=CARTESIAN_POINT('',(9.8550158,4.24,19.0180664)); #224726=CARTESIAN_POINT('Origin',(9.8269585693051,4.25,19.1301165273682)); #224727=CARTESIAN_POINT('',(9.8282347,4.25,19.0146141)); #224728=CARTESIAN_POINT('Origin',(9.8269585693051,4.25,19.1301165273682)); #224729=CARTESIAN_POINT('',(9.8282347,4.24,19.0146141)); #224730=CARTESIAN_POINT('',(9.8282347,4.25,19.0146141)); #224731=CARTESIAN_POINT('Origin',(9.8269585693051,4.24,19.1301165273682)); #224732=CARTESIAN_POINT('Origin',(9.77314,4.25,19.0145969)); #224733=CARTESIAN_POINT('',(9.77314,4.25,19.0145969)); #224734=CARTESIAN_POINT('',(17.7875035096156,4.25,19.0170989020502)); #224735=CARTESIAN_POINT('',(9.77314,4.24,19.0145969)); #224736=CARTESIAN_POINT('',(9.77314,4.25,19.0145969)); #224737=CARTESIAN_POINT('',(9.77314,4.24,19.0145969)); #224738=CARTESIAN_POINT('Origin',(9.762002,4.25,18.9853935)); #224739=CARTESIAN_POINT('',(9.762002,4.25,18.9853935)); #224740=CARTESIAN_POINT('',(11.7816438111446,4.25,24.2808152694007)); #224741=CARTESIAN_POINT('',(9.762002,4.24,18.9853935)); #224742=CARTESIAN_POINT('',(9.762002,4.25,18.9853935)); #224743=CARTESIAN_POINT('',(9.762002,4.24,18.9853935)); #224744=CARTESIAN_POINT('Origin',(9.3510199,4.25,17.8944359)); #224745=CARTESIAN_POINT('',(9.3510199,4.25,17.8944359)); #224746=CARTESIAN_POINT('',(11.5452066984014,4.25,23.7189347371408)); #224747=CARTESIAN_POINT('',(9.3510199,4.24,17.8944359)); #224748=CARTESIAN_POINT('',(9.3510199,4.25,17.8944359)); #224749=CARTESIAN_POINT('',(9.3510199,4.24,17.8944359)); #224750=CARTESIAN_POINT('Origin',(9.11966960508396,4.25,23.3791621479003)); #224751=CARTESIAN_POINT('',(8.8908653,4.25,17.8943291)); #224752=CARTESIAN_POINT('Origin',(9.11966960508396,4.25,23.3791621479003)); #224753=CARTESIAN_POINT('',(8.8908653,4.24,17.8943291)); #224754=CARTESIAN_POINT('',(8.8908653,4.25,17.8943291)); #224755=CARTESIAN_POINT('Origin',(9.11966960508396,4.24,23.3791621479003)); #224756=CARTESIAN_POINT('Origin',(8.884799,4.25,17.8955936)); #224757=CARTESIAN_POINT('',(8.884799,4.25,17.8955936)); #224758=CARTESIAN_POINT('',(16.2806066610829,4.25,16.353962162809)); #224759=CARTESIAN_POINT('',(8.884799,4.24,17.8955936)); #224760=CARTESIAN_POINT('',(8.884799,4.25,17.8955936)); #224761=CARTESIAN_POINT('',(8.884799,4.24,17.8955936)); #224762=CARTESIAN_POINT('Origin',(8.8792992,4.25,17.8972473)); #224763=CARTESIAN_POINT('',(15.6588638171664,4.25,15.858742989405)); #224764=CARTESIAN_POINT('',(8.8792992,4.24,17.8972473)); #224765=CARTESIAN_POINT('Origin',(9.2777033,4.24,18.50116476845)); #224766=CARTESIAN_POINT('Origin',(8.4387035,4.25,5.033409)); #224767=CARTESIAN_POINT('',(8.4387035,4.25,5.033409)); #224768=CARTESIAN_POINT('',(8.4692335,4.25,5.033699)); #224769=CARTESIAN_POINT('',(17.2133898879377,4.25,5.11675846126783)); #224770=CARTESIAN_POINT('',(8.4387035,4.24,5.033409)); #224771=CARTESIAN_POINT('',(8.4387035,4.25,5.033409)); #224772=CARTESIAN_POINT('',(8.4692335,4.24,5.033699)); #224773=CARTESIAN_POINT('',(8.4692335,4.24,5.033699)); #224774=CARTESIAN_POINT('',(8.4692335,4.25,5.033699)); #224775=CARTESIAN_POINT('Origin',(8.4387035,4.25,4.830708)); #224776=CARTESIAN_POINT('',(8.4387035,4.25,4.830708)); #224777=CARTESIAN_POINT('',(8.4387035,4.25,14.915354)); #224778=CARTESIAN_POINT('',(8.4387035,4.24,4.830708)); #224779=CARTESIAN_POINT('',(8.4387035,4.25,4.830708)); #224780=CARTESIAN_POINT('',(8.4387035,4.24,4.837772)); #224781=CARTESIAN_POINT('Origin',(8.4399767,4.25,4.779213)); #224782=CARTESIAN_POINT('',(8.4399767,4.25,4.779213)); #224783=CARTESIAN_POINT('',(8.19545562153234,4.25,14.6689498329475)); #224784=CARTESIAN_POINT('',(8.4399767,4.24,4.779213)); #224785=CARTESIAN_POINT('',(8.4399767,4.25,4.779213)); #224786=CARTESIAN_POINT('',(8.4387035,4.24,4.830708)); #224787=CARTESIAN_POINT('Origin',(8.72815783500183,4.25,4.74144583943018)); #224788=CARTESIAN_POINT('',(8.499033,4.25,4.562626)); #224789=CARTESIAN_POINT('Origin',(8.72815783500183,4.25,4.74144583943018)); #224790=CARTESIAN_POINT('',(8.499033,4.24,4.562626)); #224791=CARTESIAN_POINT('',(8.499033,4.25,4.562626)); #224792=CARTESIAN_POINT('Origin',(8.72815783500183,4.24,4.74144583943018)); #224793=CARTESIAN_POINT('Origin',(8.72660365557193,4.25,4.74391216041488)); #224794=CARTESIAN_POINT('',(8.6958504,4.25,4.45459)); #224795=CARTESIAN_POINT('Origin',(8.72660365557193,4.25,4.74391216041488)); #224796=CARTESIAN_POINT('',(8.6958504,4.24,4.45459)); #224797=CARTESIAN_POINT('',(8.6958504,4.25,4.45459)); #224798=CARTESIAN_POINT('Origin',(8.72660365557193,4.24,4.74391216041488)); #224799=CARTESIAN_POINT('Origin',(9.10766313701095,4.25,7.65891427353383)); #224800=CARTESIAN_POINT('',(9.7135248,4.25,4.485554)); #224801=CARTESIAN_POINT('Origin',(9.10766313701095,4.25,7.65891427353383)); #224802=CARTESIAN_POINT('',(9.7135248,4.24,4.485554)); #224803=CARTESIAN_POINT('',(9.7135248,4.25,4.485554)); #224804=CARTESIAN_POINT('Origin',(9.10766313701095,4.24,7.65891427353383)); #224805=CARTESIAN_POINT('Origin',(9.52624619475007,4.25,4.77095678159087)); #224806=CARTESIAN_POINT('',(9.8638086,4.25,4.821747)); #224807=CARTESIAN_POINT('Origin',(9.52624619475007,4.25,4.77095678159087)); #224808=CARTESIAN_POINT('',(9.8638086,4.24,4.821747)); #224809=CARTESIAN_POINT('',(9.8638086,4.25,4.821747)); #224810=CARTESIAN_POINT('Origin',(9.52624619475007,4.24,4.77095678159087)); #224811=CARTESIAN_POINT('Origin',(5.29550288428633,4.25,5.0052253492123)); #224812=CARTESIAN_POINT('',(9.867403,4.25,5.03369899999999)); #224813=CARTESIAN_POINT('Origin',(5.29550288428633,4.25,5.0052253492123)); #224814=CARTESIAN_POINT('',(9.867403,4.24,5.03369899999999)); #224815=CARTESIAN_POINT('',(9.867403,4.25,5.03369899999999)); #224816=CARTESIAN_POINT('Origin',(5.29550288428633,4.24,5.0052253492123)); #224817=CARTESIAN_POINT('Origin',(8.4692335,4.25,5.033699)); #224818=CARTESIAN_POINT('',(17.13461675,4.25,5.033699)); #224819=CARTESIAN_POINT('',(9.867403,4.24,5.033699)); #224820=CARTESIAN_POINT('Origin',(8.7457264321361,4.25,5.62795880944533)); #224821=CARTESIAN_POINT('',(8.5447197,4.25,5.855789)); #224822=CARTESIAN_POINT('',(8.7686882,4.25,5.930916)); #224823=CARTESIAN_POINT('Origin',(8.7457264321361,4.25,5.62795880944533)); #224824=CARTESIAN_POINT('',(8.5447197,4.24,5.855789)); #224825=CARTESIAN_POINT('',(8.5447197,4.25,5.855789)); #224826=CARTESIAN_POINT('',(8.7686882,4.24,5.930916)); #224827=CARTESIAN_POINT('Origin',(8.7457264321361,4.24,5.62795880944533)); #224828=CARTESIAN_POINT('',(8.7686882,4.25,5.930916)); #224829=CARTESIAN_POINT('Origin',(8.74830963934815,4.25,5.63017421500845)); #224830=CARTESIAN_POINT('',(8.4446392,4.25,5.6418)); #224831=CARTESIAN_POINT('Origin',(8.74830963934815,4.25,5.63017421500845)); #224832=CARTESIAN_POINT('',(8.4446392,4.24,5.6418)); #224833=CARTESIAN_POINT('',(8.4446392,4.25,5.6418)); #224834=CARTESIAN_POINT('Origin',(8.74830963934815,4.24,5.63017421500845)); #224835=CARTESIAN_POINT('Origin',(10.5761752986073,4.25,5.46225130502298)); #224836=CARTESIAN_POINT('',(8.4387035,4.25,5.379196)); #224837=CARTESIAN_POINT('Origin',(10.5761752986073,4.25,5.46225130502298)); #224838=CARTESIAN_POINT('',(8.4387035,4.24,5.379196)); #224839=CARTESIAN_POINT('',(8.4387035,4.25,5.379196)); #224840=CARTESIAN_POINT('Origin',(10.5761752986073,4.24,5.46225130502298)); #224841=CARTESIAN_POINT('Origin',(10.170551,4.25,5.379108)); #224842=CARTESIAN_POINT('',(10.170551,4.25,5.379108)); #224843=CARTESIAN_POINT('',(17.9847769837106,4.25,5.37871093739108)); #224844=CARTESIAN_POINT('',(10.170551,4.24,5.379108)); #224845=CARTESIAN_POINT('',(10.170551,4.25,5.379108)); #224846=CARTESIAN_POINT('',(8.4387035,4.24,5.379196)); #224847=CARTESIAN_POINT('Origin',(10.178755,4.25,5.378407)); #224848=CARTESIAN_POINT('',(10.178755,4.25,5.378407)); #224849=CARTESIAN_POINT('',(17.1005466887319,4.25,4.78696673015719)); #224850=CARTESIAN_POINT('',(10.178755,4.24,5.378407)); #224851=CARTESIAN_POINT('',(10.178755,4.25,5.378407)); #224852=CARTESIAN_POINT('',(10.170551,4.24,5.379108)); #224853=CARTESIAN_POINT('Origin',(10.186552,4.25,5.377026)); #224854=CARTESIAN_POINT('',(10.186552,4.25,5.377026)); #224855=CARTESIAN_POINT('',(16.0708725719766,4.25,4.3347986420562)); #224856=CARTESIAN_POINT('',(10.186552,4.24,5.377026)); #224857=CARTESIAN_POINT('',(10.186552,4.25,5.377026)); #224858=CARTESIAN_POINT('',(10.178755,4.24,5.378407)); #224859=CARTESIAN_POINT('Origin',(10.200836,4.25,5.372295)); #224860=CARTESIAN_POINT('',(10.200836,4.25,5.372295)); #224861=CARTESIAN_POINT('',(14.3002672368882,4.25,4.01452342469068)); #224862=CARTESIAN_POINT('',(10.200836,4.24,5.372295)); #224863=CARTESIAN_POINT('',(10.200836,4.25,5.372295)); #224864=CARTESIAN_POINT('',(10.186552,4.24,5.377026)); #224865=CARTESIAN_POINT('Origin',(10.213231,4.25,5.365093)); #224866=CARTESIAN_POINT('',(10.213231,4.25,5.365093)); #224867=CARTESIAN_POINT('',(11.7750065680556,4.25,4.45763776473222)); #224868=CARTESIAN_POINT('',(10.213231,4.24,5.365093)); #224869=CARTESIAN_POINT('',(10.213231,4.25,5.365093)); #224870=CARTESIAN_POINT('',(10.200836,4.24,5.372295)); #224871=CARTESIAN_POINT('Origin',(10.223563,4.25,5.355591)); #224872=CARTESIAN_POINT('',(10.223563,4.25,5.355591)); #224873=CARTESIAN_POINT('',(9.54908699379782,4.25,5.9758843614916)); #224874=CARTESIAN_POINT('',(10.223563,4.24,5.355591)); #224875=CARTESIAN_POINT('',(10.223563,4.25,5.355591)); #224876=CARTESIAN_POINT('',(10.213231,4.24,5.365093)); #224877=CARTESIAN_POINT('Origin',(10.231659,4.25,5.343952)); #224878=CARTESIAN_POINT('',(10.231659,4.25,5.343952)); #224879=CARTESIAN_POINT('',(8.1627572656038,4.25,8.31825378935753)); #224880=CARTESIAN_POINT('',(10.231659,4.24,5.343952)); #224881=CARTESIAN_POINT('',(10.231659,4.25,5.343952)); #224882=CARTESIAN_POINT('',(10.223563,4.24,5.355591)); #224883=CARTESIAN_POINT('Origin',(10.237347,4.25,5.330353)); #224884=CARTESIAN_POINT('',(10.237347,4.25,5.330353)); #224885=CARTESIAN_POINT('',(7.89489391345865,4.25,10.9307432116516)); #224886=CARTESIAN_POINT('',(10.237347,4.24,5.330353)); #224887=CARTESIAN_POINT('',(10.237347,4.25,5.330353)); #224888=CARTESIAN_POINT('',(10.231659,4.24,5.343952)); #224889=CARTESIAN_POINT('Origin',(7.98249659895634,4.25,5.06343652399972)); #224890=CARTESIAN_POINT('',(10.171407,4.25,4.459892)); #224891=CARTESIAN_POINT('Origin',(7.98249659895634,4.25,5.06343652399972)); #224892=CARTESIAN_POINT('',(10.171407,4.24,4.459892)); #224893=CARTESIAN_POINT('',(10.171407,4.25,4.459892)); #224894=CARTESIAN_POINT('Origin',(7.98249659895634,4.24,5.06343652399972)); #224895=CARTESIAN_POINT('Origin',(9.58905625112456,4.25,4.71601550231641)); #224896=CARTESIAN_POINT('',(9.526473,4.25,4.082916)); #224897=CARTESIAN_POINT('Origin',(9.58905625112456,4.25,4.71601550231641)); #224898=CARTESIAN_POINT('',(9.526473,4.24,4.082916)); #224899=CARTESIAN_POINT('',(9.526473,4.25,4.082916)); #224900=CARTESIAN_POINT('Origin',(9.58905625112456,4.24,4.71601550231641)); #224901=CARTESIAN_POINT('Origin',(9.18770842178003,4.25,7.78942179880492)); #224902=CARTESIAN_POINT('',(8.4709311,4.25,4.137138)); #224903=CARTESIAN_POINT('Origin',(9.18770842178003,4.25,7.78942179880492)); #224904=CARTESIAN_POINT('',(8.4709311,4.24,4.137138)); #224905=CARTESIAN_POINT('',(8.4709311,4.25,4.137138)); #224906=CARTESIAN_POINT('Origin',(9.18770842178003,4.24,7.78942179880492)); #224907=CARTESIAN_POINT('Origin',(8.70966151381248,4.25,4.74119758945232)); #224908=CARTESIAN_POINT('',(8.0628719,4.25,4.800724)); #224909=CARTESIAN_POINT('Origin',(8.70966151381248,4.25,4.74119758945232)); #224910=CARTESIAN_POINT('',(8.0628719,4.24,4.800724)); #224911=CARTESIAN_POINT('',(8.0628719,4.25,4.800724)); #224912=CARTESIAN_POINT('Origin',(8.70966151381248,4.24,4.74119758945232)); #224913=CARTESIAN_POINT('Origin',(11.0959397583953,4.25,5.00730956322925)); #224914=CARTESIAN_POINT('',(8.0559025,4.25,4.988556)); #224915=CARTESIAN_POINT('Origin',(11.0959397583953,4.25,5.00730956322925)); #224916=CARTESIAN_POINT('',(8.0559025,4.24,4.988556)); #224917=CARTESIAN_POINT('',(8.0559025,4.25,4.988556)); #224918=CARTESIAN_POINT('Origin',(11.0959397583953,4.24,5.00730956322925)); #224919=CARTESIAN_POINT('Origin',(8.0550327,4.25,5.203915)); #224920=CARTESIAN_POINT('',(8.0550327,4.25,5.203915)); #224921=CARTESIAN_POINT('',(8.01520149454674,4.25,15.0659621087636)); #224922=CARTESIAN_POINT('',(8.0550327,4.24,5.203915)); #224923=CARTESIAN_POINT('',(8.0550327,4.25,5.203915)); #224924=CARTESIAN_POINT('',(8.0559025,4.24,4.988556)); #224925=CARTESIAN_POINT('Origin',(9.68731458285479,4.25,5.38089308498237)); #224926=CARTESIAN_POINT('',(8.1589508,4.25,5.980701)); #224927=CARTESIAN_POINT('Origin',(9.68731458285479,4.25,5.38089308498237)); #224928=CARTESIAN_POINT('',(8.1589508,4.24,5.980701)); #224929=CARTESIAN_POINT('',(8.1589508,4.25,5.980701)); #224930=CARTESIAN_POINT('Origin',(9.68731458285479,4.24,5.38089308498237)); #224931=CARTESIAN_POINT('Origin',(8.74756458226308,4.25,5.59440848035143)); #224932=CARTESIAN_POINT('',(8.8293781,4.25,6.29369)); #224933=CARTESIAN_POINT('Origin',(8.74756458226308,4.25,5.59440848035143)); #224934=CARTESIAN_POINT('',(8.8293781,4.24,6.29369)); #224935=CARTESIAN_POINT('',(8.8293781,4.25,6.29369)); #224936=CARTESIAN_POINT('Origin',(8.74756458226308,4.24,5.59440848035143)); #224937=CARTESIAN_POINT('Origin',(9.41419927177806,4.25,-9.82554706777703)); #224938=CARTESIAN_POINT('',(9.99002079999996,4.25,6.294014)); #224939=CARTESIAN_POINT('Origin',(9.41419927177806,4.25,-9.82554706777703)); #224940=CARTESIAN_POINT('',(9.99002079999996,4.24,6.294014)); #224941=CARTESIAN_POINT('',(9.99002079999996,4.25,6.294014)); #224942=CARTESIAN_POINT('Origin',(9.41419927177806,4.24,-9.82554706777703)); #224943=CARTESIAN_POINT('Origin',(9.9996891,4.25,6.29287)); #224944=CARTESIAN_POINT('',(9.9996891,4.25,6.29287)); #224945=CARTESIAN_POINT('',(16.6992860245315,4.25,5.500141300884)); #224946=CARTESIAN_POINT('',(9.9996891,4.24,6.29287)); #224947=CARTESIAN_POINT('',(9.9996891,4.25,6.29287)); #224948=CARTESIAN_POINT('',(9.9900208,4.24,6.294014)); #224949=CARTESIAN_POINT('Origin',(10.016618,4.25,6.288368)); #224950=CARTESIAN_POINT('',(10.016618,4.25,6.288368)); #224951=CARTESIAN_POINT('',(15.0633488483103,4.25,4.94626176279009)); #224952=CARTESIAN_POINT('',(10.016618,4.24,6.288368)); #224953=CARTESIAN_POINT('',(10.016618,4.25,6.288368)); #224954=CARTESIAN_POINT('',(9.9996891,4.24,6.29287)); #224955=CARTESIAN_POINT('Origin',(10.03056,4.25,6.281029)); #224956=CARTESIAN_POINT('',(10.03056,4.25,6.281029)); #224957=CARTESIAN_POINT('',(12.3467075948701,4.25,5.0618203356231)); #224958=CARTESIAN_POINT('',(10.03056,4.24,6.281029)); #224959=CARTESIAN_POINT('',(10.03056,4.25,6.281029)); #224960=CARTESIAN_POINT('',(10.016618,4.24,6.288368)); #224961=CARTESIAN_POINT('Origin',(10.041787,4.25,6.270981)); #224962=CARTESIAN_POINT('',(10.041787,4.25,6.270981)); #224963=CARTESIAN_POINT('',(9.76305727247957,4.25,6.52044000971999)); #224964=CARTESIAN_POINT('',(10.041787,4.24,6.270981)); #224965=CARTESIAN_POINT('',(10.041787,4.25,6.270981)); #224966=CARTESIAN_POINT('',(10.03056,4.24,6.281029)); #224967=CARTESIAN_POINT('Origin',(10.050572,4.25,6.258358)); #224968=CARTESIAN_POINT('',(10.050572,4.25,6.258358)); #224969=CARTESIAN_POINT('',(8.2265194058466,4.25,8.87930460170671)); #224970=CARTESIAN_POINT('',(10.050572,4.24,6.258358)); #224971=CARTESIAN_POINT('',(10.050572,4.25,6.258358)); #224972=CARTESIAN_POINT('',(10.041787,4.24,6.270981)); #224973=CARTESIAN_POINT('Origin',(9.64674193266561,4.25,6.12187761739325)); #224974=CARTESIAN_POINT('',(10.037763,4.25,5.952148)); #224975=CARTESIAN_POINT('Origin',(9.64674193266561,4.25,6.12187761739325)); #224976=CARTESIAN_POINT('',(10.037763,4.24,5.952148)); #224977=CARTESIAN_POINT('',(10.037763,4.25,5.952148)); #224978=CARTESIAN_POINT('Origin',(9.64674193266561,4.24,6.12187761739325)); #224979=CARTESIAN_POINT('Origin',(9.91594200700984,4.25,6.25195514411152)); #224980=CARTESIAN_POINT('',(9.8816242,4.25,5.930168)); #224981=CARTESIAN_POINT('Origin',(9.91594200700984,4.25,6.25195514411152)); #224982=CARTESIAN_POINT('',(9.8816242,4.24,5.930168)); #224983=CARTESIAN_POINT('',(9.8816242,4.25,5.930168)); #224984=CARTESIAN_POINT('Origin',(9.91594200700984,4.24,6.25195514411152)); #224985=CARTESIAN_POINT('Origin',(9.59614844810122,4.25,79.9440541207962)); #224986=CARTESIAN_POINT('',(8.834446,4.25,5.933537)); #224987=CARTESIAN_POINT('Origin',(9.59614844810122,4.25,79.9440541207962)); #224988=CARTESIAN_POINT('',(8.834446,4.24,5.933537)); #224989=CARTESIAN_POINT('',(8.834446,4.25,5.933537)); #224990=CARTESIAN_POINT('Origin',(9.59614844810122,4.24,79.9440541207962)); #224991=CARTESIAN_POINT('Origin',(8.7686882,4.25,5.930916)); #224992=CARTESIAN_POINT('',(17.6502655042356,4.25,6.28492136688339)); #224993=CARTESIAN_POINT('',(8.834446,4.24,5.933537)); #224994=CARTESIAN_POINT('Origin',(9.14927824814421,4.24,5.18588127890966)); #224995=CARTESIAN_POINT('Origin',(10.4577973617302,4.25,19.3418624152675)); #224996=CARTESIAN_POINT('',(10.7012,4.25,17.9918118)); #224997=CARTESIAN_POINT('',(10.294104,4.25,17.979847)); #224998=CARTESIAN_POINT('Origin',(10.4577973617302,4.25,19.3418624152675)); #224999=CARTESIAN_POINT('',(10.7012,4.24,17.9918118)); #225000=CARTESIAN_POINT('',(10.7012,4.25,17.9918118)); #225001=CARTESIAN_POINT('',(10.294104,4.24,17.979847)); #225002=CARTESIAN_POINT('Origin',(10.4577973617302,4.24,19.3418624152675)); #225003=CARTESIAN_POINT('',(10.294104,4.25,17.979847)); #225004=CARTESIAN_POINT('Origin',(10.5131331185653,4.25,18.460287951948)); #225005=CARTESIAN_POINT('',(11.005303,4.25,18.5725727)); #225006=CARTESIAN_POINT('Origin',(10.5131331185653,4.25,18.460287951948)); #225007=CARTESIAN_POINT('',(11.005303,4.24,18.5725727)); #225008=CARTESIAN_POINT('',(11.005303,4.25,18.5725727)); #225009=CARTESIAN_POINT('Origin',(10.5131331185653,4.24,18.460287951948)); #225010=CARTESIAN_POINT('Origin',(10.5669884813188,4.25,18.5786792766111)); #225011=CARTESIAN_POINT('',(10.613457,4.25,19.0145664)); #225012=CARTESIAN_POINT('Origin',(10.5669884813188,4.25,18.5786792766111)); #225013=CARTESIAN_POINT('',(10.613457,4.24,19.0145664)); #225014=CARTESIAN_POINT('',(10.613457,4.25,19.0145664)); #225015=CARTESIAN_POINT('Origin',(10.5669884813188,4.24,18.5786792766111)); #225016=CARTESIAN_POINT('Origin',(10.294104,4.25,19.0145988)); #225017=CARTESIAN_POINT('',(10.294104,4.25,19.0145988)); #225018=CARTESIAN_POINT('',(18.0467482953737,4.25,19.0138122544684)); #225019=CARTESIAN_POINT('',(10.294104,4.24,19.0145988)); #225020=CARTESIAN_POINT('',(10.294104,4.25,19.0145988)); #225021=CARTESIAN_POINT('',(10.294104,4.24,19.0145988)); #225022=CARTESIAN_POINT('Origin',(10.294104,4.25,17.979847)); #225023=CARTESIAN_POINT('',(10.294104,4.25,21.4899235)); #225024=CARTESIAN_POINT('',(10.294104,4.24,18.968298)); #225025=CARTESIAN_POINT('Origin',(10.088878,4.25,19.0274162)); #225026=CARTESIAN_POINT('',(10.088878,4.25,19.0274162)); #225027=CARTESIAN_POINT('',(10.092494,4.25,19.0244694)); #225028=CARTESIAN_POINT('',(13.3470214465612,4.25,16.3722455395129)); #225029=CARTESIAN_POINT('',(10.088878,4.24,19.0274162)); #225030=CARTESIAN_POINT('',(10.088878,4.25,19.0274162)); #225031=CARTESIAN_POINT('',(10.092494,4.24,19.0244694)); #225032=CARTESIAN_POINT('',(10.088878,4.24,19.0274162)); #225033=CARTESIAN_POINT('',(10.092494,4.25,19.0244694)); #225034=CARTESIAN_POINT('Origin',(10.085761,4.25,19.0305786)); #225035=CARTESIAN_POINT('',(10.085761,4.25,19.0305786)); #225036=CARTESIAN_POINT('',(12.465317483794,4.25,16.6163631927659)); #225037=CARTESIAN_POINT('',(10.085761,4.24,19.0305786)); #225038=CARTESIAN_POINT('',(10.085761,4.25,19.0305786)); #225039=CARTESIAN_POINT('',(10.085761,4.24,19.0305786)); #225040=CARTESIAN_POINT('Origin',(10.083076,4.25,19.0340061)); #225041=CARTESIAN_POINT('',(10.083076,4.25,19.0340061)); #225042=CARTESIAN_POINT('',(11.6234786692327,4.25,17.0676261563144)); #225043=CARTESIAN_POINT('',(10.083076,4.24,19.0340061)); #225044=CARTESIAN_POINT('',(10.083076,4.25,19.0340061)); #225045=CARTESIAN_POINT('',(10.083076,4.24,19.0340061)); #225046=CARTESIAN_POINT('Origin',(10.080826,4.25,19.0376911)); #225047=CARTESIAN_POINT('',(10.080826,4.25,19.0376911)); #225048=CARTESIAN_POINT('',(10.8893167568329,4.25,17.7135629049198)); #225049=CARTESIAN_POINT('',(10.080826,4.24,19.0376911)); #225050=CARTESIAN_POINT('',(10.080826,4.25,19.0376911)); #225051=CARTESIAN_POINT('',(10.080826,4.24,19.0376911)); #225052=CARTESIAN_POINT('Origin',(10.1469975246492,4.25,19.0683951182023)); #225053=CARTESIAN_POINT('',(10.077633,4.25,19.0458126)); #225054=CARTESIAN_POINT('Origin',(10.1469975246492,4.25,19.0683951182023)); #225055=CARTESIAN_POINT('',(10.077633,4.24,19.0458126)); #225056=CARTESIAN_POINT('',(10.077633,4.25,19.0458126)); #225057=CARTESIAN_POINT('Origin',(10.1469975246492,4.24,19.0683951182023)); #225058=CARTESIAN_POINT('Origin',(10.076694,4.25,19.0502396)); #225059=CARTESIAN_POINT('',(10.076694,4.25,19.0502396)); #225060=CARTESIAN_POINT('',(9.81133075004634,4.25,20.3013185217704)); #225061=CARTESIAN_POINT('',(10.076694,4.24,19.0502396)); #225062=CARTESIAN_POINT('',(10.076694,4.25,19.0502396)); #225063=CARTESIAN_POINT('',(10.076694,4.24,19.0502396)); #225064=CARTESIAN_POINT('Origin',(10.076193,4.25,19.0549068)); #225065=CARTESIAN_POINT('',(10.076193,4.25,19.0549068)); #225066=CARTESIAN_POINT('',(9.85030040206551,4.25,21.1592699398825)); #225067=CARTESIAN_POINT('',(10.076193,4.24,19.0549068)); #225068=CARTESIAN_POINT('',(10.076193,4.25,19.0549068)); #225069=CARTESIAN_POINT('',(10.076193,4.24,19.0549068)); #225070=CARTESIAN_POINT('Origin',(10.076133,4.25,19.0596943)); #225071=CARTESIAN_POINT('',(10.076133,4.25,19.0596943)); #225072=CARTESIAN_POINT('',(10.0401496543144,4.25,21.9308654245196)); #225073=CARTESIAN_POINT('',(10.076133,4.24,19.0596943)); #225074=CARTESIAN_POINT('',(10.076133,4.25,19.0596943)); #225075=CARTESIAN_POINT('',(10.076133,4.24,19.0596943)); #225076=CARTESIAN_POINT('Origin',(10.076513,4.25,19.0642185)); #225077=CARTESIAN_POINT('',(10.076513,4.25,19.0642185)); #225078=CARTESIAN_POINT('',(10.3791223501467,4.25,22.6670217156167)); #225079=CARTESIAN_POINT('',(10.076513,4.24,19.0642185)); #225080=CARTESIAN_POINT('',(10.076513,4.25,19.0642185)); #225081=CARTESIAN_POINT('',(10.076513,4.24,19.0642185)); #225082=CARTESIAN_POINT('Origin',(10.077333,4.25,19.0685387)); #225083=CARTESIAN_POINT('',(10.077333,4.25,19.0685387)); #225084=CARTESIAN_POINT('',(10.8940386281817,4.25,23.371382181555)); #225085=CARTESIAN_POINT('',(10.077333,4.24,19.0685387)); #225086=CARTESIAN_POINT('',(10.077333,4.25,19.0685387)); #225087=CARTESIAN_POINT('',(10.077333,4.24,19.0685387)); #225088=CARTESIAN_POINT('Origin',(10.078591,4.25,19.0726643)); #225089=CARTESIAN_POINT('',(10.078591,4.25,19.0726643)); #225090=CARTESIAN_POINT('',(11.5741191356072,4.25,23.9772357437607)); #225091=CARTESIAN_POINT('',(10.078591,4.24,19.0726643)); #225092=CARTESIAN_POINT('',(10.078591,4.25,19.0726643)); #225093=CARTESIAN_POINT('',(10.078591,4.24,19.0726643)); #225094=CARTESIAN_POINT('Origin',(10.080288,4.25,19.0765972)); #225095=CARTESIAN_POINT('',(10.080288,4.25,19.0765972)); #225096=CARTESIAN_POINT('',(12.3913190229541,4.25,24.4325511836024)); #225097=CARTESIAN_POINT('',(10.080288,4.24,19.0765972)); #225098=CARTESIAN_POINT('',(10.080288,4.25,19.0765972)); #225099=CARTESIAN_POINT('',(10.080288,4.24,19.0765972)); #225100=CARTESIAN_POINT('Origin',(10.082418,4.25,19.0803471)); #225101=CARTESIAN_POINT('',(10.082418,4.25,19.0803471)); #225102=CARTESIAN_POINT('',(13.2705812367018,4.25,24.6931608658788)); #225103=CARTESIAN_POINT('',(10.082418,4.24,19.0803471)); #225104=CARTESIAN_POINT('',(10.082418,4.25,19.0803471)); #225105=CARTESIAN_POINT('',(10.082418,4.24,19.0803471)); #225106=CARTESIAN_POINT('Origin',(10.084986,4.25,19.0839157)); #225107=CARTESIAN_POINT('',(10.084986,4.25,19.0839157)); #225108=CARTESIAN_POINT('',(14.1681318475027,4.25,24.7580256187659)); #225109=CARTESIAN_POINT('',(10.084986,4.24,19.0839157)); #225110=CARTESIAN_POINT('',(10.084986,4.25,19.0839157)); #225111=CARTESIAN_POINT('',(10.084986,4.24,19.0839157)); #225112=CARTESIAN_POINT('Origin',(10.087985,4.25,19.0873146)); #225113=CARTESIAN_POINT('',(10.087985,4.25,19.0873146)); #225114=CARTESIAN_POINT('',(14.9935271436632,4.25,24.6469835536854)); #225115=CARTESIAN_POINT('',(10.087985,4.24,19.0873146)); #225116=CARTESIAN_POINT('',(10.087985,4.25,19.0873146)); #225117=CARTESIAN_POINT('',(10.087985,4.24,19.0873146)); #225118=CARTESIAN_POINT('Origin',(10.091449,4.25,19.090456)); #225119=CARTESIAN_POINT('',(10.091449,4.25,19.090456)); #225120=CARTESIAN_POINT('',(15.8716225408139,4.25,24.3323258502023)); #225121=CARTESIAN_POINT('',(10.091449,4.24,19.090456)); #225122=CARTESIAN_POINT('',(10.091449,4.25,19.090456)); #225123=CARTESIAN_POINT('',(10.091449,4.24,19.090456)); #225124=CARTESIAN_POINT('Origin',(10.095489,4.25,19.0931683)); #225125=CARTESIAN_POINT('',(10.095489,4.25,19.0931683)); #225126=CARTESIAN_POINT('',(16.8748974532536,4.25,23.6446013563765)); #225127=CARTESIAN_POINT('',(10.095489,4.24,19.0931683)); #225128=CARTESIAN_POINT('',(10.095489,4.25,19.0931683)); #225129=CARTESIAN_POINT('',(10.095489,4.24,19.0931683)); #225130=CARTESIAN_POINT('Origin',(10.100102,4.25,19.0955048)); #225131=CARTESIAN_POINT('',(10.100102,4.25,19.0955048)); #225132=CARTESIAN_POINT('',(17.5373744151965,4.25,22.8625082680497)); #225133=CARTESIAN_POINT('',(10.100102,4.24,19.0955048)); #225134=CARTESIAN_POINT('',(10.100102,4.25,19.0955048)); #225135=CARTESIAN_POINT('',(10.100102,4.24,19.0955048)); #225136=CARTESIAN_POINT('Origin',(10.105292,4.25,19.0974541)); #225137=CARTESIAN_POINT('',(10.105292,4.25,19.0974541)); #225138=CARTESIAN_POINT('',(17.9539308753413,4.25,22.0453060768193)); #225139=CARTESIAN_POINT('',(10.105292,4.24,19.0974541)); #225140=CARTESIAN_POINT('',(10.105292,4.25,19.0974541)); #225141=CARTESIAN_POINT('',(10.105292,4.24,19.0974541)); #225142=CARTESIAN_POINT('Origin',(10.1356916516456,4.25,18.9912796744115)); #225143=CARTESIAN_POINT('',(10.117399,4.25,19.1001949)); #225144=CARTESIAN_POINT('Origin',(10.1356916516456,4.25,18.9912796744115)); #225145=CARTESIAN_POINT('',(10.117399,4.24,19.1001949)); #225146=CARTESIAN_POINT('',(10.117399,4.25,19.1001949)); #225147=CARTESIAN_POINT('Origin',(10.1356916516456,4.24,18.9912796744115)); #225148=CARTESIAN_POINT('Origin',(10.3464211331272,4.25,17.0873636307737)); #225149=CARTESIAN_POINT('',(10.564623,4.25,19.1013966)); #225150=CARTESIAN_POINT('Origin',(10.3464211331272,4.25,17.0873636307737)); #225151=CARTESIAN_POINT('',(10.564623,4.24,19.1013966)); #225152=CARTESIAN_POINT('',(10.564623,4.25,19.1013966)); #225153=CARTESIAN_POINT('Origin',(10.3464211331272,4.24,17.0873636307737)); #225154=CARTESIAN_POINT('Origin',(10.5595121291746,4.25,18.5555088254022)); #225155=CARTESIAN_POINT('',(10.985135,4.25,18.8973637)); #225156=CARTESIAN_POINT('Origin',(10.5595121291746,4.25,18.5555088254022)); #225157=CARTESIAN_POINT('',(10.985135,4.24,18.8973637)); #225158=CARTESIAN_POINT('',(10.985135,4.25,18.8973637)); #225159=CARTESIAN_POINT('Origin',(10.5595121291746,4.24,18.5555088254022)); #225160=CARTESIAN_POINT('Origin',(10.5582991869333,4.25,18.5569441331692)); #225161=CARTESIAN_POINT('',(11.092103,4.25,18.4423676)); #225162=CARTESIAN_POINT('Origin',(10.5582991869333,4.25,18.5569441331692)); #225163=CARTESIAN_POINT('',(11.092103,4.24,18.4423676)); #225164=CARTESIAN_POINT('',(11.092103,4.25,18.4423676)); #225165=CARTESIAN_POINT('Origin',(10.5582991869333,4.24,18.5569441331692)); #225166=CARTESIAN_POINT('Origin',(10.556565912862,4.25,18.4212037450979)); #225167=CARTESIAN_POINT('',(10.672051,4.25,17.8978386)); #225168=CARTESIAN_POINT('Origin',(10.556565912862,4.25,18.4212037450979)); #225169=CARTESIAN_POINT('',(10.672051,4.24,17.8978386)); #225170=CARTESIAN_POINT('',(10.672051,4.25,17.8978386)); #225171=CARTESIAN_POINT('Origin',(10.556565912862,4.24,18.4212037450979)); #225172=CARTESIAN_POINT('Origin',(10.3726031886193,4.25,21.0621039277552)); #225173=CARTESIAN_POINT('',(10.131808,4.25,17.8928356)); #225174=CARTESIAN_POINT('Origin',(10.3726031886193,4.25,21.0621039277552)); #225175=CARTESIAN_POINT('',(10.131808,4.24,17.8928356)); #225176=CARTESIAN_POINT('',(10.131808,4.25,17.8928356)); #225177=CARTESIAN_POINT('Origin',(10.3726031886193,4.24,21.0621039277552)); #225178=CARTESIAN_POINT('Origin',(10.1330226770284,4.25,18.0038557645492)); #225179=CARTESIAN_POINT('',(10.111058,4.25,17.8950233)); #225180=CARTESIAN_POINT('Origin',(10.1330226770284,4.25,18.0038557645492)); #225181=CARTESIAN_POINT('',(10.111058,4.24,17.8950233)); #225182=CARTESIAN_POINT('',(10.111058,4.25,17.8950233)); #225183=CARTESIAN_POINT('Origin',(10.1330226770284,4.24,18.0038557645492)); #225184=CARTESIAN_POINT('Origin',(10.105292,4.25,17.8965206)); #225185=CARTESIAN_POINT('',(10.105292,4.25,17.8965206)); #225186=CARTESIAN_POINT('',(16.5928669004949,4.25,16.2118438919682)); #225187=CARTESIAN_POINT('',(10.105292,4.24,17.8965206)); #225188=CARTESIAN_POINT('',(10.105292,4.25,17.8965206)); #225189=CARTESIAN_POINT('',(10.105292,4.24,17.8965206)); #225190=CARTESIAN_POINT('Origin',(10.100102,4.25,17.8984165)); #225191=CARTESIAN_POINT('',(10.100102,4.25,17.8984165)); #225192=CARTESIAN_POINT('',(15.8814681190516,4.25,15.7864912543139)); #225193=CARTESIAN_POINT('',(10.100102,4.24,17.8984165)); #225194=CARTESIAN_POINT('',(10.100102,4.25,17.8984165)); #225195=CARTESIAN_POINT('',(10.100102,4.24,17.8984165)); #225196=CARTESIAN_POINT('Origin',(10.095489,4.25,17.9007168)); #225197=CARTESIAN_POINT('',(10.095489,4.25,17.9007168)); #225198=CARTESIAN_POINT('',(14.9664837759375,4.25,15.4717661641666)); #225199=CARTESIAN_POINT('',(10.095489,4.24,17.9007168)); #225200=CARTESIAN_POINT('',(10.095489,4.25,17.9007168)); #225201=CARTESIAN_POINT('',(10.095489,4.24,17.9007168)); #225202=CARTESIAN_POINT('Origin',(10.091449,4.25,17.903429)); #225203=CARTESIAN_POINT('',(10.091449,4.25,17.903429)); #225204=CARTESIAN_POINT('',(13.8635647584952,4.25,15.3710695049057)); #225205=CARTESIAN_POINT('',(10.091449,4.24,17.903429)); #225206=CARTESIAN_POINT('',(10.091449,4.25,17.903429)); #225207=CARTESIAN_POINT('',(10.091449,4.24,17.903429)); #225208=CARTESIAN_POINT('Origin',(10.087985,4.25,17.9064312)); #225209=CARTESIAN_POINT('',(10.087985,4.25,17.9064312)); #225210=CARTESIAN_POINT('',(12.8188044768591,4.25,15.5396684305358)); #225211=CARTESIAN_POINT('',(10.087985,4.24,17.9064312)); #225212=CARTESIAN_POINT('',(10.087985,4.25,17.9064312)); #225213=CARTESIAN_POINT('',(10.087985,4.24,17.9064312)); #225214=CARTESIAN_POINT('Origin',(10.084986,4.25,17.9096394)); #225215=CARTESIAN_POINT('',(10.084986,4.25,17.9096394)); #225216=CARTESIAN_POINT('',(11.9806521758539,4.25,15.881738024416)); #225217=CARTESIAN_POINT('',(10.084986,4.24,17.9096394)); #225218=CARTESIAN_POINT('',(10.084986,4.25,17.9096394)); #225219=CARTESIAN_POINT('',(10.084986,4.24,17.9096394)); #225220=CARTESIAN_POINT('Origin',(10.082418,4.25,17.9131203)); #225221=CARTESIAN_POINT('',(10.082418,4.25,17.9131203)); #225222=CARTESIAN_POINT('',(11.1593687138149,4.25,16.4533236723845)); #225223=CARTESIAN_POINT('',(10.082418,4.24,17.9131203)); #225224=CARTESIAN_POINT('',(10.082418,4.25,17.9131203)); #225225=CARTESIAN_POINT('',(10.082418,4.24,17.9131203)); #225226=CARTESIAN_POINT('Origin',(10.080288,4.25,17.9168644)); #225227=CARTESIAN_POINT('',(10.080288,4.25,17.9168644)); #225228=CARTESIAN_POINT('',(10.479939894731,4.25,17.2143589262611)); #225229=CARTESIAN_POINT('',(10.080288,4.24,17.9168644)); #225230=CARTESIAN_POINT('',(10.080288,4.25,17.9168644)); #225231=CARTESIAN_POINT('',(10.080288,4.24,17.9168644)); #225232=CARTESIAN_POINT('Origin',(10.1481050073291,4.25,17.9457633996175)); #225233=CARTESIAN_POINT('',(10.077333,4.25,17.9251328)); #225234=CARTESIAN_POINT('Origin',(10.1481050073291,4.25,17.9457633996175)); #225235=CARTESIAN_POINT('',(10.077333,4.24,17.9251328)); #225236=CARTESIAN_POINT('',(10.077333,4.25,17.9251328)); #225237=CARTESIAN_POINT('Origin',(10.1481050073291,4.24,17.9457633996175)); #225238=CARTESIAN_POINT('Origin',(10.076513,4.25,17.9296474)); #225239=CARTESIAN_POINT('',(10.076513,4.25,17.9296474)); #225240=CARTESIAN_POINT('',(9.70599516622748,4.25,19.9695740004285)); #225241=CARTESIAN_POINT('',(10.076513,4.24,17.9296474)); #225242=CARTESIAN_POINT('',(10.076513,4.25,17.9296474)); #225243=CARTESIAN_POINT('',(10.076513,4.24,17.9296474)); #225244=CARTESIAN_POINT('Origin',(10.076133,4.25,17.9344063)); #225245=CARTESIAN_POINT('',(10.076133,4.25,17.9344063)); #225246=CARTESIAN_POINT('',(9.84563582767817,4.25,20.8210194404282)); #225247=CARTESIAN_POINT('',(10.076133,4.24,17.9344063)); #225248=CARTESIAN_POINT('',(10.076133,4.25,17.9344063)); #225249=CARTESIAN_POINT('',(10.076133,4.24,17.9344063)); #225250=CARTESIAN_POINT('Origin',(10.076193,4.25,17.9392891)); #225251=CARTESIAN_POINT('',(10.076193,4.25,17.9392891)); #225252=CARTESIAN_POINT('',(10.1207545039854,4.25,21.5657042943592)); #225253=CARTESIAN_POINT('',(10.076193,4.24,17.9392891)); #225254=CARTESIAN_POINT('',(10.076193,4.25,17.9392891)); #225255=CARTESIAN_POINT('',(10.076193,4.24,17.9392891)); #225256=CARTESIAN_POINT('Origin',(10.076694,4.25,17.9439545)); #225257=CARTESIAN_POINT('',(10.076694,4.25,17.9439545)); #225258=CARTESIAN_POINT('',(10.540861710936,4.25,22.2663657546977)); #225259=CARTESIAN_POINT('',(10.076694,4.24,17.9439545)); #225260=CARTESIAN_POINT('',(10.076694,4.25,17.9439545)); #225261=CARTESIAN_POINT('',(10.076694,4.24,17.9439545)); #225262=CARTESIAN_POINT('Origin',(10.077633,4.25,17.9483833)); #225263=CARTESIAN_POINT('',(10.077633,4.25,17.9483833)); #225264=CARTESIAN_POINT('',(11.1312024559046,4.25,22.9175509318417)); #225265=CARTESIAN_POINT('',(10.077633,4.24,17.9483833)); #225266=CARTESIAN_POINT('',(10.077633,4.25,17.9483833)); #225267=CARTESIAN_POINT('',(10.077633,4.24,17.9483833)); #225268=CARTESIAN_POINT('Origin',(10.079011,4.25,17.9525681)); #225269=CARTESIAN_POINT('',(10.079011,4.25,17.9525681)); #225270=CARTESIAN_POINT('',(11.8947561653049,4.25,23.4667410809695)); #225271=CARTESIAN_POINT('',(10.079011,4.24,17.9525681)); #225272=CARTESIAN_POINT('',(10.079011,4.25,17.9525681)); #225273=CARTESIAN_POINT('',(10.079011,4.24,17.9525681)); #225274=CARTESIAN_POINT('Origin',(10.080826,4.25,17.9565048)); #225275=CARTESIAN_POINT('',(10.080826,4.25,17.9565048)); #225276=CARTESIAN_POINT('',(12.7976725554106,4.25,23.8492925877035)); #225277=CARTESIAN_POINT('',(10.080826,4.24,17.9565048)); #225278=CARTESIAN_POINT('',(10.080826,4.25,17.9565048)); #225279=CARTESIAN_POINT('',(10.080826,4.24,17.9565048)); #225280=CARTESIAN_POINT('Origin',(10.083076,4.25,17.9601898)); #225281=CARTESIAN_POINT('',(10.083076,4.25,17.9601898)); #225282=CARTESIAN_POINT('',(13.7827270266808,4.25,24.0193960370326)); #225283=CARTESIAN_POINT('',(10.083076,4.24,17.9601898)); #225284=CARTESIAN_POINT('',(10.083076,4.25,17.9601898)); #225285=CARTESIAN_POINT('',(10.083076,4.24,17.9601898)); #225286=CARTESIAN_POINT('Origin',(10.08576,4.25,17.9636173)); #225287=CARTESIAN_POINT('',(10.08576,4.25,17.9636173)); #225288=CARTESIAN_POINT('',(14.7801809584008,4.25,23.9584488331244)); #225289=CARTESIAN_POINT('',(10.08576,4.24,17.9636173)); #225290=CARTESIAN_POINT('',(10.08576,4.25,17.9636173)); #225291=CARTESIAN_POINT('',(10.08576,4.24,17.9636173)); #225292=CARTESIAN_POINT('Origin',(10.088878,4.25,17.9667816)); #225293=CARTESIAN_POINT('',(10.088878,4.25,17.9667816)); #225294=CARTESIAN_POINT('',(15.7168804524423,4.25,23.678355737357)); #225295=CARTESIAN_POINT('',(10.088878,4.24,17.9667816)); #225296=CARTESIAN_POINT('',(10.088878,4.25,17.9667816)); #225297=CARTESIAN_POINT('',(10.088878,4.24,17.9667816)); #225298=CARTESIAN_POINT('Origin',(10.092494,4.25,17.9697227)); #225299=CARTESIAN_POINT('',(10.092494,4.25,17.9697227)); #225300=CARTESIAN_POINT('',(16.5399774022018,4.25,23.213830397513)); #225301=CARTESIAN_POINT('',(10.092494,4.24,17.9697227)); #225302=CARTESIAN_POINT('',(10.092494,4.25,17.9697227)); #225303=CARTESIAN_POINT('',(10.092494,4.24,17.9697227)); #225304=CARTESIAN_POINT('Origin',(10.096689,4.25,17.9722958)); #225305=CARTESIAN_POINT('',(10.096689,4.25,17.9722958)); #225306=CARTESIAN_POINT('',(17.3679903590254,4.25,22.4323161878198)); #225307=CARTESIAN_POINT('',(10.096689,4.24,17.9722958)); #225308=CARTESIAN_POINT('',(10.096689,4.25,17.9722958)); #225309=CARTESIAN_POINT('',(10.096689,4.24,17.9722958)); #225310=CARTESIAN_POINT('Origin',(10.101461,4.25,17.9744644)); #225311=CARTESIAN_POINT('',(10.101461,4.25,17.9744644)); #225312=CARTESIAN_POINT('',(17.9302876711571,4.25,21.5322166043337)); #225313=CARTESIAN_POINT('',(10.101461,4.24,17.9744644)); #225314=CARTESIAN_POINT('',(10.101461,4.25,17.9744644)); #225315=CARTESIAN_POINT('',(10.101461,4.24,17.9744644)); #225316=CARTESIAN_POINT('Origin',(10.106808,4.25,17.9762363)); #225317=CARTESIAN_POINT('',(10.106808,4.25,17.9762363)); #225318=CARTESIAN_POINT('',(18.225618929017,4.25,20.6666647804788)); #225319=CARTESIAN_POINT('',(10.106808,4.24,17.9762363)); #225320=CARTESIAN_POINT('',(10.106808,4.25,17.9762363)); #225321=CARTESIAN_POINT('',(10.106808,4.24,17.9762363)); #225322=CARTESIAN_POINT('Origin',(10.1324693557873,4.25,17.8770764630183)); #225323=CARTESIAN_POINT('',(10.133951,4.25,17.9794922)); #225324=CARTESIAN_POINT('Origin',(10.1324693557873,4.25,17.8770764630183)); #225325=CARTESIAN_POINT('',(10.133951,4.24,17.9794922)); #225326=CARTESIAN_POINT('',(10.133951,4.25,17.9794922)); #225327=CARTESIAN_POINT('Origin',(10.1324693557873,4.24,17.8770764630183)); #225328=CARTESIAN_POINT('Origin',(10.207275,4.25,17.9794979)); #225329=CARTESIAN_POINT('',(10.207275,4.25,17.9794979)); #225330=CARTESIAN_POINT('',(18.003910329855,4.25,17.9801039883393)); #225331=CARTESIAN_POINT('',(10.207275,4.24,17.9794979)); #225332=CARTESIAN_POINT('',(10.207275,4.25,17.9794979)); #225333=CARTESIAN_POINT('',(10.207275,4.24,17.9794979)); #225334=CARTESIAN_POINT('Origin',(10.207304,4.25,19.0145988)); #225335=CARTESIAN_POINT('',(10.207304,4.25,19.0145988)); #225336=CARTESIAN_POINT('',(10.2073878513924,4.25,22.0075178247548)); #225337=CARTESIAN_POINT('',(10.207304,4.24,19.0145988)); #225338=CARTESIAN_POINT('',(10.207304,4.25,19.0145988)); #225339=CARTESIAN_POINT('',(10.207304,4.24,19.0145988)); #225340=CARTESIAN_POINT('Origin',(10.1681218435778,4.25,19.3517232732105)); #225341=CARTESIAN_POINT('',(10.106808,4.25,19.0179138)); #225342=CARTESIAN_POINT('Origin',(10.1681218435778,4.25,19.3517232732105)); #225343=CARTESIAN_POINT('',(10.106808,4.24,19.0179138)); #225344=CARTESIAN_POINT('',(10.106808,4.25,19.0179138)); #225345=CARTESIAN_POINT('Origin',(10.1681218435778,4.24,19.3517232732105)); #225346=CARTESIAN_POINT('Origin',(10.101461,4.25,19.0197048)); #225347=CARTESIAN_POINT('',(10.101461,4.25,19.0197048)); #225348=CARTESIAN_POINT('',(16.2583951315225,4.25,16.9574139771917)); #225349=CARTESIAN_POINT('',(10.101461,4.24,19.0197048)); #225350=CARTESIAN_POINT('',(10.101461,4.25,19.0197048)); #225351=CARTESIAN_POINT('',(10.101461,4.24,19.0197048)); #225352=CARTESIAN_POINT('Origin',(10.096689,4.25,19.0218887)); #225353=CARTESIAN_POINT('',(10.096689,4.25,19.0218887)); #225354=CARTESIAN_POINT('',(15.4576038819358,4.25,16.5684725200626)); #225355=CARTESIAN_POINT('',(10.096689,4.24,19.0218887)); #225356=CARTESIAN_POINT('',(10.096689,4.25,19.0218887)); #225357=CARTESIAN_POINT('',(10.096689,4.24,19.0218887)); #225358=CARTESIAN_POINT('Origin',(10.092494,4.25,19.0244694)); #225359=CARTESIAN_POINT('',(14.4566098313074,4.25,16.3397319206533)); #225360=CARTESIAN_POINT('',(10.092494,4.24,19.0244694)); #225361=CARTESIAN_POINT('Origin',(10.5901969960943,4.24,18.4984416852835)); #225362=CARTESIAN_POINT('Origin',(11.7761267268682,4.25,18.7210791291958)); #225363=CARTESIAN_POINT('',(11.463344,4.25,18.8206844)); #225364=CARTESIAN_POINT('',(11.803068,4.25,19.0482311)); #225365=CARTESIAN_POINT('Origin',(11.7761267268682,4.25,18.7210791291958)); #225366=CARTESIAN_POINT('',(11.463344,4.24,18.8206844)); #225367=CARTESIAN_POINT('',(11.463344,4.25,18.8206844)); #225368=CARTESIAN_POINT('',(11.803068,4.24,19.0482311)); #225369=CARTESIAN_POINT('Origin',(11.7761267268682,4.24,18.7210791291958)); #225370=CARTESIAN_POINT('',(11.803068,4.25,19.0482311)); #225371=CARTESIAN_POINT('Origin',(11.46168,4.25,18.8089733)); #225372=CARTESIAN_POINT('',(11.46168,4.25,18.8089733)); #225373=CARTESIAN_POINT('',(12.0346822520094,4.25,22.8417176951336)); #225374=CARTESIAN_POINT('',(11.46168,4.24,18.8089733)); #225375=CARTESIAN_POINT('',(11.46168,4.25,18.8089733)); #225376=CARTESIAN_POINT('',(11.46168,4.24,18.8089733)); #225377=CARTESIAN_POINT('Origin',(11.2790995045334,4.25,18.8134581889644)); #225378=CARTESIAN_POINT('',(11.459011,4.25,18.782032)); #225379=CARTESIAN_POINT('Origin',(11.2790995045334,4.25,18.8134581889644)); #225380=CARTESIAN_POINT('',(11.459011,4.24,18.782032)); #225381=CARTESIAN_POINT('',(11.459011,4.25,18.782032)); #225382=CARTESIAN_POINT('Origin',(11.2790995045334,4.24,18.8134581889644)); #225383=CARTESIAN_POINT('Origin',(11.3625424885733,4.25,18.8036100847012)); #225384=CARTESIAN_POINT('',(11.456029,4.25,18.7714844)); #225385=CARTESIAN_POINT('Origin',(11.3625424885733,4.25,18.8036100847012)); #225386=CARTESIAN_POINT('',(11.456029,4.24,18.7714844)); #225387=CARTESIAN_POINT('',(11.456029,4.25,18.7714844)); #225388=CARTESIAN_POINT('Origin',(11.3625424885733,4.24,18.8036100847012)); #225389=CARTESIAN_POINT('Origin',(11.45401,4.25,18.7669582)); #225390=CARTESIAN_POINT('',(11.45401,4.25,18.7669582)); #225391=CARTESIAN_POINT('',(13.8038892209786,4.25,24.0349241881163)); #225392=CARTESIAN_POINT('',(11.45401,4.24,18.7669582)); #225393=CARTESIAN_POINT('',(11.45401,4.25,18.7669582)); #225394=CARTESIAN_POINT('',(11.45401,4.24,18.7669582)); #225395=CARTESIAN_POINT('Origin',(11.451638,4.25,18.7629261)); #225396=CARTESIAN_POINT('',(11.451638,4.25,18.7629261)); #225397=CARTESIAN_POINT('',(14.6590103828355,4.25,24.2150534966431)); #225398=CARTESIAN_POINT('',(11.451638,4.24,18.7629261)); #225399=CARTESIAN_POINT('',(11.451638,4.25,18.7629261)); #225400=CARTESIAN_POINT('',(11.451638,4.24,18.7629261)); #225401=CARTESIAN_POINT('Origin',(11.448909,4.25,18.759388)); #225402=CARTESIAN_POINT('',(11.448909,4.25,18.759388)); #225403=CARTESIAN_POINT('',(15.634484136676,4.25,24.1859117783315)); #225404=CARTESIAN_POINT('',(11.448909,4.24,18.759388)); #225405=CARTESIAN_POINT('',(11.448909,4.25,18.759388)); #225406=CARTESIAN_POINT('',(11.448909,4.24,18.759388)); #225407=CARTESIAN_POINT('Origin',(11.44593,4.25,18.7563438)); #225408=CARTESIAN_POINT('',(11.44593,4.25,18.7563438)); #225409=CARTESIAN_POINT('',(16.5173148447738,4.25,23.9387237075081)); #225410=CARTESIAN_POINT('',(11.44593,4.24,18.7563438)); #225411=CARTESIAN_POINT('',(11.44593,4.25,18.7563438)); #225412=CARTESIAN_POINT('',(11.44593,4.24,18.7563438)); #225413=CARTESIAN_POINT('Origin',(11.442762,4.25,18.7536964)); #225414=CARTESIAN_POINT('',(11.442762,4.25,18.7536964)); #225415=CARTESIAN_POINT('',(17.2063449456239,4.25,23.570145102723)); #225416=CARTESIAN_POINT('',(11.442762,4.24,18.7536964)); #225417=CARTESIAN_POINT('',(11.442762,4.25,18.7536964)); #225418=CARTESIAN_POINT('',(11.442762,4.24,18.7536964)); #225419=CARTESIAN_POINT('Origin',(11.439359,4.25,18.7514267)); #225420=CARTESIAN_POINT('',(11.439359,4.25,18.7514267)); #225421=CARTESIAN_POINT('',(17.8511877451264,4.25,23.0279261718852)); #225422=CARTESIAN_POINT('',(11.439359,4.24,18.7514267)); #225423=CARTESIAN_POINT('',(11.439359,4.25,18.7514267)); #225424=CARTESIAN_POINT('',(11.439359,4.24,18.7514267)); #225425=CARTESIAN_POINT('Origin',(11.435729,4.25,18.7495346)); #225426=CARTESIAN_POINT('',(11.435729,4.25,18.7495346)); #225427=CARTESIAN_POINT('',(18.3644028632248,4.25,22.3610342739943)); #225428=CARTESIAN_POINT('',(11.435729,4.24,18.7495346)); #225429=CARTESIAN_POINT('',(11.435729,4.25,18.7495346)); #225430=CARTESIAN_POINT('',(11.435729,4.24,18.7495346)); #225431=CARTESIAN_POINT('Origin',(11.431876,4.25,18.748024)); #225432=CARTESIAN_POINT('',(11.431876,4.25,18.748024)); #225433=CARTESIAN_POINT('',(18.7210856575064,4.25,21.6058179550031)); #225434=CARTESIAN_POINT('',(11.431876,4.24,18.748024)); #225435=CARTESIAN_POINT('',(11.431876,4.25,18.748024)); #225436=CARTESIAN_POINT('',(11.431876,4.24,18.748024)); #225437=CARTESIAN_POINT('Origin',(11.427811,4.25,18.7469006)); #225438=CARTESIAN_POINT('',(11.427811,4.25,18.7469006)); #225439=CARTESIAN_POINT('',(18.906756295423,4.25,20.8137756663948)); #225440=CARTESIAN_POINT('',(11.427811,4.24,18.7469006)); #225441=CARTESIAN_POINT('',(11.427811,4.25,18.7469006)); #225442=CARTESIAN_POINT('',(11.427811,4.24,18.7469006)); #225443=CARTESIAN_POINT('Origin',(11.4135347430715,4.25,18.8268584146553)); #225444=CARTESIAN_POINT('',(11.419068,4.25,18.7458248)); #225445=CARTESIAN_POINT('Origin',(11.4135347430715,4.25,18.8268584146553)); #225446=CARTESIAN_POINT('',(11.419068,4.24,18.7458248)); #225447=CARTESIAN_POINT('',(11.419068,4.25,18.7458248)); #225448=CARTESIAN_POINT('Origin',(11.4135347430715,4.24,18.8268584146553)); #225449=CARTESIAN_POINT('Origin',(11.414301,4.25,18.7458878)); #225450=CARTESIAN_POINT('',(11.414301,4.25,18.7458878)); #225451=CARTESIAN_POINT('',(18.5645749082121,4.25,18.6513907879986)); #225452=CARTESIAN_POINT('',(11.414301,4.24,18.7458878)); #225453=CARTESIAN_POINT('',(11.414301,4.25,18.7458878)); #225454=CARTESIAN_POINT('',(11.414301,4.24,18.7458878)); #225455=CARTESIAN_POINT('Origin',(11.409644,4.25,18.7463799)); #225456=CARTESIAN_POINT('',(11.409644,4.25,18.7463799)); #225457=CARTESIAN_POINT('',(18.1986104553584,4.25,18.0289973806315)); #225458=CARTESIAN_POINT('',(11.409644,4.24,18.7463799)); #225459=CARTESIAN_POINT('',(11.409644,4.25,18.7463799)); #225460=CARTESIAN_POINT('',(11.409644,4.24,18.7463799)); #225461=CARTESIAN_POINT('Origin',(11.405235,4.25,18.7472992)); #225462=CARTESIAN_POINT('',(11.405235,4.25,18.7472992)); #225463=CARTESIAN_POINT('',(17.6780494767783,4.25,17.4393839474496)); #225464=CARTESIAN_POINT('',(11.405235,4.24,18.7472992)); #225465=CARTESIAN_POINT('',(11.405235,4.25,18.7472992)); #225466=CARTESIAN_POINT('',(11.405235,4.24,18.7472992)); #225467=CARTESIAN_POINT('Origin',(11.401075,4.25,18.7486439)); #225468=CARTESIAN_POINT('',(11.401075,4.25,18.7486439)); #225469=CARTESIAN_POINT('',(17.0046715580786,4.25,16.93730825297)); #225470=CARTESIAN_POINT('',(11.401075,4.24,18.7486439)); #225471=CARTESIAN_POINT('',(11.401075,4.25,18.7486439)); #225472=CARTESIAN_POINT('',(11.401075,4.24,18.7486439)); #225473=CARTESIAN_POINT('Origin',(11.397166,4.25,18.7504082)); #225474=CARTESIAN_POINT('',(11.397166,4.25,18.7504082)); #225475=CARTESIAN_POINT('',(16.2081748095454,4.25,16.578992788723)); #225476=CARTESIAN_POINT('',(11.397166,4.24,18.7504082)); #225477=CARTESIAN_POINT('',(11.397166,4.25,18.7504082)); #225478=CARTESIAN_POINT('',(11.397166,4.24,18.7504082)); #225479=CARTESIAN_POINT('Origin',(11.393509,4.25,18.7525845)); #225480=CARTESIAN_POINT('',(11.393509,4.25,18.7525845)); #225481=CARTESIAN_POINT('',(15.3401245023705,4.25,16.4039327860791)); #225482=CARTESIAN_POINT('',(11.393509,4.24,18.7525845)); #225483=CARTESIAN_POINT('',(11.393509,4.25,18.7525845)); #225484=CARTESIAN_POINT('',(11.393509,4.24,18.7525845)); #225485=CARTESIAN_POINT('Origin',(11.4416883486131,4.25,18.8158633252794)); #225486=CARTESIAN_POINT('',(11.386955,4.25,18.7581596)); #225487=CARTESIAN_POINT('Origin',(11.4416883486131,4.25,18.8158633252794)); #225488=CARTESIAN_POINT('',(11.386955,4.24,18.7581596)); #225489=CARTESIAN_POINT('',(11.386955,4.25,18.7581596)); #225490=CARTESIAN_POINT('Origin',(11.4416883486131,4.24,18.8158633252794)); #225491=CARTESIAN_POINT('Origin',(11.384015,4.25,18.7615986)); #225492=CARTESIAN_POINT('',(11.384015,4.25,18.7615986)); #225493=CARTESIAN_POINT('',(12.8869629129091,4.25,17.0035585073146)); #225494=CARTESIAN_POINT('',(11.384015,4.24,18.7615986)); #225495=CARTESIAN_POINT('',(11.384015,4.25,18.7615986)); #225496=CARTESIAN_POINT('',(11.384015,4.24,18.7615986)); #225497=CARTESIAN_POINT('Origin',(11.38143,4.25,18.7656269)); #225498=CARTESIAN_POINT('',(11.38143,4.25,18.7656269)); #225499=CARTESIAN_POINT('',(12.0673623436087,4.25,17.6967134532845)); #225500=CARTESIAN_POINT('',(11.38143,4.24,18.7656269)); #225501=CARTESIAN_POINT('',(11.38143,4.25,18.7656269)); #225502=CARTESIAN_POINT('',(11.38143,4.24,18.7656269)); #225503=CARTESIAN_POINT('Origin',(11.379235,4.25,18.770256)); #225504=CARTESIAN_POINT('',(11.379235,4.25,18.770256)); #225505=CARTESIAN_POINT('',(11.4969637966139,4.25,18.5219742813644)); #225506=CARTESIAN_POINT('',(11.379235,4.24,18.770256)); #225507=CARTESIAN_POINT('',(11.379235,4.25,18.770256)); #225508=CARTESIAN_POINT('',(11.379235,4.24,18.770256)); #225509=CARTESIAN_POINT('Origin',(12.1316815233765,4.25,18.9255471702707)); #225510=CARTESIAN_POINT('',(11.374622,4.25,19.0565128)); #225511=CARTESIAN_POINT('Origin',(12.1316815233765,4.25,18.9255471702707)); #225512=CARTESIAN_POINT('',(11.374622,4.24,19.0565128)); #225513=CARTESIAN_POINT('',(11.374622,4.25,19.0565128)); #225514=CARTESIAN_POINT('Origin',(12.1316815233765,4.24,18.9255471702707)); #225515=CARTESIAN_POINT('Origin',(11.4912330129159,4.25,19.0427478558249)); #225516=CARTESIAN_POINT('',(11.376804,4.25,19.0690842)); #225517=CARTESIAN_POINT('Origin',(11.4912330129159,4.25,19.0427478558249)); #225518=CARTESIAN_POINT('',(11.376804,4.24,19.0690842)); #225519=CARTESIAN_POINT('',(11.376804,4.25,19.0690842)); #225520=CARTESIAN_POINT('Origin',(11.4912330129159,4.24,19.0427478558249)); #225521=CARTESIAN_POINT('Origin',(11.37848,4.25,19.0745087)); #225522=CARTESIAN_POINT('',(11.37848,4.25,19.0745087)); #225523=CARTESIAN_POINT('',(12.8424709178501,4.25,23.8128253669933)); #225524=CARTESIAN_POINT('',(11.37848,4.24,19.0745087)); #225525=CARTESIAN_POINT('',(11.37848,4.25,19.0745087)); #225526=CARTESIAN_POINT('',(11.37848,4.24,19.0745087)); #225527=CARTESIAN_POINT('Origin',(11.380543,4.25,19.079361)); #225528=CARTESIAN_POINT('',(11.380543,4.25,19.079361)); #225529=CARTESIAN_POINT('',(13.5501958975037,4.25,24.1825150254735)); #225530=CARTESIAN_POINT('',(11.380543,4.24,19.079361)); #225531=CARTESIAN_POINT('',(11.380543,4.25,19.079361)); #225532=CARTESIAN_POINT('',(11.380543,4.24,19.079361)); #225533=CARTESIAN_POINT('Origin',(11.38299,4.25,19.0836411)); #225534=CARTESIAN_POINT('',(11.38299,4.25,19.0836411)); #225535=CARTESIAN_POINT('',(14.4333525855317,4.25,24.4190954940902)); #225536=CARTESIAN_POINT('',(11.38299,4.24,19.0836411)); #225537=CARTESIAN_POINT('',(11.38299,4.25,19.0836411)); #225538=CARTESIAN_POINT('',(11.38299,4.24,19.0836411)); #225539=CARTESIAN_POINT('Origin',(11.385818,4.25,19.0873508)); #225540=CARTESIAN_POINT('',(11.385818,4.25,19.0873508)); #225541=CARTESIAN_POINT('',(15.4601019320664,4.25,24.4318950371975)); #225542=CARTESIAN_POINT('',(11.385818,4.24,19.0873508)); #225543=CARTESIAN_POINT('',(11.385818,4.25,19.0873508)); #225544=CARTESIAN_POINT('',(11.385818,4.24,19.0873508)); #225545=CARTESIAN_POINT('Origin',(11.38902,4.25,19.0905495)); #225546=CARTESIAN_POINT('',(11.38902,4.25,19.0905495)); #225547=CARTESIAN_POINT('',(16.4728417630793,4.25,24.1691318465164)); #225548=CARTESIAN_POINT('',(11.38902,4.24,19.0905495)); #225549=CARTESIAN_POINT('',(11.38902,4.25,19.0905495)); #225550=CARTESIAN_POINT('',(11.38902,4.24,19.0905495)); #225551=CARTESIAN_POINT('Origin',(11.392414,4.25,19.0933418)); #225552=CARTESIAN_POINT('',(11.392414,4.25,19.0933418)); #225553=CARTESIAN_POINT('',(17.1373963988026,4.25,23.8198339485492)); #225554=CARTESIAN_POINT('',(11.392414,4.24,19.0933418)); #225555=CARTESIAN_POINT('',(11.392414,4.25,19.0933418)); #225556=CARTESIAN_POINT('',(11.392414,4.24,19.0933418)); #225557=CARTESIAN_POINT('Origin',(11.395988,4.25,19.0957279)); #225558=CARTESIAN_POINT('',(11.395988,4.25,19.0957279)); #225559=CARTESIAN_POINT('',(17.7408494959044,4.25,23.3317307022891)); #225560=CARTESIAN_POINT('',(11.395988,4.24,19.0957279)); #225561=CARTESIAN_POINT('',(11.395988,4.25,19.0957279)); #225562=CARTESIAN_POINT('',(11.395988,4.24,19.0957279)); #225563=CARTESIAN_POINT('Origin',(11.399745,4.25,19.0977039)); #225564=CARTESIAN_POINT('',(11.399745,4.25,19.0977039)); #225565=CARTESIAN_POINT('',(18.255546927013,4.25,22.7035235986393)); #225566=CARTESIAN_POINT('',(11.399745,4.24,19.0977039)); #225567=CARTESIAN_POINT('',(11.399745,4.25,19.0977039)); #225568=CARTESIAN_POINT('',(11.399745,4.24,19.0977039)); #225569=CARTESIAN_POINT('Origin',(11.403691,4.25,19.0992622)); #225570=CARTESIAN_POINT('',(11.403691,4.25,19.0992622)); #225571=CARTESIAN_POINT('',(18.6386638066245,4.25,21.956398065321)); #225572=CARTESIAN_POINT('',(11.403691,4.24,19.0992622)); #225573=CARTESIAN_POINT('',(11.403691,4.25,19.0992622)); #225574=CARTESIAN_POINT('',(11.403691,4.24,19.0992622)); #225575=CARTESIAN_POINT('Origin',(11.407831,4.25,19.100399)); #225576=CARTESIAN_POINT('',(11.407831,4.25,19.100399)); #225577=CARTESIAN_POINT('',(18.8525710086742,4.25,21.1446454835398)); #225578=CARTESIAN_POINT('',(11.407831,4.24,19.100399)); #225579=CARTESIAN_POINT('',(11.407831,4.25,19.100399)); #225580=CARTESIAN_POINT('',(11.407831,4.24,19.100399)); #225581=CARTESIAN_POINT('Origin',(11.412169,4.25,19.1011124)); #225582=CARTESIAN_POINT('',(11.412169,4.25,19.1011124)); #225583=CARTESIAN_POINT('',(18.8889224854419,4.25,20.3306919151054)); #225584=CARTESIAN_POINT('',(11.412169,4.24,19.1011124)); #225585=CARTESIAN_POINT('',(11.412169,4.25,19.1011124)); #225586=CARTESIAN_POINT('',(11.412169,4.24,19.1011124)); #225587=CARTESIAN_POINT('Origin',(11.41671,4.25,19.1013927)); #225588=CARTESIAN_POINT('',(11.41671,4.25,19.1013927)); #225589=CARTESIAN_POINT('',(18.7624168510254,4.25,19.5548174148934)); #225590=CARTESIAN_POINT('',(11.41671,4.24,19.1013927)); #225591=CARTESIAN_POINT('',(11.41671,4.25,19.1013927)); #225592=CARTESIAN_POINT('',(11.41671,4.24,19.1013927)); #225593=CARTESIAN_POINT('Origin',(11.421492,4.25,19.1012402)); #225594=CARTESIAN_POINT('',(11.421492,4.25,19.1012402)); #225595=CARTESIAN_POINT('',(18.5094805515539,4.25,18.8752012510026)); #225596=CARTESIAN_POINT('',(11.421492,4.24,19.1012402)); #225597=CARTESIAN_POINT('',(11.421492,4.25,19.1012402)); #225598=CARTESIAN_POINT('',(11.421492,4.24,19.1012402)); #225599=CARTESIAN_POINT('Origin',(11.426081,4.25,19.1006565)); #225600=CARTESIAN_POINT('',(11.426081,4.25,19.1006565)); #225601=CARTESIAN_POINT('',(18.1294046483025,4.25,18.2480241152728)); #225602=CARTESIAN_POINT('',(11.426081,4.24,19.1006565)); #225603=CARTESIAN_POINT('',(11.426081,4.25,19.1006565)); #225604=CARTESIAN_POINT('',(11.426081,4.24,19.1006565)); #225605=CARTESIAN_POINT('Origin',(11.430434,4.25,19.0996437)); #225606=CARTESIAN_POINT('',(11.430434,4.25,19.0996437)); #225607=CARTESIAN_POINT('',(17.5950900875783,4.25,17.6653308845855)); #225608=CARTESIAN_POINT('',(11.430434,4.24,19.0996437)); #225609=CARTESIAN_POINT('',(11.430434,4.25,19.0996437)); #225610=CARTESIAN_POINT('',(11.430434,4.24,19.0996437)); #225611=CARTESIAN_POINT('Origin',(11.434546,4.25,19.0982113)); #225612=CARTESIAN_POINT('',(11.434546,4.25,19.0982113)); #225613=CARTESIAN_POINT('',(16.9233055284293,4.25,17.1862222074595)); #225614=CARTESIAN_POINT('',(11.434546,4.24,19.0982113)); #225615=CARTESIAN_POINT('',(11.434546,4.25,19.0982113)); #225616=CARTESIAN_POINT('',(11.434546,4.24,19.0982113)); #225617=CARTESIAN_POINT('Origin',(11.438413,4.25,19.0963593)); #225618=CARTESIAN_POINT('',(11.438413,4.25,19.0963593)); #225619=CARTESIAN_POINT('',(16.1295170839921,4.25,16.8496758855821)); #225620=CARTESIAN_POINT('',(11.438413,4.24,19.0963593)); #225621=CARTESIAN_POINT('',(11.438413,4.25,19.0963593)); #225622=CARTESIAN_POINT('',(11.438413,4.24,19.0963593)); #225623=CARTESIAN_POINT('Origin',(11.442027,4.25,19.0940952)); #225624=CARTESIAN_POINT('',(11.442027,4.25,19.0940952)); #225625=CARTESIAN_POINT('',(15.2690326938842,4.25,16.696551317455)); #225626=CARTESIAN_POINT('',(11.442027,4.24,19.0940952)); #225627=CARTESIAN_POINT('',(11.442027,4.25,19.0940952)); #225628=CARTESIAN_POINT('',(11.442027,4.24,19.0940952)); #225629=CARTESIAN_POINT('Origin',(11.445389,4.25,19.091423)); #225630=CARTESIAN_POINT('',(11.445389,4.25,19.091423)); #225631=CARTESIAN_POINT('',(14.4048965407176,4.25,16.7391338714141)); #225632=CARTESIAN_POINT('',(11.445389,4.24,19.091423)); #225633=CARTESIAN_POINT('',(11.445389,4.25,19.091423)); #225634=CARTESIAN_POINT('',(11.445389,4.24,19.091423)); #225635=CARTESIAN_POINT('Origin',(11.448489,4.25,19.0883484)); #225636=CARTESIAN_POINT('',(11.448489,4.25,19.0883484)); #225637=CARTESIAN_POINT('',(13.5880217480073,4.25,16.9663460170893)); #225638=CARTESIAN_POINT('',(11.448489,4.24,19.0883484)); #225639=CARTESIAN_POINT('',(11.448489,4.25,19.0883484)); #225640=CARTESIAN_POINT('',(11.448489,4.24,19.0883484)); #225641=CARTESIAN_POINT('Origin',(11.451375,4.25,19.0846863)); #225642=CARTESIAN_POINT('',(11.451375,4.25,19.0846863)); #225643=CARTESIAN_POINT('',(12.7621345048326,4.25,17.4214387661643)); #225644=CARTESIAN_POINT('',(11.451375,4.24,19.0846863)); #225645=CARTESIAN_POINT('',(11.451375,4.25,19.0846863)); #225646=CARTESIAN_POINT('',(11.451375,4.24,19.0846863)); #225647=CARTESIAN_POINT('Origin',(11.453876,4.25,19.0804768)); #225648=CARTESIAN_POINT('',(11.453876,4.25,19.0804768)); #225649=CARTESIAN_POINT('',(12.0256099689119,4.25,18.1181760634405)); #225650=CARTESIAN_POINT('',(11.453876,4.24,19.0804768)); #225651=CARTESIAN_POINT('',(11.453876,4.25,19.0804768)); #225652=CARTESIAN_POINT('',(11.453876,4.24,19.0804768)); #225653=CARTESIAN_POINT('Origin',(11.455988,4.25,19.0757351)); #225654=CARTESIAN_POINT('',(11.455988,4.25,19.0757351)); #225655=CARTESIAN_POINT('',(11.5423462945034,4.25,18.8818500976102)); #225656=CARTESIAN_POINT('',(11.455988,4.24,19.0757351)); #225657=CARTESIAN_POINT('',(11.455988,4.25,19.0757351)); #225658=CARTESIAN_POINT('',(11.455988,4.24,19.0757351)); #225659=CARTESIAN_POINT('Origin',(11.457712,4.25,19.0704594)); #225660=CARTESIAN_POINT('',(11.457712,4.25,19.0704594)); #225661=CARTESIAN_POINT('',(11.2742496021176,4.25,19.6318820058629)); #225662=CARTESIAN_POINT('',(11.457712,4.24,19.0704594)); #225663=CARTESIAN_POINT('',(11.457712,4.25,19.0704594)); #225664=CARTESIAN_POINT('',(11.457712,4.24,19.0704594)); #225665=CARTESIAN_POINT('Origin',(11.459052,4.25,19.0646477)); #225666=CARTESIAN_POINT('',(11.459052,4.25,19.0646477)); #225667=CARTESIAN_POINT('',(11.1712931043851,4.25,20.3126837997343)); #225668=CARTESIAN_POINT('',(11.459052,4.24,19.0646477)); #225669=CARTESIAN_POINT('',(11.459052,4.25,19.0646477)); #225670=CARTESIAN_POINT('',(11.459052,4.24,19.0646477)); #225671=CARTESIAN_POINT('Origin',(11.3422656870803,4.25,19.044351288229)); #225672=CARTESIAN_POINT('',(11.460802,4.25,19.0439911)); #225673=CARTESIAN_POINT('Origin',(11.3422656870803,4.25,19.044351288229)); #225674=CARTESIAN_POINT('',(11.460802,4.24,19.0439911)); #225675=CARTESIAN_POINT('',(11.460802,4.25,19.0439911)); #225676=CARTESIAN_POINT('Origin',(11.3422656870803,4.24,19.044351288229)); #225677=CARTESIAN_POINT('Origin',(11.460804,4.25,18.9937992)); #225678=CARTESIAN_POINT('',(11.460804,4.25,18.9937992)); #225679=CARTESIAN_POINT('',(11.4606843466412,4.25,21.9966139077804)); #225680=CARTESIAN_POINT('',(11.460804,4.24,18.9937992)); #225681=CARTESIAN_POINT('',(11.460804,4.25,18.9937992)); #225682=CARTESIAN_POINT('',(11.460804,4.24,18.9937992)); #225683=CARTESIAN_POINT('Origin',(11.8289778455863,4.25,18.5938495498804)); #225684=CARTESIAN_POINT('',(12.043272,4.25,19.0934391)); #225685=CARTESIAN_POINT('Origin',(11.8289778455863,4.25,18.5938495498804)); #225686=CARTESIAN_POINT('',(12.043272,4.24,19.0934391)); #225687=CARTESIAN_POINT('',(12.043272,4.25,19.0934391)); #225688=CARTESIAN_POINT('Origin',(11.8289778455863,4.24,18.5938495498804)); #225689=CARTESIAN_POINT('Origin',(11.9170936336606,4.25,18.7735024081034)); #225690=CARTESIAN_POINT('',(12.253937,4.25,18.7040977)); #225691=CARTESIAN_POINT('Origin',(11.9170936336606,4.25,18.7735024081034)); #225692=CARTESIAN_POINT('',(12.253937,4.24,18.7040977)); #225693=CARTESIAN_POINT('',(12.253937,4.25,18.7040977)); #225694=CARTESIAN_POINT('Origin',(11.9170936336606,4.24,18.7735024081034)); #225695=CARTESIAN_POINT('Origin',(11.8934044330507,4.25,18.8204177053537)); #225696=CARTESIAN_POINT('',(11.868427,4.25,18.4424095)); #225697=CARTESIAN_POINT('Origin',(11.8934044330507,4.25,18.8204177053537)); #225698=CARTESIAN_POINT('',(11.868427,4.24,18.4424095)); #225699=CARTESIAN_POINT('',(11.868427,4.25,18.4424095)); #225700=CARTESIAN_POINT('Origin',(11.8934044330507,4.24,18.8204177053537)); #225701=CARTESIAN_POINT('Origin',(11.804091,4.25,18.431385)); #225702=CARTESIAN_POINT('',(11.804091,4.25,18.431385)); #225703=CARTESIAN_POINT('',(19.1491605822515,4.25,19.6900227706032)); #225704=CARTESIAN_POINT('',(11.804091,4.24,18.431385)); #225705=CARTESIAN_POINT('',(11.804091,4.25,18.431385)); #225706=CARTESIAN_POINT('',(11.804091,4.24,18.431385)); #225707=CARTESIAN_POINT('Origin',(11.7936003603583,4.25,18.1201272110334)); #225708=CARTESIAN_POINT('',(11.513066,4.25,18.2553749)); #225709=CARTESIAN_POINT('Origin',(11.7936003603583,4.25,18.1201272110334)); #225710=CARTESIAN_POINT('',(11.513066,4.24,18.2553749)); #225711=CARTESIAN_POINT('',(11.513066,4.25,18.2553749)); #225712=CARTESIAN_POINT('Origin',(11.7936003603583,4.24,18.1201272110334)); #225713=CARTESIAN_POINT('Origin',(11.7524248511041,4.25,18.2023707303112)); #225714=CARTESIAN_POINT('',(11.555162,4.25,18.0568047)); #225715=CARTESIAN_POINT('Origin',(11.7524248511041,4.25,18.2023707303112)); #225716=CARTESIAN_POINT('',(11.555162,4.24,18.0568047)); #225717=CARTESIAN_POINT('',(11.555162,4.25,18.0568047)); #225718=CARTESIAN_POINT('Origin',(11.7524248511041,4.24,18.2023707303112)); #225719=CARTESIAN_POINT('Origin',(11.7515242549914,4.25,18.2040120023237)); #225720=CARTESIAN_POINT('',(11.733213,4.25,17.9592819)); #225721=CARTESIAN_POINT('Origin',(11.7515242549914,4.25,18.2040120023237)); #225722=CARTESIAN_POINT('',(11.733213,4.24,17.9592819)); #225723=CARTESIAN_POINT('',(11.733213,4.25,17.9592819)); #225724=CARTESIAN_POINT('Origin',(11.7515242549914,4.24,18.2040120023237)); #225725=CARTESIAN_POINT('Origin',(11.8253825844766,4.25,18.2726535718308)); #225726=CARTESIAN_POINT('',(12.117017,4.25,18.1255264)); #225727=CARTESIAN_POINT('Origin',(11.8253825844766,4.25,18.2726535718308)); #225728=CARTESIAN_POINT('',(12.117017,4.24,18.1255264)); #225729=CARTESIAN_POINT('',(12.117017,4.25,18.1255264)); #225730=CARTESIAN_POINT('Origin',(11.8253825844766,4.24,18.2726535718308)); #225731=CARTESIAN_POINT('Origin',(12.123107,4.25,18.1462593)); #225732=CARTESIAN_POINT('',(12.123107,4.25,18.1462593)); #225733=CARTESIAN_POINT('',(13.5929132484196,4.25,23.1500927922585)); #225734=CARTESIAN_POINT('',(12.123107,4.24,18.1462593)); #225735=CARTESIAN_POINT('',(12.123107,4.25,18.1462593)); #225736=CARTESIAN_POINT('',(12.123107,4.24,18.1462593)); #225737=CARTESIAN_POINT('Origin',(12.5973928946702,4.25,18.1046418722267)); #225738=CARTESIAN_POINT('',(12.130686,4.25,18.1987896)); #225739=CARTESIAN_POINT('Origin',(12.5973928946702,4.25,18.1046418722267)); #225740=CARTESIAN_POINT('',(12.130686,4.24,18.1987896)); #225741=CARTESIAN_POINT('',(12.130686,4.25,18.1987896)); #225742=CARTESIAN_POINT('Origin',(12.5973928946702,4.24,18.1046418722267)); #225743=CARTESIAN_POINT('Origin',(12.132349,4.25,18.2037926)); #225744=CARTESIAN_POINT('',(12.132349,4.25,18.2037926)); #225745=CARTESIAN_POINT('',(13.8294391399893,4.25,23.3093500085155)); #225746=CARTESIAN_POINT('',(12.132349,4.24,18.2037926)); #225747=CARTESIAN_POINT('',(12.132349,4.25,18.2037926)); #225748=CARTESIAN_POINT('',(12.132349,4.24,18.2037926)); #225749=CARTESIAN_POINT('Origin',(12.134362,4.25,18.2082958)); #225750=CARTESIAN_POINT('',(12.134362,4.25,18.2082958)); #225751=CARTESIAN_POINT('',(14.537509761803,4.25,23.5842794070353)); #225752=CARTESIAN_POINT('',(12.134362,4.24,18.2082958)); #225753=CARTESIAN_POINT('',(12.134362,4.25,18.2082958)); #225754=CARTESIAN_POINT('',(12.134362,4.24,18.2082958)); #225755=CARTESIAN_POINT('Origin',(12.136731,4.25,18.2122955)); #225756=CARTESIAN_POINT('',(12.136731,4.25,18.2122955)); #225757=CARTESIAN_POINT('',(15.3990514080666,4.25,23.7202325773093)); #225758=CARTESIAN_POINT('',(12.136731,4.24,18.2122955)); #225759=CARTESIAN_POINT('',(12.136731,4.25,18.2122955)); #225760=CARTESIAN_POINT('',(12.136731,4.24,18.2122955)); #225761=CARTESIAN_POINT('Origin',(12.139462,4.25,18.2157917)); #225762=CARTESIAN_POINT('',(12.139462,4.25,18.2157917)); #225763=CARTESIAN_POINT('',(16.3733705273311,4.25,23.6360007784533)); #225764=CARTESIAN_POINT('',(12.139462,4.24,18.2157917)); #225765=CARTESIAN_POINT('',(12.139462,4.25,18.2157917)); #225766=CARTESIAN_POINT('',(12.139462,4.24,18.2157917)); #225767=CARTESIAN_POINT('Origin',(12.142461,4.25,18.218811)); #225768=CARTESIAN_POINT('',(12.142461,4.25,18.218811)); #225769=CARTESIAN_POINT('',(17.229070935695,4.25,23.3398518065461)); #225770=CARTESIAN_POINT('',(12.142461,4.24,18.218811)); #225771=CARTESIAN_POINT('',(12.142461,4.25,18.218811)); #225772=CARTESIAN_POINT('',(12.142461,4.24,18.218811)); #225773=CARTESIAN_POINT('Origin',(12.145654,4.25,18.2214508)); #225774=CARTESIAN_POINT('',(12.145654,4.25,18.2214508)); #225775=CARTESIAN_POINT('',(17.865399169827,4.25,22.9502272795888)); #225776=CARTESIAN_POINT('',(12.145654,4.24,18.2214508)); #225777=CARTESIAN_POINT('',(12.145654,4.25,18.2214508)); #225778=CARTESIAN_POINT('',(12.145654,4.24,18.2214508)); #225779=CARTESIAN_POINT('Origin',(12.149067,4.25,18.2237129)); #225780=CARTESIAN_POINT('',(12.149067,4.25,18.2237129)); #225781=CARTESIAN_POINT('',(18.4515451561258,4.25,22.4009282052912)); #225782=CARTESIAN_POINT('',(12.149067,4.24,18.2237129)); #225783=CARTESIAN_POINT('',(12.149067,4.25,18.2237129)); #225784=CARTESIAN_POINT('',(12.149067,4.24,18.2237129)); #225785=CARTESIAN_POINT('Origin',(12.152699,4.25,18.2255974)); #225786=CARTESIAN_POINT('',(12.152699,4.25,18.2255974)); #225787=CARTESIAN_POINT('',(18.913672166062,4.25,21.7335968304658)); #225788=CARTESIAN_POINT('',(12.152699,4.24,18.2255974)); #225789=CARTESIAN_POINT('',(12.152699,4.25,18.2255974)); #225790=CARTESIAN_POINT('',(12.152699,4.24,18.2255974)); #225791=CARTESIAN_POINT('Origin',(12.156546,4.25,18.2271004)); #225792=CARTESIAN_POINT('',(12.156546,4.25,18.2271004)); #225793=CARTESIAN_POINT('',(19.2227416781329,4.25,20.9878209885713)); #225794=CARTESIAN_POINT('',(12.156546,4.24,18.2271004)); #225795=CARTESIAN_POINT('',(12.156546,4.25,18.2271004)); #225796=CARTESIAN_POINT('',(12.156546,4.24,18.2271004)); #225797=CARTESIAN_POINT('Origin',(12.160603,4.25,18.2282162)); #225798=CARTESIAN_POINT('',(12.160603,4.25,18.2282162)); #225799=CARTESIAN_POINT('',(19.3664609686952,4.25,20.2100491606761)); #225800=CARTESIAN_POINT('',(12.160603,4.24,18.2282162)); #225801=CARTESIAN_POINT('',(12.160603,4.25,18.2282162)); #225802=CARTESIAN_POINT('',(12.160603,4.24,18.2282162)); #225803=CARTESIAN_POINT('Origin',(12.164868,4.25,18.228941)); #225804=CARTESIAN_POINT('',(12.164868,4.25,18.228941)); #225805=CARTESIAN_POINT('',(19.3502608898449,4.25,19.450036607637)); #225806=CARTESIAN_POINT('',(12.164868,4.24,18.228941)); #225807=CARTESIAN_POINT('',(12.164868,4.25,18.228941)); #225808=CARTESIAN_POINT('',(12.164868,4.24,18.228941)); #225809=CARTESIAN_POINT('Origin',(12.169336,4.25,18.2292747)); #225810=CARTESIAN_POINT('',(12.169336,4.25,18.2292747)); #225811=CARTESIAN_POINT('',(19.1983011799851,4.25,18.7542446374565)); #225812=CARTESIAN_POINT('',(12.169336,4.24,18.2292747)); #225813=CARTESIAN_POINT('',(12.169336,4.25,18.2292747)); #225814=CARTESIAN_POINT('',(12.169336,4.24,18.2292747)); #225815=CARTESIAN_POINT('Origin',(12.174109,4.25,18.2292023)); #225816=CARTESIAN_POINT('',(12.174109,4.25,18.2292023)); #225817=CARTESIAN_POINT('',(18.9341471412261,4.25,18.1266615999309)); #225818=CARTESIAN_POINT('',(12.174109,4.24,18.2292023)); #225819=CARTESIAN_POINT('',(12.174109,4.25,18.2292023)); #225820=CARTESIAN_POINT('',(12.174109,4.24,18.2292023)); #225821=CARTESIAN_POINT('Origin',(12.178771,4.25,18.2287006)); #225822=CARTESIAN_POINT('',(12.178771,4.25,18.2287006)); #225823=CARTESIAN_POINT('',(18.5512404667503,4.25,17.542928842929)); #225824=CARTESIAN_POINT('',(12.178771,4.24,18.2287006)); #225825=CARTESIAN_POINT('',(12.178771,4.25,18.2287006)); #225826=CARTESIAN_POINT('',(12.178771,4.24,18.2287006)); #225827=CARTESIAN_POINT('Origin',(12.183186,4.25,18.2277718)); #225828=CARTESIAN_POINT('',(12.183186,4.25,18.2277718)); #225829=CARTESIAN_POINT('',(18.0208839516849,4.25,16.9996735310233)); #225830=CARTESIAN_POINT('',(12.183186,4.24,18.2277718)); #225831=CARTESIAN_POINT('',(12.183186,4.25,18.2277718)); #225832=CARTESIAN_POINT('',(12.183186,4.24,18.2277718)); #225833=CARTESIAN_POINT('Origin',(12.187352,4.25,18.2264194)); #225834=CARTESIAN_POINT('',(12.187352,4.25,18.2264194)); #225835=CARTESIAN_POINT('',(17.350155926459,4.25,16.5504289943036)); #225836=CARTESIAN_POINT('',(12.187352,4.24,18.2264194)); #225837=CARTESIAN_POINT('',(12.187352,4.25,18.2264194)); #225838=CARTESIAN_POINT('',(12.187352,4.24,18.2264194)); #225839=CARTESIAN_POINT('Origin',(12.191264,4.25,18.2246475)); #225840=CARTESIAN_POINT('',(12.191264,4.25,18.2246475)); #225841=CARTESIAN_POINT('',(16.5641105486764,4.25,16.2440118150298)); #225842=CARTESIAN_POINT('',(12.191264,4.24,18.2246475)); #225843=CARTESIAN_POINT('',(12.191264,4.25,18.2246475)); #225844=CARTESIAN_POINT('',(12.191264,4.24,18.2246475)); #225845=CARTESIAN_POINT('Origin',(12.194917,4.25,18.2224617)); #225846=CARTESIAN_POINT('',(12.194917,4.25,18.2224617)); #225847=CARTESIAN_POINT('',(15.710921789776,4.25,16.1186338134702)); #225848=CARTESIAN_POINT('',(12.194917,4.24,18.2224617)); #225849=CARTESIAN_POINT('',(12.194917,4.25,18.2224617)); #225850=CARTESIAN_POINT('',(12.194917,4.24,18.2224617)); #225851=CARTESIAN_POINT('Origin',(12.198306,4.25,18.2198658)); #225852=CARTESIAN_POINT('',(12.198306,4.25,18.2198658)); #225853=CARTESIAN_POINT('',(14.8478742109564,4.25,16.1903544046553)); #225854=CARTESIAN_POINT('',(12.198306,4.24,18.2198658)); #225855=CARTESIAN_POINT('',(12.198306,4.25,18.2198658)); #225856=CARTESIAN_POINT('',(12.198306,4.24,18.2198658)); #225857=CARTESIAN_POINT('Origin',(12.201427,4.25,18.2168694)); #225858=CARTESIAN_POINT('',(12.201427,4.25,18.2168694)); #225859=CARTESIAN_POINT('',(14.0451253671089,4.25,16.4467771900668)); #225860=CARTESIAN_POINT('',(12.201427,4.24,18.2168694)); #225861=CARTESIAN_POINT('',(12.201427,4.25,18.2168694)); #225862=CARTESIAN_POINT('',(12.201427,4.24,18.2168694)); #225863=CARTESIAN_POINT('Origin',(12.204372,4.25,18.2134285)); #225864=CARTESIAN_POINT('',(12.204372,4.25,18.2134285)); #225865=CARTESIAN_POINT('',(13.4022531402185,4.25,16.8138396322988)); #225866=CARTESIAN_POINT('',(12.204372,4.24,18.2134285)); #225867=CARTESIAN_POINT('',(12.204372,4.25,18.2134285)); #225868=CARTESIAN_POINT('',(12.204372,4.24,18.2134285)); #225869=CARTESIAN_POINT('Origin',(12.206967,4.25,18.2094269)); #225870=CARTESIAN_POINT('',(12.206967,4.25,18.2094269)); #225871=CARTESIAN_POINT('',(12.6690420026548,4.25,17.4968876589123)); #225872=CARTESIAN_POINT('',(12.206967,4.24,18.2094269)); #225873=CARTESIAN_POINT('',(12.206967,4.25,18.2094269)); #225874=CARTESIAN_POINT('',(12.206967,4.24,18.2094269)); #225875=CARTESIAN_POINT('Origin',(11.6392344751766,4.25,18.0580729562762)); #225876=CARTESIAN_POINT('',(12.212879,4.25,17.9309502)); #225877=CARTESIAN_POINT('Origin',(11.6392344751766,4.25,18.0580729562762)); #225878=CARTESIAN_POINT('',(12.212879,4.24,17.9309502)); #225879=CARTESIAN_POINT('',(12.212879,4.25,17.9309502)); #225880=CARTESIAN_POINT('Origin',(11.6392344751766,4.24,18.0580729562762)); #225881=CARTESIAN_POINT('Origin',(12.211657,4.25,17.9249763)); #225882=CARTESIAN_POINT('',(12.211657,4.25,17.9249763)); #225883=CARTESIAN_POINT('',(13.1790883630915,4.25,22.6543856453199)); #225884=CARTESIAN_POINT('',(12.211657,4.24,17.9249763)); #225885=CARTESIAN_POINT('',(12.211657,4.25,17.9249763)); #225886=CARTESIAN_POINT('',(12.211657,4.24,17.9249763)); #225887=CARTESIAN_POINT('Origin',(12.210047,4.25,17.9195728)); #225888=CARTESIAN_POINT('',(12.210047,4.25,17.9195728)); #225889=CARTESIAN_POINT('',(13.7329145168114,4.25,23.0306377857595)); #225890=CARTESIAN_POINT('',(12.210047,4.24,17.9195728)); #225891=CARTESIAN_POINT('',(12.210047,4.25,17.9195728)); #225892=CARTESIAN_POINT('',(12.210047,4.24,17.9195728)); #225893=CARTESIAN_POINT('Origin',(12.208043,4.25,17.9147377)); #225894=CARTESIAN_POINT('',(12.208043,4.25,17.9147377)); #225895=CARTESIAN_POINT('',(14.4573953455512,4.25,23.3418053277371)); #225896=CARTESIAN_POINT('',(12.208043,4.24,17.9147377)); #225897=CARTESIAN_POINT('',(12.208043,4.25,17.9147377)); #225898=CARTESIAN_POINT('',(12.208043,4.24,17.9147377)); #225899=CARTESIAN_POINT('Origin',(12.205638,4.25,17.9104691)); #225900=CARTESIAN_POINT('',(12.205638,4.25,17.9104691)); #225901=CARTESIAN_POINT('',(15.3593833974998,4.25,23.5080065651838)); #225902=CARTESIAN_POINT('',(12.205638,4.24,17.9104691)); #225903=CARTESIAN_POINT('',(12.205638,4.25,17.9104691)); #225904=CARTESIAN_POINT('',(12.205638,4.24,17.9104691)); #225905=CARTESIAN_POINT('Origin',(12.202824,4.25,17.9067707)); #225906=CARTESIAN_POINT('',(12.202824,4.25,17.9067707)); #225907=CARTESIAN_POINT('',(16.4046557529175,4.25,23.4291781466928)); #225908=CARTESIAN_POINT('',(12.202824,4.24,17.9067707)); #225909=CARTESIAN_POINT('',(12.202824,4.25,17.9067707)); #225910=CARTESIAN_POINT('',(12.202824,4.24,17.9067707)); #225911=CARTESIAN_POINT('Origin',(12.1420857956558,4.25,17.9644183684819)); #225912=CARTESIAN_POINT('',(12.196537,4.25,17.9007988)); #225913=CARTESIAN_POINT('Origin',(12.1420857956558,4.25,17.9644183684819)); #225914=CARTESIAN_POINT('',(12.196537,4.24,17.9007988)); #225915=CARTESIAN_POINT('',(12.196537,4.25,17.9007988)); #225916=CARTESIAN_POINT('Origin',(12.1420857956558,4.24,17.9644183684819)); #225917=CARTESIAN_POINT('Origin',(12.193007,4.25,17.8984222)); #225918=CARTESIAN_POINT('',(12.193007,4.25,17.8984222)); #225919=CARTESIAN_POINT('',(18.5194669828644,4.25,22.1577606689172)); #225920=CARTESIAN_POINT('',(12.193007,4.24,17.8984222)); #225921=CARTESIAN_POINT('',(12.193007,4.25,17.8984222)); #225922=CARTESIAN_POINT('',(12.193007,4.24,17.8984222)); #225923=CARTESIAN_POINT('Origin',(12.189215,4.25,17.8964558)); #225924=CARTESIAN_POINT('',(12.189215,4.25,17.8964558)); #225925=CARTESIAN_POINT('',(19.0038969805711,4.25,21.4303140928776)); #225926=CARTESIAN_POINT('',(12.189215,4.24,17.8964558)); #225927=CARTESIAN_POINT('',(12.189215,4.25,17.8964558)); #225928=CARTESIAN_POINT('',(12.189215,4.24,17.8964558)); #225929=CARTESIAN_POINT('Origin',(12.185167,4.25,17.8949051)); #225930=CARTESIAN_POINT('',(12.185167,4.25,17.8949051)); #225931=CARTESIAN_POINT('',(19.3081912217382,4.25,20.6235794232864)); #225932=CARTESIAN_POINT('',(12.185167,4.24,17.8949051)); #225933=CARTESIAN_POINT('',(12.185167,4.25,17.8949051)); #225934=CARTESIAN_POINT('',(12.185167,4.24,17.8949051)); #225935=CARTESIAN_POINT('Origin',(12.180868,4.25,17.8937759)); #225936=CARTESIAN_POINT('',(12.180868,4.25,17.8937759)); #225937=CARTESIAN_POINT('',(19.4239881133593,4.25,19.796295377086)); #225938=CARTESIAN_POINT('',(12.180868,4.24,17.8937759)); #225939=CARTESIAN_POINT('',(12.180868,4.25,17.8937759)); #225940=CARTESIAN_POINT('',(12.180868,4.24,17.8937759)); #225941=CARTESIAN_POINT('Origin',(12.17632,4.25,17.893074)); #225942=CARTESIAN_POINT('',(12.17632,4.25,17.893074)); #225943=CARTESIAN_POINT('',(19.3653417312673,4.25,19.0025670415951)); #225944=CARTESIAN_POINT('',(12.17632,4.24,17.893074)); #225945=CARTESIAN_POINT('',(12.17632,4.25,17.893074)); #225946=CARTESIAN_POINT('',(12.17632,4.24,17.893074)); #225947=CARTESIAN_POINT('Origin',(12.171532,4.25,17.8928013)); #225948=CARTESIAN_POINT('',(12.171532,4.25,17.8928013)); #225949=CARTESIAN_POINT('',(19.1654734889089,4.25,18.2911404487126)); #225950=CARTESIAN_POINT('',(12.171532,4.24,17.8928013)); #225951=CARTESIAN_POINT('',(12.171532,4.25,17.8928013)); #225952=CARTESIAN_POINT('',(12.171532,4.24,17.8928013)); #225953=CARTESIAN_POINT('Origin',(12.166716,4.25,17.8929634)); #225954=CARTESIAN_POINT('',(12.166716,4.25,17.8929634)); #225955=CARTESIAN_POINT('',(18.8561729025247,4.25,17.6678053925449)); #225956=CARTESIAN_POINT('',(12.166716,4.24,17.8929634)); #225957=CARTESIAN_POINT('',(12.166716,4.25,17.8929634)); #225958=CARTESIAN_POINT('',(12.166716,4.24,17.8929634)); #225959=CARTESIAN_POINT('Origin',(12.162131,4.25,17.8935566)); #225960=CARTESIAN_POINT('',(12.162131,4.25,17.8935566)); #225961=CARTESIAN_POINT('',(18.4166621600397,4.25,17.0843553166559)); #225962=CARTESIAN_POINT('',(12.162131,4.24,17.8935566)); #225963=CARTESIAN_POINT('',(12.162131,4.25,17.8935566)); #225964=CARTESIAN_POINT('',(12.162131,4.24,17.8935566)); #225965=CARTESIAN_POINT('Origin',(12.157783,4.25,17.894577)); #225966=CARTESIAN_POINT('',(12.157783,4.25,17.894577)); #225967=CARTESIAN_POINT('',(17.8325867938772,4.25,16.5627992191164)); #225968=CARTESIAN_POINT('',(12.157783,4.24,17.894577)); #225969=CARTESIAN_POINT('',(12.157783,4.25,17.894577)); #225970=CARTESIAN_POINT('',(12.157783,4.24,17.894577)); #225971=CARTESIAN_POINT('Origin',(12.153675,4.25,17.8960209)); #225972=CARTESIAN_POINT('',(12.153675,4.25,17.8960209)); #225973=CARTESIAN_POINT('',(17.1153885512689,4.25,16.1520534714044)); #225974=CARTESIAN_POINT('',(12.153675,4.24,17.8960209)); #225975=CARTESIAN_POINT('',(12.153675,4.25,17.8960209)); #225976=CARTESIAN_POINT('',(12.153675,4.24,17.8960209)); #225977=CARTESIAN_POINT('Origin',(12.149815,4.25,17.8978806)); #225978=CARTESIAN_POINT('',(12.149815,4.25,17.8978806)); #225979=CARTESIAN_POINT('',(16.3005788675392,4.25,15.8980941843363)); #225980=CARTESIAN_POINT('',(12.149815,4.24,17.8978806)); #225981=CARTESIAN_POINT('',(12.149815,4.25,17.8978806)); #225982=CARTESIAN_POINT('',(12.149815,4.24,17.8978806)); #225983=CARTESIAN_POINT('Origin',(12.146205,4.25,17.9001522)); #225984=CARTESIAN_POINT('',(12.146205,4.25,17.9001522)); #225985=CARTESIAN_POINT('',(15.4364901862117,4.25,15.829733410804)); #225986=CARTESIAN_POINT('',(12.146205,4.24,17.9001522)); #225987=CARTESIAN_POINT('',(12.146205,4.25,17.9001522)); #225988=CARTESIAN_POINT('',(12.146205,4.24,17.9001522)); #225989=CARTESIAN_POINT('Origin',(12.14285,4.25,17.9028339)); #225990=CARTESIAN_POINT('',(12.14285,4.25,17.9028339)); #225991=CARTESIAN_POINT('',(14.578710944229,4.25,15.9558150343842)); #225992=CARTESIAN_POINT('',(12.14285,4.24,17.9028339)); #225993=CARTESIAN_POINT('',(12.14285,4.25,17.9028339)); #225994=CARTESIAN_POINT('',(12.14285,4.24,17.9028339)); #225995=CARTESIAN_POINT('Origin',(12.139754,4.25,17.9059181)); #225996=CARTESIAN_POINT('',(12.139754,4.25,17.9059181)); #225997=CARTESIAN_POINT('',(13.7943488213476,4.25,16.2576295509046)); #225998=CARTESIAN_POINT('',(12.139754,4.24,17.9059181)); #225999=CARTESIAN_POINT('',(12.139754,4.25,17.9059181)); #226000=CARTESIAN_POINT('',(12.139754,4.24,17.9059181)); #226001=CARTESIAN_POINT('Origin',(12.136875,4.25,17.9095669)); #226002=CARTESIAN_POINT('',(12.136875,4.25,17.9095669)); #226003=CARTESIAN_POINT('',(13.0341019964362,4.25,16.7724353040999)); #226004=CARTESIAN_POINT('',(12.136875,4.24,17.9095669)); #226005=CARTESIAN_POINT('',(12.136875,4.25,17.9095669)); #226006=CARTESIAN_POINT('',(12.136875,4.24,17.9095669)); #226007=CARTESIAN_POINT('Origin',(12.134381,4.25,17.9137764)); #226008=CARTESIAN_POINT('',(12.134381,4.25,17.9137764)); #226009=CARTESIAN_POINT('',(12.3558932379761,4.25,17.5398967826142)); #226010=CARTESIAN_POINT('',(12.134381,4.24,17.9137764)); #226011=CARTESIAN_POINT('',(12.134381,4.25,17.9137764)); #226012=CARTESIAN_POINT('',(12.134381,4.24,17.9137764)); #226013=CARTESIAN_POINT('Origin',(12.132278,4.25,17.918539)); #226014=CARTESIAN_POINT('',(12.132278,4.25,17.918539)); #226015=CARTESIAN_POINT('',(11.9389714224452,4.25,18.3563145141525)); #226016=CARTESIAN_POINT('',(12.132278,4.24,17.918539)); #226017=CARTESIAN_POINT('',(12.132278,4.25,17.918539)); #226018=CARTESIAN_POINT('',(12.132278,4.24,17.918539)); #226019=CARTESIAN_POINT('Origin',(12.130563,4.25,17.9238529)); #226020=CARTESIAN_POINT('',(12.130563,4.25,17.9238529)); #226021=CARTESIAN_POINT('',(11.7411563117313,4.25,19.13042327947)); #226022=CARTESIAN_POINT('',(12.130563,4.24,17.9238529)); #226023=CARTESIAN_POINT('',(12.130563,4.25,17.9238529)); #226024=CARTESIAN_POINT('',(12.130563,4.24,17.9238529)); #226025=CARTESIAN_POINT('Origin',(12.250016250957,4.25,17.9523958403672)); #226026=CARTESIAN_POINT('',(12.12828,4.25,17.9361458)); #226027=CARTESIAN_POINT('Origin',(12.250016250957,4.25,17.9523958403672)); #226028=CARTESIAN_POINT('',(12.12828,4.24,17.9361458)); #226029=CARTESIAN_POINT('',(12.12828,4.25,17.9361458)); #226030=CARTESIAN_POINT('Origin',(12.250016250957,4.24,17.9523958403672)); #226031=CARTESIAN_POINT('Origin',(12.2374207521394,4.25,17.9492592768612)); #226032=CARTESIAN_POINT('',(12.127504,4.25,17.9506645)); #226033=CARTESIAN_POINT('Origin',(12.2374207521394,4.25,17.9492592768612)); #226034=CARTESIAN_POINT('',(12.127504,4.24,17.9506645)); #226035=CARTESIAN_POINT('',(12.127504,4.25,17.9506645)); #226036=CARTESIAN_POINT('Origin',(12.2374207521394,4.24,17.9492592768612)); #226037=CARTESIAN_POINT('Origin',(12.127503,4.25,17.9798985)); #226038=CARTESIAN_POINT('',(12.127503,4.25,17.9798985)); #226039=CARTESIAN_POINT('',(12.1273829405862,4.25,21.4897154000799)); #226040=CARTESIAN_POINT('',(12.127503,4.24,17.9798985)); #226041=CARTESIAN_POINT('',(12.127503,4.25,17.9798985)); #226042=CARTESIAN_POINT('',(12.127503,4.24,17.9798985)); #226043=CARTESIAN_POINT('Origin',(11.8278209114391,4.25,18.3173264201111)); #226044=CARTESIAN_POINT('',(11.879789,4.25,17.8690338)); #226045=CARTESIAN_POINT('Origin',(11.8278209114391,4.25,18.3173264201111)); #226046=CARTESIAN_POINT('',(11.879789,4.24,17.8690338)); #226047=CARTESIAN_POINT('',(11.879789,4.25,17.8690338)); #226048=CARTESIAN_POINT('Origin',(11.8278209114391,4.24,18.3173264201111)); #226049=CARTESIAN_POINT('Origin',(11.796701770259,4.25,18.249006588252)); #226050=CARTESIAN_POINT('',(11.424263,4.25,18.1368809)); #226051=CARTESIAN_POINT('Origin',(11.796701770259,4.25,18.249006588252)); #226052=CARTESIAN_POINT('',(11.424263,4.24,18.1368809)); #226053=CARTESIAN_POINT('',(11.424263,4.25,18.1368809)); #226054=CARTESIAN_POINT('Origin',(11.796701770259,4.24,18.249006588252)); #226055=CARTESIAN_POINT('Origin',(11.7193502890034,4.25,18.1936586788989)); #226056=CARTESIAN_POINT('',(11.478024,4.25,18.3727169)); #226057=CARTESIAN_POINT('Origin',(11.7193502890034,4.25,18.1936586788989)); #226058=CARTESIAN_POINT('',(11.478024,4.24,18.3727169)); #226059=CARTESIAN_POINT('',(11.478024,4.25,18.3727169)); #226060=CARTESIAN_POINT('Origin',(11.7193502890034,4.24,18.1936586788989)); #226061=CARTESIAN_POINT('Origin',(11.7171948087659,4.25,18.1903328119336)); #226062=CARTESIAN_POINT('',(11.689688,4.25,18.4898491)); #226063=CARTESIAN_POINT('Origin',(11.7171948087659,4.25,18.1903328119336)); #226064=CARTESIAN_POINT('',(11.689688,4.24,18.4898491)); #226065=CARTESIAN_POINT('',(11.689688,4.25,18.4898491)); #226066=CARTESIAN_POINT('Origin',(11.7171948087659,4.24,18.1903328119336)); #226067=CARTESIAN_POINT('Origin',(11.735347,4.25,18.5016422)); #226068=CARTESIAN_POINT('',(11.735347,4.25,18.5016422)); #226069=CARTESIAN_POINT('',(19.1146079494611,4.25,20.4076051493218)); #226070=CARTESIAN_POINT('',(11.735347,4.24,18.5016422)); #226071=CARTESIAN_POINT('',(11.735347,4.25,18.5016422)); #226072=CARTESIAN_POINT('',(11.735347,4.24,18.5016422)); #226073=CARTESIAN_POINT('Origin',(8.71999128939169,4.25,34.4843777597329)); #226074=CARTESIAN_POINT('',(11.93445,4.25,18.540493)); #226075=CARTESIAN_POINT('Origin',(8.71999128939169,4.25,34.4843777597329)); #226076=CARTESIAN_POINT('',(11.93445,4.24,18.540493)); #226077=CARTESIAN_POINT('',(11.93445,4.25,18.540493)); #226078=CARTESIAN_POINT('Origin',(8.71999128939169,4.24,34.4843777597329)); #226079=CARTESIAN_POINT('Origin',(11.9252059237284,4.25,18.7918427561606)); #226080=CARTESIAN_POINT('',(12.172617,4.25,18.7465668)); #226081=CARTESIAN_POINT('Origin',(11.9252059237284,4.25,18.7918427561606)); #226082=CARTESIAN_POINT('',(12.172617,4.24,18.7465668)); #226083=CARTESIAN_POINT('',(12.172617,4.25,18.7465668)); #226084=CARTESIAN_POINT('Origin',(11.9252059237284,4.24,18.7918427561606)); #226085=CARTESIAN_POINT('Origin',(11.8635986489571,4.25,18.74634380227)); #226086=CARTESIAN_POINT('',(12.059573,4.25,18.9852715)); #226087=CARTESIAN_POINT('Origin',(11.8635986489571,4.25,18.74634380227)); #226088=CARTESIAN_POINT('',(12.059573,4.24,18.9852715)); #226089=CARTESIAN_POINT('',(12.059573,4.25,18.9852715)); #226090=CARTESIAN_POINT('Origin',(11.8635986489571,4.24,18.74634380227)); #226091=CARTESIAN_POINT('Origin',(11.8647242390133,4.25,18.7454301047512)); #226092=CARTESIAN_POINT('Origin',(11.8647242390133,4.25,18.7454301047512)); #226093=CARTESIAN_POINT('Origin',(11.8647242390133,4.24,18.7454301047512)); #226094=CARTESIAN_POINT('Origin',(11.812195188883,4.24,18.4987575566088)); #226095=CARTESIAN_POINT('Origin',(10.1970957610001,4.25,21.4998029827268)); #226096=CARTESIAN_POINT('',(10.835567,4.25,21.6825409)); #226097=CARTESIAN_POINT('',(10.861203,4.25,21.5002975)); #226098=CARTESIAN_POINT('Origin',(10.1970957610001,4.25,21.4998029827268)); #226099=CARTESIAN_POINT('',(10.835567,4.24,21.6825409)); #226100=CARTESIAN_POINT('',(10.835567,4.25,21.6825409)); #226101=CARTESIAN_POINT('',(10.861203,4.24,21.5002975)); #226102=CARTESIAN_POINT('Origin',(10.1970957610001,4.24,21.4998029827268)); #226103=CARTESIAN_POINT('',(10.861203,4.25,21.5002975)); #226104=CARTESIAN_POINT('Origin',(10.3811372801235,4.25,21.582040043545)); #226105=CARTESIAN_POINT('',(10.389841,4.25,22.047369)); #226106=CARTESIAN_POINT('Origin',(10.3811372801235,4.25,21.582040043545)); #226107=CARTESIAN_POINT('',(10.389841,4.24,22.047369)); #226108=CARTESIAN_POINT('',(10.389841,4.25,22.047369)); #226109=CARTESIAN_POINT('Origin',(10.3811372801235,4.24,21.582040043545)); #226110=CARTESIAN_POINT('Origin',(10.5028137034687,4.25,21.5227042642024)); #226111=CARTESIAN_POINT('',(10.044361,4.25,21.801733)); #226112=CARTESIAN_POINT('Origin',(10.5028137034687,4.25,21.5227042642024)); #226113=CARTESIAN_POINT('',(10.044361,4.24,21.801733)); #226114=CARTESIAN_POINT('',(10.044361,4.25,21.801733)); #226115=CARTESIAN_POINT('Origin',(10.5028137034687,4.24,21.5227042642024)); #226116=CARTESIAN_POINT('Origin',(10.503516926163,4.25,21.5239099692258)); #226117=CARTESIAN_POINT('',(9.9859896,4.25,21.3818703)); #226118=CARTESIAN_POINT('Origin',(10.503516926163,4.25,21.5239099692258)); #226119=CARTESIAN_POINT('',(9.9859896,4.24,21.3818703)); #226120=CARTESIAN_POINT('',(9.9859896,4.25,21.3818703)); #226121=CARTESIAN_POINT('Origin',(10.503516926163,4.24,21.5239099692258)); #226122=CARTESIAN_POINT('Origin',(10.4687153023812,4.25,21.4327553143598)); #226123=CARTESIAN_POINT('',(10.398065,4.25,20.9525242)); #226124=CARTESIAN_POINT('Origin',(10.4687153023812,4.25,21.4327553143598)); #226125=CARTESIAN_POINT('',(10.398065,4.24,20.9525242)); #226126=CARTESIAN_POINT('',(10.398065,4.25,20.9525242)); #226127=CARTESIAN_POINT('Origin',(10.4687153023812,4.24,21.4327553143598)); #226128=CARTESIAN_POINT('Origin',(10.3663994936018,4.25,21.4483719736652)); #226129=CARTESIAN_POINT('',(10.746119,4.25,21.1279335)); #226130=CARTESIAN_POINT('Origin',(10.3663994936018,4.25,21.4483719736652)); #226131=CARTESIAN_POINT('',(10.746119,4.24,21.1279335)); #226132=CARTESIAN_POINT('',(10.746119,4.25,21.1279335)); #226133=CARTESIAN_POINT('Origin',(10.3663994936018,4.24,21.4483719736652)); #226134=CARTESIAN_POINT('Origin',(10.3671885618957,4.25,21.4490130574083)); #226135=CARTESIAN_POINT('Origin',(10.3671885618957,4.25,21.4490130574083)); #226136=CARTESIAN_POINT('Origin',(10.3671885618957,4.24,21.4490130574083)); #226137=CARTESIAN_POINT('Origin',(10.421558377918,4.25,21.6327682898297)); #226138=CARTESIAN_POINT('',(10.540489,4.25,22.1191826)); #226139=CARTESIAN_POINT('',(9.9574308,4.25,21.8207283)); #226140=CARTESIAN_POINT('Origin',(10.421558377918,4.25,21.6327682898297)); #226141=CARTESIAN_POINT('',(10.540489,4.24,22.1191826)); #226142=CARTESIAN_POINT('',(10.540489,4.25,22.1191826)); #226143=CARTESIAN_POINT('',(9.9574308,4.24,21.8207283)); #226144=CARTESIAN_POINT('Origin',(10.421558377918,4.24,21.6327682898297)); #226145=CARTESIAN_POINT('',(9.9574308,4.25,21.8207283)); #226146=CARTESIAN_POINT('Origin',(10.3379647445015,4.25,21.5422171938317)); #226147=CARTESIAN_POINT('',(10.948004,4.25,21.5002975)); #226148=CARTESIAN_POINT('Origin',(10.3379647445015,4.25,21.5422171938317)); #226149=CARTESIAN_POINT('',(10.948004,4.24,21.5002975)); #226150=CARTESIAN_POINT('',(10.948004,4.25,21.5002975)); #226151=CARTESIAN_POINT('Origin',(10.3379647445015,4.24,21.5422171938317)); #226152=CARTESIAN_POINT('Origin',(10.2178769000706,4.25,21.4924931269419)); #226153=CARTESIAN_POINT('',(10.850925,4.25,21.1286297)); #226154=CARTESIAN_POINT('Origin',(10.2178769000706,4.25,21.4924931269419)); #226155=CARTESIAN_POINT('',(10.850925,4.24,21.1286297)); #226156=CARTESIAN_POINT('',(10.850925,4.25,21.1286297)); #226157=CARTESIAN_POINT('Origin',(10.2178769000706,4.24,21.4924931269419)); #226158=CARTESIAN_POINT('Origin',(10.4169322565183,4.25,21.3576309042451)); #226159=CARTESIAN_POINT('',(10.278595,4.25,20.8868294)); #226160=CARTESIAN_POINT('Origin',(10.4169322565183,4.25,21.3576309042451)); #226161=CARTESIAN_POINT('',(10.278595,4.24,20.8868294)); #226162=CARTESIAN_POINT('',(10.278595,4.25,20.8868294)); #226163=CARTESIAN_POINT('Origin',(10.4169322565183,4.24,21.3576309042451)); #226164=CARTESIAN_POINT('Origin',(10.5554046568422,4.25,21.5043831469247)); #226165=CARTESIAN_POINT('',(9.915494,4.25,21.284132)); #226166=CARTESIAN_POINT('Origin',(10.5554046568422,4.25,21.5043831469247)); #226167=CARTESIAN_POINT('',(9.915494,4.24,21.284132)); #226168=CARTESIAN_POINT('',(9.915494,4.25,21.284132)); #226169=CARTESIAN_POINT('Origin',(10.5554046568422,4.24,21.5043831469247)); #226170=CARTESIAN_POINT('Origin',(10.5555561323158,4.25,21.5040458990587)); #226171=CARTESIAN_POINT('Origin',(10.5555561323158,4.25,21.5040458990587)); #226172=CARTESIAN_POINT('Origin',(10.5555561323158,4.24,21.5040458990587)); #226173=CARTESIAN_POINT('Origin',(10.4141054863813,4.24,21.5002185815555)); #226174=CARTESIAN_POINT('Origin',(10.7676890817022,4.25,5.86807457247726)); #226175=CARTESIAN_POINT('',(10.952225,4.25,6.260296)); #226176=CARTESIAN_POINT('',(10.624699,4.25,6.277275)); #226177=CARTESIAN_POINT('Origin',(10.7676890817022,4.25,5.86807457247726)); #226178=CARTESIAN_POINT('',(10.952225,4.24,6.260296)); #226179=CARTESIAN_POINT('',(10.952225,4.25,6.260296)); #226180=CARTESIAN_POINT('',(10.624699,4.24,6.277275)); #226181=CARTESIAN_POINT('Origin',(10.7676890817022,4.24,5.86807457247726)); #226182=CARTESIAN_POINT('',(10.624699,4.25,6.277275)); #226183=CARTESIAN_POINT('Origin',(10.4311587896722,4.25,6.09064859351501)); #226184=CARTESIAN_POINT('',(10.969412,4.25,5.98782)); #226185=CARTESIAN_POINT('Origin',(10.4311587896722,4.25,6.09064859351501)); #226186=CARTESIAN_POINT('',(10.969412,4.24,5.98782)); #226187=CARTESIAN_POINT('',(10.969412,4.25,5.98782)); #226188=CARTESIAN_POINT('Origin',(10.4311587896722,4.24,6.09064859351501)); #226189=CARTESIAN_POINT('Origin',(10.970704,4.25,4.829597)); #226190=CARTESIAN_POINT('',(10.970704,4.25,4.829597)); #226191=CARTESIAN_POINT('',(10.959463178556,4.25,14.9065149066356)); #226192=CARTESIAN_POINT('',(10.970704,4.24,4.829597)); #226193=CARTESIAN_POINT('',(10.970704,4.25,4.829597)); #226194=CARTESIAN_POINT('',(10.969412,4.24,5.98782)); #226195=CARTESIAN_POINT('Origin',(11.3058432380221,4.25,4.7821034713459)); #226196=CARTESIAN_POINT('',(11.20023,4.25,4.460514)); #226197=CARTESIAN_POINT('Origin',(11.3058432380221,4.25,4.7821034713459)); #226198=CARTESIAN_POINT('',(11.20023,4.24,4.460514)); #226199=CARTESIAN_POINT('',(11.20023,4.25,4.460514)); #226200=CARTESIAN_POINT('Origin',(11.3058432380221,4.24,4.7821034713459)); #226201=CARTESIAN_POINT('Origin',(11.888240338243,4.25,10.8142600867541)); #226202=CARTESIAN_POINT('',(11.999168,4.25,4.424335)); #226203=CARTESIAN_POINT('Origin',(11.888240338243,4.25,10.8142600867541)); #226204=CARTESIAN_POINT('',(11.999168,4.24,4.424335)); #226205=CARTESIAN_POINT('',(11.999168,4.25,4.424335)); #226206=CARTESIAN_POINT('Origin',(11.888240338243,4.24,10.8142600867541)); #226207=CARTESIAN_POINT('Origin',(12.01022,4.25,4.416664)); #226208=CARTESIAN_POINT('',(12.01022,4.25,4.416664)); #226209=CARTESIAN_POINT('',(11.8425960694365,4.25,4.53300883997036)); #226210=CARTESIAN_POINT('',(12.01022,4.24,4.416664)); #226211=CARTESIAN_POINT('',(12.01022,4.25,4.416664)); #226212=CARTESIAN_POINT('',(11.999168,4.24,4.424335)); #226213=CARTESIAN_POINT('Origin',(12.018884,4.25,4.406994)); #226214=CARTESIAN_POINT('',(12.018884,4.25,4.406994)); #226215=CARTESIAN_POINT('',(9.96986410007138,4.25,6.69393103050588)); #226216=CARTESIAN_POINT('',(12.018884,4.24,4.406994)); #226217=CARTESIAN_POINT('',(12.018884,4.25,4.406994)); #226218=CARTESIAN_POINT('',(12.01022,4.24,4.416664)); #226219=CARTESIAN_POINT('Origin',(12.02543,4.25,4.395252)); #226220=CARTESIAN_POINT('',(12.02543,4.25,4.395252)); #226221=CARTESIAN_POINT('',(9.27676406516302,4.25,9.32571875937403)); #226222=CARTESIAN_POINT('',(12.02543,4.24,4.395252)); #226223=CARTESIAN_POINT('',(12.02543,4.25,4.395252)); #226224=CARTESIAN_POINT('',(12.018884,4.24,4.406994)); #226225=CARTESIAN_POINT('Origin',(11.6708155099412,4.25,4.2747926022649)); #226226=CARTESIAN_POINT('',(12.00069,4.25,4.097466)); #226227=CARTESIAN_POINT('Origin',(11.6708155099412,4.25,4.2747926022649)); #226228=CARTESIAN_POINT('',(12.00069,4.24,4.097466)); #226229=CARTESIAN_POINT('',(12.00069,4.25,4.097466)); #226230=CARTESIAN_POINT('Origin',(11.6708155099412,4.24,4.2747926022649)); #226231=CARTESIAN_POINT('Origin',(11.985737,4.25,4.088337)); #226232=CARTESIAN_POINT('',(11.985737,4.25,4.088337)); #226233=CARTESIAN_POINT('',(21.667604645302,4.25,9.99924248612283)); #226234=CARTESIAN_POINT('',(11.985737,4.24,4.088337)); #226235=CARTESIAN_POINT('',(11.985737,4.25,4.088337)); #226236=CARTESIAN_POINT('',(12.00069,4.24,4.097466)); #226237=CARTESIAN_POINT('Origin',(11.967904,4.25,4.082802)); #226238=CARTESIAN_POINT('',(11.967904,4.25,4.082802)); #226239=CARTESIAN_POINT('',(21.2371322306485,4.25,6.95978165887103)); #226240=CARTESIAN_POINT('',(11.967904,4.24,4.082802)); #226241=CARTESIAN_POINT('',(11.967904,4.25,4.082802)); #226242=CARTESIAN_POINT('',(11.985737,4.24,4.088337)); #226243=CARTESIAN_POINT('Origin',(11.7313041117941,4.25,5.78584513413627)); #226244=CARTESIAN_POINT('',(11.146849,4.25,4.168827)); #226245=CARTESIAN_POINT('Origin',(11.7313041117941,4.25,5.78584513413627)); #226246=CARTESIAN_POINT('',(11.146849,4.24,4.168827)); #226247=CARTESIAN_POINT('',(11.146849,4.25,4.168827)); #226248=CARTESIAN_POINT('Origin',(11.7313041117941,4.24,5.78584513413627)); #226249=CARTESIAN_POINT('Origin',(11.3810803305399,4.25,4.58533826847233)); #226250=CARTESIAN_POINT('',(10.969686,4.25,4.342232)); #226251=CARTESIAN_POINT('Origin',(11.3810803305399,4.25,4.58533826847233)); #226252=CARTESIAN_POINT('',(10.969686,4.24,4.342232)); #226253=CARTESIAN_POINT('',(10.969686,4.25,4.342232)); #226254=CARTESIAN_POINT('Origin',(11.3810803305399,4.24,4.58533826847233)); #226255=CARTESIAN_POINT('Origin',(14.1268127560613,4.25,4.33044486800759)); #226256=CARTESIAN_POINT('',(10.970957,4.25,4.240097)); #226257=CARTESIAN_POINT('Origin',(14.1268127560613,4.25,4.33044486800759)); #226258=CARTESIAN_POINT('',(10.970957,4.24,4.240097)); #226259=CARTESIAN_POINT('',(10.970957,4.25,4.240097)); #226260=CARTESIAN_POINT('Origin',(14.1268127560613,4.24,4.33044486800759)); #226261=CARTESIAN_POINT('Origin',(10.971037,4.25,4.216961)); #226262=CARTESIAN_POINT('',(10.971037,4.25,4.216961)); #226263=CARTESIAN_POINT('',(10.9351941311933,4.25,14.582718659168)); #226264=CARTESIAN_POINT('',(10.971037,4.24,4.216961)); #226265=CARTESIAN_POINT('',(10.971037,4.25,4.216961)); #226266=CARTESIAN_POINT('',(10.970957,4.24,4.240097)); #226267=CARTESIAN_POINT('Origin',(10.8708377444004,4.25,4.18289279864742)); #226268=CARTESIAN_POINT('',(10.965979,4.25,4.136539)); #226269=CARTESIAN_POINT('Origin',(10.8708377444004,4.25,4.18289279864742)); #226270=CARTESIAN_POINT('',(10.965979,4.24,4.136539)); #226271=CARTESIAN_POINT('',(10.965979,4.25,4.136539)); #226272=CARTESIAN_POINT('Origin',(10.8708377444004,4.24,4.18289279864742)); #226273=CARTESIAN_POINT('Origin',(10.8698185576391,4.25,4.18524489872528)); #226274=CARTESIAN_POINT('',(10.905185,4.25,4.08342)); #226275=CARTESIAN_POINT('Origin',(10.8698185576391,4.25,4.18524489872528)); #226276=CARTESIAN_POINT('',(10.905185,4.24,4.08342)); #226277=CARTESIAN_POINT('',(10.905185,4.25,4.08342)); #226278=CARTESIAN_POINT('Origin',(10.8698185576391,4.24,4.18524489872528)); #226279=CARTESIAN_POINT('Origin',(10.7840628373821,4.25,4.50905400882204)); #226280=CARTESIAN_POINT('',(10.622401,4.25,4.097107)); #226281=CARTESIAN_POINT('Origin',(10.7840628373821,4.25,4.50905400882204)); #226282=CARTESIAN_POINT('',(10.622401,4.24,4.097107)); #226283=CARTESIAN_POINT('',(10.622401,4.25,4.097107)); #226284=CARTESIAN_POINT('Origin',(10.7840628373821,4.24,4.50905400882204)); #226285=CARTESIAN_POINT('Origin',(10.616415,4.25,4.101826)); #226286=CARTESIAN_POINT('',(10.616415,4.25,4.101826)); #226287=CARTESIAN_POINT('',(10.2182383667032,4.25,4.41572435157491)); #226288=CARTESIAN_POINT('',(10.616415,4.24,4.101826)); #226289=CARTESIAN_POINT('',(10.616415,4.25,4.101826)); #226290=CARTESIAN_POINT('',(10.622401,4.24,4.097107)); #226291=CARTESIAN_POINT('Origin',(10.611128,4.25,4.107239)); #226292=CARTESIAN_POINT('',(10.611128,4.25,4.107239)); #226293=CARTESIAN_POINT('',(9.0971867109449,4.25,5.65726059970597)); #226294=CARTESIAN_POINT('',(10.611128,4.24,4.107239)); #226295=CARTESIAN_POINT('',(10.611128,4.25,4.107239)); #226296=CARTESIAN_POINT('',(10.616415,4.24,4.101826)); #226297=CARTESIAN_POINT('Origin',(10.606572,4.25,4.11338)); #226298=CARTESIAN_POINT('',(10.606572,4.25,4.11338)); #226299=CARTESIAN_POINT('',(8.30619063597426,4.25,7.21404768140646)); #226300=CARTESIAN_POINT('',(10.606572,4.24,4.11338)); #226301=CARTESIAN_POINT('',(10.606572,4.25,4.11338)); #226302=CARTESIAN_POINT('',(10.611128,4.24,4.107239)); #226303=CARTESIAN_POINT('Origin',(10.6832371906815,4.25,4.15805674767012)); #226304=CARTESIAN_POINT('',(10.599771,4.25,4.127941)); #226305=CARTESIAN_POINT('Origin',(10.6832371906815,4.25,4.15805674767012)); #226306=CARTESIAN_POINT('',(10.599771,4.24,4.127941)); #226307=CARTESIAN_POINT('',(10.599771,4.25,4.127941)); #226308=CARTESIAN_POINT('Origin',(10.6832371906815,4.24,4.15805674767012)); #226309=CARTESIAN_POINT('Origin',(10.597587,4.25,4.136417)); #226310=CARTESIAN_POINT('',(10.597587,4.25,4.136417)); #226311=CARTESIAN_POINT('',(8.55023880732703,4.25,12.082077842992)); #226312=CARTESIAN_POINT('',(10.597587,4.24,4.136417)); #226313=CARTESIAN_POINT('',(10.597587,4.25,4.136417)); #226314=CARTESIAN_POINT('',(10.599771,4.24,4.127941)); #226315=CARTESIAN_POINT('Origin',(10.7009503266835,4.25,4.15570483825085)); #226316=CARTESIAN_POINT('',(10.595803,4.25,4.155899)); #226317=CARTESIAN_POINT('Origin',(10.7009503266835,4.25,4.15570483825085)); #226318=CARTESIAN_POINT('',(10.595803,4.24,4.155899)); #226319=CARTESIAN_POINT('',(10.595803,4.25,4.155899)); #226320=CARTESIAN_POINT('Origin',(10.7009503266835,4.24,4.15570483825085)); #226321=CARTESIAN_POINT('Origin',(10.595913,4.25,6.224476)); #226322=CARTESIAN_POINT('',(10.595913,4.25,6.224476)); #226323=CARTESIAN_POINT('',(10.5964122312516,4.25,15.6126422246769)); #226324=CARTESIAN_POINT('',(10.595913,4.24,6.224476)); #226325=CARTESIAN_POINT('',(10.595913,4.25,6.224476)); #226326=CARTESIAN_POINT('',(10.595803,4.24,4.155899)); #226327=CARTESIAN_POINT('Origin',(10.598479,4.25,6.241699)); #226328=CARTESIAN_POINT('',(10.598479,4.25,6.241699)); #226329=CARTESIAN_POINT('',(12.1305558185975,4.25,16.5250033829747)); #226330=CARTESIAN_POINT('',(10.598479,4.24,6.241699)); #226331=CARTESIAN_POINT('',(10.598479,4.25,6.241699)); #226332=CARTESIAN_POINT('',(10.595913,4.24,6.224476)); #226333=CARTESIAN_POINT('Origin',(10.604283,4.25,6.256077)); #226334=CARTESIAN_POINT('',(10.604283,4.25,6.256077)); #226335=CARTESIAN_POINT('',(14.9219891526246,4.25,16.9521450672675)); #226336=CARTESIAN_POINT('',(10.604283,4.24,6.256077)); #226337=CARTESIAN_POINT('',(10.604283,4.25,6.256077)); #226338=CARTESIAN_POINT('',(10.598479,4.24,6.241699)); #226339=CARTESIAN_POINT('Origin',(10.613098,4.25,6.267857)); #226340=CARTESIAN_POINT('',(10.613098,4.25,6.267857)); #226341=CARTESIAN_POINT('',(17.8316673033479,4.25,15.9144533010176)); #226342=CARTESIAN_POINT('',(10.613098,4.24,6.267857)); #226343=CARTESIAN_POINT('',(10.613098,4.25,6.267857)); #226344=CARTESIAN_POINT('',(10.604283,4.24,6.256077)); #226345=CARTESIAN_POINT('Origin',(10.624699,4.25,6.277275)); #226346=CARTESIAN_POINT('',(19.7789378716033,4.25,13.7089293136575)); #226347=CARTESIAN_POINT('',(10.613098,4.24,6.267857)); #226348=CARTESIAN_POINT('Origin',(11.3205669442562,4.24,5.18399205520634)); #226349=CARTESIAN_POINT('Origin',(213.434288941099,4.25,90.0449504634476)); #226350=CARTESIAN_POINT('',(11.724268,4.25,14.9795)); #226351=CARTESIAN_POINT('',(11.490641,4.25,15.6102982)); #226352=CARTESIAN_POINT('Origin',(213.434288941099,4.25,90.0449504634476)); #226353=CARTESIAN_POINT('',(11.724268,4.24,14.9795)); #226354=CARTESIAN_POINT('',(11.724268,4.25,14.9795)); #226355=CARTESIAN_POINT('',(11.490641,4.24,15.6102982)); #226356=CARTESIAN_POINT('Origin',(213.434288941099,4.24,90.0449504634476)); #226357=CARTESIAN_POINT('',(11.490641,4.25,15.6102982)); #226358=CARTESIAN_POINT('Origin',(11.7274515,4.25,16.8629381096275)); #226359=CARTESIAN_POINT('',(11.730635,4.25,14.9795)); #226360=CARTESIAN_POINT('Origin',(11.7274515,4.25,16.8629381096275)); #226361=CARTESIAN_POINT('',(11.730635,4.24,14.9795)); #226362=CARTESIAN_POINT('',(11.730635,4.25,14.9795)); #226363=CARTESIAN_POINT('Origin',(11.7274515,4.24,16.8629381096275)); #226364=CARTESIAN_POINT('Origin',(11.736331,4.25,14.9795)); #226365=CARTESIAN_POINT('',(11.736331,4.25,14.9795)); #226366=CARTESIAN_POINT('',(18.7681655,4.25,14.9795)); #226367=CARTESIAN_POINT('',(11.736331,4.24,14.9795)); #226368=CARTESIAN_POINT('',(11.736331,4.25,14.9795)); #226369=CARTESIAN_POINT('',(11.736331,4.24,14.9795)); #226370=CARTESIAN_POINT('Origin',(11.742546,4.25,14.979496)); #226371=CARTESIAN_POINT('',(11.742546,4.25,14.979496)); #226372=CARTESIAN_POINT('',(18.7680454707075,4.25,14.9749743591524)); #226373=CARTESIAN_POINT('',(11.742546,4.24,14.979496)); #226374=CARTESIAN_POINT('',(11.742546,4.25,14.979496)); #226375=CARTESIAN_POINT('',(11.742546,4.24,14.979496)); #226376=CARTESIAN_POINT('Origin',(11.748416,4.25,14.9795)); #226377=CARTESIAN_POINT('',(11.748416,4.25,14.9795)); #226378=CARTESIAN_POINT('',(18.7776188756938,4.25,14.9842899167782)); #226379=CARTESIAN_POINT('',(11.748416,4.24,14.9795)); #226380=CARTESIAN_POINT('',(11.748416,4.25,14.9795)); #226381=CARTESIAN_POINT('',(11.748416,4.24,14.9795)); #226382=CARTESIAN_POINT('Origin',(11.75565,4.25,14.979496)); #226383=CARTESIAN_POINT('',(11.75565,4.25,14.979496)); #226384=CARTESIAN_POINT('',(18.7750524629047,4.25,14.9756146605147)); #226385=CARTESIAN_POINT('',(11.75565,4.24,14.979496)); #226386=CARTESIAN_POINT('',(11.75565,4.25,14.979496)); #226387=CARTESIAN_POINT('',(11.75565,4.24,14.979496)); #226388=CARTESIAN_POINT('Origin',(11.755867,4.25,14.979496)); #226389=CARTESIAN_POINT('',(11.755867,4.25,14.979496)); #226390=CARTESIAN_POINT('',(18.7779335,4.25,14.979496)); #226391=CARTESIAN_POINT('',(11.755867,4.24,14.979496)); #226392=CARTESIAN_POINT('',(11.755867,4.25,14.979496)); #226393=CARTESIAN_POINT('',(11.755867,4.24,14.979496)); #226394=CARTESIAN_POINT('Origin',(11.756026,4.25,14.979824)); #226395=CARTESIAN_POINT('',(11.756026,4.25,14.979824)); #226396=CARTESIAN_POINT('',(15.0586938412702,4.25,21.7928746409992)); #226397=CARTESIAN_POINT('',(11.756026,4.24,14.979824)); #226398=CARTESIAN_POINT('',(11.756026,4.25,14.979824)); #226399=CARTESIAN_POINT('',(11.756026,4.24,14.979824)); #226400=CARTESIAN_POINT('Origin',(11.811067,4.25,15.1251097)); #226401=CARTESIAN_POINT('',(11.811067,4.25,15.1251097)); #226402=CARTESIAN_POINT('',(14.3247106456036,4.25,21.7600995548734)); #226403=CARTESIAN_POINT('',(11.811067,4.24,15.1251097)); #226404=CARTESIAN_POINT('',(11.811067,4.25,15.1251097)); #226405=CARTESIAN_POINT('',(11.811067,4.24,15.1251097)); #226406=CARTESIAN_POINT('Origin',(11.865425,4.25,15.2700233)); #226407=CARTESIAN_POINT('',(11.865425,4.25,15.2700233)); #226408=CARTESIAN_POINT('',(14.3246221919204,4.25,21.8260245912739)); #226409=CARTESIAN_POINT('',(11.865425,4.24,15.2700233)); #226410=CARTESIAN_POINT('',(11.865425,4.25,15.2700233)); #226411=CARTESIAN_POINT('',(11.865425,4.24,15.2700233)); #226412=CARTESIAN_POINT('Origin',(11.952967,4.25,15.5022392)); #226413=CARTESIAN_POINT('',(11.952967,4.25,15.5022392)); #226414=CARTESIAN_POINT('',(14.3819758414256,4.25,21.9454832910604)); #226415=CARTESIAN_POINT('',(11.952967,4.24,15.5022392)); #226416=CARTESIAN_POINT('',(11.952967,4.25,15.5022392)); #226417=CARTESIAN_POINT('',(11.952967,4.24,15.5022392)); #226418=CARTESIAN_POINT('Origin',(11.993704,4.25,15.6102982)); #226419=CARTESIAN_POINT('',(11.993704,4.25,15.6102982)); #226420=CARTESIAN_POINT('',(14.4023665288975,4.25,21.9995184226507)); #226421=CARTESIAN_POINT('',(11.993704,4.24,15.6102982)); #226422=CARTESIAN_POINT('',(11.993704,4.25,15.6102982)); #226423=CARTESIAN_POINT('',(11.993704,4.24,15.6102982)); #226424=CARTESIAN_POINT('Origin',(11.490641,4.25,15.6102982)); #226425=CARTESIAN_POINT('',(18.6453205,4.25,15.6102982)); #226426=CARTESIAN_POINT('',(11.490641,4.24,15.6102982)); #226427=CARTESIAN_POINT('Origin',(12.348156,4.25,16.0728035)); #226428=CARTESIAN_POINT('',(12.348156,4.25,16.0728035)); #226429=CARTESIAN_POINT('',(12.346444,4.25,16.0767136)); #226430=CARTESIAN_POINT('',(11.7901686169314,4.25,17.3472114827893)); #226431=CARTESIAN_POINT('',(12.348156,4.24,16.0728035)); #226432=CARTESIAN_POINT('',(12.348156,4.25,16.0728035)); #226433=CARTESIAN_POINT('',(12.346444,4.24,16.0767136)); #226434=CARTESIAN_POINT('',(12.348156,4.24,16.0728035)); #226435=CARTESIAN_POINT('',(12.346444,4.25,16.0767136)); #226436=CARTESIAN_POINT('Origin',(12.34943,4.25,16.0687027)); #226437=CARTESIAN_POINT('',(12.34943,4.25,16.0687027)); #226438=CARTESIAN_POINT('',(11.6761644626562,4.25,18.2358356007379)); #226439=CARTESIAN_POINT('',(12.34943,4.24,16.0687027)); #226440=CARTESIAN_POINT('',(12.34943,4.25,16.0687027)); #226441=CARTESIAN_POINT('',(12.34943,4.24,16.0687027)); #226442=CARTESIAN_POINT('Origin',(12.350267,4.25,16.0644035)); #226443=CARTESIAN_POINT('',(12.350267,4.25,16.0644035)); #226444=CARTESIAN_POINT('',(11.7577934843144,4.25,19.1076079667072)); #226445=CARTESIAN_POINT('',(12.350267,4.24,16.0644035)); #226446=CARTESIAN_POINT('',(12.350267,4.25,16.0644035)); #226447=CARTESIAN_POINT('',(12.350267,4.24,16.0644035)); #226448=CARTESIAN_POINT('Origin',(12.350665,4.25,16.0599098)); #226449=CARTESIAN_POINT('',(12.350665,4.25,16.0599098)); #226450=CARTESIAN_POINT('',(12.0101817245674,4.25,19.9042055156137)); #226451=CARTESIAN_POINT('',(12.350665,4.24,16.0599098)); #226452=CARTESIAN_POINT('',(12.350665,4.25,16.0599098)); #226453=CARTESIAN_POINT('',(12.350665,4.24,16.0599098)); #226454=CARTESIAN_POINT('Origin',(12.350628,4.25,16.0551491)); #226455=CARTESIAN_POINT('',(12.350628,4.25,16.0551491)); #226456=CARTESIAN_POINT('',(12.3857916102095,4.25,20.5795652926257)); #226457=CARTESIAN_POINT('',(12.350628,4.24,16.0551491)); #226458=CARTESIAN_POINT('',(12.350628,4.25,16.0551491)); #226459=CARTESIAN_POINT('',(12.350628,4.24,16.0551491)); #226460=CARTESIAN_POINT('Origin',(12.350152,4.25,16.0504875)); #226461=CARTESIAN_POINT('',(12.350152,4.25,16.0504875)); #226462=CARTESIAN_POINT('',(12.8717529674323,4.25,21.1586704197067)); #226463=CARTESIAN_POINT('',(12.350152,4.24,16.0504875)); #226464=CARTESIAN_POINT('',(12.350152,4.25,16.0504875)); #226465=CARTESIAN_POINT('',(12.350152,4.24,16.0504875)); #226466=CARTESIAN_POINT('Origin',(12.349237,4.25,16.0460663)); #226467=CARTESIAN_POINT('',(12.349237,4.25,16.0460663)); #226468=CARTESIAN_POINT('',(13.5139493828622,4.25,21.6738547012052)); #226469=CARTESIAN_POINT('',(12.349237,4.24,16.0460663)); #226470=CARTESIAN_POINT('',(12.349237,4.25,16.0460663)); #226471=CARTESIAN_POINT('',(12.349237,4.24,16.0460663)); #226472=CARTESIAN_POINT('Origin',(12.347886,4.25,16.0418816)); #226473=CARTESIAN_POINT('',(12.347886,4.25,16.0418816)); #226474=CARTESIAN_POINT('',(14.2922964709914,4.25,22.0646606510487)); #226475=CARTESIAN_POINT('',(12.347886,4.24,16.0418816)); #226476=CARTESIAN_POINT('',(12.347886,4.25,16.0418816)); #226477=CARTESIAN_POINT('',(12.347886,4.24,16.0418816)); #226478=CARTESIAN_POINT('Origin',(12.346097,4.25,16.0379448)); #226479=CARTESIAN_POINT('',(12.346097,4.25,16.0379448)); #226480=CARTESIAN_POINT('',(15.1852636509294,4.25,22.2856984452626)); #226481=CARTESIAN_POINT('',(12.346097,4.24,16.0379448)); #226482=CARTESIAN_POINT('',(12.346097,4.25,16.0379448)); #226483=CARTESIAN_POINT('',(12.346097,4.24,16.0379448)); #226484=CARTESIAN_POINT('Origin',(12.343869,4.25,16.0342598)); #226485=CARTESIAN_POINT('',(12.343869,4.25,16.0342598)); #226486=CARTESIAN_POINT('',(16.1297929967894,4.25,22.2959877749401)); #226487=CARTESIAN_POINT('',(12.343869,4.24,16.0342598)); #226488=CARTESIAN_POINT('',(12.343869,4.25,16.0342598)); #226489=CARTESIAN_POINT('',(12.343869,4.24,16.0342598)); #226490=CARTESIAN_POINT('Origin',(12.341206,4.25,16.0308266)); #226491=CARTESIAN_POINT('',(12.341206,4.25,16.0308266)); #226492=CARTESIAN_POINT('',(17.0408982790152,4.25,22.0897764807016)); #226493=CARTESIAN_POINT('',(12.341206,4.24,16.0308266)); #226494=CARTESIAN_POINT('',(12.341206,4.25,16.0308266)); #226495=CARTESIAN_POINT('',(12.341206,4.24,16.0308266)); #226496=CARTESIAN_POINT('Origin',(12.338102,4.25,16.0276566)); #226497=CARTESIAN_POINT('',(12.338102,4.25,16.0276566)); #226498=CARTESIAN_POINT('',(17.8753668677378,4.25,21.6826597026926)); #226499=CARTESIAN_POINT('',(12.338102,4.24,16.0276566)); #226500=CARTESIAN_POINT('',(12.338102,4.25,16.0276566)); #226501=CARTESIAN_POINT('',(12.338102,4.24,16.0276566)); #226502=CARTESIAN_POINT('Origin',(12.334558,4.25,16.0247002)); #226503=CARTESIAN_POINT('',(12.334558,4.25,16.0247002)); #226504=CARTESIAN_POINT('',(18.5120392349514,4.25,21.1779466793987)); #226505=CARTESIAN_POINT('',(12.334558,4.24,16.0247002)); #226506=CARTESIAN_POINT('',(12.334558,4.25,16.0247002)); #226507=CARTESIAN_POINT('',(12.334558,4.24,16.0247002)); #226508=CARTESIAN_POINT('Origin',(12.330455,4.25,16.0220985)); #226509=CARTESIAN_POINT('',(12.330455,4.25,16.0220985)); #226510=CARTESIAN_POINT('',(19.1640233350191,4.25,20.3552436955228)); #226511=CARTESIAN_POINT('',(12.330455,4.24,16.0220985)); #226512=CARTESIAN_POINT('',(12.330455,4.25,16.0220985)); #226513=CARTESIAN_POINT('',(12.330455,4.24,16.0220985)); #226514=CARTESIAN_POINT('Origin',(12.325774,4.25,16.019886)); #226515=CARTESIAN_POINT('',(12.325774,4.25,16.019886)); #226516=CARTESIAN_POINT('',(19.5673466707105,4.25,19.4426545396181)); #226517=CARTESIAN_POINT('',(12.325774,4.24,16.019886)); #226518=CARTESIAN_POINT('',(12.325774,4.25,16.019886)); #226519=CARTESIAN_POINT('',(12.325774,4.24,16.019886)); #226520=CARTESIAN_POINT('Origin',(12.320516,4.25,16.0180664)); #226521=CARTESIAN_POINT('',(12.320516,4.25,16.0180664)); #226522=CARTESIAN_POINT('',(19.7273731091261,4.25,18.5813066426316)); #226523=CARTESIAN_POINT('',(12.320516,4.24,16.0180664)); #226524=CARTESIAN_POINT('',(12.320516,4.25,16.0180664)); #226525=CARTESIAN_POINT('',(12.320516,4.24,16.0180664)); #226526=CARTESIAN_POINT('Origin',(12.314682,4.25,16.0166321)); #226527=CARTESIAN_POINT('',(12.314682,4.25,16.0166321)); #226528=CARTESIAN_POINT('',(19.7143695605569,4.25,17.8358593657029)); #226529=CARTESIAN_POINT('',(12.314682,4.24,16.0166321)); #226530=CARTESIAN_POINT('',(12.314682,4.25,16.0166321)); #226531=CARTESIAN_POINT('',(12.314682,4.24,16.0166321)); #226532=CARTESIAN_POINT('Origin',(12.308272,4.25,16.015583)); #226533=CARTESIAN_POINT('',(12.308272,4.25,16.015583)); #226534=CARTESIAN_POINT('',(19.5941933395058,4.25,17.2080416704022)); #226535=CARTESIAN_POINT('',(12.308272,4.24,16.015583)); #226536=CARTESIAN_POINT('',(12.308272,4.25,16.015583)); #226537=CARTESIAN_POINT('',(12.308272,4.24,16.015583)); #226538=CARTESIAN_POINT('Origin',(12.30129,4.25,16.0149117)); #226539=CARTESIAN_POINT('',(12.30129,4.25,16.0149117)); #226540=CARTESIAN_POINT('',(19.4168127390972,4.25,16.6990495422739)); #226541=CARTESIAN_POINT('',(12.30129,4.24,16.0149117)); #226542=CARTESIAN_POINT('',(12.30129,4.25,16.0149117)); #226543=CARTESIAN_POINT('',(12.30129,4.24,16.0149117)); #226544=CARTESIAN_POINT('Origin',(12.293735,4.25,16.0146141)); #226545=CARTESIAN_POINT('',(12.293735,4.25,16.0146141)); #226546=CARTESIAN_POINT('',(19.2131032440588,4.25,16.2871758457864)); #226547=CARTESIAN_POINT('',(12.293735,4.24,16.0146141)); #226548=CARTESIAN_POINT('',(12.293735,4.25,16.0146141)); #226549=CARTESIAN_POINT('',(12.293735,4.24,16.0146141)); #226550=CARTESIAN_POINT('Origin',(12.23864,4.25,16.0145988)); #226551=CARTESIAN_POINT('',(12.23864,4.25,16.0145988)); #226552=CARTESIAN_POINT('',(19.0205671096076,4.25,16.016482155745)); #226553=CARTESIAN_POINT('',(12.23864,4.24,16.0145988)); #226554=CARTESIAN_POINT('',(12.23864,4.25,16.0145988)); #226555=CARTESIAN_POINT('',(12.23864,4.24,16.0145988)); #226556=CARTESIAN_POINT('Origin',(12.227502,4.25,15.9853935)); #226557=CARTESIAN_POINT('',(12.227502,4.25,15.9853935)); #226558=CARTESIAN_POINT('',(14.5898685052069,4.25,22.1798289906206)); #226559=CARTESIAN_POINT('',(12.227502,4.24,15.9853935)); #226560=CARTESIAN_POINT('',(12.227502,4.25,15.9853935)); #226561=CARTESIAN_POINT('',(12.227502,4.24,15.9853935)); #226562=CARTESIAN_POINT('Origin',(11.81652,4.25,14.894436)); #226563=CARTESIAN_POINT('',(11.81652,4.25,14.894436)); #226564=CARTESIAN_POINT('',(14.3523505531175,4.25,21.6258338730277)); #226565=CARTESIAN_POINT('',(11.81652,4.24,14.894436)); #226566=CARTESIAN_POINT('',(11.81652,4.25,14.894436)); #226567=CARTESIAN_POINT('',(11.81652,4.24,14.894436)); #226568=CARTESIAN_POINT('Origin',(11.5851681183666,4.25,20.3727402278776)); #226569=CARTESIAN_POINT('',(11.356364,4.25,14.894329)); #226570=CARTESIAN_POINT('Origin',(11.5851681183666,4.25,20.3727402278776)); #226571=CARTESIAN_POINT('',(11.356364,4.24,14.894329)); #226572=CARTESIAN_POINT('',(11.356364,4.25,14.894329)); #226573=CARTESIAN_POINT('Origin',(11.5851681183666,4.24,20.3727402278776)); #226574=CARTESIAN_POINT('Origin',(11.350299,4.25,14.895592)); #226575=CARTESIAN_POINT('',(11.350299,4.25,14.895592)); #226576=CARTESIAN_POINT('',(17.2664988176409,4.25,13.6635787486097)); #226577=CARTESIAN_POINT('',(11.350299,4.24,14.895592)); #226578=CARTESIAN_POINT('',(11.350299,4.25,14.895592)); #226579=CARTESIAN_POINT('',(11.350299,4.24,14.895592)); #226580=CARTESIAN_POINT('Origin',(11.3448,4.25,14.897247)); #226581=CARTESIAN_POINT('',(11.3448,4.25,14.897247)); #226582=CARTESIAN_POINT('',(16.5780910728022,4.25,13.3222157715058)); #226583=CARTESIAN_POINT('',(11.3448,4.24,14.897247)); #226584=CARTESIAN_POINT('',(11.3448,4.25,14.897247)); #226585=CARTESIAN_POINT('',(11.3448,4.24,14.897247)); #226586=CARTESIAN_POINT('Origin',(11.339868,4.25,14.899296)); #226587=CARTESIAN_POINT('',(11.339868,4.25,14.899296)); #226588=CARTESIAN_POINT('',(15.716383336293,4.25,13.0810721711126)); #226589=CARTESIAN_POINT('',(11.339868,4.24,14.899296)); #226590=CARTESIAN_POINT('',(11.339868,4.25,14.899296)); #226591=CARTESIAN_POINT('',(11.339868,4.24,14.899296)); #226592=CARTESIAN_POINT('Origin',(11.335504,4.25,14.901752)); #226593=CARTESIAN_POINT('',(11.335504,4.25,14.901752)); #226594=CARTESIAN_POINT('',(14.6700329258484,4.25,13.025124355207)); #226595=CARTESIAN_POINT('',(11.335504,4.24,14.901752)); #226596=CARTESIAN_POINT('',(11.335504,4.25,14.901752)); #226597=CARTESIAN_POINT('',(11.335504,4.24,14.901752)); #226598=CARTESIAN_POINT('Origin',(11.331709,4.25,14.904617)); #226599=CARTESIAN_POINT('',(11.331709,4.25,14.904617)); #226600=CARTESIAN_POINT('',(13.5123321759863,4.25,13.2583757880888)); #226601=CARTESIAN_POINT('',(11.331709,4.24,14.904617)); #226602=CARTESIAN_POINT('',(11.331709,4.25,14.904617)); #226603=CARTESIAN_POINT('',(11.331709,4.24,14.904617)); #226604=CARTESIAN_POINT('Origin',(11.32843,4.25,14.907658)); #226605=CARTESIAN_POINT('',(11.32843,4.25,14.907658)); #226606=CARTESIAN_POINT('',(12.7024840158983,4.25,13.6333370904711)); #226607=CARTESIAN_POINT('',(11.32843,4.24,14.907658)); #226608=CARTESIAN_POINT('',(11.32843,4.25,14.907658)); #226609=CARTESIAN_POINT('',(11.32843,4.24,14.907658)); #226610=CARTESIAN_POINT('Origin',(11.325597,4.25,14.910957)); #226611=CARTESIAN_POINT('',(11.325597,4.25,14.910957)); #226612=CARTESIAN_POINT('',(11.9040713894494,4.25,14.2373293929424)); #226613=CARTESIAN_POINT('',(11.325597,4.24,14.910957)); #226614=CARTESIAN_POINT('',(11.325597,4.25,14.910957)); #226615=CARTESIAN_POINT('',(11.325597,4.24,14.910957)); #226616=CARTESIAN_POINT('Origin',(11.323205,4.25,14.914528)); #226617=CARTESIAN_POINT('',(11.323205,4.25,14.914528)); #226618=CARTESIAN_POINT('',(11.2334273761334,4.25,15.0485563841253)); #226619=CARTESIAN_POINT('',(11.323205,4.24,14.914528)); #226620=CARTESIAN_POINT('',(11.323205,4.25,14.914528)); #226621=CARTESIAN_POINT('',(11.323205,4.24,14.914528)); #226622=CARTESIAN_POINT('Origin',(11.321255,4.25,14.918358)); #226623=CARTESIAN_POINT('',(11.321255,4.25,14.918358)); #226624=CARTESIAN_POINT('',(10.7733995203263,4.25,15.9944023523845)); #226625=CARTESIAN_POINT('',(11.321255,4.24,14.918358)); #226626=CARTESIAN_POINT('',(11.321255,4.25,14.918358)); #226627=CARTESIAN_POINT('',(11.321255,4.24,14.918358)); #226628=CARTESIAN_POINT('Origin',(11.319741,4.25,14.922443)); #226629=CARTESIAN_POINT('',(11.319741,4.25,14.922443)); #226630=CARTESIAN_POINT('',(10.5521998235973,4.25,16.9933846813771)); #226631=CARTESIAN_POINT('',(11.319741,4.24,14.922443)); #226632=CARTESIAN_POINT('',(11.319741,4.25,14.922443)); #226633=CARTESIAN_POINT('',(11.319741,4.24,14.922443)); #226634=CARTESIAN_POINT('Origin',(11.318664,4.25,14.926781)); #226635=CARTESIAN_POINT('',(11.318664,4.25,14.926781)); #226636=CARTESIAN_POINT('',(10.5612118674514,4.25,17.9776884753906)); #226637=CARTESIAN_POINT('',(11.318664,4.24,14.926781)); #226638=CARTESIAN_POINT('',(11.318664,4.25,14.926781)); #226639=CARTESIAN_POINT('',(11.318664,4.24,14.926781)); #226640=CARTESIAN_POINT('Origin',(11.318018,4.25,14.93137)); #226641=CARTESIAN_POINT('',(11.318018,4.25,14.93137)); #226642=CARTESIAN_POINT('',(10.7638047450671,4.25,18.868343106624)); #226643=CARTESIAN_POINT('',(11.318018,4.24,14.93137)); #226644=CARTESIAN_POINT('',(11.318018,4.25,14.93137)); #226645=CARTESIAN_POINT('',(11.318018,4.24,14.93137)); #226646=CARTESIAN_POINT('Origin',(11.317803,4.25,14.936199)); #226647=CARTESIAN_POINT('',(11.317803,4.25,14.936199)); #226648=CARTESIAN_POINT('',(11.1085379488586,4.25,19.6363893812409)); #226649=CARTESIAN_POINT('',(11.317803,4.24,14.936199)); #226650=CARTESIAN_POINT('',(11.317803,4.25,14.936199)); #226651=CARTESIAN_POINT('',(11.317803,4.24,14.936199)); #226652=CARTESIAN_POINT('Origin',(11.318018,4.25,14.940994)); #226653=CARTESIAN_POINT('',(11.318018,4.25,14.940994)); #226654=CARTESIAN_POINT('',(11.5576089059595,4.25,20.2844283910764)); #226655=CARTESIAN_POINT('',(11.318018,4.24,14.940994)); #226656=CARTESIAN_POINT('',(11.318018,4.25,14.940994)); #226657=CARTESIAN_POINT('',(11.318018,4.24,14.940994)); #226658=CARTESIAN_POINT('Origin',(11.318663,4.25,14.945557)); #226659=CARTESIAN_POINT('',(11.318663,4.25,14.945557)); #226660=CARTESIAN_POINT('',(12.1572040224356,4.25,20.877747209851)); #226661=CARTESIAN_POINT('',(11.318663,4.24,14.945557)); #226662=CARTESIAN_POINT('',(11.318663,4.25,14.945557)); #226663=CARTESIAN_POINT('',(11.318663,4.24,14.945557)); #226664=CARTESIAN_POINT('Origin',(11.319741,4.25,14.949883)); #226665=CARTESIAN_POINT('',(11.319741,4.25,14.949883)); #226666=CARTESIAN_POINT('',(12.922028197474,4.25,21.3798407145441)); #226667=CARTESIAN_POINT('',(11.319741,4.24,14.949883)); #226668=CARTESIAN_POINT('',(11.319741,4.25,14.949883)); #226669=CARTESIAN_POINT('',(11.319741,4.24,14.949883)); #226670=CARTESIAN_POINT('Origin',(11.321255,4.25,14.953964)); #226671=CARTESIAN_POINT('',(11.321255,4.25,14.953964)); #226672=CARTESIAN_POINT('',(13.8351126741353,4.25,21.7300889459348)); #226673=CARTESIAN_POINT('',(11.321255,4.24,14.953964)); #226674=CARTESIAN_POINT('',(11.321255,4.25,14.953964)); #226675=CARTESIAN_POINT('',(11.321255,4.24,14.953964)); #226676=CARTESIAN_POINT('Origin',(11.323205,4.25,14.957798)); #226677=CARTESIAN_POINT('',(11.323205,4.25,14.957798)); #226678=CARTESIAN_POINT('',(14.8397473723734,4.25,21.8718613106075)); #226679=CARTESIAN_POINT('',(11.323205,4.24,14.957798)); #226680=CARTESIAN_POINT('',(11.323205,4.25,14.957798)); #226681=CARTESIAN_POINT('',(11.323205,4.24,14.957798)); #226682=CARTESIAN_POINT('Origin',(11.325597,4.25,14.96138)); #226683=CARTESIAN_POINT('',(11.325597,4.25,14.96138)); #226684=CARTESIAN_POINT('',(15.8756854384231,4.25,21.7750993923206)); #226685=CARTESIAN_POINT('',(11.325597,4.24,14.96138)); #226686=CARTESIAN_POINT('',(11.325597,4.25,14.96138)); #226687=CARTESIAN_POINT('',(11.325597,4.24,14.96138)); #226688=CARTESIAN_POINT('Origin',(11.32843,4.25,14.964706)); #226689=CARTESIAN_POINT('',(11.32843,4.25,14.964706)); #226690=CARTESIAN_POINT('',(16.8476968922816,4.25,21.4444383274706)); #226691=CARTESIAN_POINT('',(11.32843,4.24,14.964706)); #226692=CARTESIAN_POINT('',(11.32843,4.25,14.964706)); #226693=CARTESIAN_POINT('',(11.32843,4.24,14.964706)); #226694=CARTESIAN_POINT('Origin',(11.331709,4.25,14.967777)); #226695=CARTESIAN_POINT('',(11.331709,4.25,14.967777)); #226696=CARTESIAN_POINT('',(17.6881682467296,4.25,20.921020777588)); #226697=CARTESIAN_POINT('',(11.331709,4.24,14.967777)); #226698=CARTESIAN_POINT('',(11.331709,4.25,14.967777)); #226699=CARTESIAN_POINT('',(11.331709,4.24,14.967777)); #226700=CARTESIAN_POINT('Origin',(11.335504,4.25,14.970612)); #226701=CARTESIAN_POINT('',(11.335504,4.25,14.970612)); #226702=CARTESIAN_POINT('',(18.3816945668314,4.25,20.2343670084216)); #226703=CARTESIAN_POINT('',(11.335504,4.24,14.970612)); #226704=CARTESIAN_POINT('',(11.335504,4.25,14.970612)); #226705=CARTESIAN_POINT('',(11.335504,4.24,14.970612)); #226706=CARTESIAN_POINT('Origin',(11.339868,4.25,14.973042)); #226707=CARTESIAN_POINT('',(11.339868,4.25,14.973042)); #226708=CARTESIAN_POINT('',(18.9896925224777,4.25,19.2326830608673)); #226709=CARTESIAN_POINT('',(11.339868,4.24,14.973042)); #226710=CARTESIAN_POINT('',(11.339868,4.25,14.973042)); #226711=CARTESIAN_POINT('',(11.339868,4.24,14.973042)); #226712=CARTESIAN_POINT('Origin',(11.3784622418134,4.25,14.8725126179183)); #226713=CARTESIAN_POINT('',(11.390719,4.25,14.979496)); #226714=CARTESIAN_POINT('Origin',(11.3784622418134,4.25,14.8725126179183)); #226715=CARTESIAN_POINT('',(11.390719,4.24,14.979496)); #226716=CARTESIAN_POINT('',(11.390719,4.25,14.979496)); #226717=CARTESIAN_POINT('Origin',(11.3784622418134,4.24,14.8725126179183)); #226718=CARTESIAN_POINT('Origin',(11.63047,4.25,14.979496)); #226719=CARTESIAN_POINT('',(11.63047,4.25,14.979496)); #226720=CARTESIAN_POINT('',(18.715235,4.25,14.979496)); #226721=CARTESIAN_POINT('',(11.63047,4.24,14.979496)); #226722=CARTESIAN_POINT('',(11.63047,4.25,14.979496)); #226723=CARTESIAN_POINT('',(11.63047,4.24,14.979496)); #226724=CARTESIAN_POINT('Origin',(11.631145,4.25,14.98101)); #226725=CARTESIAN_POINT('',(11.631145,4.25,14.98101)); #226726=CARTESIAN_POINT('',(14.6689322848888,4.25,21.7946543693554)); #226727=CARTESIAN_POINT('',(11.631145,4.24,14.98101)); #226728=CARTESIAN_POINT('',(11.631145,4.25,14.98101)); #226729=CARTESIAN_POINT('',(11.631145,4.24,14.98101)); #226730=CARTESIAN_POINT('Origin',(11.258577,4.25,15.9876518)); #226731=CARTESIAN_POINT('',(11.258577,4.25,15.9876518)); #226732=CARTESIAN_POINT('',(10.6676895701649,4.25,17.5841710554557)); #226733=CARTESIAN_POINT('',(11.258577,4.24,15.9876518)); #226734=CARTESIAN_POINT('',(11.258577,4.25,15.9876518)); #226735=CARTESIAN_POINT('',(11.258577,4.24,15.9876518)); #226736=CARTESIAN_POINT('Origin',(11.248465,4.25,16.0145988)); #226737=CARTESIAN_POINT('',(11.248465,4.25,16.0145988)); #226738=CARTESIAN_POINT('',(10.6687385325447,4.25,17.5594849865623)); #226739=CARTESIAN_POINT('',(11.248465,4.24,16.0145988)); #226740=CARTESIAN_POINT('',(11.248465,4.25,16.0145988)); #226741=CARTESIAN_POINT('',(11.248465,4.24,16.0145988)); #226742=CARTESIAN_POINT('Origin',(11.222025184651,4.25,16.6409990739539)); #226743=CARTESIAN_POINT('',(11.178135,4.25,16.0155792)); #226744=CARTESIAN_POINT('Origin',(11.222025184651,4.25,16.6409990739539)); #226745=CARTESIAN_POINT('',(11.178135,4.24,16.0155792)); #226746=CARTESIAN_POINT('',(11.178135,4.25,16.0155792)); #226747=CARTESIAN_POINT('Origin',(11.222025184651,4.24,16.6409990739539)); #226748=CARTESIAN_POINT('Origin',(11.1978320369347,4.25,16.1439120359647)); #226749=CARTESIAN_POINT('',(11.165892,4.25,16.0180664)); #226750=CARTESIAN_POINT('Origin',(11.1978320369347,4.25,16.1439120359647)); #226751=CARTESIAN_POINT('',(11.165892,4.24,16.0180664)); #226752=CARTESIAN_POINT('',(11.165892,4.25,16.0180664)); #226753=CARTESIAN_POINT('Origin',(11.1978320369347,4.24,16.1439120359647)); #226754=CARTESIAN_POINT('Origin',(11.160633,4.25,16.019886)); #226755=CARTESIAN_POINT('',(11.160633,4.25,16.019886)); #226756=CARTESIAN_POINT('',(16.3102827482535,4.25,14.2381208960025)); #226757=CARTESIAN_POINT('',(11.160633,4.24,16.019886)); #226758=CARTESIAN_POINT('',(11.160633,4.25,16.019886)); #226759=CARTESIAN_POINT('',(11.160633,4.24,16.019886)); #226760=CARTESIAN_POINT('Origin',(11.155952,4.25,16.0220985)); #226761=CARTESIAN_POINT('',(11.155952,4.25,16.0220985)); #226762=CARTESIAN_POINT('',(15.4066335131764,4.25,14.0129908631903)); #226763=CARTESIAN_POINT('',(11.155952,4.24,16.0220985)); #226764=CARTESIAN_POINT('',(11.155952,4.25,16.0220985)); #226765=CARTESIAN_POINT('',(11.155952,4.24,16.0220985)); #226766=CARTESIAN_POINT('Origin',(11.15185,4.25,16.0247002)); #226767=CARTESIAN_POINT('',(11.15185,4.25,16.0247002)); #226768=CARTESIAN_POINT('',(14.3450722339648,4.25,13.9993939381513)); #226769=CARTESIAN_POINT('',(11.15185,4.24,16.0247002)); #226770=CARTESIAN_POINT('',(11.15185,4.25,16.0247002)); #226771=CARTESIAN_POINT('',(11.15185,4.24,16.0247002)); #226772=CARTESIAN_POINT('Origin',(11.148304,4.25,16.0276566)); #226773=CARTESIAN_POINT('',(11.148304,4.25,16.0276566)); #226774=CARTESIAN_POINT('',(13.2635743992717,4.25,14.2640961351378)); #226775=CARTESIAN_POINT('',(11.148304,4.24,16.0276566)); #226776=CARTESIAN_POINT('',(11.148304,4.25,16.0276566)); #226777=CARTESIAN_POINT('',(11.148304,4.24,16.0276566)); #226778=CARTESIAN_POINT('Origin',(11.145202,4.25,16.0308266)); #226779=CARTESIAN_POINT('',(11.145202,4.25,16.0308266)); #226780=CARTESIAN_POINT('',(12.487702104036,4.25,14.6588970932947)); #226781=CARTESIAN_POINT('',(11.145202,4.24,16.0308266)); #226782=CARTESIAN_POINT('',(11.145202,4.25,16.0308266)); #226783=CARTESIAN_POINT('',(11.145202,4.24,16.0308266)); #226784=CARTESIAN_POINT('Origin',(11.142537,4.25,16.0342598)); #226785=CARTESIAN_POINT('',(11.142537,4.25,16.0342598)); #226786=CARTESIAN_POINT('',(11.7267038568008,4.25,15.2817038327329)); #226787=CARTESIAN_POINT('',(11.142537,4.24,16.0342598)); #226788=CARTESIAN_POINT('',(11.142537,4.25,16.0342598)); #226789=CARTESIAN_POINT('',(11.142537,4.24,16.0342598)); #226790=CARTESIAN_POINT('Origin',(11.14031,4.25,16.0379448)); #226791=CARTESIAN_POINT('',(11.14031,4.25,16.0379448)); #226792=CARTESIAN_POINT('',(11.1176034172762,4.25,16.0755172101199)); #226793=CARTESIAN_POINT('',(11.14031,4.24,16.0379448)); #226794=CARTESIAN_POINT('',(11.14031,4.25,16.0379448)); #226795=CARTESIAN_POINT('',(11.14031,4.24,16.0379448)); #226796=CARTESIAN_POINT('Origin',(11.138521,4.25,16.0418816)); #226797=CARTESIAN_POINT('',(11.138521,4.25,16.0418816)); #226798=CARTESIAN_POINT('',(10.7062211642327,4.25,16.993182881972)); #226799=CARTESIAN_POINT('',(11.138521,4.24,16.0418816)); #226800=CARTESIAN_POINT('',(11.138521,4.25,16.0418816)); #226801=CARTESIAN_POINT('',(11.138521,4.24,16.0418816)); #226802=CARTESIAN_POINT('Origin',(11.13717,4.25,16.0460663)); #226803=CARTESIAN_POINT('',(11.13717,4.25,16.0460663)); #226804=CARTESIAN_POINT('',(10.5202484792998,4.25,17.9569704359532)); #226805=CARTESIAN_POINT('',(11.13717,4.24,16.0460663)); #226806=CARTESIAN_POINT('',(11.13717,4.25,16.0460663)); #226807=CARTESIAN_POINT('',(11.13717,4.24,16.0460663)); #226808=CARTESIAN_POINT('Origin',(11.136255,4.25,16.0504913)); #226809=CARTESIAN_POINT('',(11.136255,4.25,16.0504913)); #226810=CARTESIAN_POINT('',(10.5495480712569,4.25,18.8878444799908)); #226811=CARTESIAN_POINT('',(11.136255,4.24,16.0504913)); #226812=CARTESIAN_POINT('',(11.136255,4.25,16.0504913)); #226813=CARTESIAN_POINT('',(11.136255,4.24,16.0504913)); #226814=CARTESIAN_POINT('Origin',(11.135779,4.25,16.0551491)); #226815=CARTESIAN_POINT('',(11.135779,4.25,16.0551491)); #226816=CARTESIAN_POINT('',(10.7592297285242,4.25,19.7397944711552)); #226817=CARTESIAN_POINT('',(11.135779,4.24,16.0551491)); #226818=CARTESIAN_POINT('',(11.135779,4.25,16.0551491)); #226819=CARTESIAN_POINT('',(11.135779,4.24,16.0551491)); #226820=CARTESIAN_POINT('Origin',(11.13574,4.25,16.0599098)); #226821=CARTESIAN_POINT('',(11.13574,4.25,16.0599098)); #226822=CARTESIAN_POINT('',(11.0996155499918,4.25,20.4695936246543)); #226823=CARTESIAN_POINT('',(11.13574,4.24,16.0599098)); #226824=CARTESIAN_POINT('',(11.13574,4.25,16.0599098)); #226825=CARTESIAN_POINT('',(11.13574,4.24,16.0599098)); #226826=CARTESIAN_POINT('Origin',(11.13614,4.25,16.0644035)); #226827=CARTESIAN_POINT('',(11.13614,4.25,16.0644035)); #226828=CARTESIAN_POINT('',(11.5883452370052,4.25,21.1445901838486)); #226829=CARTESIAN_POINT('',(11.13614,4.24,16.0644035)); #226830=CARTESIAN_POINT('',(11.13614,4.25,16.0644035)); #226831=CARTESIAN_POINT('',(11.13614,4.24,16.0644035)); #226832=CARTESIAN_POINT('Origin',(11.136976,4.25,16.0687027)); #226833=CARTESIAN_POINT('',(11.136976,4.25,16.0687027)); #226834=CARTESIAN_POINT('',(12.2408271721337,4.25,21.7453497804279)); #226835=CARTESIAN_POINT('',(11.136976,4.24,16.0687027)); #226836=CARTESIAN_POINT('',(11.136976,4.25,16.0687027)); #226837=CARTESIAN_POINT('',(11.136976,4.24,16.0687027)); #226838=CARTESIAN_POINT('Origin',(11.13825,4.25,16.0728035)); #226839=CARTESIAN_POINT('',(11.13825,4.25,16.0728035)); #226840=CARTESIAN_POINT('',(13.0481724327204,4.25,22.2205349851553)); #226841=CARTESIAN_POINT('',(11.13825,4.24,16.0728035)); #226842=CARTESIAN_POINT('',(11.13825,4.25,16.0728035)); #226843=CARTESIAN_POINT('',(11.13825,4.24,16.0728035)); #226844=CARTESIAN_POINT('Origin',(11.139962,4.25,16.0767136)); #226845=CARTESIAN_POINT('',(11.139962,4.25,16.0767136)); #226846=CARTESIAN_POINT('',(13.9583490505883,4.25,22.5137318281035)); #226847=CARTESIAN_POINT('',(11.139962,4.24,16.0767136)); #226848=CARTESIAN_POINT('',(11.139962,4.25,16.0767136)); #226849=CARTESIAN_POINT('',(11.139962,4.24,16.0767136)); #226850=CARTESIAN_POINT('Origin',(11.142113,4.25,16.0804405)); #226851=CARTESIAN_POINT('',(11.142113,4.25,16.0804405)); #226852=CARTESIAN_POINT('',(14.9042357136777,4.25,22.5988296871683)); #226853=CARTESIAN_POINT('',(11.142113,4.24,16.0804405)); #226854=CARTESIAN_POINT('',(11.142113,4.25,16.0804405)); #226855=CARTESIAN_POINT('',(11.142113,4.24,16.0804405)); #226856=CARTESIAN_POINT('Origin',(11.1447,4.25,16.0839958)); #226857=CARTESIAN_POINT('',(11.1447,4.25,16.0839958)); #226858=CARTESIAN_POINT('',(15.8022710458394,4.25,22.484870303007)); #226859=CARTESIAN_POINT('',(11.1447,4.24,16.0839958)); #226860=CARTESIAN_POINT('',(11.1447,4.25,16.0839958)); #226861=CARTESIAN_POINT('',(11.1447,4.24,16.0839958)); #226862=CARTESIAN_POINT('Origin',(11.147725,4.25,16.0873756)); #226863=CARTESIAN_POINT('',(11.147725,4.25,16.0873756)); #226864=CARTESIAN_POINT('',(16.6207197716222,4.25,22.202293857561)); #226865=CARTESIAN_POINT('',(11.147725,4.24,16.0873756)); #226866=CARTESIAN_POINT('',(11.147725,4.25,16.0873756)); #226867=CARTESIAN_POINT('',(11.147725,4.24,16.0873756)); #226868=CARTESIAN_POINT('Origin',(11.151186,4.25,16.0904961)); #226869=CARTESIAN_POINT('',(11.151186,4.25,16.0904961)); #226870=CARTESIAN_POINT('',(17.4067971182129,4.25,21.7306678695378)); #226871=CARTESIAN_POINT('',(11.151186,4.24,16.0904961)); #226872=CARTESIAN_POINT('',(11.151186,4.25,16.0904961)); #226873=CARTESIAN_POINT('',(11.151186,4.24,16.0904961)); #226874=CARTESIAN_POINT('Origin',(11.155186,4.25,16.0931969)); #226875=CARTESIAN_POINT('',(11.155186,4.25,16.0931969)); #226876=CARTESIAN_POINT('',(18.2500269347963,4.25,20.883633499176)); #226877=CARTESIAN_POINT('',(11.155186,4.24,16.0931969)); #226878=CARTESIAN_POINT('',(11.155186,4.25,16.0931969)); #226879=CARTESIAN_POINT('',(11.155186,4.24,16.0931969)); #226880=CARTESIAN_POINT('Origin',(11.159765,4.25,16.0955162)); #226881=CARTESIAN_POINT('',(11.159765,4.25,16.0955162)); #226882=CARTESIAN_POINT('',(18.7800037779372,4.25,19.9552278832646)); #226883=CARTESIAN_POINT('',(11.159765,4.24,16.0955162)); #226884=CARTESIAN_POINT('',(11.159765,4.25,16.0955162)); #226885=CARTESIAN_POINT('',(11.159765,4.24,16.0955162)); #226886=CARTESIAN_POINT('Origin',(11.164922,4.25,16.0974579)); #226887=CARTESIAN_POINT('',(11.164922,4.25,16.0974579)); #226888=CARTESIAN_POINT('',(19.0417769503308,4.25,19.0632304920218)); #226889=CARTESIAN_POINT('',(11.164922,4.24,16.0974579)); #226890=CARTESIAN_POINT('',(11.164922,4.25,16.0974579)); #226891=CARTESIAN_POINT('',(11.164922,4.24,16.0974579)); #226892=CARTESIAN_POINT('Origin',(11.170654,4.25,16.0990181)); #226893=CARTESIAN_POINT('',(11.170654,4.25,16.0990181)); #226894=CARTESIAN_POINT('',(19.108604225131,4.25,18.2596583549295)); #226895=CARTESIAN_POINT('',(11.170654,4.24,16.0990181)); #226896=CARTESIAN_POINT('',(11.170654,4.25,16.0990181)); #226897=CARTESIAN_POINT('',(11.170654,4.24,16.0990181)); #226898=CARTESIAN_POINT('Origin',(11.3501594982392,4.25,14.6086501320483)); #226899=CARTESIAN_POINT('',(11.520696,4.25,16.100071)); #226900=CARTESIAN_POINT('Origin',(11.3501594982392,4.25,14.6086501320483)); #226901=CARTESIAN_POINT('',(11.520696,4.24,16.100071)); #226902=CARTESIAN_POINT('',(11.520696,4.25,16.100071)); #226903=CARTESIAN_POINT('Origin',(11.3501594982392,4.24,14.6086501320483)); #226904=CARTESIAN_POINT('Origin',(11.526923,4.25,16.0988541)); #226905=CARTESIAN_POINT('',(11.526923,4.25,16.0988541)); #226906=CARTESIAN_POINT('',(17.56319016958,4.25,14.9192277119061)); #226907=CARTESIAN_POINT('',(11.526923,4.24,16.0988541)); #226908=CARTESIAN_POINT('',(11.526923,4.25,16.0988541)); #226909=CARTESIAN_POINT('',(11.526923,4.24,16.0988541)); #226910=CARTESIAN_POINT('Origin',(11.532579,4.25,16.0972519)); #226911=CARTESIAN_POINT('',(11.532579,4.25,16.0972519)); #226912=CARTESIAN_POINT('',(16.9690804742031,4.25,14.5572302129474)); #226913=CARTESIAN_POINT('',(11.532579,4.24,16.0972519)); #226914=CARTESIAN_POINT('',(11.532579,4.25,16.0972519)); #226915=CARTESIAN_POINT('',(11.532579,4.24,16.0972519)); #226916=CARTESIAN_POINT('Origin',(11.537667,4.25,16.0952606)); #226917=CARTESIAN_POINT('',(11.537667,4.25,16.0952606)); #226918=CARTESIAN_POINT('',(16.2105472302393,4.25,14.2664267944815)); #226919=CARTESIAN_POINT('',(11.537667,4.24,16.0952606)); #226920=CARTESIAN_POINT('',(11.537667,4.25,16.0952606)); #226921=CARTESIAN_POINT('',(11.537667,4.24,16.0952606)); #226922=CARTESIAN_POINT('Origin',(11.542187,4.25,16.0928955)); #226923=CARTESIAN_POINT('',(11.542187,4.25,16.0928955)); #226924=CARTESIAN_POINT('',(15.3093421756515,4.25,14.1217232199284)); #226925=CARTESIAN_POINT('',(11.542187,4.24,16.0928955)); #226926=CARTESIAN_POINT('',(11.542187,4.25,16.0928955)); #226927=CARTESIAN_POINT('',(11.542187,4.24,16.0928955)); #226928=CARTESIAN_POINT('Origin',(11.546143,4.25,16.0901527)); #226929=CARTESIAN_POINT('',(11.546143,4.25,16.0901527)); #226930=CARTESIAN_POINT('',(14.273371105137,4.25,14.1992929308448)); #226931=CARTESIAN_POINT('',(11.546143,4.24,16.0901527)); #226932=CARTESIAN_POINT('',(11.546143,4.25,16.0901527)); #226933=CARTESIAN_POINT('',(11.546143,4.24,16.0901527)); #226934=CARTESIAN_POINT('Origin',(11.549561,4.25,16.0869865)); #226935=CARTESIAN_POINT('',(11.549561,4.25,16.0869865)); #226936=CARTESIAN_POINT('',(13.1625179419733,4.25,14.5928541800259)); #226937=CARTESIAN_POINT('',(11.549561,4.24,16.0869865)); #226938=CARTESIAN_POINT('',(11.549561,4.25,16.0869865)); #226939=CARTESIAN_POINT('',(11.549561,4.24,16.0869865)); #226940=CARTESIAN_POINT('Origin',(11.552534,4.25,16.0835838)); #226941=CARTESIAN_POINT('',(11.552534,4.25,16.0835838)); #226942=CARTESIAN_POINT('',(12.4275114993914,4.25,15.0821421796902)); #226943=CARTESIAN_POINT('',(11.552534,4.24,16.0835838)); #226944=CARTESIAN_POINT('',(11.552534,4.25,16.0835838)); #226945=CARTESIAN_POINT('',(11.552534,4.24,16.0835838)); #226946=CARTESIAN_POINT('Origin',(11.55507,4.25,16.0800133)); #226947=CARTESIAN_POINT('',(11.55507,4.25,16.0800133)); #226948=CARTESIAN_POINT('',(11.8377838938125,4.25,15.6819730957973)); #226949=CARTESIAN_POINT('',(11.55507,4.24,16.0800133)); #226950=CARTESIAN_POINT('',(11.55507,4.25,16.0800133)); #226951=CARTESIAN_POINT('',(11.55507,4.24,16.0800133)); #226952=CARTESIAN_POINT('Origin',(11.557168,4.25,16.0762634)); #226953=CARTESIAN_POINT('',(11.557168,4.25,16.0762634)); #226954=CARTESIAN_POINT('',(11.3536752003187,4.25,16.4399801061604)); #226955=CARTESIAN_POINT('',(11.557168,4.24,16.0762634)); #226956=CARTESIAN_POINT('',(11.557168,4.25,16.0762634)); #226957=CARTESIAN_POINT('',(11.557168,4.24,16.0762634)); #226958=CARTESIAN_POINT('Origin',(11.558829,4.25,16.0723305)); #226959=CARTESIAN_POINT('',(11.558829,4.25,16.0723305)); #226960=CARTESIAN_POINT('',(11.0367862290519,4.25,17.3084184072018)); #226961=CARTESIAN_POINT('',(11.558829,4.24,16.0723305)); #226962=CARTESIAN_POINT('',(11.558829,4.25,16.0723305)); #226963=CARTESIAN_POINT('',(11.558829,4.24,16.0723305)); #226964=CARTESIAN_POINT('Origin',(11.560052,4.25,16.0682068)); #226965=CARTESIAN_POINT('',(11.560052,4.25,16.0682068)); #226966=CARTESIAN_POINT('',(10.9182772200574,4.25,18.232137020805)); #226967=CARTESIAN_POINT('',(11.560052,4.24,16.0682068)); #226968=CARTESIAN_POINT('',(11.560052,4.25,16.0682068)); #226969=CARTESIAN_POINT('',(11.560052,4.24,16.0682068)); #226970=CARTESIAN_POINT('Origin',(11.560837,4.25,16.0638885)); #226971=CARTESIAN_POINT('',(11.560837,4.25,16.0638885)); #226972=CARTESIAN_POINT('',(11.0023404520153,4.25,19.1361886823801)); #226973=CARTESIAN_POINT('',(11.560837,4.24,16.0638885)); #226974=CARTESIAN_POINT('',(11.560837,4.25,16.0638885)); #226975=CARTESIAN_POINT('',(11.560837,4.24,16.0638885)); #226976=CARTESIAN_POINT('Origin',(11.561185,4.25,16.0593643)); #226977=CARTESIAN_POINT('',(11.561185,4.25,16.0593643)); #226978=CARTESIAN_POINT('',(11.2612272854559,4.25,19.9589869785508)); #226979=CARTESIAN_POINT('',(11.561185,4.24,16.0593643)); #226980=CARTESIAN_POINT('',(11.561185,4.25,16.0593643)); #226981=CARTESIAN_POINT('',(11.561185,4.24,16.0593643)); #226982=CARTESIAN_POINT('Origin',(11.561094,4.25,16.0545883)); #226983=CARTESIAN_POINT('',(11.561094,4.25,16.0545883)); #226984=CARTESIAN_POINT('',(11.6488679330049,4.25,20.6612729596934)); #226985=CARTESIAN_POINT('',(11.561094,4.24,16.0545883)); #226986=CARTESIAN_POINT('',(11.561094,4.25,16.0545883)); #226987=CARTESIAN_POINT('',(11.561094,4.24,16.0545883)); #226988=CARTESIAN_POINT('Origin',(11.560567,4.25,16.0499573)); #226989=CARTESIAN_POINT('',(11.560567,4.25,16.0499573)); #226990=CARTESIAN_POINT('',(12.1543283808701,4.25,21.2676213508801)); #226991=CARTESIAN_POINT('',(11.560567,4.24,16.0499573)); #226992=CARTESIAN_POINT('',(11.560567,4.25,16.0499573)); #226993=CARTESIAN_POINT('',(11.560567,4.24,16.0499573)); #226994=CARTESIAN_POINT('Origin',(11.559602,4.25,16.0455589)); #226995=CARTESIAN_POINT('',(11.559602,4.25,16.0455589)); #226996=CARTESIAN_POINT('',(12.8237774894148,4.25,21.8075790788934)); #226997=CARTESIAN_POINT('',(11.559602,4.24,16.0455589)); #226998=CARTESIAN_POINT('',(11.559602,4.25,16.0455589)); #226999=CARTESIAN_POINT('',(11.559602,4.24,16.0455589)); #227000=CARTESIAN_POINT('Origin',(11.558198,4.25,16.0414085)); #227001=CARTESIAN_POINT('',(11.558198,4.25,16.0414085)); #227002=CARTESIAN_POINT('',(13.6490631648374,4.25,22.2222680300139)); #227003=CARTESIAN_POINT('',(11.558198,4.24,16.0414085)); #227004=CARTESIAN_POINT('',(11.558198,4.25,16.0414085)); #227005=CARTESIAN_POINT('',(11.558198,4.24,16.0414085)); #227006=CARTESIAN_POINT('Origin',(11.556357,4.25,16.0374985)); #227007=CARTESIAN_POINT('',(11.556357,4.25,16.0374985)); #227008=CARTESIAN_POINT('',(14.5757999498165,4.25,22.4503295341117)); #227009=CARTESIAN_POINT('',(11.556357,4.24,16.0374985)); #227010=CARTESIAN_POINT('',(11.556357,4.25,16.0374985)); #227011=CARTESIAN_POINT('',(11.556357,4.24,16.0374985)); #227012=CARTESIAN_POINT('Origin',(11.55408,4.25,16.0338402)); #227013=CARTESIAN_POINT('',(11.55408,4.25,16.0338402)); #227014=CARTESIAN_POINT('',(15.5542358117999,4.25,22.4606166630286)); #227015=CARTESIAN_POINT('',(11.55408,4.24,16.0338402)); #227016=CARTESIAN_POINT('',(11.55408,4.25,16.0338402)); #227017=CARTESIAN_POINT('',(11.55408,4.24,16.0338402)); #227018=CARTESIAN_POINT('Origin',(11.551363,4.25,16.0304375)); #227019=CARTESIAN_POINT('',(11.551363,4.25,16.0304375)); #227020=CARTESIAN_POINT('',(16.5119379951818,4.25,22.2429323605429)); #227021=CARTESIAN_POINT('',(11.551363,4.24,16.0304375)); #227022=CARTESIAN_POINT('',(11.551363,4.25,16.0304375)); #227023=CARTESIAN_POINT('',(11.551363,4.24,16.0304375)); #227024=CARTESIAN_POINT('Origin',(11.548209,4.25,16.027298)); #227025=CARTESIAN_POINT('',(11.548209,4.25,16.027298)); #227026=CARTESIAN_POINT('',(17.3707261579026,4.25,21.8230470859939)); #227027=CARTESIAN_POINT('',(11.548209,4.24,16.027298)); #227028=CARTESIAN_POINT('',(11.548209,4.25,16.027298)); #227029=CARTESIAN_POINT('',(11.548209,4.24,16.027298)); #227030=CARTESIAN_POINT('Origin',(11.544581,4.25,16.0243759)); #227031=CARTESIAN_POINT('',(11.544581,4.25,16.0243759)); #227032=CARTESIAN_POINT('',(18.0601472653286,4.25,21.2722083652455)); #227033=CARTESIAN_POINT('',(11.544581,4.24,16.0243759)); #227034=CARTESIAN_POINT('',(11.544581,4.25,16.0243759)); #227035=CARTESIAN_POINT('',(11.544581,4.24,16.0243759)); #227036=CARTESIAN_POINT('Origin',(11.540394,4.25,16.0218163)); #227037=CARTESIAN_POINT('',(11.540394,4.25,16.0218163)); #227038=CARTESIAN_POINT('',(18.728269159848,4.25,20.4159135675326)); #227039=CARTESIAN_POINT('',(11.540394,4.24,16.0218163)); #227040=CARTESIAN_POINT('',(11.540394,4.25,16.0218163)); #227041=CARTESIAN_POINT('',(11.540394,4.24,16.0218163)); #227042=CARTESIAN_POINT('Origin',(11.5055370982515,4.25,16.0961549743281)); #227043=CARTESIAN_POINT('',(11.53032,4.25,16.0178795)); #227044=CARTESIAN_POINT('Origin',(11.5055370982515,4.25,16.0961549743281)); #227045=CARTESIAN_POINT('',(11.53032,4.24,16.0178795)); #227046=CARTESIAN_POINT('',(11.53032,4.25,16.0178795)); #227047=CARTESIAN_POINT('Origin',(11.5055370982515,4.24,16.0961549743281)); #227048=CARTESIAN_POINT('Origin',(11.5041010149257,4.25,16.1210394254477)); #227049=CARTESIAN_POINT('',(11.503308,4.25,16.0146027)); #227050=CARTESIAN_POINT('Origin',(11.5041010149257,4.25,16.1210394254477)); #227051=CARTESIAN_POINT('',(11.503308,4.24,16.0146027)); #227052=CARTESIAN_POINT('',(11.503308,4.25,16.0146027)); #227053=CARTESIAN_POINT('Origin',(11.5041010149257,4.24,16.1210394254477)); #227054=CARTESIAN_POINT('Origin',(11.341108,4.25,16.0145988)); #227055=CARTESIAN_POINT('',(11.341108,4.25,16.0145988)); #227056=CARTESIAN_POINT('',(18.5706620200641,4.25,16.0147726302137)); #227057=CARTESIAN_POINT('',(11.341108,4.24,16.0145988)); #227058=CARTESIAN_POINT('',(11.341108,4.25,16.0145988)); #227059=CARTESIAN_POINT('',(11.341108,4.24,16.0145988)); #227060=CARTESIAN_POINT('Origin',(11.458485,4.25,15.6971512)); #227061=CARTESIAN_POINT('',(11.458485,4.25,15.6971512)); #227062=CARTESIAN_POINT('',(10.8079165670537,4.25,17.4566218601341)); #227063=CARTESIAN_POINT('',(11.458485,4.24,15.6971512)); #227064=CARTESIAN_POINT('',(11.458485,4.25,15.6971512)); #227065=CARTESIAN_POINT('',(11.458485,4.24,15.6971512)); #227066=CARTESIAN_POINT('Origin',(12.026504,4.25,15.6970978)); #227067=CARTESIAN_POINT('',(12.026504,4.25,15.6970978)); #227068=CARTESIAN_POINT('',(18.9128146518492,4.25,15.6964504114641)); #227069=CARTESIAN_POINT('',(12.026504,4.24,15.6970978)); #227070=CARTESIAN_POINT('',(12.026504,4.25,15.6970978)); #227071=CARTESIAN_POINT('',(12.026504,4.24,15.6970978)); #227072=CARTESIAN_POINT('Origin',(12.086262,4.25,15.8558297)); #227073=CARTESIAN_POINT('',(12.086262,4.25,15.8558297)); #227074=CARTESIAN_POINT('',(14.4450381757577,4.25,22.1213175686159)); #227075=CARTESIAN_POINT('',(12.086262,4.24,15.8558297)); #227076=CARTESIAN_POINT('',(12.086262,4.25,15.8558297)); #227077=CARTESIAN_POINT('',(12.086262,4.24,15.8558297)); #227078=CARTESIAN_POINT('Origin',(12.146,4.25,16.0145988)); #227079=CARTESIAN_POINT('',(12.146,4.25,16.0145988)); #227080=CARTESIAN_POINT('',(14.4734141372576,4.25,22.2003004957327)); #227081=CARTESIAN_POINT('',(12.146,4.24,16.0145988)); #227082=CARTESIAN_POINT('',(12.146,4.25,16.0145988)); #227083=CARTESIAN_POINT('',(12.146,4.24,16.0145988)); #227084=CARTESIAN_POINT('Origin',(12.062959388234,4.25,16.790838575449)); #227085=CARTESIAN_POINT('',(11.963815,4.25,16.0164909)); #227086=CARTESIAN_POINT('Origin',(12.062959388234,4.25,16.790838575449)); #227087=CARTESIAN_POINT('',(11.963815,4.24,16.0164909)); #227088=CARTESIAN_POINT('',(11.963815,4.25,16.0164909)); #227089=CARTESIAN_POINT('Origin',(12.062959388234,4.24,16.790838575449)); #227090=CARTESIAN_POINT('Origin',(11.95788,4.25,16.0178795)); #227091=CARTESIAN_POINT('',(11.95788,4.25,16.0178795)); #227092=CARTESIAN_POINT('',(17.5235075538693,4.25,14.7157008274976)); #227093=CARTESIAN_POINT('',(11.95788,4.24,16.0178795)); #227094=CARTESIAN_POINT('',(11.95788,4.25,16.0178795)); #227095=CARTESIAN_POINT('',(11.95788,4.24,16.0178795)); #227096=CARTESIAN_POINT('Origin',(11.952535,4.25,16.0196533)); #227097=CARTESIAN_POINT('',(11.952535,4.25,16.0196533)); #227098=CARTESIAN_POINT('',(16.8471026349222,4.25,14.3953344841276)); #227099=CARTESIAN_POINT('',(11.952535,4.24,16.0196533)); #227100=CARTESIAN_POINT('',(11.952535,4.25,16.0196533)); #227101=CARTESIAN_POINT('',(11.952535,4.24,16.0196533)); #227102=CARTESIAN_POINT('Origin',(11.947776,4.25,16.0218163)); #227103=CARTESIAN_POINT('',(11.947776,4.25,16.0218163)); #227104=CARTESIAN_POINT('',(15.9970723645483,4.25,14.1813817472566)); #227105=CARTESIAN_POINT('',(11.947776,4.24,16.0218163)); #227106=CARTESIAN_POINT('',(11.947776,4.25,16.0218163)); #227107=CARTESIAN_POINT('',(11.947776,4.24,16.0218163)); #227108=CARTESIAN_POINT('Origin',(11.943602,4.25,16.0243759)); #227109=CARTESIAN_POINT('',(11.943602,4.25,16.0243759)); #227110=CARTESIAN_POINT('',(14.9785049422714,4.25,14.1632983793855)); #227111=CARTESIAN_POINT('',(11.943602,4.24,16.0243759)); #227112=CARTESIAN_POINT('',(11.943602,4.25,16.0243759)); #227113=CARTESIAN_POINT('',(11.943602,4.24,16.0243759)); #227114=CARTESIAN_POINT('Origin',(11.940023,4.25,16.027298)); #227115=CARTESIAN_POINT('',(11.940023,4.25,16.027298)); #227116=CARTESIAN_POINT('',(13.9003440031422,4.25,14.4267799772899)); #227117=CARTESIAN_POINT('',(11.940023,4.24,16.027298)); #227118=CARTESIAN_POINT('',(11.940023,4.25,16.027298)); #227119=CARTESIAN_POINT('',(11.940023,4.24,16.027298)); #227120=CARTESIAN_POINT('Origin',(11.93697,4.25,16.0304413)); #227121=CARTESIAN_POINT('',(11.93697,4.25,16.0304413)); #227122=CARTESIAN_POINT('',(13.0602970975577,4.25,14.8738890347682)); #227123=CARTESIAN_POINT('',(11.93697,4.24,16.0304413)); #227124=CARTESIAN_POINT('',(11.93697,4.25,16.0304413)); #227125=CARTESIAN_POINT('',(11.93697,4.24,16.0304413)); #227126=CARTESIAN_POINT('Origin',(11.934336,4.25,16.0338402)); #227127=CARTESIAN_POINT('',(11.934336,4.25,16.0338402)); #227128=CARTESIAN_POINT('',(12.3650443156483,4.25,15.478056413342)); #227129=CARTESIAN_POINT('',(11.934336,4.24,16.0338402)); #227130=CARTESIAN_POINT('',(11.934336,4.25,16.0338402)); #227131=CARTESIAN_POINT('',(11.934336,4.24,16.0338402)); #227132=CARTESIAN_POINT('Origin',(11.932123,4.25,16.0374985)); #227133=CARTESIAN_POINT('',(11.932123,4.25,16.0374985)); #227134=CARTESIAN_POINT('',(11.8051389986418,4.25,16.2474151616216)); #227135=CARTESIAN_POINT('',(11.932123,4.24,16.0374985)); #227136=CARTESIAN_POINT('',(11.932123,4.25,16.0374985)); #227137=CARTESIAN_POINT('',(11.932123,4.24,16.0374985)); #227138=CARTESIAN_POINT('Origin',(12.0033322795442,4.25,16.0700281112254)); #227139=CARTESIAN_POINT('',(11.928967,4.25,16.0455589)); #227140=CARTESIAN_POINT('Origin',(12.0033322795442,4.25,16.0700281112254)); #227141=CARTESIAN_POINT('',(11.928967,4.24,16.0455589)); #227142=CARTESIAN_POINT('',(11.928967,4.25,16.0455589)); #227143=CARTESIAN_POINT('Origin',(12.0033322795442,4.24,16.0700281112254)); #227144=CARTESIAN_POINT('Origin',(12.0203271776113,4.25,16.0649331923627)); #227145=CARTESIAN_POINT('',(11.92751,4.25,16.0545883)); #227146=CARTESIAN_POINT('Origin',(12.0203271776113,4.25,16.0649331923627)); #227147=CARTESIAN_POINT('',(11.92751,4.24,16.0545883)); #227148=CARTESIAN_POINT('',(11.92751,4.25,16.0545883)); #227149=CARTESIAN_POINT('Origin',(12.0203271776113,4.24,16.0649331923627)); #227150=CARTESIAN_POINT('Origin',(11.927423,4.25,16.0593643)); #227151=CARTESIAN_POINT('',(11.927423,4.25,16.0593643)); #227152=CARTESIAN_POINT('',(11.8483192172698,4.25,20.4018892001233)); #227153=CARTESIAN_POINT('',(11.927423,4.24,16.0593643)); #227154=CARTESIAN_POINT('',(11.927423,4.25,16.0593643)); #227155=CARTESIAN_POINT('',(11.927423,4.24,16.0593643)); #227156=CARTESIAN_POINT('Origin',(11.927761,4.25,16.0638885)); #227157=CARTESIAN_POINT('',(11.927761,4.25,16.0638885)); #227158=CARTESIAN_POINT('',(12.298212680574,4.25,21.0224609652158)); #227159=CARTESIAN_POINT('',(11.927761,4.24,16.0638885)); #227160=CARTESIAN_POINT('',(11.927761,4.25,16.0638885)); #227161=CARTESIAN_POINT('',(11.927761,4.24,16.0638885)); #227162=CARTESIAN_POINT('Origin',(11.928528,4.25,16.0682068)); #227163=CARTESIAN_POINT('',(11.928528,4.25,16.0682068)); #227164=CARTESIAN_POINT('',(12.9095984266495,4.25,21.5917484211314)); #227165=CARTESIAN_POINT('',(11.928528,4.24,16.0682068)); #227166=CARTESIAN_POINT('',(11.928528,4.25,16.0682068)); #227167=CARTESIAN_POINT('',(11.928528,4.24,16.0682068)); #227168=CARTESIAN_POINT('Origin',(11.929719,4.25,16.0723305)); #227169=CARTESIAN_POINT('',(11.929719,4.25,16.0723305)); #227170=CARTESIAN_POINT('',(13.653654086086,4.25,22.0412567086361)); #227171=CARTESIAN_POINT('',(11.929719,4.24,16.0723305)); #227172=CARTESIAN_POINT('',(11.929719,4.25,16.0723305)); #227173=CARTESIAN_POINT('',(11.929719,4.24,16.0723305)); #227174=CARTESIAN_POINT('Origin',(11.931335,4.25,16.0762634)); #227175=CARTESIAN_POINT('',(11.931335,4.25,16.0762634)); #227176=CARTESIAN_POINT('',(14.5014991308768,4.25,22.3313367355978)); #227177=CARTESIAN_POINT('',(11.931335,4.24,16.0762634)); #227178=CARTESIAN_POINT('',(11.931335,4.25,16.0762634)); #227179=CARTESIAN_POINT('',(11.931335,4.24,16.0762634)); #227180=CARTESIAN_POINT('Origin',(11.933373,4.25,16.0800133)); #227181=CARTESIAN_POINT('',(11.933373,4.25,16.0800133)); #227182=CARTESIAN_POINT('',(15.3855305533526,4.25,22.4319493202753)); #227183=CARTESIAN_POINT('',(11.933373,4.24,16.0800133)); #227184=CARTESIAN_POINT('',(11.933373,4.25,16.0800133)); #227185=CARTESIAN_POINT('',(11.933373,4.24,16.0800133)); #227186=CARTESIAN_POINT('Origin',(11.935835,4.25,16.0835838)); #227187=CARTESIAN_POINT('',(11.935835,4.25,16.0835838)); #227188=CARTESIAN_POINT('',(16.2531659369556,4.25,22.3447658107184)); #227189=CARTESIAN_POINT('',(11.935835,4.24,16.0835838)); #227190=CARTESIAN_POINT('',(11.935835,4.25,16.0835838)); #227191=CARTESIAN_POINT('',(11.935835,4.24,16.0835838)); #227192=CARTESIAN_POINT('Origin',(11.938715,4.25,16.0869865)); #227193=CARTESIAN_POINT('',(11.938715,4.25,16.0869865)); #227194=CARTESIAN_POINT('',(17.0290120667462,4.25,22.1011371350812)); #227195=CARTESIAN_POINT('',(11.938715,4.24,16.0869865)); #227196=CARTESIAN_POINT('',(11.938715,4.25,16.0869865)); #227197=CARTESIAN_POINT('',(11.938715,4.24,16.0869865)); #227198=CARTESIAN_POINT('Origin',(11.942054,4.25,16.0901527)); #227199=CARTESIAN_POINT('',(11.942054,4.25,16.0901527)); #227200=CARTESIAN_POINT('',(17.8147881479209,4.25,21.6589609836598)); #227201=CARTESIAN_POINT('',(11.942054,4.24,16.0901527)); #227202=CARTESIAN_POINT('',(11.942054,4.25,16.0901527)); #227203=CARTESIAN_POINT('',(11.942054,4.24,16.0901527)); #227204=CARTESIAN_POINT('Origin',(11.945987,4.25,16.0928955)); #227205=CARTESIAN_POINT('',(11.945987,4.25,16.0928955)); #227206=CARTESIAN_POINT('',(18.6960083999155,4.25,20.8002330783622)); #227207=CARTESIAN_POINT('',(11.945987,4.24,16.0928955)); #227208=CARTESIAN_POINT('',(11.945987,4.25,16.0928955)); #227209=CARTESIAN_POINT('',(11.945987,4.24,16.0928955)); #227210=CARTESIAN_POINT('Origin',(11.950504,4.25,16.0952606)); #227211=CARTESIAN_POINT('',(11.950504,4.25,16.0952606)); #227212=CARTESIAN_POINT('',(19.2149226734018,4.25,19.8989082875028)); #227213=CARTESIAN_POINT('',(11.950504,4.24,16.0952606)); #227214=CARTESIAN_POINT('',(11.950504,4.25,16.0952606)); #227215=CARTESIAN_POINT('',(11.950504,4.24,16.0952606)); #227216=CARTESIAN_POINT('Origin',(11.955607,4.25,16.0972481)); #227217=CARTESIAN_POINT('',(11.955607,4.25,16.0972481)); #227218=CARTESIAN_POINT('',(19.4714209981093,4.25,19.0244831227785)); #227219=CARTESIAN_POINT('',(11.955607,4.24,16.0972481)); #227220=CARTESIAN_POINT('',(11.955607,4.25,16.0972481)); #227221=CARTESIAN_POINT('',(11.955607,4.24,16.0972481)); #227222=CARTESIAN_POINT('Origin',(11.9613,4.25,16.0988541)); #227223=CARTESIAN_POINT('',(11.9613,4.25,16.0988541)); #227224=CARTESIAN_POINT('',(19.5335537206803,4.25,18.234993126071)); #227225=CARTESIAN_POINT('',(11.9613,4.24,16.0988541)); #227226=CARTESIAN_POINT('',(11.9613,4.25,16.0988541)); #227227=CARTESIAN_POINT('',(11.9613,4.24,16.0988541)); #227228=CARTESIAN_POINT('Origin',(12.1407679549743,4.25,14.6885675438718)); #227229=CARTESIAN_POINT('',(12.309445,4.25,16.1001854)); #227230=CARTESIAN_POINT('Origin',(12.1407679549743,4.25,14.6885675438718)); #227231=CARTESIAN_POINT('',(12.309445,4.24,16.1001854)); #227232=CARTESIAN_POINT('',(12.309445,4.25,16.1001854)); #227233=CARTESIAN_POINT('Origin',(12.1407679549743,4.24,14.6885675438718)); #227234=CARTESIAN_POINT('Origin',(12.315753,4.25,16.0990181)); #227235=CARTESIAN_POINT('',(12.315753,4.25,16.0990181)); #227236=CARTESIAN_POINT('',(18.0383467078491,4.25,15.0400479612597)); #227237=CARTESIAN_POINT('',(12.315753,4.24,16.0990181)); #227238=CARTESIAN_POINT('',(12.315753,4.25,16.0990181)); #227239=CARTESIAN_POINT('',(12.315753,4.24,16.0990181)); #227240=CARTESIAN_POINT('Origin',(12.321486,4.25,16.0974579)); #227241=CARTESIAN_POINT('',(12.321486,4.25,16.0974579)); #227242=CARTESIAN_POINT('',(17.4681818320105,4.25,14.6968169027729)); #227243=CARTESIAN_POINT('',(12.321486,4.24,16.0974579)); #227244=CARTESIAN_POINT('',(12.321486,4.25,16.0974579)); #227245=CARTESIAN_POINT('',(12.321486,4.24,16.0974579)); #227246=CARTESIAN_POINT('Origin',(12.326641,4.25,16.0955162)); #227247=CARTESIAN_POINT('',(12.326641,4.25,16.0955162)); #227248=CARTESIAN_POINT('',(16.7576700204214,4.25,14.4265095949653)); #227249=CARTESIAN_POINT('',(12.326641,4.24,16.0955162)); #227250=CARTESIAN_POINT('',(12.326641,4.25,16.0955162)); #227251=CARTESIAN_POINT('',(12.326641,4.24,16.0955162)); #227252=CARTESIAN_POINT('Origin',(12.331221,4.25,16.0931969)); #227253=CARTESIAN_POINT('',(12.331221,4.25,16.0931969)); #227254=CARTESIAN_POINT('',(15.8962178650498,4.25,14.2878918281423)); #227255=CARTESIAN_POINT('',(12.331221,4.24,16.0931969)); #227256=CARTESIAN_POINT('',(12.331221,4.25,16.0931969)); #227257=CARTESIAN_POINT('',(12.331221,4.24,16.0931969)); #227258=CARTESIAN_POINT('Origin',(12.335221,4.25,16.0904961)); #227259=CARTESIAN_POINT('',(12.335221,4.25,16.0904961)); #227260=CARTESIAN_POINT('',(14.8934692809737,4.25,14.3631668606859)); #227261=CARTESIAN_POINT('',(12.335221,4.24,16.0904961)); #227262=CARTESIAN_POINT('',(12.335221,4.25,16.0904961)); #227263=CARTESIAN_POINT('',(12.335221,4.24,16.0904961)); #227264=CARTESIAN_POINT('Origin',(12.338682,4.25,16.0873756)); #227265=CARTESIAN_POINT('',(12.338682,4.25,16.0873756)); #227266=CARTESIAN_POINT('',(13.8350386792624,4.25,14.7382334394573)); #227267=CARTESIAN_POINT('',(12.338682,4.24,16.0873756)); #227268=CARTESIAN_POINT('',(12.338682,4.25,16.0873756)); #227269=CARTESIAN_POINT('',(12.338682,4.24,16.0873756)); #227270=CARTESIAN_POINT('Origin',(12.341707,4.25,16.0839958)); #227271=CARTESIAN_POINT('',(12.341707,4.25,16.0839958)); #227272=CARTESIAN_POINT('',(13.1192906031004,4.25,15.2152099944609)); #227273=CARTESIAN_POINT('',(12.341707,4.24,16.0839958)); #227274=CARTESIAN_POINT('',(12.341707,4.25,16.0839958)); #227275=CARTESIAN_POINT('',(12.341707,4.24,16.0839958)); #227276=CARTESIAN_POINT('Origin',(12.344295,4.25,16.0804405)); #227277=CARTESIAN_POINT('',(12.344295,4.25,16.0804405)); #227278=CARTESIAN_POINT('',(12.5525120598372,4.25,15.7943994981298)); #227279=CARTESIAN_POINT('',(12.344295,4.24,16.0804405)); #227280=CARTESIAN_POINT('',(12.344295,4.25,16.0804405)); #227281=CARTESIAN_POINT('',(12.344295,4.24,16.0804405)); #227282=CARTESIAN_POINT('Origin',(12.346444,4.25,16.0767136)); #227283=CARTESIAN_POINT('',(12.0942193572622,4.25,16.5141338052209)); #227284=CARTESIAN_POINT('',(12.346444,4.24,16.0767136)); #227285=CARTESIAN_POINT('Origin',(11.7432025,4.24,15.4998902879114)); #227286=CARTESIAN_POINT('Origin',(25.0723357789446,4.25,13.6398925565208)); #227287=CARTESIAN_POINT('',(25.049677,4.25,13.711422)); #227288=CARTESIAN_POINT('',(25.041571,4.25,13.708328)); #227289=CARTESIAN_POINT('Origin',(25.0723357789446,4.25,13.6398925565208)); #227290=CARTESIAN_POINT('',(25.049677,4.24,13.711422)); #227291=CARTESIAN_POINT('',(25.049677,4.25,13.711422)); #227292=CARTESIAN_POINT('',(25.041571,4.24,13.708328)); #227293=CARTESIAN_POINT('Origin',(25.0723357789446,4.24,13.6398925565208)); #227294=CARTESIAN_POINT('',(25.041571,4.25,13.708328)); #227295=CARTESIAN_POINT('Origin',(25.054094,4.25,13.712334)); #227296=CARTESIAN_POINT('',(25.054094,4.25,13.712334)); #227297=CARTESIAN_POINT('',(26.5294596543423,4.25,14.0169600984286)); #227298=CARTESIAN_POINT('',(25.054094,4.24,13.712334)); #227299=CARTESIAN_POINT('',(25.054094,4.25,13.712334)); #227300=CARTESIAN_POINT('',(25.054094,4.24,13.712334)); #227301=CARTESIAN_POINT('Origin',(25.0639930110105,4.25,13.6203762147862)); #227302=CARTESIAN_POINT('',(25.063509,4.25,13.712864)); #227303=CARTESIAN_POINT('Origin',(25.0639930110105,4.25,13.6203762147862)); #227304=CARTESIAN_POINT('',(25.063509,4.24,13.712864)); #227305=CARTESIAN_POINT('',(25.063509,4.25,13.712864)); #227306=CARTESIAN_POINT('Origin',(25.0639930110105,4.24,13.6203762147862)); #227307=CARTESIAN_POINT('Origin',(25.0560595617865,4.25,13.6255522575174)); #227308=CARTESIAN_POINT('',(25.072306,4.25,13.711662)); #227309=CARTESIAN_POINT('Origin',(25.0560595617865,4.25,13.6255522575174)); #227310=CARTESIAN_POINT('',(25.072306,4.24,13.711662)); #227311=CARTESIAN_POINT('',(25.072306,4.25,13.711662)); #227312=CARTESIAN_POINT('Origin',(25.0560595617865,4.24,13.6255522575174)); #227313=CARTESIAN_POINT('Origin',(25.0423705656888,4.25,13.6166529164345)); #227314=CARTESIAN_POINT('',(25.080326,4.25,13.708752)); #227315=CARTESIAN_POINT('Origin',(25.0423705656888,4.25,13.6166529164345)); #227316=CARTESIAN_POINT('',(25.080326,4.24,13.708752)); #227317=CARTESIAN_POINT('',(25.080326,4.25,13.708752)); #227318=CARTESIAN_POINT('Origin',(25.0423705656888,4.24,13.6166529164345)); #227319=CARTESIAN_POINT('Origin',(25.084055,4.25,13.706673)); #227320=CARTESIAN_POINT('',(25.084055,4.25,13.706673)); #227321=CARTESIAN_POINT('',(22.9555063918048,4.25,14.8933859408507)); #227322=CARTESIAN_POINT('',(25.084055,4.24,13.706673)); #227323=CARTESIAN_POINT('',(25.084055,4.25,13.706673)); #227324=CARTESIAN_POINT('',(25.084055,4.24,13.706673)); #227325=CARTESIAN_POINT('Origin',(25.087612,4.25,13.704182)); #227326=CARTESIAN_POINT('',(25.087612,4.25,13.704182)); #227327=CARTESIAN_POINT('',(22.6728179071261,4.25,15.3952846385571)); #227328=CARTESIAN_POINT('',(25.087612,4.24,13.704182)); #227329=CARTESIAN_POINT('',(25.087612,4.25,13.704182)); #227330=CARTESIAN_POINT('',(25.087612,4.24,13.704182)); #227331=CARTESIAN_POINT('Origin',(25.090996,4.25,13.701283)); #227332=CARTESIAN_POINT('',(25.090996,4.25,13.701283)); #227333=CARTESIAN_POINT('',(22.5042337945727,4.25,15.9173065323689)); #227334=CARTESIAN_POINT('',(25.090996,4.24,13.701283)); #227335=CARTESIAN_POINT('',(25.090996,4.25,13.701283)); #227336=CARTESIAN_POINT('',(25.090996,4.24,13.701283)); #227337=CARTESIAN_POINT('Origin',(25.094126,4.25,13.697899)); #227338=CARTESIAN_POINT('',(25.094126,4.25,13.697899)); #227339=CARTESIAN_POINT('',(22.4399071834168,4.25,16.5675080975472)); #227340=CARTESIAN_POINT('',(25.094126,4.24,13.697899)); #227341=CARTESIAN_POINT('',(25.094126,4.25,13.697899)); #227342=CARTESIAN_POINT('',(25.094126,4.24,13.697899)); #227343=CARTESIAN_POINT('Origin',(25.096832,4.25,13.693893)); #227344=CARTESIAN_POINT('',(25.096832,4.25,13.693893)); #227345=CARTESIAN_POINT('',(22.5848595108697,4.25,17.4126519768891)); #227346=CARTESIAN_POINT('',(25.096832,4.24,13.693893)); #227347=CARTESIAN_POINT('',(25.096832,4.25,13.693893)); #227348=CARTESIAN_POINT('',(25.096832,4.24,13.693893)); #227349=CARTESIAN_POINT('Origin',(25.0126772414243,4.25,13.6510677765052)); #227350=CARTESIAN_POINT('',(25.101114,4.25,13.684158)); #227351=CARTESIAN_POINT('Origin',(25.0126772414243,4.25,13.6510677765052)); #227352=CARTESIAN_POINT('',(25.101114,4.24,13.684158)); #227353=CARTESIAN_POINT('',(25.101114,4.25,13.684158)); #227354=CARTESIAN_POINT('Origin',(25.0126772414243,4.24,13.6510677765052)); #227355=CARTESIAN_POINT('Origin',(23.9160410355329,4.25,13.4918035545747)); #227356=CARTESIAN_POINT('',(25.102608,4.25,13.308891)); #227357=CARTESIAN_POINT('Origin',(23.9160410355329,4.25,13.4918035545747)); #227358=CARTESIAN_POINT('',(25.102608,4.24,13.308891)); #227359=CARTESIAN_POINT('',(25.102608,4.25,13.308891)); #227360=CARTESIAN_POINT('Origin',(23.9160410355329,4.24,13.4918035545747)); #227361=CARTESIAN_POINT('Origin',(25.101017,4.25,13.303169)); #227362=CARTESIAN_POINT('',(25.101017,4.25,13.303169)); #227363=CARTESIAN_POINT('',(26.6355493788542,4.25,18.8220843185504)); #227364=CARTESIAN_POINT('',(25.101017,4.24,13.303169)); #227365=CARTESIAN_POINT('',(25.101017,4.25,13.303169)); #227366=CARTESIAN_POINT('',(25.101017,4.24,13.303169)); #227367=CARTESIAN_POINT('Origin',(25.099045,4.25,13.298031)); #227368=CARTESIAN_POINT('',(25.099045,4.25,13.298031)); #227369=CARTESIAN_POINT('',(27.1013642809428,4.25,18.5150271792485)); #227370=CARTESIAN_POINT('',(25.099045,4.24,13.298031)); #227371=CARTESIAN_POINT('',(25.099045,4.25,13.298031)); #227372=CARTESIAN_POINT('',(25.099045,4.24,13.298031)); #227373=CARTESIAN_POINT('Origin',(25.096691,4.25,13.293476)); #227374=CARTESIAN_POINT('',(25.096691,4.25,13.293476)); #227375=CARTESIAN_POINT('',(27.558146952985,4.25,18.0564037255034)); #227376=CARTESIAN_POINT('',(25.096691,4.24,13.293476)); #227377=CARTESIAN_POINT('',(25.096691,4.25,13.293476)); #227378=CARTESIAN_POINT('',(25.096691,4.24,13.293476)); #227379=CARTESIAN_POINT('Origin',(25.093966,4.25,13.289494)); #227380=CARTESIAN_POINT('',(25.093966,4.25,13.289494)); #227381=CARTESIAN_POINT('',(27.935499129418,4.25,17.4417820445379)); #227382=CARTESIAN_POINT('',(25.093966,4.24,13.289494)); #227383=CARTESIAN_POINT('',(25.093966,4.25,13.289494)); #227384=CARTESIAN_POINT('',(25.093966,4.24,13.289494)); #227385=CARTESIAN_POINT('Origin',(25.090811,4.25,13.286144)); #227386=CARTESIAN_POINT('',(25.090811,4.25,13.286144)); #227387=CARTESIAN_POINT('',(28.1806937254177,4.25,16.5670020444151)); #227388=CARTESIAN_POINT('',(25.090811,4.24,13.286144)); #227389=CARTESIAN_POINT('',(25.090811,4.25,13.286144)); #227390=CARTESIAN_POINT('',(25.090811,4.24,13.286144)); #227391=CARTESIAN_POINT('Origin',(25.087418,4.25,13.283264)); #227392=CARTESIAN_POINT('',(25.087418,4.25,13.283264)); #227393=CARTESIAN_POINT('',(28.1847716842626,4.25,15.9123175251055)); #227394=CARTESIAN_POINT('',(25.087418,4.24,13.283264)); #227395=CARTESIAN_POINT('',(25.087418,4.25,13.283264)); #227396=CARTESIAN_POINT('',(25.087418,4.24,13.283264)); #227397=CARTESIAN_POINT('Origin',(25.083855,4.25,13.280796)); #227398=CARTESIAN_POINT('',(25.083855,4.25,13.280796)); #227399=CARTESIAN_POINT('',(28.0686339105756,4.25,15.348276873225)); #227400=CARTESIAN_POINT('',(25.083855,4.24,13.280796)); #227401=CARTESIAN_POINT('',(25.083855,4.25,13.280796)); #227402=CARTESIAN_POINT('',(25.083855,4.24,13.280796)); #227403=CARTESIAN_POINT('Origin',(25.080112,4.25,13.27874)); #227404=CARTESIAN_POINT('',(25.080112,4.25,13.27874)); #227405=CARTESIAN_POINT('',(27.8296560875508,4.25,14.7890426032623)); #227406=CARTESIAN_POINT('',(25.080112,4.24,13.27874)); #227407=CARTESIAN_POINT('',(25.080112,4.25,13.27874)); #227408=CARTESIAN_POINT('',(25.080112,4.24,13.27874)); #227409=CARTESIAN_POINT('Origin',(25.0457230858593,4.25,13.3626432016)); #227410=CARTESIAN_POINT('',(25.072073,4.25,13.275879)); #227411=CARTESIAN_POINT('Origin',(25.0457230858593,4.25,13.3626432016)); #227412=CARTESIAN_POINT('',(25.072073,4.24,13.275879)); #227413=CARTESIAN_POINT('',(25.072073,4.25,13.275879)); #227414=CARTESIAN_POINT('Origin',(25.0457230858593,4.24,13.3626432016)); #227415=CARTESIAN_POINT('Origin',(25.067764,4.25,13.275085)); #227416=CARTESIAN_POINT('',(25.067764,4.25,13.275085)); #227417=CARTESIAN_POINT('',(26.4666337068797,4.25,13.5328484131489)); #227418=CARTESIAN_POINT('',(25.067764,4.24,13.275085)); #227419=CARTESIAN_POINT('',(25.067764,4.25,13.275085)); #227420=CARTESIAN_POINT('',(25.067764,4.24,13.275085)); #227421=CARTESIAN_POINT('Origin',(25.0602309815195,4.25,13.3678313789518)); #227422=CARTESIAN_POINT('',(25.058483,4.25,13.274796)); #227423=CARTESIAN_POINT('Origin',(25.0602309815195,4.25,13.3678313789518)); #227424=CARTESIAN_POINT('',(25.058483,4.24,13.274796)); #227425=CARTESIAN_POINT('',(25.058483,4.25,13.274796)); #227426=CARTESIAN_POINT('Origin',(25.0602309815195,4.24,13.3678313789518)); #227427=CARTESIAN_POINT('Origin',(25.053843,4.25,13.275303)); #227428=CARTESIAN_POINT('',(25.053843,4.25,13.275303)); #227429=CARTESIAN_POINT('',(24.7895147535754,4.25,13.3041854183054)); #227430=CARTESIAN_POINT('',(25.053843,4.24,13.275303)); #227431=CARTESIAN_POINT('',(25.053843,4.25,13.275303)); #227432=CARTESIAN_POINT('',(25.053843,4.24,13.275303)); #227433=CARTESIAN_POINT('Origin',(25.049438,4.25,13.276237)); #227434=CARTESIAN_POINT('',(25.049438,4.25,13.276237)); #227435=CARTESIAN_POINT('',(24.2191418395057,4.25,13.4522861745523)); #227436=CARTESIAN_POINT('',(25.049438,4.24,13.276237)); #227437=CARTESIAN_POINT('',(25.049438,4.25,13.276237)); #227438=CARTESIAN_POINT('',(25.049438,4.24,13.276237)); #227439=CARTESIAN_POINT('Origin',(25.045277,4.25,13.277599)); #227440=CARTESIAN_POINT('',(25.045277,4.25,13.277599)); #227441=CARTESIAN_POINT('',(23.6532629349428,4.25,13.7332402296581)); #227442=CARTESIAN_POINT('',(25.045277,4.24,13.277599)); #227443=CARTESIAN_POINT('',(25.045277,4.25,13.277599)); #227444=CARTESIAN_POINT('',(25.045277,4.24,13.277599)); #227445=CARTESIAN_POINT('Origin',(25.0859342864141,4.25,13.3643681119726)); #227446=CARTESIAN_POINT('',(25.037695,4.25,13.281574)); #227447=CARTESIAN_POINT('Origin',(25.0859342864141,4.25,13.3643681119726)); #227448=CARTESIAN_POINT('',(25.037695,4.24,13.281574)); #227449=CARTESIAN_POINT('',(25.037695,4.25,13.281574)); #227450=CARTESIAN_POINT('Origin',(25.0859342864141,4.24,13.3643681119726)); #227451=CARTESIAN_POINT('Origin',(25.034283,4.25,13.28418)); #227452=CARTESIAN_POINT('',(25.034283,4.25,13.28418)); #227453=CARTESIAN_POINT('',(22.4503560559972,4.25,15.2577185744603)); #227454=CARTESIAN_POINT('',(25.034283,4.24,13.28418)); #227455=CARTESIAN_POINT('',(25.034283,4.25,13.28418)); #227456=CARTESIAN_POINT('',(25.034283,4.24,13.28418)); #227457=CARTESIAN_POINT('Origin',(25.031132,4.25,13.287189)); #227458=CARTESIAN_POINT('',(25.031132,4.25,13.287189)); #227459=CARTESIAN_POINT('',(22.3071138919256,4.25,15.8884490721021)); #227460=CARTESIAN_POINT('',(25.031132,4.24,13.287189)); #227461=CARTESIAN_POINT('',(25.031132,4.25,13.287189)); #227462=CARTESIAN_POINT('',(25.031132,4.24,13.287189)); #227463=CARTESIAN_POINT('Origin',(25.028198,4.25,13.290756)); #227464=CARTESIAN_POINT('',(25.028198,4.25,13.290756)); #227465=CARTESIAN_POINT('',(22.3116008180517,4.25,16.5934493019812)); #227466=CARTESIAN_POINT('',(25.028198,4.24,13.290756)); #227467=CARTESIAN_POINT('',(25.028198,4.25,13.290756)); #227468=CARTESIAN_POINT('',(25.028198,4.24,13.290756)); #227469=CARTESIAN_POINT('Origin',(25.025625,4.25,13.29493)); #227470=CARTESIAN_POINT('',(25.025625,4.25,13.29493)); #227471=CARTESIAN_POINT('',(22.5179444383526,4.25,17.3629667914198)); #227472=CARTESIAN_POINT('',(25.025625,4.24,13.29493)); #227473=CARTESIAN_POINT('',(25.025625,4.25,13.29493)); #227474=CARTESIAN_POINT('',(25.025625,4.24,13.29493)); #227475=CARTESIAN_POINT('Origin',(25.023445,4.25,13.299683)); #227476=CARTESIAN_POINT('',(25.023445,4.25,13.299683)); #227477=CARTESIAN_POINT('',(22.8740640902142,4.25,17.9859249560637)); #227478=CARTESIAN_POINT('',(25.023445,4.24,13.299683)); #227479=CARTESIAN_POINT('',(25.023445,4.25,13.299683)); #227480=CARTESIAN_POINT('',(25.023445,4.24,13.299683)); #227481=CARTESIAN_POINT('Origin',(25.1306415804604,4.25,13.3362363912612)); #227482=CARTESIAN_POINT('',(25.020248,4.25,13.310928)); #227483=CARTESIAN_POINT('Origin',(25.1306415804604,4.25,13.3362363912612)); #227484=CARTESIAN_POINT('',(25.020248,4.24,13.310928)); #227485=CARTESIAN_POINT('',(25.020248,4.25,13.310928)); #227486=CARTESIAN_POINT('Origin',(25.1306415804604,4.24,13.3362363912612)); #227487=CARTESIAN_POINT('Origin',(25.162279479089,4.25,13.3352426166624)); #227488=CARTESIAN_POINT('',(25.018581,4.25,13.324524)); #227489=CARTESIAN_POINT('Origin',(25.162279479089,4.25,13.3352426166624)); #227490=CARTESIAN_POINT('',(25.018581,4.24,13.324524)); #227491=CARTESIAN_POINT('',(25.018581,4.25,13.324524)); #227492=CARTESIAN_POINT('Origin',(25.162279479089,4.24,13.3352426166624)); #227493=CARTESIAN_POINT('Origin',(25.018312,4.25,13.332207)); #227494=CARTESIAN_POINT('',(25.018312,4.25,13.332207)); #227495=CARTESIAN_POINT('',(24.8147821091568,4.25,19.1452930644099)); #227496=CARTESIAN_POINT('',(25.018312,4.24,13.332207)); #227497=CARTESIAN_POINT('',(25.018312,4.25,13.332207)); #227498=CARTESIAN_POINT('',(25.018312,4.24,13.332207)); #227499=CARTESIAN_POINT('Origin',(25.018303,4.25,13.49469)); #227500=CARTESIAN_POINT('',(25.018303,4.25,13.49469)); #227501=CARTESIAN_POINT('',(25.0179843592807,4.25,19.2473233330917)); #227502=CARTESIAN_POINT('',(25.018303,4.24,13.49469)); #227503=CARTESIAN_POINT('',(25.018303,4.25,13.49469)); #227504=CARTESIAN_POINT('',(25.018303,4.24,13.49469)); #227505=CARTESIAN_POINT('Origin',(24.883821,4.25,13.4949)); #227506=CARTESIAN_POINT('',(24.883821,4.25,13.4949)); #227507=CARTESIAN_POINT('',(25.3329265261382,4.25,13.4941987004916)); #227508=CARTESIAN_POINT('',(24.883821,4.24,13.4949)); #227509=CARTESIAN_POINT('',(24.883821,4.25,13.4949)); #227510=CARTESIAN_POINT('',(24.883821,4.24,13.4949)); #227511=CARTESIAN_POINT('Origin',(24.024082,4.25,13.4949)); #227512=CARTESIAN_POINT('',(24.024082,4.25,13.4949)); #227513=CARTESIAN_POINT('',(24.912041,4.25,13.4949)); #227514=CARTESIAN_POINT('',(24.024082,4.24,13.4949)); #227515=CARTESIAN_POINT('',(24.024082,4.25,13.4949)); #227516=CARTESIAN_POINT('',(24.024082,4.24,13.4949)); #227517=CARTESIAN_POINT('Origin',(24.177858,4.25,13.403522)); #227518=CARTESIAN_POINT('',(24.177858,4.25,13.403522)); #227519=CARTESIAN_POINT('',(22.2309277007686,4.25,14.5604424354591)); #227520=CARTESIAN_POINT('',(24.177858,4.24,13.403522)); #227521=CARTESIAN_POINT('',(24.177858,4.25,13.403522)); #227522=CARTESIAN_POINT('',(24.177858,4.24,13.403522)); #227523=CARTESIAN_POINT('Origin',(25.104946,4.25,12.854691)); #227524=CARTESIAN_POINT('',(25.104946,4.25,12.8546910000001)); #227525=CARTESIAN_POINT('',(22.7002415916303,4.25,14.2782627916206)); #227526=CARTESIAN_POINT('',(25.104946,4.24,12.8546910000001)); #227527=CARTESIAN_POINT('',(25.104946,4.25,12.8546910000001)); #227528=CARTESIAN_POINT('',(25.104946,4.24,12.854691)); #227529=CARTESIAN_POINT('Origin',(18.861159014133,4.25,12.7892948198657)); #227530=CARTESIAN_POINT('',(25.105104,4.25,12.741299)); #227531=CARTESIAN_POINT('Origin',(18.861159014133,4.25,12.7892948198657)); #227532=CARTESIAN_POINT('',(25.105104,4.24,12.741299)); #227533=CARTESIAN_POINT('',(25.105104,4.25,12.741299)); #227534=CARTESIAN_POINT('Origin',(18.861159014133,4.24,12.7892948198657)); #227535=CARTESIAN_POINT('Origin',(25.056252,4.25,12.741299)); #227536=CARTESIAN_POINT('',(25.056252,4.25,12.741299)); #227537=CARTESIAN_POINT('',(25.428126,4.25,12.741299)); #227538=CARTESIAN_POINT('',(25.056252,4.24,12.741299)); #227539=CARTESIAN_POINT('',(25.056252,4.25,12.741299)); #227540=CARTESIAN_POINT('',(25.056252,4.24,12.741299)); #227541=CARTESIAN_POINT('Origin',(23.983204,4.25,12.741257)); #227542=CARTESIAN_POINT('',(23.983204,4.25,12.741257)); #227543=CARTESIAN_POINT('',(24.8918419073567,4.25,12.7412925648509)); #227544=CARTESIAN_POINT('',(23.983204,4.24,12.741257)); #227545=CARTESIAN_POINT('',(23.983204,4.25,12.741257)); #227546=CARTESIAN_POINT('',(23.983204,4.24,12.741257)); #227547=CARTESIAN_POINT('Origin',(23.1157126911911,4.25,12.7057919427861)); #227548=CARTESIAN_POINT('',(23.982346,4.25,12.653393)); #227549=CARTESIAN_POINT('Origin',(23.1157126911911,4.25,12.7057919427861)); #227550=CARTESIAN_POINT('',(23.982346,4.24,12.653393)); #227551=CARTESIAN_POINT('',(23.982346,4.25,12.653393)); #227552=CARTESIAN_POINT('Origin',(23.1157126911911,4.24,12.7057919427861)); #227553=CARTESIAN_POINT('Origin',(23.981361,4.25,12.646912)); #227554=CARTESIAN_POINT('',(23.981361,4.25,12.646912)); #227555=CARTESIAN_POINT('',(24.9194249877465,4.25,18.8190873346042)); #227556=CARTESIAN_POINT('',(23.981361,4.24,12.646912)); #227557=CARTESIAN_POINT('',(23.981361,4.25,12.646912)); #227558=CARTESIAN_POINT('',(23.981361,4.24,12.646912)); #227559=CARTESIAN_POINT('Origin',(23.979996,4.25,12.641006)); #227560=CARTESIAN_POINT('',(23.979996,4.25,12.641006)); #227561=CARTESIAN_POINT('',(25.3819294348039,4.25,18.7068073669984)); #227562=CARTESIAN_POINT('',(23.979996,4.24,12.641006)); #227563=CARTESIAN_POINT('',(23.979996,4.25,12.641006)); #227564=CARTESIAN_POINT('',(23.979996,4.24,12.641006)); #227565=CARTESIAN_POINT('Origin',(23.978245,4.25,12.635677)); #227566=CARTESIAN_POINT('',(23.978245,4.25,12.635677)); #227567=CARTESIAN_POINT('',(25.9003948701006,4.25,18.4855551597776)); #227568=CARTESIAN_POINT('',(23.978245,4.24,12.635677)); #227569=CARTESIAN_POINT('',(23.978245,4.25,12.635677)); #227570=CARTESIAN_POINT('',(23.978245,4.24,12.635677)); #227571=CARTESIAN_POINT('Origin',(23.976101,4.25,12.630924)); #227572=CARTESIAN_POINT('',(23.976101,4.25,12.630924)); #227573=CARTESIAN_POINT('',(26.4483584467731,4.25,18.1116327894215)); #227574=CARTESIAN_POINT('',(23.976101,4.24,12.630924)); #227575=CARTESIAN_POINT('',(23.976101,4.25,12.630924)); #227576=CARTESIAN_POINT('',(23.976101,4.24,12.630924)); #227577=CARTESIAN_POINT('Origin',(23.97356,4.25,12.626743)); #227578=CARTESIAN_POINT('',(23.97356,4.25,12.626743)); #227579=CARTESIAN_POINT('',(26.9656350372241,4.25,17.5499487184667)); #227580=CARTESIAN_POINT('',(23.97356,4.24,12.626743)); #227581=CARTESIAN_POINT('',(23.97356,4.25,12.626743)); #227582=CARTESIAN_POINT('',(23.97356,4.24,12.626743)); #227583=CARTESIAN_POINT('Origin',(23.970642,4.25,12.623135)); #227584=CARTESIAN_POINT('',(23.970642,4.25,12.623135)); #227585=CARTESIAN_POINT('',(27.3581412280395,4.25,16.8116535794318)); #227586=CARTESIAN_POINT('',(23.970642,4.24,12.623135)); #227587=CARTESIAN_POINT('',(23.970642,4.25,12.623135)); #227588=CARTESIAN_POINT('',(23.970642,4.24,12.623135)); #227589=CARTESIAN_POINT('Origin',(23.967506,4.25,12.61998)); #227590=CARTESIAN_POINT('',(23.967506,4.25,12.61998)); #227591=CARTESIAN_POINT('',(27.517810825576,4.25,16.1917949632309)); #227592=CARTESIAN_POINT('',(23.967506,4.24,12.61998)); #227593=CARTESIAN_POINT('',(23.967506,4.25,12.61998)); #227594=CARTESIAN_POINT('',(23.967506,4.24,12.61998)); #227595=CARTESIAN_POINT('Origin',(23.964109,4.25,12.617256)); #227596=CARTESIAN_POINT('',(23.964109,4.25,12.617256)); #227597=CARTESIAN_POINT('',(27.5445371101566,4.25,15.4883440694929)); #227598=CARTESIAN_POINT('',(23.964109,4.24,12.617256)); #227599=CARTESIAN_POINT('',(23.964109,4.25,12.617256)); #227600=CARTESIAN_POINT('',(23.964109,4.24,12.617256)); #227601=CARTESIAN_POINT('Origin',(23.960457,4.25,12.614975)); #227602=CARTESIAN_POINT('',(23.960457,4.25,12.614975)); #227603=CARTESIAN_POINT('',(27.4044594934853,4.25,14.766061990043)); #227604=CARTESIAN_POINT('',(23.960457,4.24,12.614975)); #227605=CARTESIAN_POINT('',(23.960457,4.25,12.614975)); #227606=CARTESIAN_POINT('',(23.960457,4.24,12.614975)); #227607=CARTESIAN_POINT('Origin',(23.956554,4.25,12.613125)); #227608=CARTESIAN_POINT('',(23.956554,4.25,12.613125)); #227609=CARTESIAN_POINT('',(27.1062803727194,4.25,14.1060775466402)); #227610=CARTESIAN_POINT('',(23.956554,4.24,12.613125)); #227611=CARTESIAN_POINT('',(23.956554,4.25,12.613125)); #227612=CARTESIAN_POINT('',(23.956554,4.24,12.613125)); #227613=CARTESIAN_POINT('Origin',(23.952406,4.25,12.611717)); #227614=CARTESIAN_POINT('',(23.952406,4.25,12.611717)); #227615=CARTESIAN_POINT('',(26.6660775018069,4.25,13.5328475387025)); #227616=CARTESIAN_POINT('',(23.952406,4.24,12.611717)); #227617=CARTESIAN_POINT('',(23.952406,4.25,12.611717)); #227618=CARTESIAN_POINT('',(23.952406,4.24,12.611717)); #227619=CARTESIAN_POINT('Origin',(23.948015,4.25,12.610744)); #227620=CARTESIAN_POINT('',(23.948015,4.25,12.610744)); #227621=CARTESIAN_POINT('',(26.139086818943,4.25,13.0962627610633)); #227622=CARTESIAN_POINT('',(23.948015,4.24,12.610744)); #227623=CARTESIAN_POINT('',(23.948015,4.25,12.610744)); #227624=CARTESIAN_POINT('',(23.948015,4.24,12.610744)); #227625=CARTESIAN_POINT('Origin',(23.94339,4.25,12.61021)); #227626=CARTESIAN_POINT('',(23.94339,4.25,12.61021)); #227627=CARTESIAN_POINT('',(25.5653322989606,4.25,12.7974785811128)); #227628=CARTESIAN_POINT('',(23.94339,4.24,12.61021)); #227629=CARTESIAN_POINT('',(23.94339,4.25,12.61021)); #227630=CARTESIAN_POINT('',(23.94339,4.24,12.61021)); #227631=CARTESIAN_POINT('Origin',(23.938538,4.25,12.610115)); #227632=CARTESIAN_POINT('',(23.938538,4.25,12.610115)); #227633=CARTESIAN_POINT('',(24.9901600675558,4.25,12.630705291925)); #227634=CARTESIAN_POINT('',(23.938538,4.24,12.610115)); #227635=CARTESIAN_POINT('',(23.938538,4.25,12.610115)); #227636=CARTESIAN_POINT('',(23.938538,4.24,12.610115)); #227637=CARTESIAN_POINT('Origin',(23.933779,4.25,12.610455)); #227638=CARTESIAN_POINT('',(23.933779,4.25,12.610455)); #227639=CARTESIAN_POINT('',(24.4218217113069,4.25,12.5755874812261)); #227640=CARTESIAN_POINT('',(23.933779,4.24,12.610455)); #227641=CARTESIAN_POINT('',(23.933779,4.25,12.610455)); #227642=CARTESIAN_POINT('',(23.933779,4.24,12.610455)); #227643=CARTESIAN_POINT('Origin',(23.929262,4.25,12.611233)); #227644=CARTESIAN_POINT('',(23.929262,4.25,12.611233)); #227645=CARTESIAN_POINT('',(23.8015113183599,4.25,12.6332365488856)); #227646=CARTESIAN_POINT('',(23.929262,4.24,12.611233)); #227647=CARTESIAN_POINT('',(23.929262,4.25,12.611233)); #227648=CARTESIAN_POINT('',(23.929262,4.24,12.611233)); #227649=CARTESIAN_POINT('Origin',(23.924994,4.25,12.61245)); #227650=CARTESIAN_POINT('',(23.924994,4.25,12.61245)); #227651=CARTESIAN_POINT('',(23.1586787945942,4.25,12.8309611539315)); #227652=CARTESIAN_POINT('',(23.924994,4.24,12.61245)); #227653=CARTESIAN_POINT('',(23.924994,4.25,12.61245)); #227654=CARTESIAN_POINT('',(23.924994,4.24,12.61245)); #227655=CARTESIAN_POINT('Origin',(23.920979,4.25,12.614098)); #227656=CARTESIAN_POINT('',(23.920979,4.25,12.614098)); #227657=CARTESIAN_POINT('',(22.5495771535347,4.25,13.1770046607659)); #227658=CARTESIAN_POINT('',(23.920979,4.24,12.614098)); #227659=CARTESIAN_POINT('',(23.920979,4.25,12.614098)); #227660=CARTESIAN_POINT('',(23.920979,4.24,12.614098)); #227661=CARTESIAN_POINT('Origin',(23.917223,4.25,12.616188)); #227662=CARTESIAN_POINT('',(23.917223,4.25,12.616188)); #227663=CARTESIAN_POINT('',(22.0051893562058,4.25,13.6801257836872)); #227664=CARTESIAN_POINT('',(23.917223,4.24,12.616188)); #227665=CARTESIAN_POINT('',(23.917223,4.25,12.616188)); #227666=CARTESIAN_POINT('',(23.917223,4.24,12.616188)); #227667=CARTESIAN_POINT('Origin',(23.913729,4.25,12.618717)); #227668=CARTESIAN_POINT('',(23.913729,4.25,12.618717)); #227669=CARTESIAN_POINT('',(21.5922400809839,4.25,14.2990391168257)); #227670=CARTESIAN_POINT('',(23.913729,4.24,12.618717)); #227671=CARTESIAN_POINT('',(23.913729,4.25,12.618717)); #227672=CARTESIAN_POINT('',(23.913729,4.24,12.618717)); #227673=CARTESIAN_POINT('Origin',(23.910503,4.25,12.621681)); #227674=CARTESIAN_POINT('',(23.910503,4.25,12.621681)); #227675=CARTESIAN_POINT('',(21.3392818933581,4.25,14.9840800576836)); #227676=CARTESIAN_POINT('',(23.910503,4.24,12.621681)); #227677=CARTESIAN_POINT('',(23.910503,4.25,12.621681)); #227678=CARTESIAN_POINT('',(23.910503,4.24,12.621681)); #227679=CARTESIAN_POINT('Origin',(23.907494,4.25,12.625076)); #227680=CARTESIAN_POINT('',(23.907494,4.25,12.625076)); #227681=CARTESIAN_POINT('',(21.2524587410504,4.25,15.6207040173293)); #227682=CARTESIAN_POINT('',(23.907494,4.24,12.625076)); #227683=CARTESIAN_POINT('',(23.907494,4.25,12.625076)); #227684=CARTESIAN_POINT('',(23.907494,4.24,12.625076)); #227685=CARTESIAN_POINT('Origin',(23.904743,4.25,12.628994)); #227686=CARTESIAN_POINT('',(23.904743,4.25,12.628994)); #227687=CARTESIAN_POINT('',(21.3086874411228,4.25,16.3263206738201)); #227688=CARTESIAN_POINT('',(23.904743,4.24,12.628994)); #227689=CARTESIAN_POINT('',(23.904743,4.25,12.628994)); #227690=CARTESIAN_POINT('',(23.904743,4.24,12.628994)); #227691=CARTESIAN_POINT('Origin',(23.902401,4.25,12.633492)); #227692=CARTESIAN_POINT('',(23.902401,4.25,12.633492)); #227693=CARTESIAN_POINT('',(21.5719466305913,4.25,17.1093176847143)); #227694=CARTESIAN_POINT('',(23.902401,4.24,12.633492)); #227695=CARTESIAN_POINT('',(23.902401,4.25,12.633492)); #227696=CARTESIAN_POINT('',(23.902401,4.24,12.633492)); #227697=CARTESIAN_POINT('Origin',(23.9818607026374,4.25,12.6652385237919)); #227698=CARTESIAN_POINT('',(23.898916,4.25,12.644218)); #227699=CARTESIAN_POINT('Origin',(23.9818607026374,4.25,12.6652385237919)); #227700=CARTESIAN_POINT('',(23.898916,4.24,12.644218)); #227701=CARTESIAN_POINT('',(23.898916,4.25,12.644218)); #227702=CARTESIAN_POINT('Origin',(23.9818607026374,4.24,12.6652385237919)); #227703=CARTESIAN_POINT('Origin',(25.4402934177942,4.25,12.8313471776187)); #227704=CARTESIAN_POINT('',(23.8976,4.25,13.007298)); #227705=CARTESIAN_POINT('Origin',(25.4402934177942,4.25,12.8313471776187)); #227706=CARTESIAN_POINT('',(23.8976,4.24,13.007298)); #227707=CARTESIAN_POINT('',(23.8976,4.25,13.007298)); #227708=CARTESIAN_POINT('Origin',(25.4402934177942,4.24,12.8313471776187)); #227709=CARTESIAN_POINT('Origin',(23.898695,4.25,13.013691)); #227710=CARTESIAN_POINT('',(23.898695,4.25,13.013691)); #227711=CARTESIAN_POINT('',(24.9230468927879,4.25,18.9942221877675)); #227712=CARTESIAN_POINT('',(23.898695,4.24,13.013691)); #227713=CARTESIAN_POINT('',(23.898695,4.25,13.013691)); #227714=CARTESIAN_POINT('',(23.898695,4.24,13.013691)); #227715=CARTESIAN_POINT('Origin',(23.900177,4.25,13.019455)); #227716=CARTESIAN_POINT('',(23.900177,4.25,13.019455)); #227717=CARTESIAN_POINT('',(25.4037534154812,4.25,18.8673729884208)); #227718=CARTESIAN_POINT('',(23.900177,4.24,13.019455)); #227719=CARTESIAN_POINT('',(23.900177,4.25,13.019455)); #227720=CARTESIAN_POINT('',(23.900177,4.24,13.019455)); #227721=CARTESIAN_POINT('Origin',(23.902052,4.25,13.024601)); #227722=CARTESIAN_POINT('',(23.902052,4.25,13.024601)); #227723=CARTESIAN_POINT('',(25.9392614697721,4.25,18.6157902967696)); #227724=CARTESIAN_POINT('',(23.902052,4.24,13.024601)); #227725=CARTESIAN_POINT('',(23.902052,4.25,13.024601)); #227726=CARTESIAN_POINT('',(23.902052,4.24,13.024601)); #227727=CARTESIAN_POINT('Origin',(23.904325,4.25,13.029137)); #227728=CARTESIAN_POINT('',(23.904325,4.25,13.029137)); #227729=CARTESIAN_POINT('',(26.4918956915723,4.25,18.1928944381735)); #227730=CARTESIAN_POINT('',(23.904325,4.24,13.029137)); #227731=CARTESIAN_POINT('',(23.904325,4.25,13.029137)); #227732=CARTESIAN_POINT('',(23.904325,4.24,13.029137)); #227733=CARTESIAN_POINT('Origin',(23.907007,4.25,13.033062)); #227734=CARTESIAN_POINT('',(23.907007,4.25,13.033062)); #227735=CARTESIAN_POINT('',(26.9954676635834,4.25,17.5529009651652)); #227736=CARTESIAN_POINT('',(23.907007,4.24,13.033062)); #227737=CARTESIAN_POINT('',(23.907007,4.25,13.033062)); #227738=CARTESIAN_POINT('',(23.907007,4.24,13.033062)); #227739=CARTESIAN_POINT('Origin',(23.909992,4.25,13.036427)); #227740=CARTESIAN_POINT('',(23.909992,4.25,13.036427)); #227741=CARTESIAN_POINT('',(27.295692526101,4.25,16.8531379783291)); #227742=CARTESIAN_POINT('',(23.909992,4.24,13.036427)); #227743=CARTESIAN_POINT('',(23.909992,4.25,13.036427)); #227744=CARTESIAN_POINT('',(23.909992,4.24,13.036427)); #227745=CARTESIAN_POINT('Origin',(23.913172,4.25,13.039379)); #227746=CARTESIAN_POINT('',(23.913172,4.25,13.039379)); #227747=CARTESIAN_POINT('',(27.4018074674125,4.25,16.2778858867323)); #227748=CARTESIAN_POINT('',(23.913172,4.24,13.039379)); #227749=CARTESIAN_POINT('',(23.913172,4.25,13.039379)); #227750=CARTESIAN_POINT('',(23.913172,4.24,13.039379)); #227751=CARTESIAN_POINT('Origin',(23.916622,4.25,13.041912)); #227752=CARTESIAN_POINT('',(23.916622,4.25,13.041912)); #227753=CARTESIAN_POINT('',(27.3807764707347,4.25,15.5853042534413)); #227754=CARTESIAN_POINT('',(23.916622,4.24,13.041912)); #227755=CARTESIAN_POINT('',(23.916622,4.25,13.041912)); #227756=CARTESIAN_POINT('',(23.916622,4.24,13.041912)); #227757=CARTESIAN_POINT('Origin',(23.920336,4.25,13.044029)); #227758=CARTESIAN_POINT('',(23.920336,4.25,13.044029)); #227759=CARTESIAN_POINT('',(27.2015615893334,4.25,14.914345255418)); #227760=CARTESIAN_POINT('',(23.920336,4.24,13.044029)); #227761=CARTESIAN_POINT('',(23.920336,4.25,13.044029)); #227762=CARTESIAN_POINT('',(23.920336,4.24,13.044029)); #227763=CARTESIAN_POINT('Origin',(23.924307,4.25,13.045731)); #227764=CARTESIAN_POINT('',(23.924307,4.25,13.045731)); #227765=CARTESIAN_POINT('',(26.8808651372761,4.25,14.3129337070371)); #227766=CARTESIAN_POINT('',(23.924307,4.24,13.045731)); #227767=CARTESIAN_POINT('',(23.924307,4.25,13.045731)); #227768=CARTESIAN_POINT('',(23.924307,4.24,13.045731)); #227769=CARTESIAN_POINT('Origin',(23.946031657241,4.25,12.9671862495095)); #227770=CARTESIAN_POINT('',(23.937729,4.25,13.048256)); #227771=CARTESIAN_POINT('Origin',(23.946031657241,4.25,12.9671862495095)); #227772=CARTESIAN_POINT('',(23.937729,4.24,13.048256)); #227773=CARTESIAN_POINT('',(23.937729,4.25,13.048256)); #227774=CARTESIAN_POINT('Origin',(23.946031657241,4.24,12.9671862495095)); #227775=CARTESIAN_POINT('Origin',(23.942596,4.25,13.048229)); #227776=CARTESIAN_POINT('',(23.942596,4.25,13.048229)); #227777=CARTESIAN_POINT('',(24.8381188252025,4.25,13.0432610287071)); #227778=CARTESIAN_POINT('',(23.942596,4.24,13.048229)); #227779=CARTESIAN_POINT('',(23.942596,4.25,13.048229)); #227780=CARTESIAN_POINT('',(23.942596,4.24,13.048229)); #227781=CARTESIAN_POINT('Origin',(23.94726,4.25,13.047768)); #227782=CARTESIAN_POINT('',(23.94726,4.25,13.047768)); #227783=CARTESIAN_POINT('',(24.2796898077977,4.25,13.0149099079344)); #227784=CARTESIAN_POINT('',(23.94726,4.24,13.047768)); #227785=CARTESIAN_POINT('',(23.94726,4.25,13.047768)); #227786=CARTESIAN_POINT('',(23.94726,4.24,13.047768)); #227787=CARTESIAN_POINT('Origin',(23.951691,4.25,13.046871)); #227788=CARTESIAN_POINT('',(23.951691,4.25,13.046871)); #227789=CARTESIAN_POINT('',(23.6772138025734,4.25,13.1024354428101)); #227790=CARTESIAN_POINT('',(23.951691,4.24,13.046871)); #227791=CARTESIAN_POINT('',(23.951691,4.25,13.046871)); #227792=CARTESIAN_POINT('',(23.951691,4.24,13.046871)); #227793=CARTESIAN_POINT('Origin',(23.955879,4.25,13.045536)); #227794=CARTESIAN_POINT('',(23.955879,4.25,13.045536)); #227795=CARTESIAN_POINT('',(23.0632885344415,4.25,13.3300651956829)); #227796=CARTESIAN_POINT('',(23.955879,4.24,13.045536)); #227797=CARTESIAN_POINT('',(23.955879,4.25,13.045536)); #227798=CARTESIAN_POINT('',(23.955879,4.24,13.045536)); #227799=CARTESIAN_POINT('Origin',(23.959826,4.25,13.043758)); #227800=CARTESIAN_POINT('',(23.959826,4.25,13.043758)); #227801=CARTESIAN_POINT('',(22.4860235668261,4.25,13.7076598814754)); #227802=CARTESIAN_POINT('',(23.959826,4.24,13.043758)); #227803=CARTESIAN_POINT('',(23.959826,4.25,13.043758)); #227804=CARTESIAN_POINT('',(23.959826,4.24,13.043758)); #227805=CARTESIAN_POINT('Origin',(23.963518,4.25,13.04155)); #227806=CARTESIAN_POINT('',(23.963518,4.25,13.04155)); #227807=CARTESIAN_POINT('',(22.0060128244506,4.25,14.2122356521163)); #227808=CARTESIAN_POINT('',(23.963518,4.24,13.04155)); #227809=CARTESIAN_POINT('',(23.963518,4.25,13.04155)); #227810=CARTESIAN_POINT('',(23.963518,4.24,13.04155)); #227811=CARTESIAN_POINT('Origin',(23.966957,4.25,13.038902)); #227812=CARTESIAN_POINT('',(23.966957,4.25,13.038902)); #227813=CARTESIAN_POINT('',(21.6513815298082,4.25,14.8218749122048)); #227814=CARTESIAN_POINT('',(23.966957,4.24,13.038902)); #227815=CARTESIAN_POINT('',(23.966957,4.25,13.038902)); #227816=CARTESIAN_POINT('',(23.966957,4.24,13.038902)); #227817=CARTESIAN_POINT('Origin',(23.970139,4.25,13.035816)); #227818=CARTESIAN_POINT('',(23.970139,4.25,13.035816)); #227819=CARTESIAN_POINT('',(21.4519708678408,4.25,15.4780117435061)); #227820=CARTESIAN_POINT('',(23.970139,4.24,13.035816)); #227821=CARTESIAN_POINT('',(23.970139,4.25,13.035816)); #227822=CARTESIAN_POINT('',(23.970139,4.24,13.035816)); #227823=CARTESIAN_POINT('Origin',(23.973097,4.25,13.032269)); #227824=CARTESIAN_POINT('',(23.973097,4.25,13.032269)); #227825=CARTESIAN_POINT('',(21.4045134029903,4.25,16.1123116026364)); #227826=CARTESIAN_POINT('',(23.973097,4.24,13.032269)); #227827=CARTESIAN_POINT('',(23.973097,4.25,13.032269)); #227828=CARTESIAN_POINT('',(23.973097,4.24,13.032269)); #227829=CARTESIAN_POINT('Origin',(23.975704,4.25,13.028172)); #227830=CARTESIAN_POINT('',(23.975704,4.25,13.028172)); #227831=CARTESIAN_POINT('',(21.5274043038536,4.25,16.8757684154599)); #227832=CARTESIAN_POINT('',(23.975704,4.24,13.028172)); #227833=CARTESIAN_POINT('',(23.975704,4.25,13.028172)); #227834=CARTESIAN_POINT('',(23.975704,4.24,13.028172)); #227835=CARTESIAN_POINT('Origin',(23.977915,4.25,13.02351)); #227836=CARTESIAN_POINT('',(23.977915,4.25,13.02351)); #227837=CARTESIAN_POINT('',(21.8267006236984,4.25,17.5594491326701)); #227838=CARTESIAN_POINT('',(23.977915,4.24,13.02351)); #227839=CARTESIAN_POINT('',(23.977915,4.25,13.02351)); #227840=CARTESIAN_POINT('',(23.977915,4.24,13.02351)); #227841=CARTESIAN_POINT('Origin',(23.8942444661224,4.25,12.9929134180657)); #227842=CARTESIAN_POINT('',(23.981159,4.25,13.012478)); #227843=CARTESIAN_POINT('Origin',(23.8942444661224,4.25,12.9929134180657)); #227844=CARTESIAN_POINT('',(23.981159,4.24,13.012478)); #227845=CARTESIAN_POINT('',(23.981159,4.25,13.012478)); #227846=CARTESIAN_POINT('Origin',(23.8942444661224,4.24,12.9929134180657)); #227847=CARTESIAN_POINT('Origin',(23.8625855289169,4.25,12.9903872872357)); #227848=CARTESIAN_POINT('',(23.98288,4.25,12.999157)); #227849=CARTESIAN_POINT('Origin',(23.8625855289169,4.25,12.9903872872357)); #227850=CARTESIAN_POINT('',(23.98288,4.24,12.999157)); #227851=CARTESIAN_POINT('',(23.98288,4.25,12.999157)); #227852=CARTESIAN_POINT('Origin',(23.8625855289169,4.24,12.9903872872357)); #227853=CARTESIAN_POINT('Origin',(23.983183,4.25,12.991634)); #227854=CARTESIAN_POINT('',(23.983183,4.25,12.991634)); #227855=CARTESIAN_POINT('',(23.7432184960588,4.25,18.9495645714215)); #227856=CARTESIAN_POINT('',(23.983183,4.24,12.991634)); #227857=CARTESIAN_POINT('',(23.983183,4.25,12.991634)); #227858=CARTESIAN_POINT('',(23.983183,4.24,12.991634)); #227859=CARTESIAN_POINT('Origin',(23.983204,4.25,12.828102)); #227860=CARTESIAN_POINT('',(23.983204,4.25,12.828102)); #227861=CARTESIAN_POINT('',(23.9824224864295,4.25,18.9139342475003)); #227862=CARTESIAN_POINT('',(23.983204,4.24,12.828102)); #227863=CARTESIAN_POINT('',(23.983204,4.25,12.828102)); #227864=CARTESIAN_POINT('',(23.983204,4.24,12.828102)); #227865=CARTESIAN_POINT('Origin',(24.980164,4.25,12.828121)); #227866=CARTESIAN_POINT('',(24.980164,4.25,12.828121)); #227867=CARTESIAN_POINT('',(25.3901979852973,4.25,12.8281288144015)); #227868=CARTESIAN_POINT('',(24.980164,4.24,12.828121)); #227869=CARTESIAN_POINT('',(24.980164,4.25,12.828121)); #227870=CARTESIAN_POINT('',(24.980164,4.24,12.828121)); #227871=CARTESIAN_POINT('Origin',(23.897915,4.25,13.468662)); #227872=CARTESIAN_POINT('',(23.897915,4.25,13.468662)); #227873=CARTESIAN_POINT('',(22.0750362198675,4.25,14.5475528991414)); #227874=CARTESIAN_POINT('',(23.897915,4.24,13.468662)); #227875=CARTESIAN_POINT('',(23.897915,4.25,13.468662)); #227876=CARTESIAN_POINT('',(23.897915,4.24,13.468662)); #227877=CARTESIAN_POINT('Origin',(24.8194125985686,4.25,13.5917501280268)); #227878=CARTESIAN_POINT('',(23.899305,4.25,13.724831)); #227879=CARTESIAN_POINT('Origin',(24.8194125985686,4.25,13.5917501280268)); #227880=CARTESIAN_POINT('',(23.899305,4.24,13.724831)); #227881=CARTESIAN_POINT('',(23.899305,4.25,13.724831)); #227882=CARTESIAN_POINT('Origin',(24.8194125985686,4.24,13.5917501280268)); #227883=CARTESIAN_POINT('Origin',(23.900961,4.25,13.730324)); #227884=CARTESIAN_POINT('',(23.900961,4.25,13.730324)); #227885=CARTESIAN_POINT('',(25.5372987481242,4.25,19.1581037405979)); #227886=CARTESIAN_POINT('',(23.900961,4.24,13.730324)); #227887=CARTESIAN_POINT('',(23.900961,4.25,13.730324)); #227888=CARTESIAN_POINT('',(23.900961,4.24,13.730324)); #227889=CARTESIAN_POINT('Origin',(23.903011,4.25,13.735252)); #227890=CARTESIAN_POINT('',(23.903011,4.25,13.735252)); #227891=CARTESIAN_POINT('',(26.0403045488124,4.25,18.873097174906)); #227892=CARTESIAN_POINT('',(23.903011,4.24,13.735252)); #227893=CARTESIAN_POINT('',(23.903011,4.25,13.735252)); #227894=CARTESIAN_POINT('',(23.903011,4.24,13.735252)); #227895=CARTESIAN_POINT('Origin',(23.905466,4.25,13.739613)); #227896=CARTESIAN_POINT('',(23.905466,4.25,13.739613)); #227897=CARTESIAN_POINT('',(26.540186606417,4.25,18.4198641464673)); #227898=CARTESIAN_POINT('',(23.905466,4.24,13.739613)); #227899=CARTESIAN_POINT('',(23.905466,4.25,13.739613)); #227900=CARTESIAN_POINT('',(23.905466,4.24,13.739613)); #227901=CARTESIAN_POINT('Origin',(23.908333,4.25,13.743404)); #227902=CARTESIAN_POINT('',(23.908333,4.25,13.743404)); #227903=CARTESIAN_POINT('',(26.9602632562575,4.25,17.778935078292)); #227904=CARTESIAN_POINT('',(23.908333,4.24,13.743404)); #227905=CARTESIAN_POINT('',(23.908333,4.25,13.743404)); #227906=CARTESIAN_POINT('',(23.908333,4.24,13.743404)); #227907=CARTESIAN_POINT('Origin',(23.911373,4.25,13.746681)); #227908=CARTESIAN_POINT('',(23.911373,4.25,13.746681)); #227909=CARTESIAN_POINT('',(27.1535718503466,4.25,17.2416433791433)); #227910=CARTESIAN_POINT('',(23.911373,4.24,13.746681)); #227911=CARTESIAN_POINT('',(23.911373,4.25,13.746681)); #227912=CARTESIAN_POINT('',(23.911373,4.24,13.746681)); #227913=CARTESIAN_POINT('Origin',(23.914673,4.25,13.749512)); #227914=CARTESIAN_POINT('',(23.914673,4.25,13.749512)); #227915=CARTESIAN_POINT('',(27.2375918731862,4.25,16.6001736151477)); #227916=CARTESIAN_POINT('',(23.914673,4.24,13.749512)); #227917=CARTESIAN_POINT('',(23.914673,4.25,13.749512)); #227918=CARTESIAN_POINT('',(23.914673,4.24,13.749512)); #227919=CARTESIAN_POINT('Origin',(23.91824,4.25,13.7519)); #227920=CARTESIAN_POINT('',(23.91824,4.25,13.7519)); #227921=CARTESIAN_POINT('',(27.1678223563386,4.25,15.9273983647131)); #227922=CARTESIAN_POINT('',(23.91824,4.24,13.7519)); #227923=CARTESIAN_POINT('',(23.91824,4.25,13.7519)); #227924=CARTESIAN_POINT('',(23.91824,4.24,13.7519)); #227925=CARTESIAN_POINT('Origin',(23.92207,4.25,13.753849)); #227926=CARTESIAN_POINT('',(23.92207,4.25,13.753849)); #227927=CARTESIAN_POINT('',(26.9407776573643,4.25,15.2900007556665)); #227928=CARTESIAN_POINT('',(23.92207,4.24,13.753849)); #227929=CARTESIAN_POINT('',(23.92207,4.25,13.753849)); #227930=CARTESIAN_POINT('',(23.92207,4.24,13.753849)); #227931=CARTESIAN_POINT('Origin',(23.9507286859177,4.25,13.6756465440931)); #227932=CARTESIAN_POINT('',(23.930492,4.25,13.756439)); #227933=CARTESIAN_POINT('Origin',(23.9507286859177,4.25,13.6756465440931)); #227934=CARTESIAN_POINT('',(23.930492,4.24,13.756439)); #227935=CARTESIAN_POINT('',(23.930492,4.25,13.756439)); #227936=CARTESIAN_POINT('Origin',(23.9507286859177,4.24,13.6756465440931)); #227937=CARTESIAN_POINT('Origin',(23.935076,4.25,13.757084)); #227938=CARTESIAN_POINT('',(23.935076,4.25,13.757084)); #227939=CARTESIAN_POINT('',(25.6250564832172,4.25,13.9948757564731)); #227940=CARTESIAN_POINT('',(23.935076,4.24,13.757084)); #227941=CARTESIAN_POINT('',(23.935076,4.25,13.757084)); #227942=CARTESIAN_POINT('',(23.935076,4.24,13.757084)); #227943=CARTESIAN_POINT('Origin',(23.939887,4.25,13.6619353364106)); #227944=CARTESIAN_POINT('',(23.944698,4.25,13.757084)); #227945=CARTESIAN_POINT('Origin',(23.939887,4.25,13.6619353364106)); #227946=CARTESIAN_POINT('',(23.944698,4.24,13.757084)); #227947=CARTESIAN_POINT('',(23.944698,4.25,13.757084)); #227948=CARTESIAN_POINT('Origin',(23.939887,4.24,13.6619353364106)); #227949=CARTESIAN_POINT('Origin',(23.949259,4.25,13.756439)); #227950=CARTESIAN_POINT('',(23.949259,4.25,13.756439)); #227951=CARTESIAN_POINT('',(24.0770619096591,4.25,13.7383655782219)); #227952=CARTESIAN_POINT('',(23.949259,4.24,13.756439)); #227953=CARTESIAN_POINT('',(23.949259,4.25,13.756439)); #227954=CARTESIAN_POINT('',(23.949259,4.24,13.756439)); #227955=CARTESIAN_POINT('Origin',(23.953583,4.25,13.755363)); #227956=CARTESIAN_POINT('',(23.953583,4.25,13.755363)); #227957=CARTESIAN_POINT('',(23.5054622266326,4.25,13.8668750148343)); #227958=CARTESIAN_POINT('',(23.953583,4.24,13.755363)); #227959=CARTESIAN_POINT('',(23.953583,4.25,13.755363)); #227960=CARTESIAN_POINT('',(23.953583,4.24,13.755363)); #227961=CARTESIAN_POINT('Origin',(23.957664,4.25,13.753849)); #227962=CARTESIAN_POINT('',(23.957664,4.25,13.753849)); #227963=CARTESIAN_POINT('',(22.9336746797385,4.25,14.1337362410866)); #227964=CARTESIAN_POINT('',(23.957664,4.24,13.753849)); #227965=CARTESIAN_POINT('',(23.957664,4.25,13.753849)); #227966=CARTESIAN_POINT('',(23.957664,4.24,13.753849)); #227967=CARTESIAN_POINT('Origin',(23.961498,4.25,13.7519)); #227968=CARTESIAN_POINT('',(23.961498,4.25,13.7519)); #227969=CARTESIAN_POINT('',(22.4201043976666,4.25,14.5354618494912)); #227970=CARTESIAN_POINT('',(23.961498,4.24,13.7519)); #227971=CARTESIAN_POINT('',(23.961498,4.25,13.7519)); #227972=CARTESIAN_POINT('',(23.961498,4.24,13.7519)); #227973=CARTESIAN_POINT('Origin',(23.965078,4.25,13.749512)); #227974=CARTESIAN_POINT('',(23.965078,4.25,13.749512)); #227975=CARTESIAN_POINT('',(22.0032010805925,4.25,15.0581606266906)); #227976=CARTESIAN_POINT('',(23.965078,4.24,13.749512)); #227977=CARTESIAN_POINT('',(23.965078,4.25,13.749512)); #227978=CARTESIAN_POINT('',(23.965078,4.24,13.749512)); #227979=CARTESIAN_POINT('Origin',(23.968405,4.25,13.746681)); #227980=CARTESIAN_POINT('',(23.968405,4.25,13.746681)); #227981=CARTESIAN_POINT('',(21.7225302405525,4.25,15.6577334328204)); #227982=CARTESIAN_POINT('',(23.968405,4.24,13.746681)); #227983=CARTESIAN_POINT('',(23.968405,4.25,13.746681)); #227984=CARTESIAN_POINT('',(23.968405,4.24,13.746681)); #227985=CARTESIAN_POINT('Origin',(23.971474,4.25,13.743404)); #227986=CARTESIAN_POINT('',(23.971474,4.25,13.743404)); #227987=CARTESIAN_POINT('',(21.5905622746053,4.25,16.2856808732847)); #227988=CARTESIAN_POINT('',(23.971474,4.24,13.743404)); #227989=CARTESIAN_POINT('',(23.971474,4.25,13.743404)); #227990=CARTESIAN_POINT('',(23.971474,4.24,13.743404)); #227991=CARTESIAN_POINT('Origin',(23.974304,4.25,13.739613)); #227992=CARTESIAN_POINT('',(23.974304,4.25,13.739613)); #227993=CARTESIAN_POINT('',(21.6020335248342,4.25,16.9174495269794)); #227994=CARTESIAN_POINT('',(23.974304,4.24,13.739613)); #227995=CARTESIAN_POINT('',(23.974304,4.25,13.739613)); #227996=CARTESIAN_POINT('',(23.974304,4.24,13.739613)); #227997=CARTESIAN_POINT('Origin',(23.976736,4.25,13.735252)); #227998=CARTESIAN_POINT('',(23.976736,4.25,13.735252)); #227999=CARTESIAN_POINT('',(21.797100670773,4.25,17.6437181475172)); #228000=CARTESIAN_POINT('',(23.976736,4.24,13.735252)); #228001=CARTESIAN_POINT('',(23.976736,4.25,13.735252)); #228002=CARTESIAN_POINT('',(23.976736,4.24,13.735252)); #228003=CARTESIAN_POINT('Origin',(23.978771,4.25,13.730324)); #228004=CARTESIAN_POINT('',(23.978771,4.25,13.730324)); #228005=CARTESIAN_POINT('',(22.123531365142,4.25,18.2230124130606)); #228006=CARTESIAN_POINT('',(23.978771,4.24,13.730324)); #228007=CARTESIAN_POINT('',(23.978771,4.25,13.730324)); #228008=CARTESIAN_POINT('',(23.978771,4.24,13.730324)); #228009=CARTESIAN_POINT('Origin',(23.980413,4.25,13.724831)); #228010=CARTESIAN_POINT('',(23.980413,4.25,13.724831)); #228011=CARTESIAN_POINT('',(22.5080542081662,4.25,18.650328468651)); #228012=CARTESIAN_POINT('',(23.980413,4.24,13.724831)); #228013=CARTESIAN_POINT('',(23.980413,4.25,13.724831)); #228014=CARTESIAN_POINT('',(23.980413,4.24,13.724831)); #228015=CARTESIAN_POINT('Origin',(23.8576604268188,4.25,13.6985019620831)); #228016=CARTESIAN_POINT('',(23.983204,4.25,13.698029)); #228017=CARTESIAN_POINT('Origin',(23.8576604268188,4.25,13.6985019620831)); #228018=CARTESIAN_POINT('',(23.983204,4.24,13.698029)); #228019=CARTESIAN_POINT('',(23.983204,4.25,13.698029)); #228020=CARTESIAN_POINT('Origin',(23.8576604268188,4.24,13.6985019620831)); #228021=CARTESIAN_POINT('Origin',(23.983202,4.25,13.582298)); #228022=CARTESIAN_POINT('',(23.983202,4.25,13.582298)); #228023=CARTESIAN_POINT('',(23.9833006575196,4.25,19.291164696751)); #228024=CARTESIAN_POINT('',(23.983202,4.24,13.582298)); #228025=CARTESIAN_POINT('',(23.983202,4.25,13.582298)); #228026=CARTESIAN_POINT('',(23.983202,4.24,13.582298)); #228027=CARTESIAN_POINT('Origin',(23.98455,4.25,13.581699)); #228028=CARTESIAN_POINT('',(23.98455,4.25,13.581699)); #228029=CARTESIAN_POINT('',(22.6239969146915,4.25,14.1862771143111)); #228030=CARTESIAN_POINT('',(23.98455,4.24,13.581699)); #228031=CARTESIAN_POINT('',(23.98455,4.25,13.581699)); #228032=CARTESIAN_POINT('',(23.98455,4.24,13.581699)); #228033=CARTESIAN_POINT('Origin',(24.4877815161982,4.25,99.5976589572332)); #228034=CARTESIAN_POINT('',(25.018305,4.25,13.581863)); #228035=CARTESIAN_POINT('Origin',(24.4877815161982,4.25,99.5976589572332)); #228036=CARTESIAN_POINT('',(25.018305,4.24,13.581863)); #228037=CARTESIAN_POINT('',(25.018305,4.25,13.581863)); #228038=CARTESIAN_POINT('Origin',(24.4877815161982,4.24,99.5976589572332)); #228039=CARTESIAN_POINT('Origin',(25.8410418695167,4.25,13.6172644891878)); #228040=CARTESIAN_POINT('',(25.019272,4.25,13.670589)); #228041=CARTESIAN_POINT('Origin',(25.8410418695167,4.25,13.6172644891878)); #228042=CARTESIAN_POINT('',(25.019272,4.24,13.670589)); #228043=CARTESIAN_POINT('',(25.019272,4.25,13.670589)); #228044=CARTESIAN_POINT('Origin',(25.8410418695167,4.24,13.6172644891878)); #228045=CARTESIAN_POINT('Origin',(25.1461336184617,4.25,13.6516158530022)); #228046=CARTESIAN_POINT('',(25.021742,4.25,13.68293)); #228047=CARTESIAN_POINT('Origin',(25.1461336184617,4.25,13.6516158530022)); #228048=CARTESIAN_POINT('',(25.021742,4.24,13.68293)); #228049=CARTESIAN_POINT('',(25.021742,4.25,13.68293)); #228050=CARTESIAN_POINT('Origin',(25.1461336184617,4.24,13.6516158530022)); #228051=CARTESIAN_POINT('Origin',(25.023556,4.25,13.688217)); #228052=CARTESIAN_POINT('',(25.023556,4.25,13.688217)); #228053=CARTESIAN_POINT('',(26.8006273050506,4.25,18.8675863438891)); #228054=CARTESIAN_POINT('',(25.023556,4.24,13.688217)); #228055=CARTESIAN_POINT('',(25.023556,4.25,13.688217)); #228056=CARTESIAN_POINT('',(25.023556,4.24,13.688217)); #228057=CARTESIAN_POINT('Origin',(25.025757,4.25,13.692924)); #228058=CARTESIAN_POINT('',(25.025757,4.25,13.692924)); #228059=CARTESIAN_POINT('',(27.2645003594269,4.25,18.4806409435721)); #228060=CARTESIAN_POINT('',(25.025757,4.24,13.692924)); #228061=CARTESIAN_POINT('',(25.025757,4.25,13.692924)); #228062=CARTESIAN_POINT('',(25.025757,4.24,13.692924)); #228063=CARTESIAN_POINT('Origin',(25.028353,4.25,13.697056)); #228064=CARTESIAN_POINT('',(25.028353,4.25,13.697056)); #228065=CARTESIAN_POINT('',(27.6833141620355,4.25,17.9229032733207)); #228066=CARTESIAN_POINT('',(25.028353,4.24,13.697056)); #228067=CARTESIAN_POINT('',(25.028353,4.25,13.697056)); #228068=CARTESIAN_POINT('',(25.028353,4.24,13.697056)); #228069=CARTESIAN_POINT('Origin',(25.0979302552397,4.25,13.6378973255134)); #228070=CARTESIAN_POINT('',(25.034466,4.25,13.703571)); #228071=CARTESIAN_POINT('Origin',(25.0979302552397,4.25,13.6378973255134)); #228072=CARTESIAN_POINT('',(25.034466,4.24,13.703571)); #228073=CARTESIAN_POINT('',(25.034466,4.25,13.703571)); #228074=CARTESIAN_POINT('Origin',(25.0979302552397,4.24,13.6378973255134)); #228075=CARTESIAN_POINT('Origin',(25.0780912248412,4.25,13.6460973431792)); #228076=CARTESIAN_POINT('Origin',(25.0780912248412,4.25,13.6460973431792)); #228077=CARTESIAN_POINT('Origin',(25.0780912248412,4.24,13.6460973431792)); #228078=CARTESIAN_POINT('Origin',(24.5021109545769,4.24,13.1836602758042)); #228079=CARTESIAN_POINT('Origin',(20.6896039353377,4.25,-11.4621599279252)); #228080=CARTESIAN_POINT('',(21.393049,4.25,6.288681)); #228081=CARTESIAN_POINT('',(20.121069,4.25,6.293514)); #228082=CARTESIAN_POINT('Origin',(20.6896039353377,4.25,-11.4621599279252)); #228083=CARTESIAN_POINT('',(21.393049,4.24,6.288681)); #228084=CARTESIAN_POINT('',(21.393049,4.25,6.288681)); #228085=CARTESIAN_POINT('',(20.121069,4.24,6.293514)); #228086=CARTESIAN_POINT('Origin',(20.6896039353377,4.24,-11.4621599279252)); #228087=CARTESIAN_POINT('',(20.121069,4.25,6.293514)); #228088=CARTESIAN_POINT('Origin',(21.4475634775249,4.25,5.56824656117729)); #228089=CARTESIAN_POINT('',(21.945078,4.25,6.092152)); #228090=CARTESIAN_POINT('Origin',(21.4475634775249,4.25,5.56824656117729)); #228091=CARTESIAN_POINT('',(21.945078,4.24,6.092152)); #228092=CARTESIAN_POINT('',(21.945078,4.25,6.092152)); #228093=CARTESIAN_POINT('Origin',(21.4475634775249,4.24,5.56824656117729)); #228094=CARTESIAN_POINT('Origin',(21.3803206914741,4.25,5.68610003932112)); #228095=CARTESIAN_POINT('',(22.048294,4.25,5.492088)); #228096=CARTESIAN_POINT('Origin',(21.3803206914741,4.25,5.68610003932112)); #228097=CARTESIAN_POINT('',(22.048294,4.24,5.492088)); #228098=CARTESIAN_POINT('',(22.048294,4.25,5.492088)); #228099=CARTESIAN_POINT('Origin',(21.3803206914741,4.24,5.68610003932112)); #228100=CARTESIAN_POINT('Origin',(21.5301594499271,4.25,5.61234565107234)); #228101=CARTESIAN_POINT('',(21.550566,4.25,5.08083)); #228102=CARTESIAN_POINT('Origin',(21.5301594499271,4.25,5.61234565107234)); #228103=CARTESIAN_POINT('',(21.550566,4.24,5.08083)); #228104=CARTESIAN_POINT('',(21.550566,4.25,5.08083)); #228105=CARTESIAN_POINT('Origin',(21.5301594499271,4.24,5.61234565107234)); #228106=CARTESIAN_POINT('Origin',(11.7477954959694,4.25,77.7310330340959)); #228107=CARTESIAN_POINT('',(20.5508399999992,4.25,4.9529269999999)); #228108=CARTESIAN_POINT('Origin',(11.7477954959694,4.25,77.7310330340959)); #228109=CARTESIAN_POINT('',(20.5508399999992,4.24,4.9529269999999)); #228110=CARTESIAN_POINT('',(20.5508399999992,4.25,4.9529269999999)); #228111=CARTESIAN_POINT('Origin',(11.7477954959694,4.24,77.7310330340959)); #228112=CARTESIAN_POINT('Origin',(20.525951,4.25,4.94632)); #228113=CARTESIAN_POINT('',(20.525951,4.25,4.94632)); #228114=CARTESIAN_POINT('',(25.4758741640778,4.25,6.26031985315043)); #228115=CARTESIAN_POINT('',(20.525951,4.24,4.94632)); #228116=CARTESIAN_POINT('',(20.525951,4.25,4.94632)); #228117=CARTESIAN_POINT('',(20.55084,4.24,4.952927)); #228118=CARTESIAN_POINT('Origin',(20.5601906091794,4.25,4.75899646185435)); #228119=CARTESIAN_POINT('',(20.405544,4.25,4.870113)); #228120=CARTESIAN_POINT('Origin',(20.5601906091794,4.25,4.75899646185435)); #228121=CARTESIAN_POINT('',(20.405544,4.24,4.870113)); #228122=CARTESIAN_POINT('',(20.405544,4.25,4.870113)); #228123=CARTESIAN_POINT('Origin',(20.5601906091794,4.24,4.75899646185435)); #228124=CARTESIAN_POINT('Origin',(20.5624329723936,4.25,4.76018224830642)); #228125=CARTESIAN_POINT('',(20.373056,4.25,4.73128099999999)); #228126=CARTESIAN_POINT('Origin',(20.5624329723936,4.25,4.76018224830642)); #228127=CARTESIAN_POINT('',(20.373056,4.24,4.73128099999999)); #228128=CARTESIAN_POINT('',(20.373056,4.25,4.73128099999999)); #228129=CARTESIAN_POINT('Origin',(20.5624329723936,4.24,4.76018224830642)); #228130=CARTESIAN_POINT('Origin',(20.6210466745573,4.25,4.69592333789248)); #228131=CARTESIAN_POINT('',(20.434467,4.25,4.528778)); #228132=CARTESIAN_POINT('Origin',(20.6210466745573,4.25,4.69592333789248)); #228133=CARTESIAN_POINT('',(20.434467,4.24,4.528778)); #228134=CARTESIAN_POINT('',(20.434467,4.25,4.528778)); #228135=CARTESIAN_POINT('Origin',(20.6210466745573,4.24,4.69592333789248)); #228136=CARTESIAN_POINT('Origin',(20.6196159376693,4.25,4.69891373047756)); #228137=CARTESIAN_POINT('',(20.630028,4.25,4.447681)); #228138=CARTESIAN_POINT('Origin',(20.6196159376693,4.25,4.69891373047756)); #228139=CARTESIAN_POINT('',(20.630028,4.24,4.447681)); #228140=CARTESIAN_POINT('',(20.630028,4.25,4.447681)); #228141=CARTESIAN_POINT('Origin',(20.6196159376693,4.24,4.69891373047756)); #228142=CARTESIAN_POINT('Origin',(21.5736075452758,4.25,33.7371343362456)); #228143=CARTESIAN_POINT('',(21.859037,4.25,4.433876)); #228144=CARTESIAN_POINT('Origin',(21.5736075452758,4.25,33.7371343362456)); #228145=CARTESIAN_POINT('',(21.859037,4.24,4.433876)); #228146=CARTESIAN_POINT('',(21.859037,4.25,4.433876)); #228147=CARTESIAN_POINT('Origin',(21.5736075452758,4.24,33.7371343362456)); #228148=CARTESIAN_POINT('Origin',(21.879494,4.25,4.432392)); #228149=CARTESIAN_POINT('',(21.879494,4.25,4.432392)); #228150=CARTESIAN_POINT('',(23.0873787413034,4.25,4.34476913466809)); #228151=CARTESIAN_POINT('',(21.879494,4.24,4.432392)); #228152=CARTESIAN_POINT('',(21.879494,4.25,4.432392)); #228153=CARTESIAN_POINT('',(21.859037,4.24,4.433876)); #228154=CARTESIAN_POINT('Origin',(21.8767283646296,4.25,4.26404938539952)); #228155=CARTESIAN_POINT('',(21.928259,4.25,4.424335)); #228156=CARTESIAN_POINT('Origin',(21.8767283646296,4.25,4.26404938539952)); #228157=CARTESIAN_POINT('',(21.928259,4.24,4.424335)); #228158=CARTESIAN_POINT('',(21.928259,4.25,4.424335)); #228159=CARTESIAN_POINT('Origin',(21.8767283646296,4.24,4.26404938539952)); #228160=CARTESIAN_POINT('Origin',(21.93606,4.25,4.41732)); #228161=CARTESIAN_POINT('',(21.93606,4.25,4.41732)); #228162=CARTESIAN_POINT('',(17.8874623084141,4.25,8.05799591417373)); #228163=CARTESIAN_POINT('',(21.93606,4.24,4.41732)); #228164=CARTESIAN_POINT('',(21.93606,4.25,4.41732)); #228165=CARTESIAN_POINT('',(21.928259,4.24,4.424335)); #228166=CARTESIAN_POINT('Origin',(21.942657,4.25,4.407768)); #228167=CARTESIAN_POINT('',(21.942657,4.25,4.407768)); #228168=CARTESIAN_POINT('',(17.7510287948778,4.25,10.4769559059161)); #228169=CARTESIAN_POINT('',(21.942657,4.24,4.407768)); #228170=CARTESIAN_POINT('',(21.942657,4.25,4.407768)); #228171=CARTESIAN_POINT('',(21.93606,4.24,4.41732)); #228172=CARTESIAN_POINT('Origin',(21.948093,4.25,4.396141)); #228173=CARTESIAN_POINT('',(21.948093,4.25,4.396141)); #228174=CARTESIAN_POINT('',(18.3410462404158,4.25,12.1112132357796)); #228175=CARTESIAN_POINT('',(21.948093,4.24,4.396141)); #228176=CARTESIAN_POINT('',(21.948093,4.25,4.396141)); #228177=CARTESIAN_POINT('',(21.942657,4.24,4.407768)); #228178=CARTESIAN_POINT('Origin',(21.6661064991915,4.25,4.27248742037641)); #228179=CARTESIAN_POINT('',(21.913052,4.25,4.088573)); #228180=CARTESIAN_POINT('Origin',(21.6661064991915,4.25,4.27248742037641)); #228181=CARTESIAN_POINT('',(21.913052,4.24,4.088573)); #228182=CARTESIAN_POINT('',(21.913052,4.25,4.088573)); #228183=CARTESIAN_POINT('Origin',(21.6661064991915,4.24,4.27248742037641)); #228184=CARTESIAN_POINT('Origin',(21.8741950306853,4.25,4.18361033518135)); #228185=CARTESIAN_POINT('',(21.885513,4.25,4.081562)); #228186=CARTESIAN_POINT('Origin',(21.8741950306853,4.25,4.18361033518135)); #228187=CARTESIAN_POINT('',(21.885513,4.24,4.081562)); #228188=CARTESIAN_POINT('',(21.885513,4.25,4.081562)); #228189=CARTESIAN_POINT('Origin',(21.8741950306853,4.24,4.18361033518135)); #228190=CARTESIAN_POINT('Origin',(21.315357332165,4.25,19.2829594795602)); #228191=CARTESIAN_POINT('',(20.621365,4.25,4.086712)); #228192=CARTESIAN_POINT('Origin',(21.315357332165,4.25,19.2829594795602)); #228193=CARTESIAN_POINT('',(20.621365,4.24,4.086712)); #228194=CARTESIAN_POINT('',(20.621365,4.25,4.086712)); #228195=CARTESIAN_POINT('Origin',(21.315357332165,4.24,19.2829594795602)); #228196=CARTESIAN_POINT('Origin',(20.5701971764074,4.25,4.66207514975899)); #228197=CARTESIAN_POINT('',(20.010479,4.25,4.519329)); #228198=CARTESIAN_POINT('Origin',(20.5701971764074,4.25,4.66207514975899)); #228199=CARTESIAN_POINT('',(20.010479,4.24,4.519329)); #228200=CARTESIAN_POINT('',(20.010479,4.25,4.519329)); #228201=CARTESIAN_POINT('Origin',(20.5701971764074,4.24,4.66207514975899)); #228202=CARTESIAN_POINT('Origin',(20.6135284975884,4.25,4.71067969330624)); #228203=CARTESIAN_POINT('',(20.201237,4.25,5.190575)); #228204=CARTESIAN_POINT('Origin',(20.6135284975884,4.25,4.71067969330624)); #228205=CARTESIAN_POINT('',(20.201237,4.24,5.190575)); #228206=CARTESIAN_POINT('',(20.201237,4.25,5.190575)); #228207=CARTESIAN_POINT('Origin',(20.6135284975884,4.24,4.71067969330624)); #228208=CARTESIAN_POINT('Origin',(20.799507974939,4.25,4.10691970218576)); #228209=CARTESIAN_POINT('',(20.845757,4.25,5.343891)); #228210=CARTESIAN_POINT('Origin',(20.799507974939,4.25,4.10691970218576)); #228211=CARTESIAN_POINT('',(20.845757,4.24,5.343891)); #228212=CARTESIAN_POINT('',(20.845757,4.25,5.343891)); #228213=CARTESIAN_POINT('Origin',(20.799507974939,4.24,4.10691970218576)); #228214=CARTESIAN_POINT('Origin',(20.93186,4.25,5.352753)); #228215=CARTESIAN_POINT('',(20.93186,4.25,5.352753)); #228216=CARTESIAN_POINT('',(24.3408966035526,4.25,5.70362210305894)); #228217=CARTESIAN_POINT('',(20.93186,4.24,5.352753)); #228218=CARTESIAN_POINT('',(20.93186,4.25,5.352753)); #228219=CARTESIAN_POINT('',(20.845757,4.24,5.343891)); #228220=CARTESIAN_POINT('Origin',(21.008602,4.25,5.361862)); #228221=CARTESIAN_POINT('',(21.008602,4.25,5.361862)); #228222=CARTESIAN_POINT('',(24.5203133209781,4.25,5.77868953150535)); #228223=CARTESIAN_POINT('',(21.008602,4.24,5.361862)); #228224=CARTESIAN_POINT('',(21.008602,4.25,5.361862)); #228225=CARTESIAN_POINT('',(20.93186,4.24,5.352753)); #228226=CARTESIAN_POINT('Origin',(22.1486605966651,4.25,-6.24070768501033)); #228227=CARTESIAN_POINT('',(21.183292,4.25,5.377701)); #228228=CARTESIAN_POINT('Origin',(22.1486605966651,4.25,-6.24070768501033)); #228229=CARTESIAN_POINT('',(21.183292,4.24,5.377701)); #228230=CARTESIAN_POINT('',(21.183292,4.25,5.377701)); #228231=CARTESIAN_POINT('Origin',(22.1486605966651,4.24,-6.24070768501033)); #228232=CARTESIAN_POINT('Origin',(21.281113,4.25,5.387112)); #228233=CARTESIAN_POINT('',(21.281113,4.25,5.387112)); #228234=CARTESIAN_POINT('',(24.4546255963082,4.25,5.69242401933989)); #228235=CARTESIAN_POINT('',(21.281113,4.24,5.387112)); #228236=CARTESIAN_POINT('',(21.281113,4.25,5.387112)); #228237=CARTESIAN_POINT('',(21.183292,4.24,5.377701)); #228238=CARTESIAN_POINT('Origin',(21.3172986919624,4.25,5.93986847594238)); #228239=CARTESIAN_POINT('',(21.631891,4.25,5.483929)); #228240=CARTESIAN_POINT('Origin',(21.3172986919624,4.25,5.93986847594238)); #228241=CARTESIAN_POINT('',(21.631891,4.24,5.483929)); #228242=CARTESIAN_POINT('',(21.631891,4.25,5.483929)); #228243=CARTESIAN_POINT('Origin',(21.3172986919624,4.24,5.93986847594238)); #228244=CARTESIAN_POINT('Origin',(21.3715267264694,4.25,5.66628005090441)); #228245=CARTESIAN_POINT('',(21.639927,4.25,5.836582)); #228246=CARTESIAN_POINT('Origin',(21.3715267264694,4.25,5.66628005090441)); #228247=CARTESIAN_POINT('',(21.639927,4.24,5.836582)); #228248=CARTESIAN_POINT('',(21.639927,4.25,5.836582)); #228249=CARTESIAN_POINT('Origin',(21.3715267264694,4.24,5.66628005090441)); #228250=CARTESIAN_POINT('Origin',(21.3361509481735,4.25,5.42069418506653)); #228251=CARTESIAN_POINT('',(21.279509,4.25,5.932587)); #228252=CARTESIAN_POINT('Origin',(21.3361509481735,4.25,5.42069418506653)); #228253=CARTESIAN_POINT('',(21.279509,4.24,5.932587)); #228254=CARTESIAN_POINT('',(21.279509,4.25,5.932587)); #228255=CARTESIAN_POINT('Origin',(21.3361509481735,4.24,5.42069418506653)); #228256=CARTESIAN_POINT('Origin',(21.1679328887982,4.25,-149.06143433181)); #228257=CARTESIAN_POINT('',(20.1411380000015,4.25,5.929226)); #228258=CARTESIAN_POINT('Origin',(21.1679328887982,4.25,-149.06143433181)); #228259=CARTESIAN_POINT('',(20.1411380000015,4.24,5.929226)); #228260=CARTESIAN_POINT('',(20.1411380000015,4.25,5.929226)); #228261=CARTESIAN_POINT('Origin',(21.1679328887982,4.24,-149.06143433181)); #228262=CARTESIAN_POINT('Origin',(20.121216,4.25,5.93211)); #228263=CARTESIAN_POINT('',(20.121216,4.25,5.93211)); #228264=CARTESIAN_POINT('',(21.5504777093523,4.25,5.72520352626362)); #228265=CARTESIAN_POINT('',(20.121216,4.24,5.93211)); #228266=CARTESIAN_POINT('',(20.121216,4.25,5.93211)); #228267=CARTESIAN_POINT('',(20.141138,4.24,5.929226)); #228268=CARTESIAN_POINT('Origin',(20.1352770469811,4.25,6.0344508827605)); #228269=CARTESIAN_POINT('',(20.060043,4.25,5.963661)); #228270=CARTESIAN_POINT('Origin',(20.1352770469811,4.25,6.0344508827605)); #228271=CARTESIAN_POINT('',(20.060043,4.24,5.963661)); #228272=CARTESIAN_POINT('',(20.060043,4.25,5.963661)); #228273=CARTESIAN_POINT('Origin',(20.1352770469811,4.24,6.0344508827605)); #228274=CARTESIAN_POINT('Origin',(20.050276,4.25,5.978111)); #228275=CARTESIAN_POINT('',(20.050276,4.25,5.978111)); #228276=CARTESIAN_POINT('',(16.5391799630951,4.25,11.1726781888255)); #228277=CARTESIAN_POINT('',(20.050276,4.24,5.978111)); #228278=CARTESIAN_POINT('',(20.050276,4.25,5.978111)); #228279=CARTESIAN_POINT('',(20.060043,4.24,5.963661)); #228280=CARTESIAN_POINT('Origin',(20.1786887756029,4.25,6.03790121730992)); #228281=CARTESIAN_POINT('',(20.037104,4.25,6.042198)); #228282=CARTESIAN_POINT('Origin',(20.1786887756029,4.25,6.03790121730992)); #228283=CARTESIAN_POINT('',(20.037104,4.24,6.042198)); #228284=CARTESIAN_POINT('',(20.037104,4.25,6.042198)); #228285=CARTESIAN_POINT('Origin',(20.1786887756029,4.24,6.03790121730992)); #228286=CARTESIAN_POINT('Origin',(9.58887589951241,4.25,5.91405853560341)); #228287=CARTESIAN_POINT('',(20.035368,4.25,6.143608)); #228288=CARTESIAN_POINT('Origin',(9.58887589951241,4.25,5.91405853560341)); #228289=CARTESIAN_POINT('',(20.035368,4.24,6.143608)); #228290=CARTESIAN_POINT('',(20.035368,4.25,6.143608)); #228291=CARTESIAN_POINT('Origin',(9.58887589951241,4.24,5.91405853560341)); #228292=CARTESIAN_POINT('Origin',(20.035486,4.25,6.165939)); #228293=CARTESIAN_POINT('',(20.035486,4.25,6.165939)); #228294=CARTESIAN_POINT('',(20.0853259395391,4.25,15.5979363717535)); #228295=CARTESIAN_POINT('',(20.035486,4.24,6.165939)); #228296=CARTESIAN_POINT('',(20.035486,4.25,6.165939)); #228297=CARTESIAN_POINT('',(20.035368,4.24,6.143608)); #228298=CARTESIAN_POINT('Origin',(20.1384316548065,4.25,6.1909008345552)); #228299=CARTESIAN_POINT('',(20.049845,4.25,6.248981)); #228300=CARTESIAN_POINT('Origin',(20.1384316548065,4.25,6.1909008345552)); #228301=CARTESIAN_POINT('',(20.049845,4.24,6.248981)); #228302=CARTESIAN_POINT('',(20.049845,4.25,6.248981)); #228303=CARTESIAN_POINT('Origin',(20.1384316548065,4.24,6.1909008345552)); #228304=CARTESIAN_POINT('Origin',(20.1352929456339,4.25,6.19154221646136)); #228305=CARTESIAN_POINT('Origin',(20.1352929456339,4.25,6.19154221646136)); #228306=CARTESIAN_POINT('Origin',(20.1352929456339,4.24,6.19154221646136)); #228307=CARTESIAN_POINT('Origin',(21.0283737655895,4.24,5.18674364349167)); #228308=CARTESIAN_POINT('Origin',(24.569902,4.25,19.8730927)); #228309=CARTESIAN_POINT('',(24.569902,4.25,19.8730927)); #228310=CARTESIAN_POINT('',(24.569952,4.25,19.7857289)); #228311=CARTESIAN_POINT('',(24.568435087614,4.25,22.436193505966)); #228312=CARTESIAN_POINT('',(24.569902,4.24,19.8730927)); #228313=CARTESIAN_POINT('',(24.569902,4.25,19.8730927)); #228314=CARTESIAN_POINT('',(24.569952,4.24,19.7857289)); #228315=CARTESIAN_POINT('',(24.569902,4.24,19.8730927)); #228316=CARTESIAN_POINT('',(24.569952,4.25,19.7857289)); #228317=CARTESIAN_POINT('Origin',(5.34257541198396,4.25,19.9024960443521)); #228318=CARTESIAN_POINT('',(24.569904,4.25,19.9305611)); #228319=CARTESIAN_POINT('Origin',(5.34257541198396,4.25,19.9024960443521)); #228320=CARTESIAN_POINT('',(24.569904,4.24,19.9305611)); #228321=CARTESIAN_POINT('',(24.569904,4.25,19.9305611)); #228322=CARTESIAN_POINT('Origin',(5.34257541198396,4.24,19.9024960443521)); #228323=CARTESIAN_POINT('Origin',(24.5809445958556,4.25,19.8951300665587)); #228324=CARTESIAN_POINT('',(24.567032,4.25,19.9295349)); #228325=CARTESIAN_POINT('Origin',(24.5809445958556,4.25,19.8951300665587)); #228326=CARTESIAN_POINT('',(24.567032,4.24,19.9295349)); #228327=CARTESIAN_POINT('',(24.567032,4.25,19.9295349)); #228328=CARTESIAN_POINT('Origin',(24.5809445958556,4.24,19.8951300665587)); #228329=CARTESIAN_POINT('Origin',(24.471672,4.25,19.8942993)); #228330=CARTESIAN_POINT('',(24.471672,4.25,19.8942993)); #228331=CARTESIAN_POINT('',(25.8860148818827,4.25,20.416900181385)); #228332=CARTESIAN_POINT('',(24.471672,4.24,19.8942993)); #228333=CARTESIAN_POINT('',(24.471672,4.25,19.8942993)); #228334=CARTESIAN_POINT('',(24.471672,4.24,19.8942993)); #228335=CARTESIAN_POINT('Origin',(24.376129,4.25,19.858839)); #228336=CARTESIAN_POINT('',(24.376129,4.25,19.858839)); #228337=CARTESIAN_POINT('',(25.8404186321237,4.25,20.4023026722941)); #228338=CARTESIAN_POINT('',(24.376129,4.24,19.858839)); #228339=CARTESIAN_POINT('',(24.376129,4.25,19.858839)); #228340=CARTESIAN_POINT('',(24.376129,4.24,19.858839)); #228341=CARTESIAN_POINT('Origin',(24.254503,4.25,19.8137989)); #228342=CARTESIAN_POINT('',(24.254503,4.25,19.8137989)); #228343=CARTESIAN_POINT('',(25.7785237143432,4.25,20.378168733556)); #228344=CARTESIAN_POINT('',(24.254503,4.24,19.8137989)); #228345=CARTESIAN_POINT('',(24.254503,4.25,19.8137989)); #228346=CARTESIAN_POINT('',(24.254503,4.24,19.8137989)); #228347=CARTESIAN_POINT('Origin',(24.132877,4.25,19.7687569)); #228348=CARTESIAN_POINT('',(24.132877,4.25,19.7687569)); #228349=CARTESIAN_POINT('',(25.7177308308277,4.25,20.3556789910672)); #228350=CARTESIAN_POINT('',(24.132877,4.24,19.7687569)); #228351=CARTESIAN_POINT('',(24.132877,4.25,19.7687569)); #228352=CARTESIAN_POINT('',(24.132877,4.24,19.7687569)); #228353=CARTESIAN_POINT('Origin',(23.958601,4.25,19.7042179)); #228354=CARTESIAN_POINT('',(23.958601,4.25,19.7042179)); #228355=CARTESIAN_POINT('',(25.6305857019951,4.25,20.323397940178)); #228356=CARTESIAN_POINT('',(23.958601,4.24,19.7042179)); #228357=CARTESIAN_POINT('',(23.958601,4.25,19.7042179)); #228358=CARTESIAN_POINT('',(23.958601,4.24,19.7042179)); #228359=CARTESIAN_POINT('Origin',(23.939102,4.25,19.6969337)); #228360=CARTESIAN_POINT('',(23.939102,4.25,19.6969337)); #228361=CARTESIAN_POINT('',(25.6248302432818,4.25,20.326667618135)); #228362=CARTESIAN_POINT('',(23.939102,4.24,19.6969337)); #228363=CARTESIAN_POINT('',(23.939102,4.25,19.6969337)); #228364=CARTESIAN_POINT('',(23.939102,4.24,19.6969337)); #228365=CARTESIAN_POINT('Origin',(23.939103,4.25,19.6959012)); #228366=CARTESIAN_POINT('',(23.939103,4.25,19.6959012)); #228367=CARTESIAN_POINT('',(23.9365353043603,4.25,22.3470469523544)); #228368=CARTESIAN_POINT('',(23.939103,4.24,19.6959012)); #228369=CARTESIAN_POINT('',(23.939103,4.25,19.6959012)); #228370=CARTESIAN_POINT('',(23.939103,4.24,19.6959012)); #228371=CARTESIAN_POINT('Origin',(23.939104,4.25,19.6948757)); #228372=CARTESIAN_POINT('',(23.939104,4.25,19.6948757)); #228373=CARTESIAN_POINT('',(23.9365182834476,4.25,22.3465280170218)); #228374=CARTESIAN_POINT('',(23.939104,4.24,19.6948757)); #228375=CARTESIAN_POINT('',(23.939104,4.25,19.6948757)); #228376=CARTESIAN_POINT('',(23.939104,4.24,19.6948757)); #228377=CARTESIAN_POINT('Origin',(23.939104,4.25,19.6653347)); #228378=CARTESIAN_POINT('',(23.939104,4.25,19.6653347)); #228379=CARTESIAN_POINT('',(23.939104,4.25,22.33266735)); #228380=CARTESIAN_POINT('',(23.939104,4.24,19.6653347)); #228381=CARTESIAN_POINT('',(23.939104,4.25,19.6653347)); #228382=CARTESIAN_POINT('',(23.939104,4.24,19.6653347)); #228383=CARTESIAN_POINT('Origin',(23.7472730304916,4.25,19.1439309133713)); #228384=CARTESIAN_POINT('',(23.950277,4.25,19.661087)); #228385=CARTESIAN_POINT('Origin',(23.7472730304916,4.25,19.1439309133713)); #228386=CARTESIAN_POINT('',(23.950277,4.24,19.661087)); #228387=CARTESIAN_POINT('',(23.950277,4.25,19.661087)); #228388=CARTESIAN_POINT('Origin',(23.7472730304916,4.24,19.1439309133713)); #228389=CARTESIAN_POINT('Origin',(24.011206,4.25,19.6381168)); #228390=CARTESIAN_POINT('',(24.011206,4.25,19.6381168)); #228391=CARTESIAN_POINT('',(23.9093641128276,4.25,19.676511136305)); #228392=CARTESIAN_POINT('',(24.011206,4.24,19.6381168)); #228393=CARTESIAN_POINT('',(24.011206,4.25,19.6381168)); #228394=CARTESIAN_POINT('',(24.011206,4.24,19.6381168)); #228395=CARTESIAN_POINT('Origin',(24.229626,4.25,19.5557766)); #228396=CARTESIAN_POINT('',(24.229626,4.25,19.5557766)); #228397=CARTESIAN_POINT('',(24.0186160959188,4.25,19.6353233342918)); #228398=CARTESIAN_POINT('',(24.229626,4.24,19.5557766)); #228399=CARTESIAN_POINT('',(24.229626,4.25,19.5557766)); #228400=CARTESIAN_POINT('',(24.229626,4.24,19.5557766)); #228401=CARTESIAN_POINT('Origin',(24.461842,4.25,19.468235)); #228402=CARTESIAN_POINT('',(24.461842,4.25,19.468235)); #228403=CARTESIAN_POINT('',(24.1347185924322,4.25,19.5915551265026)); #228404=CARTESIAN_POINT('',(24.461842,4.24,19.468235)); #228405=CARTESIAN_POINT('',(24.461842,4.25,19.468235)); #228406=CARTESIAN_POINT('',(24.461842,4.24,19.468235)); #228407=CARTESIAN_POINT('Origin',(24.569904,4.25,19.4274979)); #228408=CARTESIAN_POINT('',(24.569904,4.25,19.4274979)); #228409=CARTESIAN_POINT('',(24.188759610171,4.25,19.5711813143631)); #228410=CARTESIAN_POINT('',(24.569904,4.24,19.4274979)); #228411=CARTESIAN_POINT('',(24.569904,4.25,19.4274979)); #228412=CARTESIAN_POINT('',(24.569904,4.24,19.4274979)); #228413=CARTESIAN_POINT('Origin',(24.569904,4.25,19.4513359)); #228414=CARTESIAN_POINT('',(24.569904,4.25,19.4513359)); #228415=CARTESIAN_POINT('',(24.569904,4.25,22.22566795)); #228416=CARTESIAN_POINT('',(24.569904,4.24,19.4513359)); #228417=CARTESIAN_POINT('',(24.569904,4.25,19.4513359)); #228418=CARTESIAN_POINT('',(24.569904,4.24,19.4513359)); #228419=CARTESIAN_POINT('Origin',(24.569902,4.25,19.5136833)); #228420=CARTESIAN_POINT('',(24.569902,4.25,19.5136833)); #228421=CARTESIAN_POINT('',(24.5698140047147,4.25,22.2568219174371)); #228422=CARTESIAN_POINT('',(24.569902,4.24,19.5136833)); #228423=CARTESIAN_POINT('',(24.569902,4.25,19.5136833)); #228424=CARTESIAN_POINT('',(24.569902,4.24,19.5136833)); #228425=CARTESIAN_POINT('Origin',(24.569902,4.25,19.6007843)); #228426=CARTESIAN_POINT('',(24.569902,4.25,19.6007843)); #228427=CARTESIAN_POINT('',(24.569902,4.25,22.30039215)); #228428=CARTESIAN_POINT('',(24.569902,4.24,19.6007843)); #228429=CARTESIAN_POINT('',(24.569902,4.25,19.6007843)); #228430=CARTESIAN_POINT('',(24.569902,4.24,19.6007843)); #228431=CARTESIAN_POINT('Origin',(24.569907,4.25,19.6498072)); #228432=CARTESIAN_POINT('',(24.569907,4.25,19.6498072)); #228433=CARTESIAN_POINT('',(24.5701798479043,4.25,22.3249663027015)); #228434=CARTESIAN_POINT('',(24.569907,4.24,19.6498072)); #228435=CARTESIAN_POINT('',(24.569907,4.25,19.6498072)); #228436=CARTESIAN_POINT('',(24.569907,4.24,19.6498072)); #228437=CARTESIAN_POINT('Origin',(24.569902,4.25,19.6988888)); #228438=CARTESIAN_POINT('',(24.569902,4.25,19.6988888)); #228439=CARTESIAN_POINT('',(24.5696319911862,4.25,22.3493817167328)); #228440=CARTESIAN_POINT('',(24.569902,4.24,19.6988888)); #228441=CARTESIAN_POINT('',(24.569902,4.25,19.6988888)); #228442=CARTESIAN_POINT('',(24.569902,4.24,19.6988888)); #228443=CARTESIAN_POINT('Origin',(24.569952,4.25,19.7857289)); #228444=CARTESIAN_POINT('',(24.5714533160963,4.25,22.39321769849)); #228445=CARTESIAN_POINT('',(24.569952,4.24,19.7857289)); #228446=CARTESIAN_POINT('Origin',(25.054869,4.25,19.4706974)); #228447=CARTESIAN_POINT('',(25.054869,4.25,19.4706974)); #228448=CARTESIAN_POINT('',(25.052504,4.25,19.475214)); #228449=CARTESIAN_POINT('',(23.9989077319019,4.25,21.4873378496815)); #228450=CARTESIAN_POINT('',(25.054869,4.24,19.4706974)); #228451=CARTESIAN_POINT('',(25.054869,4.25,19.4706974)); #228452=CARTESIAN_POINT('',(25.052504,4.24,19.475214)); #228453=CARTESIAN_POINT('',(25.054869,4.24,19.4706974)); #228454=CARTESIAN_POINT('',(25.052504,4.25,19.475214)); #228455=CARTESIAN_POINT('Origin',(25.056854,4.25,19.4655933)); #228456=CARTESIAN_POINT('',(25.056854,4.25,19.4655933)); #228457=CARTESIAN_POINT('',(24.1708788062097,4.25,21.7437323360805)); #228458=CARTESIAN_POINT('',(25.056854,4.24,19.4655933)); #228459=CARTESIAN_POINT('',(25.056854,4.25,19.4655933)); #228460=CARTESIAN_POINT('',(25.056854,4.24,19.4655933)); #228461=CARTESIAN_POINT('Origin',(23.9562558617995,4.25,19.2801141557619)); #228462=CARTESIAN_POINT('',(25.058622,4.25,19.1054497)); #228463=CARTESIAN_POINT('Origin',(23.9562558617995,4.25,19.2801141557619)); #228464=CARTESIAN_POINT('',(25.058622,4.24,19.1054497)); #228465=CARTESIAN_POINT('',(25.058622,4.25,19.1054497)); #228466=CARTESIAN_POINT('Origin',(23.9562558617995,4.24,19.2801141557619)); #228467=CARTESIAN_POINT('Origin',(25.057064,4.25,19.0997162)); #228468=CARTESIAN_POINT('',(25.057064,4.25,19.0997162)); #228469=CARTESIAN_POINT('',(25.8291432279905,4.25,21.9409974924812)); #228470=CARTESIAN_POINT('',(25.057064,4.24,19.0997162)); #228471=CARTESIAN_POINT('',(25.057064,4.25,19.0997162)); #228472=CARTESIAN_POINT('',(25.057064,4.24,19.0997162)); #228473=CARTESIAN_POINT('Origin',(25.055122,4.25,19.0945606)); #228474=CARTESIAN_POINT('',(25.055122,4.25,19.0945606)); #228475=CARTESIAN_POINT('',(26.0754232404595,4.25,21.8032449848139)); #228476=CARTESIAN_POINT('',(25.055122,4.24,19.0945606)); #228477=CARTESIAN_POINT('',(25.055122,4.25,19.0945606)); #228478=CARTESIAN_POINT('',(25.055122,4.24,19.0945606)); #228479=CARTESIAN_POINT('Origin',(25.052801,4.25,19.0899811)); #228480=CARTESIAN_POINT('',(25.052801,4.25,19.0899811)); #228481=CARTESIAN_POINT('',(26.3207407859341,4.25,21.5917175281306)); #228482=CARTESIAN_POINT('',(25.052801,4.24,19.0899811)); #228483=CARTESIAN_POINT('',(25.052801,4.25,19.0899811)); #228484=CARTESIAN_POINT('',(25.052801,4.24,19.0899811)); #228485=CARTESIAN_POINT('Origin',(25.050102,4.25,19.0859795)); #228486=CARTESIAN_POINT('',(25.050102,4.25,19.0859795)); #228487=CARTESIAN_POINT('',(26.5381650789239,4.25,21.2922163346116)); #228488=CARTESIAN_POINT('',(25.050102,4.24,19.0859795)); #228489=CARTESIAN_POINT('',(25.050102,4.25,19.0859795)); #228490=CARTESIAN_POINT('',(25.050102,4.24,19.0859795)); #228491=CARTESIAN_POINT('Origin',(25.04698,4.25,19.0825195)); #228492=CARTESIAN_POINT('',(25.04698,4.25,19.0825195)); #228493=CARTESIAN_POINT('',(26.687539558585,4.25,20.9006924893337)); #228494=CARTESIAN_POINT('',(25.04698,4.24,19.0825195)); #228495=CARTESIAN_POINT('',(25.04698,4.25,19.0825195)); #228496=CARTESIAN_POINT('',(25.04698,4.24,19.0825195)); #228497=CARTESIAN_POINT('Origin',(25.0436,4.25,19.0794945)); #228498=CARTESIAN_POINT('',(25.0436,4.25,19.0794945)); #228499=CARTESIAN_POINT('',(26.724658094577,4.25,20.5839917592015)); #228500=CARTESIAN_POINT('',(25.0436,4.24,19.0794945)); #228501=CARTESIAN_POINT('',(25.0436,4.25,19.0794945)); #228502=CARTESIAN_POINT('',(25.0436,4.24,19.0794945)); #228503=CARTESIAN_POINT('Origin',(25.040049,4.25,19.0769062)); #228504=CARTESIAN_POINT('',(25.040049,4.25,19.0769062)); #228505=CARTESIAN_POINT('',(26.6978895994731,4.25,20.2852950548615)); #228506=CARTESIAN_POINT('',(25.040049,4.24,19.0769062)); #228507=CARTESIAN_POINT('',(25.040049,4.25,19.0769062)); #228508=CARTESIAN_POINT('',(25.040049,4.24,19.0769062)); #228509=CARTESIAN_POINT('Origin',(25.03632,4.25,19.0747566)); #228510=CARTESIAN_POINT('',(25.03632,4.25,19.0747566)); #228511=CARTESIAN_POINT('',(26.6047785313141,4.25,19.9789020703447)); #228512=CARTESIAN_POINT('',(25.03632,4.24,19.0747566)); #228513=CARTESIAN_POINT('',(25.03632,4.25,19.0747566)); #228514=CARTESIAN_POINT('',(25.03632,4.24,19.0747566)); #228515=CARTESIAN_POINT('Origin',(25.003439367793,4.25,19.1507242037262)); #228516=CARTESIAN_POINT('',(25.028307,4.25,19.0717697)); #228517=CARTESIAN_POINT('Origin',(25.003439367793,4.25,19.1507242037262)); #228518=CARTESIAN_POINT('',(25.028307,4.24,19.0717697)); #228519=CARTESIAN_POINT('',(25.028307,4.25,19.0717697)); #228520=CARTESIAN_POINT('Origin',(25.003439367793,4.24,19.1507242037262)); #228521=CARTESIAN_POINT('Origin',(25.024012,4.25,19.0709343)); #228522=CARTESIAN_POINT('',(25.024012,4.25,19.0709343)); #228523=CARTESIAN_POINT('',(25.9534600242662,4.25,19.2517168097721)); #228524=CARTESIAN_POINT('',(25.024012,4.24,19.0709343)); #228525=CARTESIAN_POINT('',(25.024012,4.25,19.0709343)); #228526=CARTESIAN_POINT('',(25.024012,4.24,19.0709343)); #228527=CARTESIAN_POINT('Origin',(25.019514,4.25,19.0705357)); #228528=CARTESIAN_POINT('',(25.019514,4.25,19.0705357)); #228529=CARTESIAN_POINT('',(25.6673953395289,4.25,19.1279491063885)); #228530=CARTESIAN_POINT('',(25.019514,4.24,19.0705357)); #228531=CARTESIAN_POINT('',(25.019514,4.25,19.0705357)); #228532=CARTESIAN_POINT('',(25.019514,4.24,19.0705357)); #228533=CARTESIAN_POINT('Origin',(25.014755,4.25,19.0705738)); #228534=CARTESIAN_POINT('',(25.014755,4.25,19.0705738)); #228535=CARTESIAN_POINT('',(25.383618710033,4.25,19.0676207200773)); #228536=CARTESIAN_POINT('',(25.014755,4.24,19.0705738)); #228537=CARTESIAN_POINT('',(25.014755,4.25,19.0705738)); #228538=CARTESIAN_POINT('',(25.014755,4.24,19.0705738)); #228539=CARTESIAN_POINT('Origin',(25.0253093657819,4.25,19.1700119779326)); #228540=CARTESIAN_POINT('',(25.005672,4.25,19.0719624)); #228541=CARTESIAN_POINT('Origin',(25.0253093657819,4.25,19.1700119779326)); #228542=CARTESIAN_POINT('',(25.005672,4.24,19.0719624)); #228543=CARTESIAN_POINT('',(25.005672,4.25,19.0719624)); #228544=CARTESIAN_POINT('Origin',(25.0253093657819,4.24,19.1700119779326)); #228545=CARTESIAN_POINT('Origin',(25.0286080203018,4.25,19.1433232385914)); #228546=CARTESIAN_POINT('',(24.997551,4.25,19.0751038)); #228547=CARTESIAN_POINT('Origin',(25.0286080203018,4.25,19.1433232385914)); #228548=CARTESIAN_POINT('',(24.997551,4.24,19.0751038)); #228549=CARTESIAN_POINT('',(24.997551,4.25,19.0751038)); #228550=CARTESIAN_POINT('Origin',(25.0286080203018,4.24,19.1433232385914)); #228551=CARTESIAN_POINT('Origin',(24.993864,4.25,19.0773335)); #228552=CARTESIAN_POINT('',(24.993864,4.25,19.0773335)); #228553=CARTESIAN_POINT('',(23.977704425798,4.25,19.6918523507189)); #228554=CARTESIAN_POINT('',(24.993864,4.24,19.0773335)); #228555=CARTESIAN_POINT('',(24.993864,4.25,19.0773335)); #228556=CARTESIAN_POINT('',(24.993864,4.24,19.0773335)); #228557=CARTESIAN_POINT('Origin',(25.0443195902748,4.25,19.1417978486134)); #228558=CARTESIAN_POINT('',(24.987259,4.25,19.0830994)); #228559=CARTESIAN_POINT('Origin',(25.0443195902748,4.25,19.1417978486134)); #228560=CARTESIAN_POINT('',(24.987259,4.24,19.0830994)); #228561=CARTESIAN_POINT('',(24.987259,4.25,19.0830994)); #228562=CARTESIAN_POINT('Origin',(25.0443195902748,4.24,19.1417978486134)); #228563=CARTESIAN_POINT('Origin',(24.984306,4.25,19.0866432)); #228564=CARTESIAN_POINT('',(24.984306,4.25,19.0866432)); #228565=CARTESIAN_POINT('',(23.6973559451154,4.25,20.6310704280732)); #228566=CARTESIAN_POINT('',(24.984306,4.24,19.0866432)); #228567=CARTESIAN_POINT('',(24.984306,4.25,19.0866432)); #228568=CARTESIAN_POINT('',(24.984306,4.24,19.0866432)); #228569=CARTESIAN_POINT('Origin',(24.981703,4.25,19.0907459)); #228570=CARTESIAN_POINT('',(24.981703,4.25,19.0907459)); #228571=CARTESIAN_POINT('',(23.7625612455864,4.25,21.01228753497)); #228572=CARTESIAN_POINT('',(24.981703,4.24,19.0907459)); #228573=CARTESIAN_POINT('',(24.981703,4.25,19.0907459)); #228574=CARTESIAN_POINT('',(24.981703,4.24,19.0907459)); #228575=CARTESIAN_POINT('Origin',(24.979492,4.25,19.0954266)); #228576=CARTESIAN_POINT('',(24.979492,4.25,19.0954266)); #228577=CARTESIAN_POINT('',(23.9141765868534,4.25,21.3507055933616)); #228578=CARTESIAN_POINT('',(24.979492,4.24,19.0954266)); #228579=CARTESIAN_POINT('',(24.979492,4.25,19.0954266)); #228580=CARTESIAN_POINT('',(24.979492,4.24,19.0954266)); #228581=CARTESIAN_POINT('Origin',(25.0662420691101,4.25,19.1258042763938)); #228582=CARTESIAN_POINT('',(24.974516,4.25,19.1199131)); #228583=CARTESIAN_POINT('Origin',(25.0662420691101,4.25,19.1258042763938)); #228584=CARTESIAN_POINT('',(24.974516,4.24,19.1199131)); #228585=CARTESIAN_POINT('',(24.974516,4.25,19.1199131)); #228586=CARTESIAN_POINT('Origin',(25.0662420691101,4.24,19.1258042763938)); #228587=CARTESIAN_POINT('Origin',(24.97422,4.25,19.1274662)); #228588=CARTESIAN_POINT('',(24.97422,4.25,19.1274662)); #228589=CARTESIAN_POINT('',(24.8599596227733,4.25,22.0430744947043)); #228590=CARTESIAN_POINT('',(24.97422,4.24,19.1274662)); #228591=CARTESIAN_POINT('',(24.97422,4.25,19.1274662)); #228592=CARTESIAN_POINT('',(24.97422,4.24,19.1274662)); #228593=CARTESIAN_POINT('Origin',(24.974203,4.25,19.1825619)); #228594=CARTESIAN_POINT('',(24.974203,4.25,19.1825619)); #228595=CARTESIAN_POINT('',(24.9733055424248,4.25,22.0911532715917)); #228596=CARTESIAN_POINT('',(24.974203,4.24,19.1825619)); #228597=CARTESIAN_POINT('',(24.974203,4.25,19.1825619)); #228598=CARTESIAN_POINT('',(24.974203,4.24,19.1825619)); #228599=CARTESIAN_POINT('Origin',(24.945,4.25,19.1936989)); #228600=CARTESIAN_POINT('',(24.945,4.25,19.1936989)); #228601=CARTESIAN_POINT('',(24.3516382605177,4.25,19.4199862572104)); #228602=CARTESIAN_POINT('',(24.945,4.24,19.1936989)); #228603=CARTESIAN_POINT('',(24.945,4.25,19.1936989)); #228604=CARTESIAN_POINT('',(24.945,4.24,19.1936989)); #228605=CARTESIAN_POINT('Origin',(23.85404,4.25,19.604681)); #228606=CARTESIAN_POINT('',(23.85404,4.25,19.604681)); #228607=CARTESIAN_POINT('',(23.8161461732057,4.25,19.6189562112937)); #228608=CARTESIAN_POINT('',(23.85404,4.24,19.604681)); #228609=CARTESIAN_POINT('',(23.85404,4.25,19.604681)); #228610=CARTESIAN_POINT('',(23.85404,4.24,19.604681)); #228611=CARTESIAN_POINT('Origin',(29.3593473778493,4.25,19.8360389120624)); #228612=CARTESIAN_POINT('',(23.853933,4.25,20.0648365)); #228613=CARTESIAN_POINT('Origin',(29.3593473778493,4.25,19.8360389120624)); #228614=CARTESIAN_POINT('',(23.853933,4.24,20.0648365)); #228615=CARTESIAN_POINT('',(23.853933,4.25,20.0648365)); #228616=CARTESIAN_POINT('Origin',(29.3593473778493,4.24,19.8360389120624)); #228617=CARTESIAN_POINT('Origin',(23.9579365932871,4.25,20.0447402093354)); #228618=CARTESIAN_POINT('',(23.856852,4.25,20.0764027)); #228619=CARTESIAN_POINT('Origin',(23.9579365932871,4.25,20.0447402093354)); #228620=CARTESIAN_POINT('',(23.856852,4.24,20.0764027)); #228621=CARTESIAN_POINT('',(23.856852,4.25,20.0764027)); #228622=CARTESIAN_POINT('Origin',(23.9579365932871,4.24,20.0447402093354)); #228623=CARTESIAN_POINT('Origin',(23.858904,4.25,20.0813332)); #228624=CARTESIAN_POINT('',(23.858904,4.25,20.0813332)); #228625=CARTESIAN_POINT('',(24.874618341197,4.25,22.5218690475943)); #228626=CARTESIAN_POINT('',(23.858904,4.24,20.0813332)); #228627=CARTESIAN_POINT('',(23.858904,4.25,20.0813332)); #228628=CARTESIAN_POINT('',(23.858904,4.24,20.0813332)); #228629=CARTESIAN_POINT('Origin',(23.861357,4.25,20.0856991)); #228630=CARTESIAN_POINT('',(23.861357,4.25,20.0856991)); #228631=CARTESIAN_POINT('',(25.1432462344551,4.25,22.3672320020449)); #228632=CARTESIAN_POINT('',(23.861357,4.24,20.0856991)); #228633=CARTESIAN_POINT('',(23.861357,4.25,20.0856991)); #228634=CARTESIAN_POINT('',(23.861357,4.24,20.0856991)); #228635=CARTESIAN_POINT('Origin',(23.864222,4.25,20.0894928)); #228636=CARTESIAN_POINT('',(23.864222,4.25,20.0894928)); #228637=CARTESIAN_POINT('',(25.396526914513,4.25,22.1184998346206)); #228638=CARTESIAN_POINT('',(23.864222,4.24,20.0894928)); #228639=CARTESIAN_POINT('',(23.864222,4.25,20.0894928)); #228640=CARTESIAN_POINT('',(23.864222,4.24,20.0894928)); #228641=CARTESIAN_POINT('Origin',(23.867262,4.25,20.0927715)); #228642=CARTESIAN_POINT('',(23.867262,4.25,20.0927715)); #228643=CARTESIAN_POINT('',(25.5373028381189,4.25,21.8939435052442)); #228644=CARTESIAN_POINT('',(23.867262,4.24,20.0927715)); #228645=CARTESIAN_POINT('',(23.867262,4.25,20.0927715)); #228646=CARTESIAN_POINT('',(23.867262,4.24,20.0927715)); #228647=CARTESIAN_POINT('Origin',(23.870564,4.25,20.0956059)); #228648=CARTESIAN_POINT('',(23.870564,4.25,20.0956059)); #228649=CARTESIAN_POINT('',(25.6379529193888,4.25,21.6127128512765)); #228650=CARTESIAN_POINT('',(23.870564,4.24,20.0956059)); #228651=CARTESIAN_POINT('',(23.870564,4.25,20.0956059)); #228652=CARTESIAN_POINT('',(23.870564,4.24,20.0956059)); #228653=CARTESIAN_POINT('Origin',(23.874132,4.25,20.0979958)); #228654=CARTESIAN_POINT('',(23.874132,4.25,20.0979958)); #228655=CARTESIAN_POINT('',(25.6721150430132,4.25,21.302311852269)); #228656=CARTESIAN_POINT('',(23.874132,4.24,20.0979958)); #228657=CARTESIAN_POINT('',(23.874132,4.25,20.0979958)); #228658=CARTESIAN_POINT('',(23.874132,4.24,20.0979958)); #228659=CARTESIAN_POINT('Origin',(23.9116621423723,4.25,20.0230443089627)); #228660=CARTESIAN_POINT('',(23.882048,4.25,20.1014614)); #228661=CARTESIAN_POINT('Origin',(23.9116621423723,4.25,20.0230443089627)); #228662=CARTESIAN_POINT('',(23.882048,4.24,20.1014614)); #228663=CARTESIAN_POINT('',(23.882048,4.25,20.1014614)); #228664=CARTESIAN_POINT('Origin',(23.9116621423723,4.24,20.0230443089627)); #228665=CARTESIAN_POINT('Origin',(23.886389,4.25,20.1025391)); #228666=CARTESIAN_POINT('',(23.886389,4.25,20.1025391)); #228667=CARTESIAN_POINT('',(25.3602774358765,4.25,20.468447777112)); #228668=CARTESIAN_POINT('',(23.886389,4.24,20.1025391)); #228669=CARTESIAN_POINT('',(23.886389,4.25,20.1025391)); #228670=CARTESIAN_POINT('',(23.886389,4.24,20.1025391)); #228671=CARTESIAN_POINT('Origin',(23.890974,4.25,20.1031837)); #228672=CARTESIAN_POINT('',(23.890974,4.25,20.1031837)); #228673=CARTESIAN_POINT('',(25.1645337474672,4.25,20.2822320343986)); #228674=CARTESIAN_POINT('',(23.890974,4.24,20.1031837)); #228675=CARTESIAN_POINT('',(23.890974,4.25,20.1031837)); #228676=CARTESIAN_POINT('',(23.890974,4.24,20.1031837)); #228677=CARTESIAN_POINT('Origin',(23.895803,4.25,20.1033974)); #228678=CARTESIAN_POINT('',(23.895803,4.25,20.1033974)); #228679=CARTESIAN_POINT('',(24.9541746420414,4.25,20.150234016257)); #228680=CARTESIAN_POINT('',(23.895803,4.24,20.1033974)); #228681=CARTESIAN_POINT('',(23.895803,4.25,20.1033974)); #228682=CARTESIAN_POINT('',(23.895803,4.24,20.1033974)); #228683=CARTESIAN_POINT('Origin',(23.900599,4.25,20.1031837)); #228684=CARTESIAN_POINT('',(23.900599,4.25,20.1031837)); #228685=CARTESIAN_POINT('',(24.7395377761011,4.25,20.0658022954017)); #228686=CARTESIAN_POINT('',(23.900599,4.24,20.1031837)); #228687=CARTESIAN_POINT('',(23.900599,4.25,20.1031837)); #228688=CARTESIAN_POINT('',(23.900599,4.24,20.1031837)); #228689=CARTESIAN_POINT('Origin',(23.8883265097517,4.25,20.0160564144098)); #228690=CARTESIAN_POINT('',(23.909487,4.25,20.1014614)); #228691=CARTESIAN_POINT('Origin',(23.8883265097517,4.25,20.0160564144098)); #228692=CARTESIAN_POINT('',(23.909487,4.24,20.1014614)); #228693=CARTESIAN_POINT('',(23.909487,4.25,20.1014614)); #228694=CARTESIAN_POINT('Origin',(23.8883265097517,4.24,20.0160564144098)); #228695=CARTESIAN_POINT('Origin',(23.91357,4.25,20.099947)); #228696=CARTESIAN_POINT('',(23.91357,4.25,20.099947)); #228697=CARTESIAN_POINT('',(23.9438898948122,4.25,20.0887012373981)); #228698=CARTESIAN_POINT('',(23.91357,4.24,20.099947)); #228699=CARTESIAN_POINT('',(23.91357,4.25,20.099947)); #228700=CARTESIAN_POINT('',(23.91357,4.24,20.099947)); #228701=CARTESIAN_POINT('Origin',(23.8805437810982,4.25,20.0350318212985)); #228702=CARTESIAN_POINT('',(23.920988,4.25,20.0956039)); #228703=CARTESIAN_POINT('Origin',(23.8805437810982,4.25,20.0350318212985)); #228704=CARTESIAN_POINT('',(23.920988,4.24,20.0956039)); #228705=CARTESIAN_POINT('',(23.920988,4.25,20.0956039)); #228706=CARTESIAN_POINT('Origin',(23.8805437810982,4.24,20.0350318212985)); #228707=CARTESIAN_POINT('Origin',(23.924313,4.25,20.0927715)); #228708=CARTESIAN_POINT('',(23.924313,4.25,20.0927715)); #228709=CARTESIAN_POINT('',(23.2565829519198,4.25,20.6615770904312)); #228710=CARTESIAN_POINT('',(23.924313,4.24,20.0927715)); #228711=CARTESIAN_POINT('',(23.924313,4.25,20.0927715)); #228712=CARTESIAN_POINT('',(23.924313,4.24,20.0927715)); #228713=CARTESIAN_POINT('Origin',(23.927383,4.25,20.0894909)); #228714=CARTESIAN_POINT('',(23.927383,4.25,20.0894909)); #228715=CARTESIAN_POINT('',(23.1395911730104,4.25,20.931324733102)); #228716=CARTESIAN_POINT('',(23.927383,4.24,20.0894909)); #228717=CARTESIAN_POINT('',(23.927383,4.25,20.0894909)); #228718=CARTESIAN_POINT('',(23.927383,4.24,20.0894909)); #228719=CARTESIAN_POINT('Origin',(23.8653630888505,4.25,20.0436929508473)); #228720=CARTESIAN_POINT('',(23.932646,4.25,20.0813351)); #228721=CARTESIAN_POINT('Origin',(23.8653630888505,4.25,20.0436929508473)); #228722=CARTESIAN_POINT('',(23.932646,4.24,20.0813351)); #228723=CARTESIAN_POINT('',(23.932646,4.25,20.0813351)); #228724=CARTESIAN_POINT('Origin',(23.8653630888505,4.24,20.0436929508473)); #228725=CARTESIAN_POINT('Origin',(23.8342910242268,4.25,20.0430078992252)); #228726=CARTESIAN_POINT('',(23.939104,4.25,20.0304813)); #228727=CARTESIAN_POINT('Origin',(23.8342910242268,4.25,20.0430078992252)); #228728=CARTESIAN_POINT('',(23.939104,4.24,20.0304813)); #228729=CARTESIAN_POINT('',(23.939104,4.25,20.0304813)); #228730=CARTESIAN_POINT('Origin',(23.8342910242268,4.24,20.0430078992252)); #228731=CARTESIAN_POINT('Origin',(23.939104,4.25,19.7907314)); #228732=CARTESIAN_POINT('',(23.939104,4.25,19.7907314)); #228733=CARTESIAN_POINT('',(23.939104,4.25,22.3953657)); #228734=CARTESIAN_POINT('',(23.939104,4.24,19.7907314)); #228735=CARTESIAN_POINT('',(23.939104,4.25,19.7907314)); #228736=CARTESIAN_POINT('',(23.939104,4.24,19.7907314)); #228737=CARTESIAN_POINT('Origin',(23.940615,4.25,19.7900581)); #228738=CARTESIAN_POINT('',(23.940615,4.25,19.7900581)); #228739=CARTESIAN_POINT('',(23.7478168903973,4.25,19.8759687334848)); #228740=CARTESIAN_POINT('',(23.940615,4.24,19.7900581)); #228741=CARTESIAN_POINT('',(23.940615,4.25,19.7900581)); #228742=CARTESIAN_POINT('',(23.940615,4.24,19.7900581)); #228743=CARTESIAN_POINT('Origin',(24.947258,4.25,20.1626244)); #228744=CARTESIAN_POINT('',(24.947258,4.25,20.1626244)); #228745=CARTESIAN_POINT('',(26.1095882756588,4.25,20.5928117555771)); #228746=CARTESIAN_POINT('',(24.947258,4.24,20.1626244)); #228747=CARTESIAN_POINT('',(24.947258,4.25,20.1626244)); #228748=CARTESIAN_POINT('',(24.947258,4.24,20.1626244)); #228749=CARTESIAN_POINT('Origin',(24.974203,4.25,20.1727371)); #228750=CARTESIAN_POINT('',(24.974203,4.25,20.1727371)); #228751=CARTESIAN_POINT('',(26.1301377287348,4.25,20.6065697639924)); #228752=CARTESIAN_POINT('',(24.974203,4.24,20.1727371)); #228753=CARTESIAN_POINT('',(24.974203,4.25,20.1727371)); #228754=CARTESIAN_POINT('',(24.974203,4.24,20.1727371)); #228755=CARTESIAN_POINT('Origin',(25.5956260025302,4.25,20.1992143527759)); #228756=CARTESIAN_POINT('',(24.975187,4.25,20.2430668)); #228757=CARTESIAN_POINT('Origin',(25.5956260025302,4.25,20.1992143527759)); #228758=CARTESIAN_POINT('',(24.975187,4.24,20.2430668)); #228759=CARTESIAN_POINT('',(24.975187,4.25,20.2430668)); #228760=CARTESIAN_POINT('Origin',(25.5956260025302,4.24,20.1992143527759)); #228761=CARTESIAN_POINT('Origin',(24.976238,4.25,20.2494755)); #228762=CARTESIAN_POINT('',(24.976238,4.25,20.2494755)); #228763=CARTESIAN_POINT('',(25.3663563738363,4.25,22.6283067296836)); #228764=CARTESIAN_POINT('',(24.976238,4.24,20.2494755)); #228765=CARTESIAN_POINT('',(24.976238,4.25,20.2494755)); #228766=CARTESIAN_POINT('',(24.976238,4.24,20.2494755)); #228767=CARTESIAN_POINT('Origin',(24.977673,4.25,20.2553101)); #228768=CARTESIAN_POINT('',(24.977673,4.25,20.2553101)); #228769=CARTESIAN_POINT('',(25.5513148879384,4.25,22.5876940438143)); #228770=CARTESIAN_POINT('',(24.977673,4.24,20.2553101)); #228771=CARTESIAN_POINT('',(24.977673,4.25,20.2553101)); #228772=CARTESIAN_POINT('',(24.977673,4.24,20.2553101)); #228773=CARTESIAN_POINT('Origin',(24.979492,4.25,20.2605686)); #228774=CARTESIAN_POINT('',(24.979492,4.25,20.2605686)); #228775=CARTESIAN_POINT('',(25.7554549108634,4.25,22.5037796867336)); #228776=CARTESIAN_POINT('',(24.979492,4.24,20.2605686)); #228777=CARTESIAN_POINT('',(24.979492,4.25,20.2605686)); #228778=CARTESIAN_POINT('',(24.979492,4.24,20.2605686)); #228779=CARTESIAN_POINT('Origin',(24.981703,4.25,20.2652493)); #228780=CARTESIAN_POINT('',(24.981703,4.25,20.2652493)); #228781=CARTESIAN_POINT('',(25.9706083204091,4.25,22.3587676777688)); #228782=CARTESIAN_POINT('',(24.981703,4.24,20.2652493)); #228783=CARTESIAN_POINT('',(24.981703,4.25,20.2652493)); #228784=CARTESIAN_POINT('',(24.981703,4.24,20.2652493)); #228785=CARTESIAN_POINT('Origin',(24.984306,4.25,20.269352)); #228786=CARTESIAN_POINT('',(24.984306,4.25,20.269352)); #228787=CARTESIAN_POINT('',(26.1713515844428,4.25,22.1403054841672)); #228788=CARTESIAN_POINT('',(24.984306,4.24,20.269352)); #228789=CARTESIAN_POINT('',(24.984306,4.25,20.269352)); #228790=CARTESIAN_POINT('',(24.984306,4.24,20.269352)); #228791=CARTESIAN_POINT('Origin',(24.987259,4.25,20.2728977)); #228792=CARTESIAN_POINT('',(24.987259,4.25,20.2728977)); #228793=CARTESIAN_POINT('',(26.3159656685669,4.25,21.868290600352)); #228794=CARTESIAN_POINT('',(24.987259,4.24,20.2728977)); #228795=CARTESIAN_POINT('',(24.987259,4.25,20.2728977)); #228796=CARTESIAN_POINT('',(24.987259,4.24,20.2728977)); #228797=CARTESIAN_POINT('Origin',(24.990433,4.25,20.2759991)); #228798=CARTESIAN_POINT('',(24.990433,4.25,20.2759991)); #228799=CARTESIAN_POINT('',(26.378191190907,4.25,21.6320146177305)); #228800=CARTESIAN_POINT('',(24.990433,4.24,20.2759991)); #228801=CARTESIAN_POINT('',(24.990433,4.25,20.2759991)); #228802=CARTESIAN_POINT('',(24.990433,4.24,20.2759991)); #228803=CARTESIAN_POINT('Origin',(24.993864,4.25,20.2786655)); #228804=CARTESIAN_POINT('',(24.993864,4.25,20.2786655)); #228805=CARTESIAN_POINT('',(26.3889471066855,4.25,21.3628536654515)); #228806=CARTESIAN_POINT('',(24.993864,4.24,20.2786655)); #228807=CARTESIAN_POINT('',(24.993864,4.25,20.2786655)); #228808=CARTESIAN_POINT('',(24.993864,4.24,20.2786655)); #228809=CARTESIAN_POINT('Origin',(24.997551,4.25,20.2808895)); #228810=CARTESIAN_POINT('',(24.997551,4.25,20.2808895)); #228811=CARTESIAN_POINT('',(26.3353141186195,4.25,21.0878287936839)); #228812=CARTESIAN_POINT('',(24.997551,4.24,20.2808895)); #228813=CARTESIAN_POINT('',(24.997551,4.25,20.2808895)); #228814=CARTESIAN_POINT('',(24.997551,4.24,20.2808895)); #228815=CARTESIAN_POINT('Origin',(25.001488,4.25,20.2826805)); #228816=CARTESIAN_POINT('',(25.001488,4.25,20.2826805)); #228817=CARTESIAN_POINT('',(26.2212969774232,4.25,20.8375897909739)); #228818=CARTESIAN_POINT('',(25.001488,4.24,20.2826805)); #228819=CARTESIAN_POINT('',(25.001488,4.25,20.2826805)); #228820=CARTESIAN_POINT('',(25.001488,4.24,20.2826805)); #228821=CARTESIAN_POINT('Origin',(25.005672,4.25,20.2840309)); #228822=CARTESIAN_POINT('',(25.005672,4.25,20.2840309)); #228823=CARTESIAN_POINT('',(26.0546152738607,4.25,20.6225808514872)); #228824=CARTESIAN_POINT('',(25.005672,4.24,20.2840309)); #228825=CARTESIAN_POINT('',(25.005672,4.25,20.2840309)); #228826=CARTESIAN_POINT('',(25.005672,4.24,20.2840309)); #228827=CARTESIAN_POINT('Origin',(25.010094,4.25,20.2849464)); #228828=CARTESIAN_POINT('',(25.010094,4.25,20.2849464)); #228829=CARTESIAN_POINT('',(25.8568391284085,4.25,20.4602505983399)); #228830=CARTESIAN_POINT('',(25.010094,4.24,20.2849464)); #228831=CARTESIAN_POINT('',(25.010094,4.25,20.2849464)); #228832=CARTESIAN_POINT('',(25.010094,4.24,20.2849464)); #228833=CARTESIAN_POINT('Origin',(25.014755,4.25,20.2854233)); #228834=CARTESIAN_POINT('',(25.014755,4.25,20.2854233)); #228835=CARTESIAN_POINT('',(25.6420018910297,4.25,20.3496013824569)); #228836=CARTESIAN_POINT('',(25.014755,4.24,20.2854233)); #228837=CARTESIAN_POINT('',(25.014755,4.25,20.2854233)); #228838=CARTESIAN_POINT('',(25.014755,4.24,20.2854233)); #228839=CARTESIAN_POINT('Origin',(25.019514,4.25,20.2854614)); #228840=CARTESIAN_POINT('',(25.019514,4.25,20.2854614)); #228841=CARTESIAN_POINT('',(25.4286028033958,4.25,20.2887365173376)); #228842=CARTESIAN_POINT('',(25.019514,4.24,20.2854614)); #228843=CARTESIAN_POINT('',(25.019514,4.25,20.2854614)); #228844=CARTESIAN_POINT('',(25.019514,4.24,20.2854614)); #228845=CARTESIAN_POINT('Origin',(25.024012,4.25,20.2850609)); #228846=CARTESIAN_POINT('',(25.024012,4.25,20.2850609)); #228847=CARTESIAN_POINT('',(25.2006971496483,4.25,20.2693289296945)); #228848=CARTESIAN_POINT('',(25.024012,4.24,20.2850609)); #228849=CARTESIAN_POINT('',(25.024012,4.25,20.2850609)); #228850=CARTESIAN_POINT('',(25.024012,4.24,20.2850609)); #228851=CARTESIAN_POINT('Origin',(25.028307,4.25,20.2842255)); #228852=CARTESIAN_POINT('',(25.028307,4.25,20.2842255)); #228853=CARTESIAN_POINT('',(24.9581849551174,4.25,20.2978646050745)); #228854=CARTESIAN_POINT('',(25.028307,4.24,20.2842255)); #228855=CARTESIAN_POINT('',(25.028307,4.25,20.2842255)); #228856=CARTESIAN_POINT('',(25.028307,4.24,20.2842255)); #228857=CARTESIAN_POINT('Origin',(24.9974970658811,4.25,20.1893554914523)); #228858=CARTESIAN_POINT('',(25.036318,4.25,20.2812386)); #228859=CARTESIAN_POINT('Origin',(24.9974970658811,4.25,20.1893554914523)); #228860=CARTESIAN_POINT('',(25.036318,4.24,20.2812386)); #228861=CARTESIAN_POINT('',(25.036318,4.25,20.2812386)); #228862=CARTESIAN_POINT('Origin',(24.9974970658811,4.24,20.1893554914523)); #228863=CARTESIAN_POINT('Origin',(25.040049,4.25,20.279089)); #228864=CARTESIAN_POINT('',(25.040049,4.25,20.279089)); #228865=CARTESIAN_POINT('',(24.3042890557273,4.25,20.7029940056845)); #228866=CARTESIAN_POINT('',(25.040049,4.24,20.279089)); #228867=CARTESIAN_POINT('',(25.040049,4.25,20.279089)); #228868=CARTESIAN_POINT('',(25.040049,4.24,20.279089)); #228869=CARTESIAN_POINT('Origin',(24.9807174421023,4.25,20.198718626605)); #228870=CARTESIAN_POINT('',(25.04698,4.25,20.2734776)); #228871=CARTESIAN_POINT('Origin',(24.9807174421023,4.25,20.198718626605)); #228872=CARTESIAN_POINT('',(25.04698,4.24,20.2734776)); #228873=CARTESIAN_POINT('',(25.04698,4.25,20.2734776)); #228874=CARTESIAN_POINT('Origin',(24.9807174421023,4.24,20.198718626605)); #228875=CARTESIAN_POINT('Origin',(25.050102,4.25,20.2700157)); #228876=CARTESIAN_POINT('',(25.050102,4.25,20.2700157)); #228877=CARTESIAN_POINT('',(24.0420612962071,4.25,21.3878043330744)); #228878=CARTESIAN_POINT('',(25.050102,4.24,20.2700157)); #228879=CARTESIAN_POINT('',(25.050102,4.25,20.2700157)); #228880=CARTESIAN_POINT('',(25.050102,4.24,20.2700157)); #228881=CARTESIAN_POINT('Origin',(25.052801,4.25,20.266016)); #228882=CARTESIAN_POINT('',(25.052801,4.25,20.266016)); #228883=CARTESIAN_POINT('',(24.072197589737,4.25,21.7191910500282)); #228884=CARTESIAN_POINT('',(25.052801,4.24,20.266016)); #228885=CARTESIAN_POINT('',(25.052801,4.25,20.266016)); #228886=CARTESIAN_POINT('',(25.052801,4.24,20.266016)); #228887=CARTESIAN_POINT('Origin',(25.055122,4.25,20.2614365)); #228888=CARTESIAN_POINT('',(25.055122,4.25,20.2614365)); #228889=CARTESIAN_POINT('',(24.175842825573,4.25,21.9963175768168)); #228890=CARTESIAN_POINT('',(25.055122,4.24,20.2614365)); #228891=CARTESIAN_POINT('',(25.055122,4.25,20.2614365)); #228892=CARTESIAN_POINT('',(25.055122,4.24,20.2614365)); #228893=CARTESIAN_POINT('Origin',(25.057064,4.25,20.2562809)); #228894=CARTESIAN_POINT('',(25.057064,4.25,20.2562809)); #228895=CARTESIAN_POINT('',(24.3208079059751,4.25,22.2108853893672)); #228896=CARTESIAN_POINT('',(25.057064,4.24,20.2562809)); #228897=CARTESIAN_POINT('',(25.057064,4.25,20.2562809)); #228898=CARTESIAN_POINT('',(25.057064,4.24,20.2562809)); #228899=CARTESIAN_POINT('Origin',(23.9157640551605,4.25,20.0708816554446)); #228900=CARTESIAN_POINT('',(25.058458,4.25,19.8942776)); #228901=CARTESIAN_POINT('Origin',(23.9157640551605,4.25,20.0708816554446)); #228902=CARTESIAN_POINT('',(25.058458,4.24,19.8942776)); #228903=CARTESIAN_POINT('',(25.058458,4.25,19.8942776)); #228904=CARTESIAN_POINT('Origin',(23.9157640551605,4.24,20.0708816554446)); #228905=CARTESIAN_POINT('Origin',(24.9593833537843,4.25,19.9214013797827)); #228906=CARTESIAN_POINT('',(25.054869,4.25,19.8835335)); #228907=CARTESIAN_POINT('Origin',(24.9593833537843,4.25,19.9214013797827)); #228908=CARTESIAN_POINT('',(25.054869,4.24,19.8835335)); #228909=CARTESIAN_POINT('',(25.054869,4.25,19.8835335)); #228910=CARTESIAN_POINT('Origin',(24.9593833537843,4.24,19.9214013797827)); #228911=CARTESIAN_POINT('Origin',(25.052502,4.25,19.879015)); #228912=CARTESIAN_POINT('',(25.052502,4.25,19.879015)); #228913=CARTESIAN_POINT('',(26.1854666056223,4.25,22.0417951311813)); #228914=CARTESIAN_POINT('',(25.052502,4.24,19.879015)); #228915=CARTESIAN_POINT('',(25.052502,4.25,19.879015)); #228916=CARTESIAN_POINT('',(25.052502,4.24,19.879015)); #228917=CARTESIAN_POINT('Origin',(25.049761,4.25,19.8750591)); #228918=CARTESIAN_POINT('',(25.049761,4.25,19.8750591)); #228919=CARTESIAN_POINT('',(26.3710279150934,4.25,21.7819543168621)); #228920=CARTESIAN_POINT('',(25.049761,4.24,19.8750591)); #228921=CARTESIAN_POINT('',(25.049761,4.25,19.8750591)); #228922=CARTESIAN_POINT('',(25.049761,4.24,19.8750591)); #228923=CARTESIAN_POINT('Origin',(25.046591,4.25,19.8716412)); #228924=CARTESIAN_POINT('',(25.046591,4.25,19.8716412)); #228925=CARTESIAN_POINT('',(26.4992520473067,4.25,21.4379030907206)); #228926=CARTESIAN_POINT('',(25.046591,4.24,19.8716412)); #228927=CARTESIAN_POINT('',(25.046591,4.25,19.8716412)); #228928=CARTESIAN_POINT('',(25.046591,4.24,19.8716412)); #228929=CARTESIAN_POINT('Origin',(25.04319,4.25,19.8686676)); #228930=CARTESIAN_POINT('',(25.04319,4.25,19.8686676)); #228931=CARTESIAN_POINT('',(26.5290011661151,4.25,21.1677584802006)); #228932=CARTESIAN_POINT('',(25.04319,4.24,19.8686676)); #228933=CARTESIAN_POINT('',(25.04319,4.25,19.8686676)); #228934=CARTESIAN_POINT('',(25.04319,4.24,19.8686676)); #228935=CARTESIAN_POINT('Origin',(25.039618,4.25,19.8661308)); #228936=CARTESIAN_POINT('',(25.039618,4.25,19.8661308)); #228937=CARTESIAN_POINT('',(26.504153123328,4.25,20.9062295404425)); #228938=CARTESIAN_POINT('',(25.039618,4.24,19.8661308)); #228939=CARTESIAN_POINT('',(25.039618,4.25,19.8661308)); #228940=CARTESIAN_POINT('',(25.039618,4.24,19.8661308)); #228941=CARTESIAN_POINT('Origin',(24.9997043732833,4.25,19.9380111220804)); #228942=CARTESIAN_POINT('',(25.031935,4.25,19.8623734)); #228943=CARTESIAN_POINT('Origin',(24.9997043732833,4.25,19.9380111220804)); #228944=CARTESIAN_POINT('',(25.031935,4.24,19.8623734)); #228945=CARTESIAN_POINT('',(25.031935,4.25,19.8623734)); #228946=CARTESIAN_POINT('Origin',(24.9997043732833,4.24,19.9380111220804)); #228947=CARTESIAN_POINT('Origin',(25.027811,4.25,19.8611507)); #228948=CARTESIAN_POINT('',(25.027811,4.25,19.8611507)); #228949=CARTESIAN_POINT('',(26.0829492257811,4.25,20.1739822976387)); #228950=CARTESIAN_POINT('',(25.027811,4.24,19.8611507)); #228951=CARTESIAN_POINT('',(25.027811,4.25,19.8611507)); #228952=CARTESIAN_POINT('',(25.027811,4.24,19.8611507)); #228953=CARTESIAN_POINT('Origin',(25.023493,4.25,19.8603649)); #228954=CARTESIAN_POINT('',(25.023493,4.25,19.8603649)); #228955=CARTESIAN_POINT('',(25.8519708699691,4.25,20.0111332905098)); #228956=CARTESIAN_POINT('',(25.023493,4.24,19.8603649)); #228957=CARTESIAN_POINT('',(25.023493,4.25,19.8603649)); #228958=CARTESIAN_POINT('',(25.023493,4.24,19.8603649)); #228959=CARTESIAN_POINT('Origin',(25.018972,4.25,19.8600159)); #228960=CARTESIAN_POINT('',(25.018972,4.25,19.8600159)); #228961=CARTESIAN_POINT('',(25.6043887685464,4.25,19.9052073293791)); #228962=CARTESIAN_POINT('',(25.018972,4.24,19.8600159)); #228963=CARTESIAN_POINT('',(25.018972,4.25,19.8600159)); #228964=CARTESIAN_POINT('',(25.018972,4.24,19.8600159)); #228965=CARTESIAN_POINT('Origin',(25.014194,4.25,19.8601074)); #228966=CARTESIAN_POINT('',(25.014194,4.25,19.8601074)); #228967=CARTESIAN_POINT('',(25.3577558336883,4.25,19.8535280974084)); #228968=CARTESIAN_POINT('',(25.014194,4.24,19.8601074)); #228969=CARTESIAN_POINT('',(25.014194,4.25,19.8601074)); #228970=CARTESIAN_POINT('',(25.014194,4.24,19.8601074)); #228971=CARTESIAN_POINT('Origin',(25.0231326604488,4.25,19.9422642031989)); #228972=CARTESIAN_POINT('',(25.005167,4.25,19.861599)); #228973=CARTESIAN_POINT('Origin',(25.0231326604488,4.25,19.9422642031989)); #228974=CARTESIAN_POINT('',(25.005167,4.24,19.861599)); #228975=CARTESIAN_POINT('',(25.005167,4.25,19.861599)); #228976=CARTESIAN_POINT('Origin',(25.0231326604488,4.24,19.9422642031989)); #228977=CARTESIAN_POINT('Origin',(25.0376372290639,4.25,19.9539229965458)); #228978=CARTESIAN_POINT('',(24.997105,4.25,19.8648434)); #228979=CARTESIAN_POINT('Origin',(25.0376372290639,4.25,19.9539229965458)); #228980=CARTESIAN_POINT('',(24.997105,4.24,19.8648434)); #228981=CARTESIAN_POINT('',(24.997105,4.25,19.8648434)); #228982=CARTESIAN_POINT('Origin',(25.0376372290639,4.24,19.9539229965458)); #228983=CARTESIAN_POINT('Origin',(24.993446,4.25,19.8671227)); #228984=CARTESIAN_POINT('',(24.993446,4.25,19.8671227)); #228985=CARTESIAN_POINT('',(24.1322080414958,4.25,20.4036134567149)); #228986=CARTESIAN_POINT('',(24.993446,4.24,19.8671227)); #228987=CARTESIAN_POINT('',(24.993446,4.25,19.8671227)); #228988=CARTESIAN_POINT('',(24.993446,4.24,19.8671227)); #228989=CARTESIAN_POINT('Origin',(24.990046,4.25,19.8698387)); #228990=CARTESIAN_POINT('',(24.990046,4.25,19.8698387)); #228991=CARTESIAN_POINT('',(23.9864133293552,4.25,20.6715640921975)); #228992=CARTESIAN_POINT('',(24.990046,4.24,19.8698387)); #228993=CARTESIAN_POINT('',(24.990046,4.25,19.8698387)); #228994=CARTESIAN_POINT('',(24.990046,4.24,19.8698387)); #228995=CARTESIAN_POINT('Origin',(24.986904,4.25,19.8729935)); #228996=CARTESIAN_POINT('',(24.986904,4.25,19.8729935)); #228997=CARTESIAN_POINT('',(23.9076105491946,4.25,20.9566838178229)); #228998=CARTESIAN_POINT('',(24.986904,4.24,19.8729935)); #228999=CARTESIAN_POINT('',(24.986904,4.25,19.8729935)); #229000=CARTESIAN_POINT('',(24.986904,4.24,19.8729935)); #229001=CARTESIAN_POINT('Origin',(24.98398,4.25,19.8766212)); #229002=CARTESIAN_POINT('',(24.98398,4.25,19.8766212)); #229003=CARTESIAN_POINT('',(23.8930322826001,4.25,21.2301201857763)); #229004=CARTESIAN_POINT('',(24.98398,4.24,19.8766212)); #229005=CARTESIAN_POINT('',(24.98398,4.25,19.8766212)); #229006=CARTESIAN_POINT('',(24.98398,4.24,19.8766212)); #229007=CARTESIAN_POINT('Origin',(25.0493407565802,4.25,19.9168605269694)); #229008=CARTESIAN_POINT('',(24.979259,4.25,19.8855591)); #229009=CARTESIAN_POINT('Origin',(25.0493407565802,4.25,19.9168605269694)); #229010=CARTESIAN_POINT('',(24.979259,4.24,19.8855591)); #229011=CARTESIAN_POINT('',(24.979259,4.25,19.8855591)); #229012=CARTESIAN_POINT('Origin',(25.0493407565802,4.24,19.9168605269694)); #229013=CARTESIAN_POINT('Origin',(24.977484,4.25,19.8908825)); #229014=CARTESIAN_POINT('',(24.977484,4.25,19.8908825)); #229015=CARTESIAN_POINT('',(24.2520801729427,4.25,22.0664400960334)); #229016=CARTESIAN_POINT('',(24.977484,4.24,19.8908825)); #229017=CARTESIAN_POINT('',(24.977484,4.25,19.8908825)); #229018=CARTESIAN_POINT('',(24.977484,4.24,19.8908825)); #229019=CARTESIAN_POINT('Origin',(25.0774717254396,4.25,19.9167097829427)); #229020=CARTESIAN_POINT('',(24.974209,4.25,19.9178944)); #229021=CARTESIAN_POINT('Origin',(25.0774717254396,4.25,19.9167097829427)); #229022=CARTESIAN_POINT('',(24.974209,4.24,19.9178944)); #229023=CARTESIAN_POINT('',(24.974209,4.25,19.9178944)); #229024=CARTESIAN_POINT('Origin',(25.0774717254396,4.24,19.9167097829427)); #229025=CARTESIAN_POINT('Origin',(24.974203,4.25,20.0800934)); #229026=CARTESIAN_POINT('',(24.974203,4.25,20.0800934)); #229027=CARTESIAN_POINT('',(24.9741120029647,4.25,22.5400314228591)); #229028=CARTESIAN_POINT('',(24.974203,4.24,20.0800934)); #229029=CARTESIAN_POINT('',(24.974203,4.25,20.0800934)); #229030=CARTESIAN_POINT('',(24.974203,4.24,20.0800934)); #229031=CARTESIAN_POINT('Origin',(24.656755,4.25,19.9627171)); #229032=CARTESIAN_POINT('',(24.656755,4.25,19.9627171)); #229033=CARTESIAN_POINT('',(25.9788890598149,4.25,20.451575760458)); #229034=CARTESIAN_POINT('',(24.656755,4.24,19.9627171)); #229035=CARTESIAN_POINT('',(24.656755,4.25,19.9627171)); #229036=CARTESIAN_POINT('',(24.656755,4.24,19.9627171)); #229037=CARTESIAN_POINT('Origin',(24.656704,4.25,19.3946972)); #229038=CARTESIAN_POINT('',(24.656704,4.25,19.3946972)); #229039=CARTESIAN_POINT('',(24.6569556423066,4.25,22.1973999031572)); #229040=CARTESIAN_POINT('',(24.656704,4.24,19.3946972)); #229041=CARTESIAN_POINT('',(24.656704,4.25,19.3946972)); #229042=CARTESIAN_POINT('',(24.656704,4.24,19.3946972)); #229043=CARTESIAN_POINT('Origin',(24.81543,4.25,19.3349037)); #229044=CARTESIAN_POINT('',(24.81543,4.25,19.3349037)); #229045=CARTESIAN_POINT('',(24.3120966812847,4.25,19.5245138507793)); #229046=CARTESIAN_POINT('',(24.81543,4.24,19.3349037)); #229047=CARTESIAN_POINT('',(24.81543,4.25,19.3349037)); #229048=CARTESIAN_POINT('',(24.81543,4.24,19.3349037)); #229049=CARTESIAN_POINT('Origin',(24.974203,4.25,19.2752018)); #229050=CARTESIAN_POINT('',(24.974203,4.25,19.2752018)); #229051=CARTESIAN_POINT('',(24.3929631310499,4.25,19.493759895722)); #229052=CARTESIAN_POINT('',(24.974203,4.24,19.2752018)); #229053=CARTESIAN_POINT('',(24.974203,4.25,19.2752018)); #229054=CARTESIAN_POINT('',(24.974203,4.24,19.2752018)); #229055=CARTESIAN_POINT('Origin',(26.4414925729519,4.25,19.3556411826953)); #229056=CARTESIAN_POINT('',(24.975088,4.25,19.450861)); #229057=CARTESIAN_POINT('Origin',(26.4414925729519,4.25,19.3556411826953)); #229058=CARTESIAN_POINT('',(24.975088,4.24,19.450861)); #229059=CARTESIAN_POINT('',(24.975088,4.25,19.450861)); #229060=CARTESIAN_POINT('Origin',(26.4414925729519,4.24,19.3556411826953)); #229061=CARTESIAN_POINT('Origin',(25.0866703689743,4.25,19.4358661421014)); #229062=CARTESIAN_POINT('',(24.977484,4.25,19.4633217)); #229063=CARTESIAN_POINT('Origin',(25.0866703689743,4.25,19.4358661421014)); #229064=CARTESIAN_POINT('',(24.977484,4.24,19.4633217)); #229065=CARTESIAN_POINT('',(24.977484,4.25,19.4633217)); #229066=CARTESIAN_POINT('Origin',(25.0866703689743,4.24,19.4358661421014)); #229067=CARTESIAN_POINT('Origin',(24.979258,4.25,19.468668)); #229068=CARTESIAN_POINT('',(24.979258,4.25,19.468668)); #229069=CARTESIAN_POINT('',(25.8466382444043,4.25,22.0826899845928)); #229070=CARTESIAN_POINT('',(24.979258,4.24,19.468668)); #229071=CARTESIAN_POINT('',(24.979258,4.25,19.468668)); #229072=CARTESIAN_POINT('',(24.979258,4.24,19.468668)); #229073=CARTESIAN_POINT('Origin',(24.981422,4.25,19.4734268)); #229074=CARTESIAN_POINT('',(24.981422,4.25,19.4734268)); #229075=CARTESIAN_POINT('',(26.0928062797648,4.25,21.9174450581043)); #229076=CARTESIAN_POINT('',(24.981422,4.24,19.4734268)); #229077=CARTESIAN_POINT('',(24.981422,4.25,19.4734268)); #229078=CARTESIAN_POINT('',(24.981422,4.24,19.4734268)); #229079=CARTESIAN_POINT('Origin',(24.98398,4.25,19.4776001)); #229080=CARTESIAN_POINT('',(24.98398,4.25,19.4776001)); #229081=CARTESIAN_POINT('',(26.3256608074424,4.25,21.6665119505501)); #229082=CARTESIAN_POINT('',(24.98398,4.24,19.4776001)); #229083=CARTESIAN_POINT('',(24.98398,4.25,19.4776001)); #229084=CARTESIAN_POINT('',(24.98398,4.24,19.4776001)); #229085=CARTESIAN_POINT('Origin',(24.986904,4.25,19.4811783)); #229086=CARTESIAN_POINT('',(24.986904,4.25,19.4811783)); #229087=CARTESIAN_POINT('',(26.5017366627236,4.25,21.3349314579184)); #229088=CARTESIAN_POINT('',(24.986904,4.24,19.4811783)); #229089=CARTESIAN_POINT('',(24.986904,4.25,19.4811783)); #229090=CARTESIAN_POINT('',(24.986904,4.24,19.4811783)); #229091=CARTESIAN_POINT('Origin',(24.990046,4.25,19.4842319)); #229092=CARTESIAN_POINT('',(24.990046,4.25,19.4842319)); #229093=CARTESIAN_POINT('',(26.5766922985842,4.25,21.0262379908201)); #229094=CARTESIAN_POINT('',(24.990046,4.24,19.4842319)); #229095=CARTESIAN_POINT('',(24.990046,4.25,19.4842319)); #229096=CARTESIAN_POINT('',(24.990046,4.24,19.4842319)); #229097=CARTESIAN_POINT('Origin',(24.993446,4.25,19.486866)); #229098=CARTESIAN_POINT('',(24.993446,4.25,19.486866)); #229099=CARTESIAN_POINT('',(26.5800372399104,4.25,20.7160542308963)); #229100=CARTESIAN_POINT('',(24.993446,4.24,19.486866)); #229101=CARTESIAN_POINT('',(24.993446,4.25,19.486866)); #229102=CARTESIAN_POINT('',(24.993446,4.24,19.486866)); #229103=CARTESIAN_POINT('Origin',(24.997105,4.25,19.4890785)); #229104=CARTESIAN_POINT('',(24.997105,4.25,19.4890785)); #229105=CARTESIAN_POINT('',(26.5111325534436,4.25,20.4045707006003)); #229106=CARTESIAN_POINT('',(24.997105,4.24,19.4890785)); #229107=CARTESIAN_POINT('',(24.997105,4.25,19.4890785)); #229108=CARTESIAN_POINT('',(24.997105,4.24,19.4890785)); #229109=CARTESIAN_POINT('Origin',(25.001011,4.25,19.4908695)); #229110=CARTESIAN_POINT('',(25.001011,4.25,19.4908695)); #229111=CARTESIAN_POINT('',(26.374726079701,4.25,20.1207526817058)); #229112=CARTESIAN_POINT('',(25.001011,4.24,19.4908695)); #229113=CARTESIAN_POINT('',(25.001011,4.25,19.4908695)); #229114=CARTESIAN_POINT('',(25.001011,4.24,19.4908695)); #229115=CARTESIAN_POINT('Origin',(25.005167,4.25,19.4922352)); #229116=CARTESIAN_POINT('',(25.005167,4.25,19.4922352)); #229117=CARTESIAN_POINT('',(26.1806060528126,4.25,19.8784953334036)); #229118=CARTESIAN_POINT('',(25.005167,4.24,19.4922352)); #229119=CARTESIAN_POINT('',(25.005167,4.25,19.4922352)); #229120=CARTESIAN_POINT('',(25.005167,4.24,19.4922352)); #229121=CARTESIAN_POINT('Origin',(25.009562,4.25,19.4931755)); #229122=CARTESIAN_POINT('',(25.009562,4.25,19.4931755)); #229123=CARTESIAN_POINT('',(25.9507840055092,4.25,19.6945477529649)); #229124=CARTESIAN_POINT('',(25.009562,4.24,19.4931755)); #229125=CARTESIAN_POINT('',(25.009562,4.25,19.4931755)); #229126=CARTESIAN_POINT('',(25.009562,4.24,19.4931755)); #229127=CARTESIAN_POINT('Origin',(25.0203106735763,4.25,19.3991165242115)); #229128=CARTESIAN_POINT('',(25.018972,4.25,19.4937782)); #229129=CARTESIAN_POINT('Origin',(25.0203106735763,4.25,19.3991165242115)); #229130=CARTESIAN_POINT('',(25.018972,4.24,19.4937782)); #229131=CARTESIAN_POINT('',(25.018972,4.25,19.4937782)); #229132=CARTESIAN_POINT('Origin',(25.0203106735763,4.24,19.3991165242115)); #229133=CARTESIAN_POINT('Origin',(25.0117980290299,4.25,19.4004299892332)); #229134=CARTESIAN_POINT('',(25.027811,4.25,19.4926739)); #229135=CARTESIAN_POINT('Origin',(25.0117980290299,4.25,19.4004299892332)); #229136=CARTESIAN_POINT('',(25.027811,4.24,19.4926739)); #229137=CARTESIAN_POINT('',(25.027811,4.25,19.4926739)); #229138=CARTESIAN_POINT('Origin',(25.0117980290299,4.24,19.4004299892332)); #229139=CARTESIAN_POINT('Origin',(25.031935,4.25,19.4914818)); #229140=CARTESIAN_POINT('',(25.031935,4.25,19.4914818)); #229141=CARTESIAN_POINT('',(24.6515910836639,4.25,19.6014255397342)); #229142=CARTESIAN_POINT('',(25.031935,4.24,19.4914818)); #229143=CARTESIAN_POINT('',(25.031935,4.25,19.4914818)); #229144=CARTESIAN_POINT('',(25.031935,4.24,19.4914818)); #229145=CARTESIAN_POINT('Origin',(25.035868,4.25,19.4898663)); #229146=CARTESIAN_POINT('',(25.035868,4.25,19.4898663)); #229147=CARTESIAN_POINT('',(24.3944893746396,4.25,19.7533158726594)); #229148=CARTESIAN_POINT('',(25.035868,4.24,19.4898663)); #229149=CARTESIAN_POINT('',(25.035868,4.25,19.4898663)); #229150=CARTESIAN_POINT('',(25.035868,4.24,19.4898663)); #229151=CARTESIAN_POINT('Origin',(25.039618,4.25,19.4878273)); #229152=CARTESIAN_POINT('',(25.039618,4.25,19.4878273)); #229153=CARTESIAN_POINT('',(24.176430777758,4.25,19.9571709656402)); #229154=CARTESIAN_POINT('',(25.039618,4.24,19.4878273)); #229155=CARTESIAN_POINT('',(25.039618,4.25,19.4878273)); #229156=CARTESIAN_POINT('',(25.039618,4.24,19.4878273)); #229157=CARTESIAN_POINT('Origin',(25.04319,4.25,19.4853668)); #229158=CARTESIAN_POINT('',(25.04319,4.25,19.4853668)); #229159=CARTESIAN_POINT('',(24.0117008463879,4.25,20.1958872542175)); #229160=CARTESIAN_POINT('',(25.04319,4.24,19.4853668)); #229161=CARTESIAN_POINT('',(25.04319,4.25,19.4853668)); #229162=CARTESIAN_POINT('',(25.04319,4.24,19.4853668)); #229163=CARTESIAN_POINT('Origin',(25.046591,4.25,19.4824867)); #229164=CARTESIAN_POINT('',(25.046591,4.25,19.4824867)); #229165=CARTESIAN_POINT('',(23.9054361783356,4.25,20.4488612962587)); #229166=CARTESIAN_POINT('',(25.046591,4.24,19.4824867)); #229167=CARTESIAN_POINT('',(25.046591,4.25,19.4824867)); #229168=CARTESIAN_POINT('',(25.046591,4.24,19.4824867)); #229169=CARTESIAN_POINT('Origin',(25.049761,4.25,19.479147)); #229170=CARTESIAN_POINT('',(25.049761,4.25,19.479147)); #229171=CARTESIAN_POINT('',(23.8492098913241,4.25,20.7439673588772)); #229172=CARTESIAN_POINT('',(25.049761,4.24,19.479147)); #229173=CARTESIAN_POINT('',(25.049761,4.25,19.479147)); #229174=CARTESIAN_POINT('',(25.049761,4.24,19.479147)); #229175=CARTESIAN_POINT('Origin',(25.052504,4.25,19.475214)); #229176=CARTESIAN_POINT('',(23.8786795886881,4.25,21.1582805000689)); #229177=CARTESIAN_POINT('',(25.052504,4.24,19.475214)); #229178=CARTESIAN_POINT('Origin',(24.4607771889147,4.24,19.67799855)); #229179=CARTESIAN_POINT('Origin',(24.5568426344495,4.25,6.80852608032695)); #229180=CARTESIAN_POINT('',(24.988426,4.25,6.663406)); #229181=CARTESIAN_POINT('',(24.557978,4.25,6.353199)); #229182=CARTESIAN_POINT('Origin',(24.5568426344495,4.25,6.80852608032695)); #229183=CARTESIAN_POINT('',(24.988426,4.24,6.663406)); #229184=CARTESIAN_POINT('',(24.988426,4.25,6.663406)); #229185=CARTESIAN_POINT('',(24.557978,4.24,6.353199)); #229186=CARTESIAN_POINT('Origin',(24.5568426344495,4.24,6.80852608032695)); #229187=CARTESIAN_POINT('',(24.557978,4.25,6.353199)); #229188=CARTESIAN_POINT('Origin',(23.8718393550736,4.25,6.89630963256048)); #229189=CARTESIAN_POINT('',(25.000004,4.25,7.0644)); #229190=CARTESIAN_POINT('Origin',(23.8718393550736,4.25,6.89630963256048)); #229191=CARTESIAN_POINT('',(25.000004,4.24,7.0644)); #229192=CARTESIAN_POINT('',(25.000004,4.25,7.0644)); #229193=CARTESIAN_POINT('Origin',(23.8718393550736,4.24,6.89630963256048)); #229194=CARTESIAN_POINT('Origin',(23.965252,4.25,7.0644)); #229195=CARTESIAN_POINT('',(23.965252,4.25,7.0644)); #229196=CARTESIAN_POINT('',(24.882626,4.25,7.0644)); #229197=CARTESIAN_POINT('',(23.965252,4.24,7.0644)); #229198=CARTESIAN_POINT('',(23.965252,4.25,7.0644)); #229199=CARTESIAN_POINT('',(23.965252,4.24,7.0644)); #229200=CARTESIAN_POINT('Origin',(25.3277779380402,4.25,6.90072834349437)); #229201=CARTESIAN_POINT('',(23.977219,4.25,6.657303)); #229202=CARTESIAN_POINT('Origin',(25.3277779380402,4.25,6.90072834349437)); #229203=CARTESIAN_POINT('',(23.977219,4.24,6.657303)); #229204=CARTESIAN_POINT('',(23.977219,4.25,6.657303)); #229205=CARTESIAN_POINT('Origin',(25.3277779380402,4.24,6.90072834349437)); #229206=CARTESIAN_POINT('Origin',(24.4456842353105,4.25,6.84534811662187)); #229207=CARTESIAN_POINT('Origin',(24.4456842353105,4.25,6.84534811662187)); #229208=CARTESIAN_POINT('Origin',(24.4456842353105,4.24,6.84534811662187)); #229209=CARTESIAN_POINT('Origin',(23.959869,4.25,7.257042)); #229210=CARTESIAN_POINT('',(23.959869,4.25,7.257042)); #229211=CARTESIAN_POINT('',(23.957701,4.25,7.261814)); #229212=CARTESIAN_POINT('',(20.7763931447021,4.25,14.2642130246674)); #229213=CARTESIAN_POINT('',(23.959869,4.24,7.257042)); #229214=CARTESIAN_POINT('',(23.959869,4.25,7.257042)); #229215=CARTESIAN_POINT('',(23.957701,4.24,7.261814)); #229216=CARTESIAN_POINT('',(23.959869,4.24,7.257042)); #229217=CARTESIAN_POINT('',(23.957701,4.25,7.261814)); #229218=CARTESIAN_POINT('Origin',(23.8680983222162,4.25,7.22620718738423)); #229219=CARTESIAN_POINT('',(23.964025,4.25,7.239273)); #229220=CARTESIAN_POINT('Origin',(23.8680983222162,4.25,7.22620718738423)); #229221=CARTESIAN_POINT('',(23.964025,4.24,7.239273)); #229222=CARTESIAN_POINT('',(23.964025,4.25,7.239273)); #229223=CARTESIAN_POINT('Origin',(23.8680983222162,4.24,7.22620718738423)); #229224=CARTESIAN_POINT('Origin',(23.964647,4.25,7.232201)); #229225=CARTESIAN_POINT('',(23.964647,4.25,7.232201)); #229226=CARTESIAN_POINT('',(23.1963281829874,4.25,15.9678130159531)); #229227=CARTESIAN_POINT('',(23.964647,4.24,7.232201)); #229228=CARTESIAN_POINT('',(23.964647,4.25,7.232201)); #229229=CARTESIAN_POINT('',(23.964647,4.24,7.232201)); #229230=CARTESIAN_POINT('Origin',(23.964897,4.25,7.224548)); #229231=CARTESIAN_POINT('',(23.964897,4.25,7.224548)); #229232=CARTESIAN_POINT('',(23.675849890041,4.25,16.0728581300811)); #229233=CARTESIAN_POINT('',(23.964897,4.24,7.224548)); #229234=CARTESIAN_POINT('',(23.964897,4.25,7.224548)); #229235=CARTESIAN_POINT('',(23.964897,4.24,7.224548)); #229236=CARTESIAN_POINT('Origin',(23.964905,4.25,7.151226)); #229237=CARTESIAN_POINT('',(23.964905,4.25,7.151226)); #229238=CARTESIAN_POINT('',(23.9639312909596,4.25,16.0755127821981)); #229239=CARTESIAN_POINT('',(23.964905,4.24,7.151226)); #229240=CARTESIAN_POINT('',(23.964905,4.25,7.151226)); #229241=CARTESIAN_POINT('',(23.964905,4.24,7.151226)); #229242=CARTESIAN_POINT('Origin',(25.000004,4.25,7.151199)); #229243=CARTESIAN_POINT('',(25.000004,4.25,7.151199)); #229244=CARTESIAN_POINT('',(25.3997692115469,4.25,7.15118857233973)); #229245=CARTESIAN_POINT('',(25.000004,4.24,7.151199)); #229246=CARTESIAN_POINT('',(25.000004,4.25,7.151199)); #229247=CARTESIAN_POINT('',(25.000004,4.24,7.151199)); #229248=CARTESIAN_POINT('Origin',(25.9446479378852,4.25,7.18563044603011)); #229249=CARTESIAN_POINT('',(25.0009,4.25,7.239273)); #229250=CARTESIAN_POINT('Origin',(25.9446479378852,4.25,7.18563044603011)); #229251=CARTESIAN_POINT('',(25.0009,4.24,7.239273)); #229252=CARTESIAN_POINT('',(25.0009,4.25,7.239273)); #229253=CARTESIAN_POINT('Origin',(25.9446479378852,4.24,7.18563044603011)); #229254=CARTESIAN_POINT('Origin',(25.001917,4.25,7.24577)); #229255=CARTESIAN_POINT('',(25.001917,4.25,7.24577)); #229256=CARTESIAN_POINT('',(26.3677951785634,4.25,15.9715423953979)); #229257=CARTESIAN_POINT('',(25.001917,4.24,7.24577)); #229258=CARTESIAN_POINT('',(25.001917,4.25,7.24577)); #229259=CARTESIAN_POINT('',(25.001917,4.24,7.24577)); #229260=CARTESIAN_POINT('Origin',(25.003319,4.25,7.251694)); #229261=CARTESIAN_POINT('',(25.003319,4.25,7.251694)); #229262=CARTESIAN_POINT('',(27.0132499801131,4.25,15.7444408802969)); #229263=CARTESIAN_POINT('',(25.003319,4.24,7.251694)); #229264=CARTESIAN_POINT('',(25.003319,4.25,7.251694)); #229265=CARTESIAN_POINT('',(25.003319,4.24,7.251694)); #229266=CARTESIAN_POINT('Origin',(25.00511,4.25,7.257038)); #229267=CARTESIAN_POINT('',(25.00511,4.25,7.257038)); #229268=CARTESIAN_POINT('',(27.7182214379262,4.25,15.3524414194751)); #229269=CARTESIAN_POINT('',(25.00511,4.24,7.257038)); #229270=CARTESIAN_POINT('',(25.00511,4.25,7.257038)); #229271=CARTESIAN_POINT('',(25.00511,4.24,7.257038)); #229272=CARTESIAN_POINT('Origin',(25.007294,4.25,7.261814)); #229273=CARTESIAN_POINT('',(25.007294,4.25,7.261814)); #229274=CARTESIAN_POINT('',(28.4301377477557,4.25,14.7469338439906)); #229275=CARTESIAN_POINT('',(25.007294,4.24,7.261814)); #229276=CARTESIAN_POINT('',(25.007294,4.25,7.261814)); #229277=CARTESIAN_POINT('',(25.007294,4.24,7.261814)); #229278=CARTESIAN_POINT('Origin',(25.009876,4.25,7.266006)); #229279=CARTESIAN_POINT('',(25.009876,4.25,7.266006)); #229280=CARTESIAN_POINT('',(29.0779267809624,4.25,13.8706802346291)); #229281=CARTESIAN_POINT('',(25.009876,4.24,7.266006)); #229282=CARTESIAN_POINT('',(25.009876,4.25,7.266006)); #229283=CARTESIAN_POINT('',(25.009876,4.24,7.266006)); #229284=CARTESIAN_POINT('Origin',(25.072416308739,4.25,7.21539970843807)); #229285=CARTESIAN_POINT('',(25.015985,4.25,7.272739)); #229286=CARTESIAN_POINT('Origin',(25.072416308739,4.25,7.21539970843807)); #229287=CARTESIAN_POINT('',(25.015985,4.24,7.272739)); #229288=CARTESIAN_POINT('',(25.015985,4.25,7.272739)); #229289=CARTESIAN_POINT('Origin',(25.072416308739,4.24,7.21539970843807)); #229290=CARTESIAN_POINT('Origin',(25.019411,4.25,7.275425)); #229291=CARTESIAN_POINT('',(25.019411,4.25,7.275425)); #229292=CARTESIAN_POINT('',(29.5642403675499,4.25,10.8385924492793)); #229293=CARTESIAN_POINT('',(25.019411,4.24,7.275425)); #229294=CARTESIAN_POINT('',(25.019411,4.25,7.275425)); #229295=CARTESIAN_POINT('',(25.019411,4.24,7.275425)); #229296=CARTESIAN_POINT('Origin',(25.023096,4.25,7.277676)); #229297=CARTESIAN_POINT('',(25.023096,4.25,7.277676)); #229298=CARTESIAN_POINT('',(29.2479530796128,4.25,9.85845029747778)); #229299=CARTESIAN_POINT('',(25.023096,4.24,7.277676)); #229300=CARTESIAN_POINT('',(25.023096,4.25,7.277676)); #229301=CARTESIAN_POINT('',(25.023096,4.24,7.277676)); #229302=CARTESIAN_POINT('Origin',(25.027033,4.25,7.279491)); #229303=CARTESIAN_POINT('',(25.027033,4.25,7.279491)); #229304=CARTESIAN_POINT('',(28.7144911659749,4.25,8.97944948901336)); #229305=CARTESIAN_POINT('',(25.027033,4.24,7.279491)); #229306=CARTESIAN_POINT('',(25.027033,4.25,7.279491)); #229307=CARTESIAN_POINT('',(25.027033,4.24,7.279491)); #229308=CARTESIAN_POINT('Origin',(25.031219,4.25,7.280869)); #229309=CARTESIAN_POINT('',(25.031219,4.25,7.280869)); #229310=CARTESIAN_POINT('',(28.0093762767831,4.25,8.26125617807109)); #229311=CARTESIAN_POINT('',(25.031219,4.24,7.280869)); #229312=CARTESIAN_POINT('',(25.031219,4.25,7.280869)); #229313=CARTESIAN_POINT('',(25.031219,4.24,7.280869)); #229314=CARTESIAN_POINT('Origin',(25.035646,4.25,7.281807)); #229315=CARTESIAN_POINT('',(25.035646,4.25,7.281807)); #229316=CARTESIAN_POINT('',(27.1978339615445,4.25,7.73993492137581)); #229317=CARTESIAN_POINT('',(25.035646,4.24,7.281807)); #229318=CARTESIAN_POINT('',(25.035646,4.25,7.281807)); #229319=CARTESIAN_POINT('',(25.035646,4.24,7.281807)); #229320=CARTESIAN_POINT('Origin',(25.040314,4.25,7.282307)); #229321=CARTESIAN_POINT('',(25.040314,4.25,7.282307)); #229322=CARTESIAN_POINT('',(26.3539763196648,4.25,7.42301633158321)); #229323=CARTESIAN_POINT('',(25.040314,4.24,7.282307)); #229324=CARTESIAN_POINT('',(25.040314,4.25,7.282307)); #229325=CARTESIAN_POINT('',(25.040314,4.24,7.282307)); #229326=CARTESIAN_POINT('Origin',(25.045099,4.25,7.282368)); #229327=CARTESIAN_POINT('',(25.045099,4.25,7.282368)); #229328=CARTESIAN_POINT('',(25.5354035222217,4.25,7.28861848607199)); #229329=CARTESIAN_POINT('',(25.045099,4.24,7.282368)); #229330=CARTESIAN_POINT('',(25.045099,4.25,7.282368)); #229331=CARTESIAN_POINT('',(25.045099,4.24,7.282368)); #229332=CARTESIAN_POINT('Origin',(25.049623,4.25,7.28199)); #229333=CARTESIAN_POINT('',(25.049623,4.25,7.28199)); #229334=CARTESIAN_POINT('',(24.6871335195068,4.25,7.31227758258735)); #229335=CARTESIAN_POINT('',(25.049623,4.24,7.28199)); #229336=CARTESIAN_POINT('',(25.049623,4.25,7.28199)); #229337=CARTESIAN_POINT('',(25.049623,4.24,7.28199)); #229338=CARTESIAN_POINT('Origin',(25.053946,4.25,7.281166)); #229339=CARTESIAN_POINT('',(25.053946,4.25,7.281166)); #229340=CARTESIAN_POINT('',(23.7844178605114,4.25,7.52314869418012)); #229341=CARTESIAN_POINT('',(25.053946,4.24,7.281166)); #229342=CARTESIAN_POINT('',(25.053946,4.25,7.281166)); #229343=CARTESIAN_POINT('',(25.053946,4.24,7.281166)); #229344=CARTESIAN_POINT('Origin',(25.058069,4.25,7.279911)); #229345=CARTESIAN_POINT('',(25.058069,4.25,7.279911)); #229346=CARTESIAN_POINT('',(22.9293575781888,4.25,7.92786948517561)); #229347=CARTESIAN_POINT('',(25.058069,4.24,7.279911)); #229348=CARTESIAN_POINT('',(25.058069,4.25,7.279911)); #229349=CARTESIAN_POINT('',(25.058069,4.24,7.279911)); #229350=CARTESIAN_POINT('Origin',(25.062002,4.25,7.278214)); #229351=CARTESIAN_POINT('',(25.062002,4.25,7.278214)); #229352=CARTESIAN_POINT('',(22.1498839366158,4.25,8.53472667570509)); #229353=CARTESIAN_POINT('',(25.062002,4.24,7.278214)); #229354=CARTESIAN_POINT('',(25.062002,4.25,7.278214)); #229355=CARTESIAN_POINT('',(25.062002,4.24,7.278214)); #229356=CARTESIAN_POINT('Origin',(25.065752,4.25,7.276085)); #229357=CARTESIAN_POINT('',(25.065752,4.25,7.276085)); #229358=CARTESIAN_POINT('',(21.5385417497192,4.25,9.27859983275896)); #229359=CARTESIAN_POINT('',(25.065752,4.24,7.276085)); #229360=CARTESIAN_POINT('',(25.065752,4.25,7.276085)); #229361=CARTESIAN_POINT('',(25.065752,4.24,7.276085)); #229362=CARTESIAN_POINT('Origin',(25.0202289360478,4.25,7.21198335490435)); #229363=CARTESIAN_POINT('',(25.072721,4.25,7.270515)); #229364=CARTESIAN_POINT('Origin',(25.0202289360478,4.25,7.21198335490435)); #229365=CARTESIAN_POINT('',(25.072721,4.24,7.270515)); #229366=CARTESIAN_POINT('',(25.072721,4.25,7.270515)); #229367=CARTESIAN_POINT('Origin',(25.0202289360478,4.24,7.21198335490435)); #229368=CARTESIAN_POINT('Origin',(25.0219964438795,4.25,7.22490341609627)); #229369=CARTESIAN_POINT('',(25.078575,4.25,7.263012)); #229370=CARTESIAN_POINT('Origin',(25.0219964438795,4.25,7.22490341609627)); #229371=CARTESIAN_POINT('',(25.078575,4.24,7.263012)); #229372=CARTESIAN_POINT('',(25.078575,4.25,7.263012)); #229373=CARTESIAN_POINT('Origin',(25.0219964438795,4.24,7.22490341609627)); #229374=CARTESIAN_POINT('Origin',(25.08091,4.25,7.2584)); #229375=CARTESIAN_POINT('',(25.08091,4.25,7.2584)); #229376=CARTESIAN_POINT('',(21.5794202263006,4.25,14.1744046408071)); #229377=CARTESIAN_POINT('',(25.08091,4.24,7.2584)); #229378=CARTESIAN_POINT('',(25.08091,4.25,7.2584)); #229379=CARTESIAN_POINT('',(25.08091,4.24,7.2584)); #229380=CARTESIAN_POINT('Origin',(25.082861,4.25,7.253208)); #229381=CARTESIAN_POINT('',(25.082861,4.25,7.253208)); #229382=CARTESIAN_POINT('',(22.2054348935431,4.25,14.9106125847116)); #229383=CARTESIAN_POINT('',(25.082861,4.24,7.253208)); #229384=CARTESIAN_POINT('',(25.082861,4.25,7.253208)); #229385=CARTESIAN_POINT('',(25.082861,4.24,7.253208)); #229386=CARTESIAN_POINT('Origin',(25.084425,4.25,7.247444)); #229387=CARTESIAN_POINT('',(25.084425,4.25,7.247444)); #229388=CARTESIAN_POINT('',(22.8656416534453,4.25,15.424596947255)); #229389=CARTESIAN_POINT('',(25.084425,4.24,7.247444)); #229390=CARTESIAN_POINT('',(25.084425,4.25,7.247444)); #229391=CARTESIAN_POINT('',(25.084425,4.24,7.247444)); #229392=CARTESIAN_POINT('Origin',(25.085598,4.25,7.241104)); #229393=CARTESIAN_POINT('',(25.085598,4.25,7.241104)); #229394=CARTESIAN_POINT('',(23.5089570912479,4.25,15.7627607446417)); #229395=CARTESIAN_POINT('',(25.085598,4.24,7.241104)); #229396=CARTESIAN_POINT('',(25.085598,4.25,7.241104)); #229397=CARTESIAN_POINT('',(25.085598,4.24,7.241104)); #229398=CARTESIAN_POINT('Origin',(23.1173853088558,4.25,7.01218576145487)); #229399=CARTESIAN_POINT('',(25.086803,4.25,6.793877)); #229400=CARTESIAN_POINT('Origin',(23.1173853088558,4.25,7.01218576145487)); #229401=CARTESIAN_POINT('',(25.086803,4.24,6.793877)); #229402=CARTESIAN_POINT('',(25.086803,4.25,6.793877)); #229403=CARTESIAN_POINT('Origin',(23.1173853088558,4.24,7.01218576145487)); #229404=CARTESIAN_POINT('Origin',(24.5409414652002,4.25,6.79896831895275)); #229405=CARTESIAN_POINT('',(24.882776,4.25,6.373363)); #229406=CARTESIAN_POINT('Origin',(24.5409414652002,4.25,6.79896831895275)); #229407=CARTESIAN_POINT('',(24.882776,4.24,6.373363)); #229408=CARTESIAN_POINT('',(24.882776,4.25,6.373363)); #229409=CARTESIAN_POINT('Origin',(24.5409414652002,4.24,6.79896831895275)); #229410=CARTESIAN_POINT('Origin',(24.5423467415311,4.25,6.80025260994953)); #229411=CARTESIAN_POINT('',(24.427773,4.25,6.266399)); #229412=CARTESIAN_POINT('Origin',(24.5423467415311,4.25,6.80025260994953)); #229413=CARTESIAN_POINT('',(24.427773,4.24,6.266399)); #229414=CARTESIAN_POINT('',(24.427773,4.25,6.266399)); #229415=CARTESIAN_POINT('Origin',(24.5423467415311,4.24,6.80025260994953)); #229416=CARTESIAN_POINT('Origin',(24.4066500286943,4.25,6.80198908606172)); #229417=CARTESIAN_POINT('',(23.883244,4.25,6.686451)); #229418=CARTESIAN_POINT('Origin',(24.4066500286943,4.25,6.80198908606172)); #229419=CARTESIAN_POINT('',(23.883244,4.24,6.686451)); #229420=CARTESIAN_POINT('',(23.883244,4.25,6.686451)); #229421=CARTESIAN_POINT('Origin',(24.4066500286943,4.24,6.80198908606172)); #229422=CARTESIAN_POINT('Origin',(27.0504137892763,4.25,6.98592481724222)); #229423=CARTESIAN_POINT('',(23.878241,4.25,7.226692)); #229424=CARTESIAN_POINT('Origin',(27.0504137892763,4.25,6.98592481724222)); #229425=CARTESIAN_POINT('',(23.878241,4.24,7.226692)); #229426=CARTESIAN_POINT('',(23.878241,4.25,7.226692)); #229427=CARTESIAN_POINT('Origin',(27.0504137892763,4.24,6.98592481724222)); #229428=CARTESIAN_POINT('Origin',(24.012975704701,4.25,7.22298389655546)); #229429=CARTESIAN_POINT('',(23.880428,4.25,7.247444)); #229430=CARTESIAN_POINT('Origin',(24.012975704701,4.25,7.22298389655546)); #229431=CARTESIAN_POINT('',(23.880428,4.24,7.247444)); #229432=CARTESIAN_POINT('',(23.880428,4.25,7.247444)); #229433=CARTESIAN_POINT('Origin',(24.012975704701,4.24,7.22298389655546)); #229434=CARTESIAN_POINT('Origin',(23.881927,4.25,7.253208)); #229435=CARTESIAN_POINT('',(23.881927,4.25,7.253208)); #229436=CARTESIAN_POINT('',(26.1041328826746,4.25,15.7981010672053)); #229437=CARTESIAN_POINT('',(23.881927,4.24,7.253208)); #229438=CARTESIAN_POINT('',(23.881927,4.25,7.253208)); #229439=CARTESIAN_POINT('',(23.881927,4.24,7.253208)); #229440=CARTESIAN_POINT('Origin',(23.883821,4.25,7.2584)); #229441=CARTESIAN_POINT('',(23.883821,4.25,7.2584)); #229442=CARTESIAN_POINT('',(26.8522901257544,4.25,15.3958296203437)); #229443=CARTESIAN_POINT('',(23.883821,4.24,7.2584)); #229444=CARTESIAN_POINT('',(23.883821,4.25,7.2584)); #229445=CARTESIAN_POINT('',(23.883821,4.24,7.2584)); #229446=CARTESIAN_POINT('Origin',(23.886122,4.25,7.263012)); #229447=CARTESIAN_POINT('',(23.886122,4.25,7.263012)); #229448=CARTESIAN_POINT('',(27.6196212387658,4.25,14.7462360283145)); #229449=CARTESIAN_POINT('',(23.886122,4.24,7.263012)); #229450=CARTESIAN_POINT('',(23.886122,4.25,7.263012)); #229451=CARTESIAN_POINT('',(23.886122,4.24,7.263012)); #229452=CARTESIAN_POINT('Origin',(23.888832,4.25,7.267052)); #229453=CARTESIAN_POINT('',(23.888832,4.25,7.267052)); #229454=CARTESIAN_POINT('',(28.2872486281143,4.25,13.8241011430241)); #229455=CARTESIAN_POINT('',(23.888832,4.24,7.267052)); #229456=CARTESIAN_POINT('',(23.888832,4.25,7.267052)); #229457=CARTESIAN_POINT('',(23.888832,4.24,7.267052)); #229458=CARTESIAN_POINT('Origin',(23.9518182282074,4.25,7.21268425866029)); #229459=CARTESIAN_POINT('',(23.895048,4.25,7.273514)); #229460=CARTESIAN_POINT('Origin',(23.9518182282074,4.25,7.21268425866029)); #229461=CARTESIAN_POINT('',(23.895048,4.24,7.273514)); #229462=CARTESIAN_POINT('',(23.895048,4.25,7.273514)); #229463=CARTESIAN_POINT('Origin',(23.9518182282074,4.24,7.21268425866029)); #229464=CARTESIAN_POINT('Origin',(23.9421903204698,4.25,7.20896546462694)); #229465=CARTESIAN_POINT('',(23.902271,4.25,7.278214)); #229466=CARTESIAN_POINT('Origin',(23.9421903204698,4.25,7.20896546462694)); #229467=CARTESIAN_POINT('',(23.902271,4.24,7.278214)); #229468=CARTESIAN_POINT('',(23.902271,4.25,7.278214)); #229469=CARTESIAN_POINT('Origin',(23.9421903204698,4.24,7.20896546462694)); #229470=CARTESIAN_POINT('Origin',(23.906277,4.25,7.279907)); #229471=CARTESIAN_POINT('',(23.906277,4.25,7.279907)); #229472=CARTESIAN_POINT('',(27.8866285009625,4.25,8.96206753198537)); #229473=CARTESIAN_POINT('',(23.906277,4.24,7.279907)); #229474=CARTESIAN_POINT('',(23.906277,4.25,7.279907)); #229475=CARTESIAN_POINT('',(23.906277,4.24,7.279907)); #229476=CARTESIAN_POINT('Origin',(23.91054,4.25,7.281166)); #229477=CARTESIAN_POINT('',(23.91054,4.25,7.281166)); #229478=CARTESIAN_POINT('',(27.1860459552388,4.25,8.24852748197019)); #229479=CARTESIAN_POINT('',(23.91054,4.24,7.281166)); #229480=CARTESIAN_POINT('',(23.91054,4.25,7.281166)); #229481=CARTESIAN_POINT('',(23.91054,4.24,7.281166)); #229482=CARTESIAN_POINT('Origin',(23.915052,4.25,7.281986)); #229483=CARTESIAN_POINT('',(23.915052,4.25,7.281986)); #229484=CARTESIAN_POINT('',(26.3859310161158,4.25,7.73103759424034)); #229485=CARTESIAN_POINT('',(23.915052,4.24,7.281986)); #229486=CARTESIAN_POINT('',(23.915052,4.25,7.281986)); #229487=CARTESIAN_POINT('',(23.915052,4.24,7.281986)); #229488=CARTESIAN_POINT('Origin',(23.919813,4.25,7.282368)); #229489=CARTESIAN_POINT('',(23.919813,4.25,7.282368)); #229490=CARTESIAN_POINT('',(25.5601358719616,4.25,7.41397970701261)); #229491=CARTESIAN_POINT('',(23.919813,4.24,7.282368)); #229492=CARTESIAN_POINT('',(23.919813,4.25,7.282368)); #229493=CARTESIAN_POINT('',(23.919813,4.24,7.282368)); #229494=CARTESIAN_POINT('Origin',(23.924696,4.25,7.282307)); #229495=CARTESIAN_POINT('',(23.924696,4.25,7.282307)); #229496=CARTESIAN_POINT('',(24.7515514146253,4.25,7.27197765732328)); #229497=CARTESIAN_POINT('',(23.924696,4.24,7.282307)); #229498=CARTESIAN_POINT('',(23.924696,4.25,7.282307)); #229499=CARTESIAN_POINT('',(23.924696,4.24,7.282307)); #229500=CARTESIAN_POINT('Origin',(23.929361,4.25,7.281807)); #229501=CARTESIAN_POINT('',(23.929361,4.25,7.281807)); #229502=CARTESIAN_POINT('',(23.915313843008,4.25,7.28331259024566)); #229503=CARTESIAN_POINT('',(23.929361,4.24,7.281807)); #229504=CARTESIAN_POINT('',(23.929361,4.25,7.281807)); #229505=CARTESIAN_POINT('',(23.929361,4.24,7.281807)); #229506=CARTESIAN_POINT('Origin',(23.933788,4.25,7.280869)); #229507=CARTESIAN_POINT('',(23.933788,4.25,7.280869)); #229508=CARTESIAN_POINT('',(23.0302768465581,4.25,7.47230642081079)); #229509=CARTESIAN_POINT('',(23.933788,4.24,7.280869)); #229510=CARTESIAN_POINT('',(23.933788,4.25,7.280869)); #229511=CARTESIAN_POINT('',(23.933788,4.24,7.280869)); #229512=CARTESIAN_POINT('Origin',(23.937973,4.25,7.279491)); #229513=CARTESIAN_POINT('',(23.937973,4.25,7.279491)); #229514=CARTESIAN_POINT('',(22.1458598682696,4.25,7.86958225341042)); #229515=CARTESIAN_POINT('',(23.937973,4.24,7.279491)); #229516=CARTESIAN_POINT('',(23.937973,4.25,7.279491)); #229517=CARTESIAN_POINT('',(23.937973,4.24,7.279491)); #229518=CARTESIAN_POINT('Origin',(23.941912,4.25,7.277676)); #229519=CARTESIAN_POINT('',(23.941912,4.25,7.277676)); #229520=CARTESIAN_POINT('',(21.3402997960898,4.25,8.47643866821576)); #229521=CARTESIAN_POINT('',(23.941912,4.24,7.277676)); #229522=CARTESIAN_POINT('',(23.941912,4.25,7.277676)); #229523=CARTESIAN_POINT('',(23.941912,4.24,7.277676)); #229524=CARTESIAN_POINT('Origin',(23.945595,4.25,7.275425)); #229525=CARTESIAN_POINT('',(23.945595,4.25,7.275425)); #229526=CARTESIAN_POINT('',(20.677194424766,4.25,9.27302741511044)); #229527=CARTESIAN_POINT('',(23.945595,4.24,7.275425)); #229528=CARTESIAN_POINT('',(23.945595,4.25,7.275425)); #229529=CARTESIAN_POINT('',(23.945595,4.24,7.275425)); #229530=CARTESIAN_POINT('Origin',(23.949022,4.25,7.272743)); #229531=CARTESIAN_POINT('',(23.949022,4.25,7.272743)); #229532=CARTESIAN_POINT('',(20.2210518733879,4.25,10.1902848382185)); #229533=CARTESIAN_POINT('',(23.949022,4.24,7.272743)); #229534=CARTESIAN_POINT('',(23.949022,4.25,7.272743)); #229535=CARTESIAN_POINT('',(23.949022,4.24,7.272743)); #229536=CARTESIAN_POINT('Origin',(23.952187,4.25,7.269623)); #229537=CARTESIAN_POINT('',(23.952187,4.25,7.269623)); #229538=CARTESIAN_POINT('',(19.9886151870308,4.25,11.1768407113635)); #229539=CARTESIAN_POINT('',(23.952187,4.24,7.269623)); #229540=CARTESIAN_POINT('',(23.952187,4.25,7.269623)); #229541=CARTESIAN_POINT('',(23.952187,4.24,7.269623)); #229542=CARTESIAN_POINT('Origin',(23.95513,4.25,7.266006)); #229543=CARTESIAN_POINT('',(23.95513,4.25,7.266006)); #229544=CARTESIAN_POINT('',(19.981689433659,4.25,12.1494360130608)); #229545=CARTESIAN_POINT('',(23.95513,4.24,7.266006)); #229546=CARTESIAN_POINT('',(23.95513,4.25,7.266006)); #229547=CARTESIAN_POINT('',(23.95513,4.24,7.266006)); #229548=CARTESIAN_POINT('Origin',(23.957701,4.25,7.261814)); #229549=CARTESIAN_POINT('',(20.2567815047775,4.25,13.2961409249272)); #229550=CARTESIAN_POINT('',(23.957701,4.24,7.261814)); #229551=CARTESIAN_POINT('Origin',(24.4839913946821,4.24,6.76830535121725)); #229552=CARTESIAN_POINT('Origin',(17.628803,4.25,8.640797)); #229553=CARTESIAN_POINT('',(17.628803,4.25,8.640797)); #229554=CARTESIAN_POINT('',(17.628803,4.25,8.84869)); #229555=CARTESIAN_POINT('',(17.628803,4.25,16.8203985)); #229556=CARTESIAN_POINT('',(17.628803,4.24,8.640797)); #229557=CARTESIAN_POINT('',(17.628803,4.25,8.640797)); #229558=CARTESIAN_POINT('',(17.628803,4.24,8.84869)); #229559=CARTESIAN_POINT('',(17.628803,4.24,8.640797)); #229560=CARTESIAN_POINT('',(17.628803,4.25,8.84869)); #229561=CARTESIAN_POINT('Origin',(17.558828,4.25,8.6408)); #229562=CARTESIAN_POINT('',(17.558828,4.25,8.6408)); #229563=CARTESIAN_POINT('',(21.6790633128985,4.25,8.64062335539925)); #229564=CARTESIAN_POINT('',(17.558828,4.24,8.6408)); #229565=CARTESIAN_POINT('',(17.558828,4.25,8.6408)); #229566=CARTESIAN_POINT('',(17.558828,4.24,8.6408)); #229567=CARTESIAN_POINT('Origin',(16.152763,4.25,8.6409)); #229568=CARTESIAN_POINT('',(16.152763,4.25,8.6409)); #229569=CARTESIAN_POINT('',(20.9757997421853,4.25,8.64055698337259)); #229570=CARTESIAN_POINT('',(16.152763,4.24,8.6409)); #229571=CARTESIAN_POINT('',(16.152763,4.25,8.6409)); #229572=CARTESIAN_POINT('',(16.152763,4.24,8.6409)); #229573=CARTESIAN_POINT('Origin',(16.152004,4.25,8.641846)); #229574=CARTESIAN_POINT('',(16.152004,4.25,8.641846)); #229575=CARTESIAN_POINT('',(14.0488874054445,4.25,11.2631217555346)); #229576=CARTESIAN_POINT('',(16.152004,4.24,8.641846)); #229577=CARTESIAN_POINT('',(16.152004,4.25,8.641846)); #229578=CARTESIAN_POINT('',(16.152004,4.24,8.641846)); #229579=CARTESIAN_POINT('Origin',(16.152004,4.25,8.84795)); #229580=CARTESIAN_POINT('',(16.152004,4.25,8.84795)); #229581=CARTESIAN_POINT('',(16.152004,4.25,16.923975)); #229582=CARTESIAN_POINT('',(16.152004,4.24,8.84795)); #229583=CARTESIAN_POINT('',(16.152004,4.25,8.84795)); #229584=CARTESIAN_POINT('',(16.152004,4.24,8.84795)); #229585=CARTESIAN_POINT('Origin',(16.155018,4.25,8.84890000000001)); #229586=CARTESIAN_POINT('',(16.155018,4.25,8.84890000000001)); #229587=CARTESIAN_POINT('',(22.8570519627263,4.25,10.9613526425674)); #229588=CARTESIAN_POINT('',(16.155018,4.24,8.84890000000001)); #229589=CARTESIAN_POINT('',(16.155018,4.25,8.84890000000001)); #229590=CARTESIAN_POINT('',(16.155018,4.24,8.84890000000001)); #229591=CARTESIAN_POINT('Origin',(16.8801863178484,4.25,-73.431794486216)); #229592=CARTESIAN_POINT('Origin',(16.8801863178484,4.25,-73.431794486216)); #229593=CARTESIAN_POINT('Origin',(16.8801863178484,4.24,-73.431794486216)); #229594=CARTESIAN_POINT('Origin',(16.8904035,4.24,8.74644625899183)); #229595=CARTESIAN_POINT('Origin',(12.2053735488867,4.25,20.7234894409089)); #229596=CARTESIAN_POINT('',(12.241199,4.25,20.9776421)); #229597=CARTESIAN_POINT('',(12.187024,4.25,20.9794979)); #229598=CARTESIAN_POINT('Origin',(12.2053735488867,4.25,20.7234894409089)); #229599=CARTESIAN_POINT('',(12.241199,4.24,20.9776421)); #229600=CARTESIAN_POINT('',(12.241199,4.25,20.9776421)); #229601=CARTESIAN_POINT('',(12.187024,4.24,20.9794979)); #229602=CARTESIAN_POINT('Origin',(12.2053735488867,4.24,20.7234894409089)); #229603=CARTESIAN_POINT('',(12.187024,4.25,20.9794979)); #229604=CARTESIAN_POINT('Origin',(12.2232970534781,4.25,20.8909385105419)); #229605=CARTESIAN_POINT('',(12.2525,4.25,20.9745159)); #229606=CARTESIAN_POINT('Origin',(12.2232970534781,4.25,20.8909385105419)); #229607=CARTESIAN_POINT('',(12.2525,4.24,20.9745159)); #229608=CARTESIAN_POINT('',(12.2525,4.25,20.9745159)); #229609=CARTESIAN_POINT('Origin',(12.2232970534781,4.24,20.8909385105419)); #229610=CARTESIAN_POINT('Origin',(12.257277,4.25,20.9723663)); #229611=CARTESIAN_POINT('',(12.257277,4.25,20.9723663)); #229612=CARTESIAN_POINT('',(17.134791296952,4.25,18.777535918438)); #229613=CARTESIAN_POINT('',(12.257277,4.24,20.9723663)); #229614=CARTESIAN_POINT('',(12.257277,4.25,20.9723663)); #229615=CARTESIAN_POINT('',(12.257277,4.24,20.9723663)); #229616=CARTESIAN_POINT('Origin',(12.261478,4.25,20.96982)); #229617=CARTESIAN_POINT('',(12.261478,4.25,20.96982)); #229618=CARTESIAN_POINT('',(16.3187886603407,4.25,18.5106126364125)); #229619=CARTESIAN_POINT('',(12.261478,4.24,20.96982)); #229620=CARTESIAN_POINT('',(12.261478,4.25,20.96982)); #229621=CARTESIAN_POINT('',(12.261478,4.24,20.96982)); #229622=CARTESIAN_POINT('Origin',(12.265075,4.25,20.966898)); #229623=CARTESIAN_POINT('',(12.265075,4.25,20.966898)); #229624=CARTESIAN_POINT('',(15.3552150672846,4.25,18.4566424324153)); #229625=CARTESIAN_POINT('',(12.265075,4.24,20.966898)); #229626=CARTESIAN_POINT('',(12.265075,4.25,20.966898)); #229627=CARTESIAN_POINT('',(12.265075,4.24,20.966898)); #229628=CARTESIAN_POINT('Origin',(12.268104,4.25,20.9637566)); #229629=CARTESIAN_POINT('',(12.268104,4.25,20.9637566)); #229630=CARTESIAN_POINT('',(14.5194789676145,4.25,18.6288377081979)); #229631=CARTESIAN_POINT('',(12.268104,4.24,20.9637566)); #229632=CARTESIAN_POINT('',(12.268104,4.25,20.9637566)); #229633=CARTESIAN_POINT('',(12.268104,4.24,20.9637566)); #229634=CARTESIAN_POINT('Origin',(12.270727,4.25,20.9603539)); #229635=CARTESIAN_POINT('',(12.270727,4.25,20.9603539)); #229636=CARTESIAN_POINT('',(13.8154942874768,4.25,18.9563967329796)); #229637=CARTESIAN_POINT('',(12.270727,4.24,20.9603539)); #229638=CARTESIAN_POINT('',(12.270727,4.25,20.9603539)); #229639=CARTESIAN_POINT('',(12.270727,4.24,20.9603539)); #229640=CARTESIAN_POINT('Origin',(12.272941,4.25,20.9566975)); #229641=CARTESIAN_POINT('',(12.272941,4.25,20.9566975)); #229642=CARTESIAN_POINT('',(13.1917510053442,4.25,19.4392914911743)); #229643=CARTESIAN_POINT('',(12.272941,4.24,20.9566975)); #229644=CARTESIAN_POINT('',(12.272941,4.25,20.9566975)); #229645=CARTESIAN_POINT('',(12.272941,4.24,20.9566975)); #229646=CARTESIAN_POINT('Origin',(12.274738,4.25,20.9527893)); #229647=CARTESIAN_POINT('',(12.274738,4.25,20.9527893)); #229648=CARTESIAN_POINT('',(12.6868873549185,4.25,20.0564275254362)); #229649=CARTESIAN_POINT('',(12.274738,4.24,20.9527893)); #229650=CARTESIAN_POINT('',(12.274738,4.25,20.9527893)); #229651=CARTESIAN_POINT('',(12.274738,4.24,20.9527893)); #229652=CARTESIAN_POINT('Origin',(12.276117,4.25,20.9486351)); #229653=CARTESIAN_POINT('',(12.276117,4.25,20.9486351)); #229654=CARTESIAN_POINT('',(12.341588831462,4.25,20.7514029881362)); #229655=CARTESIAN_POINT('',(12.276117,4.24,20.9486351)); #229656=CARTESIAN_POINT('',(12.276117,4.25,20.9486351)); #229657=CARTESIAN_POINT('',(12.276117,4.24,20.9486351)); #229658=CARTESIAN_POINT('Origin',(12.277071,4.25,20.9442387)); #229659=CARTESIAN_POINT('',(12.277071,4.25,20.9442387)); #229660=CARTESIAN_POINT('',(12.160878783744,4.25,21.479697252984)); #229661=CARTESIAN_POINT('',(12.277071,4.24,20.9442387)); #229662=CARTESIAN_POINT('',(12.277071,4.25,20.9442387)); #229663=CARTESIAN_POINT('',(12.277071,4.24,20.9442387)); #229664=CARTESIAN_POINT('Origin',(12.277595,4.25,20.9396076)); #229665=CARTESIAN_POINT('',(12.277595,4.25,20.9396076)); #229666=CARTESIAN_POINT('',(12.1362519618307,4.25,22.1887941344783)); #229667=CARTESIAN_POINT('',(12.277595,4.24,20.9396076)); #229668=CARTESIAN_POINT('',(12.277595,4.25,20.9396076)); #229669=CARTESIAN_POINT('',(12.277595,4.24,20.9396076)); #229670=CARTESIAN_POINT('Origin',(12.277685,4.25,20.9347515)); #229671=CARTESIAN_POINT('',(12.277685,4.25,20.9347515)); #229672=CARTESIAN_POINT('',(12.2423480846678,4.25,22.8414136616215)); #229673=CARTESIAN_POINT('',(12.277685,4.24,20.9347515)); #229674=CARTESIAN_POINT('',(12.277685,4.25,20.9347515)); #229675=CARTESIAN_POINT('',(12.277685,4.24,20.9347515)); #229676=CARTESIAN_POINT('Origin',(12.277338,4.25,20.9299946)); #229677=CARTESIAN_POINT('',(12.277338,4.25,20.9299946)); #229678=CARTESIAN_POINT('',(12.4607869965931,4.25,23.4448318677167)); #229679=CARTESIAN_POINT('',(12.277338,4.24,20.9299946)); #229680=CARTESIAN_POINT('',(12.277338,4.25,20.9299946)); #229681=CARTESIAN_POINT('',(12.277338,4.24,20.9299946)); #229682=CARTESIAN_POINT('Origin',(12.276561,4.25,20.9254818)); #229683=CARTESIAN_POINT('',(12.276561,4.25,20.9254818)); #229684=CARTESIAN_POINT('',(12.8119100704122,4.25,24.0347781770328)); #229685=CARTESIAN_POINT('',(12.276561,4.24,20.9254818)); #229686=CARTESIAN_POINT('',(12.276561,4.25,20.9254818)); #229687=CARTESIAN_POINT('',(12.276561,4.24,20.9254818)); #229688=CARTESIAN_POINT('Origin',(12.275357,4.25,20.9212151)); #229689=CARTESIAN_POINT('',(12.275357,4.25,20.9212151)); #229690=CARTESIAN_POINT('',(13.3071566544673,4.25,24.5776765499332)); #229691=CARTESIAN_POINT('',(12.275357,4.24,20.9212151)); #229692=CARTESIAN_POINT('',(12.275357,4.25,20.9212151)); #229693=CARTESIAN_POINT('',(12.275357,4.24,20.9212151)); #229694=CARTESIAN_POINT('Origin',(12.273731,4.25,20.9172039)); #229695=CARTESIAN_POINT('',(12.273731,4.25,20.9172039)); #229696=CARTESIAN_POINT('',(13.9389376776714,4.25,25.0251233498587)); #229697=CARTESIAN_POINT('',(12.273731,4.24,20.9172039)); #229698=CARTESIAN_POINT('',(12.273731,4.25,20.9172039)); #229699=CARTESIAN_POINT('',(12.273731,4.24,20.9172039)); #229700=CARTESIAN_POINT('Origin',(12.271688,4.25,20.9134502)); #229701=CARTESIAN_POINT('',(12.271688,4.25,20.9134502)); #229702=CARTESIAN_POINT('',(14.6754210281783,4.25,25.3299419610769)); #229703=CARTESIAN_POINT('',(12.271688,4.24,20.9134502)); #229704=CARTESIAN_POINT('',(12.271688,4.25,20.9134502)); #229705=CARTESIAN_POINT('',(12.271688,4.24,20.9134502)); #229706=CARTESIAN_POINT('Origin',(12.269234,4.25,20.9099598)); #229707=CARTESIAN_POINT('',(12.269234,4.25,20.9099598)); #229708=CARTESIAN_POINT('',(15.4693655756554,4.25,25.4616057868189)); #229709=CARTESIAN_POINT('',(12.269234,4.24,20.9099598)); #229710=CARTESIAN_POINT('',(12.269234,4.25,20.9099598)); #229711=CARTESIAN_POINT('',(12.269234,4.24,20.9099598)); #229712=CARTESIAN_POINT('Origin',(12.266371,4.25,20.9067383)); #229713=CARTESIAN_POINT('',(12.266371,4.25,20.9067383)); #229714=CARTESIAN_POINT('',(16.2686904211417,4.25,25.4102213650438)); #229715=CARTESIAN_POINT('',(12.266371,4.24,20.9067383)); #229716=CARTESIAN_POINT('',(12.266371,4.25,20.9067383)); #229717=CARTESIAN_POINT('',(12.266371,4.24,20.9067383)); #229718=CARTESIAN_POINT('Origin',(12.263041,4.25,20.9037304)); #229719=CARTESIAN_POINT('',(12.263041,4.25,20.9037304)); #229720=CARTESIAN_POINT('',(17.0091682952805,4.25,25.1907803373778)); #229721=CARTESIAN_POINT('',(12.263041,4.24,20.9037304)); #229722=CARTESIAN_POINT('',(12.263041,4.25,20.9037304)); #229723=CARTESIAN_POINT('',(12.263041,4.24,20.9037304)); #229724=CARTESIAN_POINT('Origin',(12.259078,4.25,20.9009857)); #229725=CARTESIAN_POINT('',(12.259078,4.25,20.9009857)); #229726=CARTESIAN_POINT('',(17.7940399952075,4.25,24.7343973044009)); #229727=CARTESIAN_POINT('',(12.259078,4.24,20.9009857)); #229728=CARTESIAN_POINT('',(12.259078,4.25,20.9009857)); #229729=CARTESIAN_POINT('',(12.259078,4.24,20.9009857)); #229730=CARTESIAN_POINT('Origin',(12.254538,4.25,20.8986511)); #229731=CARTESIAN_POINT('',(12.254538,4.25,20.8986511)); #229732=CARTESIAN_POINT('',(18.4448671468604,4.25,24.0818983304559)); #229733=CARTESIAN_POINT('',(12.254538,4.24,20.8986511)); #229734=CARTESIAN_POINT('',(12.254538,4.25,20.8986511)); #229735=CARTESIAN_POINT('',(12.254538,4.24,20.8986511)); #229736=CARTESIAN_POINT('Origin',(12.249418,4.25,20.896719)); #229737=CARTESIAN_POINT('',(12.249418,4.25,20.896719)); #229738=CARTESIAN_POINT('',(18.8578604557723,4.25,23.3905025290599)); #229739=CARTESIAN_POINT('',(12.249418,4.24,20.896719)); #229740=CARTESIAN_POINT('',(12.249418,4.25,20.896719)); #229741=CARTESIAN_POINT('',(12.249418,4.24,20.896719)); #229742=CARTESIAN_POINT('Origin',(12.243717,4.25,20.8951817)); #229743=CARTESIAN_POINT('',(12.243717,4.25,20.8951817)); #229744=CARTESIAN_POINT('',(19.0783309141189,4.25,22.7381657326568)); #229745=CARTESIAN_POINT('',(12.243717,4.24,20.8951817)); #229746=CARTESIAN_POINT('',(12.243717,4.25,20.8951817)); #229747=CARTESIAN_POINT('',(12.243717,4.24,20.8951817)); #229748=CARTESIAN_POINT('Origin',(12.0557547105636,4.25,22.4657842596487)); #229749=CARTESIAN_POINT('',(11.878662,4.25,20.893919)); #229750=CARTESIAN_POINT('Origin',(12.0557547105636,4.25,22.4657842596487)); #229751=CARTESIAN_POINT('',(11.878662,4.24,20.893919)); #229752=CARTESIAN_POINT('',(11.878662,4.25,20.893919)); #229753=CARTESIAN_POINT('Origin',(12.0557547105636,4.24,22.4657842596487)); #229754=CARTESIAN_POINT('Origin',(11.872355,4.25,20.8950253)); #229755=CARTESIAN_POINT('',(11.872355,4.25,20.8950253)); #229756=CARTESIAN_POINT('',(18.279033151362,4.25,19.771240927264)); #229757=CARTESIAN_POINT('',(11.872355,4.24,20.8950253)); #229758=CARTESIAN_POINT('',(11.872355,4.25,20.8950253)); #229759=CARTESIAN_POINT('',(11.872355,4.24,20.8950253)); #229760=CARTESIAN_POINT('Origin',(11.866622,4.25,20.8965187)); #229761=CARTESIAN_POINT('',(11.866622,4.25,20.8965187)); #229762=CARTESIAN_POINT('',(17.8901186662508,4.25,19.3274466746409)); #229763=CARTESIAN_POINT('',(11.866622,4.24,20.8965187)); #229764=CARTESIAN_POINT('',(11.866622,4.25,20.8965187)); #229765=CARTESIAN_POINT('',(11.866622,4.24,20.8965187)); #229766=CARTESIAN_POINT('Origin',(11.861465,4.25,20.8984051)); #229767=CARTESIAN_POINT('',(11.861465,4.25,20.8984051)); #229768=CARTESIAN_POINT('',(17.3466201384649,4.25,18.8919678975189)); #229769=CARTESIAN_POINT('',(11.861465,4.24,20.8984051)); #229770=CARTESIAN_POINT('',(11.861465,4.25,20.8984051)); #229771=CARTESIAN_POINT('',(11.861465,4.24,20.8984051)); #229772=CARTESIAN_POINT('Origin',(11.856886,4.25,20.900692)); #229773=CARTESIAN_POINT('',(11.856886,4.25,20.900692)); #229774=CARTESIAN_POINT('',(16.6173622578306,4.25,18.5231569150401)); #229775=CARTESIAN_POINT('',(11.856886,4.24,20.900692)); #229776=CARTESIAN_POINT('',(11.856886,4.25,20.900692)); #229777=CARTESIAN_POINT('',(11.856886,4.24,20.900692)); #229778=CARTESIAN_POINT('Origin',(11.852885,4.25,20.9033871)); #229779=CARTESIAN_POINT('',(11.852885,4.25,20.9033871)); #229780=CARTESIAN_POINT('',(15.7007410488191,4.25,18.3114458760113)); #229781=CARTESIAN_POINT('',(11.852885,4.24,20.9033871)); #229782=CARTESIAN_POINT('',(11.852885,4.25,20.9033871)); #229783=CARTESIAN_POINT('',(11.852885,4.24,20.9033871)); #229784=CARTESIAN_POINT('Origin',(11.849424,4.25,20.9063759)); #229785=CARTESIAN_POINT('',(11.849424,4.25,20.9063759)); #229786=CARTESIAN_POINT('',(14.832523492316,4.25,18.3302742638735)); #229787=CARTESIAN_POINT('',(11.849424,4.24,20.9063759)); #229788=CARTESIAN_POINT('',(11.849424,4.25,20.9063759)); #229789=CARTESIAN_POINT('',(11.849424,4.24,20.9063759)); #229790=CARTESIAN_POINT('Origin',(11.8464,4.25,20.9095669)); #229791=CARTESIAN_POINT('',(11.8464,4.25,20.9095669)); #229792=CARTESIAN_POINT('',(14.1263326839797,4.25,18.5037252351279)); #229793=CARTESIAN_POINT('',(11.8464,4.24,20.9095669)); #229794=CARTESIAN_POINT('',(11.8464,4.25,20.9095669)); #229795=CARTESIAN_POINT('',(11.8464,4.24,20.9095669)); #229796=CARTESIAN_POINT('Origin',(11.843813,4.25,20.9130249)); #229797=CARTESIAN_POINT('',(11.843813,4.25,20.9130249)); #229798=CARTESIAN_POINT('',(13.3676810892158,4.25,18.8760956953183)); #229799=CARTESIAN_POINT('',(11.843813,4.24,20.9130249)); #229800=CARTESIAN_POINT('',(11.843813,4.25,20.9130249)); #229801=CARTESIAN_POINT('',(11.843813,4.24,20.9130249)); #229802=CARTESIAN_POINT('Origin',(11.841662,4.25,20.916748)); #229803=CARTESIAN_POINT('',(11.841662,4.25,20.916748)); #229804=CARTESIAN_POINT('',(12.7038891727139,4.25,19.4243454027292)); #229805=CARTESIAN_POINT('',(11.841662,4.24,20.916748)); #229806=CARTESIAN_POINT('',(11.841662,4.25,20.916748)); #229807=CARTESIAN_POINT('',(11.841662,4.24,20.916748)); #229808=CARTESIAN_POINT('Origin',(11.839951,4.25,20.9207306)); #229809=CARTESIAN_POINT('',(11.839951,4.25,20.9207306)); #229810=CARTESIAN_POINT('',(12.1878014483059,4.25,20.1110583642178)); #229811=CARTESIAN_POINT('',(11.839951,4.24,20.9207306)); #229812=CARTESIAN_POINT('',(11.839951,4.25,20.9207306)); #229813=CARTESIAN_POINT('',(11.839951,4.24,20.9207306)); #229814=CARTESIAN_POINT('Origin',(11.838676,4.25,20.9249649)); #229815=CARTESIAN_POINT('',(11.838676,4.25,20.9249649)); #229816=CARTESIAN_POINT('',(11.8564682702185,4.25,20.8658764217364)); #229817=CARTESIAN_POINT('',(11.838676,4.24,20.9249649)); #229818=CARTESIAN_POINT('',(11.838676,4.25,20.9249649)); #229819=CARTESIAN_POINT('',(11.838676,4.24,20.9249649)); #229820=CARTESIAN_POINT('Origin',(11.83784,4.25,20.929451)); #229821=CARTESIAN_POINT('',(11.83784,4.25,20.929451)); #229822=CARTESIAN_POINT('',(11.705588535127,4.25,21.6391319767547)); #229823=CARTESIAN_POINT('',(11.83784,4.24,20.929451)); #229824=CARTESIAN_POINT('',(11.83784,4.25,20.929451)); #229825=CARTESIAN_POINT('',(11.83784,4.24,20.929451)); #229826=CARTESIAN_POINT('Origin',(11.83744,4.25,20.9341812)); #229827=CARTESIAN_POINT('',(11.83744,4.25,20.9341812)); #229828=CARTESIAN_POINT('',(11.7163196269915,4.25,22.3664901710105)); #229829=CARTESIAN_POINT('',(11.83744,4.24,20.9341812)); #229830=CARTESIAN_POINT('',(11.83744,4.25,20.9341812)); #229831=CARTESIAN_POINT('',(11.83744,4.24,20.9341812)); #229832=CARTESIAN_POINT('Origin',(11.83748,4.25,20.9390469)); #229833=CARTESIAN_POINT('',(11.83748,4.25,20.9390469)); #229834=CARTESIAN_POINT('',(11.8546428096829,4.25,23.0267739766737)); #229835=CARTESIAN_POINT('',(11.83748,4.24,20.9390469)); #229836=CARTESIAN_POINT('',(11.83748,4.25,20.9390469)); #229837=CARTESIAN_POINT('',(11.83748,4.24,20.9390469)); #229838=CARTESIAN_POINT('Origin',(11.837955,4.25,20.9437065)); #229839=CARTESIAN_POINT('',(11.837955,4.25,20.9437065)); #229840=CARTESIAN_POINT('',(12.1143771752634,4.25,23.6553207481218)); #229841=CARTESIAN_POINT('',(11.837955,4.24,20.9437065)); #229842=CARTESIAN_POINT('',(11.837955,4.25,20.9437065)); #229843=CARTESIAN_POINT('',(11.837955,4.24,20.9437065)); #229844=CARTESIAN_POINT('Origin',(11.838869,4.25,20.9481297)); #229845=CARTESIAN_POINT('',(11.838869,4.25,20.9481297)); #229846=CARTESIAN_POINT('',(12.5262186112495,4.25,24.2744806852118)); #229847=CARTESIAN_POINT('',(11.838869,4.24,20.9481297)); #229848=CARTESIAN_POINT('',(11.838869,4.25,20.9481297)); #229849=CARTESIAN_POINT('',(11.838869,4.24,20.9481297)); #229850=CARTESIAN_POINT('Origin',(11.840221,4.25,20.9523125)); #229851=CARTESIAN_POINT('',(11.840221,4.25,20.9523125)); #229852=CARTESIAN_POINT('',(13.0927592905024,4.25,24.8273991579202)); #229853=CARTESIAN_POINT('',(11.840221,4.24,20.9523125)); #229854=CARTESIAN_POINT('',(11.840221,4.25,20.9523125)); #229855=CARTESIAN_POINT('',(11.840221,4.24,20.9523125)); #229856=CARTESIAN_POINT('Origin',(11.84201,4.25,20.9562492)); #229857=CARTESIAN_POINT('',(11.84201,4.25,20.9562492)); #229858=CARTESIAN_POINT('',(13.7981414364033,4.25,25.2607224396287)); #229859=CARTESIAN_POINT('',(11.84201,4.24,20.9562492)); #229860=CARTESIAN_POINT('',(11.84201,4.25,20.9562492)); #229861=CARTESIAN_POINT('',(11.84201,4.24,20.9562492)); #229862=CARTESIAN_POINT('Origin',(11.844237,4.25,20.959938)); #229863=CARTESIAN_POINT('',(11.844237,4.25,20.959938)); #229864=CARTESIAN_POINT('',(14.6019305799449,4.25,25.5277781785834)); #229865=CARTESIAN_POINT('',(11.844237,4.24,20.959938)); #229866=CARTESIAN_POINT('',(11.844237,4.25,20.959938)); #229867=CARTESIAN_POINT('',(11.844237,4.24,20.959938)); #229868=CARTESIAN_POINT('Origin',(11.846902,4.25,20.9633694)); #229869=CARTESIAN_POINT('',(11.846902,4.25,20.9633694)); #229870=CARTESIAN_POINT('',(15.4495316312439,4.25,25.6020423143104)); #229871=CARTESIAN_POINT('',(11.846902,4.24,20.9633694)); #229872=CARTESIAN_POINT('',(11.846902,4.25,20.9633694)); #229873=CARTESIAN_POINT('',(11.846902,4.24,20.9633694)); #229874=CARTESIAN_POINT('Origin',(11.9057375315579,4.25,20.9061640691952)); #229875=CARTESIAN_POINT('',(11.853549,4.25,20.969492)); #229876=CARTESIAN_POINT('Origin',(11.9057375315579,4.25,20.9061640691952)); #229877=CARTESIAN_POINT('',(11.853549,4.24,20.969492)); #229878=CARTESIAN_POINT('',(11.853549,4.25,20.969492)); #229879=CARTESIAN_POINT('Origin',(11.9057375315579,4.24,20.9061640691952)); #229880=CARTESIAN_POINT('Origin',(11.857652,4.25,20.9720879)); #229881=CARTESIAN_POINT('',(11.857652,4.25,20.9720879)); #229882=CARTESIAN_POINT('',(17.7459898791702,4.25,24.6975415438071)); #229883=CARTESIAN_POINT('',(11.857652,4.24,20.9720879)); #229884=CARTESIAN_POINT('',(11.857652,4.25,20.9720879)); #229885=CARTESIAN_POINT('',(11.857652,4.24,20.9720879)); #229886=CARTESIAN_POINT('Origin',(11.862333,4.25,20.9742832)); #229887=CARTESIAN_POINT('',(11.862333,4.25,20.9742832)); #229888=CARTESIAN_POINT('',(18.348557964159,4.25,24.0161993853921)); #229889=CARTESIAN_POINT('',(11.862333,4.24,20.9742832)); #229890=CARTESIAN_POINT('',(11.862333,4.25,20.9742832)); #229891=CARTESIAN_POINT('',(11.862333,4.24,20.9742832)); #229892=CARTESIAN_POINT('Origin',(11.867592,4.25,20.9760857)); #229893=CARTESIAN_POINT('',(11.867592,4.25,20.9760857)); #229894=CARTESIAN_POINT('',(18.7185695293695,4.25,23.3242292627855)); #229895=CARTESIAN_POINT('',(11.867592,4.24,20.9760857)); #229896=CARTESIAN_POINT('',(11.867592,4.25,20.9760857)); #229897=CARTESIAN_POINT('',(11.867592,4.24,20.9760857)); #229898=CARTESIAN_POINT('Origin',(11.8983152757749,4.25,20.8543214124082)); #229899=CARTESIAN_POINT('',(11.879835,4.25,20.9785347)); #229900=CARTESIAN_POINT('Origin',(11.8983152757749,4.25,20.8543214124082)); #229901=CARTESIAN_POINT('',(11.879835,4.24,20.9785347)); #229902=CARTESIAN_POINT('',(11.879835,4.25,20.9785347)); #229903=CARTESIAN_POINT('Origin',(11.8983152757749,4.24,20.8543214124082)); #229904=CARTESIAN_POINT('Origin',(11.886817,4.25,20.9791927)); #229905=CARTESIAN_POINT('',(11.886817,4.25,20.9791927)); #229906=CARTESIAN_POINT('',(18.9699638335826,4.25,21.6467250140215)); #229907=CARTESIAN_POINT('',(11.886817,4.24,20.9791927)); #229908=CARTESIAN_POINT('',(11.886817,4.25,20.9791927)); #229909=CARTESIAN_POINT('',(11.886817,4.24,20.9791927)); #229910=CARTESIAN_POINT('Origin',(11.9981711234428,4.25,18.1479945419598)); #229911=CARTESIAN_POINT('',(12.101474,4.25,20.9794979)); #229912=CARTESIAN_POINT('Origin',(11.9981711234428,4.25,18.1479945419598)); #229913=CARTESIAN_POINT('',(12.101474,4.24,20.9794979)); #229914=CARTESIAN_POINT('',(12.101474,4.25,20.9794979)); #229915=CARTESIAN_POINT('Origin',(11.9981711234428,4.24,18.1479945419598)); #229916=CARTESIAN_POINT('Origin',(-13.7024419882889,4.25,18.6485234165384)); #229917=CARTESIAN_POINT('',(11.988643,4.25,22.0016823)); #229918=CARTESIAN_POINT('Origin',(-13.7024419882889,4.25,18.6485234165384)); #229919=CARTESIAN_POINT('',(11.988643,4.24,22.0016823)); #229920=CARTESIAN_POINT('',(11.988643,4.25,22.0016823)); #229921=CARTESIAN_POINT('Origin',(-13.7024419882889,4.24,18.6485234165384)); #229922=CARTESIAN_POINT('Origin',(11.750759,4.25,21.1600475)); #229923=CARTESIAN_POINT('',(11.750759,4.25,21.1600475)); #229924=CARTESIAN_POINT('',(12.7729544307413,4.25,24.7765801247786)); #229925=CARTESIAN_POINT('',(11.750759,4.24,21.1600475)); #229926=CARTESIAN_POINT('',(11.750759,4.25,21.1600475)); #229927=CARTESIAN_POINT('',(11.750759,4.24,21.1600475)); #229928=CARTESIAN_POINT('Origin',(11.749706,4.25,21.1594982)); #229929=CARTESIAN_POINT('',(11.749706,4.25,21.1594982)); #229930=CARTESIAN_POINT('',(18.0595216236143,4.25,24.4510288002297)); #229931=CARTESIAN_POINT('',(11.749706,4.24,21.1594982)); #229932=CARTESIAN_POINT('',(11.749706,4.25,21.1594982)); #229933=CARTESIAN_POINT('',(11.749706,4.24,21.1594982)); #229934=CARTESIAN_POINT('Origin',(11.617326,4.25,21.1594982)); #229935=CARTESIAN_POINT('',(11.617326,4.25,21.1594982)); #229936=CARTESIAN_POINT('',(18.708663,4.25,21.1594982)); #229937=CARTESIAN_POINT('',(11.617326,4.24,21.1594982)); #229938=CARTESIAN_POINT('',(11.617326,4.25,21.1594982)); #229939=CARTESIAN_POINT('',(11.617326,4.24,21.1594982)); #229940=CARTESIAN_POINT('Origin',(11.383404,4.25,22.0018978)); #229941=CARTESIAN_POINT('',(11.383404,4.25,22.0018978)); #229942=CARTESIAN_POINT('',(11.5129741068948,4.25,21.5352901007663)); #229943=CARTESIAN_POINT('',(11.383404,4.24,22.0018978)); #229944=CARTESIAN_POINT('',(11.383404,4.25,22.0018978)); #229945=CARTESIAN_POINT('',(11.383404,4.24,22.0018978)); #229946=CARTESIAN_POINT('Origin',(11.268738,4.25,20.9794979)); #229947=CARTESIAN_POINT('',(11.268738,4.25,20.9794979)); #229948=CARTESIAN_POINT('',(11.5816497797129,4.25,23.7695226003235)); #229949=CARTESIAN_POINT('',(11.268738,4.24,20.9794979)); #229950=CARTESIAN_POINT('',(11.268738,4.25,20.9794979)); #229951=CARTESIAN_POINT('',(11.268738,4.24,20.9794979)); #229952=CARTESIAN_POINT('Origin',(11.3745004843843,4.25,20.1808484625128)); #229953=CARTESIAN_POINT('',(11.501707,4.25,20.9763641)); #229954=CARTESIAN_POINT('Origin',(11.3745004843843,4.25,20.1808484625128)); #229955=CARTESIAN_POINT('',(11.501707,4.24,20.9763641)); #229956=CARTESIAN_POINT('',(11.501707,4.25,20.9763641)); #229957=CARTESIAN_POINT('Origin',(11.3745004843843,4.24,20.1808484625128)); #229958=CARTESIAN_POINT('Origin',(11.4780077409643,4.25,20.8982874240601)); #229959=CARTESIAN_POINT('',(11.511913,4.25,20.9725037)); #229960=CARTESIAN_POINT('Origin',(11.4780077409643,4.25,20.8982874240601)); #229961=CARTESIAN_POINT('',(11.511913,4.24,20.9725037)); #229962=CARTESIAN_POINT('',(11.511913,4.25,20.9725037)); #229963=CARTESIAN_POINT('Origin',(11.4780077409643,4.24,20.8982874240601)); #229964=CARTESIAN_POINT('Origin',(11.516148,4.25,20.9699802)); #229965=CARTESIAN_POINT('',(11.516148,4.25,20.9699802)); #229966=CARTESIAN_POINT('',(15.9006428361566,4.25,18.3574010455622)); #229967=CARTESIAN_POINT('',(11.516148,4.24,20.9699802)); #229968=CARTESIAN_POINT('',(11.516148,4.25,20.9699802)); #229969=CARTESIAN_POINT('',(11.516148,4.24,20.9699802)); #229970=CARTESIAN_POINT('Origin',(11.519784,4.25,20.9670734)); #229971=CARTESIAN_POINT('',(11.519784,4.25,20.9670734)); #229972=CARTESIAN_POINT('',(14.8923533067862,4.25,18.2708730531989)); #229973=CARTESIAN_POINT('',(11.519784,4.24,20.9670734)); #229974=CARTESIAN_POINT('',(11.519784,4.25,20.9670734)); #229975=CARTESIAN_POINT('',(11.519784,4.24,20.9670734)); #229976=CARTESIAN_POINT('Origin',(11.522837,4.25,20.9639492)); #229977=CARTESIAN_POINT('',(11.522837,4.25,20.9639492)); #229978=CARTESIAN_POINT('',(14.0011129172977,4.25,18.427876608837)); #229979=CARTESIAN_POINT('',(11.522837,4.24,20.9639492)); #229980=CARTESIAN_POINT('',(11.522837,4.25,20.9639492)); #229981=CARTESIAN_POINT('',(11.522837,4.24,20.9639492)); #229982=CARTESIAN_POINT('Origin',(11.525484,4.25,20.9605618)); #229983=CARTESIAN_POINT('',(11.525484,4.25,20.9605618)); #229984=CARTESIAN_POINT('',(13.251479280775,4.25,18.7517834040449)); #229985=CARTESIAN_POINT('',(11.525484,4.24,20.9605618)); #229986=CARTESIAN_POINT('',(11.525484,4.25,20.9605618)); #229987=CARTESIAN_POINT('',(11.525484,4.24,20.9605618)); #229988=CARTESIAN_POINT('Origin',(11.4617499186966,4.25,20.9213545796347)); #229989=CARTESIAN_POINT('',(11.529545,4.25,20.9530258)); #229990=CARTESIAN_POINT('Origin',(11.4617499186966,4.25,20.9213545796347)); #229991=CARTESIAN_POINT('',(11.529545,4.24,20.9530258)); #229992=CARTESIAN_POINT('',(11.529545,4.25,20.9530258)); #229993=CARTESIAN_POINT('Origin',(11.4617499186966,4.24,20.9213545796347)); #229994=CARTESIAN_POINT('Origin',(11.4567684321753,4.25,20.9280899881532)); #229995=CARTESIAN_POINT('',(11.531927,4.25,20.9445038)); #229996=CARTESIAN_POINT('Origin',(11.4567684321753,4.25,20.9280899881532)); #229997=CARTESIAN_POINT('',(11.531927,4.24,20.9445038)); #229998=CARTESIAN_POINT('',(11.531927,4.25,20.9445038)); #229999=CARTESIAN_POINT('Origin',(11.4567684321753,4.24,20.9280899881532)); #230000=CARTESIAN_POINT('Origin',(11.532476,4.25,20.9398861)); #230001=CARTESIAN_POINT('',(11.532476,4.25,20.9398861)); #230002=CARTESIAN_POINT('',(11.3939154797143,4.25,22.1053340317348)); #230003=CARTESIAN_POINT('',(11.532476,4.24,20.9398861)); #230004=CARTESIAN_POINT('',(11.532476,4.25,20.9398861)); #230005=CARTESIAN_POINT('',(11.532476,4.24,20.9398861)); #230006=CARTESIAN_POINT('Origin',(11.532591,4.25,20.9350414)); #230007=CARTESIAN_POINT('',(11.532591,4.25,20.9350414)); #230008=CARTESIAN_POINT('',(11.488389921543,4.25,22.7971367460862)); #230009=CARTESIAN_POINT('',(11.532591,4.24,20.9350414)); #230010=CARTESIAN_POINT('',(11.532591,4.25,20.9350414)); #230011=CARTESIAN_POINT('',(11.532591,4.24,20.9350414)); #230012=CARTESIAN_POINT('Origin',(11.532271,4.25,20.9302673)); #230013=CARTESIAN_POINT('',(11.532271,4.25,20.9302673)); #230014=CARTESIAN_POINT('',(11.6999623262411,4.25,23.4320646769139)); #230015=CARTESIAN_POINT('',(11.532271,4.24,20.9302673)); #230016=CARTESIAN_POINT('',(11.532271,4.25,20.9302673)); #230017=CARTESIAN_POINT('',(11.532271,4.24,20.9302673)); #230018=CARTESIAN_POINT('Origin',(11.531519,4.25,20.9257393)); #230019=CARTESIAN_POINT('',(11.531519,4.25,20.9257393)); #230020=CARTESIAN_POINT('',(12.0522535020552,4.25,24.0612257698057)); #230021=CARTESIAN_POINT('',(11.531519,4.24,20.9257393)); #230022=CARTESIAN_POINT('',(11.531519,4.25,20.9257393)); #230023=CARTESIAN_POINT('',(11.531519,4.24,20.9257393)); #230024=CARTESIAN_POINT('Origin',(11.53034,4.25,20.9214592)); #230025=CARTESIAN_POINT('',(11.53034,4.25,20.9214592)); #230026=CARTESIAN_POINT('',(12.5556606798095,4.25,24.6436602531402)); #230027=CARTESIAN_POINT('',(11.53034,4.24,20.9214592)); #230028=CARTESIAN_POINT('',(11.53034,4.25,20.9214592)); #230029=CARTESIAN_POINT('',(11.53034,4.24,20.9214592)); #230030=CARTESIAN_POINT('Origin',(11.528739,4.25,20.9174309)); #230031=CARTESIAN_POINT('',(11.528739,4.25,20.9174309)); #230032=CARTESIAN_POINT('',(13.2027281359584,4.25,25.1293799545848)); #230033=CARTESIAN_POINT('',(11.528739,4.24,20.9174309)); #230034=CARTESIAN_POINT('',(11.528739,4.25,20.9174309)); #230035=CARTESIAN_POINT('',(11.528739,4.24,20.9174309)); #230036=CARTESIAN_POINT('Origin',(11.526719,4.25,20.9136639)); #230037=CARTESIAN_POINT('',(11.526719,4.25,20.9136639)); #230038=CARTESIAN_POINT('',(13.9714822087536,4.25,25.4727842006813)); #230039=CARTESIAN_POINT('',(11.526719,4.24,20.9136639)); #230040=CARTESIAN_POINT('',(11.526719,4.25,20.9136639)); #230041=CARTESIAN_POINT('',(11.526719,4.24,20.9136639)); #230042=CARTESIAN_POINT('Origin',(11.524289,4.25,20.9101582)); #230043=CARTESIAN_POINT('',(11.524289,4.25,20.9101582)); #230044=CARTESIAN_POINT('',(14.7982240268047,4.25,25.6333820779694)); #230045=CARTESIAN_POINT('',(11.524289,4.24,20.9101582)); #230046=CARTESIAN_POINT('',(11.524289,4.25,20.9101582)); #230047=CARTESIAN_POINT('',(11.524289,4.24,20.9101582)); #230048=CARTESIAN_POINT('Origin',(11.521451,4.25,20.9069195)); #230049=CARTESIAN_POINT('',(11.521451,4.25,20.9069195)); #230050=CARTESIAN_POINT('',(15.6367697777159,4.25,25.6032841671558)); #230051=CARTESIAN_POINT('',(11.521451,4.24,20.9069195)); #230052=CARTESIAN_POINT('',(11.521451,4.25,20.9069195)); #230053=CARTESIAN_POINT('',(11.521451,4.24,20.9069195)); #230054=CARTESIAN_POINT('Origin',(11.518157,4.25,20.903904)); #230055=CARTESIAN_POINT('',(11.518157,4.25,20.903904)); #230056=CARTESIAN_POINT('',(16.4232451097973,4.25,25.3942783761661)); #230057=CARTESIAN_POINT('',(11.518157,4.24,20.903904)); #230058=CARTESIAN_POINT('',(11.518157,4.25,20.903904)); #230059=CARTESIAN_POINT('',(11.518157,4.24,20.903904)); #230060=CARTESIAN_POINT('Origin',(11.514226,4.25,20.9011383)); #230061=CARTESIAN_POINT('',(11.514226,4.25,20.9011383)); #230062=CARTESIAN_POINT('',(17.2565638043784,4.25,24.9412257244625)); #230063=CARTESIAN_POINT('',(11.514226,4.24,20.9011383)); #230064=CARTESIAN_POINT('',(11.514226,4.25,20.9011383)); #230065=CARTESIAN_POINT('',(11.514226,4.24,20.9011383)); #230066=CARTESIAN_POINT('Origin',(11.509721,4.25,20.898777)); #230067=CARTESIAN_POINT('',(11.509721,4.25,20.898777)); #230068=CARTESIAN_POINT('',(17.9581001039286,4.25,24.2787009907038)); #230069=CARTESIAN_POINT('',(11.509721,4.24,20.898777)); #230070=CARTESIAN_POINT('',(11.509721,4.25,20.898777)); #230071=CARTESIAN_POINT('',(11.509721,4.24,20.898777)); #230072=CARTESIAN_POINT('Origin',(11.504636,4.25,20.896822)); #230073=CARTESIAN_POINT('',(11.504636,4.25,20.896822)); #230074=CARTESIAN_POINT('',(18.419043438852,4.25,23.5551635030376)); #230075=CARTESIAN_POINT('',(11.504636,4.24,20.896822)); #230076=CARTESIAN_POINT('',(11.504636,4.25,20.896822)); #230077=CARTESIAN_POINT('',(11.504636,4.24,20.896822)); #230078=CARTESIAN_POINT('Origin',(11.3091449877005,4.25,22.096933938407)); #230079=CARTESIAN_POINT('',(11.125509,4.25,20.8949509)); #230080=CARTESIAN_POINT('Origin',(11.3091449877005,4.25,22.096933938407)); #230081=CARTESIAN_POINT('',(11.125509,4.24,20.8949509)); #230082=CARTESIAN_POINT('',(11.125509,4.25,20.8949509)); #230083=CARTESIAN_POINT('Origin',(11.3091449877005,4.24,22.096933938407)); #230084=CARTESIAN_POINT('Origin',(11.119742,4.25,20.8964195)); #230085=CARTESIAN_POINT('',(11.119742,4.25,20.8964195)); #230086=CARTESIAN_POINT('',(17.5221756035711,4.25,19.2660026471802)); #230087=CARTESIAN_POINT('',(11.119742,4.24,20.8964195)); #230088=CARTESIAN_POINT('',(11.119742,4.25,20.8964195)); #230089=CARTESIAN_POINT('',(11.119742,4.24,20.8964195)); #230090=CARTESIAN_POINT('Origin',(11.114552,4.25,20.898283)); #230091=CARTESIAN_POINT('',(11.114552,4.25,20.898283)); #230092=CARTESIAN_POINT('',(16.9664676663587,4.25,18.7971182901247)); #230093=CARTESIAN_POINT('',(11.114552,4.24,20.898283)); #230094=CARTESIAN_POINT('',(11.114552,4.25,20.898283)); #230095=CARTESIAN_POINT('',(11.114552,4.24,20.898283)); #230096=CARTESIAN_POINT('Origin',(11.10994,4.25,20.900547)); #230097=CARTESIAN_POINT('',(11.10994,4.25,20.900547)); #230098=CARTESIAN_POINT('',(16.2178805690028,4.25,18.3930930866809)); #230099=CARTESIAN_POINT('',(11.10994,4.24,20.900547)); #230100=CARTESIAN_POINT('',(11.10994,4.25,20.900547)); #230101=CARTESIAN_POINT('',(11.10994,4.24,20.900547)); #230102=CARTESIAN_POINT('Origin',(11.105905,4.25,20.9032173)); #230103=CARTESIAN_POINT('',(11.105905,4.25,20.9032173)); #230104=CARTESIAN_POINT('',(15.2725438682406,4.25,18.1458007523276)); #230105=CARTESIAN_POINT('',(11.105905,4.24,20.9032173)); #230106=CARTESIAN_POINT('',(11.105905,4.25,20.9032173)); #230107=CARTESIAN_POINT('',(11.105905,4.24,20.9032173)); #230108=CARTESIAN_POINT('Origin',(11.102416,4.25,20.9061966)); #230109=CARTESIAN_POINT('',(11.102416,4.25,20.9061966)); #230110=CARTESIAN_POINT('',(14.3415016594869,4.25,18.1403015282578)); #230111=CARTESIAN_POINT('',(11.102416,4.24,20.9061966)); #230112=CARTESIAN_POINT('',(11.102416,4.25,20.9061966)); #230113=CARTESIAN_POINT('',(11.102416,4.24,20.9061966)); #230114=CARTESIAN_POINT('Origin',(11.099365,4.25,20.9093723)); #230115=CARTESIAN_POINT('',(11.099365,4.25,20.9093723)); #230116=CARTESIAN_POINT('',(13.6055435135782,4.25,18.3007616459297)); #230117=CARTESIAN_POINT('',(11.099365,4.24,20.9093723)); #230118=CARTESIAN_POINT('',(11.099365,4.25,20.9093723)); #230119=CARTESIAN_POINT('',(11.099365,4.24,20.9093723)); #230120=CARTESIAN_POINT('Origin',(11.096753,4.25,20.9128132)); #230121=CARTESIAN_POINT('',(11.096753,4.25,20.9128132)); #230122=CARTESIAN_POINT('',(12.8001683942941,4.25,18.6688307994534)); #230123=CARTESIAN_POINT('',(11.096753,4.24,20.9128132)); #230124=CARTESIAN_POINT('',(11.096753,4.25,20.9128132)); #230125=CARTESIAN_POINT('',(11.096753,4.24,20.9128132)); #230126=CARTESIAN_POINT('Origin',(11.094577,4.25,20.916523)); #230127=CARTESIAN_POINT('',(11.094577,4.25,20.916523)); #230128=CARTESIAN_POINT('',(12.085675072191,4.25,19.2268283179147)); #230129=CARTESIAN_POINT('',(11.094577,4.24,20.916523)); #230130=CARTESIAN_POINT('',(11.094577,4.25,20.916523)); #230131=CARTESIAN_POINT('',(11.094577,4.24,20.916523)); #230132=CARTESIAN_POINT('Origin',(11.092839,4.25,20.9204884)); #230133=CARTESIAN_POINT('',(11.092839,4.25,20.9204884)); #230134=CARTESIAN_POINT('',(11.5278781515133,4.25,19.9279082783599)); #230135=CARTESIAN_POINT('',(11.092839,4.24,20.9204884)); #230136=CARTESIAN_POINT('',(11.092839,4.25,20.9204884)); #230137=CARTESIAN_POINT('',(11.092839,4.24,20.9204884)); #230138=CARTESIAN_POINT('Origin',(11.091539,4.25,20.9247093)); #230139=CARTESIAN_POINT('',(11.091539,4.25,20.9247093)); #230140=CARTESIAN_POINT('',(11.1555061544097,4.25,20.7170177907327)); #230141=CARTESIAN_POINT('',(11.091539,4.24,20.9247093)); #230142=CARTESIAN_POINT('',(11.091539,4.25,20.9247093)); #230143=CARTESIAN_POINT('',(11.091539,4.24,20.9247093)); #230144=CARTESIAN_POINT('Origin',(11.090676,4.25,20.9291801)); #230145=CARTESIAN_POINT('',(11.090676,4.25,20.9291801)); #230146=CARTESIAN_POINT('',(10.9760896305018,4.25,21.5227985713254)); #230147=CARTESIAN_POINT('',(11.090676,4.24,20.9291801)); #230148=CARTESIAN_POINT('',(11.090676,4.25,20.9291801)); #230149=CARTESIAN_POINT('',(11.090676,4.24,20.9291801)); #230150=CARTESIAN_POINT('Origin',(11.090252,4.25,20.9338951)); #230151=CARTESIAN_POINT('',(11.090252,4.25,20.9338951)); #230152=CARTESIAN_POINT('',(10.9678939086447,4.25,22.2945517055158)); #230153=CARTESIAN_POINT('',(11.090252,4.24,20.9338951)); #230154=CARTESIAN_POINT('',(11.090252,4.25,20.9338951)); #230155=CARTESIAN_POINT('',(11.090252,4.24,20.9338951)); #230156=CARTESIAN_POINT('Origin',(11.090264,4.25,20.9387665)); #230157=CARTESIAN_POINT('',(11.090264,4.25,20.9387665)); #230158=CARTESIAN_POINT('',(11.0953107347895,4.25,22.987488487752)); #230159=CARTESIAN_POINT('',(11.090264,4.24,20.9387665)); #230160=CARTESIAN_POINT('',(11.090264,4.25,20.9387665)); #230161=CARTESIAN_POINT('',(11.090264,4.24,20.9387665)); #230162=CARTESIAN_POINT('Origin',(11.090715,4.25,20.9434395)); #230163=CARTESIAN_POINT('',(11.090715,4.25,20.9434395)); #230164=CARTESIAN_POINT('',(11.352534533394,4.25,23.6562614058813)); #230165=CARTESIAN_POINT('',(11.090715,4.24,20.9434395)); #230166=CARTESIAN_POINT('',(11.090715,4.25,20.9434395)); #230167=CARTESIAN_POINT('',(11.090715,4.24,20.9434395)); #230168=CARTESIAN_POINT('Origin',(11.091603,4.25,20.9478779)); #230169=CARTESIAN_POINT('',(11.091603,4.25,20.9478779)); #230170=CARTESIAN_POINT('',(11.7644096787737,4.25,24.3106990295873)); #230171=CARTESIAN_POINT('',(11.091603,4.24,20.9478779)); #230172=CARTESIAN_POINT('',(11.091603,4.25,20.9478779)); #230173=CARTESIAN_POINT('',(11.091603,4.24,20.9478779)); #230174=CARTESIAN_POINT('Origin',(11.09293,4.25,20.9520741)); #230175=CARTESIAN_POINT('',(11.09293,4.25,20.9520741)); #230176=CARTESIAN_POINT('',(12.3433390450945,4.25,24.9060804564563)); #230177=CARTESIAN_POINT('',(11.09293,4.24,20.9520741)); #230178=CARTESIAN_POINT('',(11.09293,4.25,20.9520741)); #230179=CARTESIAN_POINT('',(11.09293,4.24,20.9520741)); #230180=CARTESIAN_POINT('Origin',(11.1590109677375,4.25,20.9227047835936)); #230181=CARTESIAN_POINT('',(11.096894,4.25,20.9597282)); #230182=CARTESIAN_POINT('Origin',(11.1590109677375,4.25,20.9227047835936)); #230183=CARTESIAN_POINT('',(11.096894,4.24,20.9597282)); #230184=CARTESIAN_POINT('',(11.096894,4.25,20.9597282)); #230185=CARTESIAN_POINT('Origin',(11.1590109677375,4.24,20.9227047835936)); #230186=CARTESIAN_POINT('Origin',(11.099533,4.25,20.9631748)); #230187=CARTESIAN_POINT('',(11.099533,4.25,20.9631748)); #230188=CARTESIAN_POINT('',(14.7903804491991,4.25,25.783513874805)); #230189=CARTESIAN_POINT('',(11.099533,4.24,20.9631748)); #230190=CARTESIAN_POINT('',(11.099533,4.25,20.9631748)); #230191=CARTESIAN_POINT('',(11.099533,4.24,20.9631748)); #230192=CARTESIAN_POINT('Origin',(11.10261,4.25,20.966362)); #230193=CARTESIAN_POINT('',(11.10261,4.25,20.966362)); #230194=CARTESIAN_POINT('',(15.6555045559741,4.25,25.6823143980506)); #230195=CARTESIAN_POINT('',(11.10261,4.24,20.966362)); #230196=CARTESIAN_POINT('',(11.10261,4.25,20.966362)); #230197=CARTESIAN_POINT('',(11.10261,4.24,20.966362)); #230198=CARTESIAN_POINT('Origin',(11.106126,4.25,20.9693279)); #230199=CARTESIAN_POINT('',(11.106126,4.25,20.9693279)); #230200=CARTESIAN_POINT('',(16.3919055014279,4.25,25.4281144253958)); #230201=CARTESIAN_POINT('',(11.106126,4.24,20.9693279)); #230202=CARTESIAN_POINT('',(11.106126,4.25,20.9693279)); #230203=CARTESIAN_POINT('',(11.106126,4.24,20.9693279)); #230204=CARTESIAN_POINT('Origin',(11.110195,4.25,20.9719448)); #230205=CARTESIAN_POINT('',(11.110195,4.25,20.9719448)); #230206=CARTESIAN_POINT('',(17.2223054141593,4.25,24.9028324241843)); #230207=CARTESIAN_POINT('',(11.110195,4.24,20.9719448)); #230208=CARTESIAN_POINT('',(11.110195,4.25,20.9719448)); #230209=CARTESIAN_POINT('',(11.110195,4.24,20.9719448)); #230210=CARTESIAN_POINT('Origin',(11.114841,4.25,20.974165)); #230211=CARTESIAN_POINT('',(11.114841,4.25,20.974165)); #230212=CARTESIAN_POINT('',(17.8754639963008,4.25,24.2048871645278)); #230213=CARTESIAN_POINT('',(11.114841,4.24,20.974165)); #230214=CARTESIAN_POINT('',(11.114841,4.25,20.974165)); #230215=CARTESIAN_POINT('',(11.114841,4.24,20.974165)); #230216=CARTESIAN_POINT('Origin',(11.120067,4.25,20.9759903)); #230217=CARTESIAN_POINT('',(11.120067,4.25,20.9759903)); #230218=CARTESIAN_POINT('',(18.2883075336532,4.25,23.4796622184977)); #230219=CARTESIAN_POINT('',(11.120067,4.24,20.9759903)); #230220=CARTESIAN_POINT('',(11.120067,4.25,20.9759903)); #230221=CARTESIAN_POINT('',(11.120067,4.24,20.9759903)); #230222=CARTESIAN_POINT('Origin',(11.125867,4.25,20.9774284)); #230223=CARTESIAN_POINT('',(11.125867,4.25,20.9774284)); #230224=CARTESIAN_POINT('',(18.5077993444595,4.25,22.8077657973401)); #230225=CARTESIAN_POINT('',(11.125867,4.24,20.9774284)); #230226=CARTESIAN_POINT('',(11.125867,4.25,20.9774284)); #230227=CARTESIAN_POINT('',(11.125867,4.24,20.9774284)); #230228=CARTESIAN_POINT('Origin',(11.132242,4.25,20.9784832)); #230229=CARTESIAN_POINT('',(11.132242,4.25,20.9784832)); #230230=CARTESIAN_POINT('',(18.5945265731335,4.25,22.2131840263121)); #230231=CARTESIAN_POINT('',(11.132242,4.24,20.9784832)); #230232=CARTESIAN_POINT('',(11.132242,4.25,20.9784832)); #230233=CARTESIAN_POINT('',(11.132242,4.24,20.9784832)); #230234=CARTESIAN_POINT('Origin',(11.151307545891,4.25,20.8063757535159)); #230235=CARTESIAN_POINT('',(11.146712,4.25,20.979475)); #230236=CARTESIAN_POINT('Origin',(11.151307545891,4.25,20.8063757535159)); #230237=CARTESIAN_POINT('',(11.146712,4.24,20.979475)); #230238=CARTESIAN_POINT('',(11.146712,4.25,20.979475)); #230239=CARTESIAN_POINT('Origin',(11.151307545891,4.24,20.8063757535159)); #230240=CARTESIAN_POINT('Origin',(11.181077,4.25,20.9794979)); #230241=CARTESIAN_POINT('',(11.181077,4.25,20.9794979)); #230242=CARTESIAN_POINT('',(18.4918748359886,4.25,20.9843696378276)); #230243=CARTESIAN_POINT('',(11.181077,4.24,20.9794979)); #230244=CARTESIAN_POINT('',(11.181077,4.25,20.9794979)); #230245=CARTESIAN_POINT('',(11.181077,4.24,20.9794979)); #230246=CARTESIAN_POINT('Origin',(11.196149,4.25,21.1125679)); #230247=CARTESIAN_POINT('',(11.196149,4.25,21.1125679)); #230248=CARTESIAN_POINT('',(11.5060004377118,4.25,23.8482320995964)); #230249=CARTESIAN_POINT('',(11.196149,4.24,21.1125679)); #230250=CARTESIAN_POINT('',(11.196149,4.25,21.1125679)); #230251=CARTESIAN_POINT('',(11.196149,4.24,21.1125679)); #230252=CARTESIAN_POINT('Origin',(11.306878,4.25,22.0985031)); #230253=CARTESIAN_POINT('',(11.306878,4.25,22.0985031)); #230254=CARTESIAN_POINT('',(11.5580439889248,4.25,24.3348945559312)); #230255=CARTESIAN_POINT('',(11.306878,4.24,22.0985031)); #230256=CARTESIAN_POINT('',(11.306878,4.25,22.0985031)); #230257=CARTESIAN_POINT('',(11.306878,4.24,22.0985031)); #230258=CARTESIAN_POINT('Origin',(11.307655,4.25,22.1013985)); #230259=CARTESIAN_POINT('',(11.307655,4.25,22.1013985)); #230260=CARTESIAN_POINT('',(12.1572370807632,4.25,25.2672671700635)); #230261=CARTESIAN_POINT('',(11.307655,4.24,22.1013985)); #230262=CARTESIAN_POINT('',(11.307655,4.25,22.1013985)); #230263=CARTESIAN_POINT('',(11.307655,4.24,22.1013985)); #230264=CARTESIAN_POINT('Origin',(11.445601,4.25,22.1013985)); #230265=CARTESIAN_POINT('',(11.445601,4.25,22.1013985)); #230266=CARTESIAN_POINT('',(18.6228005000002,4.25,22.1013985000007)); #230267=CARTESIAN_POINT('',(11.445601,4.24,22.1013985)); #230268=CARTESIAN_POINT('',(11.445601,4.25,22.1013985)); #230269=CARTESIAN_POINT('',(11.445601,4.24,22.1013985)); #230270=CARTESIAN_POINT('Origin',(-256.775066577652,4.25,-52.9557484189823)); #230271=CARTESIAN_POINT('',(11.684148,4.25,21.2436581)); #230272=CARTESIAN_POINT('Origin',(-256.775066577652,4.25,-52.9557484189823)); #230273=CARTESIAN_POINT('',(11.684148,4.24,21.2436581)); #230274=CARTESIAN_POINT('',(11.684148,4.25,21.2436581)); #230275=CARTESIAN_POINT('Origin',(-256.775066577652,4.24,-52.9557484189823)); #230276=CARTESIAN_POINT('Origin',(11.718692,4.25,21.3649101)); #230277=CARTESIAN_POINT('',(11.718692,4.25,21.3649101)); #230278=CARTESIAN_POINT('',(12.726180481888,4.25,24.9012693347739)); #230279=CARTESIAN_POINT('',(11.718692,4.24,21.3649101)); #230280=CARTESIAN_POINT('',(11.718692,4.25,21.3649101)); #230281=CARTESIAN_POINT('',(11.718692,4.24,21.3649101)); #230282=CARTESIAN_POINT('Origin',(11.926564,4.25,22.1012573)); #230283=CARTESIAN_POINT('',(11.926564,4.25,22.1012573)); #230284=CARTESIAN_POINT('',(12.817534842219,4.25,25.2573528056459)); #230285=CARTESIAN_POINT('',(11.926564,4.24,22.1012573)); #230286=CARTESIAN_POINT('',(11.926564,4.25,22.1012573)); #230287=CARTESIAN_POINT('',(11.926564,4.24,22.1012573)); #230288=CARTESIAN_POINT('Origin',(11.947355,4.25,22.1013966)); #230289=CARTESIAN_POINT('',(11.947355,4.25,22.1013966)); #230290=CARTESIAN_POINT('',(18.8830764964235,4.25,22.147866034104)); #230291=CARTESIAN_POINT('',(11.947355,4.24,22.1013966)); #230292=CARTESIAN_POINT('',(11.947355,4.25,22.1013966)); #230293=CARTESIAN_POINT('',(11.947355,4.24,22.1013966)); #230294=CARTESIAN_POINT('Origin',(12.064903,4.25,22.1013985)); #230295=CARTESIAN_POINT('',(12.064903,4.25,22.1013985)); #230296=CARTESIAN_POINT('',(18.9324749241375,4.25,22.1015095047526)); #230297=CARTESIAN_POINT('',(12.064903,4.24,22.1013985)); #230298=CARTESIAN_POINT('',(12.064903,4.25,22.1013985)); #230299=CARTESIAN_POINT('',(12.064903,4.24,22.1013985)); #230300=CARTESIAN_POINT('Origin',(12.070225,4.25,22.0525074)); #230301=CARTESIAN_POINT('',(12.070225,4.25,22.0525074)); #230302=CARTESIAN_POINT('',(11.9920712644034,4.25,22.7704747247703)); #230303=CARTESIAN_POINT('',(12.070225,4.24,22.0525074)); #230304=CARTESIAN_POINT('',(12.070225,4.25,22.0525074)); #230305=CARTESIAN_POINT('',(12.070225,4.24,22.0525074)); #230306=CARTESIAN_POINT('Origin',(12.187024,4.25,20.9794979)); #230307=CARTESIAN_POINT('',(12.0504706754233,4.25,22.233986504589)); #230308=CARTESIAN_POINT('',(12.187024,4.24,20.9794979)); #230309=CARTESIAN_POINT('Origin',(11.6839685,4.24,21.4912012941128)); #230310=CARTESIAN_POINT('Origin',(100.456482522719,4.25,-197.464093871364)); #230311=CARTESIAN_POINT('',(23.964903,4.25,8.09973500000002)); #230312=CARTESIAN_POINT('',(24.5957029999965,4.25,8.33335899999872)); #230313=CARTESIAN_POINT('Origin',(100.456482522719,4.25,-197.464093871364)); #230314=CARTESIAN_POINT('',(23.964903,4.24,8.09973500000002)); #230315=CARTESIAN_POINT('',(23.964903,4.25,8.09973500000002)); #230316=CARTESIAN_POINT('',(24.5957029999965,4.24,8.33335899999872)); #230317=CARTESIAN_POINT('Origin',(100.456482522719,4.24,-197.464093871364)); #230318=CARTESIAN_POINT('',(24.5957029999965,4.25,8.33335899999872)); #230319=CARTESIAN_POINT('Origin',(23.964903,4.25,8.099041)); #230320=CARTESIAN_POINT('',(23.964903,4.25,8.099041)); #230321=CARTESIAN_POINT('',(23.964903,4.25,16.5495205)); #230322=CARTESIAN_POINT('',(23.964903,4.24,8.099041)); #230323=CARTESIAN_POINT('',(23.964903,4.25,8.099041)); #230324=CARTESIAN_POINT('',(23.964903,4.24,8.099041)); #230325=CARTESIAN_POINT('Origin',(23.964905,4.25,8.097675)); #230326=CARTESIAN_POINT('',(23.964905,4.25,8.097675)); #230327=CARTESIAN_POINT('',(23.9525334026595,4.25,16.5474759786268)); #230328=CARTESIAN_POINT('',(23.964905,4.24,8.097675)); #230329=CARTESIAN_POINT('',(23.964905,4.25,8.097675)); #230330=CARTESIAN_POINT('',(23.964905,4.24,8.097675)); #230331=CARTESIAN_POINT('Origin',(23.964903,4.25,8.093369)); #230332=CARTESIAN_POINT('',(23.964903,4.25,8.093369)); #230333=CARTESIAN_POINT('',(23.9688294931971,4.25,16.5471088483545)); #230334=CARTESIAN_POINT('',(23.964903,4.24,8.093369)); #230335=CARTESIAN_POINT('',(23.964903,4.25,8.093369)); #230336=CARTESIAN_POINT('',(23.964903,4.24,8.093369)); #230337=CARTESIAN_POINT('Origin',(23.964903,4.25,8.075584)); #230338=CARTESIAN_POINT('',(23.964903,4.25,8.075584)); #230339=CARTESIAN_POINT('',(23.964903,4.25,16.537792)); #230340=CARTESIAN_POINT('',(23.964903,4.24,8.075584)); #230341=CARTESIAN_POINT('',(23.964903,4.25,8.075584)); #230342=CARTESIAN_POINT('',(23.964903,4.24,8.075584)); #230343=CARTESIAN_POINT('Origin',(23.964905,4.25,8.068352)); #230344=CARTESIAN_POINT('',(23.964905,4.25,8.068352)); #230345=CARTESIAN_POINT('',(23.9625638579616,4.25,16.533921606017)); #230346=CARTESIAN_POINT('',(23.964905,4.24,8.068352)); #230347=CARTESIAN_POINT('',(23.964905,4.25,8.068352)); #230348=CARTESIAN_POINT('',(23.964905,4.24,8.068352)); #230349=CARTESIAN_POINT('Origin',(23.9658077802196,4.25,8.06879795447393)); #230350=CARTESIAN_POINT('',(23.965229,4.25,8.067974)); #230351=CARTESIAN_POINT('Origin',(23.9658077802196,4.25,8.06879795447393)); #230352=CARTESIAN_POINT('',(23.965229,4.24,8.067974)); #230353=CARTESIAN_POINT('',(23.965229,4.25,8.067974)); #230354=CARTESIAN_POINT('Origin',(23.9658077802196,4.24,8.06879795447393)); #230355=CARTESIAN_POINT('Origin',(24.0114725827197,4.25,8.08191590831577)); #230356=CARTESIAN_POINT('',(24.037004,4.25,8.040916)); #230357=CARTESIAN_POINT('Origin',(24.0114725827197,4.25,8.08191590831577)); #230358=CARTESIAN_POINT('',(24.037004,4.24,8.040916)); #230359=CARTESIAN_POINT('',(24.037004,4.25,8.040916)); #230360=CARTESIAN_POINT('Origin',(24.0114725827197,4.24,8.08191590831577)); #230361=CARTESIAN_POINT('Origin',(24.255426,4.25,7.958576)); #230362=CARTESIAN_POINT('',(24.255426,4.25,7.958576)); #230363=CARTESIAN_POINT('',(22.1191872132277,4.25,8.76388820162267)); #230364=CARTESIAN_POINT('',(24.255426,4.24,7.958576)); #230365=CARTESIAN_POINT('',(24.255426,4.25,7.958576)); #230366=CARTESIAN_POINT('',(24.255426,4.24,7.958576)); #230367=CARTESIAN_POINT('Origin',(24.487642,4.25,7.871037)); #230368=CARTESIAN_POINT('',(24.487642,4.25,7.871037)); #230369=CARTESIAN_POINT('',(22.2353216925072,4.25,8.720099370369)); #230370=CARTESIAN_POINT('',(24.487642,4.24,7.871037)); #230371=CARTESIAN_POINT('',(24.487642,4.25,7.871037)); #230372=CARTESIAN_POINT('',(24.487642,4.24,7.871037)); #230373=CARTESIAN_POINT('Origin',(24.595703,4.25,7.830299)); #230374=CARTESIAN_POINT('',(24.595703,4.25,7.830299)); #230375=CARTESIAN_POINT('',(22.2892411403476,4.25,8.69981384104833)); #230376=CARTESIAN_POINT('',(24.595703,4.24,7.830299)); #230377=CARTESIAN_POINT('',(24.595703,4.25,7.830299)); #230378=CARTESIAN_POINT('',(24.595703,4.24,7.830299)); #230379=CARTESIAN_POINT('Origin',(24.595703,4.25,8.33335899999872)); #230380=CARTESIAN_POINT('',(24.595703,4.25,16.6666794999994)); #230381=CARTESIAN_POINT('',(24.595703,4.24,8.333359)); #230382=CARTESIAN_POINT('Origin',(25.0475414398822,4.25,8.37694031752642)); #230383=CARTESIAN_POINT('',(25.035362,4.25,8.263435)); #230384=CARTESIAN_POINT('',(25.044773,4.25,8.262817)); #230385=CARTESIAN_POINT('Origin',(25.0475414398822,4.25,8.37694031752642)); #230386=CARTESIAN_POINT('',(25.035362,4.24,8.263435)); #230387=CARTESIAN_POINT('',(25.035362,4.25,8.263435)); #230388=CARTESIAN_POINT('',(25.044773,4.24,8.262817)); #230389=CARTESIAN_POINT('Origin',(25.0475414398822,4.24,8.37694031752642)); #230390=CARTESIAN_POINT('',(25.044773,4.25,8.262817)); #230391=CARTESIAN_POINT('Origin',(25.030968,4.25,8.2644)); #230392=CARTESIAN_POINT('',(25.030968,4.25,8.2644)); #230393=CARTESIAN_POINT('',(23.6446329382527,4.25,8.5688636628542)); #230394=CARTESIAN_POINT('',(25.030968,4.24,8.2644)); #230395=CARTESIAN_POINT('',(25.030968,4.25,8.2644)); #230396=CARTESIAN_POINT('',(25.030968,4.24,8.2644)); #230397=CARTESIAN_POINT('Origin',(25.026812,4.25,8.265804)); #230398=CARTESIAN_POINT('',(25.026812,4.25,8.265804)); #230399=CARTESIAN_POINT('',(22.8367362709036,4.25,9.00566596430563)); #230400=CARTESIAN_POINT('',(25.026812,4.24,8.265804)); #230401=CARTESIAN_POINT('',(25.026812,4.25,8.265804)); #230402=CARTESIAN_POINT('',(25.026812,4.24,8.265804)); #230403=CARTESIAN_POINT('Origin',(25.022903,4.25,8.267643)); #230404=CARTESIAN_POINT('',(25.022903,4.25,8.267643)); #230405=CARTESIAN_POINT('',(22.1184007779107,4.25,9.63407420655484)); #230406=CARTESIAN_POINT('',(25.022903,4.24,8.267643)); #230407=CARTESIAN_POINT('',(25.022903,4.25,8.267643)); #230408=CARTESIAN_POINT('',(25.022903,4.24,8.267643)); #230409=CARTESIAN_POINT('Origin',(25.019247,4.25,8.269924)); #230410=CARTESIAN_POINT('',(25.019247,4.25,8.269924)); #230411=CARTESIAN_POINT('',(21.5435656605684,4.25,10.4384221223312)); #230412=CARTESIAN_POINT('',(25.019247,4.24,8.269924)); #230413=CARTESIAN_POINT('',(25.019247,4.25,8.269924)); #230414=CARTESIAN_POINT('',(25.019247,4.24,8.269924)); #230415=CARTESIAN_POINT('Origin',(25.015846,4.25,8.272636)); #230416=CARTESIAN_POINT('',(25.015846,4.25,8.272636)); #230417=CARTESIAN_POINT('',(21.1785971281068,4.25,11.3325063147815)); #230418=CARTESIAN_POINT('',(25.015846,4.24,8.272636)); #230419=CARTESIAN_POINT('',(25.015846,4.25,8.272636)); #230420=CARTESIAN_POINT('',(25.015846,4.24,8.272636)); #230421=CARTESIAN_POINT('Origin',(25.012703,4.25,8.275795)); #230422=CARTESIAN_POINT('',(25.012703,4.25,8.275795)); #230423=CARTESIAN_POINT('',(21.0275304823776,4.25,12.281254746475)); #230424=CARTESIAN_POINT('',(25.012703,4.24,8.275795)); #230425=CARTESIAN_POINT('',(25.012703,4.25,8.275795)); #230426=CARTESIAN_POINT('',(25.012703,4.24,8.275795)); #230427=CARTESIAN_POINT('Origin',(25.009779,4.25,8.279419)); #230428=CARTESIAN_POINT('',(25.009779,4.25,8.279419)); #230429=CARTESIAN_POINT('',(21.0798915281484,4.25,13.150114006161)); #230430=CARTESIAN_POINT('',(25.009779,4.24,8.279419)); #230431=CARTESIAN_POINT('',(25.009779,4.25,8.279419)); #230432=CARTESIAN_POINT('',(25.009779,4.24,8.279419)); #230433=CARTESIAN_POINT('Origin',(25.007221,4.25,8.283607)); #230434=CARTESIAN_POINT('',(25.007221,4.25,8.283607)); #230435=CARTESIAN_POINT('',(21.3968780130968,4.25,14.1945203812033)); #230436=CARTESIAN_POINT('',(25.007221,4.24,8.283607)); #230437=CARTESIAN_POINT('',(25.007221,4.25,8.283607)); #230438=CARTESIAN_POINT('',(25.007221,4.24,8.283607)); #230439=CARTESIAN_POINT('Origin',(25.005058,4.25,8.288361)); #230440=CARTESIAN_POINT('',(25.005058,4.25,8.288361)); #230441=CARTESIAN_POINT('',(21.9234855224334,4.25,15.0612669446828)); #230442=CARTESIAN_POINT('',(25.005058,4.24,8.288361)); #230443=CARTESIAN_POINT('',(25.005058,4.25,8.288361)); #230444=CARTESIAN_POINT('',(25.005058,4.24,8.288361)); #230445=CARTESIAN_POINT('Origin',(25.003283,4.25,8.293682)); #230446=CARTESIAN_POINT('',(25.003283,4.25,8.293682)); #230447=CARTESIAN_POINT('',(22.5357183797452,4.25,15.6908151517669)); #230448=CARTESIAN_POINT('',(25.003283,4.24,8.293682)); #230449=CARTESIAN_POINT('',(25.003283,4.25,8.293682)); #230450=CARTESIAN_POINT('',(25.003283,4.24,8.293682)); #230451=CARTESIAN_POINT('Origin',(25.001896,4.25,8.299572)); #230452=CARTESIAN_POINT('',(25.001896,4.25,8.299572)); #230453=CARTESIAN_POINT('',(23.1598311594521,4.25,16.122039131097)); #230454=CARTESIAN_POINT('',(25.001896,4.24,8.299572)); #230455=CARTESIAN_POINT('',(25.001896,4.25,8.299572)); #230456=CARTESIAN_POINT('',(25.001896,4.24,8.299572)); #230457=CARTESIAN_POINT('Origin',(25.1453265903943,4.25,8.32378887172652)); #230458=CARTESIAN_POINT('',(25.000261,4.25,8.313076)); #230459=CARTESIAN_POINT('Origin',(25.1453265903943,4.25,8.32378887172652)); #230460=CARTESIAN_POINT('',(25.000261,4.24,8.313076)); #230461=CARTESIAN_POINT('',(25.000261,4.25,8.313076)); #230462=CARTESIAN_POINT('Origin',(25.1453265903943,4.24,8.32378887172652)); #230463=CARTESIAN_POINT('Origin',(25.00001,4.25,8.320694)); #230464=CARTESIAN_POINT('',(25.00001,4.25,8.320694)); #230465=CARTESIAN_POINT('',(24.725964505683,4.25,16.6381385248002)); #230466=CARTESIAN_POINT('',(25.00001,4.24,8.320694)); #230467=CARTESIAN_POINT('',(25.00001,4.25,8.320694)); #230468=CARTESIAN_POINT('',(25.00001,4.24,8.320694)); #230469=CARTESIAN_POINT('Origin',(25.000004,4.25,8.482895)); #230470=CARTESIAN_POINT('',(25.000004,4.25,8.482895)); #230471=CARTESIAN_POINT('',(24.9996985072709,4.25,16.7414326923172)); #230472=CARTESIAN_POINT('',(25.000004,4.24,8.482895)); #230473=CARTESIAN_POINT('',(25.000004,4.25,8.482895)); #230474=CARTESIAN_POINT('',(25.000004,4.24,8.482895)); #230475=CARTESIAN_POINT('Origin',(24.682556,4.25,8.365517)); #230476=CARTESIAN_POINT('',(24.682556,4.25,8.365517)); #230477=CARTESIAN_POINT('',(27.8795331551445,4.25,9.54761543664648)); #230478=CARTESIAN_POINT('',(24.682556,4.24,8.365517)); #230479=CARTESIAN_POINT('',(24.682556,4.25,8.365517)); #230480=CARTESIAN_POINT('',(24.682556,4.24,8.365517)); #230481=CARTESIAN_POINT('Origin',(24.682503,4.25,7.797497)); #230482=CARTESIAN_POINT('',(24.682503,4.25,7.797497)); #230483=CARTESIAN_POINT('',(24.6833055581646,4.25,16.3988005600241)); #230484=CARTESIAN_POINT('',(24.682503,4.24,7.797497)); #230485=CARTESIAN_POINT('',(24.682503,4.25,7.797497)); #230486=CARTESIAN_POINT('',(24.682503,4.24,7.797497)); #230487=CARTESIAN_POINT('Origin',(24.841266,4.25,7.737682)); #230488=CARTESIAN_POINT('',(24.841266,4.25,7.737682)); #230489=CARTESIAN_POINT('',(22.4134104797939,4.25,8.6523924674334)); #230490=CARTESIAN_POINT('',(24.841266,4.24,7.737682)); #230491=CARTESIAN_POINT('',(24.841266,4.25,7.737682)); #230492=CARTESIAN_POINT('',(24.841266,4.24,7.737682)); #230493=CARTESIAN_POINT('Origin',(25.000004,4.25,7.678001)); #230494=CARTESIAN_POINT('',(25.000004,4.25,7.678001)); #230495=CARTESIAN_POINT('',(22.4974578233561,4.25,8.61888760792175)); #230496=CARTESIAN_POINT('',(25.000004,4.24,7.678001)); #230497=CARTESIAN_POINT('',(25.000004,4.25,7.678001)); #230498=CARTESIAN_POINT('',(25.000004,4.24,7.678001)); #230499=CARTESIAN_POINT('Origin',(25.7854736447119,4.25,7.76095587551799)); #230500=CARTESIAN_POINT('',(25.001894,4.25,7.860188)); #230501=CARTESIAN_POINT('Origin',(25.7854736447119,4.25,7.76095587551799)); #230502=CARTESIAN_POINT('',(25.001894,4.24,7.860188)); #230503=CARTESIAN_POINT('',(25.001894,4.25,7.860188)); #230504=CARTESIAN_POINT('Origin',(25.7854736447119,4.24,7.76095587551799)); #230505=CARTESIAN_POINT('Origin',(25.003283,4.25,7.866123)); #230506=CARTESIAN_POINT('',(25.003283,4.25,7.866123)); #230507=CARTESIAN_POINT('',(26.9248212789066,4.25,16.0765835365794)); #230508=CARTESIAN_POINT('',(25.003283,4.24,7.866123)); #230509=CARTESIAN_POINT('',(25.003283,4.25,7.866123)); #230510=CARTESIAN_POINT('',(25.003283,4.24,7.866123)); #230511=CARTESIAN_POINT('Origin',(25.005058,4.25,7.871468)); #230512=CARTESIAN_POINT('',(25.005058,4.25,7.871468)); #230513=CARTESIAN_POINT('',(27.6061171293131,4.25,15.7039530964396)); #230514=CARTESIAN_POINT('',(25.005058,4.24,7.871468)); #230515=CARTESIAN_POINT('',(25.005058,4.25,7.871468)); #230516=CARTESIAN_POINT('',(25.005058,4.24,7.871468)); #230517=CARTESIAN_POINT('Origin',(25.007221,4.25,7.876225)); #230518=CARTESIAN_POINT('',(25.007221,4.25,7.876225)); #230519=CARTESIAN_POINT('',(28.3012155114253,4.25,15.1205763133889)); #230520=CARTESIAN_POINT('',(25.007221,4.24,7.876225)); #230521=CARTESIAN_POINT('',(25.007221,4.25,7.876225)); #230522=CARTESIAN_POINT('',(25.007221,4.24,7.876225)); #230523=CARTESIAN_POINT('Origin',(25.009779,4.25,7.880402)); #230524=CARTESIAN_POINT('',(25.009779,4.25,7.880402)); #230525=CARTESIAN_POINT('',(28.9298325450925,4.25,14.2815214909336)); #230526=CARTESIAN_POINT('',(25.009779,4.24,7.880402)); #230527=CARTESIAN_POINT('',(25.009779,4.25,7.880402)); #230528=CARTESIAN_POINT('',(25.009779,4.24,7.880402)); #230529=CARTESIAN_POINT('Origin',(25.012703,4.25,7.88398)); #230530=CARTESIAN_POINT('',(25.012703,4.25,7.88398)); #230531=CARTESIAN_POINT('',(29.36361778187,4.25,13.2080474040892)); #230532=CARTESIAN_POINT('',(25.012703,4.24,7.88398)); #230533=CARTESIAN_POINT('',(25.012703,4.25,7.88398)); #230534=CARTESIAN_POINT('',(25.012703,4.24,7.88398)); #230535=CARTESIAN_POINT('Origin',(25.015844,4.25,7.887032)); #230536=CARTESIAN_POINT('',(25.015844,4.25,7.887032)); #230537=CARTESIAN_POINT('',(29.4939916303985,4.25,12.2382916523283)); #230538=CARTESIAN_POINT('',(25.015844,4.24,7.887032)); #230539=CARTESIAN_POINT('',(25.015844,4.25,7.887032)); #230540=CARTESIAN_POINT('',(25.015844,4.24,7.887032)); #230541=CARTESIAN_POINT('Origin',(25.019247,4.25,7.889664)); #230542=CARTESIAN_POINT('',(25.019247,4.25,7.889664)); #230543=CARTESIAN_POINT('',(29.4037018704825,4.25,11.2807557481979)); #230544=CARTESIAN_POINT('',(25.019247,4.24,7.889664)); #230545=CARTESIAN_POINT('',(25.019247,4.25,7.889664)); #230546=CARTESIAN_POINT('',(25.019247,4.24,7.889664)); #230547=CARTESIAN_POINT('Origin',(25.022903,4.25,7.891876)); #230548=CARTESIAN_POINT('',(25.022903,4.25,7.891876)); #230549=CARTESIAN_POINT('',(29.0959433986222,4.25,10.3561991295817)); #230550=CARTESIAN_POINT('',(25.022903,4.24,7.891876)); #230551=CARTESIAN_POINT('',(25.022903,4.25,7.891876)); #230552=CARTESIAN_POINT('',(25.022903,4.24,7.891876)); #230553=CARTESIAN_POINT('Origin',(25.026812,4.25,7.893669)); #230554=CARTESIAN_POINT('',(25.026812,4.25,7.893669)); #230555=CARTESIAN_POINT('',(28.587479471731,4.25,9.52689406441894)); #230556=CARTESIAN_POINT('',(25.026812,4.24,7.893669)); #230557=CARTESIAN_POINT('',(25.026812,4.25,7.893669)); #230558=CARTESIAN_POINT('',(25.026812,4.24,7.893669)); #230559=CARTESIAN_POINT('Origin',(25.030966,4.25,7.895035)); #230560=CARTESIAN_POINT('',(25.030966,4.25,7.895035)); #230561=CARTESIAN_POINT('',(27.9159132450844,4.25,8.84372010755558)); #230562=CARTESIAN_POINT('',(25.030966,4.24,7.895035)); #230563=CARTESIAN_POINT('',(25.030966,4.25,7.895035)); #230564=CARTESIAN_POINT('',(25.030966,4.24,7.895035)); #230565=CARTESIAN_POINT('Origin',(25.035362,4.25,7.895977)); #230566=CARTESIAN_POINT('',(25.035362,4.25,7.895977)); #230567=CARTESIAN_POINT('',(27.1530156926819,4.25,8.34975993414581)); #230568=CARTESIAN_POINT('',(25.035362,4.24,7.895977)); #230569=CARTESIAN_POINT('',(25.035362,4.25,7.895977)); #230570=CARTESIAN_POINT('',(25.035362,4.24,7.895977)); #230571=CARTESIAN_POINT('Origin',(25.039993,4.25,7.896492)); #230572=CARTESIAN_POINT('',(25.039993,4.25,7.896492)); #230573=CARTESIAN_POINT('',(26.3547524640106,4.25,8.04270256445031)); #230574=CARTESIAN_POINT('',(25.039993,4.24,7.896492)); #230575=CARTESIAN_POINT('',(25.039993,4.25,7.896492)); #230576=CARTESIAN_POINT('',(25.039993,4.24,7.896492)); #230577=CARTESIAN_POINT('Origin',(25.044773,4.25,7.89658)); #230578=CARTESIAN_POINT('',(25.044773,4.25,7.89658)); #230579=CARTESIAN_POINT('',(25.5796425557625,4.25,7.90642697090086)); #230580=CARTESIAN_POINT('',(25.044773,4.24,7.89658)); #230581=CARTESIAN_POINT('',(25.044773,4.25,7.89658)); #230582=CARTESIAN_POINT('',(25.044773,4.24,7.89658)); #230583=CARTESIAN_POINT('Origin',(25.049292,4.25,7.89624)); #230584=CARTESIAN_POINT('',(25.049292,4.25,7.89624)); #230585=CARTESIAN_POINT('',(24.7827295807583,4.25,7.91629559250771)); #230586=CARTESIAN_POINT('',(25.049292,4.24,7.89624)); #230587=CARTESIAN_POINT('',(25.049292,4.25,7.89624)); #230588=CARTESIAN_POINT('',(25.049292,4.24,7.89624)); #230589=CARTESIAN_POINT('Origin',(25.053612,4.25,7.895473)); #230590=CARTESIAN_POINT('',(25.053612,4.25,7.895473)); #230591=CARTESIAN_POINT('',(23.9433807627836,4.25,8.09259044419948)); #230592=CARTESIAN_POINT('',(25.053612,4.24,7.895473)); #230593=CARTESIAN_POINT('',(25.053612,4.25,7.895473)); #230594=CARTESIAN_POINT('',(25.053612,4.24,7.895473)); #230595=CARTESIAN_POINT('Origin',(25.057735,4.25,7.894283)); #230596=CARTESIAN_POINT('',(25.057735,4.25,7.894283)); #230597=CARTESIAN_POINT('',(23.1215896979134,4.25,8.45310252692029)); #230598=CARTESIAN_POINT('',(25.057735,4.24,7.894283)); #230599=CARTESIAN_POINT('',(25.057735,4.25,7.894283)); #230600=CARTESIAN_POINT('',(25.057735,4.24,7.894283)); #230601=CARTESIAN_POINT('Origin',(25.061668,4.25,7.892666)); #230602=CARTESIAN_POINT('',(25.061668,4.25,7.892666)); #230603=CARTESIAN_POINT('',(22.3692218925411,4.25,8.99962896866191)); #230604=CARTESIAN_POINT('',(25.061668,4.24,7.892666)); #230605=CARTESIAN_POINT('',(25.061668,4.25,7.892666)); #230606=CARTESIAN_POINT('',(25.061668,4.24,7.892666)); #230607=CARTESIAN_POINT('Origin',(25.065418,4.25,7.890629)); #230608=CARTESIAN_POINT('',(25.065418,4.25,7.890629)); #230609=CARTESIAN_POINT('',(21.7608656679085,4.25,9.68566182679538)); #230610=CARTESIAN_POINT('',(25.065418,4.24,7.890629)); #230611=CARTESIAN_POINT('',(25.065418,4.25,7.890629)); #230612=CARTESIAN_POINT('',(25.065418,4.24,7.890629)); #230613=CARTESIAN_POINT('Origin',(25.068991,4.25,7.888168)); #230614=CARTESIAN_POINT('',(25.068991,4.25,7.888168)); #230615=CARTESIAN_POINT('',(21.3199663971816,4.25,10.4704096869673)); #230616=CARTESIAN_POINT('',(25.068991,4.24,7.888168)); #230617=CARTESIAN_POINT('',(25.068991,4.25,7.888168)); #230618=CARTESIAN_POINT('',(25.068991,4.24,7.888168)); #230619=CARTESIAN_POINT('Origin',(25.072392,4.25,7.885288)); #230620=CARTESIAN_POINT('',(25.072392,4.25,7.885288)); #230621=CARTESIAN_POINT('',(21.0640630642894,4.25,11.2795800714024)); #230622=CARTESIAN_POINT('',(25.072392,4.24,7.885288)); #230623=CARTESIAN_POINT('',(25.072392,4.25,7.885288)); #230624=CARTESIAN_POINT('',(25.072392,4.24,7.885288)); #230625=CARTESIAN_POINT('Origin',(25.075562,4.25,7.881947)); #230626=CARTESIAN_POINT('',(25.075562,4.25,7.881947)); #230627=CARTESIAN_POINT('',(20.9735513490323,4.25,12.2052333043855)); #230628=CARTESIAN_POINT('',(25.075562,4.24,7.881947)); #230629=CARTESIAN_POINT('',(25.075562,4.25,7.881947)); #230630=CARTESIAN_POINT('',(25.075562,4.24,7.881947)); #230631=CARTESIAN_POINT('Origin',(25.078302,4.25,7.878014)); #230632=CARTESIAN_POINT('',(25.078302,4.25,7.878014)); #230633=CARTESIAN_POINT('',(21.1808699247383,4.25,13.4723936905109)); #230634=CARTESIAN_POINT('',(25.078302,4.24,7.878014)); #230635=CARTESIAN_POINT('',(25.078302,4.25,7.878014)); #230636=CARTESIAN_POINT('',(25.078302,4.24,7.878014)); #230637=CARTESIAN_POINT('Origin',(25.080669,4.25,7.873497)); #230638=CARTESIAN_POINT('',(25.080669,4.25,7.873497)); #230639=CARTESIAN_POINT('',(21.6375777196452,4.25,14.4440264944404)); #230640=CARTESIAN_POINT('',(25.080669,4.24,7.873497)); #230641=CARTESIAN_POINT('',(25.080669,4.25,7.873497)); #230642=CARTESIAN_POINT('',(25.080669,4.24,7.873497)); #230643=CARTESIAN_POINT('Origin',(25.082655,4.25,7.868393)); #230644=CARTESIAN_POINT('',(25.082655,4.25,7.868393)); #230645=CARTESIAN_POINT('',(22.2350825625143,4.25,15.1866254878835)); #230646=CARTESIAN_POINT('',(25.082655,4.24,7.868393)); #230647=CARTESIAN_POINT('',(25.082655,4.25,7.868393)); #230648=CARTESIAN_POINT('',(25.082655,4.24,7.868393)); #230649=CARTESIAN_POINT('Origin',(23.9783557924817,4.25,7.68290066512338)); #230650=CARTESIAN_POINT('',(25.084421,4.25,7.508247)); #230651=CARTESIAN_POINT('Origin',(23.9783557924817,4.25,7.68290066512338)); #230652=CARTESIAN_POINT('',(25.084421,4.24,7.508247)); #230653=CARTESIAN_POINT('',(25.084421,4.25,7.508247)); #230654=CARTESIAN_POINT('Origin',(23.9783557924817,4.24,7.68290066512338)); #230655=CARTESIAN_POINT('Origin',(25.082863,4.25,7.502518)); #230656=CARTESIAN_POINT('',(25.082863,4.25,7.502518)); #230657=CARTESIAN_POINT('',(27.3229313146238,4.25,15.7395856344594)); #230658=CARTESIAN_POINT('',(25.082863,4.24,7.502518)); #230659=CARTESIAN_POINT('',(25.082863,4.25,7.502518)); #230660=CARTESIAN_POINT('',(25.082863,4.24,7.502518)); #230661=CARTESIAN_POINT('Origin',(25.080921,4.25,7.49736)); #230662=CARTESIAN_POINT('',(25.080921,4.25,7.49736)); #230663=CARTESIAN_POINT('',(28.0113769639438,4.25,15.2807234716998)); #230664=CARTESIAN_POINT('',(25.080921,4.24,7.49736)); #230665=CARTESIAN_POINT('',(25.080921,4.25,7.49736)); #230666=CARTESIAN_POINT('',(25.080921,4.24,7.49736)); #230667=CARTESIAN_POINT('Origin',(25.0786,4.25,7.492783)); #230668=CARTESIAN_POINT('',(25.0786,4.25,7.492783)); #230669=CARTESIAN_POINT('',(28.6833500174549,4.25,14.6013313972021)); #230670=CARTESIAN_POINT('',(25.0786,4.24,7.492783)); #230671=CARTESIAN_POINT('',(25.0786,4.25,7.492783)); #230672=CARTESIAN_POINT('',(25.0786,4.24,7.492783)); #230673=CARTESIAN_POINT('Origin',(25.075903,4.25,7.488781)); #230674=CARTESIAN_POINT('',(25.075903,4.25,7.488781)); #230675=CARTESIAN_POINT('',(29.2466627515437,4.25,13.6776503087424)); #230676=CARTESIAN_POINT('',(25.075903,4.24,7.488781)); #230677=CARTESIAN_POINT('',(25.075903,4.25,7.488781)); #230678=CARTESIAN_POINT('',(25.075903,4.24,7.488781)); #230679=CARTESIAN_POINT('Origin',(25.072781,4.25,7.485321)); #230680=CARTESIAN_POINT('',(25.072781,4.25,7.485321)); #230681=CARTESIAN_POINT('',(29.5915997065703,4.25,12.4933647939529)); #230682=CARTESIAN_POINT('',(25.072781,4.24,7.485321)); #230683=CARTESIAN_POINT('',(25.072781,4.25,7.485321)); #230684=CARTESIAN_POINT('',(25.072781,4.24,7.485321)); #230685=CARTESIAN_POINT('Origin',(25.069399,4.25,7.482296)); #230686=CARTESIAN_POINT('',(25.069399,4.25,7.482296)); #230687=CARTESIAN_POINT('',(29.6246570070003,4.25,11.5567062516814)); #230688=CARTESIAN_POINT('',(25.069399,4.24,7.482296)); #230689=CARTESIAN_POINT('',(25.069399,4.25,7.482296)); #230690=CARTESIAN_POINT('',(25.069399,4.24,7.482296)); #230691=CARTESIAN_POINT('Origin',(25.065847,4.25,7.479706)); #230692=CARTESIAN_POINT('',(25.065847,4.25,7.479706)); #230693=CARTESIAN_POINT('',(29.4758190079333,4.25,10.6953105891154)); #230694=CARTESIAN_POINT('',(25.065847,4.24,7.479706)); #230695=CARTESIAN_POINT('',(25.065847,4.25,7.479706)); #230696=CARTESIAN_POINT('',(25.065847,4.24,7.479706)); #230697=CARTESIAN_POINT('Origin',(25.062119,4.25,7.477558)); #230698=CARTESIAN_POINT('',(25.062119,4.25,7.477558)); #230699=CARTESIAN_POINT('',(29.1289729082236,4.25,9.8207989321004)); #230700=CARTESIAN_POINT('',(25.062119,4.24,7.477558)); #230701=CARTESIAN_POINT('',(25.062119,4.25,7.477558)); #230702=CARTESIAN_POINT('',(25.062119,4.24,7.477558)); #230703=CARTESIAN_POINT('Origin',(25.058207,4.25,7.475845)); #230704=CARTESIAN_POINT('',(25.058207,4.25,7.475845)); #230705=CARTESIAN_POINT('',(28.5888918633844,4.25,9.0218734179373)); #230706=CARTESIAN_POINT('',(25.058207,4.24,7.475845)); #230707=CARTESIAN_POINT('',(25.058207,4.25,7.475845)); #230708=CARTESIAN_POINT('',(25.058207,4.24,7.475845)); #230709=CARTESIAN_POINT('Origin',(25.054108,4.25,7.474571)); #230710=CARTESIAN_POINT('',(25.054108,4.25,7.474571)); #230711=CARTESIAN_POINT('',(27.8777992353239,4.25,8.35219545323426)); #230712=CARTESIAN_POINT('',(25.054108,4.24,7.474571)); #230713=CARTESIAN_POINT('',(25.054108,4.25,7.474571)); #230714=CARTESIAN_POINT('',(25.054108,4.24,7.474571)); #230715=CARTESIAN_POINT('Origin',(25.049812,4.25,7.473732)); #230716=CARTESIAN_POINT('',(25.049812,4.25,7.473732)); #230717=CARTESIAN_POINT('',(27.0596695598055,4.25,7.86625306440096)); #230718=CARTESIAN_POINT('',(25.049812,4.24,7.473732)); #230719=CARTESIAN_POINT('',(25.049812,4.25,7.473732)); #230720=CARTESIAN_POINT('',(25.049812,4.24,7.473732)); #230721=CARTESIAN_POINT('Origin',(25.045315,4.25,7.473335)); #230722=CARTESIAN_POINT('',(25.045315,4.25,7.473335)); #230723=CARTESIAN_POINT('',(26.1873930839846,4.25,7.57415888244229)); #230724=CARTESIAN_POINT('',(25.045315,4.24,7.473335)); #230725=CARTESIAN_POINT('',(25.045315,4.25,7.473335)); #230726=CARTESIAN_POINT('',(25.045315,4.24,7.473335)); #230727=CARTESIAN_POINT('Origin',(25.040554,4.25,7.473373)); #230728=CARTESIAN_POINT('',(25.040554,4.25,7.473373)); #230729=CARTESIAN_POINT('',(25.350312735751,4.25,7.47090065554326)); #230730=CARTESIAN_POINT('',(25.040554,4.24,7.473373)); #230731=CARTESIAN_POINT('',(25.040554,4.25,7.473373)); #230732=CARTESIAN_POINT('',(25.040554,4.24,7.473373)); #230733=CARTESIAN_POINT('Origin',(25.035894,4.25,7.47385)); #230734=CARTESIAN_POINT('',(25.035894,4.25,7.47385)); #230735=CARTESIAN_POINT('',(24.5262935576681,4.25,7.52601296373184)); #230736=CARTESIAN_POINT('',(25.035894,4.24,7.47385)); #230737=CARTESIAN_POINT('',(25.035894,4.25,7.47385)); #230738=CARTESIAN_POINT('',(25.035894,4.24,7.47385)); #230739=CARTESIAN_POINT('Origin',(25.031471,4.25,7.474762)); #230740=CARTESIAN_POINT('',(25.031471,4.25,7.474762)); #230741=CARTESIAN_POINT('',(23.6669429642424,4.25,7.75612070870682)); #230742=CARTESIAN_POINT('',(25.031471,4.24,7.474762)); #230743=CARTESIAN_POINT('',(25.031471,4.25,7.474762)); #230744=CARTESIAN_POINT('',(25.031471,4.24,7.474762)); #230745=CARTESIAN_POINT('Origin',(25.027288,4.25,7.476116)); #230746=CARTESIAN_POINT('',(25.027288,4.25,7.476116)); #230747=CARTESIAN_POINT('',(22.8098185503493,4.25,8.1938911936012)); #230748=CARTESIAN_POINT('',(25.027288,4.24,7.476116)); #230749=CARTESIAN_POINT('',(25.027288,4.25,7.476116)); #230750=CARTESIAN_POINT('',(25.027288,4.24,7.476116)); #230751=CARTESIAN_POINT('Origin',(25.023352,4.25,7.477905)); #230752=CARTESIAN_POINT('',(25.023352,4.25,7.477905)); #230753=CARTESIAN_POINT('',(22.0449034324034,4.25,8.83167646530069)); #230754=CARTESIAN_POINT('',(25.023352,4.24,7.477905)); #230755=CARTESIAN_POINT('',(25.023352,4.25,7.477905)); #230756=CARTESIAN_POINT('',(25.023352,4.24,7.477905)); #230757=CARTESIAN_POINT('Origin',(25.019663,4.25,7.480133)); #230758=CARTESIAN_POINT('',(25.019663,4.25,7.480133)); #230759=CARTESIAN_POINT('',(21.428963363576,4.25,9.64876373731529)); #230760=CARTESIAN_POINT('',(25.019663,4.24,7.480133)); #230761=CARTESIAN_POINT('',(25.019663,4.25,7.480133)); #230762=CARTESIAN_POINT('',(25.019663,4.24,7.480133)); #230763=CARTESIAN_POINT('Origin',(25.016233,4.25,7.482796)); #230764=CARTESIAN_POINT('',(25.016233,4.25,7.482796)); #230765=CARTESIAN_POINT('',(21.0180619345129,4.25,10.5869154015754)); #230766=CARTESIAN_POINT('',(25.016233,4.24,7.482796)); #230767=CARTESIAN_POINT('',(25.016233,4.25,7.482796)); #230768=CARTESIAN_POINT('',(25.016233,4.24,7.482796)); #230769=CARTESIAN_POINT('Origin',(25.01306,4.25,7.485901)); #230770=CARTESIAN_POINT('',(25.01306,4.25,7.485901)); #230771=CARTESIAN_POINT('',(20.8365588744946,4.25,11.572896270938)); #230772=CARTESIAN_POINT('',(25.01306,4.24,7.485901)); #230773=CARTESIAN_POINT('',(25.01306,4.25,7.485901)); #230774=CARTESIAN_POINT('',(25.01306,4.24,7.485901)); #230775=CARTESIAN_POINT('Origin',(25.010107,4.25,7.489445)); #230776=CARTESIAN_POINT('',(25.010107,4.25,7.489445)); #230777=CARTESIAN_POINT('',(20.866162243347,4.25,12.4627400279626)); #230778=CARTESIAN_POINT('',(25.010107,4.24,7.489445)); #230779=CARTESIAN_POINT('',(25.010107,4.25,7.489445)); #230780=CARTESIAN_POINT('',(25.010107,4.24,7.489445)); #230781=CARTESIAN_POINT('Origin',(25.007504,4.25,7.493546)); #230782=CARTESIAN_POINT('',(25.007504,4.25,7.493546)); #230783=CARTESIAN_POINT('',(21.1609430737679,4.25,13.5537635791317)); #230784=CARTESIAN_POINT('',(25.007504,4.24,7.493546)); #230785=CARTESIAN_POINT('',(25.007504,4.25,7.493546)); #230786=CARTESIAN_POINT('',(25.007504,4.24,7.493546)); #230787=CARTESIAN_POINT('Origin',(25.0811417414206,4.25,7.52920366334572)); #230788=CARTESIAN_POINT('',(25.003473,4.25,7.503483)); #230789=CARTESIAN_POINT('Origin',(25.0811417414206,4.25,7.52920366334572)); #230790=CARTESIAN_POINT('',(25.003473,4.24,7.503483)); #230791=CARTESIAN_POINT('',(25.003473,4.25,7.503483)); #230792=CARTESIAN_POINT('Origin',(25.0811417414206,4.24,7.52920366334572)); #230793=CARTESIAN_POINT('Origin',(25.002039,4.25,7.509319)); #230794=CARTESIAN_POINT('',(25.002039,4.25,7.509319)); #230795=CARTESIAN_POINT('',(22.9982382368381,4.25,15.6642571128569)); #230796=CARTESIAN_POINT('',(25.002039,4.24,7.509319)); #230797=CARTESIAN_POINT('',(25.002039,4.25,7.509319)); #230798=CARTESIAN_POINT('',(25.002039,4.24,7.509319)); #230799=CARTESIAN_POINT('Origin',(25.000988,4.25,7.515728)); #230800=CARTESIAN_POINT('',(25.000988,4.25,7.515728)); #230801=CARTESIAN_POINT('',(23.6153866669311,4.25,15.9651275657573)); #230802=CARTESIAN_POINT('',(25.000988,4.24,7.515728)); #230803=CARTESIAN_POINT('',(25.000988,4.25,7.515728)); #230804=CARTESIAN_POINT('',(25.000988,4.24,7.515728)); #230805=CARTESIAN_POINT('Origin',(25.000315,4.25,7.522713)); #230806=CARTESIAN_POINT('',(25.000315,4.25,7.522713)); #230807=CARTESIAN_POINT('',(24.1697745220603,4.25,16.1428099367719)); #230808=CARTESIAN_POINT('',(25.000315,4.24,7.522713)); #230809=CARTESIAN_POINT('',(25.000315,4.25,7.522713)); #230810=CARTESIAN_POINT('',(25.000315,4.24,7.522713)); #230811=CARTESIAN_POINT('Origin',(25.000019,4.25,7.530266)); #230812=CARTESIAN_POINT('',(25.000019,4.25,7.530266)); #230813=CARTESIAN_POINT('',(24.6588402695396,4.25,16.2360867809856)); #230814=CARTESIAN_POINT('',(25.000019,4.24,7.530266)); #230815=CARTESIAN_POINT('',(25.000019,4.25,7.530266)); #230816=CARTESIAN_POINT('',(25.000019,4.24,7.530266)); #230817=CARTESIAN_POINT('Origin',(25.000004,4.25,7.585361)); #230818=CARTESIAN_POINT('',(25.000004,4.25,7.585361)); #230819=CARTESIAN_POINT('',(24.9976334010472,4.25,16.2925709523281)); #230820=CARTESIAN_POINT('',(25.000004,4.24,7.585361)); #230821=CARTESIAN_POINT('',(25.000004,4.25,7.585361)); #230822=CARTESIAN_POINT('',(25.000004,4.24,7.585361)); #230823=CARTESIAN_POINT('Origin',(24.9708,4.25,7.5965)); #230824=CARTESIAN_POINT('',(24.9708,4.25,7.5965)); #230825=CARTESIAN_POINT('',(22.4352508919904,4.25,8.56361003677993)); #230826=CARTESIAN_POINT('',(24.9708,4.24,7.5965)); #230827=CARTESIAN_POINT('',(24.9708,4.25,7.5965)); #230828=CARTESIAN_POINT('',(24.9708,4.24,7.5965)); #230829=CARTESIAN_POINT('Origin',(23.879841,4.25,8.007481)); #230830=CARTESIAN_POINT('',(23.879841,4.25,8.007481)); #230831=CARTESIAN_POINT('',(21.9177046492545,4.25,8.74664787938385)); #230832=CARTESIAN_POINT('',(23.879841,4.24,8.007481)); #230833=CARTESIAN_POINT('',(23.879841,4.25,8.007481)); #230834=CARTESIAN_POINT('',(23.879841,4.24,8.007481)); #230835=CARTESIAN_POINT('Origin',(33.3827476488967,4.25,8.25494657004268)); #230836=CARTESIAN_POINT('',(23.878853,4.25,8.461006)); #230837=CARTESIAN_POINT('Origin',(33.3827476488967,4.25,8.25494657004268)); #230838=CARTESIAN_POINT('',(23.878853,4.24,8.461006)); #230839=CARTESIAN_POINT('',(23.878853,4.25,8.461006)); #230840=CARTESIAN_POINT('Origin',(33.3827476488967,4.24,8.25494657004268)); #230841=CARTESIAN_POINT('Origin',(23.9929943352759,4.25,8.44824009728227)); #230842=CARTESIAN_POINT('',(23.880999,4.25,8.473701)); #230843=CARTESIAN_POINT('Origin',(23.9929943352759,4.25,8.44824009728227)); #230844=CARTESIAN_POINT('',(23.880999,4.24,8.473701)); #230845=CARTESIAN_POINT('',(23.880999,4.25,8.473701)); #230846=CARTESIAN_POINT('Origin',(23.9929943352759,4.24,8.44824009728227)); #230847=CARTESIAN_POINT('Origin',(23.882652,4.25,8.479202)); #230848=CARTESIAN_POINT('',(23.882652,4.25,8.479202)); #230849=CARTESIAN_POINT('',(26.2386546506476,4.25,16.3197165681645)); #230850=CARTESIAN_POINT('',(23.882652,4.24,8.479202)); #230851=CARTESIAN_POINT('',(23.882652,4.25,8.479202)); #230852=CARTESIAN_POINT('',(23.882652,4.24,8.479202)); #230853=CARTESIAN_POINT('Origin',(23.884703,4.25,8.484135)); #230854=CARTESIAN_POINT('',(23.884703,4.25,8.484135)); #230855=CARTESIAN_POINT('',(26.9532179941615,4.25,15.8644297178161)); #230856=CARTESIAN_POINT('',(23.884703,4.24,8.484135)); #230857=CARTESIAN_POINT('',(23.884703,4.25,8.484135)); #230858=CARTESIAN_POINT('',(23.884703,4.24,8.484135)); #230859=CARTESIAN_POINT('Origin',(23.887157,4.25,8.488499)); #230860=CARTESIAN_POINT('',(23.887157,4.25,8.488499)); #230861=CARTESIAN_POINT('',(27.6440519004618,4.25,15.1694645034945)); #230862=CARTESIAN_POINT('',(23.887157,4.24,8.488499)); #230863=CARTESIAN_POINT('',(23.887157,4.25,8.488499)); #230864=CARTESIAN_POINT('',(23.887157,4.24,8.488499)); #230865=CARTESIAN_POINT('Origin',(23.890022,4.25,8.49229)); #230866=CARTESIAN_POINT('',(23.890022,4.25,8.49229)); #230867=CARTESIAN_POINT('',(28.207385337045,4.25,14.2050733894418)); #230868=CARTESIAN_POINT('',(23.890022,4.24,8.49229)); #230869=CARTESIAN_POINT('',(23.890022,4.25,8.49229)); #230870=CARTESIAN_POINT('',(23.890022,4.24,8.49229)); #230871=CARTESIAN_POINT('Origin',(23.893063,4.25,8.495571)); #230872=CARTESIAN_POINT('',(23.893063,4.25,8.495571)); #230873=CARTESIAN_POINT('',(28.4478846972963,4.25,13.4098656362412)); #230874=CARTESIAN_POINT('',(23.893063,4.24,8.495571)); #230875=CARTESIAN_POINT('',(23.893063,4.25,8.495571)); #230876=CARTESIAN_POINT('',(23.893063,4.24,8.495571)); #230877=CARTESIAN_POINT('Origin',(23.896364,4.25,8.498405)); #230878=CARTESIAN_POINT('',(23.896364,4.25,8.498405)); #230879=CARTESIAN_POINT('',(28.5221747490463,4.25,12.4697917503205)); #230880=CARTESIAN_POINT('',(23.896364,4.24,8.498405)); #230881=CARTESIAN_POINT('',(23.896364,4.25,8.498405)); #230882=CARTESIAN_POINT('',(23.896364,4.24,8.498405)); #230883=CARTESIAN_POINT('Origin',(23.899933,4.25,8.500797)); #230884=CARTESIAN_POINT('',(23.899933,4.25,8.500797)); #230885=CARTESIAN_POINT('',(28.3707409288153,4.25,11.4972028743989)); #230886=CARTESIAN_POINT('',(23.899933,4.24,8.500797)); #230887=CARTESIAN_POINT('',(23.899933,4.25,8.500797)); #230888=CARTESIAN_POINT('',(23.899933,4.24,8.500797)); #230889=CARTESIAN_POINT('Origin',(23.9397137642255,4.25,8.42067532322606)); #230890=CARTESIAN_POINT('',(23.907848,4.25,8.504261)); #230891=CARTESIAN_POINT('Origin',(23.9397137642255,4.25,8.42067532322606)); #230892=CARTESIAN_POINT('',(23.907848,4.24,8.504261)); #230893=CARTESIAN_POINT('',(23.907848,4.25,8.504261)); #230894=CARTESIAN_POINT('Origin',(23.9397137642255,4.24,8.42067532322606)); #230895=CARTESIAN_POINT('Origin',(23.912188,4.25,8.505337)); #230896=CARTESIAN_POINT('',(23.912188,4.25,8.505337)); #230897=CARTESIAN_POINT('',(26.727758236139,4.25,9.2033908189132)); #230898=CARTESIAN_POINT('',(23.912188,4.24,8.505337)); #230899=CARTESIAN_POINT('',(23.912188,4.25,8.505337)); #230900=CARTESIAN_POINT('',(23.912188,4.24,8.505337)); #230901=CARTESIAN_POINT('Origin',(23.9253368204037,4.25,8.41356525647195)); #230902=CARTESIAN_POINT('',(23.921604,4.25,8.506199)); #230903=CARTESIAN_POINT('Origin',(23.9253368204037,4.25,8.41356525647195)); #230904=CARTESIAN_POINT('',(23.921604,4.24,8.506199)); #230905=CARTESIAN_POINT('',(23.921604,4.25,8.506199)); #230906=CARTESIAN_POINT('Origin',(23.9253368204037,4.24,8.41356525647195)); #230907=CARTESIAN_POINT('Origin',(23.926399,4.25,8.505985)); #230908=CARTESIAN_POINT('',(23.926399,4.25,8.505985)); #230909=CARTESIAN_POINT('',(24.4940064447324,4.25,8.48065278036015)); #230910=CARTESIAN_POINT('',(23.926399,4.24,8.505985)); #230911=CARTESIAN_POINT('',(23.926399,4.25,8.505985)); #230912=CARTESIAN_POINT('',(23.926399,4.24,8.505985)); #230913=CARTESIAN_POINT('Origin',(23.930964,4.25,8.505341)); #230914=CARTESIAN_POINT('',(23.930964,4.25,8.505341)); #230915=CARTESIAN_POINT('',(23.7064711049933,4.25,8.53701097248278)); #230916=CARTESIAN_POINT('',(23.930964,4.24,8.505341)); #230917=CARTESIAN_POINT('',(23.930964,4.25,8.505341)); #230918=CARTESIAN_POINT('',(23.930964,4.24,8.505341)); #230919=CARTESIAN_POINT('Origin',(23.935287,4.25,8.504261)); #230920=CARTESIAN_POINT('',(23.935287,4.25,8.504261)); #230921=CARTESIAN_POINT('',(22.8733839128749,4.25,8.76955254154439)); #230922=CARTESIAN_POINT('',(23.935287,4.24,8.504261)); #230923=CARTESIAN_POINT('',(23.935287,4.25,8.504261)); #230924=CARTESIAN_POINT('',(23.935287,4.24,8.504261)); #230925=CARTESIAN_POINT('Origin',(23.939371,4.25,8.502747)); #230926=CARTESIAN_POINT('',(23.939371,4.25,8.502747)); #230927=CARTESIAN_POINT('',(22.0688596724049,4.25,9.19617357932899)); #230928=CARTESIAN_POINT('',(23.939371,4.24,8.502747)); #230929=CARTESIAN_POINT('',(23.939371,4.25,8.502747)); #230930=CARTESIAN_POINT('',(23.939371,4.24,8.502747)); #230931=CARTESIAN_POINT('Origin',(23.943205,4.25,8.500797)); #230932=CARTESIAN_POINT('',(23.943205,4.25,8.500797)); #230933=CARTESIAN_POINT('',(21.347306217023,4.25,9.8210898082444)); #230934=CARTESIAN_POINT('',(23.943205,4.24,8.500797)); #230935=CARTESIAN_POINT('',(23.943205,4.25,8.500797)); #230936=CARTESIAN_POINT('',(23.943205,4.24,8.500797)); #230937=CARTESIAN_POINT('Origin',(23.946789,4.25,8.498405)); #230938=CARTESIAN_POINT('',(23.946789,4.25,8.498405)); #230939=CARTESIAN_POINT('',(20.778152139388,4.25,10.6131871904525)); #230940=CARTESIAN_POINT('',(23.946789,4.24,8.498405)); #230941=CARTESIAN_POINT('',(23.946789,4.25,8.498405)); #230942=CARTESIAN_POINT('',(23.946789,4.24,8.498405)); #230943=CARTESIAN_POINT('Origin',(23.950111,4.25,8.495571)); #230944=CARTESIAN_POINT('',(23.950111,4.25,8.495571)); #230945=CARTESIAN_POINT('',(20.4108743670621,4.25,11.5148956892687)); #230946=CARTESIAN_POINT('',(23.950111,4.24,8.495571)); #230947=CARTESIAN_POINT('',(23.950111,4.25,8.495571)); #230948=CARTESIAN_POINT('',(23.950111,4.24,8.495571)); #230949=CARTESIAN_POINT('Origin',(23.953184,4.25,8.49229)); #230950=CARTESIAN_POINT('',(23.953184,4.25,8.49229)); #230951=CARTESIAN_POINT('',(20.2666001874965,4.25,12.4284050305254)); #230952=CARTESIAN_POINT('',(23.953184,4.24,8.49229)); #230953=CARTESIAN_POINT('',(23.953184,4.25,8.49229)); #230954=CARTESIAN_POINT('',(23.953184,4.24,8.49229)); #230955=CARTESIAN_POINT('Origin',(23.956015,4.25,8.488499)); #230956=CARTESIAN_POINT('',(23.956015,4.25,8.488499)); #230957=CARTESIAN_POINT('',(20.3281618154819,4.25,13.3465673230411)); #230958=CARTESIAN_POINT('',(23.956015,4.24,8.488499)); #230959=CARTESIAN_POINT('',(23.956015,4.25,8.488499)); #230960=CARTESIAN_POINT('',(23.956015,4.24,8.488499)); #230961=CARTESIAN_POINT('Origin',(23.958445,4.25,8.484135)); #230962=CARTESIAN_POINT('',(23.958445,4.25,8.484135)); #230963=CARTESIAN_POINT('',(20.6664089404805,4.25,14.3962524336264)); #230964=CARTESIAN_POINT('',(23.958445,4.24,8.484135)); #230965=CARTESIAN_POINT('',(23.958445,4.25,8.484135)); #230966=CARTESIAN_POINT('',(23.958445,4.24,8.484135)); #230967=CARTESIAN_POINT('Origin',(23.8573516487374,4.25,8.44545577108672)); #230968=CARTESIAN_POINT('',(23.964905,4.25,8.433281)); #230969=CARTESIAN_POINT('Origin',(23.8573516487374,4.25,8.44545577108672)); #230970=CARTESIAN_POINT('',(23.964905,4.24,8.433281)); #230971=CARTESIAN_POINT('',(23.964905,4.25,8.433281)); #230972=CARTESIAN_POINT('Origin',(23.8573516487374,4.24,8.44545577108672)); #230973=CARTESIAN_POINT('Origin',(23.964905,4.25,8.193531)); #230974=CARTESIAN_POINT('',(23.964905,4.25,8.193531)); #230975=CARTESIAN_POINT('',(23.964905,4.25,16.5967655)); #230976=CARTESIAN_POINT('',(23.964905,4.24,8.193531)); #230977=CARTESIAN_POINT('',(23.964905,4.25,8.193531)); #230978=CARTESIAN_POINT('',(23.964905,4.24,8.193531)); #230979=CARTESIAN_POINT('Origin',(23.966413,4.25,8.19286)); #230980=CARTESIAN_POINT('',(23.966413,4.25,8.19286)); #230981=CARTESIAN_POINT('',(21.6104152409535,4.25,9.24118526280905)); #230982=CARTESIAN_POINT('',(23.966413,4.24,8.19286)); #230983=CARTESIAN_POINT('',(23.966413,4.25,8.19286)); #230984=CARTESIAN_POINT('',(23.966413,4.24,8.19286)); #230985=CARTESIAN_POINT('Origin',(24.973057,4.25,8.565422)); #230986=CARTESIAN_POINT('',(24.973057,4.25,8.565422)); #230987=CARTESIAN_POINT('',(28.0115682100224,4.25,9.68998422202525)); #230988=CARTESIAN_POINT('',(24.973057,4.24,8.565422)); #230989=CARTESIAN_POINT('',(24.973057,4.25,8.565422)); #230990=CARTESIAN_POINT('',(24.973057,4.24,8.565422)); #230991=CARTESIAN_POINT('Origin',(25.000004,4.25,8.575539)); #230992=CARTESIAN_POINT('',(25.000004,4.25,8.575539)); #230993=CARTESIAN_POINT('',(28.0528862368372,4.25,9.72171518250944)); #230994=CARTESIAN_POINT('',(25.000004,4.24,8.575539)); #230995=CARTESIAN_POINT('',(25.000004,4.25,8.575539)); #230996=CARTESIAN_POINT('',(25.000004,4.24,8.575539)); #230997=CARTESIAN_POINT('Origin',(25.6593405680631,4.25,8.60148409092564)); #230998=CARTESIAN_POINT('',(25.000988,4.25,8.645866)); #230999=CARTESIAN_POINT('Origin',(25.6593405680631,4.25,8.60148409092564)); #231000=CARTESIAN_POINT('',(25.000988,4.24,8.645866)); #231001=CARTESIAN_POINT('',(25.000988,4.25,8.645866)); #231002=CARTESIAN_POINT('Origin',(25.6593405680631,4.24,8.60148409092564)); #231003=CARTESIAN_POINT('Origin',(25.002039,4.25,8.652275)); #231004=CARTESIAN_POINT('',(25.002039,4.25,8.652275)); #231005=CARTESIAN_POINT('',(26.3178013234895,4.25,16.6757961524434)); #231006=CARTESIAN_POINT('',(25.002039,4.24,8.652275)); #231007=CARTESIAN_POINT('',(25.002039,4.25,8.652275)); #231008=CARTESIAN_POINT('',(25.002039,4.24,8.652275)); #231009=CARTESIAN_POINT('Origin',(25.003471,4.25,8.658112)); #231010=CARTESIAN_POINT('',(25.003471,4.25,8.658112)); #231011=CARTESIAN_POINT('',(26.9168688361459,4.25,16.4573460569888)); #231012=CARTESIAN_POINT('',(25.003471,4.24,8.658112)); #231013=CARTESIAN_POINT('',(25.003471,4.25,8.658112)); #231014=CARTESIAN_POINT('',(25.003471,4.24,8.658112)); #231015=CARTESIAN_POINT('Origin',(25.005293,4.25,8.663368)); #231016=CARTESIAN_POINT('',(25.005293,4.25,8.663368)); #231017=CARTESIAN_POINT('',(27.5757194110867,4.25,16.0783851331801)); #231018=CARTESIAN_POINT('',(25.005293,4.24,8.663368)); #231019=CARTESIAN_POINT('',(25.005293,4.25,8.663368)); #231020=CARTESIAN_POINT('',(25.005293,4.24,8.663368)); #231021=CARTESIAN_POINT('Origin',(25.007504,4.25,8.668049)); #231022=CARTESIAN_POINT('',(25.007504,4.25,8.668049)); #231023=CARTESIAN_POINT('',(28.2333054974535,4.25,15.4975274303846)); #231024=CARTESIAN_POINT('',(25.007504,4.24,8.668049)); #231025=CARTESIAN_POINT('',(25.007504,4.25,8.668049)); #231026=CARTESIAN_POINT('',(25.007504,4.24,8.668049)); #231027=CARTESIAN_POINT('Origin',(25.010107,4.25,8.672153)); #231028=CARTESIAN_POINT('',(25.010107,4.25,8.672153)); #231029=CARTESIAN_POINT('',(28.8159851057767,4.25,14.6726615463373)); #231030=CARTESIAN_POINT('',(25.010107,4.24,8.672153)); #231031=CARTESIAN_POINT('',(25.010107,4.25,8.672153)); #231032=CARTESIAN_POINT('',(25.010107,4.24,8.672153)); #231033=CARTESIAN_POINT('Origin',(25.01306,4.25,8.675697)); #231034=CARTESIAN_POINT('',(25.01306,4.25,8.675697)); #231035=CARTESIAN_POINT('',(29.1883878528969,4.25,13.6866559944673)); #231036=CARTESIAN_POINT('',(25.01306,4.24,8.675697)); #231037=CARTESIAN_POINT('',(25.01306,4.25,8.675697)); #231038=CARTESIAN_POINT('',(25.01306,4.24,8.675697)); #231039=CARTESIAN_POINT('Origin',(25.0683385372524,4.25,8.61907954768068)); #231040=CARTESIAN_POINT('',(25.019663,4.25,8.681465)); #231041=CARTESIAN_POINT('Origin',(25.0683385372524,4.25,8.61907954768068)); #231042=CARTESIAN_POINT('',(25.019663,4.24,8.681465)); #231043=CARTESIAN_POINT('',(25.019663,4.25,8.681465)); #231044=CARTESIAN_POINT('Origin',(25.0683385372524,4.24,8.61907954768068)); #231045=CARTESIAN_POINT('Origin',(25.023352,4.25,8.683689)); #231046=CARTESIAN_POINT('',(25.023352,4.25,8.683689)); #231047=CARTESIAN_POINT('',(28.9154157412265,4.25,11.0301107296014)); #231048=CARTESIAN_POINT('',(25.023352,4.24,8.683689)); #231049=CARTESIAN_POINT('',(25.023352,4.25,8.683689)); #231050=CARTESIAN_POINT('',(25.023352,4.24,8.683689)); #231051=CARTESIAN_POINT('Origin',(25.027288,4.25,8.685478)); #231052=CARTESIAN_POINT('',(25.027288,4.25,8.685478)); #231053=CARTESIAN_POINT('',(28.4203308362532,4.25,10.2276918297889)); #231054=CARTESIAN_POINT('',(25.027288,4.24,8.685478)); #231055=CARTESIAN_POINT('',(25.027288,4.25,8.685478)); #231056=CARTESIAN_POINT('',(25.027288,4.24,8.685478)); #231057=CARTESIAN_POINT('Origin',(25.031471,4.25,8.686832)); #231058=CARTESIAN_POINT('',(25.031471,4.25,8.686832)); #231059=CARTESIAN_POINT('',(27.7691106121368,4.25,9.57298166168526)); #231060=CARTESIAN_POINT('',(25.031471,4.24,8.686832)); #231061=CARTESIAN_POINT('',(25.031471,4.25,8.686832)); #231062=CARTESIAN_POINT('',(25.031471,4.24,8.686832)); #231063=CARTESIAN_POINT('Origin',(25.035894,4.25,8.687748)); #231064=CARTESIAN_POINT('',(25.035894,4.25,8.687748)); #231065=CARTESIAN_POINT('',(27.0218948330728,4.25,9.09904729077614)); #231066=CARTESIAN_POINT('',(25.035894,4.24,8.687748)); #231067=CARTESIAN_POINT('',(25.035894,4.25,8.687748)); #231068=CARTESIAN_POINT('',(25.035894,4.24,8.687748)); #231069=CARTESIAN_POINT('Origin',(25.0454945167823,4.25,8.59784919842215)); #231070=CARTESIAN_POINT('',(25.045315,4.25,8.688259)); #231071=CARTESIAN_POINT('Origin',(25.0454945167823,4.25,8.59784919842215)); #231072=CARTESIAN_POINT('',(25.045315,4.24,8.688259)); #231073=CARTESIAN_POINT('',(25.045315,4.25,8.688259)); #231074=CARTESIAN_POINT('Origin',(25.0454945167823,4.24,8.59784919842215)); #231075=CARTESIAN_POINT('Origin',(25.0389321287801,4.25,8.61073337224474)); #231076=CARTESIAN_POINT('',(25.054106,4.25,8.687027)); #231077=CARTESIAN_POINT('Origin',(25.0389321287801,4.25,8.61073337224474)); #231078=CARTESIAN_POINT('',(25.054106,4.24,8.687027)); #231079=CARTESIAN_POINT('',(25.054106,4.25,8.687027)); #231080=CARTESIAN_POINT('Origin',(25.0389321287801,4.24,8.61073337224474)); #231081=CARTESIAN_POINT('Origin',(25.058207,4.25,8.685753)); #231082=CARTESIAN_POINT('',(25.058207,4.25,8.685753)); #231083=CARTESIAN_POINT('',(23.085431514977,4.25,9.29860741792843)); #231084=CARTESIAN_POINT('',(25.058207,4.24,8.685753)); #231085=CARTESIAN_POINT('',(25.058207,4.25,8.685753)); #231086=CARTESIAN_POINT('',(25.058207,4.24,8.685753)); #231087=CARTESIAN_POINT('Origin',(25.062119,4.25,8.68404)); #231088=CARTESIAN_POINT('',(25.062119,4.25,8.68404)); #231089=CARTESIAN_POINT('',(22.3742015899256,4.25,9.86103451008497)); #231090=CARTESIAN_POINT('',(25.062119,4.24,8.68404)); #231091=CARTESIAN_POINT('',(25.062119,4.25,8.68404)); #231092=CARTESIAN_POINT('',(25.062119,4.24,8.68404)); #231093=CARTESIAN_POINT('Origin',(25.065847,4.25,8.681889)); #231094=CARTESIAN_POINT('',(25.065847,4.25,8.681889)); #231095=CARTESIAN_POINT('',(21.8093851690668,4.25,10.5608185596407)); #231096=CARTESIAN_POINT('',(25.065847,4.24,8.681889)); #231097=CARTESIAN_POINT('',(25.065847,4.25,8.681889)); #231098=CARTESIAN_POINT('',(25.065847,4.24,8.681889)); #231099=CARTESIAN_POINT('Origin',(25.0135782228078,4.25,8.6102177328848)); #231100=CARTESIAN_POINT('',(25.072781,4.25,8.676277)); #231101=CARTESIAN_POINT('Origin',(25.0135782228078,4.25,8.6102177328848)); #231102=CARTESIAN_POINT('',(25.072781,4.24,8.676277)); #231103=CARTESIAN_POINT('',(25.072781,4.25,8.676277)); #231104=CARTESIAN_POINT('Origin',(25.0135782228078,4.24,8.6102177328848)); #231105=CARTESIAN_POINT('Origin',(25.0239835040626,4.25,8.63451230528749)); #231106=CARTESIAN_POINT('',(25.080923,4.25,8.664234)); #231107=CARTESIAN_POINT('Origin',(25.0239835040626,4.25,8.63451230528749)); #231108=CARTESIAN_POINT('',(25.080923,4.24,8.664234)); #231109=CARTESIAN_POINT('',(25.080923,4.25,8.664234)); #231110=CARTESIAN_POINT('Origin',(25.0239835040626,4.24,8.63451230528749)); #231111=CARTESIAN_POINT('Origin',(25.082863,4.25,8.659081)); #231112=CARTESIAN_POINT('',(25.082863,4.25,8.659081)); #231113=CARTESIAN_POINT('',(22.4332248205851,4.25,15.6970116899763)); #231114=CARTESIAN_POINT('',(25.082863,4.24,8.659081)); #231115=CARTESIAN_POINT('',(25.082863,4.25,8.659081)); #231116=CARTESIAN_POINT('',(25.082863,4.24,8.659081)); #231117=CARTESIAN_POINT('Origin',(25.084421,4.25,8.653347)); #231118=CARTESIAN_POINT('',(25.084421,4.25,8.653347)); #231119=CARTESIAN_POINT('',(23.0409079938878,4.25,16.1742093729471)); #231120=CARTESIAN_POINT('',(25.084421,4.24,8.653347)); #231121=CARTESIAN_POINT('',(25.084421,4.25,8.653347)); #231122=CARTESIAN_POINT('',(25.084421,4.24,8.653347)); #231123=CARTESIAN_POINT('Origin',(23.6146740079865,4.25,8.47389567903932)); #231124=CARTESIAN_POINT('',(25.085476,4.25,8.303307)); #231125=CARTESIAN_POINT('Origin',(23.6146740079865,4.25,8.47389567903932)); #231126=CARTESIAN_POINT('',(25.085476,4.24,8.303307)); #231127=CARTESIAN_POINT('',(25.085476,4.25,8.303307)); #231128=CARTESIAN_POINT('Origin',(23.6146740079865,4.24,8.47389567903932)); #231129=CARTESIAN_POINT('Origin',(25.084259,4.25,8.297077)); #231130=CARTESIAN_POINT('',(25.084259,4.25,8.297077)); #231131=CARTESIAN_POINT('',(26.6688642750525,4.25,16.4089018673779)); #231132=CARTESIAN_POINT('',(25.084259,4.24,8.297077)); #231133=CARTESIAN_POINT('',(25.084259,4.25,8.297077)); #231134=CARTESIAN_POINT('',(25.084259,4.24,8.297077)); #231135=CARTESIAN_POINT('Origin',(25.082655,4.25,8.291424)); #231136=CARTESIAN_POINT('',(25.082655,4.25,8.291424)); #231137=CARTESIAN_POINT('',(27.3032252447538,4.25,16.1174112778021)); #231138=CARTESIAN_POINT('',(25.082655,4.24,8.291424)); #231139=CARTESIAN_POINT('',(25.082655,4.25,8.291424)); #231140=CARTESIAN_POINT('',(25.082655,4.24,8.291424)); #231141=CARTESIAN_POINT('Origin',(25.080669,4.25,8.286335)); #231142=CARTESIAN_POINT('',(25.080669,4.25,8.286335)); #231143=CARTESIAN_POINT('',(27.9584488010504,4.25,15.6604646110456)); #231144=CARTESIAN_POINT('',(25.080669,4.24,8.286335)); #231145=CARTESIAN_POINT('',(25.080669,4.25,8.286335)); #231146=CARTESIAN_POINT('',(25.080669,4.24,8.286335)); #231147=CARTESIAN_POINT('Origin',(25.078302,4.25,8.281815)); #231148=CARTESIAN_POINT('',(25.078302,4.25,8.281815)); #231149=CARTESIAN_POINT('',(28.5913049296827,4.25,14.9902109620435)); #231150=CARTESIAN_POINT('',(25.078302,4.24,8.281815)); #231151=CARTESIAN_POINT('',(25.078302,4.25,8.281815)); #231152=CARTESIAN_POINT('',(25.078302,4.24,8.281815)); #231153=CARTESIAN_POINT('Origin',(25.075562,4.25,8.277859)); #231154=CARTESIAN_POINT('',(25.075562,4.25,8.277859)); #231155=CARTESIAN_POINT('',(29.1065917859388,4.25,14.0978421507885)); #231156=CARTESIAN_POINT('',(25.075562,4.24,8.277859)); #231157=CARTESIAN_POINT('',(25.075562,4.25,8.277859)); #231158=CARTESIAN_POINT('',(25.075562,4.24,8.277859)); #231159=CARTESIAN_POINT('Origin',(25.072392,4.25,8.274441)); #231160=CARTESIAN_POINT('',(25.072392,4.25,8.274441)); #231161=CARTESIAN_POINT('',(29.4101740113606,4.25,12.9515826135186)); #231162=CARTESIAN_POINT('',(25.072392,4.24,8.274441)); #231163=CARTESIAN_POINT('',(25.072392,4.25,8.274441)); #231164=CARTESIAN_POINT('',(25.072392,4.24,8.274441)); #231165=CARTESIAN_POINT('Origin',(25.068991,4.25,8.271469)); #231166=CARTESIAN_POINT('',(25.068991,4.25,8.271469)); #231167=CARTESIAN_POINT('',(29.4206386652622,4.25,12.074202566937)); #231168=CARTESIAN_POINT('',(25.068991,4.24,8.271469)); #231169=CARTESIAN_POINT('',(25.068991,4.25,8.271469)); #231170=CARTESIAN_POINT('',(25.068991,4.24,8.271469)); #231171=CARTESIAN_POINT('Origin',(25.065418,4.25,8.268932)); #231172=CARTESIAN_POINT('',(25.065418,4.25,8.268932)); #231173=CARTESIAN_POINT('',(29.2585799275618,4.25,11.246276475293)); #231174=CARTESIAN_POINT('',(25.065418,4.24,8.268932)); #231175=CARTESIAN_POINT('',(25.065418,4.25,8.268932)); #231176=CARTESIAN_POINT('',(25.065418,4.24,8.268932)); #231177=CARTESIAN_POINT('Origin',(25.061668,4.25,8.266834)); #231178=CARTESIAN_POINT('',(25.061668,4.25,8.266834)); #231179=CARTESIAN_POINT('',(28.9078058157058,4.25,10.4186199032953)); #231180=CARTESIAN_POINT('',(25.061668,4.24,8.266834)); #231181=CARTESIAN_POINT('',(25.061668,4.25,8.266834)); #231182=CARTESIAN_POINT('',(25.061668,4.24,8.266834)); #231183=CARTESIAN_POINT('Origin',(25.057735,4.25,8.265171)); #231184=CARTESIAN_POINT('',(25.057735,4.25,8.265171)); #231185=CARTESIAN_POINT('',(28.3739795000876,4.25,9.66738676497433)); #231186=CARTESIAN_POINT('',(25.057735,4.24,8.265171)); #231187=CARTESIAN_POINT('',(25.057735,4.25,8.265171)); #231188=CARTESIAN_POINT('',(25.057735,4.24,8.265171)); #231189=CARTESIAN_POINT('Origin',(25.053612,4.25,8.26395)); #231190=CARTESIAN_POINT('',(25.053612,4.25,8.26395)); #231191=CARTESIAN_POINT('',(27.6750409231707,4.25,9.04026935852229)); #231192=CARTESIAN_POINT('',(25.053612,4.24,8.26395)); #231193=CARTESIAN_POINT('',(25.053612,4.25,8.26395)); #231194=CARTESIAN_POINT('',(25.053612,4.24,8.26395)); #231195=CARTESIAN_POINT('Origin',(25.049292,4.25,8.263165)); #231196=CARTESIAN_POINT('',(25.049292,4.25,8.263165)); #231197=CARTESIAN_POINT('',(26.884691771282,4.25,8.5966809306616)); #231198=CARTESIAN_POINT('',(25.049292,4.24,8.263165)); #231199=CARTESIAN_POINT('',(25.049292,4.25,8.263165)); #231200=CARTESIAN_POINT('',(25.049292,4.24,8.263165)); #231201=CARTESIAN_POINT('Origin',(25.044773,4.25,8.262817)); #231202=CARTESIAN_POINT('',(26.0608111929784,4.25,8.34106025982633)); #231203=CARTESIAN_POINT('',(25.044773,4.24,8.262817)); #231204=CARTESIAN_POINT('Origin',(24.4873724462729,4.24,8.080797)); #231205=CARTESIAN_POINT('Origin',(14.3169561965035,4.25,19.7977412192194)); #231206=CARTESIAN_POINT('',(14.471711,4.25,17.9826641)); #231207=CARTESIAN_POINT('',(14.075704,4.25,17.9921246)); #231208=CARTESIAN_POINT('Origin',(14.3169561965035,4.25,19.7977412192194)); #231209=CARTESIAN_POINT('',(14.471711,4.24,17.9826641)); #231210=CARTESIAN_POINT('',(14.471711,4.25,17.9826641)); #231211=CARTESIAN_POINT('',(14.075704,4.24,17.9921246)); #231212=CARTESIAN_POINT('Origin',(14.3169561965035,4.24,19.7977412192194)); #231213=CARTESIAN_POINT('',(14.075704,4.25,17.9921246)); #231214=CARTESIAN_POINT('Origin',(14.4519436158142,4.25,18.2324531319831)); #231215=CARTESIAN_POINT('',(14.696993,4.25,18.1801453)); #231216=CARTESIAN_POINT('Origin',(14.4519436158142,4.25,18.2324531319831)); #231217=CARTESIAN_POINT('',(14.696993,4.24,18.1801453)); #231218=CARTESIAN_POINT('',(14.696993,4.25,18.1801453)); #231219=CARTESIAN_POINT('Origin',(14.4519436158142,4.24,18.2324531319831)); #231220=CARTESIAN_POINT('Origin',(14.414793572538,4.25,18.1593815210565)); #231221=CARTESIAN_POINT('',(14.57942,4.25,18.3895245)); #231222=CARTESIAN_POINT('Origin',(14.414793572538,4.25,18.1593815210565)); #231223=CARTESIAN_POINT('',(14.57942,4.24,18.3895245)); #231224=CARTESIAN_POINT('',(14.57942,4.25,18.3895245)); #231225=CARTESIAN_POINT('Origin',(14.414793572538,4.24,18.1593815210565)); #231226=CARTESIAN_POINT('Origin',(14.4174816519201,4.25,18.1574121150612)); #231227=CARTESIAN_POINT('',(14.342786,4.25,18.430397)); #231228=CARTESIAN_POINT('Origin',(14.4174816519201,4.25,18.1574121150612)); #231229=CARTESIAN_POINT('',(14.342786,4.24,18.430397)); #231230=CARTESIAN_POINT('',(14.342786,4.25,18.430397)); #231231=CARTESIAN_POINT('Origin',(14.4174816519201,4.24,18.1574121150612)); #231232=CARTESIAN_POINT('Origin',(14.126327,4.25,18.430397)); #231233=CARTESIAN_POINT('',(14.126327,4.25,18.430397)); #231234=CARTESIAN_POINT('',(19.9631635,4.25,18.430397)); #231235=CARTESIAN_POINT('',(14.126327,4.24,18.430397)); #231236=CARTESIAN_POINT('',(14.126327,4.25,18.430397)); #231237=CARTESIAN_POINT('',(14.126327,4.24,18.430397)); #231238=CARTESIAN_POINT('Origin',(14.075704,4.25,18.4303989)); #231239=CARTESIAN_POINT('',(14.075704,4.25,18.4303989)); #231240=CARTESIAN_POINT('',(19.9377287054683,4.25,18.4301788844548)); #231241=CARTESIAN_POINT('',(14.075704,4.24,18.4303989)); #231242=CARTESIAN_POINT('',(14.075704,4.25,18.4303989)); #231243=CARTESIAN_POINT('',(14.075704,4.24,18.4303989)); #231244=CARTESIAN_POINT('Origin',(14.075704,4.25,17.9921246)); #231245=CARTESIAN_POINT('',(14.075704,4.25,21.4960623)); #231246=CARTESIAN_POINT('',(14.075704,4.24,17.9921246)); #231247=CARTESIAN_POINT('Origin',(14.5171426822753,4.25,18.7506537562996)); #231248=CARTESIAN_POINT('',(14.45553,4.25,19.0145988)); #231249=CARTESIAN_POINT('',(14.682405,4.25,18.9654827)); #231250=CARTESIAN_POINT('Origin',(14.5171426822753,4.25,18.7506537562996)); #231251=CARTESIAN_POINT('',(14.45553,4.24,19.0145988)); #231252=CARTESIAN_POINT('',(14.45553,4.25,19.0145988)); #231253=CARTESIAN_POINT('',(14.682405,4.24,18.9654827)); #231254=CARTESIAN_POINT('Origin',(14.5171426822753,4.24,18.7506537562996)); #231255=CARTESIAN_POINT('',(14.682405,4.25,18.9654827)); #231256=CARTESIAN_POINT('Origin',(14.303748,4.25,19.0145969)); #231257=CARTESIAN_POINT('',(14.303748,4.25,19.0145969)); #231258=CARTESIAN_POINT('',(20.0519114615977,4.25,19.0146688552422)); #231259=CARTESIAN_POINT('',(14.303748,4.24,19.0145969)); #231260=CARTESIAN_POINT('',(14.303748,4.25,19.0145969)); #231261=CARTESIAN_POINT('',(14.303748,4.24,19.0145969)); #231262=CARTESIAN_POINT('Origin',(14.147523,4.25,19.0145969)); #231263=CARTESIAN_POINT('',(14.147523,4.25,19.0145969)); #231264=CARTESIAN_POINT('',(19.9737615,4.25,19.0145969)); #231265=CARTESIAN_POINT('',(14.147523,4.24,19.0145969)); #231266=CARTESIAN_POINT('',(14.147523,4.25,19.0145969)); #231267=CARTESIAN_POINT('',(14.147523,4.24,19.0145969)); #231268=CARTESIAN_POINT('Origin',(14.075704,4.25,19.0145988)); #231269=CARTESIAN_POINT('',(14.075704,4.25,19.0145988)); #231270=CARTESIAN_POINT('',(19.9377728228213,4.25,19.0144437166549)); #231271=CARTESIAN_POINT('',(14.075704,4.24,19.0145988)); #231272=CARTESIAN_POINT('',(14.075704,4.25,19.0145988)); #231273=CARTESIAN_POINT('',(14.075704,4.24,19.0145988)); #231274=CARTESIAN_POINT('Origin',(14.075704,4.25,18.5318222)); #231275=CARTESIAN_POINT('',(14.075704,4.25,18.5318222)); #231276=CARTESIAN_POINT('',(14.075704,4.25,21.7659111)); #231277=CARTESIAN_POINT('',(14.075704,4.24,18.5318222)); #231278=CARTESIAN_POINT('',(14.075704,4.25,18.5318222)); #231279=CARTESIAN_POINT('',(14.075704,4.24,18.5318222)); #231280=CARTESIAN_POINT('Origin',(14.3225201619985,4.25,20.2116142239737)); #231281=CARTESIAN_POINT('',(14.50849,4.25,18.5240021)); #231282=CARTESIAN_POINT('Origin',(14.3225201619985,4.25,20.2116142239737)); #231283=CARTESIAN_POINT('',(14.50849,4.24,18.5240021)); #231284=CARTESIAN_POINT('',(14.50849,4.25,18.5240021)); #231285=CARTESIAN_POINT('Origin',(14.3225201619985,4.24,20.2116142239737)); #231286=CARTESIAN_POINT('Origin',(14.5026918462691,4.25,18.8129532338194)); #231287=CARTESIAN_POINT('',(14.786424,4.25,18.7579765)); #231288=CARTESIAN_POINT('Origin',(14.5026918462691,4.25,18.8129532338194)); #231289=CARTESIAN_POINT('',(14.786424,4.24,18.7579765)); #231290=CARTESIAN_POINT('',(14.786424,4.25,18.7579765)); #231291=CARTESIAN_POINT('Origin',(14.5026918462691,4.24,18.8129532338194)); #231292=CARTESIAN_POINT('Origin',(14.5155475640011,4.25,18.7520156742683)); #231293=CARTESIAN_POINT('Origin',(14.5155475640011,4.25,18.7520156742683)); #231294=CARTESIAN_POINT('Origin',(14.5155475640011,4.24,18.7520156742683)); #231295=CARTESIAN_POINT('Origin',(13.821929,4.25,19.0839157)); #231296=CARTESIAN_POINT('',(13.821929,4.25,19.0839157)); #231297=CARTESIAN_POINT('',(13.819426,4.25,19.0803471)); #231298=CARTESIAN_POINT('',(17.1873757765926,4.25,23.8821311881958)); #231299=CARTESIAN_POINT('',(13.821929,4.24,19.0839157)); #231300=CARTESIAN_POINT('',(13.821929,4.25,19.0839157)); #231301=CARTESIAN_POINT('',(13.819426,4.24,19.0803471)); #231302=CARTESIAN_POINT('',(13.821929,4.24,19.0839157)); #231303=CARTESIAN_POINT('',(13.819426,4.25,19.0803471)); #231304=CARTESIAN_POINT('Origin',(13.824843,4.25,19.0873146)); #231305=CARTESIAN_POINT('',(13.824843,4.25,19.0873146)); #231306=CARTESIAN_POINT('',(17.8222494928887,4.25,23.7499037999614)); #231307=CARTESIAN_POINT('',(13.824843,4.24,19.0873146)); #231308=CARTESIAN_POINT('',(13.824843,4.25,19.0873146)); #231309=CARTESIAN_POINT('',(13.824843,4.24,19.0873146)); #231310=CARTESIAN_POINT('Origin',(13.828176,4.25,19.090456)); #231311=CARTESIAN_POINT('',(13.828176,4.25,19.090456)); #231312=CARTESIAN_POINT('',(18.4729214922226,4.25,23.4681948206608)); #231313=CARTESIAN_POINT('',(13.828176,4.24,19.090456)); #231314=CARTESIAN_POINT('',(13.828176,4.25,19.090456)); #231315=CARTESIAN_POINT('',(13.828176,4.24,19.090456)); #231316=CARTESIAN_POINT('Origin',(13.832039,4.25,19.0931683)); #231317=CARTESIAN_POINT('',(13.832039,4.25,19.0931683)); #231318=CARTESIAN_POINT('',(19.2290710060079,4.25,22.8825469978753)); #231319=CARTESIAN_POINT('',(13.832039,4.24,19.0931683)); #231320=CARTESIAN_POINT('',(13.832039,4.25,19.0931683)); #231321=CARTESIAN_POINT('',(13.832039,4.24,19.0931683)); #231322=CARTESIAN_POINT('Origin',(13.836452,4.25,19.0955048)); #231323=CARTESIAN_POINT('',(13.836452,4.25,19.0955048)); #231324=CARTESIAN_POINT('',(19.7293769797676,4.25,22.2155635390051)); #231325=CARTESIAN_POINT('',(13.836452,4.24,19.0955048)); #231326=CARTESIAN_POINT('',(13.836452,4.25,19.0955048)); #231327=CARTESIAN_POINT('',(13.836452,4.24,19.0955048)); #231328=CARTESIAN_POINT('Origin',(13.841422,4.25,19.0974541)); #231329=CARTESIAN_POINT('',(13.841422,4.25,19.0974541)); #231330=CARTESIAN_POINT('',(20.0267436414081,4.25,21.5234193868396)); #231331=CARTESIAN_POINT('',(13.841422,4.24,19.0974541)); #231332=CARTESIAN_POINT('',(13.841422,4.25,19.0974541)); #231333=CARTESIAN_POINT('',(13.841422,4.24,19.0974541)); #231334=CARTESIAN_POINT('Origin',(13.8687452848747,4.25,19.0076159586091)); #231335=CARTESIAN_POINT('',(13.853042,4.25,19.1001949)); #231336=CARTESIAN_POINT('Origin',(13.8687452848747,4.25,19.0076159586091)); #231337=CARTESIAN_POINT('',(13.853042,4.24,19.1001949)); #231338=CARTESIAN_POINT('',(13.853042,4.25,19.1001949)); #231339=CARTESIAN_POINT('Origin',(13.8687452848747,4.24,19.0076159586091)); #231340=CARTESIAN_POINT('Origin',(13.8597,4.25,19.100975)); #231341=CARTESIAN_POINT('',(13.8597,4.25,19.100975)); #231342=CARTESIAN_POINT('',(20.0899070617878,4.25,19.8309516489795)); #231343=CARTESIAN_POINT('',(13.8597,4.24,19.100975)); #231344=CARTESIAN_POINT('',(13.8597,4.25,19.100975)); #231345=CARTESIAN_POINT('',(13.8597,4.24,19.100975)); #231346=CARTESIAN_POINT('Origin',(14.1681195582177,4.25,13.3303412609853)); #231347=CARTESIAN_POINT('',(14.468547,4.25,19.1013966)); #231348=CARTESIAN_POINT('Origin',(14.1681195582177,4.25,13.3303412609853)); #231349=CARTESIAN_POINT('',(14.468547,4.24,19.1013966)); #231350=CARTESIAN_POINT('',(14.468547,4.25,19.1013966)); #231351=CARTESIAN_POINT('Origin',(14.1681195582177,4.24,13.3303412609853)); #231352=CARTESIAN_POINT('Origin',(14.5154666100995,4.25,18.735860393343)); #231353=CARTESIAN_POINT('',(14.856577,4.25,18.8753662)); #231354=CARTESIAN_POINT('Origin',(14.5154666100995,4.25,18.735860393343)); #231355=CARTESIAN_POINT('',(14.856577,4.24,18.8753662)); #231356=CARTESIAN_POINT('',(14.856577,4.25,18.8753662)); #231357=CARTESIAN_POINT('Origin',(14.5154666100995,4.24,18.735860393343)); #231358=CARTESIAN_POINT('Origin',(14.5542003479195,4.25,18.7771314667763)); #231359=CARTESIAN_POINT('',(14.833917,4.25,18.6260014)); #231360=CARTESIAN_POINT('Origin',(14.5542003479195,4.25,18.7771314667763)); #231361=CARTESIAN_POINT('',(14.833917,4.24,18.6260014)); #231362=CARTESIAN_POINT('',(14.833917,4.25,18.6260014)); #231363=CARTESIAN_POINT('Origin',(14.5542003479195,4.24,18.7771314667763)); #231364=CARTESIAN_POINT('Origin',(14.5549900843105,4.25,18.7787193018042)); #231365=CARTESIAN_POINT('',(14.636906,4.25,18.4714527)); #231366=CARTESIAN_POINT('Origin',(14.5549900843105,4.25,18.7787193018042)); #231367=CARTESIAN_POINT('',(14.636906,4.24,18.4714527)); #231368=CARTESIAN_POINT('',(14.636906,4.25,18.4714527)); #231369=CARTESIAN_POINT('Origin',(14.5549900843105,4.24,18.7787193018042)); #231370=CARTESIAN_POINT('Origin',(14.616256,4.25,18.4636135)); #231371=CARTESIAN_POINT('',(14.616256,4.25,18.4636135)); #231372=CARTESIAN_POINT('',(20.5881753185967,4.25,20.7306871039874)); #231373=CARTESIAN_POINT('',(14.616256,4.24,18.4636135)); #231374=CARTESIAN_POINT('',(14.616256,4.25,18.4636135)); #231375=CARTESIAN_POINT('',(14.616256,4.24,18.4636135)); #231376=CARTESIAN_POINT('Origin',(14.4800582865351,4.25,18.1898047873183)); #231377=CARTESIAN_POINT('',(14.784529,4.25,18.2184162)); #231378=CARTESIAN_POINT('Origin',(14.4800582865351,4.25,18.1898047873183)); #231379=CARTESIAN_POINT('',(14.784529,4.24,18.2184162)); #231380=CARTESIAN_POINT('',(14.784529,4.25,18.2184162)); #231381=CARTESIAN_POINT('Origin',(14.4800582865351,4.24,18.1898047873183)); #231382=CARTESIAN_POINT('Origin',(14.4614304993257,4.25,18.2191905439552)); #231383=CARTESIAN_POINT('',(14.482576,4.25,17.8967838)); #231384=CARTESIAN_POINT('Origin',(14.4614304993257,4.25,18.2191905439552)); #231385=CARTESIAN_POINT('',(14.482576,4.24,17.8967838)); #231386=CARTESIAN_POINT('',(14.482576,4.25,17.8967838)); #231387=CARTESIAN_POINT('Origin',(14.4614304993257,4.24,18.2191905439552)); #231388=CARTESIAN_POINT('Origin',(14.1257054243995,4.25,25.7660569812937)); #231389=CARTESIAN_POINT('',(13.8597,4.25,17.8931885)); #231390=CARTESIAN_POINT('Origin',(14.1257054243995,4.25,25.7660569812937)); #231391=CARTESIAN_POINT('',(13.8597,4.24,17.8931885)); #231392=CARTESIAN_POINT('',(13.8597,4.25,17.8931885)); #231393=CARTESIAN_POINT('Origin',(14.1257054243995,4.24,25.7660569812937)); #231394=CARTESIAN_POINT('Origin',(13.868205250046,4.25,17.9916408416303)); #231395=CARTESIAN_POINT('',(13.841422,4.25,17.8965206)); #231396=CARTESIAN_POINT('Origin',(13.868205250046,4.25,17.9916408416303)); #231397=CARTESIAN_POINT('',(13.841422,4.24,17.8965206)); #231398=CARTESIAN_POINT('',(13.841422,4.25,17.8965206)); #231399=CARTESIAN_POINT('Origin',(13.868205250046,4.24,17.9916408416303)); #231400=CARTESIAN_POINT('Origin',(13.836452,4.25,17.8984165)); #231401=CARTESIAN_POINT('',(13.836452,4.25,17.8984165)); #231402=CARTESIAN_POINT('',(17.8758960310892,4.25,16.3574945807756)); #231403=CARTESIAN_POINT('',(13.836452,4.24,17.8984165)); #231404=CARTESIAN_POINT('',(13.836452,4.25,17.8984165)); #231405=CARTESIAN_POINT('',(13.836452,4.24,17.8984165)); #231406=CARTESIAN_POINT('Origin',(13.832039,4.25,17.9007168)); #231407=CARTESIAN_POINT('',(13.832039,4.25,17.9007168)); #231408=CARTESIAN_POINT('',(17.0825598745665,4.25,16.2063653003918)); #231409=CARTESIAN_POINT('',(13.832039,4.24,17.9007168)); #231410=CARTESIAN_POINT('',(13.832039,4.25,17.9007168)); #231411=CARTESIAN_POINT('',(13.832039,4.24,17.9007168)); #231412=CARTESIAN_POINT('Origin',(13.873785238009,4.25,17.9606748569076)); #231413=CARTESIAN_POINT('',(13.824843,4.25,17.9064312)); #231414=CARTESIAN_POINT('Origin',(13.873785238009,4.25,17.9606748569076)); #231415=CARTESIAN_POINT('',(13.824843,4.24,17.9064312)); #231416=CARTESIAN_POINT('',(13.824843,4.25,17.9064312)); #231417=CARTESIAN_POINT('Origin',(13.873785238009,4.24,17.9606748569076)); #231418=CARTESIAN_POINT('Origin',(13.821929,4.25,17.9096394)); #231419=CARTESIAN_POINT('',(13.821929,4.25,17.9096394)); #231420=CARTESIAN_POINT('',(14.7648866754223,4.25,16.8714798892616)); #231421=CARTESIAN_POINT('',(13.821929,4.24,17.9096394)); #231422=CARTESIAN_POINT('',(13.821929,4.25,17.9096394)); #231423=CARTESIAN_POINT('',(13.821929,4.24,17.9096394)); #231424=CARTESIAN_POINT('Origin',(13.819426,4.25,17.9131203)); #231425=CARTESIAN_POINT('',(13.819426,4.25,17.9131203)); #231426=CARTESIAN_POINT('',(14.1815420685573,4.25,17.4095286807265)); #231427=CARTESIAN_POINT('',(13.819426,4.24,17.9131203)); #231428=CARTESIAN_POINT('',(13.819426,4.25,17.9131203)); #231429=CARTESIAN_POINT('',(13.819426,4.24,17.9131203)); #231430=CARTESIAN_POINT('Origin',(13.817336,4.25,17.9168644)); #231431=CARTESIAN_POINT('',(13.817336,4.25,17.9168644)); #231432=CARTESIAN_POINT('',(13.7334353709932,4.25,18.0671669574467)); #231433=CARTESIAN_POINT('',(13.817336,4.24,17.9168644)); #231434=CARTESIAN_POINT('',(13.817336,4.25,17.9168644)); #231435=CARTESIAN_POINT('',(13.817336,4.24,17.9168644)); #231436=CARTESIAN_POINT('Origin',(13.81567,4.25,17.9208717)); #231437=CARTESIAN_POINT('',(13.81567,4.25,17.9208717)); #231438=CARTESIAN_POINT('',(13.444048995657,4.25,18.8147473606879)); #231439=CARTESIAN_POINT('',(13.81567,4.24,17.9208717)); #231440=CARTESIAN_POINT('',(13.81567,4.25,17.9208717)); #231441=CARTESIAN_POINT('',(13.81567,4.24,17.9208717)); #231442=CARTESIAN_POINT('Origin',(13.814425,4.25,17.9251328)); #231443=CARTESIAN_POINT('',(13.814425,4.25,17.9251328)); #231444=CARTESIAN_POINT('',(13.333511542052,4.25,19.5710929089643)); #231445=CARTESIAN_POINT('',(13.814425,4.24,17.9251328)); #231446=CARTESIAN_POINT('',(13.814425,4.25,17.9251328)); #231447=CARTESIAN_POINT('',(13.814425,4.24,17.9251328)); #231448=CARTESIAN_POINT('Origin',(13.813612,4.25,17.9296474)); #231449=CARTESIAN_POINT('',(13.813612,4.25,17.9296474)); #231450=CARTESIAN_POINT('',(13.3852382949253,4.25,20.3084123802295)); #231451=CARTESIAN_POINT('',(13.813612,4.24,17.9296474)); #231452=CARTESIAN_POINT('',(13.813612,4.25,17.9296474)); #231453=CARTESIAN_POINT('',(13.813612,4.24,17.9296474)); #231454=CARTESIAN_POINT('Origin',(13.813232,4.25,17.9344063)); #231455=CARTESIAN_POINT('',(13.813232,4.25,17.9344063)); #231456=CARTESIAN_POINT('',(13.5708962825201,4.25,20.9692785261105)); #231457=CARTESIAN_POINT('',(13.813232,4.24,17.9344063)); #231458=CARTESIAN_POINT('',(13.813232,4.25,17.9344063)); #231459=CARTESIAN_POINT('',(13.813232,4.24,17.9344063)); #231460=CARTESIAN_POINT('Origin',(13.8982214220713,4.25,17.9342210520142)); #231461=CARTESIAN_POINT('',(13.813791,4.25,17.9439545)); #231462=CARTESIAN_POINT('Origin',(13.8982214220713,4.25,17.9342210520142)); #231463=CARTESIAN_POINT('',(13.813791,4.24,17.9439545)); #231464=CARTESIAN_POINT('',(13.813791,4.25,17.9439545)); #231465=CARTESIAN_POINT('Origin',(13.8982214220713,4.24,17.9342210520142)); #231466=CARTESIAN_POINT('Origin',(13.814723,4.25,17.9483833)); #231467=CARTESIAN_POINT('',(13.814723,4.25,17.9483833)); #231468=CARTESIAN_POINT('',(14.7793632204551,4.25,22.5322873862124)); #231469=CARTESIAN_POINT('',(13.814723,4.24,17.9483833)); #231470=CARTESIAN_POINT('',(13.814723,4.25,17.9483833)); #231471=CARTESIAN_POINT('',(13.814723,4.24,17.9483833)); #231472=CARTESIAN_POINT('Origin',(13.816082,4.25,17.9525681)); #231473=CARTESIAN_POINT('',(13.816082,4.25,17.9525681)); #231474=CARTESIAN_POINT('',(15.4228601518282,4.25,22.900357069659)); #231475=CARTESIAN_POINT('',(13.816082,4.24,17.9525681)); #231476=CARTESIAN_POINT('',(13.816082,4.25,17.9525681)); #231477=CARTESIAN_POINT('',(13.816082,4.24,17.9525681)); #231478=CARTESIAN_POINT('Origin',(13.817865,4.25,17.9565048)); #231479=CARTESIAN_POINT('',(13.817865,4.25,17.9565048)); #231480=CARTESIAN_POINT('',(16.1612003929117,4.25,23.130373808014)); #231481=CARTESIAN_POINT('',(13.817865,4.24,17.9565048)); #231482=CARTESIAN_POINT('',(13.817865,4.25,17.9565048)); #231483=CARTESIAN_POINT('',(13.817865,4.24,17.9565048)); #231484=CARTESIAN_POINT('Origin',(13.820067,4.25,17.9601898)); #231485=CARTESIAN_POINT('',(13.820067,4.25,17.9601898)); #231486=CARTESIAN_POINT('',(16.9460652210475,4.25,23.1914811008847)); #231487=CARTESIAN_POINT('',(13.820067,4.24,17.9601898)); #231488=CARTESIAN_POINT('',(13.820067,4.25,17.9601898)); #231489=CARTESIAN_POINT('',(13.820067,4.24,17.9601898)); #231490=CARTESIAN_POINT('Origin',(13.822683,4.25,17.9636173)); #231491=CARTESIAN_POINT('',(13.822683,4.25,17.9636173)); #231492=CARTESIAN_POINT('',(17.7239036303457,4.25,23.0750216235978)); #231493=CARTESIAN_POINT('',(13.822683,4.24,17.9636173)); #231494=CARTESIAN_POINT('',(13.822683,4.25,17.9636173)); #231495=CARTESIAN_POINT('',(13.822683,4.24,17.9636173)); #231496=CARTESIAN_POINT('Origin',(13.825707,4.25,17.9667816)); #231497=CARTESIAN_POINT('',(13.825707,4.25,17.9667816)); #231498=CARTESIAN_POINT('',(18.4401084529078,4.25,22.7952705277164)); #231499=CARTESIAN_POINT('',(13.825707,4.24,17.9667816)); #231500=CARTESIAN_POINT('',(13.825707,4.25,17.9667816)); #231501=CARTESIAN_POINT('',(13.825707,4.24,17.9667816)); #231502=CARTESIAN_POINT('Origin',(13.829176,4.25,17.9697227)); #231503=CARTESIAN_POINT('',(13.829176,4.25,17.9697227)); #231504=CARTESIAN_POINT('',(19.0453758348974,4.25,22.3921399194942)); #231505=CARTESIAN_POINT('',(13.829176,4.24,17.9697227)); #231506=CARTESIAN_POINT('',(13.829176,4.25,17.9697227)); #231507=CARTESIAN_POINT('',(13.829176,4.24,17.9697227)); #231508=CARTESIAN_POINT('Origin',(13.833189,4.25,17.9722958)); #231509=CARTESIAN_POINT('',(13.833189,4.25,17.9722958)); #231510=CARTESIAN_POINT('',(19.6699859428591,4.25,21.7147982205498)); #231511=CARTESIAN_POINT('',(13.833189,4.24,17.9722958)); #231512=CARTESIAN_POINT('',(13.833189,4.25,17.9722958)); #231513=CARTESIAN_POINT('',(13.833189,4.24,17.9722958)); #231514=CARTESIAN_POINT('Origin',(13.8702129476743,4.25,17.8951632307705)); #231515=CARTESIAN_POINT('',(13.842875,4.25,17.9762363)); #231516=CARTESIAN_POINT('Origin',(13.8702129476743,4.25,17.8951632307705)); #231517=CARTESIAN_POINT('',(13.842875,4.24,17.9762363)); #231518=CARTESIAN_POINT('',(13.842875,4.25,17.9762363)); #231519=CARTESIAN_POINT('Origin',(13.8702129476743,4.24,17.8951632307705)); #231520=CARTESIAN_POINT('Origin',(13.848556,4.25,17.9776211)); #231521=CARTESIAN_POINT('',(13.848556,4.25,17.9776211)); #231522=CARTESIAN_POINT('',(20.297005486459,4.25,19.549494511168)); #231523=CARTESIAN_POINT('',(13.848556,4.24,17.9776211)); #231524=CARTESIAN_POINT('',(13.848556,4.25,17.9776211)); #231525=CARTESIAN_POINT('',(13.848556,4.24,17.9776211)); #231526=CARTESIAN_POINT('Origin',(13.8690412994153,4.25,17.8664178805882)); #231527=CARTESIAN_POINT('',(13.868999,4.25,17.9794922)); #231528=CARTESIAN_POINT('Origin',(13.8690412994153,4.25,17.8664178805882)); #231529=CARTESIAN_POINT('',(13.868999,4.24,17.9794922)); #231530=CARTESIAN_POINT('',(13.868999,4.25,17.9794922)); #231531=CARTESIAN_POINT('Origin',(13.8690412994153,4.24,17.8664178805882)); #231532=CARTESIAN_POINT('Origin',(13.988857,4.25,17.9794979)); #231533=CARTESIAN_POINT('',(13.988857,4.25,17.9794979)); #231534=CARTESIAN_POINT('',(19.8945954211076,4.25,17.97977875492)); #231535=CARTESIAN_POINT('',(13.988857,4.24,17.9794979)); #231536=CARTESIAN_POINT('',(13.988857,4.25,17.9794979)); #231537=CARTESIAN_POINT('',(13.988857,4.24,17.9794979)); #231538=CARTESIAN_POINT('Origin',(13.988904,4.25,19.0145988)); #231539=CARTESIAN_POINT('',(13.988904,4.25,19.0145988)); #231540=CARTESIAN_POINT('',(13.9890398993417,4.25,22.0075675423316)); #231541=CARTESIAN_POINT('',(13.988904,4.24,19.0145988)); #231542=CARTESIAN_POINT('',(13.988904,4.25,19.0145988)); #231543=CARTESIAN_POINT('',(13.988904,4.24,19.0145988)); #231544=CARTESIAN_POINT('Origin',(13.9297112035704,4.25,19.8219534993601)); #231545=CARTESIAN_POINT('',(13.848556,4.25,19.01651)); #231546=CARTESIAN_POINT('Origin',(13.9297112035704,4.25,19.8219534993601)); #231547=CARTESIAN_POINT('',(13.848556,4.24,19.01651)); #231548=CARTESIAN_POINT('',(13.848556,4.25,19.01651)); #231549=CARTESIAN_POINT('Origin',(13.9297112035704,4.24,19.8219534993601)); #231550=CARTESIAN_POINT('Origin',(13.842875,4.25,19.0179138)); #231551=CARTESIAN_POINT('',(13.842875,4.25,19.0179138)); #231552=CARTESIAN_POINT('',(18.7808236507247,4.25,17.7977250452236)); #231553=CARTESIAN_POINT('',(13.842875,4.24,19.0179138)); #231554=CARTESIAN_POINT('',(13.842875,4.25,19.0179138)); #231555=CARTESIAN_POINT('',(13.842875,4.24,19.0179138)); #231556=CARTESIAN_POINT('Origin',(13.837752,4.25,19.0197048)); #231557=CARTESIAN_POINT('',(13.837752,4.25,19.0197048)); #231558=CARTESIAN_POINT('',(18.2359703907674,4.25,17.4820883374077)); #231559=CARTESIAN_POINT('',(13.837752,4.24,19.0197048)); #231560=CARTESIAN_POINT('',(13.837752,4.25,19.0197048)); #231561=CARTESIAN_POINT('',(13.837752,4.24,19.0197048)); #231562=CARTESIAN_POINT('Origin',(13.833189,4.25,19.0218887)); #231563=CARTESIAN_POINT('',(13.833189,4.25,19.0218887)); #231564=CARTESIAN_POINT('',(17.5374686883302,4.25,17.2489813120207)); #231565=CARTESIAN_POINT('',(13.833189,4.24,19.0218887)); #231566=CARTESIAN_POINT('',(13.833189,4.25,19.0218887)); #231567=CARTESIAN_POINT('',(13.833189,4.24,19.0218887)); #231568=CARTESIAN_POINT('Origin',(13.829176,4.25,19.0244694)); #231569=CARTESIAN_POINT('',(13.829176,4.25,19.0244694)); #231570=CARTESIAN_POINT('',(16.7042078097618,4.25,17.1755796438439)); #231571=CARTESIAN_POINT('',(13.829176,4.24,19.0244694)); #231572=CARTESIAN_POINT('',(13.829176,4.25,19.0244694)); #231573=CARTESIAN_POINT('',(13.829176,4.24,19.0244694)); #231574=CARTESIAN_POINT('Origin',(13.825707,4.25,19.0274162)); #231575=CARTESIAN_POINT('',(13.825707,4.25,19.0274162)); #231576=CARTESIAN_POINT('',(15.8298912585428,4.25,17.3249284014768)); #231577=CARTESIAN_POINT('',(13.825707,4.24,19.0274162)); #231578=CARTESIAN_POINT('',(13.825707,4.25,19.0274162)); #231579=CARTESIAN_POINT('',(13.825707,4.24,19.0274162)); #231580=CARTESIAN_POINT('Origin',(13.822683,4.25,19.0305786)); #231581=CARTESIAN_POINT('',(13.822683,4.25,19.0305786)); #231582=CARTESIAN_POINT('',(15.1922407980157,4.25,17.598339982131)); #231583=CARTESIAN_POINT('',(13.822683,4.24,19.0305786)); #231584=CARTESIAN_POINT('',(13.822683,4.25,19.0305786)); #231585=CARTESIAN_POINT('',(13.822683,4.24,19.0305786)); #231586=CARTESIAN_POINT('Origin',(13.820067,4.25,19.0340061)); #231587=CARTESIAN_POINT('',(13.820067,4.25,19.0340061)); #231588=CARTESIAN_POINT('',(14.5863165118613,4.25,18.0300610686889)); #231589=CARTESIAN_POINT('',(13.820067,4.24,19.0340061)); #231590=CARTESIAN_POINT('',(13.820067,4.25,19.0340061)); #231591=CARTESIAN_POINT('',(13.820067,4.24,19.0340061)); #231592=CARTESIAN_POINT('Origin',(13.817865,4.25,19.0376911)); #231593=CARTESIAN_POINT('',(13.817865,4.25,19.0376911)); #231594=CARTESIAN_POINT('',(14.0815568915124,4.25,18.5964083478554)); #231595=CARTESIAN_POINT('',(13.817865,4.24,19.0376911)); #231596=CARTESIAN_POINT('',(13.817865,4.25,19.0376911)); #231597=CARTESIAN_POINT('',(13.817865,4.24,19.0376911)); #231598=CARTESIAN_POINT('Origin',(13.816082,4.25,19.0416279)); #231599=CARTESIAN_POINT('',(13.816082,4.25,19.0416279)); #231600=CARTESIAN_POINT('',(13.7163430484622,4.25,19.26184792491)); #231601=CARTESIAN_POINT('',(13.816082,4.24,19.0416279)); #231602=CARTESIAN_POINT('',(13.816082,4.25,19.0416279)); #231603=CARTESIAN_POINT('',(13.816082,4.24,19.0416279)); #231604=CARTESIAN_POINT('Origin',(13.814723,4.25,19.0458126)); #231605=CARTESIAN_POINT('',(13.814723,4.25,19.0458126)); #231606=CARTESIAN_POINT('',(13.5118568368356,4.25,19.9784130657786)); #231607=CARTESIAN_POINT('',(13.814723,4.24,19.0458126)); #231608=CARTESIAN_POINT('',(13.814723,4.25,19.0458126)); #231609=CARTESIAN_POINT('',(13.814723,4.24,19.0458126)); #231610=CARTESIAN_POINT('Origin',(13.813791,4.25,19.0502396)); #231611=CARTESIAN_POINT('',(13.813791,4.25,19.0502396)); #231612=CARTESIAN_POINT('',(13.468429665252,4.25,20.6907059400529)); #231613=CARTESIAN_POINT('',(13.813791,4.24,19.0502396)); #231614=CARTESIAN_POINT('',(13.813791,4.25,19.0502396)); #231615=CARTESIAN_POINT('',(13.813791,4.24,19.0502396)); #231616=CARTESIAN_POINT('Origin',(13.813293,4.25,19.0549068)); #231617=CARTESIAN_POINT('',(13.813293,4.25,19.0549068)); #231618=CARTESIAN_POINT('',(13.56715477305,4.25,21.3616865847716)); #231619=CARTESIAN_POINT('',(13.813293,4.24,19.0549068)); #231620=CARTESIAN_POINT('',(13.813293,4.25,19.0549068)); #231621=CARTESIAN_POINT('',(13.813293,4.24,19.0549068)); #231622=CARTESIAN_POINT('Origin',(13.813232,4.25,19.0596943)); #231623=CARTESIAN_POINT('',(13.813232,4.25,19.0596943)); #231624=CARTESIAN_POINT('',(13.7763667427914,4.25,21.9530126424957)); #231625=CARTESIAN_POINT('',(13.813232,4.24,19.0596943)); #231626=CARTESIAN_POINT('',(13.813232,4.25,19.0596943)); #231627=CARTESIAN_POINT('',(13.813232,4.24,19.0596943)); #231628=CARTESIAN_POINT('Origin',(13.813612,4.25,19.0642185)); #231629=CARTESIAN_POINT('',(13.813612,4.25,19.0642185)); #231630=CARTESIAN_POINT('',(14.1031314867701,4.25,22.5111765580354)); #231631=CARTESIAN_POINT('',(13.813612,4.24,19.0642185)); #231632=CARTESIAN_POINT('',(13.813612,4.25,19.0642185)); #231633=CARTESIAN_POINT('',(13.813612,4.24,19.0642185)); #231634=CARTESIAN_POINT('Origin',(13.814425,4.25,19.0685387)); #231635=CARTESIAN_POINT('',(13.814425,4.25,19.0685387)); #231636=CARTESIAN_POINT('',(14.5584134787574,4.25,23.0220184366808)); #231637=CARTESIAN_POINT('',(13.814425,4.24,19.0685387)); #231638=CARTESIAN_POINT('',(13.814425,4.25,19.0685387)); #231639=CARTESIAN_POINT('',(13.814425,4.24,19.0685387)); #231640=CARTESIAN_POINT('Origin',(13.81567,4.25,19.0726643)); #231641=CARTESIAN_POINT('',(13.81567,4.25,19.0726643)); #231642=CARTESIAN_POINT('',(15.1355253434485,4.25,23.4463150670089)); #231643=CARTESIAN_POINT('',(13.81567,4.24,19.0726643)); #231644=CARTESIAN_POINT('',(13.81567,4.25,19.0726643)); #231645=CARTESIAN_POINT('',(13.81567,4.24,19.0726643)); #231646=CARTESIAN_POINT('Origin',(13.817336,4.25,19.0765972)); #231647=CARTESIAN_POINT('',(13.817336,4.25,19.0765972)); #231648=CARTESIAN_POINT('',(15.7925846286806,4.25,23.7395355743981)); #231649=CARTESIAN_POINT('',(13.817336,4.24,19.0765972)); #231650=CARTESIAN_POINT('',(13.817336,4.25,19.0765972)); #231651=CARTESIAN_POINT('',(13.817336,4.24,19.0765972)); #231652=CARTESIAN_POINT('Origin',(13.819426,4.25,19.0803471)); #231653=CARTESIAN_POINT('',(16.4978630907055,4.25,23.8860271222114)); #231654=CARTESIAN_POINT('',(13.819426,4.24,19.0803471)); #231655=CARTESIAN_POINT('Origin',(14.3426829177577,4.24,18.4989535084078)); #231656=CARTESIAN_POINT('Origin',(24.5959817461809,4.25,14.3906977678383)); #231657=CARTESIAN_POINT('',(24.578306,4.25,14.854198)); #231658=CARTESIAN_POINT('',(24.945232,4.25,14.695934)); #231659=CARTESIAN_POINT('Origin',(24.5959817461809,4.25,14.3906977678383)); #231660=CARTESIAN_POINT('',(24.578306,4.24,14.854198)); #231661=CARTESIAN_POINT('',(24.578306,4.25,14.854198)); #231662=CARTESIAN_POINT('',(24.945232,4.24,14.695934)); #231663=CARTESIAN_POINT('Origin',(24.5959817461809,4.24,14.3906977678383)); #231664=CARTESIAN_POINT('',(24.945232,4.25,14.695934)); #231665=CARTESIAN_POINT('Origin',(24.4661780985009,4.25,14.3334162363266)); #231666=CARTESIAN_POINT('',(23.976831,4.25,14.543953)); #231667=CARTESIAN_POINT('Origin',(24.4661780985009,4.25,14.3334162363266)); #231668=CARTESIAN_POINT('',(23.976831,4.24,14.543953)); #231669=CARTESIAN_POINT('',(23.976831,4.25,14.543953)); #231670=CARTESIAN_POINT('Origin',(24.4661780985009,4.24,14.3334162363266)); #231671=CARTESIAN_POINT('Origin',(24.384367581182,4.25,14.3967370029602)); #231672=CARTESIAN_POINT('',(24.11256,4.25,14.059277)); #231673=CARTESIAN_POINT('Origin',(24.384367581182,4.25,14.3967370029602)); #231674=CARTESIAN_POINT('',(24.11256,4.24,14.059277)); #231675=CARTESIAN_POINT('',(24.11256,4.25,14.059277)); #231676=CARTESIAN_POINT('Origin',(24.384367581182,4.24,14.3967370029602)); #231677=CARTESIAN_POINT('Origin',(24.133024,4.25,14.052914)); #231678=CARTESIAN_POINT('',(24.133024,4.25,14.052914)); #231679=CARTESIAN_POINT('',(23.3411485162307,4.25,14.2991368158339)); #231680=CARTESIAN_POINT('',(24.133024,4.24,14.052914)); #231681=CARTESIAN_POINT('',(24.133024,4.25,14.052914)); #231682=CARTESIAN_POINT('',(24.133024,4.24,14.052914)); #231683=CARTESIAN_POINT('Origin',(24.0433662792556,4.25,13.2824883925839)); #231684=CARTESIAN_POINT('',(24.179686,4.25,14.04604)); #231685=CARTESIAN_POINT('Origin',(24.0433662792556,4.25,13.2824883925839)); #231686=CARTESIAN_POINT('',(24.179686,4.24,14.04604)); #231687=CARTESIAN_POINT('',(24.179686,4.25,14.04604)); #231688=CARTESIAN_POINT('Origin',(24.0433662792556,4.24,13.2824883925839)); #231689=CARTESIAN_POINT('Origin',(24.184589,4.25,14.044262)); #231690=CARTESIAN_POINT('',(24.184589,4.25,14.044262)); #231691=CARTESIAN_POINT('',(23.1428233953891,4.25,14.4220427964507)); #231692=CARTESIAN_POINT('',(24.184589,4.24,14.044262)); #231693=CARTESIAN_POINT('',(24.184589,4.25,14.044262)); #231694=CARTESIAN_POINT('',(24.184589,4.24,14.044262)); #231695=CARTESIAN_POINT('Origin',(24.188906,4.25,14.042149)); #231696=CARTESIAN_POINT('',(24.188906,4.25,14.042149)); #231697=CARTESIAN_POINT('',(22.6753429776368,4.25,14.7829779706405)); #231698=CARTESIAN_POINT('',(24.188906,4.24,14.042149)); #231699=CARTESIAN_POINT('',(24.188906,4.25,14.042149)); #231700=CARTESIAN_POINT('',(24.188906,4.24,14.042149)); #231701=CARTESIAN_POINT('Origin',(24.192642,4.25,14.039696)); #231702=CARTESIAN_POINT('',(24.192642,4.25,14.039696)); #231703=CARTESIAN_POINT('',(22.2399497761136,4.25,15.3218036084535)); #231704=CARTESIAN_POINT('',(24.192642,4.24,14.039696)); #231705=CARTESIAN_POINT('',(24.192642,4.25,14.039696)); #231706=CARTESIAN_POINT('',(24.192642,4.24,14.039696)); #231707=CARTESIAN_POINT('Origin',(24.195807,4.25,14.036903)); #231708=CARTESIAN_POINT('',(24.195807,4.25,14.036903)); #231709=CARTESIAN_POINT('',(21.927253283825,4.25,16.0388210187306)); #231710=CARTESIAN_POINT('',(24.195807,4.24,14.036903)); #231711=CARTESIAN_POINT('',(24.195807,4.25,14.036903)); #231712=CARTESIAN_POINT('',(24.195807,4.24,14.036903)); #231713=CARTESIAN_POINT('Origin',(24.198637,4.25,14.033882)); #231714=CARTESIAN_POINT('',(24.198637,4.25,14.033882)); #231715=CARTESIAN_POINT('',(21.8371753260814,4.25,16.5547214759436)); #231716=CARTESIAN_POINT('',(24.198637,4.24,14.033882)); #231717=CARTESIAN_POINT('',(24.198637,4.25,14.033882)); #231718=CARTESIAN_POINT('',(24.198637,4.24,14.033882)); #231719=CARTESIAN_POINT('Origin',(24.201071,4.25,14.030659)); #231720=CARTESIAN_POINT('',(24.201071,4.25,14.030659)); #231721=CARTESIAN_POINT('',(21.853748011739,4.25,17.1388849618601)); #231722=CARTESIAN_POINT('',(24.201071,4.24,14.030659)); #231723=CARTESIAN_POINT('',(24.201071,4.25,14.030659)); #231724=CARTESIAN_POINT('',(24.201071,4.24,14.030659)); #231725=CARTESIAN_POINT('Origin',(24.1238895451896,4.25,13.9855092188405)); #231726=CARTESIAN_POINT('',(24.204773,4.25,14.023632)); #231727=CARTESIAN_POINT('Origin',(24.1238895451896,4.25,13.9855092188405)); #231728=CARTESIAN_POINT('',(24.204773,4.24,14.023632)); #231729=CARTESIAN_POINT('',(24.204773,4.25,14.023632)); #231730=CARTESIAN_POINT('Origin',(24.1238895451896,4.24,13.9855092188405)); #231731=CARTESIAN_POINT('Origin',(24.1042768576774,4.25,13.9914076882434)); #231732=CARTESIAN_POINT('',(24.20694,4.25,14.015865)); #231733=CARTESIAN_POINT('Origin',(24.1042768576774,4.25,13.9914076882434)); #231734=CARTESIAN_POINT('',(24.20694,4.24,14.015865)); #231735=CARTESIAN_POINT('',(24.20694,4.25,14.015865)); #231736=CARTESIAN_POINT('Origin',(24.1042768576774,4.24,13.9914076882434)); #231737=CARTESIAN_POINT('Origin',(24.207457,4.25,14.011723)); #231738=CARTESIAN_POINT('',(24.207457,4.25,14.011723)); #231739=CARTESIAN_POINT('',(23.5444202019023,4.25,19.3237122025302)); #231740=CARTESIAN_POINT('',(24.207457,4.24,14.011723)); #231741=CARTESIAN_POINT('',(24.207457,4.25,14.011723)); #231742=CARTESIAN_POINT('',(24.207457,4.24,14.011723)); #231743=CARTESIAN_POINT('Origin',(24.207602,4.25,14.007397)); #231744=CARTESIAN_POINT('',(24.207602,4.25,14.007397)); #231745=CARTESIAN_POINT('',(24.0244757743853,4.25,19.4708732208247)); #231746=CARTESIAN_POINT('',(24.207602,4.24,14.007397)); #231747=CARTESIAN_POINT('',(24.207602,4.25,14.007397)); #231748=CARTESIAN_POINT('',(24.207602,4.24,14.007397)); #231749=CARTESIAN_POINT('Origin',(24.207357,4.25,14.002972)); #231750=CARTESIAN_POINT('',(24.207357,4.25,14.002972)); #231751=CARTESIAN_POINT('',(24.5132977752928,4.25,19.5286370231757)); #231752=CARTESIAN_POINT('',(24.207357,4.24,14.002972)); #231753=CARTESIAN_POINT('',(24.207357,4.25,14.002972)); #231754=CARTESIAN_POINT('',(24.207357,4.24,14.002972)); #231755=CARTESIAN_POINT('Origin',(24.206709,4.25,13.998714)); #231756=CARTESIAN_POINT('',(24.206709,4.25,13.998714)); #231757=CARTESIAN_POINT('',(25.042903579423,4.25,19.4933382579929)); #231758=CARTESIAN_POINT('',(24.206709,4.24,13.998714)); #231759=CARTESIAN_POINT('',(24.206709,4.25,13.998714)); #231760=CARTESIAN_POINT('',(24.206709,4.24,13.998714)); #231761=CARTESIAN_POINT('Origin',(24.205652,4.25,13.994629)); #231762=CARTESIAN_POINT('',(24.205652,4.25,13.994629)); #231763=CARTESIAN_POINT('',(25.5901570927661,4.25,19.3453416811377)); #231764=CARTESIAN_POINT('',(24.205652,4.24,13.994629)); #231765=CARTESIAN_POINT('',(24.205652,4.25,13.994629)); #231766=CARTESIAN_POINT('',(24.205652,4.24,13.994629)); #231767=CARTESIAN_POINT('Origin',(24.204184,4.25,13.990726)); #231768=CARTESIAN_POINT('',(24.204184,4.25,13.990726)); #231769=CARTESIAN_POINT('',(26.1168866031395,4.25,19.0760654141908)); #231770=CARTESIAN_POINT('',(24.204184,4.24,13.990726)); #231771=CARTESIAN_POINT('',(24.204184,4.25,13.990726)); #231772=CARTESIAN_POINT('',(24.204184,4.24,13.990726)); #231773=CARTESIAN_POINT('Origin',(24.202297,4.25,13.987007)); #231774=CARTESIAN_POINT('',(24.202297,4.25,13.987007)); #231775=CARTESIAN_POINT('',(26.5877846995652,4.25,18.6884530809146)); #231776=CARTESIAN_POINT('',(24.202297,4.24,13.987007)); #231777=CARTESIAN_POINT('',(24.202297,4.25,13.987007)); #231778=CARTESIAN_POINT('',(24.202297,4.24,13.987007)); #231779=CARTESIAN_POINT('Origin',(24.199987,4.25,13.983479)); #231780=CARTESIAN_POINT('',(24.199987,4.25,13.983479)); #231781=CARTESIAN_POINT('',(26.9644134628992,4.25,18.2055121433411)); #231782=CARTESIAN_POINT('',(24.199987,4.24,13.983479)); #231783=CARTESIAN_POINT('',(24.199987,4.25,13.983479)); #231784=CARTESIAN_POINT('',(24.199987,4.24,13.983479)); #231785=CARTESIAN_POINT('Origin',(24.197252,4.25,13.980148)); #231786=CARTESIAN_POINT('',(24.197252,4.25,13.980148)); #231787=CARTESIAN_POINT('',(27.2222359235908,4.25,17.6643240327162)); #231788=CARTESIAN_POINT('',(24.197252,4.24,13.980148)); #231789=CARTESIAN_POINT('',(24.197252,4.25,13.980148)); #231790=CARTESIAN_POINT('',(24.197252,4.24,13.980148)); #231791=CARTESIAN_POINT('Origin',(24.194098,4.25,13.977028)); #231792=CARTESIAN_POINT('',(24.194098,4.25,13.977028)); #231793=CARTESIAN_POINT('',(27.355505862,4.25,17.1043560055296)); #231794=CARTESIAN_POINT('',(24.194098,4.24,13.977028)); #231795=CARTESIAN_POINT('',(24.194098,4.25,13.977028)); #231796=CARTESIAN_POINT('',(24.194098,4.24,13.977028)); #231797=CARTESIAN_POINT('Origin',(24.1471441137978,4.25,14.0383814600642)); #231798=CARTESIAN_POINT('',(24.186298,4.25,13.971779)); #231799=CARTESIAN_POINT('Origin',(24.1471441137978,4.25,14.0383814600642)); #231800=CARTESIAN_POINT('',(24.186298,4.24,13.971779)); #231801=CARTESIAN_POINT('',(24.186298,4.25,13.971779)); #231802=CARTESIAN_POINT('Origin',(24.1471441137978,4.24,14.0383814600642)); #231803=CARTESIAN_POINT('Origin',(24.0532887464524,4.25,14.4436648804913)); #231804=CARTESIAN_POINT('',(23.944984,4.25,13.965504)); #231805=CARTESIAN_POINT('Origin',(24.0532887464524,4.25,14.4436648804913)); #231806=CARTESIAN_POINT('',(23.944984,4.24,13.965504)); #231807=CARTESIAN_POINT('',(23.944984,4.25,13.965504)); #231808=CARTESIAN_POINT('Origin',(24.0532887464524,4.24,14.4436648804913)); #231809=CARTESIAN_POINT('Origin',(23.953458948417,4.25,14.0780175649477)); #231810=CARTESIAN_POINT('',(23.931849,4.25,13.967274)); #231811=CARTESIAN_POINT('Origin',(23.953458948417,4.25,14.0780175649477)); #231812=CARTESIAN_POINT('',(23.931849,4.24,13.967274)); #231813=CARTESIAN_POINT('',(23.931849,4.25,13.967274)); #231814=CARTESIAN_POINT('Origin',(23.953458948417,4.24,14.0780175649477)); #231815=CARTESIAN_POINT('Origin',(23.926136,4.25,13.968739)); #231816=CARTESIAN_POINT('',(23.926136,4.25,13.968739)); #231817=CARTESIAN_POINT('',(23.4781392423192,4.25,14.0836200169793)); #231818=CARTESIAN_POINT('',(23.926136,4.24,13.968739)); #231819=CARTESIAN_POINT('',(23.926136,4.25,13.968739)); #231820=CARTESIAN_POINT('',(23.926136,4.24,13.968739)); #231821=CARTESIAN_POINT('Origin',(23.952247955141,4.25,14.0446355009519)); #231822=CARTESIAN_POINT('',(23.916414,4.25,13.972816)); #231823=CARTESIAN_POINT('Origin',(23.952247955141,4.25,14.0446355009519)); #231824=CARTESIAN_POINT('',(23.916414,4.24,13.972816)); #231825=CARTESIAN_POINT('',(23.916414,4.25,13.972816)); #231826=CARTESIAN_POINT('Origin',(23.952247955141,4.24,14.0446355009519)); #231827=CARTESIAN_POINT('Origin',(23.9575324676745,4.25,14.03557395731)); #231828=CARTESIAN_POINT('',(23.90896,4.25,13.97839)); #231829=CARTESIAN_POINT('Origin',(23.9575324676745,4.25,14.03557395731)); #231830=CARTESIAN_POINT('',(23.90896,4.24,13.97839)); #231831=CARTESIAN_POINT('',(23.90896,4.25,13.97839)); #231832=CARTESIAN_POINT('Origin',(23.9575324676745,4.24,14.03557395731)); #231833=CARTESIAN_POINT('Origin',(23.905958,4.25,13.981606)); #231834=CARTESIAN_POINT('',(23.905958,4.25,13.981606)); #231835=CARTESIAN_POINT('',(21.598833474381,4.25,16.4531957649522)); #231836=CARTESIAN_POINT('',(23.905958,4.24,13.981606)); #231837=CARTESIAN_POINT('',(23.905958,4.25,13.981606)); #231838=CARTESIAN_POINT('',(23.905958,4.24,13.981606)); #231839=CARTESIAN_POINT('Origin',(23.90336,4.25,13.985023)); #231840=CARTESIAN_POINT('',(23.90336,4.25,13.985023)); #231841=CARTESIAN_POINT('',(21.5972503784008,4.25,17.0181163706727)); #231842=CARTESIAN_POINT('',(23.90336,4.24,13.985023)); #231843=CARTESIAN_POINT('',(23.90336,4.25,13.985023)); #231844=CARTESIAN_POINT('',(23.90336,4.24,13.985023)); #231845=CARTESIAN_POINT('Origin',(23.901171,4.25,13.988636)); #231846=CARTESIAN_POINT('',(23.901171,4.25,13.988636)); #231847=CARTESIAN_POINT('',(21.7160630411753,4.25,17.5952120873592)); #231848=CARTESIAN_POINT('',(23.901171,4.24,13.988636)); #231849=CARTESIAN_POINT('',(23.901171,4.25,13.988636)); #231850=CARTESIAN_POINT('',(23.901171,4.24,13.988636)); #231851=CARTESIAN_POINT('Origin',(23.9707325460473,4.25,14.0211407999255)); #231852=CARTESIAN_POINT('',(23.898039,4.25,13.996422)); #231853=CARTESIAN_POINT('Origin',(23.9707325460473,4.25,14.0211407999255)); #231854=CARTESIAN_POINT('',(23.898039,4.24,13.996422)); #231855=CARTESIAN_POINT('',(23.898039,4.25,13.996422)); #231856=CARTESIAN_POINT('Origin',(23.9707325460473,4.24,14.0211407999255)); #231857=CARTESIAN_POINT('Origin',(23.9711720505066,4.25,14.0131708830051)); #231858=CARTESIAN_POINT('',(23.896601,4.25,14.004917)); #231859=CARTESIAN_POINT('Origin',(23.9711720505066,4.25,14.0131708830051)); #231860=CARTESIAN_POINT('',(23.896601,4.24,14.004917)); #231861=CARTESIAN_POINT('',(23.896601,4.25,14.004917)); #231862=CARTESIAN_POINT('Origin',(23.9711720505066,4.24,14.0131708830051)); #231863=CARTESIAN_POINT('Origin',(23.9747834455232,4.25,14.005734231835)); #231864=CARTESIAN_POINT('',(23.897804,4.25,14.019421)); #231865=CARTESIAN_POINT('Origin',(23.9747834455232,4.25,14.005734231835)); #231866=CARTESIAN_POINT('',(23.897804,4.24,14.019421)); #231867=CARTESIAN_POINT('',(23.897804,4.25,14.019421)); #231868=CARTESIAN_POINT('Origin',(23.9747834455232,4.24,14.005734231835)); #231869=CARTESIAN_POINT('Origin',(23.9911241892939,4.25,13.9926984517383)); #231870=CARTESIAN_POINT('',(23.899889,4.25,14.025848)); #231871=CARTESIAN_POINT('Origin',(23.9911241892939,4.25,13.9926984517383)); #231872=CARTESIAN_POINT('',(23.899889,4.24,14.025848)); #231873=CARTESIAN_POINT('',(23.899889,4.25,14.025848)); #231874=CARTESIAN_POINT('Origin',(23.9911241892939,4.24,13.9926984517383)); #231875=CARTESIAN_POINT('Origin',(23.9625864319507,4.25,13.9955111276348)); #231876=CARTESIAN_POINT('',(23.904963,4.25,14.034637)); #231877=CARTESIAN_POINT('Origin',(23.9625864319507,4.25,13.9955111276348)); #231878=CARTESIAN_POINT('',(23.904963,4.24,14.034637)); #231879=CARTESIAN_POINT('',(23.904963,4.25,14.034637)); #231880=CARTESIAN_POINT('Origin',(23.9625864319507,4.24,13.9955111276348)); #231881=CARTESIAN_POINT('Origin',(24.0070820535061,4.25,13.9490727053113)); #231882=CARTESIAN_POINT('',(23.911333,4.25,14.04171)); #231883=CARTESIAN_POINT('Origin',(24.0070820535061,4.25,13.9490727053113)); #231884=CARTESIAN_POINT('',(23.911333,4.24,14.04171)); #231885=CARTESIAN_POINT('',(23.911333,4.25,14.04171)); #231886=CARTESIAN_POINT('Origin',(24.0070820535061,4.24,13.9490727053113)); #231887=CARTESIAN_POINT('Origin',(23.961538485842,4.25,13.9815853656364)); #231888=CARTESIAN_POINT('',(23.915911,4.25,14.045254)); #231889=CARTESIAN_POINT('Origin',(23.961538485842,4.25,13.9815853656364)); #231890=CARTESIAN_POINT('',(23.915911,4.24,14.045254)); #231891=CARTESIAN_POINT('',(23.915911,4.25,14.045254)); #231892=CARTESIAN_POINT('Origin',(23.961538485842,4.24,13.9815853656364)); #231893=CARTESIAN_POINT('Origin',(23.918299,4.25,14.046665)); #231894=CARTESIAN_POINT('',(23.918299,4.25,14.046665)); #231895=CARTESIAN_POINT('',(27.0142638560893,4.25,15.875980917896)); #231896=CARTESIAN_POINT('',(23.918299,4.24,14.046665)); #231897=CARTESIAN_POINT('',(23.918299,4.25,14.046665)); #231898=CARTESIAN_POINT('',(23.918299,4.24,14.046665)); #231899=CARTESIAN_POINT('Origin',(23.920755,4.25,14.047832)); #231900=CARTESIAN_POINT('',(23.920755,4.25,14.047832)); #231901=CARTESIAN_POINT('',(26.8100622114809,4.25,15.4207234966605)); #231902=CARTESIAN_POINT('',(23.920755,4.24,14.047832)); #231903=CARTESIAN_POINT('',(23.920755,4.25,14.047832)); #231904=CARTESIAN_POINT('',(23.920755,4.24,14.047832)); #231905=CARTESIAN_POINT('Origin',(23.9503883233401,4.25,13.9380730657589)); #231906=CARTESIAN_POINT('',(23.955318,4.25,14.051655)); #231907=CARTESIAN_POINT('Origin',(23.9503883233401,4.25,13.9380730657589)); #231908=CARTESIAN_POINT('',(23.955318,4.24,14.051655)); #231909=CARTESIAN_POINT('',(23.955318,4.25,14.051655)); #231910=CARTESIAN_POINT('Origin',(23.9503883233401,4.24,13.9380730657589)); #231911=CARTESIAN_POINT('Origin',(23.983179,4.25,14.051998)); #231912=CARTESIAN_POINT('',(23.983179,4.25,14.051998)); #231913=CARTESIAN_POINT('',(24.9588326871693,4.25,14.0640093856178)); #231914=CARTESIAN_POINT('',(23.983179,4.24,14.051998)); #231915=CARTESIAN_POINT('',(23.983179,4.25,14.051998)); #231916=CARTESIAN_POINT('',(23.983179,4.24,14.051998)); #231917=CARTESIAN_POINT('Origin',(24.4047120339458,4.25,14.3799238742784)); #231918=CARTESIAN_POINT('',(23.87291,4.25,14.330811)); #231919=CARTESIAN_POINT('Origin',(24.4047120339458,4.25,14.3799238742784)); #231920=CARTESIAN_POINT('',(23.87291,4.24,14.330811)); #231921=CARTESIAN_POINT('',(23.87291,4.25,14.330811)); #231922=CARTESIAN_POINT('Origin',(24.4047120339458,4.24,14.3799238742784)); #231923=CARTESIAN_POINT('Origin',(24.4062364363676,4.25,14.4032131400817)); #231924=CARTESIAN_POINT('',(23.990545,4.25,14.74509)); #231925=CARTESIAN_POINT('Origin',(24.4062364363676,4.25,14.4032131400817)); #231926=CARTESIAN_POINT('',(23.990545,4.24,14.74509)); #231927=CARTESIAN_POINT('',(23.990545,4.25,14.74509)); #231928=CARTESIAN_POINT('Origin',(24.4062364363676,4.24,14.4032131400817)); #231929=CARTESIAN_POINT('Origin',(24.4048059717548,4.25,14.4016320940032)); #231930=CARTESIAN_POINT('',(24.375105,4.25,14.938934)); #231931=CARTESIAN_POINT('Origin',(24.4048059717548,4.25,14.4016320940032)); #231932=CARTESIAN_POINT('',(24.375105,4.24,14.938934)); #231933=CARTESIAN_POINT('',(24.375105,4.25,14.938934)); #231934=CARTESIAN_POINT('Origin',(24.4048059717548,4.24,14.4016320940032)); #231935=CARTESIAN_POINT('Origin',(24.522484833669,4.25,14.2048895582528)); #231936=CARTESIAN_POINT('',(24.919025,4.25,14.839947)); #231937=CARTESIAN_POINT('Origin',(24.522484833669,4.25,14.2048895582528)); #231938=CARTESIAN_POINT('',(24.919025,4.24,14.839947)); #231939=CARTESIAN_POINT('',(24.919025,4.25,14.839947)); #231940=CARTESIAN_POINT('Origin',(24.522484833669,4.24,14.2048895582528)); #231941=CARTESIAN_POINT('Origin',(24.4734747522531,4.25,14.3400469811058)); #231942=CARTESIAN_POINT('',(25.029114,4.25,13.966309)); #231943=CARTESIAN_POINT('Origin',(24.4734747522531,4.25,14.3400469811058)); #231944=CARTESIAN_POINT('',(25.029114,4.24,13.966309)); #231945=CARTESIAN_POINT('',(25.029114,4.25,13.966309)); #231946=CARTESIAN_POINT('Origin',(24.4734747522531,4.24,14.3400469811058)); #231947=CARTESIAN_POINT('Origin',(25.027775,4.25,13.965199)); #231948=CARTESIAN_POINT('',(25.027775,4.25,13.965199)); #231949=CARTESIAN_POINT('',(27.9674988560511,4.25,16.4021620173338)); #231950=CARTESIAN_POINT('',(25.027775,4.24,13.965199)); #231951=CARTESIAN_POINT('',(25.027775,4.25,13.965199)); #231952=CARTESIAN_POINT('',(25.027775,4.24,13.965199)); #231953=CARTESIAN_POINT('Origin',(24.658504,4.25,13.965199)); #231954=CARTESIAN_POINT('',(24.658504,4.25,13.965199)); #231955=CARTESIAN_POINT('',(25.229252,4.25,13.965199)); #231956=CARTESIAN_POINT('',(24.658504,4.24,13.965199)); #231957=CARTESIAN_POINT('',(24.658504,4.25,13.965199)); #231958=CARTESIAN_POINT('',(24.658504,4.24,13.965199)); #231959=CARTESIAN_POINT('Origin',(24.1417131060608,4.25,13.9558681221353)); #231960=CARTESIAN_POINT('',(24.656498,4.25,13.909431)); #231961=CARTESIAN_POINT('Origin',(24.1417131060608,4.25,13.9558681221353)); #231962=CARTESIAN_POINT('',(24.656498,4.24,13.909431)); #231963=CARTESIAN_POINT('',(24.656498,4.25,13.909431)); #231964=CARTESIAN_POINT('Origin',(24.1417131060608,4.24,13.9558681221353)); #231965=CARTESIAN_POINT('Origin',(24.655319,4.25,13.903038)); #231966=CARTESIAN_POINT('',(24.655319,4.25,13.903038)); #231967=CARTESIAN_POINT('',(25.6637408943263,4.25,19.3710966687139)); #231968=CARTESIAN_POINT('',(24.655319,4.24,13.903038)); #231969=CARTESIAN_POINT('',(24.655319,4.25,13.903038)); #231970=CARTESIAN_POINT('',(24.655319,4.24,13.903038)); #231971=CARTESIAN_POINT('Origin',(24.653772,4.25,13.898827)); #231972=CARTESIAN_POINT('',(24.653772,4.25,13.898827)); #231973=CARTESIAN_POINT('',(26.518569780671,4.25,18.974886117265)); #231974=CARTESIAN_POINT('',(24.653772,4.24,13.898827)); #231975=CARTESIAN_POINT('',(24.653772,4.25,13.898827)); #231976=CARTESIAN_POINT('',(24.653772,4.24,13.898827)); #231977=CARTESIAN_POINT('Origin',(24.652473,4.25,13.896267)); #231978=CARTESIAN_POINT('',(24.652473,4.25,13.896267)); #231979=CARTESIAN_POINT('',(27.0102652193474,4.25,18.5428783021741)); #231980=CARTESIAN_POINT('',(24.652473,4.24,13.896267)); #231981=CARTESIAN_POINT('',(24.652473,4.25,13.896267)); #231982=CARTESIAN_POINT('',(24.652473,4.24,13.896267)); #231983=CARTESIAN_POINT('Origin',(24.650927,4.25,13.893776)); #231984=CARTESIAN_POINT('',(24.650927,4.25,13.893776)); #231985=CARTESIAN_POINT('',(27.298768667953,4.25,18.1601237327761)); #231986=CARTESIAN_POINT('',(24.650927,4.24,13.893776)); #231987=CARTESIAN_POINT('',(24.650927,4.25,13.893776)); #231988=CARTESIAN_POINT('',(24.650927,4.24,13.893776)); #231989=CARTESIAN_POINT('Origin',(24.649128,4.25,13.891357)); #231990=CARTESIAN_POINT('',(24.649128,4.25,13.891357)); #231991=CARTESIAN_POINT('',(27.513745217525,4.25,17.7432241757664)); #231992=CARTESIAN_POINT('',(24.649128,4.24,13.891357)); #231993=CARTESIAN_POINT('',(24.649128,4.25,13.891357)); #231994=CARTESIAN_POINT('',(24.649128,4.24,13.891357)); #231995=CARTESIAN_POINT('Origin',(24.647079,4.25,13.889004)); #231996=CARTESIAN_POINT('',(24.647079,4.25,13.889004)); #231997=CARTESIAN_POINT('',(27.6470675136807,4.25,17.334085977887)); #231998=CARTESIAN_POINT('',(24.647079,4.24,13.889004)); #231999=CARTESIAN_POINT('',(24.647079,4.25,13.889004)); #232000=CARTESIAN_POINT('',(24.647079,4.24,13.889004)); #232001=CARTESIAN_POINT('Origin',(24.644779,4.25,13.886711)); #232002=CARTESIAN_POINT('',(24.644779,4.25,13.886711)); #232003=CARTESIAN_POINT('',(27.7127739033734,4.25,16.9453685275781)); #232004=CARTESIAN_POINT('',(24.644779,4.24,13.886711)); #232005=CARTESIAN_POINT('',(24.644779,4.25,13.886711)); #232006=CARTESIAN_POINT('',(24.644779,4.24,13.886711)); #232007=CARTESIAN_POINT('Origin',(24.5758607161574,4.25,13.9652264015163)); #232008=CARTESIAN_POINT('',(24.639429,4.25,13.88232)); #232009=CARTESIAN_POINT('Origin',(24.5758607161574,4.25,13.9652264015163)); #232010=CARTESIAN_POINT('',(24.639429,4.24,13.88232)); #232011=CARTESIAN_POINT('',(24.639429,4.25,13.88232)); #232012=CARTESIAN_POINT('Origin',(24.5758607161574,4.24,13.9652264015163)); #232013=CARTESIAN_POINT('Origin',(24.636576,4.25,13.880371)); #232014=CARTESIAN_POINT('',(24.636576,4.25,13.880371)); #232015=CARTESIAN_POINT('',(27.6228059355108,4.25,15.9203857719281)); #232016=CARTESIAN_POINT('',(24.636576,4.24,13.880371)); #232017=CARTESIAN_POINT('',(24.636576,4.25,13.880371)); #232018=CARTESIAN_POINT('',(24.636576,4.24,13.880371)); #232019=CARTESIAN_POINT('Origin',(24.633629,4.25,13.878681)); #232020=CARTESIAN_POINT('',(24.633629,4.25,13.878681)); #232021=CARTESIAN_POINT('',(27.4721688474803,4.25,15.5064829485026)); #232022=CARTESIAN_POINT('',(24.633629,4.24,13.878681)); #232023=CARTESIAN_POINT('',(24.633629,4.25,13.878681)); #232024=CARTESIAN_POINT('',(24.633629,4.24,13.878681)); #232025=CARTESIAN_POINT('Origin',(24.630598,4.25,13.877251)); #232026=CARTESIAN_POINT('',(24.630598,4.25,13.877251)); #232027=CARTESIAN_POINT('',(27.2549589692344,4.25,15.1154021666152)); #232028=CARTESIAN_POINT('',(24.630598,4.24,13.877251)); #232029=CARTESIAN_POINT('',(24.630598,4.25,13.877251)); #232030=CARTESIAN_POINT('',(24.630598,4.24,13.877251)); #232031=CARTESIAN_POINT('Origin',(24.627491,4.25,13.876083)); #232032=CARTESIAN_POINT('',(24.627491,4.25,13.876083)); #232033=CARTESIAN_POINT('',(26.9731398340369,4.25,14.7578718117644)); #232034=CARTESIAN_POINT('',(24.627491,4.24,13.876083)); #232035=CARTESIAN_POINT('',(24.627491,4.25,13.876083)); #232036=CARTESIAN_POINT('',(24.627491,4.24,13.876083)); #232037=CARTESIAN_POINT('Origin',(24.624319,4.25,13.875183)); #232038=CARTESIAN_POINT('',(24.624319,4.25,13.875183)); #232039=CARTESIAN_POINT('',(26.6290103453604,4.25,14.4439794094657)); #232040=CARTESIAN_POINT('',(24.624319,4.24,13.875183)); #232041=CARTESIAN_POINT('',(24.624319,4.25,13.875183)); #232042=CARTESIAN_POINT('',(24.624319,4.24,13.875183)); #232043=CARTESIAN_POINT('Origin',(24.621094,4.25,13.874554)); #232044=CARTESIAN_POINT('',(24.621094,4.25,13.874554)); #232045=CARTESIAN_POINT('',(26.2341333861829,4.25,14.1891592012123)); #232046=CARTESIAN_POINT('',(24.621094,4.24,13.874554)); #232047=CARTESIAN_POINT('',(24.621094,4.25,13.874554)); #232048=CARTESIAN_POINT('',(24.621094,4.24,13.874554)); #232049=CARTESIAN_POINT('Origin',(24.6140473551432,4.25,13.9677086213571)); #232050=CARTESIAN_POINT('',(24.609451,4.25,13.874401)); #232051=CARTESIAN_POINT('Origin',(24.6140473551432,4.25,13.9677086213571)); #232052=CARTESIAN_POINT('',(24.609451,4.24,13.874401)); #232053=CARTESIAN_POINT('',(24.609451,4.25,13.874401)); #232054=CARTESIAN_POINT('Origin',(24.6140473551432,4.24,13.9677086213571)); #232055=CARTESIAN_POINT('Origin',(24.604916,4.25,13.875134)); #232056=CARTESIAN_POINT('',(24.604916,4.25,13.875134)); #232057=CARTESIAN_POINT('',(24.3110689065654,4.25,13.9226290208351)); #232058=CARTESIAN_POINT('',(24.604916,4.24,13.875134)); #232059=CARTESIAN_POINT('',(24.604916,4.25,13.875134)); #232060=CARTESIAN_POINT('',(24.604916,4.24,13.875134)); #232061=CARTESIAN_POINT('Origin',(24.600628,4.25,13.876301)); #232062=CARTESIAN_POINT('',(24.600628,4.25,13.876301)); #232063=CARTESIAN_POINT('',(23.7496594422115,4.25,14.1078962208351)); #232064=CARTESIAN_POINT('',(24.600628,4.24,13.876301)); #232065=CARTESIAN_POINT('',(24.600628,4.25,13.876301)); #232066=CARTESIAN_POINT('',(24.600628,4.24,13.876301)); #232067=CARTESIAN_POINT('Origin',(24.596592,4.25,13.877903)); #232068=CARTESIAN_POINT('',(24.596592,4.25,13.877903)); #232069=CARTESIAN_POINT('',(23.2095005095367,4.25,14.4284779672259)); #232070=CARTESIAN_POINT('',(24.596592,4.24,13.877903)); #232071=CARTESIAN_POINT('',(24.596592,4.25,13.877903)); #232072=CARTESIAN_POINT('',(24.596592,4.24,13.877903)); #232073=CARTESIAN_POINT('Origin',(24.592813,4.25,13.879944)); #232074=CARTESIAN_POINT('',(24.592813,4.25,13.879944)); #232075=CARTESIAN_POINT('',(22.735317370193,4.25,14.8831587606333)); #232076=CARTESIAN_POINT('',(24.592813,4.24,13.879944)); #232077=CARTESIAN_POINT('',(24.592813,4.25,13.879944)); #232078=CARTESIAN_POINT('',(24.592813,4.24,13.879944)); #232079=CARTESIAN_POINT('Origin',(24.589296,4.25,13.88242)); #232080=CARTESIAN_POINT('',(24.589296,4.25,13.88242)); #232081=CARTESIAN_POINT('',(22.3774592707073,4.25,15.4395731821807)); #232082=CARTESIAN_POINT('',(24.589296,4.24,13.88242)); #232083=CARTESIAN_POINT('',(24.589296,4.25,13.88242)); #232084=CARTESIAN_POINT('',(24.589296,4.24,13.88242)); #232085=CARTESIAN_POINT('Origin',(24.586044,4.25,13.88533)); #232086=CARTESIAN_POINT('',(24.586044,4.25,13.88533)); #232087=CARTESIAN_POINT('',(22.1615165216248,4.25,16.0548794963337)); #232088=CARTESIAN_POINT('',(24.586044,4.24,13.88533)); #232089=CARTESIAN_POINT('',(24.586044,4.25,13.88533)); #232090=CARTESIAN_POINT('',(24.586044,4.24,13.88533)); #232091=CARTESIAN_POINT('Origin',(24.583035,4.25,13.888683)); #232092=CARTESIAN_POINT('',(24.583035,4.25,13.888683)); #232093=CARTESIAN_POINT('',(22.0928372329763,4.25,16.6635693784696)); #232094=CARTESIAN_POINT('',(24.583035,4.24,13.888683)); #232095=CARTESIAN_POINT('',(24.583035,4.25,13.888683)); #232096=CARTESIAN_POINT('',(24.583035,4.24,13.888683)); #232097=CARTESIAN_POINT('Origin',(24.580267,4.25,13.892555)); #232098=CARTESIAN_POINT('',(24.580267,4.25,13.892555)); #232099=CARTESIAN_POINT('',(22.1590647387318,4.25,17.2794390880229)); #232100=CARTESIAN_POINT('',(24.580267,4.24,13.892555)); #232101=CARTESIAN_POINT('',(24.580267,4.25,13.892555)); #232102=CARTESIAN_POINT('',(24.580267,4.24,13.892555)); #232103=CARTESIAN_POINT('Origin',(24.6555942713766,4.25,13.9330625495507)); #232104=CARTESIAN_POINT('',(24.575909,4.25,13.901993)); #232105=CARTESIAN_POINT('Origin',(24.6555942713766,4.25,13.9330625495507)); #232106=CARTESIAN_POINT('',(24.575909,4.24,13.901993)); #232107=CARTESIAN_POINT('',(24.575909,4.25,13.901993)); #232108=CARTESIAN_POINT('Origin',(24.6555942713766,4.24,13.9330625495507)); #232109=CARTESIAN_POINT('Origin',(24.57431,4.25,13.907562)); #232110=CARTESIAN_POINT('',(24.57431,4.25,13.907562)); #232111=CARTESIAN_POINT('',(23.1498113521929,4.25,18.8688083850167)); #232112=CARTESIAN_POINT('',(24.57431,4.24,13.907562)); #232113=CARTESIAN_POINT('',(24.57431,4.25,13.907562)); #232114=CARTESIAN_POINT('',(24.57431,4.24,13.907562)); #232115=CARTESIAN_POINT('Origin',(26.4609878828497,4.25,14.1517110350639)); #232116=CARTESIAN_POINT('',(24.570599,4.25,14.365234)); #232117=CARTESIAN_POINT('Origin',(26.4609878828497,4.25,14.1517110350639)); #232118=CARTESIAN_POINT('',(24.570599,4.24,14.365234)); #232119=CARTESIAN_POINT('',(24.570599,4.25,14.365234)); #232120=CARTESIAN_POINT('Origin',(26.4609878828497,4.24,14.1517110350639)); #232121=CARTESIAN_POINT('Origin',(24.571651,4.25,14.371613)); #232122=CARTESIAN_POINT('',(24.571651,4.25,14.371613)); #232123=CARTESIAN_POINT('',(25.4411043290705,4.25,19.6437069031694)); #232124=CARTESIAN_POINT('',(24.571651,4.24,14.371613)); #232125=CARTESIAN_POINT('',(24.571651,4.25,14.371613)); #232126=CARTESIAN_POINT('',(24.571651,4.24,14.371613)); #232127=CARTESIAN_POINT('Origin',(24.573088,4.25,14.377422)); #232128=CARTESIAN_POINT('',(24.573088,4.25,14.377422)); #232129=CARTESIAN_POINT('',(25.8465766412092,4.25,19.5254355816115)); #232130=CARTESIAN_POINT('',(24.573088,4.24,14.377422)); #232131=CARTESIAN_POINT('',(24.573088,4.25,14.377422)); #232132=CARTESIAN_POINT('',(24.573088,4.24,14.377422)); #232133=CARTESIAN_POINT('Origin',(24.574907,4.25,14.38266)); #232134=CARTESIAN_POINT('',(24.574907,4.25,14.38266)); #232135=CARTESIAN_POINT('',(26.2859712599629,4.25,19.3098477920255)); #232136=CARTESIAN_POINT('',(24.574907,4.24,14.38266)); #232137=CARTESIAN_POINT('',(24.574907,4.25,14.38266)); #232138=CARTESIAN_POINT('',(24.574907,4.24,14.38266)); #232139=CARTESIAN_POINT('Origin',(24.577116,4.25,14.387337)); #232140=CARTESIAN_POINT('',(24.577116,4.25,14.387337)); #232141=CARTESIAN_POINT('',(26.7377628958466,4.25,18.9619615051472)); #232142=CARTESIAN_POINT('',(24.577116,4.24,14.387337)); #232143=CARTESIAN_POINT('',(24.577116,4.25,14.387337)); #232144=CARTESIAN_POINT('',(24.577116,4.24,14.387337)); #232145=CARTESIAN_POINT('Origin',(24.579718,4.25,14.391445)); #232146=CARTESIAN_POINT('',(24.579718,4.25,14.391445)); #232147=CARTESIAN_POINT('',(27.1521714046382,4.25,18.4527972622033)); #232148=CARTESIAN_POINT('',(24.579718,4.24,14.391445)); #232149=CARTESIAN_POINT('',(24.579718,4.25,14.391445)); #232150=CARTESIAN_POINT('',(24.579718,4.24,14.391445)); #232151=CARTESIAN_POINT('Origin',(24.582705,4.25,14.395004)); #232152=CARTESIAN_POINT('',(24.582705,4.25,14.395004)); #232153=CARTESIAN_POINT('',(27.4453155072542,4.25,17.8057943566572)); #232154=CARTESIAN_POINT('',(24.582705,4.24,14.395004)); #232155=CARTESIAN_POINT('',(24.582705,4.25,14.395004)); #232156=CARTESIAN_POINT('',(24.582705,4.24,14.395004)); #232157=CARTESIAN_POINT('Origin',(24.58596,4.25,14.398102)); #232158=CARTESIAN_POINT('',(24.58596,4.25,14.398102)); #232159=CARTESIAN_POINT('',(27.5517010524411,4.25,17.2207950201089)); #232160=CARTESIAN_POINT('',(24.58596,4.24,14.398102)); #232161=CARTESIAN_POINT('',(24.58596,4.25,14.398102)); #232162=CARTESIAN_POINT('',(24.58596,4.24,14.398102)); #232163=CARTESIAN_POINT('Origin',(24.589445,4.25,14.400761)); #232164=CARTESIAN_POINT('',(24.589445,4.25,14.400761)); #232165=CARTESIAN_POINT('',(27.5277368658465,4.25,16.6426313217447)); #232166=CARTESIAN_POINT('',(24.589445,4.24,14.400761)); #232167=CARTESIAN_POINT('',(24.589445,4.25,14.400761)); #232168=CARTESIAN_POINT('',(24.589445,4.24,14.400761)); #232169=CARTESIAN_POINT('Origin',(24.593157,4.25,14.402985)); #232170=CARTESIAN_POINT('',(24.593157,4.25,14.402985)); #232171=CARTESIAN_POINT('',(27.3731806335505,4.25,16.0686026080336)); #232172=CARTESIAN_POINT('',(24.593157,4.24,14.402985)); #232173=CARTESIAN_POINT('',(24.593157,4.25,14.402985)); #232174=CARTESIAN_POINT('',(24.593157,4.24,14.402985)); #232175=CARTESIAN_POINT('Origin',(24.59709,4.25,14.404774)); #232176=CARTESIAN_POINT('',(24.59709,4.25,14.404774)); #232177=CARTESIAN_POINT('',(27.0920445204845,4.25,15.5396516092412)); #232178=CARTESIAN_POINT('',(24.59709,4.24,14.404774)); #232179=CARTESIAN_POINT('',(24.59709,4.25,14.404774)); #232180=CARTESIAN_POINT('',(24.59709,4.24,14.404774)); #232181=CARTESIAN_POINT('Origin',(24.601238,4.25,14.406124)); #232182=CARTESIAN_POINT('',(24.601238,4.25,14.406124)); #232183=CARTESIAN_POINT('',(26.7020282374689,4.25,15.0898430985009)); #232184=CARTESIAN_POINT('',(24.601238,4.24,14.406124)); #232185=CARTESIAN_POINT('',(24.601238,4.25,14.406124)); #232186=CARTESIAN_POINT('',(24.601238,4.24,14.406124)); #232187=CARTESIAN_POINT('Origin',(24.6056,4.25,14.40704)); #232188=CARTESIAN_POINT('',(24.6056,4.25,14.40704)); #232189=CARTESIAN_POINT('',(26.242837494675,4.25,14.7508523670616)); #232190=CARTESIAN_POINT('',(24.6056,4.24,14.40704)); #232191=CARTESIAN_POINT('',(24.6056,4.25,14.40704)); #232192=CARTESIAN_POINT('',(24.6056,4.24,14.40704)); #232193=CARTESIAN_POINT('Origin',(24.610167,4.25,14.40752)); #232194=CARTESIAN_POINT('',(24.610167,4.25,14.40752)); #232195=CARTESIAN_POINT('',(25.7491463370536,4.25,14.5272287982891)); #232196=CARTESIAN_POINT('',(24.610167,4.24,14.40752)); #232197=CARTESIAN_POINT('',(24.610167,4.25,14.40752)); #232198=CARTESIAN_POINT('',(24.610167,4.24,14.40752)); #232199=CARTESIAN_POINT('Origin',(24.6111734782803,4.25,14.3055179819867)); #232200=CARTESIAN_POINT('',(24.61964,4.25,14.407173)); #232201=CARTESIAN_POINT('Origin',(24.6111734782803,4.25,14.3055179819867)); #232202=CARTESIAN_POINT('',(24.61964,4.24,14.407173)); #232203=CARTESIAN_POINT('',(24.61964,4.25,14.407173)); #232204=CARTESIAN_POINT('Origin',(24.6111734782803,4.24,14.3055179819867)); #232205=CARTESIAN_POINT('Origin',(24.6059177489325,4.25,14.3383609677819)); #232206=CARTESIAN_POINT('',(24.632303,4.25,14.403378)); #232207=CARTESIAN_POINT('Origin',(24.6059177489325,4.25,14.3383609677819)); #232208=CARTESIAN_POINT('',(24.632303,4.24,14.403378)); #232209=CARTESIAN_POINT('',(24.632303,4.25,14.403378)); #232210=CARTESIAN_POINT('Origin',(24.6059177489325,4.24,14.3383609677819)); #232211=CARTESIAN_POINT('Origin',(24.636034,4.25,14.401241)); #232212=CARTESIAN_POINT('',(24.636034,4.25,14.401241)); #232213=CARTESIAN_POINT('',(22.7887316709516,4.25,15.459317943762)); #232214=CARTESIAN_POINT('',(24.636034,4.24,14.401241)); #232215=CARTESIAN_POINT('',(24.636034,4.25,14.401241)); #232216=CARTESIAN_POINT('',(24.636034,4.24,14.401241)); #232217=CARTESIAN_POINT('Origin',(24.639511,4.25,14.398666)); #232218=CARTESIAN_POINT('',(24.639511,4.25,14.398666)); #232219=CARTESIAN_POINT('',(22.4790882478904,4.25,15.9986339570564)); #232220=CARTESIAN_POINT('',(24.639511,4.24,14.398666)); #232221=CARTESIAN_POINT('',(24.639511,4.25,14.398666)); #232222=CARTESIAN_POINT('',(24.639511,4.24,14.398666)); #232223=CARTESIAN_POINT('Origin',(24.642729,4.25,14.395657)); #232224=CARTESIAN_POINT('',(24.642729,4.25,14.395657)); #232225=CARTESIAN_POINT('',(22.3063264124934,4.25,16.5803168464287)); #232226=CARTESIAN_POINT('',(24.642729,4.24,14.395657)); #232227=CARTESIAN_POINT('',(24.642729,4.25,14.395657)); #232228=CARTESIAN_POINT('',(24.642729,4.24,14.395657)); #232229=CARTESIAN_POINT('Origin',(24.645721,4.25,14.3922)); #232230=CARTESIAN_POINT('',(24.645721,4.25,14.3922)); #232231=CARTESIAN_POINT('',(22.2683742397341,4.25,17.1390207721414)); #232232=CARTESIAN_POINT('',(24.645721,4.24,14.3922)); #232233=CARTESIAN_POINT('',(24.645721,4.25,14.3922)); #232234=CARTESIAN_POINT('',(24.645721,4.24,14.3922)); #232235=CARTESIAN_POINT('Origin',(24.648403,4.25,14.388203)); #232236=CARTESIAN_POINT('',(24.648403,4.25,14.388203)); #232237=CARTESIAN_POINT('',(22.3722195174687,4.25,17.7804123138212)); #232238=CARTESIAN_POINT('',(24.648403,4.24,14.388203)); #232239=CARTESIAN_POINT('',(24.648403,4.25,14.388203)); #232240=CARTESIAN_POINT('',(24.648403,4.24,14.388203)); #232241=CARTESIAN_POINT('Origin',(24.5648227121506,4.25,14.346375018723)); #232242=CARTESIAN_POINT('',(24.652586,4.25,14.378513)); #232243=CARTESIAN_POINT('Origin',(24.5648227121506,4.25,14.346375018723)); #232244=CARTESIAN_POINT('',(24.652586,4.24,14.378513)); #232245=CARTESIAN_POINT('',(24.652586,4.25,14.378513)); #232246=CARTESIAN_POINT('Origin',(24.5648227121506,4.24,14.346375018723)); #232247=CARTESIAN_POINT('Origin',(24.654099,4.25,14.372822)); #232248=CARTESIAN_POINT('',(24.654099,4.25,14.372822)); #232249=CARTESIAN_POINT('',(23.3725165163898,4.25,19.1933678785456)); #232250=CARTESIAN_POINT('',(24.654099,4.24,14.372822)); #232251=CARTESIAN_POINT('',(24.654099,4.25,14.372822)); #232252=CARTESIAN_POINT('',(24.654099,4.24,14.372822)); #232253=CARTESIAN_POINT('Origin',(24.5263101739367,4.25,14.348387160316)); #232254=CARTESIAN_POINT('',(24.656355,4.25,14.35231)); #232255=CARTESIAN_POINT('Origin',(24.5263101739367,4.25,14.348387160316)); #232256=CARTESIAN_POINT('',(24.656355,4.24,14.35231)); #232257=CARTESIAN_POINT('',(24.656355,4.25,14.35231)); #232258=CARTESIAN_POINT('Origin',(24.5263101739367,4.24,14.348387160316)); #232259=CARTESIAN_POINT('Origin',(24.65642,4.25,14.051998)); #232260=CARTESIAN_POINT('',(24.65642,4.25,14.051998)); #232261=CARTESIAN_POINT('',(24.6552352254855,4.25,19.5258749844417)); #232262=CARTESIAN_POINT('',(24.65642,4.24,14.051998)); #232263=CARTESIAN_POINT('',(24.65642,4.25,14.051998)); #232264=CARTESIAN_POINT('',(24.65642,4.24,14.051998)); #232265=CARTESIAN_POINT('Origin',(24.977657,4.25,14.051998)); #232266=CARTESIAN_POINT('',(24.977657,4.25,14.051998)); #232267=CARTESIAN_POINT('',(25.3888285,4.25,14.051998)); #232268=CARTESIAN_POINT('',(24.977657,4.24,14.051998)); #232269=CARTESIAN_POINT('',(24.977657,4.25,14.051998)); #232270=CARTESIAN_POINT('',(24.977657,4.24,14.051998)); #232271=CARTESIAN_POINT('Origin',(24.3566403250401,4.25,14.3671828638704)); #232272=CARTESIAN_POINT('',(25.050745,4.25,14.310421)); #232273=CARTESIAN_POINT('Origin',(24.3566403250401,4.25,14.3671828638704)); #232274=CARTESIAN_POINT('',(25.050745,4.24,14.310421)); #232275=CARTESIAN_POINT('',(25.050745,4.25,14.310421)); #232276=CARTESIAN_POINT('Origin',(24.3566403250401,4.24,14.3671828638704)); #232277=CARTESIAN_POINT('Origin',(24.5934138023293,4.25,14.3924474076936)); #232278=CARTESIAN_POINT('Origin',(24.5934138023293,4.25,14.3924474076936)); #232279=CARTESIAN_POINT('Origin',(24.5934138023293,4.24,14.3924474076936)); #232280=CARTESIAN_POINT('Origin',(24.5055653429264,4.24,14.4139354927311)); #232281=CARTESIAN_POINT('Origin',(8.12269799999999,4.25,21.4511364352917)); #232282=CARTESIAN_POINT('',(8.12269799999999,4.25,21.4511364352917)); #232283=CARTESIAN_POINT('',(8.12269799999999,4.25,21.5511364352917)); #232284=CARTESIAN_POINT('',(8.12269799999999,4.25,23.2255682176459)); #232285=CARTESIAN_POINT('',(8.12269799999999,4.24,21.4511364352917)); #232286=CARTESIAN_POINT('',(8.12269799999999,4.25,21.4511364352917)); #232287=CARTESIAN_POINT('',(8.12269799999999,4.24,21.5511364352917)); #232288=CARTESIAN_POINT('',(8.12269799999999,4.24,21.5511364352917)); #232289=CARTESIAN_POINT('',(8.12269799999999,4.25,21.5511364352917)); #232290=CARTESIAN_POINT('Origin',(7.2657,4.25,21.4511364352917)); #232291=CARTESIAN_POINT('',(7.2657,4.25,21.4511364352917)); #232292=CARTESIAN_POINT('',(16.53285,4.25,21.4511364352917)); #232293=CARTESIAN_POINT('',(7.2657,4.24,21.4511364352917)); #232294=CARTESIAN_POINT('',(7.2657,4.25,21.4511364352917)); #232295=CARTESIAN_POINT('',(8.12269799999999,4.24,21.4511364352917)); #232296=CARTESIAN_POINT('Origin',(7.2657,4.25,21.5511364352917)); #232297=CARTESIAN_POINT('',(7.2657,4.25,21.5511364352917)); #232298=CARTESIAN_POINT('',(7.2657,4.25,23.2755682176459)); #232299=CARTESIAN_POINT('',(7.2657,4.24,21.5511364352917)); #232300=CARTESIAN_POINT('',(7.2657,4.25,21.5511364352917)); #232301=CARTESIAN_POINT('',(7.2657,4.24,21.4511364352917)); #232302=CARTESIAN_POINT('Origin',(8.12269799999999,4.25,21.5511364352917)); #232303=CARTESIAN_POINT('',(16.961349,4.25,21.5511364352917)); #232304=CARTESIAN_POINT('',(7.2657,4.24,21.5511364352917)); #232305=CARTESIAN_POINT('Origin',(7.694199,4.24,21.5011364352917)); #232306=CARTESIAN_POINT('Origin',(24.176191,4.25,18.6911964)); #232307=CARTESIAN_POINT('',(24.176191,4.25,18.6911964)); #232308=CARTESIAN_POINT('',(24.223835,4.25,18.6911983)); #232309=CARTESIAN_POINT('',(24.9882212934239,4.25,18.69122878304)); #232310=CARTESIAN_POINT('',(24.176191,4.24,18.6911964)); #232311=CARTESIAN_POINT('',(24.176191,4.25,18.6911964)); #232312=CARTESIAN_POINT('',(24.223835,4.24,18.6911983)); #232313=CARTESIAN_POINT('',(24.176191,4.24,18.6911964)); #232314=CARTESIAN_POINT('',(24.223835,4.25,18.6911983)); #232315=CARTESIAN_POINT('Origin',(24.083536,4.25,18.6911983)); #232316=CARTESIAN_POINT('',(24.083536,4.25,18.6911983)); #232317=CARTESIAN_POINT('',(24.9417033149312,4.25,18.6911807022676)); #232318=CARTESIAN_POINT('',(24.083536,4.24,18.6911983)); #232319=CARTESIAN_POINT('',(24.083536,4.25,18.6911983)); #232320=CARTESIAN_POINT('',(24.083536,4.24,18.6911983)); #232321=CARTESIAN_POINT('Origin',(23.939123,4.25,18.6911983)); #232322=CARTESIAN_POINT('',(23.939123,4.25,18.6911983)); #232323=CARTESIAN_POINT('',(24.8695615,4.25,18.6911983)); #232324=CARTESIAN_POINT('',(23.939123,4.24,18.6911983)); #232325=CARTESIAN_POINT('',(23.939123,4.25,18.6911983)); #232326=CARTESIAN_POINT('',(23.939123,4.24,18.6911983)); #232327=CARTESIAN_POINT('Origin',(23.939104,4.25,18.6881828)); #232328=CARTESIAN_POINT('',(23.939104,4.25,18.6881828)); #232329=CARTESIAN_POINT('',(23.959024831366,4.25,21.8498284307425)); #232330=CARTESIAN_POINT('',(23.939104,4.24,18.6881828)); #232331=CARTESIAN_POINT('',(23.939104,4.25,18.6881828)); #232332=CARTESIAN_POINT('',(23.939104,4.24,18.6881828)); #232333=CARTESIAN_POINT('Origin',(23.939104,4.25,18.4972057)); #232334=CARTESIAN_POINT('',(23.939104,4.25,18.4972057)); #232335=CARTESIAN_POINT('',(23.939104,4.25,21.74860285)); #232336=CARTESIAN_POINT('',(23.939104,4.24,18.4972057)); #232337=CARTESIAN_POINT('',(23.939104,4.25,18.4972057)); #232338=CARTESIAN_POINT('',(23.939104,4.24,18.4972057)); #232339=CARTESIAN_POINT('Origin',(24.3229525186741,4.25,18.4089474529096)); #232340=CARTESIAN_POINT('',(24.035511,4.25,18.139677)); #232341=CARTESIAN_POINT('Origin',(24.3229525186741,4.25,18.4089474529096)); #232342=CARTESIAN_POINT('',(24.035511,4.24,18.139677)); #232343=CARTESIAN_POINT('',(24.035511,4.25,18.139677)); #232344=CARTESIAN_POINT('Origin',(24.3229525186741,4.24,18.4089474529096)); #232345=CARTESIAN_POINT('Origin',(24.179459786233,4.25,18.2601605338756)); #232346=CARTESIAN_POINT('',(24.272223,4.25,18.0969658)); #232347=CARTESIAN_POINT('Origin',(24.179459786233,4.25,18.2601605338756)); #232348=CARTESIAN_POINT('',(24.272223,4.24,18.0969658)); #232349=CARTESIAN_POINT('',(24.272223,4.25,18.0969658)); #232350=CARTESIAN_POINT('Origin',(24.179459786233,4.24,18.2601605338756)); #232351=CARTESIAN_POINT('Origin',(23.9862081627343,4.25,18.4588874553535)); #232352=CARTESIAN_POINT('',(24.434504,4.25,18.5676193)); #232353=CARTESIAN_POINT('Origin',(23.9862081627343,4.25,18.4588874553535)); #232354=CARTESIAN_POINT('',(24.434504,4.24,18.5676193)); #232355=CARTESIAN_POINT('',(24.434504,4.25,18.5676193)); #232356=CARTESIAN_POINT('Origin',(23.9862081627343,4.24,18.4588874553535)); #232357=CARTESIAN_POINT('Origin',(24.434504,4.25,18.6911983)); #232358=CARTESIAN_POINT('',(24.434504,4.25,18.6911983)); #232359=CARTESIAN_POINT('',(24.434504,4.25,21.84559915)); #232360=CARTESIAN_POINT('',(24.434504,4.24,18.6911983)); #232361=CARTESIAN_POINT('',(24.434504,4.25,18.6911983)); #232362=CARTESIAN_POINT('',(24.434504,4.24,18.6911983)); #232363=CARTESIAN_POINT('Origin',(24.223835,4.25,18.6911983)); #232364=CARTESIAN_POINT('',(25.0119175,4.25,18.6911983)); #232365=CARTESIAN_POINT('',(24.223835,4.24,18.6911983)); #232366=CARTESIAN_POINT('Origin',(23.878305,4.25,18.9503479)); #232367=CARTESIAN_POINT('',(23.878305,4.25,18.9503479)); #232368=CARTESIAN_POINT('',(23.874454,4.25,18.9484158)); #232369=CARTESIAN_POINT('',(25.8583412498221,4.25,19.9437594913497)); #232370=CARTESIAN_POINT('',(23.878305,4.24,18.9503479)); #232371=CARTESIAN_POINT('',(23.878305,4.25,18.9503479)); #232372=CARTESIAN_POINT('',(23.874454,4.24,18.9484158)); #232373=CARTESIAN_POINT('',(23.878305,4.24,18.9503479)); #232374=CARTESIAN_POINT('',(23.874454,4.25,18.9484158)); #232375=CARTESIAN_POINT('Origin',(23.882414,4.25,18.9518394)); #232376=CARTESIAN_POINT('',(23.882414,4.25,18.9518394)); #232377=CARTESIAN_POINT('',(25.6994865201985,4.25,19.6114070962455)); #232378=CARTESIAN_POINT('',(23.882414,4.24,18.9518394)); #232379=CARTESIAN_POINT('',(23.882414,4.25,18.9518394)); #232380=CARTESIAN_POINT('',(23.882414,4.24,18.9518394)); #232381=CARTESIAN_POINT('Origin',(23.886774,4.25,18.9528961)); #232382=CARTESIAN_POINT('',(23.886774,4.25,18.9528961)); #232383=CARTESIAN_POINT('',(25.4824528440837,4.25,19.3396286308583)); #232384=CARTESIAN_POINT('',(23.886774,4.24,18.9528961)); #232385=CARTESIAN_POINT('',(23.886774,4.25,18.9528961)); #232386=CARTESIAN_POINT('',(23.886774,4.24,18.9528961)); #232387=CARTESIAN_POINT('Origin',(23.89138,4.25,18.953516)); #232388=CARTESIAN_POINT('',(23.89138,4.25,18.953516)); #232389=CARTESIAN_POINT('',(25.2283570572966,4.25,19.1334534897574)); #232390=CARTESIAN_POINT('',(23.89138,4.24,18.953516)); #232391=CARTESIAN_POINT('',(23.89138,4.25,18.953516)); #232392=CARTESIAN_POINT('',(23.89138,4.24,18.953516)); #232393=CARTESIAN_POINT('Origin',(23.895482722703,4.25,18.8621012352164)); #232394=CARTESIAN_POINT('',(23.900999,4.25,18.9534416)); #232395=CARTESIAN_POINT('Origin',(23.895482722703,4.25,18.8621012352164)); #232396=CARTESIAN_POINT('',(23.900999,4.24,18.9534416)); #232397=CARTESIAN_POINT('',(23.900999,4.25,18.9534416)); #232398=CARTESIAN_POINT('Origin',(23.895482722703,4.24,18.8621012352164)); #232399=CARTESIAN_POINT('Origin',(23.90554,4.25,18.9527454)); #232400=CARTESIAN_POINT('',(23.90554,4.25,18.9527454)); #232401=CARTESIAN_POINT('',(24.3780972734507,4.25,18.8802956370014)); #232402=CARTESIAN_POINT('',(23.90554,4.24,18.9527454)); #232403=CARTESIAN_POINT('',(23.90554,4.25,18.9527454)); #232404=CARTESIAN_POINT('',(23.90554,4.24,18.9527454)); #232405=CARTESIAN_POINT('Origin',(23.909845,4.25,18.9516144)); #232406=CARTESIAN_POINT('',(23.909845,4.25,18.9516144)); #232407=CARTESIAN_POINT('',(24.050691965596,4.25,18.9146113992825)); #232408=CARTESIAN_POINT('',(23.909845,4.24,18.9516144)); #232409=CARTESIAN_POINT('',(23.909845,4.25,18.9516144)); #232410=CARTESIAN_POINT('',(23.909845,4.24,18.9516144)); #232411=CARTESIAN_POINT('Origin',(23.913906,4.25,18.9500465)); #232412=CARTESIAN_POINT('',(23.913906,4.25,18.9500465)); #232413=CARTESIAN_POINT('',(23.7182181610197,4.25,19.025599064082)); #232414=CARTESIAN_POINT('',(23.913906,4.24,18.9500465)); #232415=CARTESIAN_POINT('',(23.913906,4.25,18.9500465)); #232416=CARTESIAN_POINT('',(23.913906,4.24,18.9500465)); #232417=CARTESIAN_POINT('Origin',(23.917717,4.25,18.94804)); #232418=CARTESIAN_POINT('',(23.917717,4.25,18.94804)); #232419=CARTESIAN_POINT('',(23.4071929071353,4.25,19.2168320735591)); #232420=CARTESIAN_POINT('',(23.917717,4.24,18.94804)); #232421=CARTESIAN_POINT('',(23.917717,4.25,18.94804)); #232422=CARTESIAN_POINT('',(23.917717,4.24,18.94804)); #232423=CARTESIAN_POINT('Origin',(23.921278,4.25,18.9455967)); #232424=CARTESIAN_POINT('',(23.921278,4.25,18.9455967)); #232425=CARTESIAN_POINT('',(23.147748112274,4.25,19.4763368220665)); #232426=CARTESIAN_POINT('',(23.921278,4.24,18.9455967)); #232427=CARTESIAN_POINT('',(23.921278,4.25,18.9455967)); #232428=CARTESIAN_POINT('',(23.921278,4.24,18.9455967)); #232429=CARTESIAN_POINT('Origin',(23.92458,4.25,18.9427166)); #232430=CARTESIAN_POINT('',(23.92458,4.25,18.9427166)); #232431=CARTESIAN_POINT('',(22.9568522092415,4.25,19.7867967969012)); #232432=CARTESIAN_POINT('',(23.92458,4.24,18.9427166)); #232433=CARTESIAN_POINT('',(23.92458,4.25,18.9427166)); #232434=CARTESIAN_POINT('',(23.92458,4.24,18.9427166)); #232435=CARTESIAN_POINT('Origin',(23.927637,4.25,18.9394016)); #232436=CARTESIAN_POINT('',(23.927637,4.25,18.9394016)); #232437=CARTESIAN_POINT('',(22.8476943123928,4.25,20.1104876351378)); #232438=CARTESIAN_POINT('',(23.927637,4.24,18.9394016)); #232439=CARTESIAN_POINT('',(23.927637,4.25,18.9394016)); #232440=CARTESIAN_POINT('',(23.927637,4.24,18.9394016)); #232441=CARTESIAN_POINT('Origin',(23.930435,4.25,18.9355907)); #232442=CARTESIAN_POINT('',(23.930435,4.25,18.9355907)); #232443=CARTESIAN_POINT('',(22.8113348761299,4.25,20.4598146678546)); #232444=CARTESIAN_POINT('',(23.930435,4.24,18.9355907)); #232445=CARTESIAN_POINT('',(23.930435,4.25,18.9355907)); #232446=CARTESIAN_POINT('',(23.930435,4.24,18.9355907)); #232447=CARTESIAN_POINT('Origin',(23.8608709319706,4.25,18.8971951081456)); #232448=CARTESIAN_POINT('',(23.93483,4.25,18.9262371)); #232449=CARTESIAN_POINT('Origin',(23.8608709319706,4.25,18.8971951081456)); #232450=CARTESIAN_POINT('',(23.93483,4.24,18.9262371)); #232451=CARTESIAN_POINT('',(23.93483,4.25,18.9262371)); #232452=CARTESIAN_POINT('Origin',(23.8608709319706,4.24,18.8971951081456)); #232453=CARTESIAN_POINT('Origin',(23.93644,4.25,18.9206944)); #232454=CARTESIAN_POINT('',(23.93644,4.25,18.9206944)); #232455=CARTESIAN_POINT('',(23.1947066435898,4.25,21.474238173023)); #232456=CARTESIAN_POINT('',(23.93644,4.24,18.9206944)); #232457=CARTESIAN_POINT('',(23.93644,4.25,18.9206944)); #232458=CARTESIAN_POINT('',(23.93644,4.24,18.9206944)); #232459=CARTESIAN_POINT('Origin',(23.937666,4.25,18.9145775)); #232460=CARTESIAN_POINT('',(23.937666,4.25,18.9145775)); #232461=CARTESIAN_POINT('',(23.387334525116,4.25,21.6603544973215)); #232462=CARTESIAN_POINT('',(23.937666,4.24,18.9145775)); #232463=CARTESIAN_POINT('',(23.937666,4.25,18.9145775)); #232464=CARTESIAN_POINT('',(23.937666,4.24,18.9145775)); #232465=CARTESIAN_POINT('Origin',(23.938513,4.25,18.9078846)); #232466=CARTESIAN_POINT('',(23.938513,4.25,18.9078846)); #232467=CARTESIAN_POINT('',(23.5737759086776,4.25,21.7899966171347)); #232468=CARTESIAN_POINT('',(23.938513,4.24,18.9078846)); #232469=CARTESIAN_POINT('',(23.938513,4.25,18.9078846)); #232470=CARTESIAN_POINT('',(23.938513,4.24,18.9078846)); #232471=CARTESIAN_POINT('Origin',(23.938988,4.25,18.9006214)); #232472=CARTESIAN_POINT('',(23.938988,4.25,18.9006214)); #232473=CARTESIAN_POINT('',(23.7443560218942,4.25,21.8767287334288)); #232474=CARTESIAN_POINT('',(23.938988,4.24,18.9006214)); #232475=CARTESIAN_POINT('',(23.938988,4.25,18.9006214)); #232476=CARTESIAN_POINT('',(23.938988,4.24,18.9006214)); #232477=CARTESIAN_POINT('Origin',(23.939104,4.25,18.8941803)); #232478=CARTESIAN_POINT('',(23.939104,4.25,18.8941803)); #232479=CARTESIAN_POINT('',(23.8844425964897,4.25,21.9293489736779)); #232480=CARTESIAN_POINT('',(23.939104,4.24,18.8941803)); #232481=CARTESIAN_POINT('',(23.939104,4.25,18.8941803)); #232482=CARTESIAN_POINT('',(23.939104,4.24,18.8941803)); #232483=CARTESIAN_POINT('Origin',(23.939104,4.25,18.7783184)); #232484=CARTESIAN_POINT('',(23.939104,4.25,18.7783184)); #232485=CARTESIAN_POINT('',(23.939104,4.25,21.8891592)); #232486=CARTESIAN_POINT('',(23.939104,4.24,18.7783184)); #232487=CARTESIAN_POINT('',(23.939104,4.25,18.7783184)); #232488=CARTESIAN_POINT('',(23.939104,4.24,18.7783184)); #232489=CARTESIAN_POINT('Origin',(23.942287,4.25,18.777998)); #232490=CARTESIAN_POINT('',(23.942287,4.25,18.777998)); #232491=CARTESIAN_POINT('',(24.551814929278,4.25,18.716643068005)); #232492=CARTESIAN_POINT('',(23.942287,4.24,18.777998)); #232493=CARTESIAN_POINT('',(23.942287,4.25,18.777998)); #232494=CARTESIAN_POINT('',(23.942287,4.24,18.777998)); #232495=CARTESIAN_POINT('Origin',(24.974203,4.25,18.7780209)); #232496=CARTESIAN_POINT('',(24.974203,4.25,18.7780209)); #232497=CARTESIAN_POINT('',(25.387170538033,4.25,18.7780300644636)); #232498=CARTESIAN_POINT('',(24.974203,4.24,18.7780209)); #232499=CARTESIAN_POINT('',(24.974203,4.25,18.7780209)); #232500=CARTESIAN_POINT('',(24.974203,4.24,18.7780209)); #232501=CARTESIAN_POINT('Origin',(26.5680640059614,4.25,18.837020855201)); #232502=CARTESIAN_POINT('',(24.974554,4.25,18.9048424)); #232503=CARTESIAN_POINT('Origin',(26.5680640059614,4.25,18.837020855201)); #232504=CARTESIAN_POINT('',(24.974554,4.24,18.9048424)); #232505=CARTESIAN_POINT('',(24.974554,4.25,18.9048424)); #232506=CARTESIAN_POINT('Origin',(26.5680640059614,4.24,18.837020855201)); #232507=CARTESIAN_POINT('Origin',(24.975254,4.25,18.9117794)); #232508=CARTESIAN_POINT('',(24.975254,4.25,18.9117794)); #232509=CARTESIAN_POINT('',(25.2834899814673,4.25,21.9663979763403)); #232510=CARTESIAN_POINT('',(24.975254,4.24,18.9117794)); #232511=CARTESIAN_POINT('',(24.975254,4.25,18.9117794)); #232512=CARTESIAN_POINT('',(24.975254,4.24,18.9117794)); #232513=CARTESIAN_POINT('Origin',(24.976334,4.25,18.9181442)); #232514=CARTESIAN_POINT('',(24.976334,4.25,18.9181442)); #232515=CARTESIAN_POINT('',(25.4894134247943,4.25,21.9418922767883)); #232516=CARTESIAN_POINT('',(24.976334,4.24,18.9181442)); #232517=CARTESIAN_POINT('',(24.976334,4.25,18.9181442)); #232518=CARTESIAN_POINT('',(24.976334,4.24,18.9181442)); #232519=CARTESIAN_POINT('Origin',(25.0993937357031,4.25,18.8870858704294)); #232520=CARTESIAN_POINT('',(24.979647,4.25,18.9291458)); #232521=CARTESIAN_POINT('Origin',(25.0993937357031,4.25,18.8870858704294)); #232522=CARTESIAN_POINT('',(24.979647,4.24,18.9291458)); #232523=CARTESIAN_POINT('',(24.979647,4.25,18.9291458)); #232524=CARTESIAN_POINT('Origin',(25.0993937357031,4.24,18.8870858704294)); #232525=CARTESIAN_POINT('Origin',(24.981888,4.25,18.9337807)); #232526=CARTESIAN_POINT('',(24.981888,4.25,18.9337807)); #232527=CARTESIAN_POINT('',(26.2480428767619,4.25,21.5524782628301)); #232528=CARTESIAN_POINT('',(24.981888,4.24,18.9337807)); #232529=CARTESIAN_POINT('',(24.981888,4.25,18.9337807)); #232530=CARTESIAN_POINT('',(24.981888,4.24,18.9337807)); #232531=CARTESIAN_POINT('Origin',(24.98452,4.25,18.9378395)); #232532=CARTESIAN_POINT('',(24.98452,4.25,18.9378395)); #232533=CARTESIAN_POINT('',(26.4889203318039,4.25,21.2577711362948)); #232534=CARTESIAN_POINT('',(24.98452,4.24,18.9378395)); #232535=CARTESIAN_POINT('',(24.98452,4.25,18.9378395)); #232536=CARTESIAN_POINT('',(24.98452,4.24,18.9378395)); #232537=CARTESIAN_POINT('Origin',(25.0563319341167,4.25,18.8767387337159)); #232538=CARTESIAN_POINT('',(24.990681,4.25,18.944416)); #232539=CARTESIAN_POINT('Origin',(25.0563319341167,4.25,18.8767387337159)); #232540=CARTESIAN_POINT('',(24.990681,4.24,18.944416)); #232541=CARTESIAN_POINT('',(24.990681,4.25,18.944416)); #232542=CARTESIAN_POINT('Origin',(25.0563319341167,4.24,18.8767387337159)); #232543=CARTESIAN_POINT('Origin',(24.994131,4.25,18.9470463)); #232544=CARTESIAN_POINT('',(24.994131,4.25,18.9470463)); #232545=CARTESIAN_POINT('',(26.7081650865391,4.25,20.2538358240073)); #232546=CARTESIAN_POINT('',(24.994131,4.24,18.9470463)); #232547=CARTESIAN_POINT('',(24.994131,4.25,18.9470463)); #232548=CARTESIAN_POINT('',(24.994131,4.24,18.9470463)); #232549=CARTESIAN_POINT('Origin',(24.997837,4.25,18.9492397)); #232550=CARTESIAN_POINT('',(24.997837,4.25,18.9492397)); #232551=CARTESIAN_POINT('',(26.620939970723,4.25,19.9098749012911)); #232552=CARTESIAN_POINT('',(24.997837,4.24,18.9492397)); #232553=CARTESIAN_POINT('',(24.997837,4.25,18.9492397)); #232554=CARTESIAN_POINT('',(24.997837,4.24,18.9492397)); #232555=CARTESIAN_POINT('Origin',(25.001793,4.25,18.9509964)); #232556=CARTESIAN_POINT('',(25.001793,4.25,18.9509964)); #232557=CARTESIAN_POINT('',(26.4570041330343,4.25,19.5971969554606)); #232558=CARTESIAN_POINT('',(25.001793,4.24,18.9509964)); #232559=CARTESIAN_POINT('',(25.001793,4.25,18.9509964)); #232560=CARTESIAN_POINT('',(25.001793,4.24,18.9509964)); #232561=CARTESIAN_POINT('Origin',(25.0254181817426,4.25,18.8763317426525)); #232562=CARTESIAN_POINT('',(25.010431,4.25,18.9531975)); #232563=CARTESIAN_POINT('Origin',(25.0254181817426,4.25,18.8763317426525)); #232564=CARTESIAN_POINT('',(25.010431,4.24,18.9531975)); #232565=CARTESIAN_POINT('',(25.010431,4.25,18.9531975)); #232566=CARTESIAN_POINT('Origin',(25.0254181817426,4.24,18.8763317426525)); #232567=CARTESIAN_POINT('Origin',(25.0197900987138,4.25,18.8557100366787)); #232568=CARTESIAN_POINT('',(25.019854,4.25,18.9536457)); #232569=CARTESIAN_POINT('Origin',(25.0197900987138,4.25,18.8557100366787)); #232570=CARTESIAN_POINT('',(25.019854,4.24,18.9536457)); #232571=CARTESIAN_POINT('',(25.019854,4.25,18.9536457)); #232572=CARTESIAN_POINT('Origin',(25.0197900987138,4.24,18.8557100366787)); #232573=CARTESIAN_POINT('Origin',(25.024334,4.25,18.9532146)); #232574=CARTESIAN_POINT('',(25.024334,4.25,18.9532146)); #232575=CARTESIAN_POINT('',(25.1203439097589,4.25,18.943975789264)); #232576=CARTESIAN_POINT('',(25.024334,4.24,18.9532146)); #232577=CARTESIAN_POINT('',(25.024334,4.25,18.9532146)); #232578=CARTESIAN_POINT('',(25.024334,4.24,18.9532146)); #232579=CARTESIAN_POINT('Origin',(25.028614,4.25,18.9523468)); #232580=CARTESIAN_POINT('',(25.028614,4.25,18.9523468)); #232581=CARTESIAN_POINT('',(24.810184679595,4.25,18.9966348757587)); #232582=CARTESIAN_POINT('',(25.028614,4.24,18.9523468)); #232583=CARTESIAN_POINT('',(25.028614,4.25,18.9523468)); #232584=CARTESIAN_POINT('',(25.028614,4.24,18.9523468)); #232585=CARTESIAN_POINT('Origin',(25.0327,4.25,18.9510403)); #232586=CARTESIAN_POINT('',(25.0327,4.25,18.9510403)); #232587=CARTESIAN_POINT('',(24.5033884299239,4.25,19.1202878688461)); #232588=CARTESIAN_POINT('',(25.0327,4.24,18.9510403)); #232589=CARTESIAN_POINT('',(25.0327,4.25,18.9510403)); #232590=CARTESIAN_POINT('',(25.0327,4.24,18.9510403)); #232591=CARTESIAN_POINT('Origin',(25.036596,4.25,18.949297)); #232592=CARTESIAN_POINT('',(25.036596,4.25,18.949297)); #232593=CARTESIAN_POINT('',(24.2267286756968,4.25,19.311679368187)); #232594=CARTESIAN_POINT('',(25.036596,4.24,18.949297)); #232595=CARTESIAN_POINT('',(25.036596,4.25,18.949297)); #232596=CARTESIAN_POINT('',(25.036596,4.24,18.949297)); #232597=CARTESIAN_POINT('Origin',(25.040312,4.25,18.9471169)); #232598=CARTESIAN_POINT('',(25.040312,4.25,18.9471169)); #232599=CARTESIAN_POINT('',(24.0019887170866,4.25,19.5562795988918)); #232600=CARTESIAN_POINT('',(25.040312,4.24,18.9471169)); #232601=CARTESIAN_POINT('',(25.040312,4.25,18.9471169)); #232602=CARTESIAN_POINT('',(25.040312,4.24,18.9471169)); #232603=CARTESIAN_POINT('Origin',(25.043852,4.25,18.9444962)); #232604=CARTESIAN_POINT('',(25.043852,4.25,18.9444962)); #232605=CARTESIAN_POINT('',(23.8401497839042,4.25,19.8356098716736)); #232606=CARTESIAN_POINT('',(25.043852,4.24,18.9444962)); #232607=CARTESIAN_POINT('',(25.043852,4.25,18.9444962)); #232608=CARTESIAN_POINT('',(25.043852,4.24,18.9444962)); #232609=CARTESIAN_POINT('Origin',(25.04722,4.25,18.9414406)); #232610=CARTESIAN_POINT('',(25.04722,4.25,18.9414406)); #232611=CARTESIAN_POINT('',(23.7461848944845,4.25,20.1217977462008)); #232612=CARTESIAN_POINT('',(25.04722,4.24,18.9414406)); #232613=CARTESIAN_POINT('',(25.04722,4.25,18.9414406)); #232614=CARTESIAN_POINT('',(25.04722,4.24,18.9414406)); #232615=CARTESIAN_POINT('Origin',(25.05031,4.25,18.9379463)); #232616=CARTESIAN_POINT('',(25.05031,4.25,18.9379463)); #232617=CARTESIAN_POINT('',(23.7106739622043,4.25,20.4528622245548)); #232618=CARTESIAN_POINT('',(25.05031,4.24,18.9379463)); #232619=CARTESIAN_POINT('',(25.05031,4.25,18.9379463)); #232620=CARTESIAN_POINT('',(25.05031,4.24,18.9379463)); #232621=CARTESIAN_POINT('Origin',(24.9807075901363,4.25,18.8923109446263)); #232622=CARTESIAN_POINT('',(25.055273,4.25,18.929285)); #232623=CARTESIAN_POINT('Origin',(24.9807075901363,4.25,18.8923109446263)); #232624=CARTESIAN_POINT('',(25.055273,4.24,18.929285)); #232625=CARTESIAN_POINT('',(25.055273,4.25,18.929285)); #232626=CARTESIAN_POINT('Origin',(24.9807075901363,4.24,18.8923109446263)); #232627=CARTESIAN_POINT('Origin',(25.057188,4.25,18.9240894)); #232628=CARTESIAN_POINT('',(25.057188,4.25,18.9240894)); #232629=CARTESIAN_POINT('',(24.1158007090651,4.25,21.4781738954459)); #232630=CARTESIAN_POINT('',(25.057188,4.24,18.9240894)); #232631=CARTESIAN_POINT('',(25.057188,4.25,18.9240894)); #232632=CARTESIAN_POINT('',(25.057188,4.24,18.9240894)); #232633=CARTESIAN_POINT('Origin',(24.9516907411327,4.25,18.8944606047544)); #232634=CARTESIAN_POINT('',(25.059862,4.25,18.9119682)); #232635=CARTESIAN_POINT('Origin',(24.9516907411327,4.25,18.8944606047544)); #232636=CARTESIAN_POINT('',(25.059862,4.24,18.9119682)); #232637=CARTESIAN_POINT('',(25.059862,4.25,18.9119682)); #232638=CARTESIAN_POINT('Origin',(24.9516907411327,4.24,18.8944606047544)); #232639=CARTESIAN_POINT('Origin',(22.5449021643767,4.25,18.7110358968224)); #232640=CARTESIAN_POINT('',(25.060459,4.25,18.5177212)); #232641=CARTESIAN_POINT('Origin',(22.5449021643767,4.25,18.7110358968224)); #232642=CARTESIAN_POINT('',(25.060459,4.24,18.5177212)); #232643=CARTESIAN_POINT('',(25.060459,4.25,18.5177212)); #232644=CARTESIAN_POINT('Origin',(22.5449021643767,4.24,18.7110358968224)); #232645=CARTESIAN_POINT('Origin',(24.9140978412487,4.25,18.5344788768809)); #232646=CARTESIAN_POINT('',(25.058361,4.25,18.5046368)); #232647=CARTESIAN_POINT('Origin',(24.9140978412487,4.25,18.5344788768809)); #232648=CARTESIAN_POINT('',(25.058361,4.24,18.5046368)); #232649=CARTESIAN_POINT('',(25.058361,4.25,18.5046368)); #232650=CARTESIAN_POINT('Origin',(24.9140978412487,4.24,18.5344788768809)); #232651=CARTESIAN_POINT('Origin',(25.056732,4.25,18.4989872)); #232652=CARTESIAN_POINT('',(25.056732,4.25,18.4989872)); #232653=CARTESIAN_POINT('',(25.9505644985097,4.25,21.5989234084697)); #232654=CARTESIAN_POINT('',(25.056732,4.24,18.4989872)); #232655=CARTESIAN_POINT('',(25.056732,4.25,18.4989872)); #232656=CARTESIAN_POINT('',(25.056732,4.24,18.4989872)); #232657=CARTESIAN_POINT('Origin',(25.05472,4.25,18.4939213)); #232658=CARTESIAN_POINT('',(25.05472,4.25,18.4939213)); #232659=CARTESIAN_POINT('',(26.2214539151593,4.25,21.4315740538765)); #232660=CARTESIAN_POINT('',(25.05472,4.24,18.4939213)); #232661=CARTESIAN_POINT('',(25.05472,4.25,18.4939213)); #232662=CARTESIAN_POINT('',(25.05472,4.24,18.4939213)); #232663=CARTESIAN_POINT('Origin',(25.05233,4.25,18.4894314)); #232664=CARTESIAN_POINT('',(25.05233,4.25,18.4894314)); #232665=CARTESIAN_POINT('',(26.4850907566086,4.25,21.1810433335116)); #232666=CARTESIAN_POINT('',(25.05233,4.24,18.4894314)); #232667=CARTESIAN_POINT('',(25.05233,4.25,18.4894314)); #232668=CARTESIAN_POINT('',(25.05233,4.24,18.4894314)); #232669=CARTESIAN_POINT('Origin',(25.049562,4.25,18.4855137)); #232670=CARTESIAN_POINT('',(25.049562,4.25,18.4855137)); #232671=CARTESIAN_POINT('',(26.7095667700124,4.25,20.8350081680198)); #232672=CARTESIAN_POINT('',(25.049562,4.24,18.4855137)); #232673=CARTESIAN_POINT('',(25.049562,4.25,18.4855137)); #232674=CARTESIAN_POINT('',(25.049562,4.24,18.4855137)); #232675=CARTESIAN_POINT('Origin',(25.046368,4.25,18.4822273)); #232676=CARTESIAN_POINT('',(25.046368,4.25,18.4822273)); #232677=CARTESIAN_POINT('',(26.8581850802803,4.25,20.3464588756536)); #232678=CARTESIAN_POINT('',(25.046368,4.24,18.4822273)); #232679=CARTESIAN_POINT('',(25.046368,4.25,18.4822273)); #232680=CARTESIAN_POINT('',(25.046368,4.24,18.4822273)); #232681=CARTESIAN_POINT('Origin',(24.9942236745624,4.25,18.5442351864599)); #232682=CARTESIAN_POINT('',(25.039373,4.25,18.476963)); #232683=CARTESIAN_POINT('Origin',(24.9942236745624,4.25,18.5442351864599)); #232684=CARTESIAN_POINT('',(25.039373,4.24,18.476963)); #232685=CARTESIAN_POINT('',(25.039373,4.25,18.476963)); #232686=CARTESIAN_POINT('Origin',(24.9942236745624,4.24,18.5442351864599)); #232687=CARTESIAN_POINT('Origin',(25.035612,4.25,18.4749489)); #232688=CARTESIAN_POINT('',(25.035612,4.25,18.4749489)); #232689=CARTESIAN_POINT('',(26.6903957200625,4.25,19.3611227608278)); #232690=CARTESIAN_POINT('',(25.035612,4.24,18.4749489)); #232691=CARTESIAN_POINT('',(25.035612,4.25,18.4749489)); #232692=CARTESIAN_POINT('',(25.035612,4.24,18.4749489)); #232693=CARTESIAN_POINT('Origin',(25.031668,4.25,18.4733524)); #232694=CARTESIAN_POINT('',(25.031668,4.25,18.4733524)); #232695=CARTESIAN_POINT('',(26.4967399056471,4.25,19.0664019175878)); #232696=CARTESIAN_POINT('',(25.031668,4.24,18.4733524)); #232697=CARTESIAN_POINT('',(25.031668,4.25,18.4733524)); #232698=CARTESIAN_POINT('',(25.031668,4.24,18.4733524)); #232699=CARTESIAN_POINT('Origin',(25.027533,4.25,18.4721756)); #232700=CARTESIAN_POINT('',(25.027533,4.25,18.4721756)); #232701=CARTESIAN_POINT('',(26.24412255407,4.25,18.8184108085205)); #232702=CARTESIAN_POINT('',(25.027533,4.24,18.4721756)); #232703=CARTESIAN_POINT('',(25.027533,4.25,18.4721756)); #232704=CARTESIAN_POINT('',(25.027533,4.24,18.4721756)); #232705=CARTESIAN_POINT('Origin',(25.0138710943565,4.25,18.5483765870606)); #232706=CARTESIAN_POINT('',(25.018669,4.25,18.4711094)); #232707=CARTESIAN_POINT('Origin',(25.0138710943565,4.25,18.5483765870606)); #232708=CARTESIAN_POINT('',(25.018669,4.24,18.4711094)); #232709=CARTESIAN_POINT('',(25.018669,4.25,18.4711094)); #232710=CARTESIAN_POINT('Origin',(25.0138710943565,4.24,18.5483765870606)); #232711=CARTESIAN_POINT('Origin',(25.013884,4.25,18.4712276)); #232712=CARTESIAN_POINT('',(25.013884,4.25,18.4712276)); #232713=CARTESIAN_POINT('',(25.3261139753968,4.25,18.4635148344635)); #232714=CARTESIAN_POINT('',(25.013884,4.24,18.4712276)); #232715=CARTESIAN_POINT('',(25.013884,4.25,18.4712276)); #232716=CARTESIAN_POINT('',(25.013884,4.24,18.4712276)); #232717=CARTESIAN_POINT('Origin',(25.022614988042,4.25,18.5495832432039)); #232718=CARTESIAN_POINT('',(25.004889,4.25,18.4727612)); #232719=CARTESIAN_POINT('Origin',(25.022614988042,4.25,18.5495832432039)); #232720=CARTESIAN_POINT('',(25.004889,4.24,18.4727612)); #232721=CARTESIAN_POINT('',(25.004889,4.25,18.4727612)); #232722=CARTESIAN_POINT('Origin',(25.022614988042,4.24,18.5495832432039)); #232723=CARTESIAN_POINT('Origin',(25.000751,4.25,18.4741669)); #232724=CARTESIAN_POINT('',(25.000751,4.25,18.4741669)); #232725=CARTESIAN_POINT('',(24.3652790810727,4.25,18.6900399972535)); #232726=CARTESIAN_POINT('',(25.000751,4.24,18.4741669)); #232727=CARTESIAN_POINT('',(25.000751,4.25,18.4741669)); #232728=CARTESIAN_POINT('',(25.000751,4.24,18.4741669)); #232729=CARTESIAN_POINT('Origin',(24.996861,4.25,18.4759922)); #232730=CARTESIAN_POINT('',(24.996861,4.25,18.4759922)); #232731=CARTESIAN_POINT('',(24.0715379611386,4.25,18.9101804115252)); #232732=CARTESIAN_POINT('',(24.996861,4.24,18.4759922)); #232733=CARTESIAN_POINT('',(24.996861,4.25,18.4759922)); #232734=CARTESIAN_POINT('',(24.996861,4.24,18.4759922)); #232735=CARTESIAN_POINT('Origin',(24.993221,4.25,18.4782314)); #232736=CARTESIAN_POINT('',(24.993221,4.25,18.4782314)); #232737=CARTESIAN_POINT('',(23.8305979865655,4.25,19.1934361944188)); #232738=CARTESIAN_POINT('',(24.993221,4.24,18.4782314)); #232739=CARTESIAN_POINT('',(24.993221,4.25,18.4782314)); #232740=CARTESIAN_POINT('',(24.993221,4.24,18.4782314)); #232741=CARTESIAN_POINT('Origin',(24.989836,4.25,18.4808788)); #232742=CARTESIAN_POINT('',(24.989836,4.25,18.4808788)); #232743=CARTESIAN_POINT('',(23.6594125657942,4.25,19.5213996270941)); #232744=CARTESIAN_POINT('',(24.989836,4.24,18.4808788)); #232745=CARTESIAN_POINT('',(24.989836,4.25,18.4808788)); #232746=CARTESIAN_POINT('',(24.989836,4.24,18.4808788)); #232747=CARTESIAN_POINT('Origin',(24.986713,4.25,18.4839344)); #232748=CARTESIAN_POINT('',(24.986713,4.25,18.4839344)); #232749=CARTESIAN_POINT('',(23.5658413948757,4.25,19.8741410207569)); #232750=CARTESIAN_POINT('',(24.986713,4.24,18.4839344)); #232751=CARTESIAN_POINT('',(24.986713,4.25,18.4839344)); #232752=CARTESIAN_POINT('',(24.986713,4.24,18.4839344)); #232753=CARTESIAN_POINT('Origin',(24.983807,4.25,18.4875698)); #232754=CARTESIAN_POINT('',(24.983807,4.25,18.4875698)); #232755=CARTESIAN_POINT('',(23.5547903060055,4.25,20.2752667337043)); #232756=CARTESIAN_POINT('',(24.983807,4.24,18.4875698)); #232757=CARTESIAN_POINT('',(24.983807,4.25,18.4875698)); #232758=CARTESIAN_POINT('',(24.983807,4.24,18.4875698)); #232759=CARTESIAN_POINT('Origin',(24.981274,4.25,18.4917965)); #232760=CARTESIAN_POINT('',(24.981274,4.25,18.4917965)); #232761=CARTESIAN_POINT('',(23.654617467023,4.25,20.7055269255545)); #232762=CARTESIAN_POINT('',(24.981274,4.24,18.4917965)); #232763=CARTESIAN_POINT('',(24.981274,4.25,18.4917965)); #232764=CARTESIAN_POINT('',(24.981274,4.24,18.4917965)); #232765=CARTESIAN_POINT('Origin',(24.979134,4.25,18.4965992)); #232766=CARTESIAN_POINT('',(24.979134,4.25,18.4965992)); #232767=CARTESIAN_POINT('',(23.8382392890376,4.25,21.057054820717)); #232768=CARTESIAN_POINT('',(24.979134,4.24,18.4965992)); #232769=CARTESIAN_POINT('',(24.979134,4.25,18.4965992)); #232770=CARTESIAN_POINT('',(24.979134,4.24,18.4965992)); #232771=CARTESIAN_POINT('Origin',(25.0713500805984,4.25,18.5279857323648)); #232772=CARTESIAN_POINT('',(24.976021,4.25,18.5079536)); #232773=CARTESIAN_POINT('Origin',(25.0713500805984,4.25,18.5279857323648)); #232774=CARTESIAN_POINT('',(24.976021,4.24,18.5079536)); #232775=CARTESIAN_POINT('',(24.976021,4.25,18.5079536)); #232776=CARTESIAN_POINT('Origin',(25.0713500805984,4.24,18.5279857323648)); #232777=CARTESIAN_POINT('Origin',(24.975039,4.25,18.5145187)); #232778=CARTESIAN_POINT('',(24.975039,4.25,18.5145187)); #232779=CARTESIAN_POINT('',(24.5096352664911,4.25,21.625946450379)); #232780=CARTESIAN_POINT('',(24.975039,4.24,18.5145187)); #232781=CARTESIAN_POINT('',(24.975039,4.25,18.5145187)); #232782=CARTESIAN_POINT('',(24.975039,4.24,18.5145187)); #232783=CARTESIAN_POINT('Origin',(25.117090135444,4.25,18.5299223118684)); #232784=CARTESIAN_POINT('',(24.974207,4.25,18.5294685)); #232785=CARTESIAN_POINT('Origin',(25.117090135444,4.25,18.5299223118684)); #232786=CARTESIAN_POINT('',(24.974207,4.24,18.5294685)); #232787=CARTESIAN_POINT('',(24.974207,4.25,18.5294685)); #232788=CARTESIAN_POINT('Origin',(25.117090135444,4.24,18.5299223118684)); #232789=CARTESIAN_POINT('Origin',(24.974205,4.25,18.6911716)); #232790=CARTESIAN_POINT('',(24.974205,4.25,18.6911716)); #232791=CARTESIAN_POINT('',(24.9741659851747,4.25,21.845580692658)); #232792=CARTESIAN_POINT('',(24.974205,4.24,18.6911716)); #232793=CARTESIAN_POINT('',(24.974205,4.25,18.6911716)); #232794=CARTESIAN_POINT('',(24.974205,4.24,18.6911716)); #232795=CARTESIAN_POINT('Origin',(24.521204,4.25,18.6911983)); #232796=CARTESIAN_POINT('',(24.521204,4.25,18.6911983)); #232797=CARTESIAN_POINT('',(25.1604160765503,4.25,18.6911606246694)); #232798=CARTESIAN_POINT('',(24.521204,4.24,18.6911983)); #232799=CARTESIAN_POINT('',(24.521204,4.25,18.6911983)); #232800=CARTESIAN_POINT('',(24.521204,4.24,18.6911983)); #232801=CARTESIAN_POINT('Origin',(24.521204,4.25,18.6880646)); #232802=CARTESIAN_POINT('',(24.521204,4.25,18.6880646)); #232803=CARTESIAN_POINT('',(24.521204,4.25,21.8440323)); #232804=CARTESIAN_POINT('',(24.521204,4.24,18.6880646)); #232805=CARTESIAN_POINT('',(24.521204,4.25,18.6880646)); #232806=CARTESIAN_POINT('',(24.521204,4.24,18.6880646)); #232807=CARTESIAN_POINT('Origin',(24.521202,4.25,18.4185696)); #232808=CARTESIAN_POINT('',(24.521202,4.25,18.4185696)); #232809=CARTESIAN_POINT('',(24.5212264213803,4.25,21.7092895449829)); #232810=CARTESIAN_POINT('',(24.521202,4.24,18.4185696)); #232811=CARTESIAN_POINT('',(24.521202,4.25,18.4185696)); #232812=CARTESIAN_POINT('',(24.521202,4.24,18.4185696)); #232813=CARTESIAN_POINT('Origin',(25.3157202530071,4.25,18.8578479126299)); #232814=CARTESIAN_POINT('',(24.94178,4.25,18.0305672)); #232815=CARTESIAN_POINT('Origin',(25.3157202530071,4.25,18.8578479126299)); #232816=CARTESIAN_POINT('',(24.94178,4.24,18.0305672)); #232817=CARTESIAN_POINT('',(24.94178,4.25,18.0305672)); #232818=CARTESIAN_POINT('Origin',(25.3157202530071,4.24,18.8578479126299)); #232819=CARTESIAN_POINT('Origin',(25.061005,4.25,17.9617138)); #232820=CARTESIAN_POINT('',(25.061005,4.25,17.9617138)); #232821=CARTESIAN_POINT('',(23.8140483973331,4.25,18.681841304685)); #232822=CARTESIAN_POINT('',(25.061005,4.24,17.9617138)); #232823=CARTESIAN_POINT('',(25.061005,4.25,17.9617138)); #232824=CARTESIAN_POINT('',(25.061005,4.24,17.9617138)); #232825=CARTESIAN_POINT('Origin',(24.1567164502081,4.25,17.9024933713206)); #232826=CARTESIAN_POINT('',(25.059967,4.25,17.8291225)); #232827=CARTESIAN_POINT('Origin',(24.1567164502081,4.25,17.9024933713206)); #232828=CARTESIAN_POINT('',(25.059967,4.24,17.8291225)); #232829=CARTESIAN_POINT('',(25.059967,4.25,17.8291225)); #232830=CARTESIAN_POINT('Origin',(24.1567164502081,4.24,17.9024933713206)); #232831=CARTESIAN_POINT('Origin',(25.058868,4.25,17.8228359)); #232832=CARTESIAN_POINT('',(25.058868,4.25,17.8228359)); #232833=CARTESIAN_POINT('',(25.6785959776957,4.25,21.3678603809663)); #232834=CARTESIAN_POINT('',(25.058868,4.24,17.8228359)); #232835=CARTESIAN_POINT('',(25.058868,4.25,17.8228359)); #232836=CARTESIAN_POINT('',(25.058868,4.24,17.8228359)); #232837=CARTESIAN_POINT('Origin',(24.970788147264,4.25,17.8439721215537)); #232838=CARTESIAN_POINT('',(25.055511,4.25,17.8119278)); #232839=CARTESIAN_POINT('Origin',(24.970788147264,4.25,17.8439721215537)); #232840=CARTESIAN_POINT('',(25.055511,4.24,17.8119278)); #232841=CARTESIAN_POINT('',(25.055511,4.25,17.8119278)); #232842=CARTESIAN_POINT('Origin',(24.970788147264,4.24,17.8439721215537)); #232843=CARTESIAN_POINT('Origin',(24.9706129220073,4.25,17.8536476163806)); #232844=CARTESIAN_POINT('',(25.050632,4.25,17.8031979)); #232845=CARTESIAN_POINT('Origin',(24.9706129220073,4.25,17.8536476163806)); #232846=CARTESIAN_POINT('',(25.050632,4.24,17.8031979)); #232847=CARTESIAN_POINT('',(25.050632,4.25,17.8031979)); #232848=CARTESIAN_POINT('Origin',(24.9706129220073,4.24,17.8536476163806)); #232849=CARTESIAN_POINT('Origin',(25.047592,4.25,17.7996826)); #232850=CARTESIAN_POINT('',(25.047592,4.25,17.7996826)); #232851=CARTESIAN_POINT('',(26.9898112716107,4.25,20.045565299177)); #232852=CARTESIAN_POINT('',(25.047592,4.24,17.7996826)); #232853=CARTESIAN_POINT('',(25.047592,4.25,17.7996826)); #232854=CARTESIAN_POINT('',(25.047592,4.24,17.7996826)); #232855=CARTESIAN_POINT('Origin',(25.044245,4.25,17.7966976)); #232856=CARTESIAN_POINT('',(25.044245,4.25,17.7966976)); #232857=CARTESIAN_POINT('',(27.0438089113008,4.25,19.5799955609286)); #232858=CARTESIAN_POINT('',(25.044245,4.24,17.7966976)); #232859=CARTESIAN_POINT('',(25.044245,4.25,17.7966976)); #232860=CARTESIAN_POINT('',(25.044245,4.24,17.7966976)); #232861=CARTESIAN_POINT('Origin',(25.040726,4.25,17.794117)); #232862=CARTESIAN_POINT('',(25.040726,4.25,17.794117)); #232863=CARTESIAN_POINT('',(27.005765515896,4.25,19.2351459783237)); #232864=CARTESIAN_POINT('',(25.040726,4.24,17.794117)); #232865=CARTESIAN_POINT('',(25.040726,4.25,17.794117)); #232866=CARTESIAN_POINT('',(25.040726,4.24,17.794117)); #232867=CARTESIAN_POINT('Origin',(25.037031,4.25,17.7919483)); #232868=CARTESIAN_POINT('',(25.037031,4.25,17.7919483)); #232869=CARTESIAN_POINT('',(26.8940911797862,4.25,18.8819094398921)); #232870=CARTESIAN_POINT('',(25.037031,4.24,17.7919483)); #232871=CARTESIAN_POINT('',(25.037031,4.25,17.7919483)); #232872=CARTESIAN_POINT('',(25.037031,4.24,17.7919483)); #232873=CARTESIAN_POINT('Origin',(25.033154,4.25,17.7901955)); #232874=CARTESIAN_POINT('',(25.033154,4.25,17.7901955)); #232875=CARTESIAN_POINT('',(26.7047025992828,4.25,18.5459062002374)); #232876=CARTESIAN_POINT('',(25.033154,4.24,17.7901955)); #232877=CARTESIAN_POINT('',(25.033154,4.25,17.7901955)); #232878=CARTESIAN_POINT('',(25.033154,4.24,17.7901955)); #232879=CARTESIAN_POINT('Origin',(25.029091,4.25,17.7888622)); #232880=CARTESIAN_POINT('',(25.029091,4.25,17.7888622)); #232881=CARTESIAN_POINT('',(26.4452364494053,4.25,18.2535795831389)); #232882=CARTESIAN_POINT('',(25.029091,4.24,17.7888622)); #232883=CARTESIAN_POINT('',(25.029091,4.25,17.7888622)); #232884=CARTESIAN_POINT('',(25.029091,4.24,17.7888622)); #232885=CARTESIAN_POINT('Origin',(25.024834,4.25,17.7879562)); #232886=CARTESIAN_POINT('',(25.024834,4.25,17.7879562)); #232887=CARTESIAN_POINT('',(26.1298216950843,4.25,18.0231262379952)); #232888=CARTESIAN_POINT('',(25.024834,4.24,17.7879562)); #232889=CARTESIAN_POINT('',(25.024834,4.25,17.7879562)); #232890=CARTESIAN_POINT('',(25.024834,4.24,17.7879562)); #232891=CARTESIAN_POINT('Origin',(25.020376,4.25,17.7874775)); #232892=CARTESIAN_POINT('',(25.020376,4.25,17.7874775)); #232893=CARTESIAN_POINT('',(25.788570660958,4.25,17.8699662358011)); #232894=CARTESIAN_POINT('',(25.020376,4.24,17.7874775)); #232895=CARTESIAN_POINT('',(25.020376,4.25,17.7874775)); #232896=CARTESIAN_POINT('',(25.020376,4.24,17.7874775)); #232897=CARTESIAN_POINT('Origin',(25.015657,4.25,17.7874336)); #232898=CARTESIAN_POINT('',(25.015657,4.25,17.7874336)); #232899=CARTESIAN_POINT('',(25.4413402580213,4.25,17.7913936540425)); #232900=CARTESIAN_POINT('',(25.015657,4.24,17.7874336)); #232901=CARTESIAN_POINT('',(25.015657,4.25,17.7874336)); #232902=CARTESIAN_POINT('',(25.015657,4.24,17.7874336)); #232903=CARTESIAN_POINT('Origin',(25.0231487066329,4.25,17.8793297385122)); #232904=CARTESIAN_POINT('',(25.006487,4.25,17.7886467)); #232905=CARTESIAN_POINT('Origin',(25.0231487066329,4.25,17.8793297385122)); #232906=CARTESIAN_POINT('',(25.006487,4.24,17.7886467)); #232907=CARTESIAN_POINT('',(25.006487,4.25,17.7886467)); #232908=CARTESIAN_POINT('Origin',(25.0231487066329,4.24,17.8793297385122)); #232909=CARTESIAN_POINT('Origin',(25.00226,4.25,17.789896)); #232910=CARTESIAN_POINT('',(25.00226,4.25,17.789896)); #232911=CARTESIAN_POINT('',(24.3891997838058,4.25,17.971087418995)); #232912=CARTESIAN_POINT('',(25.00226,4.24,17.789896)); #232913=CARTESIAN_POINT('',(25.00226,4.25,17.789896)); #232914=CARTESIAN_POINT('',(25.00226,4.24,17.789896)); #232915=CARTESIAN_POINT('Origin',(24.998276,4.25,17.7915649)); #232916=CARTESIAN_POINT('',(24.998276,4.25,17.7915649)); #232917=CARTESIAN_POINT('',(24.054874956285,4.25,18.1867561655262)); #232918=CARTESIAN_POINT('',(24.998276,4.24,17.7915649)); #232919=CARTESIAN_POINT('',(24.998276,4.25,17.7915649)); #232920=CARTESIAN_POINT('',(24.998276,4.24,17.7915649)); #232921=CARTESIAN_POINT('Origin',(24.994543,4.25,17.7936516)); #232922=CARTESIAN_POINT('',(24.994543,4.25,17.7936516)); #232923=CARTESIAN_POINT('',(23.766778335768,4.25,18.4799565892448)); #232924=CARTESIAN_POINT('',(24.994543,4.24,17.7936516)); #232925=CARTESIAN_POINT('',(24.994543,4.25,17.7936516)); #232926=CARTESIAN_POINT('',(24.994543,4.24,17.7936516)); #232927=CARTESIAN_POINT('Origin',(24.991062,4.25,17.7961483)); #232928=CARTESIAN_POINT('',(24.991062,4.25,17.7961483)); #232929=CARTESIAN_POINT('',(23.5522591693357,4.25,18.8281101004357)); #232930=CARTESIAN_POINT('',(24.991062,4.24,17.7961483)); #232931=CARTESIAN_POINT('',(24.991062,4.25,17.7961483)); #232932=CARTESIAN_POINT('',(24.991062,4.24,17.7961483)); #232933=CARTESIAN_POINT('Origin',(25.0836875750556,4.25,17.8686813132773)); #232934=CARTESIAN_POINT('',(24.974203,4.25,17.9117355)); #232935=CARTESIAN_POINT('Origin',(25.0836875750556,4.25,17.8686813132773)); #232936=CARTESIAN_POINT('',(24.974203,4.24,17.9117355)); #232937=CARTESIAN_POINT('',(24.974203,4.25,17.9117355)); #232938=CARTESIAN_POINT('Origin',(25.0836875750556,4.24,17.8686813132773)); #232939=CARTESIAN_POINT('Origin',(25.4858306339933,4.25,19.0585850138003)); #232940=CARTESIAN_POINT('',(24.512012,4.25,18.2656765)); #232941=CARTESIAN_POINT('Origin',(25.4858306339933,4.25,19.0585850138003)); #232942=CARTESIAN_POINT('',(24.512012,4.24,18.2656765)); #232943=CARTESIAN_POINT('',(24.512012,4.25,18.2656765)); #232944=CARTESIAN_POINT('Origin',(25.4858306339933,4.24,19.0585850138003)); #232945=CARTESIAN_POINT('Origin',(24.499741,4.25,18.2829914)); #232946=CARTESIAN_POINT('',(24.499741,4.25,18.2829914)); #232947=CARTESIAN_POINT('',(23.1327042417026,4.25,20.2119380845615)); #232948=CARTESIAN_POINT('',(24.499741,4.24,18.2829914)); #232949=CARTESIAN_POINT('',(24.499741,4.25,18.2829914)); #232950=CARTESIAN_POINT('',(24.499741,4.24,18.2829914)); #232951=CARTESIAN_POINT('Origin',(24.1280456731655,4.25,18.3542905132786)); #232952=CARTESIAN_POINT('',(24.214684,4.25,17.9858685)); #232953=CARTESIAN_POINT('Origin',(24.1280456731655,4.25,18.3542905132786)); #232954=CARTESIAN_POINT('',(24.214684,4.24,17.9858685)); #232955=CARTESIAN_POINT('',(24.214684,4.25,17.9858685)); #232956=CARTESIAN_POINT('Origin',(24.1280456731655,4.24,18.3542905132786)); #232957=CARTESIAN_POINT('Origin',(24.2013314099991,4.25,18.3301361696362)); #232958=CARTESIAN_POINT('',(23.859478,4.25,18.287302)); #232959=CARTESIAN_POINT('Origin',(24.2013314099991,4.25,18.3301361696362)); #232960=CARTESIAN_POINT('',(23.859478,4.24,18.287302)); #232961=CARTESIAN_POINT('',(23.859478,4.25,18.287302)); #232962=CARTESIAN_POINT('Origin',(24.2013314099991,4.24,18.3301361696362)); #232963=CARTESIAN_POINT('Origin',(27.2479326663674,4.25,18.6328963450715)); #232964=CARTESIAN_POINT('',(23.853115,4.25,18.9090729)); #232965=CARTESIAN_POINT('Origin',(27.2479326663674,4.25,18.6328963450715)); #232966=CARTESIAN_POINT('',(23.853115,4.24,18.9090729)); #232967=CARTESIAN_POINT('',(23.853115,4.25,18.9090729)); #232968=CARTESIAN_POINT('Origin',(27.2479326663674,4.24,18.6328963450715)); #232969=CARTESIAN_POINT('Origin',(23.854031,4.25,18.9156666)); #232970=CARTESIAN_POINT('',(23.854031,4.25,18.9156666)); #232971=CARTESIAN_POINT('',(24.2870706154296,4.25,22.0328427050787)); #232972=CARTESIAN_POINT('',(23.854031,4.24,18.9156666)); #232973=CARTESIAN_POINT('',(23.854031,4.25,18.9156666)); #232974=CARTESIAN_POINT('',(23.854031,4.24,18.9156666)); #232975=CARTESIAN_POINT('Origin',(23.855328,4.25,18.9216862)); #232976=CARTESIAN_POINT('',(23.855328,4.25,18.9216862)); #232977=CARTESIAN_POINT('',(24.5242395654591,4.25,22.026219784763)); #232978=CARTESIAN_POINT('',(23.855328,4.24,18.9216862)); #232979=CARTESIAN_POINT('',(23.855328,4.25,18.9216862)); #232980=CARTESIAN_POINT('',(23.855328,4.24,18.9216862)); #232981=CARTESIAN_POINT('Origin',(23.857018,4.25,18.9271278)); #232982=CARTESIAN_POINT('',(23.857018,4.25,18.9271278)); #232983=CARTESIAN_POINT('',(24.8025491657795,4.25,21.9716262566291)); #232984=CARTESIAN_POINT('',(23.857018,4.24,18.9271278)); #232985=CARTESIAN_POINT('',(23.857018,4.25,18.9271278)); #232986=CARTESIAN_POINT('',(23.857018,4.24,18.9271278)); #232987=CARTESIAN_POINT('Origin',(23.859102,4.25,18.9319954)); #232988=CARTESIAN_POINT('',(23.859102,4.25,18.9319954)); #232989=CARTESIAN_POINT('',(25.1071809154807,4.25,21.8471340415519)); #232990=CARTESIAN_POINT('',(23.859102,4.24,18.9319954)); #232991=CARTESIAN_POINT('',(23.859102,4.25,18.9319954)); #232992=CARTESIAN_POINT('',(23.859102,4.24,18.9319954)); #232993=CARTESIAN_POINT('Origin',(23.861591,4.25,18.9362869)); #232994=CARTESIAN_POINT('',(23.861591,4.25,18.9362869)); #232995=CARTESIAN_POINT('',(25.4213627100238,4.25,21.625624101113)); #232996=CARTESIAN_POINT('',(23.861591,4.24,18.9362869)); #232997=CARTESIAN_POINT('',(23.861591,4.25,18.9362869)); #232998=CARTESIAN_POINT('',(23.861591,4.24,18.9362869)); #232999=CARTESIAN_POINT('Origin',(23.864487,4.25,18.9399967)); #233000=CARTESIAN_POINT('',(23.864487,4.25,18.9399967)); #233001=CARTESIAN_POINT('',(25.7006272304037,4.25,21.2921075517756)); #233002=CARTESIAN_POINT('',(23.864487,4.24,18.9399967)); #233003=CARTESIAN_POINT('',(23.864487,4.25,18.9399967)); #233004=CARTESIAN_POINT('',(23.864487,4.24,18.9399967)); #233005=CARTESIAN_POINT('Origin',(23.86754,4.25,18.9432411)); #233006=CARTESIAN_POINT('',(23.86754,4.25,18.9432411)); #233007=CARTESIAN_POINT('',(25.8327097930549,4.25,21.0316121699631)); #233008=CARTESIAN_POINT('',(23.86754,4.24,18.9432411)); #233009=CARTESIAN_POINT('',(23.86754,4.25,18.9432411)); #233010=CARTESIAN_POINT('',(23.86754,4.24,18.9432411)); #233011=CARTESIAN_POINT('Origin',(23.870865,4.25,18.9460468)); #233012=CARTESIAN_POINT('',(23.870865,4.25,18.9460468)); #233013=CARTESIAN_POINT('',(25.9261949812273,4.25,20.6803744175421)); #233014=CARTESIAN_POINT('',(23.870865,4.24,18.9460468)); #233015=CARTESIAN_POINT('',(23.870865,4.25,18.9460468)); #233016=CARTESIAN_POINT('',(23.870865,4.24,18.9460468)); #233017=CARTESIAN_POINT('Origin',(23.874454,4.25,18.9484158)); #233018=CARTESIAN_POINT('',(25.9361827688032,4.25,20.3093061464168)); #233019=CARTESIAN_POINT('',(23.874454,4.24,18.9484158)); #233020=CARTESIAN_POINT('Origin',(24.4548878358437,4.24,18.3705396604236)); #233021=CARTESIAN_POINT('Origin',(7.2657,4.25,15.4511364352917)); #233022=CARTESIAN_POINT('',(7.2657,4.25,15.4511364352917)); #233023=CARTESIAN_POINT('',(8.12269799999999,4.25,15.4511364352917)); #233024=CARTESIAN_POINT('',(16.53285,4.25,15.4511364352917)); #233025=CARTESIAN_POINT('',(7.2657,4.24,15.4511364352917)); #233026=CARTESIAN_POINT('',(7.2657,4.25,15.4511364352917)); #233027=CARTESIAN_POINT('',(8.12269799999999,4.24,15.4511364352917)); #233028=CARTESIAN_POINT('',(8.12269799999999,4.24,15.4511364352917)); #233029=CARTESIAN_POINT('',(8.12269799999999,4.25,15.4511364352917)); #233030=CARTESIAN_POINT('Origin',(7.2657,4.25,15.5511364352917)); #233031=CARTESIAN_POINT('',(7.2657,4.25,15.5511364352917)); #233032=CARTESIAN_POINT('',(7.2657,4.25,20.2755682176459)); #233033=CARTESIAN_POINT('',(7.2657,4.24,15.5511364352917)); #233034=CARTESIAN_POINT('',(7.2657,4.25,15.5511364352917)); #233035=CARTESIAN_POINT('',(7.2657,4.24,15.4511364352917)); #233036=CARTESIAN_POINT('Origin',(8.12269799999999,4.25,15.5511364352917)); #233037=CARTESIAN_POINT('',(8.12269799999999,4.25,15.5511364352917)); #233038=CARTESIAN_POINT('',(16.961349,4.25,15.5511364352917)); #233039=CARTESIAN_POINT('',(8.12269799999999,4.24,15.5511364352917)); #233040=CARTESIAN_POINT('',(8.12269799999999,4.25,15.5511364352917)); #233041=CARTESIAN_POINT('',(7.2657,4.24,15.5511364352917)); #233042=CARTESIAN_POINT('Origin',(8.12269799999999,4.25,15.4511364352917)); #233043=CARTESIAN_POINT('',(8.12269799999999,4.25,20.2255682176459)); #233044=CARTESIAN_POINT('',(8.12269799999999,4.24,15.5511364352917)); #233045=CARTESIAN_POINT('Origin',(7.694199,4.24,15.5011364352917)); #233046=CARTESIAN_POINT('Origin',(1.9018035,4.25,22.0240974)); #233047=CARTESIAN_POINT('',(1.9018035,4.25,22.0240974)); #233048=CARTESIAN_POINT('',(1.9018035,4.25,21.8798714)); #233049=CARTESIAN_POINT('',(1.9018035,4.25,23.5120487)); #233050=CARTESIAN_POINT('',(1.9018035,4.24,22.0240974)); #233051=CARTESIAN_POINT('',(1.9018035,4.25,22.0240974)); #233052=CARTESIAN_POINT('',(1.9018035,4.24,21.8798714)); #233053=CARTESIAN_POINT('',(1.9018035,4.24,22.0240974)); #233054=CARTESIAN_POINT('',(1.9018035,4.25,21.8798714)); #233055=CARTESIAN_POINT('Origin',(1.8773284,4.25,22.0240993)); #233056=CARTESIAN_POINT('',(1.8773284,4.25,22.0240993)); #233057=CARTESIAN_POINT('',(13.8385486184536,4.25,22.0231707514582)); #233058=CARTESIAN_POINT('',(1.8773284,4.24,22.0240993)); #233059=CARTESIAN_POINT('',(1.8773284,4.25,22.0240993)); #233060=CARTESIAN_POINT('',(1.8773284,4.24,22.0240993)); #233061=CARTESIAN_POINT('Origin',(1.7998671,4.25,22.0241892)); #233062=CARTESIAN_POINT('',(1.7998671,4.25,22.0241892)); #233063=CARTESIAN_POINT('',(13.7981905563536,4.25,22.0102641912702)); #233064=CARTESIAN_POINT('',(1.7998671,4.24,22.0241892)); #233065=CARTESIAN_POINT('',(1.7998671,4.25,22.0241892)); #233066=CARTESIAN_POINT('',(1.7998671,4.24,22.0241892)); #233067=CARTESIAN_POINT('Origin',(1.7228291,4.25,22.0240974)); #233068=CARTESIAN_POINT('',(1.7228291,4.25,22.0240974)); #233069=CARTESIAN_POINT('',(13.7631705253379,4.25,22.0384449082796)); #233070=CARTESIAN_POINT('',(1.7228291,4.24,22.0240974)); #233071=CARTESIAN_POINT('',(1.7228291,4.25,22.0240974)); #233072=CARTESIAN_POINT('',(1.7228291,4.24,22.0240974)); #233073=CARTESIAN_POINT('Origin',(1.6722536,4.25,22.0240993)); #233074=CARTESIAN_POINT('',(1.6722536,4.25,22.0240993)); #233075=CARTESIAN_POINT('',(13.736070884255,4.25,22.0236460913745)); #233076=CARTESIAN_POINT('',(1.6722536,4.24,22.0240993)); #233077=CARTESIAN_POINT('',(1.6722536,4.25,22.0240993)); #233078=CARTESIAN_POINT('',(1.6722536,4.24,22.0240993)); #233079=CARTESIAN_POINT('Origin',(1.5961802,4.25,22.0241892)); #233080=CARTESIAN_POINT('',(1.5961802,4.25,22.0241892)); #233081=CARTESIAN_POINT('',(13.6963148643814,4.25,22.0098898245767)); #233082=CARTESIAN_POINT('',(1.5961802,4.24,22.0241892)); #233083=CARTESIAN_POINT('',(1.5961802,4.25,22.0241892)); #233084=CARTESIAN_POINT('',(1.5961802,4.24,22.0241892)); #233085=CARTESIAN_POINT('Origin',(1.5193796,4.25,22.0240974)); #233086=CARTESIAN_POINT('',(1.5193796,4.25,22.0240974)); #233087=CARTESIAN_POINT('',(13.6614510049131,4.25,22.0386108563398)); #233088=CARTESIAN_POINT('',(1.5193796,4.24,22.0240974)); #233089=CARTESIAN_POINT('',(1.5193796,4.25,22.0240974)); #233090=CARTESIAN_POINT('',(1.5193796,4.24,22.0240974)); #233091=CARTESIAN_POINT('Origin',(1.4337167,4.25,22.0240974)); #233092=CARTESIAN_POINT('',(1.4337167,4.25,22.0240974)); #233093=CARTESIAN_POINT('',(13.61685835,4.25,22.0240974)); #233094=CARTESIAN_POINT('',(1.4337167,4.24,22.0240974)); #233095=CARTESIAN_POINT('',(1.4337167,4.25,22.0240974)); #233096=CARTESIAN_POINT('',(1.4337167,4.24,22.0240974)); #233097=CARTESIAN_POINT('Origin',(1.377118,4.25,22.0240993)); #233098=CARTESIAN_POINT('',(1.377118,4.25,22.0240993)); #233099=CARTESIAN_POINT('',(13.5885090362281,4.25,22.0236893675639)); #233100=CARTESIAN_POINT('',(1.377118,4.24,22.0240993)); #233101=CARTESIAN_POINT('',(1.377118,4.25,22.0240993)); #233102=CARTESIAN_POINT('',(1.377118,4.24,22.0240993)); #233103=CARTESIAN_POINT('Origin',(1.36986224414199,4.25,22.0764114332734)); #233104=CARTESIAN_POINT('',(1.3626202,4.25,22.0240974)); #233105=CARTESIAN_POINT('Origin',(1.36986224414199,4.25,22.0764114332734)); #233106=CARTESIAN_POINT('',(1.3626202,4.24,22.0240974)); #233107=CARTESIAN_POINT('',(1.3626202,4.25,22.0240974)); #233108=CARTESIAN_POINT('Origin',(1.36986224414199,4.24,22.0764114332734)); #233109=CARTESIAN_POINT('Origin',(1.3620242,4.25,22.0240974)); #233110=CARTESIAN_POINT('',(1.3620242,4.25,22.0240974)); #233111=CARTESIAN_POINT('',(13.5810121,4.25,22.0240974)); #233112=CARTESIAN_POINT('',(1.3620242,4.24,22.0240974)); #233113=CARTESIAN_POINT('',(1.3620242,4.25,22.0240974)); #233114=CARTESIAN_POINT('',(1.3620242,4.24,22.0240974)); #233115=CARTESIAN_POINT('Origin',(1.3620036,4.25,22.0211887)); #233116=CARTESIAN_POINT('',(1.3620036,4.25,22.0211887)); #233117=CARTESIAN_POINT('',(1.37316418678105,4.25,23.5970527179429)); #233118=CARTESIAN_POINT('',(1.3620036,4.24,22.0211887)); #233119=CARTESIAN_POINT('',(1.3620036,4.25,22.0211887)); #233120=CARTESIAN_POINT('',(1.3620036,4.24,22.0211887)); #233121=CARTESIAN_POINT('Origin',(1.3620036,4.25,21.8368969)); #233122=CARTESIAN_POINT('',(1.3620036,4.25,21.8368969)); #233123=CARTESIAN_POINT('',(1.3620036,4.25,23.41844845)); #233124=CARTESIAN_POINT('',(1.3620036,4.24,21.8368969)); #233125=CARTESIAN_POINT('',(1.3620036,4.25,21.8368969)); #233126=CARTESIAN_POINT('',(1.3620036,4.24,21.8368969)); #233127=CARTESIAN_POINT('Origin',(1.77650207300997,4.25,21.7535522082538)); #233128=CARTESIAN_POINT('',(1.441193,4.25,21.4960194)); #233129=CARTESIAN_POINT('Origin',(1.77650207300997,4.25,21.7535522082538)); #233130=CARTESIAN_POINT('',(1.441193,4.24,21.4960194)); #233131=CARTESIAN_POINT('',(1.441193,4.25,21.4960194)); #233132=CARTESIAN_POINT('Origin',(1.77650207300997,4.24,21.7535522082538)); #233133=CARTESIAN_POINT('Origin',(1.62586234545556,4.25,21.6419925237286)); #233134=CARTESIAN_POINT('',(1.7435912,4.25,21.4381523)); #233135=CARTESIAN_POINT('Origin',(1.62586234545556,4.25,21.6419925237286)); #233136=CARTESIAN_POINT('',(1.7435912,4.24,21.4381523)); #233137=CARTESIAN_POINT('',(1.7435912,4.25,21.4381523)); #233138=CARTESIAN_POINT('Origin',(1.62586234545556,4.24,21.6419925237286)); #233139=CARTESIAN_POINT('Origin',(1.49997918781206,4.25,21.7746011072713)); #233140=CARTESIAN_POINT('Origin',(1.49997918781206,4.25,21.7746011072713)); #233141=CARTESIAN_POINT('Origin',(1.49997918781206,4.24,21.7746011072713)); #233142=CARTESIAN_POINT('Origin',(1.3041472,4.25,22.2843056)); #233143=CARTESIAN_POINT('',(1.3041472,4.25,22.2843056)); #233144=CARTESIAN_POINT('',(1.3001018,4.25,22.2827034)); #233145=CARTESIAN_POINT('',(12.3562321907134,4.25,26.6615366703825)); #233146=CARTESIAN_POINT('',(1.3041472,4.24,22.2843056)); #233147=CARTESIAN_POINT('',(1.3041472,4.25,22.2843056)); #233148=CARTESIAN_POINT('',(1.3001018,4.24,22.2827034)); #233149=CARTESIAN_POINT('',(1.3041472,4.24,22.2843056)); #233150=CARTESIAN_POINT('',(1.3001018,4.25,22.2827034)); #233151=CARTESIAN_POINT('Origin',(1.308447,4.25,22.2854671)); #233152=CARTESIAN_POINT('',(1.308447,4.25,22.2854671)); #233153=CARTESIAN_POINT('',(13.0631261735006,4.25,25.4607449873495)); #233154=CARTESIAN_POINT('',(1.308447,4.24,22.2854671)); #233155=CARTESIAN_POINT('',(1.308447,4.25,22.2854671)); #233156=CARTESIAN_POINT('',(1.308447,4.24,22.2854671)); #233157=CARTESIAN_POINT('Origin',(1.3129959,4.25,22.2861958)); #233158=CARTESIAN_POINT('',(1.3129959,4.25,22.2861958)); #233159=CARTESIAN_POINT('',(13.4620974669837,4.25,24.2323916521521)); #233160=CARTESIAN_POINT('',(1.3129959,4.24,22.2861958)); #233161=CARTESIAN_POINT('',(1.3129959,4.25,22.2861958)); #233162=CARTESIAN_POINT('',(1.3129959,4.24,22.2861958)); #233163=CARTESIAN_POINT('Origin',(1.3177894,4.25,22.2864914)); #233164=CARTESIAN_POINT('',(1.3177894,4.25,22.2864914)); #233165=CARTESIAN_POINT('',(13.5958703742953,4.25,23.0436418612496)); #233166=CARTESIAN_POINT('',(1.3177894,4.24,22.2864914)); #233167=CARTESIAN_POINT('',(1.3177894,4.25,22.2864914)); #233168=CARTESIAN_POINT('',(1.3177894,4.24,22.2864914)); #233169=CARTESIAN_POINT('Origin',(1.3226364,4.25,22.2863541)); #233170=CARTESIAN_POINT('',(1.3226364,4.25,22.2863541)); #233171=CARTESIAN_POINT('',(13.5131020252472,4.25,21.941037217321)); #233172=CARTESIAN_POINT('',(1.3226364,4.24,22.2863541)); #233173=CARTESIAN_POINT('',(1.3226364,4.25,22.2863541)); #233174=CARTESIAN_POINT('',(1.3226364,4.24,22.2863541)); #233175=CARTESIAN_POINT('Origin',(1.3272512,4.25,22.2857876)); #233176=CARTESIAN_POINT('',(1.3272512,4.25,22.2857876)); #233177=CARTESIAN_POINT('',(13.2178476511064,4.25,20.8261310841054)); #233178=CARTESIAN_POINT('',(1.3272512,4.24,22.2857876)); #233179=CARTESIAN_POINT('',(1.3272512,4.25,22.2857876)); #233180=CARTESIAN_POINT('',(1.3272512,4.24,22.2857876)); #233181=CARTESIAN_POINT('Origin',(1.331628,4.25,22.2847862)); #233182=CARTESIAN_POINT('',(1.331628,4.25,22.2847862)); #233183=CARTESIAN_POINT('',(12.6620689983482,4.25,19.6924119503787)); #233184=CARTESIAN_POINT('',(1.331628,4.24,22.2847862)); #233185=CARTESIAN_POINT('',(1.331628,4.25,22.2847862)); #233186=CARTESIAN_POINT('',(1.331628,4.24,22.2847862)); #233187=CARTESIAN_POINT('Origin',(1.335762,4.25,22.2833481)); #233188=CARTESIAN_POINT('',(1.335762,4.25,22.2833481)); #233189=CARTESIAN_POINT('',(11.8259000893336,4.25,18.6341300094647)); #233190=CARTESIAN_POINT('',(1.335762,4.24,22.2833481)); #233191=CARTESIAN_POINT('',(1.335762,4.25,22.2833481)); #233192=CARTESIAN_POINT('',(1.335762,4.24,22.2833481)); #233193=CARTESIAN_POINT('Origin',(1.3396485,4.25,22.2814713)); #233194=CARTESIAN_POINT('',(1.3396485,4.25,22.2814713)); #233195=CARTESIAN_POINT('',(10.7248525941966,4.25,17.7493341472943)); #233196=CARTESIAN_POINT('',(1.3396485,4.24,22.2814713)); #233197=CARTESIAN_POINT('',(1.3396485,4.25,22.2814713)); #233198=CARTESIAN_POINT('',(1.3396485,4.24,22.2814713)); #233199=CARTESIAN_POINT('Origin',(1.3432825,4.25,22.2791538)); #233200=CARTESIAN_POINT('',(1.3432825,4.25,22.2791538)); #233201=CARTESIAN_POINT('',(9.4194995029517,4.25,17.128731977121)); #233202=CARTESIAN_POINT('',(1.3432825,4.24,22.2791538)); #233203=CARTESIAN_POINT('',(1.3432825,4.25,22.2791538)); #233204=CARTESIAN_POINT('',(1.3432825,4.24,22.2791538)); #233205=CARTESIAN_POINT('Origin',(1.3466592,4.25,22.276392)); #233206=CARTESIAN_POINT('',(1.3466592,4.25,22.276392)); #233207=CARTESIAN_POINT('',(8.00521231763242,4.25,16.8303671827893)); #233208=CARTESIAN_POINT('',(1.3466592,4.24,22.276392)); #233209=CARTESIAN_POINT('',(1.3466592,4.25,22.276392)); #233210=CARTESIAN_POINT('',(1.3466592,4.24,22.276392)); #233211=CARTESIAN_POINT('Origin',(1.3497739,4.25,22.2731819)); #233212=CARTESIAN_POINT('',(1.3497739,4.25,22.2731819)); #233213=CARTESIAN_POINT('',(6.59658070427864,4.25,16.8656708965599)); #233214=CARTESIAN_POINT('',(1.3497739,4.24,22.2731819)); #233215=CARTESIAN_POINT('',(1.3497739,4.25,22.2731819)); #233216=CARTESIAN_POINT('',(1.3497739,4.24,22.2731819)); #233217=CARTESIAN_POINT('Origin',(1.3526672,4.25,22.2694759)); #233218=CARTESIAN_POINT('',(1.3526672,4.25,22.2694759)); #233219=CARTESIAN_POINT('',(5.31941639370097,4.25,17.1885052049958)); #233220=CARTESIAN_POINT('',(1.3526672,4.24,22.2694759)); #233221=CARTESIAN_POINT('',(1.3526672,4.25,22.2694759)); #233222=CARTESIAN_POINT('',(1.3526672,4.24,22.2694759)); #233223=CARTESIAN_POINT('Origin',(1.3551688,4.25,22.2651997)); #233224=CARTESIAN_POINT('',(1.3551688,4.25,22.2651997)); #233225=CARTESIAN_POINT('',(3.87556649118023,4.25,17.9568671899968)); #233226=CARTESIAN_POINT('',(1.3551688,4.24,22.2651997)); #233227=CARTESIAN_POINT('',(1.3551688,4.25,22.2651997)); #233228=CARTESIAN_POINT('',(1.3551688,4.24,22.2651997)); #233229=CARTESIAN_POINT('Origin',(1.357271,4.25,22.2603512)); #233230=CARTESIAN_POINT('',(1.357271,4.25,22.2603512)); #233231=CARTESIAN_POINT('',(2.79125822765644,4.25,18.9530126626138)); #233232=CARTESIAN_POINT('',(1.357271,4.24,22.2603512)); #233233=CARTESIAN_POINT('',(1.357271,4.25,22.2603512)); #233234=CARTESIAN_POINT('',(1.357271,4.24,22.2603512)); #233235=CARTESIAN_POINT('Origin',(1.3589799,4.25,22.2549343)); #233236=CARTESIAN_POINT('',(1.3589799,4.25,22.2549343)); #233237=CARTESIAN_POINT('',(2.07132768848179,4.25,19.9969222832482)); #233238=CARTESIAN_POINT('',(1.3589799,4.24,22.2549343)); #233239=CARTESIAN_POINT('',(1.3589799,4.25,22.2549343)); #233240=CARTESIAN_POINT('',(1.3589799,4.24,22.2549343)); #233241=CARTESIAN_POINT('Origin',(1.25347496307063,4.25,22.2294298480416)); #233242=CARTESIAN_POINT('',(1.3620033,4.25,22.2275944)); #233243=CARTESIAN_POINT('Origin',(1.25347496307063,4.25,22.2294298480416)); #233244=CARTESIAN_POINT('',(1.3620033,4.24,22.2275944)); #233245=CARTESIAN_POINT('',(1.3620033,4.25,22.2275944)); #233246=CARTESIAN_POINT('Origin',(1.25347496307063,4.24,22.2294298480416)); #233247=CARTESIAN_POINT('Origin',(1.3620712,4.25,22.1108971)); #233248=CARTESIAN_POINT('',(1.3620712,4.25,22.1108971)); #233249=CARTESIAN_POINT('',(1.36123482871657,4.25,23.548338492849)); #233250=CARTESIAN_POINT('',(1.3620712,4.24,22.1108971)); #233251=CARTESIAN_POINT('',(1.3620712,4.25,22.1108971)); #233252=CARTESIAN_POINT('',(1.3620712,4.24,22.1108971)); #233253=CARTESIAN_POINT('Origin',(2.3971035,4.25,22.1109657)); #233254=CARTESIAN_POINT('',(2.3971035,4.25,22.1109657)); #233255=CARTESIAN_POINT('',(14.0986474384856,4.25,22.1117412563901)); #233256=CARTESIAN_POINT('',(2.3971035,4.24,22.1109657)); #233257=CARTESIAN_POINT('',(2.3971035,4.25,22.1109657)); #233258=CARTESIAN_POINT('',(2.3971035,4.24,22.1109657)); #233259=CARTESIAN_POINT('Origin',(2.99484340698672,4.25,22.1722168649642)); #233260=CARTESIAN_POINT('',(2.3990126,4.25,22.2498722)); #233261=CARTESIAN_POINT('Origin',(2.99484340698672,4.25,22.1722168649642)); #233262=CARTESIAN_POINT('',(2.3990126,4.24,22.2498722)); #233263=CARTESIAN_POINT('',(2.3990126,4.25,22.2498722)); #233264=CARTESIAN_POINT('Origin',(2.99484340698672,4.24,22.1722168649642)); #233265=CARTESIAN_POINT('Origin',(2.4004099,4.25,22.2557735)); #233266=CARTESIAN_POINT('',(2.4004099,4.25,22.2557735)); #233267=CARTESIAN_POINT('',(3.32916402571476,4.25,26.1782359075586)); #233268=CARTESIAN_POINT('',(2.4004099,4.24,22.2557735)); #233269=CARTESIAN_POINT('',(2.4004099,4.25,22.2557735)); #233270=CARTESIAN_POINT('',(2.4004099,4.24,22.2557735)); #233271=CARTESIAN_POINT('Origin',(2.402195,4.25,22.2611065)); #233272=CARTESIAN_POINT('',(2.402195,4.25,22.2611065)); #233273=CARTESIAN_POINT('',(3.99310819038709,4.25,27.0139719105276)); #233274=CARTESIAN_POINT('',(2.402195,4.24,22.2611065)); #233275=CARTESIAN_POINT('',(2.402195,4.25,22.2611065)); #233276=CARTESIAN_POINT('',(2.402195,4.24,22.2611065)); #233277=CARTESIAN_POINT('Origin',(2.4043713,4.25,22.2658691)); #233278=CARTESIAN_POINT('',(2.4043713,4.25,22.2658691)); #233279=CARTESIAN_POINT('',(4.94182633634427,4.25,27.818818305576)); #233280=CARTESIAN_POINT('',(2.4043713,4.24,22.2658691)); #233281=CARTESIAN_POINT('',(2.4043713,4.25,22.2658691)); #233282=CARTESIAN_POINT('',(2.4043713,4.24,22.2658691)); #233283=CARTESIAN_POINT('Origin',(2.4069424,4.25,22.2700615)); #233284=CARTESIAN_POINT('',(2.4069424,4.25,22.2700615)); #233285=CARTESIAN_POINT('',(6.21206604190968,4.25,28.4746433351457)); #233286=CARTESIAN_POINT('',(2.4069424,4.24,22.2700615)); #233287=CARTESIAN_POINT('',(2.4069424,4.25,22.2700615)); #233288=CARTESIAN_POINT('',(2.4069424,4.24,22.2700615)); #233289=CARTESIAN_POINT('Origin',(2.4098778,4.25,22.2736855)); #233290=CARTESIAN_POINT('',(2.4098778,4.25,22.2736855)); #233291=CARTESIAN_POINT('',(7.70977367855058,4.25,28.8168559925618)); #233292=CARTESIAN_POINT('',(2.4098778,4.24,22.2736855)); #233293=CARTESIAN_POINT('',(2.4098778,4.25,22.2736855)); #233294=CARTESIAN_POINT('',(2.4098778,4.24,22.2736855)); #233295=CARTESIAN_POINT('Origin',(2.4130316,4.25,22.2768288)); #233296=CARTESIAN_POINT('',(2.4130316,4.25,22.2768288)); #233297=CARTESIAN_POINT('',(8.9600607757902,4.25,28.8020608401603)); #233298=CARTESIAN_POINT('',(2.4130316,4.24,22.2768288)); #233299=CARTESIAN_POINT('',(2.4130316,4.25,22.2768288)); #233300=CARTESIAN_POINT('',(2.4130316,4.24,22.2768288)); #233301=CARTESIAN_POINT('Origin',(2.4164469,4.25,22.2795238)); #233302=CARTESIAN_POINT('',(2.4164469,4.25,22.2795238)); #233303=CARTESIAN_POINT('',(10.2831813272538,4.25,28.4871334628257)); #233304=CARTESIAN_POINT('',(2.4164469,4.24,22.2795238)); #233305=CARTESIAN_POINT('',(2.4164469,4.25,22.2795238)); #233306=CARTESIAN_POINT('',(2.4164469,4.24,22.2795238)); #233307=CARTESIAN_POINT('Origin',(2.4201186,4.25,22.2817783)); #233308=CARTESIAN_POINT('',(2.4201186,4.25,22.2817783)); #233309=CARTESIAN_POINT('',(11.5154472493218,4.25,27.8664988490357)); #233310=CARTESIAN_POINT('',(2.4201186,4.24,22.2817783)); #233311=CARTESIAN_POINT('',(2.4201186,4.25,22.2817783)); #233312=CARTESIAN_POINT('',(2.4201186,4.24,22.2817783)); #233313=CARTESIAN_POINT('Origin',(2.424042,4.25,22.2835884)); #233314=CARTESIAN_POINT('',(2.424042,4.25,22.2835884)); #233315=CARTESIAN_POINT('',(12.577457100538,4.25,26.9679684462567)); #233316=CARTESIAN_POINT('',(2.424042,4.24,22.2835884)); #233317=CARTESIAN_POINT('',(2.424042,4.25,22.2835884)); #233318=CARTESIAN_POINT('',(2.424042,4.24,22.2835884)); #233319=CARTESIAN_POINT('Origin',(2.4282122,4.25,22.2849617)); #233320=CARTESIAN_POINT('',(2.4282122,4.25,22.2849617)); #233321=CARTESIAN_POINT('',(13.3741063565049,4.25,25.8895841270135)); #233322=CARTESIAN_POINT('',(2.4282122,4.24,22.2849617)); #233323=CARTESIAN_POINT('',(2.4282122,4.25,22.2849617)); #233324=CARTESIAN_POINT('',(2.4282122,4.24,22.2849617)); #233325=CARTESIAN_POINT('Origin',(2.4326248,4.25,22.2858982)); #233326=CARTESIAN_POINT('',(2.4326248,4.25,22.2858982)); #233327=CARTESIAN_POINT('',(13.8883254906561,4.25,24.7171776490338)); #233328=CARTESIAN_POINT('',(2.4326248,4.24,22.2858982)); #233329=CARTESIAN_POINT('',(2.4326248,4.25,22.2858982)); #233330=CARTESIAN_POINT('',(2.4326248,4.24,22.2858982)); #233331=CARTESIAN_POINT('Origin',(2.4372745,4.25,22.2864017)); #233332=CARTESIAN_POINT('',(2.4372745,4.25,22.2864017)); #233333=CARTESIAN_POINT('',(14.1284696573264,4.25,23.5524009605354)); #233334=CARTESIAN_POINT('',(2.4372745,4.24,22.2864017)); #233335=CARTESIAN_POINT('',(2.4372745,4.25,22.2864017)); #233336=CARTESIAN_POINT('',(2.4372745,4.24,22.2864017)); #233337=CARTESIAN_POINT('Origin',(2.4420564,4.25,22.2864742)); #233338=CARTESIAN_POINT('',(2.4420564,4.25,22.2864742)); #233339=CARTESIAN_POINT('',(14.1389098295571,4.25,22.4638141430432)); #233340=CARTESIAN_POINT('',(2.4420564,4.24,22.2864742)); #233341=CARTESIAN_POINT('',(2.4420564,4.25,22.2864742)); #233342=CARTESIAN_POINT('',(2.4420564,4.24,22.2864742)); #233343=CARTESIAN_POINT('Origin',(2.4465771,4.25,22.2861137)); #233344=CARTESIAN_POINT('',(2.4465771,4.25,22.2861137)); #233345=CARTESIAN_POINT('',(13.9419790841854,4.25,21.3694210605194)); #233346=CARTESIAN_POINT('',(2.4465771,4.24,22.2861137)); #233347=CARTESIAN_POINT('',(2.4465771,4.25,22.2861137)); #233348=CARTESIAN_POINT('',(2.4465771,4.24,22.2861137)); #233349=CARTESIAN_POINT('Origin',(2.4508958,4.25,22.2853241)); #233350=CARTESIAN_POINT('',(2.4508958,4.25,22.2853241)); #233351=CARTESIAN_POINT('',(13.5076777605658,4.25,20.2637817293643)); #233352=CARTESIAN_POINT('',(2.4508958,4.24,22.2853241)); #233353=CARTESIAN_POINT('',(2.4508958,4.25,22.2853241)); #233354=CARTESIAN_POINT('',(2.4508958,4.24,22.2853241)); #233355=CARTESIAN_POINT('Origin',(2.4550183,4.25,22.2840958)); #233356=CARTESIAN_POINT('',(2.4550183,4.25,22.2840958)); #233357=CARTESIAN_POINT('',(12.8041677769171,4.25,19.200563889145)); #233358=CARTESIAN_POINT('',(2.4550183,4.24,22.2840958)); #233359=CARTESIAN_POINT('',(2.4550183,4.25,22.2840958)); #233360=CARTESIAN_POINT('',(2.4550183,4.24,22.2840958)); #233361=CARTESIAN_POINT('Origin',(2.4589508,4.25,22.2824287)); #233362=CARTESIAN_POINT('',(2.4589508,4.25,22.2824287)); #233363=CARTESIAN_POINT('',(11.8633326576654,4.25,18.2956403986877)); #233364=CARTESIAN_POINT('',(2.4589508,4.24,22.2824287)); #233365=CARTESIAN_POINT('',(2.4589508,4.25,22.2824287)); #233366=CARTESIAN_POINT('',(2.4589508,4.24,22.2824287)); #233367=CARTESIAN_POINT('Origin',(2.4626994,4.25,22.2803249)); #233368=CARTESIAN_POINT('',(2.4626994,4.25,22.2803249)); #233369=CARTESIAN_POINT('',(10.7560211541913,4.25,17.6259231749648)); #233370=CARTESIAN_POINT('',(2.4626994,4.24,22.2803249)); #233371=CARTESIAN_POINT('',(2.4626994,4.25,22.2803249)); #233372=CARTESIAN_POINT('',(2.4626994,4.24,22.2803249)); #233373=CARTESIAN_POINT('Origin',(2.4662697,4.25,22.2777767)); #233374=CARTESIAN_POINT('',(2.4662697,4.25,22.2777767)); #233375=CARTESIAN_POINT('',(9.55214592268968,4.25,17.2204342383994)); #233376=CARTESIAN_POINT('',(2.4662697,4.24,22.2777767)); #233377=CARTESIAN_POINT('',(2.4662697,4.25,22.2777767)); #233378=CARTESIAN_POINT('',(2.4662697,4.24,22.2777767)); #233379=CARTESIAN_POINT('Origin',(2.4696684,4.25,22.2747822)); #233380=CARTESIAN_POINT('',(2.4696684,4.25,22.2747822)); #233381=CARTESIAN_POINT('',(8.36094727527298,4.25,17.0841403098629)); #233382=CARTESIAN_POINT('',(2.4696684,4.24,22.2747822)); #233383=CARTESIAN_POINT('',(2.4696684,4.25,22.2747822)); #233384=CARTESIAN_POINT('',(2.4696684,4.24,22.2747822)); #233385=CARTESIAN_POINT('Origin',(2.4728217,4.25,22.2713318)); #233386=CARTESIAN_POINT('',(2.4728217,4.25,22.2713318)); #233387=CARTESIAN_POINT('',(7.10152111443664,4.25,17.2065220896734)); #233388=CARTESIAN_POINT('',(2.4728217,4.24,22.2713318)); #233389=CARTESIAN_POINT('',(2.4728217,4.25,22.2713318)); #233390=CARTESIAN_POINT('',(2.4728217,4.24,22.2713318)); #233391=CARTESIAN_POINT('Origin',(2.4755478,4.25,22.2673302)); #233392=CARTESIAN_POINT('',(2.4755478,4.25,22.2673302)); #233393=CARTESIAN_POINT('',(5.53658379031209,4.25,17.7740828433978)); #233394=CARTESIAN_POINT('',(2.4755478,4.24,22.2673302)); #233395=CARTESIAN_POINT('',(2.4755478,4.25,22.2673302)); #233396=CARTESIAN_POINT('',(2.4755478,4.24,22.2673302)); #233397=CARTESIAN_POINT('Origin',(2.4778967,4.25,22.2627563)); #233398=CARTESIAN_POINT('',(2.4778967,4.25,22.2627563)); #233399=CARTESIAN_POINT('',(4.35527450219325,4.25,18.607028797999)); #233400=CARTESIAN_POINT('',(2.4778967,4.24,22.2627563)); #233401=CARTESIAN_POINT('',(2.4778967,4.25,22.2627563)); #233402=CARTESIAN_POINT('',(2.4778967,4.24,22.2627563)); #233403=CARTESIAN_POINT('Origin',(2.4798641,4.25,22.2576141)); #233404=CARTESIAN_POINT('',(2.4798641,4.25,22.2576141)); #233405=CARTESIAN_POINT('',(3.51111389292884,4.25,19.5622330462237)); #233406=CARTESIAN_POINT('',(2.4798641,4.24,22.2576141)); #233407=CARTESIAN_POINT('',(2.4798641,4.25,22.2576141)); #233408=CARTESIAN_POINT('',(2.4798641,4.24,22.2576141)); #233409=CARTESIAN_POINT('Origin',(2.4814467,4.25,22.2519016)); #233410=CARTESIAN_POINT('',(2.4814467,4.25,22.2519016)); #233411=CARTESIAN_POINT('',(2.95899810702044,4.25,20.5281480219616)); #233412=CARTESIAN_POINT('',(2.4814467,4.24,22.2519016)); #233413=CARTESIAN_POINT('',(2.4814467,4.25,22.2519016)); #233414=CARTESIAN_POINT('',(2.4814467,4.24,22.2519016)); #233415=CARTESIAN_POINT('Origin',(2.482641,4.25,22.2456226)); #233416=CARTESIAN_POINT('',(2.482641,4.25,22.2456226)); #233417=CARTESIAN_POINT('',(2.63690040632652,4.25,21.4346079367459)); #233418=CARTESIAN_POINT('',(2.482641,4.24,22.2456226)); #233419=CARTESIAN_POINT('',(2.482641,4.25,22.2456226)); #233420=CARTESIAN_POINT('',(2.482641,4.24,22.2456226)); #233421=CARTESIAN_POINT('Origin',(-0.5793618175543,4.25,21.9775909284123)); #233422=CARTESIAN_POINT('',(2.4826035,4.25,21.7091312)); #233423=CARTESIAN_POINT('Origin',(-0.5793618175543,4.25,21.9775909284123)); #233424=CARTESIAN_POINT('',(2.4826035,4.24,21.7091312)); #233425=CARTESIAN_POINT('',(2.4826035,4.25,21.7091312)); #233426=CARTESIAN_POINT('Origin',(-0.5793618175543,4.24,21.9775909284123)); #233427=CARTESIAN_POINT('Origin',(2.481395,4.25,21.7028732)); #233428=CARTESIAN_POINT('',(2.481395,4.25,21.7028732)); #233429=CARTESIAN_POINT('',(3.20748133475011,4.25,25.4627807571916)); #233430=CARTESIAN_POINT('',(2.481395,4.24,21.7028732)); #233431=CARTESIAN_POINT('',(2.481395,4.25,21.7028732)); #233432=CARTESIAN_POINT('',(2.481395,4.24,21.7028732)); #233433=CARTESIAN_POINT('Origin',(2.4797978,4.25,21.6971817)); #233434=CARTESIAN_POINT('',(2.4797978,4.25,21.6971817)); #233435=CARTESIAN_POINT('',(3.76062623763932,4.25,26.261315842765)); #233436=CARTESIAN_POINT('',(2.4797978,4.24,21.6971817)); #233437=CARTESIAN_POINT('',(2.4797978,4.25,21.6971817)); #233438=CARTESIAN_POINT('',(2.4797978,4.24,21.6971817)); #233439=CARTESIAN_POINT('Origin',(2.4778163,4.25,21.6920586)); #233440=CARTESIAN_POINT('',(2.4778163,4.25,21.6920586)); #233441=CARTESIAN_POINT('',(4.55174460638094,4.25,27.0541288025832)); #233442=CARTESIAN_POINT('',(2.4778163,4.24,21.6920586)); #233443=CARTESIAN_POINT('',(2.4778163,4.25,21.6920586)); #233444=CARTESIAN_POINT('',(2.4778163,4.24,21.6920586)); #233445=CARTESIAN_POINT('Origin',(2.4754536,4.25,21.6875076)); #233446=CARTESIAN_POINT('',(2.4754536,4.25,21.6875076)); #233447=CARTESIAN_POINT('',(5.62872385853562,4.25,27.7612930770374)); #233448=CARTESIAN_POINT('',(2.4754536,4.24,21.6875076)); #233449=CARTESIAN_POINT('',(2.4754536,4.25,21.6875076)); #233450=CARTESIAN_POINT('',(2.4754536,4.24,21.6875076)); #233451=CARTESIAN_POINT('Origin',(2.4727135,4.25,21.683527)); #233452=CARTESIAN_POINT('',(2.4727135,4.25,21.683527)); #233453=CARTESIAN_POINT('',(6.99708635077842,4.25,28.2561771112407)); #233454=CARTESIAN_POINT('',(2.4727135,4.24,21.683527)); #233455=CARTESIAN_POINT('',(2.4727135,4.25,21.683527)); #233456=CARTESIAN_POINT('',(2.4727135,4.24,21.683527)); #233457=CARTESIAN_POINT('Origin',(2.4695454,4.25,21.6801147)); #233458=CARTESIAN_POINT('',(2.4695454,4.25,21.6801147)); #233459=CARTESIAN_POINT('',(8.69754386556177,4.25,28.388172893881)); #233460=CARTESIAN_POINT('',(2.4695454,4.24,21.6801147)); #233461=CARTESIAN_POINT('',(2.4695454,4.25,21.6801147)); #233462=CARTESIAN_POINT('',(2.4695454,4.24,21.6801147)); #233463=CARTESIAN_POINT('Origin',(2.4661407,4.25,21.6771564)); #233464=CARTESIAN_POINT('',(2.4661407,4.25,21.6771564)); #233465=CARTESIAN_POINT('',(9.93667080636568,4.25,28.1682038384435)); #233466=CARTESIAN_POINT('',(2.4661407,4.24,21.6771564)); #233467=CARTESIAN_POINT('',(2.4661407,4.25,21.6771564)); #233468=CARTESIAN_POINT('',(2.4661407,4.24,21.6771564)); #233469=CARTESIAN_POINT('Origin',(2.4625635,4.25,21.6746311)); #233470=CARTESIAN_POINT('',(2.4625635,4.25,21.6746311)); #233471=CARTESIAN_POINT('',(11.0336112185556,4.25,27.7253039177524)); #233472=CARTESIAN_POINT('',(2.4625635,4.24,21.6746311)); #233473=CARTESIAN_POINT('',(2.4625635,4.25,21.6746311)); #233474=CARTESIAN_POINT('',(2.4625635,4.24,21.6746311)); #233475=CARTESIAN_POINT('Origin',(2.4588084,4.25,21.6725368)); #233476=CARTESIAN_POINT('',(2.4588084,4.25,21.6725368)); #233477=CARTESIAN_POINT('',(12.0682536971771,4.25,27.03193103341)); #233478=CARTESIAN_POINT('',(2.4588084,4.24,21.6725368)); #233479=CARTESIAN_POINT('',(2.4588084,4.25,21.6725368)); #233480=CARTESIAN_POINT('',(2.4588084,4.24,21.6725368)); #233481=CARTESIAN_POINT('Origin',(2.454869,4.25,21.6708775)); #233482=CARTESIAN_POINT('',(2.454869,4.25,21.6708775)); #233483=CARTESIAN_POINT('',(12.9640709565026,4.25,26.0974193100278)); #233484=CARTESIAN_POINT('',(2.454869,4.24,21.6708775)); #233485=CARTESIAN_POINT('',(2.454869,4.25,21.6708775)); #233486=CARTESIAN_POINT('',(2.454869,4.24,21.6708775)); #233487=CARTESIAN_POINT('Origin',(2.4507394,4.25,21.6696529)); #233488=CARTESIAN_POINT('',(2.4507394,4.25,21.6696529)); #233489=CARTESIAN_POINT('',(13.6355986718586,4.25,24.9864338628807)); #233490=CARTESIAN_POINT('',(2.4507394,4.24,21.6696529)); #233491=CARTESIAN_POINT('',(2.4507394,4.25,21.6696529)); #233492=CARTESIAN_POINT('',(2.4507394,4.24,21.6696529)); #233493=CARTESIAN_POINT('Origin',(2.4464133,4.25,21.6688671)); #233494=CARTESIAN_POINT('',(2.4464133,4.25,21.6688671)); #233495=CARTESIAN_POINT('',(14.0431245688507,4.25,23.7753130247515)); #233496=CARTESIAN_POINT('',(2.4464133,4.24,21.6688671)); #233497=CARTESIAN_POINT('',(2.4464133,4.25,21.6688671)); #233498=CARTESIAN_POINT('',(2.4464133,4.24,21.6688671)); #233499=CARTESIAN_POINT('Origin',(2.441885,4.25,21.6685181)); #233500=CARTESIAN_POINT('',(2.441885,4.25,21.6685181)); #233501=CARTESIAN_POINT('',(14.1796015194642,4.25,22.5731540705158)); #233502=CARTESIAN_POINT('',(2.441885,4.24,21.6685181)); #233503=CARTESIAN_POINT('',(2.441885,4.25,21.6685181)); #233504=CARTESIAN_POINT('',(2.441885,4.24,21.6685181)); #233505=CARTESIAN_POINT('Origin',(2.437098,4.25,21.6686058)); #233506=CARTESIAN_POINT('',(2.437098,4.25,21.6686058)); #233507=CARTESIAN_POINT('',(14.0841234823237,4.25,21.4552270377706)); #233508=CARTESIAN_POINT('',(2.437098,4.24,21.6686058)); #233509=CARTESIAN_POINT('',(2.437098,4.25,21.6686058)); #233510=CARTESIAN_POINT('',(2.437098,4.24,21.6686058)); #233511=CARTESIAN_POINT('Origin',(2.432457,4.25,21.6691341)); #233512=CARTESIAN_POINT('',(2.432457,4.25,21.6691341)); #233513=CARTESIAN_POINT('',(13.7796104440774,4.25,20.3774510221058)); #233514=CARTESIAN_POINT('',(2.432457,4.24,21.6691341)); #233515=CARTESIAN_POINT('',(2.432457,4.25,21.6691341)); #233516=CARTESIAN_POINT('',(2.432457,4.24,21.6691341)); #233517=CARTESIAN_POINT('Origin',(2.4280534,4.25,21.6700993)); #233518=CARTESIAN_POINT('',(2.4280534,4.25,21.6700993)); #233519=CARTESIAN_POINT('',(13.2301442703471,4.25,19.3024505335244)); #233520=CARTESIAN_POINT('',(2.4280534,4.24,21.6700993)); #233521=CARTESIAN_POINT('',(2.4280534,4.25,21.6700993)); #233522=CARTESIAN_POINT('',(2.4280534,4.24,21.6700993)); #233523=CARTESIAN_POINT('Origin',(2.4238923,4.25,21.6715012)); #233524=CARTESIAN_POINT('',(2.4238923,4.25,21.6715012)); #233525=CARTESIAN_POINT('',(12.416977937648,4.25,18.3047696253134)); #233526=CARTESIAN_POINT('',(2.4238923,4.24,21.6715012)); #233527=CARTESIAN_POINT('',(2.4238923,4.25,21.6715012)); #233528=CARTESIAN_POINT('',(2.4238923,4.24,21.6715012)); #233529=CARTESIAN_POINT('Origin',(2.4199781,4.25,21.673336)); #233530=CARTESIAN_POINT('',(2.4199781,4.25,21.673336)); #233531=CARTESIAN_POINT('',(11.3648320306771,4.25,17.4803928719014)); #233532=CARTESIAN_POINT('',(2.4199781,4.24,21.673336)); #233533=CARTESIAN_POINT('',(2.4199781,4.25,21.673336)); #233534=CARTESIAN_POINT('',(2.4199781,4.24,21.673336)); #233535=CARTESIAN_POINT('Origin',(2.4163158,4.25,21.6756077)); #233536=CARTESIAN_POINT('',(2.4163158,4.25,21.6756077)); #233537=CARTESIAN_POINT('',(10.1149526370098,4.25,16.900195171633)); #233538=CARTESIAN_POINT('',(2.4163158,4.24,21.6756077)); #233539=CARTESIAN_POINT('',(2.4163158,4.25,21.6756077)); #233540=CARTESIAN_POINT('',(2.4163158,4.24,21.6756077)); #233541=CARTESIAN_POINT('Origin',(2.4129102,4.25,21.6783104)); #233542=CARTESIAN_POINT('',(2.4129102,4.25,21.6783104)); #233543=CARTESIAN_POINT('',(8.77899047599059,4.25,16.6261594832987)); #233544=CARTESIAN_POINT('',(2.4129102,4.24,21.6783104)); #233545=CARTESIAN_POINT('',(2.4129102,4.25,21.6783104)); #233546=CARTESIAN_POINT('',(2.4129102,4.24,21.6783104)); #233547=CARTESIAN_POINT('Origin',(2.4097662,4.25,21.6814423)); #233548=CARTESIAN_POINT('',(2.4097662,4.25,21.6814423)); #233549=CARTESIAN_POINT('',(7.45023961520997,4.25,16.6603676533418)); #233550=CARTESIAN_POINT('',(2.4097662,4.24,21.6814423)); #233551=CARTESIAN_POINT('',(2.4097662,4.25,21.6814423)); #233552=CARTESIAN_POINT('',(2.4097662,4.24,21.6814423)); #233553=CARTESIAN_POINT('Origin',(2.4068398,4.25,21.6850796)); #233554=CARTESIAN_POINT('',(2.4068398,4.25,21.6850796)); #233555=CARTESIAN_POINT('',(6.19347462965652,4.25,16.9785709662139)); #233556=CARTESIAN_POINT('',(2.4068398,4.24,21.6850796)); #233557=CARTESIAN_POINT('',(2.4068398,4.25,21.6850796)); #233558=CARTESIAN_POINT('',(2.4068398,4.24,21.6850796)); #233559=CARTESIAN_POINT('Origin',(2.4042835,4.25,21.6892929)); #233560=CARTESIAN_POINT('',(2.4042835,4.25,21.6892929)); #233561=CARTESIAN_POINT('',(4.81766384991423,4.25,17.7115534217339)); #233562=CARTESIAN_POINT('',(2.4042835,4.24,21.6892929)); #233563=CARTESIAN_POINT('',(2.4042835,4.25,21.6892929)); #233564=CARTESIAN_POINT('',(2.4042835,4.24,21.6892929)); #233565=CARTESIAN_POINT('Origin',(2.402122,4.25,21.6940765)); #233566=CARTESIAN_POINT('',(2.402122,4.25,21.6940765)); #233567=CARTESIAN_POINT('',(3.76547938448572,4.25,18.676840143568)); #233568=CARTESIAN_POINT('',(2.402122,4.24,21.6940765)); #233569=CARTESIAN_POINT('',(2.402122,4.25,21.6940765)); #233570=CARTESIAN_POINT('',(2.402122,4.24,21.6940765)); #233571=CARTESIAN_POINT('Origin',(2.4003513,4.25,21.6994324)); #233572=CARTESIAN_POINT('',(2.4003513,4.25,21.6994324)); #233573=CARTESIAN_POINT('',(3.06131624446012,4.25,19.7001879508931)); #233574=CARTESIAN_POINT('',(2.4003513,4.24,21.6994324)); #233575=CARTESIAN_POINT('',(2.4003513,4.25,21.6994324)); #233576=CARTESIAN_POINT('',(2.4003513,4.24,21.6994324)); #233577=CARTESIAN_POINT('Origin',(2.50114481912253,4.25,21.7252403124644)); #233578=CARTESIAN_POINT('',(2.3971076,4.25,21.7265205)); #233579=CARTESIAN_POINT('Origin',(2.50114481912253,4.25,21.7252403124644)); #233580=CARTESIAN_POINT('',(2.3971076,4.24,21.7265205)); #233581=CARTESIAN_POINT('',(2.3971076,4.25,21.7265205)); #233582=CARTESIAN_POINT('Origin',(2.50114481912253,4.24,21.7252403124644)); #233583=CARTESIAN_POINT('Origin',(2.3971035,4.25,22.0240211)); #233584=CARTESIAN_POINT('',(2.3971035,4.25,22.0240211)); #233585=CARTESIAN_POINT('',(2.39708299551845,4.25,23.5118492863861)); #233586=CARTESIAN_POINT('',(2.3971035,4.24,22.0240211)); #233587=CARTESIAN_POINT('',(2.3971035,4.25,22.0240211)); #233588=CARTESIAN_POINT('',(2.3971035,4.24,22.0240211)); #233589=CARTESIAN_POINT('Origin',(1.9886036,4.25,22.0240974)); #233590=CARTESIAN_POINT('',(1.9886036,4.25,22.0240974)); #233591=CARTESIAN_POINT('',(13.8940234636946,4.25,22.0218736943501)); #233592=CARTESIAN_POINT('',(1.9886036,4.24,22.0240974)); #233593=CARTESIAN_POINT('',(1.9886036,4.25,22.0240974)); #233594=CARTESIAN_POINT('',(1.9886036,4.24,22.0240974)); #233595=CARTESIAN_POINT('Origin',(-3.14324524833556,4.25,21.8891734782397)); #233596=CARTESIAN_POINT('',(1.987133,4.25,21.7067013)); #233597=CARTESIAN_POINT('Origin',(-3.14324524833556,4.25,21.8891734782397)); #233598=CARTESIAN_POINT('',(1.987133,4.24,21.7067013)); #233599=CARTESIAN_POINT('',(1.987133,4.25,21.7067013)); #233600=CARTESIAN_POINT('Origin',(-3.14324524833556,4.24,21.8891734782397)); #233601=CARTESIAN_POINT('Origin',(1.59522900102256,4.25,21.7079446430128)); #233602=CARTESIAN_POINT('',(1.6497997,4.25,21.3198566)); #233603=CARTESIAN_POINT('Origin',(1.59522900102256,4.25,21.7079446430128)); #233604=CARTESIAN_POINT('',(1.6497997,4.24,21.3198566)); #233605=CARTESIAN_POINT('',(1.6497997,4.25,21.3198566)); #233606=CARTESIAN_POINT('Origin',(1.59522900102256,4.24,21.7079446430128)); #233607=CARTESIAN_POINT('Origin',(1.64139353180942,4.25,21.6831083801909)); #233608=CARTESIAN_POINT('',(1.2786322,4.25,21.6624508)); #233609=CARTESIAN_POINT('Origin',(1.64139353180942,4.25,21.6831083801909)); #233610=CARTESIAN_POINT('',(1.2786322,4.24,21.6624508)); #233611=CARTESIAN_POINT('',(1.2786322,4.25,21.6624508)); #233612=CARTESIAN_POINT('Origin',(1.64139353180942,4.24,21.6831083801909)); #233613=CARTESIAN_POINT('Origin',(6.83865426211075,4.25,21.9737060650612)); #233614=CARTESIAN_POINT('',(1.2766168,4.25,22.2465878)); #233615=CARTESIAN_POINT('Origin',(6.83865426211075,4.25,21.9737060650612)); #233616=CARTESIAN_POINT('',(1.2766168,4.24,22.2465878)); #233617=CARTESIAN_POINT('',(1.2766168,4.25,22.2465878)); #233618=CARTESIAN_POINT('Origin',(6.83865426211075,4.24,21.9737060650612)); #233619=CARTESIAN_POINT('Origin',(1.2778027,4.25,22.2527828)); #233620=CARTESIAN_POINT('',(1.2778027,4.25,22.2527828)); #233621=CARTESIAN_POINT('',(1.96487948231857,4.25,25.8419898718134)); #233622=CARTESIAN_POINT('',(1.2778027,4.24,22.2527828)); #233623=CARTESIAN_POINT('',(1.2778027,4.25,22.2527828)); #233624=CARTESIAN_POINT('',(1.2778027,4.24,22.2527828)); #233625=CARTESIAN_POINT('Origin',(1.2793781,4.25,22.2584114)); #233626=CARTESIAN_POINT('',(1.2793781,4.25,22.2584114)); #233627=CARTESIAN_POINT('',(2.52586975475136,4.25,26.7118853925943)); #233628=CARTESIAN_POINT('',(1.2793781,4.24,22.2584114)); #233629=CARTESIAN_POINT('',(1.2793781,4.25,22.2584114)); #233630=CARTESIAN_POINT('',(1.2793781,4.24,22.2584114)); #233631=CARTESIAN_POINT('Origin',(1.28135,4.25,22.2634697)); #233632=CARTESIAN_POINT('',(1.28135,4.25,22.2634697)); #233633=CARTESIAN_POINT('',(3.36166146298158,4.25,27.5998658018308)); #233634=CARTESIAN_POINT('',(1.28135,4.24,22.2634697)); #233635=CARTESIAN_POINT('',(1.28135,4.25,22.2634697)); #233636=CARTESIAN_POINT('',(1.28135,4.24,22.2634697)); #233637=CARTESIAN_POINT('Origin',(1.283726,4.25,22.2679596)); #233638=CARTESIAN_POINT('',(1.283726,4.25,22.2679596)); #233639=CARTESIAN_POINT('',(4.53022354644652,4.25,28.4028288482286)); #233640=CARTESIAN_POINT('',(1.283726,4.24,22.2679596)); #233641=CARTESIAN_POINT('',(1.283726,4.25,22.2679596)); #233642=CARTESIAN_POINT('',(1.283726,4.24,22.2679596)); #233643=CARTESIAN_POINT('Origin',(1.286513,4.25,22.2718773)); #233644=CARTESIAN_POINT('',(1.286513,4.25,22.2718773)); #233645=CARTESIAN_POINT('',(6.04934212152187,4.25,28.9670102922442)); #233646=CARTESIAN_POINT('',(1.286513,4.24,22.2718773)); #233647=CARTESIAN_POINT('',(1.286513,4.25,22.2718773)); #233648=CARTESIAN_POINT('',(1.286513,4.24,22.2718773)); #233649=CARTESIAN_POINT('Origin',(1.2895381,4.25,22.2752533)); #233650=CARTESIAN_POINT('',(1.2895381,4.25,22.2752533)); #233651=CARTESIAN_POINT('',(7.42445541383386,4.25,29.1217974973832)); #233652=CARTESIAN_POINT('',(1.2895381,4.24,22.2752533)); #233653=CARTESIAN_POINT('',(1.2895381,4.25,22.2752533)); #233654=CARTESIAN_POINT('',(1.2895381,4.24,22.2752533)); #233655=CARTESIAN_POINT('Origin',(1.2927923,4.25,22.2781811)); #233656=CARTESIAN_POINT('',(1.2927923,4.25,22.2781811)); #233657=CARTESIAN_POINT('',(8.74143844396945,4.25,28.9797194751194)); #233658=CARTESIAN_POINT('',(1.2927923,4.24,22.2781811)); #233659=CARTESIAN_POINT('',(1.2927923,4.25,22.2781811)); #233660=CARTESIAN_POINT('',(1.2927923,4.24,22.2781811)); #233661=CARTESIAN_POINT('Origin',(1.2963152,4.25,22.2806644)); #233662=CARTESIAN_POINT('',(1.2963152,4.25,22.2806644)); #233663=CARTESIAN_POINT('',(10.1214797677182,4.25,28.5015424183976)); #233664=CARTESIAN_POINT('',(1.2963152,4.24,22.2806644)); #233665=CARTESIAN_POINT('',(1.2963152,4.25,22.2806644)); #233666=CARTESIAN_POINT('',(1.2963152,4.24,22.2806644)); #233667=CARTESIAN_POINT('Origin',(1.3001018,4.25,22.2827034)); #233668=CARTESIAN_POINT('',(11.3636447283612,4.25,27.7016977566593)); #233669=CARTESIAN_POINT('',(1.3001018,4.24,22.2827034)); #233670=CARTESIAN_POINT('Origin',(1.88213824561763,4.24,21.8031253738064)); #233671=CARTESIAN_POINT('Origin',(13.944304,4.25,20.9933701)); #233672=CARTESIAN_POINT('',(13.944304,4.25,20.9933701)); #233673=CARTESIAN_POINT('',(13.944304,4.25,21.4748974)); #233674=CARTESIAN_POINT('',(13.944304,4.25,22.99668505)); #233675=CARTESIAN_POINT('',(13.944304,4.24,20.9933701)); #233676=CARTESIAN_POINT('',(13.944304,4.25,20.9933701)); #233677=CARTESIAN_POINT('',(13.944304,4.24,21.4748974)); #233678=CARTESIAN_POINT('',(13.944304,4.24,20.9933701)); #233679=CARTESIAN_POINT('',(13.944304,4.25,21.4748974)); #233680=CARTESIAN_POINT('Origin',(14.1507233469583,4.25,22.0113318552252)); #233681=CARTESIAN_POINT('',(14.374055,4.25,20.9969463)); #233682=CARTESIAN_POINT('Origin',(14.1507233469583,4.25,22.0113318552252)); #233683=CARTESIAN_POINT('',(14.374055,4.24,20.9969463)); #233684=CARTESIAN_POINT('',(14.374055,4.25,20.9969463)); #233685=CARTESIAN_POINT('Origin',(14.1507233469583,4.24,22.0113318552252)); #233686=CARTESIAN_POINT('Origin',(14.2995179404771,4.25,21.2517213358661)); #233687=CARTESIAN_POINT('',(14.564242,4.25,21.2320423)); #233688=CARTESIAN_POINT('Origin',(14.2995179404771,4.25,21.2517213358661)); #233689=CARTESIAN_POINT('',(14.564242,4.24,21.2320423)); #233690=CARTESIAN_POINT('',(14.564242,4.25,21.2320423)); #233691=CARTESIAN_POINT('Origin',(14.2995179404771,4.24,21.2517213358661)); #233692=CARTESIAN_POINT('Origin',(14.2262188189447,4.25,21.13024500153)); #233693=CARTESIAN_POINT('',(14.149818,4.25,21.4748974)); #233694=CARTESIAN_POINT('Origin',(14.2262188189447,4.25,21.13024500153)); #233695=CARTESIAN_POINT('',(14.149818,4.24,21.4748974)); #233696=CARTESIAN_POINT('',(14.149818,4.25,21.4748974)); #233697=CARTESIAN_POINT('Origin',(14.2262188189447,4.24,21.13024500153)); #233698=CARTESIAN_POINT('Origin',(13.944304,4.25,21.4748974)); #233699=CARTESIAN_POINT('',(19.872152,4.25,21.4748974)); #233700=CARTESIAN_POINT('',(13.983257,4.24,21.4748974)); #233701=CARTESIAN_POINT('Origin',(14.823575,4.25,22.0959072)); #233702=CARTESIAN_POINT('',(14.823575,4.25,22.0959072)); #233703=CARTESIAN_POINT('',(14.818395,4.25,22.0977764)); #233704=CARTESIAN_POINT('',(19.2158846374261,4.25,20.510944811143)); #233705=CARTESIAN_POINT('',(14.823575,4.24,22.0959072)); #233706=CARTESIAN_POINT('',(14.823575,4.25,22.0959072)); #233707=CARTESIAN_POINT('',(14.818395,4.24,22.0977764)); #233708=CARTESIAN_POINT('',(14.823575,4.24,22.0959072)); #233709=CARTESIAN_POINT('',(14.818395,4.25,22.0977764)); #233710=CARTESIAN_POINT('Origin',(14.828211,4.25,22.0936546)); #233711=CARTESIAN_POINT('',(14.828211,4.25,22.0936546)); #233712=CARTESIAN_POINT('',(18.6950817264706,4.25,20.2147691387291)); #233713=CARTESIAN_POINT('',(14.828211,4.24,22.0936546)); #233714=CARTESIAN_POINT('',(14.828211,4.25,22.0936546)); #233715=CARTESIAN_POINT('',(14.828211,4.24,22.0936546)); #233716=CARTESIAN_POINT('Origin',(14.832305,4.25,22.0910282)); #233717=CARTESIAN_POINT('',(14.832305,4.25,22.0910282)); #233718=CARTESIAN_POINT('',(18.0562425596857,4.25,20.0227942950768)); #233719=CARTESIAN_POINT('',(14.832305,4.24,22.0910282)); #233720=CARTESIAN_POINT('',(14.832305,4.25,22.0910282)); #233721=CARTESIAN_POINT('',(14.832305,4.24,22.0910282)); #233722=CARTESIAN_POINT('Origin',(14.835819,4.25,22.0879879)); #233723=CARTESIAN_POINT('',(14.835819,4.25,22.0879879)); #233724=CARTESIAN_POINT('',(17.2505762463469,4.25,19.99874872639)); #233725=CARTESIAN_POINT('',(14.835819,4.24,22.0879879)); #233726=CARTESIAN_POINT('',(14.835819,4.25,22.0879879)); #233727=CARTESIAN_POINT('',(14.835819,4.24,22.0879879)); #233728=CARTESIAN_POINT('Origin',(14.838805,4.25,22.0846386)); #233729=CARTESIAN_POINT('',(14.838805,4.25,22.0846386)); #233730=CARTESIAN_POINT('',(16.5417846110448,4.25,20.1744612352059)); #233731=CARTESIAN_POINT('',(14.838805,4.24,22.0846386)); #233732=CARTESIAN_POINT('',(14.838805,4.25,22.0846386)); #233733=CARTESIAN_POINT('',(14.838805,4.24,22.0846386)); #233734=CARTESIAN_POINT('Origin',(14.841384,4.25,22.0811195)); #233735=CARTESIAN_POINT('',(14.841384,4.25,22.0811195)); #233736=CARTESIAN_POINT('',(16.0601020187612,4.25,20.418153009181)); #233737=CARTESIAN_POINT('',(14.841384,4.24,22.0811195)); #233738=CARTESIAN_POINT('',(14.841384,4.25,22.0811195)); #233739=CARTESIAN_POINT('',(14.841384,4.24,22.0811195)); #233740=CARTESIAN_POINT('Origin',(14.843553,4.25,22.077425)); #233741=CARTESIAN_POINT('',(14.843553,4.25,22.077425)); #233742=CARTESIAN_POINT('',(15.6097555611532,4.25,20.7723372350487)); #233743=CARTESIAN_POINT('',(14.843553,4.24,22.077425)); #233744=CARTESIAN_POINT('',(14.843553,4.25,22.077425)); #233745=CARTESIAN_POINT('',(14.843553,4.24,22.077425)); #233746=CARTESIAN_POINT('Origin',(14.845305,4.25,22.0735493)); #233747=CARTESIAN_POINT('',(14.845305,4.25,22.0735493)); #233748=CARTESIAN_POINT('',(15.225454136043,4.25,21.2325995245648)); #233749=CARTESIAN_POINT('',(14.845305,4.24,22.0735493)); #233750=CARTESIAN_POINT('',(14.845305,4.25,22.0735493)); #233751=CARTESIAN_POINT('',(14.845305,4.24,22.0735493)); #233752=CARTESIAN_POINT('Origin',(14.846639,4.25,22.0694847)); #233753=CARTESIAN_POINT('',(14.846639,4.25,22.0694847)); #233754=CARTESIAN_POINT('',(14.9450609733963,4.25,21.7696001774618)); #233755=CARTESIAN_POINT('',(14.846639,4.24,22.0694847)); #233756=CARTESIAN_POINT('',(14.846639,4.25,22.0694847)); #233757=CARTESIAN_POINT('',(14.846639,4.24,22.0694847)); #233758=CARTESIAN_POINT('Origin',(14.847547,4.25,22.0652275)); #233759=CARTESIAN_POINT('',(14.847547,4.25,22.0652275)); #233760=CARTESIAN_POINT('',(14.786470794843,4.25,22.3515861129897)); #233761=CARTESIAN_POINT('',(14.847547,4.24,22.0652275)); #233762=CARTESIAN_POINT('',(14.847547,4.25,22.0652275)); #233763=CARTESIAN_POINT('',(14.847547,4.24,22.0652275)); #233764=CARTESIAN_POINT('Origin',(14.848024,4.25,22.0607719)); #233765=CARTESIAN_POINT('',(14.848024,4.25,22.0607719)); #233766=CARTESIAN_POINT('',(14.7545247400948,4.25,22.934137313909)); #233767=CARTESIAN_POINT('',(14.848024,4.24,22.0607719)); #233768=CARTESIAN_POINT('',(14.848024,4.25,22.0607719)); #233769=CARTESIAN_POINT('',(14.848024,4.24,22.0607719)); #233770=CARTESIAN_POINT('Origin',(14.848068,4.25,22.0560532)); #233771=CARTESIAN_POINT('',(14.848068,4.25,22.0560532)); #233772=CARTESIAN_POINT('',(14.8348197110479,4.25,23.4768418608301)); #233773=CARTESIAN_POINT('',(14.848068,4.24,22.0560532)); #233774=CARTESIAN_POINT('',(14.848068,4.25,22.0560532)); #233775=CARTESIAN_POINT('',(14.848068,4.24,22.0560532)); #233776=CARTESIAN_POINT('Origin',(14.847677,4.25,22.0513496)); #233777=CARTESIAN_POINT('',(14.847677,4.25,22.0513496)); #233778=CARTESIAN_POINT('',(15.0069752047038,4.25,23.9676540389808)); #233779=CARTESIAN_POINT('',(14.847677,4.24,22.0513496)); #233780=CARTESIAN_POINT('',(14.847677,4.25,22.0513496)); #233781=CARTESIAN_POINT('',(14.847677,4.24,22.0513496)); #233782=CARTESIAN_POINT('Origin',(14.846854,4.25,22.0468826)); #233783=CARTESIAN_POINT('',(14.846854,4.25,22.0468826)); #233784=CARTESIAN_POINT('',(15.2897598148701,4.25,24.4508440520384)); #233785=CARTESIAN_POINT('',(14.846854,4.24,22.0468826)); #233786=CARTESIAN_POINT('',(14.846854,4.25,22.0468826)); #233787=CARTESIAN_POINT('',(14.846854,4.24,22.0468826)); #233788=CARTESIAN_POINT('Origin',(14.845606,4.25,22.042654)); #233789=CARTESIAN_POINT('',(14.845606,4.25,22.042654)); #233790=CARTESIAN_POINT('',(15.6859020386864,4.25,24.889830145183)); #233791=CARTESIAN_POINT('',(14.845606,4.24,22.042654)); #233792=CARTESIAN_POINT('',(14.845606,4.25,22.042654)); #233793=CARTESIAN_POINT('',(14.845606,4.24,22.042654)); #233794=CARTESIAN_POINT('Origin',(14.843937,4.25,22.0386715)); #233795=CARTESIAN_POINT('',(14.843937,4.25,22.0386715)); #233796=CARTESIAN_POINT('',(16.1901365947163,4.25,25.2509182860747)); #233797=CARTESIAN_POINT('',(14.843937,4.24,22.0386715)); #233798=CARTESIAN_POINT('',(14.843937,4.25,22.0386715)); #233799=CARTESIAN_POINT('',(14.843937,4.24,22.0386715)); #233800=CARTESIAN_POINT('Origin',(14.841851,4.25,22.0349369)); #233801=CARTESIAN_POINT('',(14.841851,4.25,22.0349369)); #233802=CARTESIAN_POINT('',(16.7759353748753,4.25,25.4975598656821)); #233803=CARTESIAN_POINT('',(14.841851,4.24,22.0349369)); #233804=CARTESIAN_POINT('',(14.841851,4.25,22.0349369)); #233805=CARTESIAN_POINT('',(14.841851,4.24,22.0349369)); #233806=CARTESIAN_POINT('Origin',(14.839354,4.25,22.0314579)); #233807=CARTESIAN_POINT('',(14.839354,4.25,22.0314579)); #233808=CARTESIAN_POINT('',(17.4057551597685,4.25,25.6071525875572)); #233809=CARTESIAN_POINT('',(14.839354,4.24,22.0314579)); #233810=CARTESIAN_POINT('',(14.839354,4.25,22.0314579)); #233811=CARTESIAN_POINT('',(14.839354,4.24,22.0314579)); #233812=CARTESIAN_POINT('Origin',(14.7668694834087,4.25,22.123733427387)); #233813=CARTESIAN_POINT('',(14.723765,4.25,22.0145969)); #233814=CARTESIAN_POINT('Origin',(14.7668694834087,4.25,22.123733427387)); #233815=CARTESIAN_POINT('',(14.723765,4.24,22.0145969)); #233816=CARTESIAN_POINT('',(14.723765,4.25,22.0145969)); #233817=CARTESIAN_POINT('Origin',(14.7668694834087,4.24,22.123733427387)); #233818=CARTESIAN_POINT('Origin',(13.5767469080254,4.25,22.5263527563703)); #233819=CARTESIAN_POINT('',(14.369825,4.25,21.5524063)); #233820=CARTESIAN_POINT('Origin',(13.5767469080254,4.25,22.5263527563703)); #233821=CARTESIAN_POINT('',(14.369825,4.24,21.5524063)); #233822=CARTESIAN_POINT('',(14.369825,4.25,21.5524063)); #233823=CARTESIAN_POINT('Origin',(13.5767469080254,4.24,22.5263527563703)); #233824=CARTESIAN_POINT('Origin',(14.352509,4.25,21.5401363)); #233825=CARTESIAN_POINT('',(14.352509,4.25,21.5401363)); #233826=CARTESIAN_POINT('',(18.9790607628081,4.25,24.8184794583306)); #233827=CARTESIAN_POINT('',(14.352509,4.24,21.5401363)); #233828=CARTESIAN_POINT('',(14.352509,4.25,21.5401363)); #233829=CARTESIAN_POINT('',(14.352509,4.24,21.5401363)); #233830=CARTESIAN_POINT('Origin',(14.281123241419,4.25,21.1683492383066)); #233831=CARTESIAN_POINT('',(14.649633,4.25,21.2550793)); #233832=CARTESIAN_POINT('Origin',(14.281123241419,4.25,21.1683492383066)); #233833=CARTESIAN_POINT('',(14.649633,4.24,21.2550793)); #233834=CARTESIAN_POINT('',(14.649633,4.25,21.2550793)); #233835=CARTESIAN_POINT('Origin',(14.281123241419,4.24,21.1683492383066)); #233836=CARTESIAN_POINT('Origin',(14.2981949464628,4.25,21.2460760730192)); #233837=CARTESIAN_POINT('',(14.30986,4.25,20.8947163)); #233838=CARTESIAN_POINT('Origin',(14.2981949464628,4.25,21.2460760730192)); #233839=CARTESIAN_POINT('',(14.30986,4.24,20.8947163)); #233840=CARTESIAN_POINT('',(14.30986,4.25,20.8947163)); #233841=CARTESIAN_POINT('Origin',(14.2981949464628,4.24,21.2460760730192)); #233842=CARTESIAN_POINT('Origin',(14.0231057440179,4.25,24.994597835454)); #233843=CARTESIAN_POINT('',(13.765248,4.25,20.8927975)); #233844=CARTESIAN_POINT('Origin',(14.0231057440179,4.25,24.994597835454)); #233845=CARTESIAN_POINT('',(13.765248,4.24,20.8927975)); #233846=CARTESIAN_POINT('',(13.765248,4.25,20.8927975)); #233847=CARTESIAN_POINT('Origin',(14.0231057440179,4.24,24.994597835454)); #233848=CARTESIAN_POINT('Origin',(13.7504038113587,4.25,21.1130781242149)); #233849=CARTESIAN_POINT('',(13.719835,4.25,20.8944244)); #233850=CARTESIAN_POINT('Origin',(13.7504038113587,4.25,21.1130781242149)); #233851=CARTESIAN_POINT('',(13.719835,4.24,20.8944244)); #233852=CARTESIAN_POINT('',(13.719835,4.25,20.8944244)); #233853=CARTESIAN_POINT('Origin',(13.7504038113587,4.24,21.1130781242149)); #233854=CARTESIAN_POINT('Origin',(13.7376139397607,4.25,20.9861452332326)); #233855=CARTESIAN_POINT('',(13.708372,4.25,20.8974113)); #233856=CARTESIAN_POINT('Origin',(13.7376139397607,4.25,20.9861452332326)); #233857=CARTESIAN_POINT('',(13.708372,4.24,20.8974113)); #233858=CARTESIAN_POINT('',(13.708372,4.25,20.8974113)); #233859=CARTESIAN_POINT('Origin',(13.7376139397607,4.24,20.9861452332326)); #233860=CARTESIAN_POINT('Origin',(13.703506,4.25,20.8994961)); #233861=CARTESIAN_POINT('',(13.703506,4.25,20.8994961)); #233862=CARTESIAN_POINT('',(18.0715315881489,4.25,19.0280493786326)); #233863=CARTESIAN_POINT('',(13.703506,4.24,20.8994961)); #233864=CARTESIAN_POINT('',(13.703506,4.25,20.8994961)); #233865=CARTESIAN_POINT('',(13.703506,4.24,20.8994961)); #233866=CARTESIAN_POINT('Origin',(13.699216,4.25,20.9019871)); #233867=CARTESIAN_POINT('',(13.699216,4.25,20.9019871)); #233868=CARTESIAN_POINT('',(17.3342633170975,4.25,18.791287131029)); #233869=CARTESIAN_POINT('',(13.699216,4.24,20.9019871)); #233870=CARTESIAN_POINT('',(13.699216,4.25,20.9019871)); #233871=CARTESIAN_POINT('',(13.699216,4.24,20.9019871)); #233872=CARTESIAN_POINT('Origin',(13.695504,4.25,20.9048805)); #233873=CARTESIAN_POINT('',(13.695504,4.25,20.9048805)); #233874=CARTESIAN_POINT('',(16.46752283862,4.25,18.7441694796148)); #233875=CARTESIAN_POINT('',(13.695504,4.24,20.9048805)); #233876=CARTESIAN_POINT('',(13.695504,4.25,20.9048805)); #233877=CARTESIAN_POINT('',(13.695504,4.24,20.9048805)); #233878=CARTESIAN_POINT('Origin',(13.692261,4.25,20.9079342)); #233879=CARTESIAN_POINT('',(13.692261,4.25,20.9079342)); #233880=CARTESIAN_POINT('',(15.8798622007945,4.25,18.8480273893744)); #233881=CARTESIAN_POINT('',(13.692261,4.24,20.9079342)); #233882=CARTESIAN_POINT('',(13.692261,4.25,20.9079342)); #233883=CARTESIAN_POINT('',(13.692261,4.24,20.9079342)); #233884=CARTESIAN_POINT('Origin',(13.689454,4.25,20.9112587)); #233885=CARTESIAN_POINT('',(13.689454,4.25,20.9112587)); #233886=CARTESIAN_POINT('',(15.2019133950349,4.25,19.1199614934446)); #233887=CARTESIAN_POINT('',(13.689454,4.24,20.9112587)); #233888=CARTESIAN_POINT('',(13.689454,4.25,20.9112587)); #233889=CARTESIAN_POINT('',(13.689454,4.24,20.9112587)); #233890=CARTESIAN_POINT('Origin',(13.687086,4.25,20.9148483)); #233891=CARTESIAN_POINT('',(13.687086,4.25,20.9148483)); #233892=CARTESIAN_POINT('',(14.5846789742859,4.25,19.554206517698)); #233893=CARTESIAN_POINT('',(13.687086,4.24,20.9148483)); #233894=CARTESIAN_POINT('',(13.687086,4.25,20.9148483)); #233895=CARTESIAN_POINT('',(13.687086,4.24,20.9148483)); #233896=CARTESIAN_POINT('Origin',(13.685155,4.25,20.9187012)); #233897=CARTESIAN_POINT('',(13.685155,4.25,20.9187012)); #233898=CARTESIAN_POINT('',(14.0838042502396,4.25,20.1232813676599)); #233899=CARTESIAN_POINT('',(13.685155,4.24,20.9187012)); #233900=CARTESIAN_POINT('',(13.685155,4.25,20.9187012)); #233901=CARTESIAN_POINT('',(13.685155,4.24,20.9187012)); #233902=CARTESIAN_POINT('Origin',(13.683661,4.25,20.9228077)); #233903=CARTESIAN_POINT('',(13.683661,4.25,20.9228077)); #233904=CARTESIAN_POINT('',(13.7368172528055,4.25,20.7766991644271)); #233905=CARTESIAN_POINT('',(13.683661,4.24,20.9228077)); #233906=CARTESIAN_POINT('',(13.683661,4.25,20.9228077)); #233907=CARTESIAN_POINT('',(13.683661,4.24,20.9228077)); #233908=CARTESIAN_POINT('Origin',(13.682605,4.25,20.9271679)); #233909=CARTESIAN_POINT('',(13.682605,4.25,20.9271679)); #233910=CARTESIAN_POINT('',(13.5524210974367,4.25,21.464694274958)); #233911=CARTESIAN_POINT('',(13.682605,4.24,20.9271679)); #233912=CARTESIAN_POINT('',(13.682605,4.25,20.9271679)); #233913=CARTESIAN_POINT('',(13.682605,4.24,20.9271679)); #233914=CARTESIAN_POINT('Origin',(13.681987,4.25,20.9317741)); #233915=CARTESIAN_POINT('',(13.681987,4.25,20.9317741)); #233916=CARTESIAN_POINT('',(13.5210402056937,4.25,22.1313746241666)); #233917=CARTESIAN_POINT('',(13.681987,4.24,20.9317741)); #233918=CARTESIAN_POINT('',(13.681987,4.25,20.9317741)); #233919=CARTESIAN_POINT('',(13.681987,4.24,20.9317741)); #233920=CARTESIAN_POINT('Origin',(13.681806,4.25,20.9366169)); #233921=CARTESIAN_POINT('',(13.681806,4.25,20.9366169)); #233922=CARTESIAN_POINT('',(13.6144294280785,4.25,22.7393310574766)); #233923=CARTESIAN_POINT('',(13.681806,4.24,20.9366169)); #233924=CARTESIAN_POINT('',(13.681806,4.25,20.9366169)); #233925=CARTESIAN_POINT('',(13.681806,4.24,20.9366169)); #233926=CARTESIAN_POINT('Origin',(13.7609984075274,4.25,20.9332579884084)); #233927=CARTESIAN_POINT('',(13.682755,4.25,20.9459343)); #233928=CARTESIAN_POINT('Origin',(13.7609984075274,4.25,20.9332579884084)); #233929=CARTESIAN_POINT('',(13.682755,4.24,20.9459343)); #233930=CARTESIAN_POINT('',(13.682755,4.25,20.9459343)); #233931=CARTESIAN_POINT('Origin',(13.7609984075274,4.24,20.9332579884084)); #233932=CARTESIAN_POINT('Origin',(13.683887,4.25,20.9502392)); #233933=CARTESIAN_POINT('',(13.683887,4.25,20.9502392)); #233934=CARTESIAN_POINT('',(14.5737045547623,4.25,24.3341398991976)); #233935=CARTESIAN_POINT('',(13.683887,4.24,20.9502392)); #233936=CARTESIAN_POINT('',(13.683887,4.25,20.9502392)); #233937=CARTESIAN_POINT('',(13.683887,4.24,20.9502392)); #233938=CARTESIAN_POINT('Origin',(13.685455,4.25,20.9542999)); #233939=CARTESIAN_POINT('',(13.685455,4.25,20.9542999)); #233940=CARTESIAN_POINT('',(15.1511788335401,4.25,24.7501320242737)); #233941=CARTESIAN_POINT('',(13.685455,4.24,20.9542999)); #233942=CARTESIAN_POINT('',(13.685455,4.25,20.9542999)); #233943=CARTESIAN_POINT('',(13.685455,4.24,20.9542999)); #233944=CARTESIAN_POINT('Origin',(13.687461,4.25,20.9581127)); #233945=CARTESIAN_POINT('',(13.687461,4.25,20.9581127)); #233946=CARTESIAN_POINT('',(15.8331836179254,4.25,25.0364831873492)); #233947=CARTESIAN_POINT('',(13.687461,4.24,20.9581127)); #233948=CARTESIAN_POINT('',(13.687461,4.25,20.9581127)); #233949=CARTESIAN_POINT('',(13.687461,4.24,20.9581127)); #233950=CARTESIAN_POINT('Origin',(13.689904,4.25,20.9616737)); #233951=CARTESIAN_POINT('',(13.689904,4.25,20.9616737)); #233952=CARTESIAN_POINT('',(16.5696228496279,4.25,25.1592499683267)); #233953=CARTESIAN_POINT('',(13.689904,4.24,20.9616737)); #233954=CARTESIAN_POINT('',(13.689904,4.25,20.9616737)); #233955=CARTESIAN_POINT('',(13.689904,4.24,20.9616737)); #233956=CARTESIAN_POINT('Origin',(13.692785,4.25,20.9649773)); #233957=CARTESIAN_POINT('',(13.692785,4.25,20.9649773)); #233958=CARTESIAN_POINT('',(17.3072414410361,4.25,25.1096209302013)); #233959=CARTESIAN_POINT('',(13.692785,4.24,20.9649773)); #233960=CARTESIAN_POINT('',(13.692785,4.25,20.9649773)); #233961=CARTESIAN_POINT('',(13.692785,4.24,20.9649773)); #233962=CARTESIAN_POINT('Origin',(13.6961,4.25,20.9680309)); #233963=CARTESIAN_POINT('',(13.6961,4.25,20.9680309)); #233964=CARTESIAN_POINT('',(17.974661931875,4.25,24.9092124128741)); #233965=CARTESIAN_POINT('',(13.6961,4.24,20.9680309)); #233966=CARTESIAN_POINT('',(13.6961,4.25,20.9680309)); #233967=CARTESIAN_POINT('',(13.6961,4.24,20.9680309)); #233968=CARTESIAN_POINT('Origin',(13.69991,4.25,20.970829)); #233969=CARTESIAN_POINT('',(13.69991,4.25,20.970829)); #233970=CARTESIAN_POINT('',(18.5912863733768,4.25,24.5631020263349)); #233971=CARTESIAN_POINT('',(13.69991,4.24,20.970829)); #233972=CARTESIAN_POINT('',(13.69991,4.25,20.970829)); #233973=CARTESIAN_POINT('',(13.69991,4.24,20.970829)); #233974=CARTESIAN_POINT('Origin',(13.704298,4.25,20.9732246)); #233975=CARTESIAN_POINT('',(13.704298,4.25,20.9732246)); #233976=CARTESIAN_POINT('',(19.2102671803976,4.25,23.9791725873663)); #233977=CARTESIAN_POINT('',(13.704298,4.24,20.9732246)); #233978=CARTESIAN_POINT('',(13.704298,4.25,20.9732246)); #233979=CARTESIAN_POINT('',(13.704298,4.24,20.9732246)); #233980=CARTESIAN_POINT('Origin',(13.709265,4.25,20.9752235)); #233981=CARTESIAN_POINT('',(13.709265,4.25,20.9752235)); #233982=CARTESIAN_POINT('',(19.6089997856026,4.25,23.3494896290395)); #233983=CARTESIAN_POINT('',(13.709265,4.24,20.9752235)); #233984=CARTESIAN_POINT('',(13.709265,4.25,20.9752235)); #233985=CARTESIAN_POINT('',(13.709265,4.24,20.9752235)); #233986=CARTESIAN_POINT('Origin',(13.7387347308961,4.25,20.8759492966469)); #233987=CARTESIAN_POINT('',(13.727616,4.25,20.9789066)); #233988=CARTESIAN_POINT('Origin',(13.7387347308961,4.25,20.8759492966469)); #233989=CARTESIAN_POINT('',(13.727616,4.24,20.9789066)); #233990=CARTESIAN_POINT('',(13.727616,4.25,20.9789066)); #233991=CARTESIAN_POINT('Origin',(13.7387347308961,4.24,20.8759492966469)); #233992=CARTESIAN_POINT('Origin',(13.73488,4.25,20.9793816)); #233993=CARTESIAN_POINT('',(13.73488,4.25,20.9793816)); #233994=CARTESIAN_POINT('',(19.8726510875605,4.25,21.3807363999161)); #233995=CARTESIAN_POINT('',(13.73488,4.24,20.9793816)); #233996=CARTESIAN_POINT('',(13.73488,4.25,20.9793816)); #233997=CARTESIAN_POINT('',(13.73488,4.24,20.9793816)); #233998=CARTESIAN_POINT('Origin',(13.741322,4.25,20.9794979)); #233999=CARTESIAN_POINT('',(13.741322,4.25,20.9794979)); #234000=CARTESIAN_POINT('',(19.8049765673872,4.25,21.0889674787312)); #234001=CARTESIAN_POINT('',(13.741322,4.24,20.9794979)); #234002=CARTESIAN_POINT('',(13.741322,4.25,20.9794979)); #234003=CARTESIAN_POINT('',(13.741322,4.24,20.9794979)); #234004=CARTESIAN_POINT('Origin',(13.857183,4.25,20.9794979)); #234005=CARTESIAN_POINT('',(13.857183,4.25,20.9794979)); #234006=CARTESIAN_POINT('',(19.8285915,4.25,20.9794979)); #234007=CARTESIAN_POINT('',(13.857183,4.24,20.9794979)); #234008=CARTESIAN_POINT('',(13.857183,4.25,20.9794979)); #234009=CARTESIAN_POINT('',(13.857183,4.24,20.9794979)); #234010=CARTESIAN_POINT('Origin',(13.857504,4.25,20.9826813)); #234011=CARTESIAN_POINT('',(13.857504,4.25,20.9826813)); #234012=CARTESIAN_POINT('',(14.1181130607654,4.25,23.567176265851)); #234013=CARTESIAN_POINT('',(13.857504,4.24,20.9826813)); #234014=CARTESIAN_POINT('',(13.857504,4.25,20.9826813)); #234015=CARTESIAN_POINT('',(13.857504,4.24,20.9826813)); #234016=CARTESIAN_POINT('Origin',(13.857481,4.25,22.0145969)); #234017=CARTESIAN_POINT('',(13.857481,4.25,22.0145969)); #234018=CARTESIAN_POINT('',(13.8574477326722,4.25,23.5071653579781)); #234019=CARTESIAN_POINT('',(13.857481,4.24,22.0145969)); #234020=CARTESIAN_POINT('',(13.857481,4.25,22.0145969)); #234021=CARTESIAN_POINT('',(13.857481,4.24,22.0145969)); #234022=CARTESIAN_POINT('Origin',(13.7956533897866,4.25,22.5566615292191)); #234023=CARTESIAN_POINT('',(13.717359,4.25,22.0167294)); #234024=CARTESIAN_POINT('Origin',(13.7956533897866,4.25,22.5566615292191)); #234025=CARTESIAN_POINT('',(13.717359,4.24,22.0167294)); #234026=CARTESIAN_POINT('',(13.717359,4.25,22.0167294)); #234027=CARTESIAN_POINT('Origin',(13.7956533897866,4.24,22.5566615292191)); #234028=CARTESIAN_POINT('Origin',(13.741065832402,4.25,22.1154566155347)); #234029=CARTESIAN_POINT('',(13.706355,4.25,22.0200405)); #234030=CARTESIAN_POINT('Origin',(13.741065832402,4.25,22.1154566155347)); #234031=CARTESIAN_POINT('',(13.706355,4.24,22.0200405)); #234032=CARTESIAN_POINT('',(13.706355,4.25,22.0200405)); #234033=CARTESIAN_POINT('Origin',(13.741065832402,4.24,22.1154566155347)); #234034=CARTESIAN_POINT('Origin',(13.70172,4.25,22.0222816)); #234035=CARTESIAN_POINT('',(13.70172,4.25,22.0222816)); #234036=CARTESIAN_POINT('',(18.021141060901,4.25,19.9337692937231)); #234037=CARTESIAN_POINT('',(13.70172,4.24,22.0222816)); #234038=CARTESIAN_POINT('',(13.70172,4.25,22.0222816)); #234039=CARTESIAN_POINT('',(13.70172,4.24,22.0222816)); #234040=CARTESIAN_POINT('Origin',(13.697662,4.25,22.0249157)); #234041=CARTESIAN_POINT('',(13.697662,4.25,22.0249157)); #234042=CARTESIAN_POINT('',(17.2756654237616,4.25,19.7023876520642)); #234043=CARTESIAN_POINT('',(13.697662,4.24,22.0249157)); #234044=CARTESIAN_POINT('',(13.697662,4.25,22.0249157)); #234045=CARTESIAN_POINT('',(13.697662,4.24,22.0249157)); #234046=CARTESIAN_POINT('Origin',(13.694155,4.25,22.0278854)); #234047=CARTESIAN_POINT('',(13.694155,4.25,22.0278854)); #234048=CARTESIAN_POINT('',(16.4864566654585,4.25,19.6633863250328)); #234049=CARTESIAN_POINT('',(13.694155,4.24,22.0278854)); #234050=CARTESIAN_POINT('',(13.694155,4.25,22.0278854)); #234051=CARTESIAN_POINT('',(13.694155,4.24,22.0278854)); #234052=CARTESIAN_POINT('Origin',(13.691086,4.25,22.0310764)); #234053=CARTESIAN_POINT('',(13.691086,4.25,22.0310764)); #234054=CARTESIAN_POINT('',(15.8586977942787,4.25,19.7772969162777)); #234055=CARTESIAN_POINT('',(13.691086,4.24,22.0310764)); #234056=CARTESIAN_POINT('',(13.691086,4.25,22.0310764)); #234057=CARTESIAN_POINT('',(13.691086,4.24,22.0310764)); #234058=CARTESIAN_POINT('Origin',(13.688455,4.25,22.0345268)); #234059=CARTESIAN_POINT('',(13.688455,4.25,22.0345268)); #234060=CARTESIAN_POINT('',(15.2000133578416,4.25,20.0522079258468)); #234061=CARTESIAN_POINT('',(13.688455,4.24,22.0345268)); #234062=CARTESIAN_POINT('',(13.688455,4.25,22.0345268)); #234063=CARTESIAN_POINT('',(13.688455,4.24,22.0345268)); #234064=CARTESIAN_POINT('Origin',(13.686261,4.25,22.0382309)); #234065=CARTESIAN_POINT('',(13.686261,4.25,22.0382309)); #234066=CARTESIAN_POINT('',(14.6100079496797,4.25,20.4786816400596)); #234067=CARTESIAN_POINT('',(13.686261,4.24,22.0382309)); #234068=CARTESIAN_POINT('',(13.686261,4.25,22.0382309)); #234069=CARTESIAN_POINT('',(13.686261,4.24,22.0382309)); #234070=CARTESIAN_POINT('Origin',(13.684505,4.25,22.0421867)); #234071=CARTESIAN_POINT('',(13.684505,4.25,22.0421867)); #234072=CARTESIAN_POINT('',(14.1332706068089,4.25,21.0312373905379)); #234073=CARTESIAN_POINT('',(13.684505,4.24,22.0421867)); #234074=CARTESIAN_POINT('',(13.684505,4.25,22.0421867)); #234075=CARTESIAN_POINT('',(13.684505,4.24,22.0421867)); #234076=CARTESIAN_POINT('Origin',(13.683187,4.25,22.0463867)); #234077=CARTESIAN_POINT('',(13.683187,4.25,22.0463867)); #234078=CARTESIAN_POINT('',(13.804422351601,4.25,21.6600524991468)); #234079=CARTESIAN_POINT('',(13.683187,4.24,22.0463867)); #234080=CARTESIAN_POINT('',(13.683187,4.25,22.0463867)); #234081=CARTESIAN_POINT('',(13.683187,4.24,22.0463867)); #234082=CARTESIAN_POINT('Origin',(13.682304,4.25,22.050827)); #234083=CARTESIAN_POINT('',(13.682304,4.25,22.050827)); #234084=CARTESIAN_POINT('',(13.6307075048272,4.25,22.3102878352385)); #234085=CARTESIAN_POINT('',(13.682304,4.24,22.050827)); #234086=CARTESIAN_POINT('',(13.682304,4.25,22.050827)); #234087=CARTESIAN_POINT('',(13.682304,4.24,22.050827)); #234088=CARTESIAN_POINT('Origin',(13.681861,4.25,22.0555038)); #234089=CARTESIAN_POINT('',(13.681861,4.25,22.0555038)); #234090=CARTESIAN_POINT('',(13.5975266417889,4.25,22.9458309478117)); #234091=CARTESIAN_POINT('',(13.681861,4.24,22.0555038)); #234092=CARTESIAN_POINT('',(13.681861,4.25,22.0555038)); #234093=CARTESIAN_POINT('',(13.681861,4.24,22.0555038)); #234094=CARTESIAN_POINT('Origin',(13.681855,4.25,22.0602474)); #234095=CARTESIAN_POINT('',(13.681855,4.25,22.0602474)); #234096=CARTESIAN_POINT('',(13.6800055058575,4.25,23.5224574692978)); #234097=CARTESIAN_POINT('',(13.681855,4.24,22.0602474)); #234098=CARTESIAN_POINT('',(13.681855,4.25,22.0602474)); #234099=CARTESIAN_POINT('',(13.681855,4.24,22.0602474)); #234100=CARTESIAN_POINT('Origin',(13.682286,4.25,22.0647278)); #234101=CARTESIAN_POINT('',(13.682286,4.25,22.0647278)); #234102=CARTESIAN_POINT('',(13.8777268368477,4.25,24.0964055851866)); #234103=CARTESIAN_POINT('',(13.682286,4.24,22.0647278)); #234104=CARTESIAN_POINT('',(13.682286,4.25,22.0647278)); #234105=CARTESIAN_POINT('',(13.682286,4.24,22.0647278)); #234106=CARTESIAN_POINT('Origin',(13.683156,4.25,22.0690079)); #234107=CARTESIAN_POINT('',(13.683156,4.25,22.0690079)); #234108=CARTESIAN_POINT('',(14.2096073561589,4.25,24.6589670373463)); #234109=CARTESIAN_POINT('',(13.683156,4.24,22.0690079)); #234110=CARTESIAN_POINT('',(13.683156,4.25,22.0690079)); #234111=CARTESIAN_POINT('',(13.683156,4.24,22.0690079)); #234112=CARTESIAN_POINT('Origin',(13.684462,4.25,22.0730934)); #234113=CARTESIAN_POINT('',(13.684462,4.25,22.0730934)); #234114=CARTESIAN_POINT('',(14.6705410081475,4.25,25.1577992099412)); #234115=CARTESIAN_POINT('',(13.684462,4.24,22.0730934)); #234116=CARTESIAN_POINT('',(13.684462,4.25,22.0730934)); #234117=CARTESIAN_POINT('',(13.684462,4.24,22.0730934)); #234118=CARTESIAN_POINT('Origin',(13.686205,4.25,22.076992)); #234119=CARTESIAN_POINT('',(13.686205,4.25,22.076992)); #234120=CARTESIAN_POINT('',(15.2397635522649,4.25,25.5518648467386)); #234121=CARTESIAN_POINT('',(13.686205,4.24,22.076992)); #234122=CARTESIAN_POINT('',(13.686205,4.25,22.076992)); #234123=CARTESIAN_POINT('',(13.686205,4.24,22.076992)); #234124=CARTESIAN_POINT('Origin',(13.688386,4.25,22.0807076)); #234125=CARTESIAN_POINT('',(13.688386,4.25,22.0807076)); #234126=CARTESIAN_POINT('',(15.8774617020799,4.25,25.8100655452789)); #234127=CARTESIAN_POINT('',(13.688386,4.24,22.0807076)); #234128=CARTESIAN_POINT('',(13.688386,4.25,22.0807076)); #234129=CARTESIAN_POINT('',(13.688386,4.24,22.0807076)); #234130=CARTESIAN_POINT('Origin',(13.691005,4.25,22.0842438)); #234131=CARTESIAN_POINT('',(13.691005,4.25,22.0842438)); #234132=CARTESIAN_POINT('',(16.5329279654635,4.25,25.9214366180468)); #234133=CARTESIAN_POINT('',(13.691005,4.24,22.0842438)); #234134=CARTESIAN_POINT('',(13.691005,4.25,22.0842438)); #234135=CARTESIAN_POINT('',(13.691005,4.24,22.0842438)); #234136=CARTESIAN_POINT('Origin',(13.694061,4.25,22.0876122)); #234137=CARTESIAN_POINT('',(13.694061,4.25,22.0876122)); #234138=CARTESIAN_POINT('',(17.151563453885,4.25,25.8985582947879)); #234139=CARTESIAN_POINT('',(13.694061,4.24,22.0876122)); #234140=CARTESIAN_POINT('',(13.694061,4.25,22.0876122)); #234141=CARTESIAN_POINT('',(13.694061,4.24,22.0876122)); #234142=CARTESIAN_POINT('Origin',(13.697555,4.25,22.090704)); #234143=CARTESIAN_POINT('',(13.697555,4.25,22.090704)); #234144=CARTESIAN_POINT('',(17.8132648462291,4.25,25.7326478187074)); #234145=CARTESIAN_POINT('',(13.697555,4.24,22.090704)); #234146=CARTESIAN_POINT('',(13.697555,4.25,22.090704)); #234147=CARTESIAN_POINT('',(13.697555,4.24,22.090704)); #234148=CARTESIAN_POINT('Origin',(13.701596,4.25,22.0933743)); #234149=CARTESIAN_POINT('',(13.701596,4.25,22.0933743)); #234150=CARTESIAN_POINT('',(18.5806617674022,4.25,25.3174696523131)); #234151=CARTESIAN_POINT('',(13.701596,4.24,22.0933743)); #234152=CARTESIAN_POINT('',(13.701596,4.25,22.0933743)); #234153=CARTESIAN_POINT('',(13.701596,4.24,22.0933743)); #234154=CARTESIAN_POINT('Origin',(13.706216,4.25,22.0956688)); #234155=CARTESIAN_POINT('',(13.706216,4.25,22.0956688)); #234156=CARTESIAN_POINT('',(19.1352207207577,4.25,24.7919569670514)); #234157=CARTESIAN_POINT('',(13.706216,4.24,22.0956688)); #234158=CARTESIAN_POINT('',(13.706216,4.25,22.0956688)); #234159=CARTESIAN_POINT('',(13.706216,4.24,22.0956688)); #234160=CARTESIAN_POINT('Origin',(13.711412,4.25,22.0975819)); #234161=CARTESIAN_POINT('',(13.711412,4.25,22.0975819)); #234162=CARTESIAN_POINT('',(19.5046774027779,4.25,24.2305872968539)); #234163=CARTESIAN_POINT('',(13.711412,4.24,22.0975819)); #234164=CARTESIAN_POINT('',(13.711412,4.25,22.0975819)); #234165=CARTESIAN_POINT('',(13.711412,4.24,22.0975819)); #234166=CARTESIAN_POINT('Origin',(13.7389439334482,4.25,22.001594525025)); #234167=CARTESIAN_POINT('',(13.723533,4.25,22.100256)); #234168=CARTESIAN_POINT('Origin',(13.7389439334482,4.25,22.001594525025)); #234169=CARTESIAN_POINT('',(13.723533,4.24,22.100256)); #234170=CARTESIAN_POINT('',(13.723533,4.25,22.100256)); #234171=CARTESIAN_POINT('Origin',(13.7389439334482,4.24,22.001594525025)); #234172=CARTESIAN_POINT('Origin',(13.9217880469755,4.25,20.3200677772381)); #234173=CARTESIAN_POINT('',(14.110332,4.25,22.1013107)); #234174=CARTESIAN_POINT('Origin',(13.9217880469755,4.25,20.3200677772381)); #234175=CARTESIAN_POINT('',(14.110332,4.24,22.1013107)); #234176=CARTESIAN_POINT('',(14.110332,4.25,22.1013107)); #234177=CARTESIAN_POINT('Origin',(13.9217880469755,4.24,20.3200677772381)); #234178=CARTESIAN_POINT('Origin',(14.1069279356175,4.25,21.9901336905219)); #234179=CARTESIAN_POINT('',(14.130864,4.25,22.0987568)); #234180=CARTESIAN_POINT('Origin',(14.1069279356175,4.25,21.9901336905219)); #234181=CARTESIAN_POINT('',(14.130864,4.24,22.0987568)); #234182=CARTESIAN_POINT('',(14.130864,4.25,22.0987568)); #234183=CARTESIAN_POINT('Origin',(14.1069279356175,4.24,21.9901336905219)); #234184=CARTESIAN_POINT('Origin',(14.1087450943951,4.25,22.0160608673224)); #234185=CARTESIAN_POINT('',(14.141581,4.25,22.0951157)); #234186=CARTESIAN_POINT('Origin',(14.1087450943951,4.25,22.0160608673224)); #234187=CARTESIAN_POINT('',(14.141581,4.24,22.0951157)); #234188=CARTESIAN_POINT('',(14.141581,4.25,22.0951157)); #234189=CARTESIAN_POINT('Origin',(14.1087450943951,4.24,22.0160608673224)); #234190=CARTESIAN_POINT('Origin',(14.14607,4.25,22.0927238)); #234191=CARTESIAN_POINT('',(14.14607,4.25,22.0927238)); #234192=CARTESIAN_POINT('',(18.0812358307049,4.25,19.9959264842363)); #234193=CARTESIAN_POINT('',(14.14607,4.24,22.0927238)); #234194=CARTESIAN_POINT('',(14.14607,4.25,22.0927238)); #234195=CARTESIAN_POINT('',(14.14607,4.24,22.0927238)); #234196=CARTESIAN_POINT('Origin',(14.149988,4.25,22.0899563)); #234197=CARTESIAN_POINT('',(14.149988,4.25,22.0899563)); #234198=CARTESIAN_POINT('',(17.3504167789052,4.25,19.8293165231703)); #234199=CARTESIAN_POINT('',(14.149988,4.24,22.0899563)); #234200=CARTESIAN_POINT('',(14.149988,4.25,22.0899563)); #234201=CARTESIAN_POINT('',(14.149988,4.24,22.0899563)); #234202=CARTESIAN_POINT('Origin',(14.153275,4.25,22.0867634)); #234203=CARTESIAN_POINT('',(14.153275,4.25,22.0867634)); #234204=CARTESIAN_POINT('',(16.4215022569031,4.25,19.8834707901522)); #234205=CARTESIAN_POINT('',(14.153275,4.24,22.0867634)); #234206=CARTESIAN_POINT('',(14.153275,4.25,22.0867634)); #234207=CARTESIAN_POINT('',(14.153275,4.24,22.0867634)); #234208=CARTESIAN_POINT('Origin',(14.156111,4.25,22.0833511)); #234209=CARTESIAN_POINT('',(14.156111,4.25,22.0833511)); #234210=CARTESIAN_POINT('',(15.8177758363728,4.25,20.0840214380974)); #234211=CARTESIAN_POINT('',(14.156111,4.24,22.0833511)); #234212=CARTESIAN_POINT('',(14.156111,4.25,22.0833511)); #234213=CARTESIAN_POINT('',(14.156111,4.24,22.0833511)); #234214=CARTESIAN_POINT('Origin',(14.158538,4.25,22.0797691)); #234215=CARTESIAN_POINT('',(14.158538,4.25,22.0797691)); #234216=CARTESIAN_POINT('',(15.3119179142771,4.25,20.3775001041452)); #234217=CARTESIAN_POINT('',(14.158538,4.24,22.0797691)); #234218=CARTESIAN_POINT('',(14.158538,4.25,22.0797691)); #234219=CARTESIAN_POINT('',(14.158538,4.24,22.0797691)); #234220=CARTESIAN_POINT('Origin',(14.160553,4.25,22.0760078)); #234221=CARTESIAN_POINT('',(14.160553,4.25,22.0760078)); #234222=CARTESIAN_POINT('',(14.8497645403994,4.25,20.7894909926029)); #234223=CARTESIAN_POINT('',(14.160553,4.24,22.0760078)); #234224=CARTESIAN_POINT('',(14.160553,4.25,22.0760078)); #234225=CARTESIAN_POINT('',(14.160553,4.24,22.0760078)); #234226=CARTESIAN_POINT('Origin',(14.16215,4.25,22.0720615)); #234227=CARTESIAN_POINT('',(14.16215,4.25,22.0720615)); #234228=CARTESIAN_POINT('',(14.4719296685199,4.25,21.3065740198005)); #234229=CARTESIAN_POINT('',(14.16215,4.24,22.0720615)); #234230=CARTESIAN_POINT('',(14.16215,4.25,22.0720615)); #234231=CARTESIAN_POINT('',(14.16215,4.24,22.0720615)); #234232=CARTESIAN_POINT('Origin',(14.163326,4.25,22.0679264)); #234233=CARTESIAN_POINT('',(14.163326,4.25,22.0679264)); #234234=CARTESIAN_POINT('',(14.2129668140342,4.25,21.8933773097681)); #234235=CARTESIAN_POINT('',(14.163326,4.24,22.0679264)); #234236=CARTESIAN_POINT('',(14.163326,4.25,22.0679264)); #234237=CARTESIAN_POINT('',(14.163326,4.24,22.0679264)); #234238=CARTESIAN_POINT('Origin',(14.164075,4.25,22.0635948)); #234239=CARTESIAN_POINT('',(14.164075,4.25,22.0635948)); #234240=CARTESIAN_POINT('',(14.0864758488035,4.25,22.5123644706592)); #234241=CARTESIAN_POINT('',(14.164075,4.24,22.0635948)); #234242=CARTESIAN_POINT('',(14.164075,4.25,22.0635948)); #234243=CARTESIAN_POINT('',(14.164075,4.24,22.0635948)); #234244=CARTESIAN_POINT('Origin',(14.164392,4.25,22.059063)); #234245=CARTESIAN_POINT('',(14.164392,4.25,22.059063)); #234246=CARTESIAN_POINT('',(14.0903614056949,4.25,23.1173969030629)); #234247=CARTESIAN_POINT('',(14.164392,4.24,22.059063)); #234248=CARTESIAN_POINT('',(14.164392,4.25,22.059063)); #234249=CARTESIAN_POINT('',(14.164392,4.24,22.059063)); #234250=CARTESIAN_POINT('Origin',(14.0750775583812,4.25,22.0607151697645)); #234251=CARTESIAN_POINT('',(14.163721,4.25,22.0496635)); #234252=CARTESIAN_POINT('Origin',(14.0750775583812,4.25,22.0607151697645)); #234253=CARTESIAN_POINT('',(14.163721,4.24,22.0496635)); #234254=CARTESIAN_POINT('',(14.163721,4.25,22.0496635)); #234255=CARTESIAN_POINT('Origin',(14.0750775583812,4.24,22.0607151697645)); #234256=CARTESIAN_POINT('Origin',(14.162741,4.25,22.0452843)); #234257=CARTESIAN_POINT('',(14.162741,4.25,22.0452843)); #234258=CARTESIAN_POINT('',(14.7550831981652,4.25,24.6922077226603)); #234259=CARTESIAN_POINT('',(14.162741,4.24,22.0452843)); #234260=CARTESIAN_POINT('',(14.162741,4.25,22.0452843)); #234261=CARTESIAN_POINT('',(14.162741,4.24,22.0452843)); #234262=CARTESIAN_POINT('Origin',(14.161335,4.25,22.0411453)); #234263=CARTESIAN_POINT('',(14.161335,4.25,22.0411453)); #234264=CARTESIAN_POINT('',(15.2139376033322,4.25,25.139809720479)); #234265=CARTESIAN_POINT('',(14.161335,4.24,22.0411453)); #234266=CARTESIAN_POINT('',(14.161335,4.25,22.0411453)); #234267=CARTESIAN_POINT('',(14.161335,4.24,22.0411453)); #234268=CARTESIAN_POINT('Origin',(14.159511,4.25,22.0372562)); #234269=CARTESIAN_POINT('',(14.159511,4.25,22.0372562)); #234270=CARTESIAN_POINT('',(15.7784210238066,4.25,25.4890670407789)); #234271=CARTESIAN_POINT('',(14.159511,4.24,22.0372562)); #234272=CARTESIAN_POINT('',(14.159511,4.25,22.0372562)); #234273=CARTESIAN_POINT('',(14.159511,4.24,22.0372562)); #234274=CARTESIAN_POINT('Origin',(14.157271,4.25,22.0336151)); #234275=CARTESIAN_POINT('',(14.157271,4.25,22.0336151)); #234276=CARTESIAN_POINT('',(16.4175072898867,4.25,25.7076090085299)); #234277=CARTESIAN_POINT('',(14.157271,4.24,22.0336151)); #234278=CARTESIAN_POINT('',(14.157271,4.25,22.0336151)); #234279=CARTESIAN_POINT('',(14.157271,4.24,22.0336151)); #234280=CARTESIAN_POINT('Origin',(14.154622,4.25,22.0302296)); #234281=CARTESIAN_POINT('',(14.154622,4.25,22.0302296)); #234282=CARTESIAN_POINT('',(17.0863966796523,4.25,25.7771239669176)); #234283=CARTESIAN_POINT('',(14.154622,4.24,22.0302296)); #234284=CARTESIAN_POINT('',(14.154622,4.25,22.0302296)); #234285=CARTESIAN_POINT('',(14.154622,4.24,22.0302296)); #234286=CARTESIAN_POINT('Origin',(14.151567,4.25,22.0271072)); #234287=CARTESIAN_POINT('',(14.151567,4.25,22.0271072)); #234288=CARTESIAN_POINT('',(17.7431825751795,4.25,25.6979616916356)); #234289=CARTESIAN_POINT('',(14.151567,4.24,22.0271072)); #234290=CARTESIAN_POINT('',(14.151567,4.25,22.0271072)); #234291=CARTESIAN_POINT('',(14.151567,4.24,22.0271072)); #234292=CARTESIAN_POINT('Origin',(14.147931,4.25,22.0241985)); #234293=CARTESIAN_POINT('',(14.147931,4.25,22.0241985)); #234294=CARTESIAN_POINT('',(18.4262861474738,4.25,25.4467649514436)); #234295=CARTESIAN_POINT('',(14.147931,4.24,22.0241985)); #234296=CARTESIAN_POINT('',(14.147931,4.25,22.0241985)); #234297=CARTESIAN_POINT('',(14.147931,4.24,22.0241985)); #234298=CARTESIAN_POINT('Origin',(14.143704,4.25,22.0216675)); #234299=CARTESIAN_POINT('',(14.143704,4.25,22.0216675)); #234300=CARTESIAN_POINT('',(19.0901086427215,4.25,24.983425283471)); #234301=CARTESIAN_POINT('',(14.143704,4.24,22.0216675)); #234302=CARTESIAN_POINT('',(14.143704,4.25,22.0216675)); #234303=CARTESIAN_POINT('',(14.143704,4.24,22.0216675)); #234304=CARTESIAN_POINT('Origin',(14.138903,4.25,22.0195293)); #234305=CARTESIAN_POINT('',(14.138903,4.25,22.0195293)); #234306=CARTESIAN_POINT('',(19.5582257572186,4.25,24.4331089541313)); #234307=CARTESIAN_POINT('',(14.138903,4.24,22.0195293)); #234308=CARTESIAN_POINT('',(14.138903,4.25,22.0195293)); #234309=CARTESIAN_POINT('',(14.138903,4.24,22.0195293)); #234310=CARTESIAN_POINT('Origin',(14.133521,4.25,22.0177784)); #234311=CARTESIAN_POINT('',(14.133521,4.25,22.0177784)); #234312=CARTESIAN_POINT('',(19.8471464720126,4.25,23.8765645090571)); #234313=CARTESIAN_POINT('',(14.133521,4.24,22.0177784)); #234314=CARTESIAN_POINT('',(14.133521,4.25,22.0177784)); #234315=CARTESIAN_POINT('',(14.133521,4.24,22.0177784)); #234316=CARTESIAN_POINT('Origin',(14.1072749975957,4.25,22.1243384543527)); #234317=CARTESIAN_POINT('',(14.106032,4.25,22.0146008)); #234318=CARTESIAN_POINT('Origin',(14.1072749975957,4.25,22.1243384543527)); #234319=CARTESIAN_POINT('',(14.106032,4.24,22.0146008)); #234320=CARTESIAN_POINT('',(14.106032,4.25,22.0146008)); #234321=CARTESIAN_POINT('Origin',(14.1072749975957,4.24,22.1243384543527)); #234322=CARTESIAN_POINT('Origin',(13.944328,4.25,22.0145969)); #234323=CARTESIAN_POINT('',(13.944328,4.25,22.0145969)); #234324=CARTESIAN_POINT('',(19.8721999977395,4.25,22.0147398692574)); #234325=CARTESIAN_POINT('',(13.944328,4.24,22.0145969)); #234326=CARTESIAN_POINT('',(13.944328,4.25,22.0145969)); #234327=CARTESIAN_POINT('',(13.944328,4.24,22.0145969)); #234328=CARTESIAN_POINT('Origin',(13.944304,4.25,21.5615978)); #234329=CARTESIAN_POINT('',(13.944304,4.25,21.5615978)); #234330=CARTESIAN_POINT('',(13.9443951003216,4.25,23.2811129539696)); #234331=CARTESIAN_POINT('',(13.944304,4.24,21.5615978)); #234332=CARTESIAN_POINT('',(13.944304,4.25,21.5615978)); #234333=CARTESIAN_POINT('',(13.944304,4.24,21.5615978)); #234334=CARTESIAN_POINT('Origin',(14.216933,4.25,21.5615978)); #234335=CARTESIAN_POINT('',(14.216933,4.25,21.5615978)); #234336=CARTESIAN_POINT('',(20.0084665,4.25,21.5615978)); #234337=CARTESIAN_POINT('',(14.216933,4.24,21.5615978)); #234338=CARTESIAN_POINT('',(14.216933,4.25,21.5615978)); #234339=CARTESIAN_POINT('',(13.947436,4.24,21.5615978)); #234340=CARTESIAN_POINT('Origin',(13.7777064132783,4.25,22.3560692358443)); #234341=CARTESIAN_POINT('',(14.604935,4.25,21.9821739)); #234342=CARTESIAN_POINT('Origin',(13.7777064132783,4.25,22.3560692358443)); #234343=CARTESIAN_POINT('',(14.604935,4.24,21.9821739)); #234344=CARTESIAN_POINT('',(14.604935,4.25,21.9821739)); #234345=CARTESIAN_POINT('Origin',(13.7777064132783,4.24,22.3560692358443)); #234346=CARTESIAN_POINT('Origin',(14.673787,4.25,22.1013985)); #234347=CARTESIAN_POINT('',(14.673787,4.25,22.1013985)); #234348=CARTESIAN_POINT('',(16.69274444399,4.25,25.5974391912906)); #234349=CARTESIAN_POINT('',(14.673787,4.24,22.1013985)); #234350=CARTESIAN_POINT('',(14.673787,4.25,22.1013985)); #234351=CARTESIAN_POINT('',(14.673787,4.24,22.1013985)); #234352=CARTESIAN_POINT('Origin',(14.7326000099346,4.25,20.545018527257)); #234353=CARTESIAN_POINT('',(14.799534,4.25,22.1010704)); #234354=CARTESIAN_POINT('Origin',(14.7326000099346,4.25,20.545018527257)); #234355=CARTESIAN_POINT('',(14.799534,4.24,22.1010704)); #234356=CARTESIAN_POINT('',(14.799534,4.25,22.1010704)); #234357=CARTESIAN_POINT('Origin',(14.7326000099346,4.24,20.545018527257)); #234358=CARTESIAN_POINT('Origin',(14.7887594540116,4.25,21.9742443091397)); #234359=CARTESIAN_POINT('',(14.812665,4.25,22.0992622)); #234360=CARTESIAN_POINT('Origin',(14.7887594540116,4.25,21.9742443091397)); #234361=CARTESIAN_POINT('',(14.812665,4.24,22.0992622)); #234362=CARTESIAN_POINT('',(14.812665,4.25,22.0992622)); #234363=CARTESIAN_POINT('Origin',(14.7887594540116,4.24,21.9742443091397)); #234364=CARTESIAN_POINT('Origin',(14.818395,4.25,22.0977764)); #234365=CARTESIAN_POINT('',(19.61069895641,4.25,20.8551227842173)); #234366=CARTESIAN_POINT('',(14.818395,4.24,22.0977764)); #234367=CARTESIAN_POINT('Origin',(14.264937,4.24,21.4979810002359)); #234368=CARTESIAN_POINT('Origin',(18.832403,4.25,4.08115)); #234369=CARTESIAN_POINT('',(18.832403,4.25,4.08115)); #234370=CARTESIAN_POINT('',(19.726358,4.25,4.081295)); #234371=CARTESIAN_POINT('',(22.3178979328734,4.25,4.08171534922368)); #234372=CARTESIAN_POINT('',(18.832403,4.24,4.08115)); #234373=CARTESIAN_POINT('',(18.832403,4.25,4.08115)); #234374=CARTESIAN_POINT('',(19.726358,4.24,4.081295)); #234375=CARTESIAN_POINT('',(19.726358,4.24,4.081295)); #234376=CARTESIAN_POINT('',(19.726358,4.25,4.081295)); #234377=CARTESIAN_POINT('Origin',(18.832403,4.25,3.577087)); #234378=CARTESIAN_POINT('',(18.832403,4.25,3.577087)); #234379=CARTESIAN_POINT('',(18.832403,4.25,14.2885435)); #234380=CARTESIAN_POINT('',(18.832403,4.24,3.577087)); #234381=CARTESIAN_POINT('',(18.832403,4.25,3.577087)); #234382=CARTESIAN_POINT('',(18.832403,4.24,4.08115)); #234383=CARTESIAN_POINT('Origin',(18.83128,4.25,3.565613)); #234384=CARTESIAN_POINT('',(18.83128,4.25,3.565613)); #234385=CARTESIAN_POINT('',(19.9033168909922,4.25,14.5189088924884)); #234386=CARTESIAN_POINT('',(18.83128,4.24,3.565613)); #234387=CARTESIAN_POINT('',(18.83128,4.25,3.565613)); #234388=CARTESIAN_POINT('',(18.832403,4.24,3.577087)); #234389=CARTESIAN_POINT('Origin',(18.827095,4.25,3.553925)); #234390=CARTESIAN_POINT('',(18.827095,4.25,3.553925)); #234391=CARTESIAN_POINT('',(22.6264631048386,4.25,14.1649200798896)); #234392=CARTESIAN_POINT('',(18.827095,4.24,3.553925)); #234393=CARTESIAN_POINT('',(18.827095,4.25,3.553925)); #234394=CARTESIAN_POINT('',(18.83128,4.24,3.565613)); #234395=CARTESIAN_POINT('Origin',(18.82016,4.25,3.544266)); #234396=CARTESIAN_POINT('',(18.82016,4.25,3.544266)); #234397=CARTESIAN_POINT('',(25.0896965048422,4.25,12.2764149690358)); #234398=CARTESIAN_POINT('',(18.82016,4.24,3.544266)); #234399=CARTESIAN_POINT('',(18.82016,4.25,3.544266)); #234400=CARTESIAN_POINT('',(18.827095,4.24,3.553925)); #234401=CARTESIAN_POINT('Origin',(18.810837,4.25,3.536453)); #234402=CARTESIAN_POINT('',(18.810837,4.25,3.536453)); #234403=CARTESIAN_POINT('',(26.1468904766779,4.25,9.68432233532858)); #234404=CARTESIAN_POINT('',(18.810837,4.24,3.536453)); #234405=CARTESIAN_POINT('',(18.810837,4.25,3.536453)); #234406=CARTESIAN_POINT('',(18.82016,4.24,3.544266)); #234407=CARTESIAN_POINT('Origin',(18.79949,4.25,3.530312)); #234408=CARTESIAN_POINT('',(18.79949,4.25,3.530312)); #234409=CARTESIAN_POINT('',(26.0003345664211,4.25,7.42741136392127)); #234410=CARTESIAN_POINT('',(18.79949,4.24,3.530312)); #234411=CARTESIAN_POINT('',(18.79949,4.25,3.530312)); #234412=CARTESIAN_POINT('',(18.810837,4.24,3.536453)); #234413=CARTESIAN_POINT('Origin',(18.7119483208525,4.25,3.67955443657111)); #234414=CARTESIAN_POINT('',(18.578957,4.25,3.568874)); #234415=CARTESIAN_POINT('Origin',(18.7119483208525,4.25,3.67955443657111)); #234416=CARTESIAN_POINT('',(18.578957,4.24,3.568874)); #234417=CARTESIAN_POINT('',(18.578957,4.25,3.568874)); #234418=CARTESIAN_POINT('Origin',(18.7119483208525,4.24,3.67955443657111)); #234419=CARTESIAN_POINT('Origin',(22.7930531133599,4.25,3.990227452788)); #234420=CARTESIAN_POINT('',(18.55949,4.25,3.875824)); #234421=CARTESIAN_POINT('Origin',(22.7930531133599,4.25,3.990227452788)); #234422=CARTESIAN_POINT('',(18.55949,4.24,3.875824)); #234423=CARTESIAN_POINT('',(18.55949,4.25,3.875824)); #234424=CARTESIAN_POINT('Origin',(22.7930531133599,4.24,3.990227452788)); #234425=CARTESIAN_POINT('Origin',(18.55208,4.25,3.91391)); #234426=CARTESIAN_POINT('',(18.55208,4.25,3.91391)); #234427=CARTESIAN_POINT('',(16.7078196427203,4.25,13.3930597931672)); #234428=CARTESIAN_POINT('',(18.55208,4.24,3.91391)); #234429=CARTESIAN_POINT('',(18.55208,4.25,3.91391)); #234430=CARTESIAN_POINT('',(18.55949,4.24,3.875824)); #234431=CARTESIAN_POINT('Origin',(18.3502022283289,4.25,3.88128652826299)); #234432=CARTESIAN_POINT('',(18.471474,4.25,4.045944)); #234433=CARTESIAN_POINT('Origin',(18.3502022283289,4.25,3.88128652826299)); #234434=CARTESIAN_POINT('',(18.471474,4.24,4.045944)); #234435=CARTESIAN_POINT('',(18.471474,4.25,4.045944)); #234436=CARTESIAN_POINT('Origin',(18.3502022283289,4.24,3.88128652826299)); #234437=CARTESIAN_POINT('Origin',(18.3542223090594,4.25,3.87879936335029)); #234438=CARTESIAN_POINT('',(18.320644,4.25,4.080189)); #234439=CARTESIAN_POINT('Origin',(18.3542223090594,4.25,3.87879936335029)); #234440=CARTESIAN_POINT('',(18.320644,4.24,4.080189)); #234441=CARTESIAN_POINT('',(18.320644,4.25,4.080189)); #234442=CARTESIAN_POINT('Origin',(18.3542223090594,4.24,3.87879936335029)); #234443=CARTESIAN_POINT('Origin',(18.2705079038684,4.25,2.52564489716105)); #234444=CARTESIAN_POINT('',(18.247698,4.25,4.08083)); #234445=CARTESIAN_POINT('Origin',(18.2705079038684,4.25,2.52564489716105)); #234446=CARTESIAN_POINT('',(18.247698,4.24,4.08083)); #234447=CARTESIAN_POINT('',(18.247698,4.25,4.08083)); #234448=CARTESIAN_POINT('Origin',(18.2705079038684,4.24,2.52564489716105)); #234449=CARTESIAN_POINT('Origin',(18.230509,4.25,4.081413)); #234450=CARTESIAN_POINT('',(18.230509,4.25,4.081413)); #234451=CARTESIAN_POINT('',(21.656565007354,4.25,3.96521132146782)); #234452=CARTESIAN_POINT('',(18.230509,4.24,4.081413)); #234453=CARTESIAN_POINT('',(18.230509,4.25,4.081413)); #234454=CARTESIAN_POINT('',(18.247698,4.24,4.08083)); #234455=CARTESIAN_POINT('Origin',(18.2256092126799,4.25,4.19147730431838)); #234456=CARTESIAN_POINT('',(18.161974,4.25,4.10153999999999)); #234457=CARTESIAN_POINT('Origin',(18.2256092126799,4.25,4.19147730431838)); #234458=CARTESIAN_POINT('',(18.161974,4.24,4.10153999999999)); #234459=CARTESIAN_POINT('',(18.161974,4.25,4.10153999999999)); #234460=CARTESIAN_POINT('Origin',(18.2256092126799,4.24,4.19147730431838)); #234461=CARTESIAN_POINT('Origin',(18.153307,4.25,4.111061)); #234462=CARTESIAN_POINT('',(18.153307,4.25,4.111061)); #234463=CARTESIAN_POINT('',(14.6865949427439,4.25,7.91936439184814)); #234464=CARTESIAN_POINT('',(18.153307,4.24,4.111061)); #234465=CARTESIAN_POINT('',(18.153307,4.25,4.111061)); #234466=CARTESIAN_POINT('',(18.161974,4.24,4.10154)); #234467=CARTESIAN_POINT('Origin',(18.146843,4.25,4.1231)); #234468=CARTESIAN_POINT('',(18.146843,4.25,4.1231)); #234469=CARTESIAN_POINT('',(14.6526738471385,4.25,10.6308819355343)); #234470=CARTESIAN_POINT('',(18.146843,4.24,4.1231)); #234471=CARTESIAN_POINT('',(18.146843,4.25,4.1231)); #234472=CARTESIAN_POINT('',(18.153307,4.24,4.111061)); #234473=CARTESIAN_POINT('Origin',(18.2430003392178,4.25,4.15589916844552)); #234474=CARTESIAN_POINT('',(18.141403,4.25,4.155899)); #234475=CARTESIAN_POINT('Origin',(18.2430003392178,4.25,4.15589916844552)); #234476=CARTESIAN_POINT('',(18.141403,4.24,4.155899)); #234477=CARTESIAN_POINT('',(18.141403,4.25,4.155899)); #234478=CARTESIAN_POINT('Origin',(18.2430003392178,4.24,4.15589916844552)); #234479=CARTESIAN_POINT('Origin',(18.139616,4.25,4.301189)); #234480=CARTESIAN_POINT('',(18.139616,4.25,4.301189)); #234481=CARTESIAN_POINT('',(18.0129216773888,4.25,14.6019266229368)); #234482=CARTESIAN_POINT('',(18.139616,4.24,4.301189)); #234483=CARTESIAN_POINT('',(18.139616,4.25,4.301189)); #234484=CARTESIAN_POINT('',(18.141403,4.24,4.155899)); #234485=CARTESIAN_POINT('Origin',(18.2358123552777,4.25,4.33511471011175)); #234486=CARTESIAN_POINT('',(18.143932,4.25,4.379417)); #234487=CARTESIAN_POINT('Origin',(18.2358123552777,4.25,4.33511471011175)); #234488=CARTESIAN_POINT('',(18.143932,4.24,4.379417)); #234489=CARTESIAN_POINT('',(18.143932,4.25,4.379417)); #234490=CARTESIAN_POINT('Origin',(18.2358123552777,4.24,4.33511471011175)); #234491=CARTESIAN_POINT('Origin',(18.2376815655922,4.25,4.33343290162921)); #234492=CARTESIAN_POINT('',(18.20249,4.25,4.431744)); #234493=CARTESIAN_POINT('Origin',(18.2376815655922,4.25,4.33343290162921)); #234494=CARTESIAN_POINT('',(18.20249,4.24,4.431744)); #234495=CARTESIAN_POINT('',(18.20249,4.25,4.431744)); #234496=CARTESIAN_POINT('Origin',(18.2376815655922,4.24,4.33343290162921)); #234497=CARTESIAN_POINT('Origin',(18.3536174272161,4.25,3.24620083854777)); #234498=CARTESIAN_POINT('',(18.458904,4.25,4.436691)); #234499=CARTESIAN_POINT('Origin',(18.3536174272161,4.25,3.24620083854777)); #234500=CARTESIAN_POINT('',(18.458904,4.24,4.436691)); #234501=CARTESIAN_POINT('',(18.458904,4.25,4.436691)); #234502=CARTESIAN_POINT('Origin',(18.3536174272161,4.24,3.24620083854777)); #234503=CARTESIAN_POINT('Origin',(18.458904,4.25,5.454277)); #234504=CARTESIAN_POINT('',(18.458904,4.25,5.454277)); #234505=CARTESIAN_POINT('',(18.458904,4.25,15.2271385)); #234506=CARTESIAN_POINT('',(18.458904,4.24,5.454277)); #234507=CARTESIAN_POINT('',(18.458904,4.25,5.454277)); #234508=CARTESIAN_POINT('',(18.458904,4.24,4.436691)); #234509=CARTESIAN_POINT('Origin',(19.2696404291793,4.25,5.56264243436649)); #234510=CARTESIAN_POINT('',(18.672129,4.25,6.121227)); #234511=CARTESIAN_POINT('Origin',(19.2696404291793,4.25,5.56264243436649)); #234512=CARTESIAN_POINT('',(18.672129,4.24,6.121227)); #234513=CARTESIAN_POINT('',(18.672129,4.25,6.121227)); #234514=CARTESIAN_POINT('Origin',(19.2696404291793,4.24,5.56264243436649)); #234515=CARTESIAN_POINT('Origin',(19.2863153881573,4.25,5.16986395231314)); #234516=CARTESIAN_POINT('',(19.418003,4.25,6.294575)); #234517=CARTESIAN_POINT('Origin',(19.2863153881573,4.25,5.16986395231314)); #234518=CARTESIAN_POINT('',(19.418003,4.24,6.294575)); #234519=CARTESIAN_POINT('',(19.418003,4.25,6.294575)); #234520=CARTESIAN_POINT('Origin',(19.2863153881573,4.24,5.16986395231314)); #234521=CARTESIAN_POINT('Origin',(19.5724017759247,4.25,5.32134102278165)); #234522=CARTESIAN_POINT('',(19.77026,4.25,6.286678)); #234523=CARTESIAN_POINT('Origin',(19.5724017759247,4.25,5.32134102278165)); #234524=CARTESIAN_POINT('',(19.77026,4.24,6.286678)); #234525=CARTESIAN_POINT('',(19.77026,4.25,6.286678)); #234526=CARTESIAN_POINT('Origin',(19.5724017759247,4.24,5.32134102278165)); #234527=CARTESIAN_POINT('Origin',(19.783278,4.25,6.279839)); #234528=CARTESIAN_POINT('',(19.783278,4.25,6.279839)); #234529=CARTESIAN_POINT('',(18.287224270567,4.25,7.06579010274887)); #234530=CARTESIAN_POINT('',(19.783278,4.24,6.279839)); #234531=CARTESIAN_POINT('',(19.783278,4.25,6.279839)); #234532=CARTESIAN_POINT('',(19.77026,4.24,6.286678)); #234533=CARTESIAN_POINT('Origin',(19.793652,4.25,6.270798)); #234534=CARTESIAN_POINT('',(19.793652,4.25,6.270798)); #234535=CARTESIAN_POINT('',(16.8621009577605,4.25,8.82566140590806)); #234536=CARTESIAN_POINT('',(19.793652,4.24,6.270798)); #234537=CARTESIAN_POINT('',(19.793652,4.25,6.270798)); #234538=CARTESIAN_POINT('',(19.783278,4.24,6.279839)); #234539=CARTESIAN_POINT('Origin',(19.801664,4.25,6.259521)); #234540=CARTESIAN_POINT('',(19.801664,4.25,6.259521)); #234541=CARTESIAN_POINT('',(16.3836001371842,4.25,11.0704928148983)); #234542=CARTESIAN_POINT('',(19.801664,4.24,6.259521)); #234543=CARTESIAN_POINT('',(19.801664,4.25,6.259521)); #234544=CARTESIAN_POINT('',(19.793652,4.24,6.270798)); #234545=CARTESIAN_POINT('Origin',(19.807589,4.25,6.245975)); #234546=CARTESIAN_POINT('',(19.807589,4.25,6.245975)); #234547=CARTESIAN_POINT('',(16.8459394461946,4.25,13.0170306718744)); #234548=CARTESIAN_POINT('',(19.807589,4.24,6.245975)); #234549=CARTESIAN_POINT('',(19.807589,4.25,6.245975)); #234550=CARTESIAN_POINT('',(19.801664,4.24,6.259521)); #234551=CARTESIAN_POINT('Origin',(19.3248102691864,4.25,6.14273815586957)); #234552=CARTESIAN_POINT('',(19.784243,4.25,5.962032)); #234553=CARTESIAN_POINT('Origin',(19.3248102691864,4.25,6.14273815586957)); #234554=CARTESIAN_POINT('',(19.784243,4.24,5.962032)); #234555=CARTESIAN_POINT('',(19.784243,4.25,5.962032)); #234556=CARTESIAN_POINT('Origin',(19.3248102691864,4.24,6.14273815586957)); #234557=CARTESIAN_POINT('Origin',(19.5479811291023,4.25,6.65342033851379)); #234558=CARTESIAN_POINT('',(19.388046,4.25,5.940498)); #234559=CARTESIAN_POINT('Origin',(19.5479811291023,4.25,6.65342033851379)); #234560=CARTESIAN_POINT('',(19.388046,4.24,5.940498)); #234561=CARTESIAN_POINT('',(19.388046,4.25,5.940498)); #234562=CARTESIAN_POINT('Origin',(19.5479811291023,4.24,6.65342033851379)); #234563=CARTESIAN_POINT('Origin',(19.268187,4.25,5.940948)); #234564=CARTESIAN_POINT('',(19.268187,4.25,5.940948)); #234565=CARTESIAN_POINT('',(22.4982702086526,4.25,5.92882093867047)); #234566=CARTESIAN_POINT('',(19.268187,4.24,5.940948)); #234567=CARTESIAN_POINT('',(19.268187,4.25,5.940948)); #234568=CARTESIAN_POINT('',(19.388046,4.24,5.940498)); #234569=CARTESIAN_POINT('Origin',(19.2006366165132,4.25,5.58359085999337)); #234570=CARTESIAN_POINT('',(18.986172,4.25,5.877312)); #234571=CARTESIAN_POINT('Origin',(19.2006366165132,4.25,5.58359085999337)); #234572=CARTESIAN_POINT('',(18.986172,4.24,5.877312)); #234573=CARTESIAN_POINT('',(18.986172,4.25,5.877312)); #234574=CARTESIAN_POINT('Origin',(19.2006366165132,4.24,5.58359085999337)); #234575=CARTESIAN_POINT('Origin',(19.2041443529984,4.25,5.58666162572155)); #234576=CARTESIAN_POINT('',(18.842997,4.25,5.626186)); #234577=CARTESIAN_POINT('Origin',(19.2041443529984,4.25,5.58666162572155)); #234578=CARTESIAN_POINT('',(18.842997,4.24,5.626186)); #234579=CARTESIAN_POINT('',(18.842997,4.25,5.626186)); #234580=CARTESIAN_POINT('Origin',(19.2041443529984,4.24,5.58666162572155)); #234581=CARTESIAN_POINT('Origin',(20.4228946987303,4.25,5.44332999308428)); #234582=CARTESIAN_POINT('',(18.832502,4.25,5.430519)); #234583=CARTESIAN_POINT('Origin',(20.4228946987303,4.25,5.44332999308428)); #234584=CARTESIAN_POINT('',(18.832502,4.24,5.430519)); #234585=CARTESIAN_POINT('',(18.832502,4.25,5.430519)); #234586=CARTESIAN_POINT('Origin',(20.4228946987303,4.24,5.44332999308428)); #234587=CARTESIAN_POINT('Origin',(18.832403,4.25,4.437424)); #234588=CARTESIAN_POINT('',(18.832403,4.25,4.437424)); #234589=CARTESIAN_POINT('',(18.8334279592275,4.25,14.7190591919409)); #234590=CARTESIAN_POINT('',(18.832403,4.24,4.437424)); #234591=CARTESIAN_POINT('',(18.832403,4.25,4.437424)); #234592=CARTESIAN_POINT('',(18.832502,4.24,5.430519)); #234593=CARTESIAN_POINT('Origin',(18.83263,4.25,4.435997)); #234594=CARTESIAN_POINT('',(18.83263,4.25,4.435997)); #234595=CARTESIAN_POINT('',(17.3233666020491,4.25,13.9237453210715)); #234596=CARTESIAN_POINT('',(18.83263,4.24,4.435997)); #234597=CARTESIAN_POINT('',(18.83263,4.25,4.435997)); #234598=CARTESIAN_POINT('',(18.832403,4.24,4.437424)); #234599=CARTESIAN_POINT('Origin',(19.710056,4.25,4.436001)); #234600=CARTESIAN_POINT('',(19.710056,4.25,4.436001)); #234601=CARTESIAN_POINT('',(22.7550748734029,4.25,4.43601488159855)); #234602=CARTESIAN_POINT('',(19.710056,4.24,4.436001)); #234603=CARTESIAN_POINT('',(19.710056,4.25,4.436001)); #234604=CARTESIAN_POINT('',(18.83263,4.24,4.435997)); #234605=CARTESIAN_POINT('Origin',(19.7247784208296,4.25,4.33538420579308)); #234606=CARTESIAN_POINT('',(19.792536,4.25,4.411209)); #234607=CARTESIAN_POINT('Origin',(19.7247784208296,4.25,4.33538420579308)); #234608=CARTESIAN_POINT('',(19.792536,4.24,4.411209)); #234609=CARTESIAN_POINT('',(19.792536,4.25,4.411209)); #234610=CARTESIAN_POINT('Origin',(19.7247784208296,4.24,4.33538420579308)); #234611=CARTESIAN_POINT('Origin',(19.800934,4.25,4.399742)); #234612=CARTESIAN_POINT('',(19.800934,4.25,4.399742)); #234613=CARTESIAN_POINT('',(15.9381452289078,4.25,9.6741643431919)); #234614=CARTESIAN_POINT('',(19.800934,4.24,4.399742)); #234615=CARTESIAN_POINT('',(19.800934,4.25,4.399742)); #234616=CARTESIAN_POINT('',(19.792536,4.24,4.411209)); #234617=CARTESIAN_POINT('Origin',(19.807133,4.25,4.385986)); #234618=CARTESIAN_POINT('',(19.807133,4.25,4.385986)); #234619=CARTESIAN_POINT('',(16.4521977970173,4.25,11.8308139806777)); #234620=CARTESIAN_POINT('',(19.807133,4.24,4.385986)); #234621=CARTESIAN_POINT('',(19.807133,4.25,4.385986)); #234622=CARTESIAN_POINT('',(19.800934,4.24,4.399742)); #234623=CARTESIAN_POINT('Origin',(19.3925968472438,4.25,4.2713281539617)); #234624=CARTESIAN_POINT('',(19.78562,4.25,4.09663)); #234625=CARTESIAN_POINT('Origin',(19.3925968472438,4.25,4.2713281539617)); #234626=CARTESIAN_POINT('',(19.78562,4.24,4.09663)); #234627=CARTESIAN_POINT('',(19.78562,4.25,4.09663)); #234628=CARTESIAN_POINT('Origin',(19.3925968472438,4.24,4.2713281539617)); #234629=CARTESIAN_POINT('Origin',(19.771553,4.25,4.089325)); #234630=CARTESIAN_POINT('',(19.771553,4.25,4.089325)); #234631=CARTESIAN_POINT('',(26.4218364949586,4.25,7.54282048096045)); #234632=CARTESIAN_POINT('',(19.771553,4.24,4.089325)); #234633=CARTESIAN_POINT('',(19.771553,4.25,4.089325)); #234634=CARTESIAN_POINT('',(19.78562,4.24,4.09663)); #234635=CARTESIAN_POINT('Origin',(19.7246564353825,4.25,4.22207167190413)); #234636=CARTESIAN_POINT('Origin',(19.7246564353825,4.25,4.22207167190413)); #234637=CARTESIAN_POINT('Origin',(19.7246564353825,4.24,4.22207167190413)); #234638=CARTESIAN_POINT('Origin',(18.9782532720867,4.24,4.90663897268883)); #234639=CARTESIAN_POINT('Origin',(17.853527,4.25,3.343662)); #234640=CARTESIAN_POINT('',(17.853527,4.25,3.343662)); #234641=CARTESIAN_POINT('',(17.856157,4.25,3.34951000000001)); #234642=CARTESIAN_POINT('',(22.5724271520522,4.25,13.8364863685197)); #234643=CARTESIAN_POINT('',(17.853527,4.24,3.343662)); #234644=CARTESIAN_POINT('',(17.853527,4.25,3.343662)); #234645=CARTESIAN_POINT('',(17.856157,4.24,3.34951000000001)); #234646=CARTESIAN_POINT('',(17.856157,4.24,3.34951)); #234647=CARTESIAN_POINT('',(17.856157,4.25,3.34951000000001)); #234648=CARTESIAN_POINT('Origin',(17.7804223134617,4.25,3.38650967070395)); #234649=CARTESIAN_POINT('',(17.846621,4.25,3.333614)); #234650=CARTESIAN_POINT('Origin',(17.7804223134617,4.25,3.38650967070395)); #234651=CARTESIAN_POINT('',(17.846621,4.24,3.333614)); #234652=CARTESIAN_POINT('',(17.846621,4.25,3.333614)); #234653=CARTESIAN_POINT('Origin',(17.7804223134617,4.24,3.38650967070395)); #234654=CARTESIAN_POINT('Origin',(17.84234,4.25,3.329414)); #234655=CARTESIAN_POINT('',(17.84234,4.25,3.329414)); #234656=CARTESIAN_POINT('',(25.2864106134223,4.25,10.6326367461773)); #234657=CARTESIAN_POINT('',(17.84234,4.24,3.329414)); #234658=CARTESIAN_POINT('',(17.84234,4.25,3.329414)); #234659=CARTESIAN_POINT('',(17.846621,4.24,3.333614)); #234660=CARTESIAN_POINT('Origin',(17.837515,4.25,3.325764)); #234661=CARTESIAN_POINT('',(17.837515,4.25,3.325764)); #234662=CARTESIAN_POINT('',(25.5838756742343,4.25,9.18570523542387)); #234663=CARTESIAN_POINT('',(17.837515,4.24,3.325764)); #234664=CARTESIAN_POINT('',(17.837515,4.25,3.325764)); #234665=CARTESIAN_POINT('',(17.84234,4.24,3.329414)); #234666=CARTESIAN_POINT('Origin',(17.832136,4.25,3.322666)); #234667=CARTESIAN_POINT('',(17.832136,4.25,3.322666)); #234668=CARTESIAN_POINT('',(25.5112739109375,4.25,7.74541544191878)); #234669=CARTESIAN_POINT('',(17.832136,4.24,3.322666)); #234670=CARTESIAN_POINT('',(17.832136,4.25,3.322666)); #234671=CARTESIAN_POINT('',(17.837515,4.24,3.325764)); #234672=CARTESIAN_POINT('Origin',(17.7953535178355,4.25,3.40725335234693)); #234673=CARTESIAN_POINT('',(17.812708,4.25,3.316662)); #234674=CARTESIAN_POINT('Origin',(17.7953535178355,4.25,3.40725335234693)); #234675=CARTESIAN_POINT('',(17.812708,4.24,3.316662)); #234676=CARTESIAN_POINT('',(17.812708,4.25,3.316662)); #234677=CARTESIAN_POINT('Origin',(17.7953535178355,4.24,3.40725335234693)); #234678=CARTESIAN_POINT('Origin',(17.7707857261553,4.25,3.61796295830629)); #234679=CARTESIAN_POINT('',(17.746719,4.25,3.314713)); #234680=CARTESIAN_POINT('Origin',(17.7707857261553,4.25,3.61796295830629)); #234681=CARTESIAN_POINT('',(17.746719,4.24,3.314713)); #234682=CARTESIAN_POINT('',(17.746719,4.25,3.314713)); #234683=CARTESIAN_POINT('Origin',(17.7707857261553,4.24,3.61796295830629)); #234684=CARTESIAN_POINT('Origin',(17.683481,4.25,3.312542)); #234685=CARTESIAN_POINT('',(17.683481,4.25,3.312542)); #234686=CARTESIAN_POINT('',(22.1087968422542,4.25,3.46446585422583)); #234687=CARTESIAN_POINT('',(17.683481,4.24,3.312542)); #234688=CARTESIAN_POINT('',(17.683481,4.25,3.312542)); #234689=CARTESIAN_POINT('',(17.746719,4.24,3.314713)); #234690=CARTESIAN_POINT('Origin',(17.668766,4.25,3.312008)); #234691=CARTESIAN_POINT('',(17.668766,4.25,3.312008)); #234692=CARTESIAN_POINT('',(22.1220415557789,4.25,3.4736151455515)); #234693=CARTESIAN_POINT('',(17.668766,4.24,3.312008)); #234694=CARTESIAN_POINT('',(17.668766,4.25,3.312008)); #234695=CARTESIAN_POINT('',(17.683481,4.24,3.312542)); #234696=CARTESIAN_POINT('Origin',(17.6251396583782,4.25,3.61960677470151)); #234697=CARTESIAN_POINT('',(17.518126,4.25,3.327942)); #234698=CARTESIAN_POINT('Origin',(17.6251396583782,4.25,3.61960677470151)); #234699=CARTESIAN_POINT('',(17.518126,4.24,3.327942)); #234700=CARTESIAN_POINT('',(17.518126,4.25,3.327942)); #234701=CARTESIAN_POINT('Origin',(17.6251396583782,4.24,3.61960677470151)); #234702=CARTESIAN_POINT('Origin',(17.5855601767737,4.25,3.40985100251003)); #234703=CARTESIAN_POINT('',(17.487703,4.25,3.450844)); #234704=CARTESIAN_POINT('Origin',(17.5855601767737,4.25,3.40985100251003)); #234705=CARTESIAN_POINT('',(17.487703,4.24,3.450844)); #234706=CARTESIAN_POINT('',(17.487703,4.25,3.450844)); #234707=CARTESIAN_POINT('Origin',(17.5855601767737,4.24,3.40985100251003)); #234708=CARTESIAN_POINT('Origin',(17.487703,4.25,3.75347099999999)); #234709=CARTESIAN_POINT('',(17.487703,4.25,3.75347099999999)); #234710=CARTESIAN_POINT('',(17.487703,4.25,14.3767355)); #234711=CARTESIAN_POINT('',(17.487703,4.24,3.75347099999999)); #234712=CARTESIAN_POINT('',(17.487703,4.25,3.75347099999999)); #234713=CARTESIAN_POINT('',(17.487703,4.24,3.450844)); #234714=CARTESIAN_POINT('Origin',(17.5934086962342,4.25,3.76318735047303)); #234715=CARTESIAN_POINT('',(17.502516,4.25,3.81802)); #234716=CARTESIAN_POINT('Origin',(17.5934086962342,4.25,3.76318735047303)); #234717=CARTESIAN_POINT('',(17.502516,4.24,3.81802)); #234718=CARTESIAN_POINT('',(17.502516,4.25,3.81802)); #234719=CARTESIAN_POINT('Origin',(17.5934086962342,4.24,3.76318735047303)); #234720=CARTESIAN_POINT('Origin',(17.507019,4.25,3.823742)); #234721=CARTESIAN_POINT('',(17.507019,4.25,3.823742)); #234722=CARTESIAN_POINT('',(24.2385430539769,4.25,12.3775462720106)); #234723=CARTESIAN_POINT('',(17.507019,4.24,3.823742)); #234724=CARTESIAN_POINT('',(17.507019,4.25,3.823742)); #234725=CARTESIAN_POINT('',(17.502516,4.24,3.81802)); #234726=CARTESIAN_POINT('Origin',(17.512138,4.25,3.828796)); #234727=CARTESIAN_POINT('',(17.512138,4.25,3.828796)); #234728=CARTESIAN_POINT('',(24.9029487680245,4.25,11.1257597862039)); #234729=CARTESIAN_POINT('',(17.512138,4.24,3.828796)); #234730=CARTESIAN_POINT('',(17.512138,4.25,3.828796)); #234731=CARTESIAN_POINT('',(17.507019,4.24,3.823742)); #234732=CARTESIAN_POINT('Origin',(17.517878,4.25,3.833221)); #234733=CARTESIAN_POINT('',(17.517878,4.25,3.833221)); #234734=CARTESIAN_POINT('',(25.2327936098243,4.25,9.7806951417172)); #234735=CARTESIAN_POINT('',(17.517878,4.24,3.833221)); #234736=CARTESIAN_POINT('',(17.517878,4.25,3.833221)); #234737=CARTESIAN_POINT('',(17.512138,4.24,3.828796)); #234738=CARTESIAN_POINT('Origin',(17.524242,4.25,3.837048)); #234739=CARTESIAN_POINT('',(17.524242,4.25,3.837048)); #234740=CARTESIAN_POINT('',(25.2364064086508,4.25,8.47476848898882)); #234741=CARTESIAN_POINT('',(17.524242,4.24,3.837048)); #234742=CARTESIAN_POINT('',(17.524242,4.25,3.837048)); #234743=CARTESIAN_POINT('',(17.517878,4.24,3.833221)); #234744=CARTESIAN_POINT('Origin',(17.5716732046428,4.25,3.74276144384217)); #234745=CARTESIAN_POINT('',(17.538879,4.25,3.843082)); #234746=CARTESIAN_POINT('Origin',(17.5716732046428,4.25,3.74276144384217)); #234747=CARTESIAN_POINT('',(17.538879,4.24,3.843082)); #234748=CARTESIAN_POINT('',(17.538879,4.25,3.843082)); #234749=CARTESIAN_POINT('Origin',(17.5716732046428,4.24,3.74276144384217)); #234750=CARTESIAN_POINT('Origin',(17.6570216791263,4.25,3.47543193751166)); #234751=CARTESIAN_POINT('',(17.858683,4.25,3.80476)); #234752=CARTESIAN_POINT('Origin',(17.6570216791263,4.25,3.47543193751166)); #234753=CARTESIAN_POINT('',(17.858683,4.24,3.80476)); #234754=CARTESIAN_POINT('',(17.858683,4.25,3.80476)); #234755=CARTESIAN_POINT('Origin',(17.6570216791263,4.24,3.47543193751166)); #234756=CARTESIAN_POINT('Origin',(17.860384,4.25,3.799145)); #234757=CARTESIAN_POINT('',(17.860384,4.25,3.799145)); #234758=CARTESIAN_POINT('',(15.2527310185664,4.25,12.4070059586955)); #234759=CARTESIAN_POINT('',(17.860384,4.24,3.799145)); #234760=CARTESIAN_POINT('',(17.860384,4.25,3.799145)); #234761=CARTESIAN_POINT('',(17.858683,4.24,3.80476)); #234762=CARTESIAN_POINT('Origin',(17.861162,4.25,3.793369)); #234763=CARTESIAN_POINT('',(17.861162,4.25,3.793369)); #234764=CARTESIAN_POINT('',(16.5291286379163,4.25,13.6826038321145)); #234765=CARTESIAN_POINT('',(17.861162,4.24,3.793369)); #234766=CARTESIAN_POINT('',(17.861162,4.25,3.793369)); #234767=CARTESIAN_POINT('',(17.860384,4.24,3.799145)); #234768=CARTESIAN_POINT('Origin',(15.6010520990411,4.25,3.59689651459653)); #234769=CARTESIAN_POINT('Origin',(15.6010520990411,4.25,3.59689651459653)); #234770=CARTESIAN_POINT('Origin',(15.6010520990411,4.24,3.59689651459653)); #234771=CARTESIAN_POINT('Origin',(17.6745746988485,4.24,3.58526385613419)); #234772=CARTESIAN_POINT('Origin',(25.044126576638,4.25,12.2754358988419)); #234773=CARTESIAN_POINT('',(25.094255,4.25,12.325882)); #234774=CARTESIAN_POINT('',(25.087347,4.25,12.331913)); #234775=CARTESIAN_POINT('Origin',(25.044126576638,4.25,12.2754358988419)); #234776=CARTESIAN_POINT('',(25.094255,4.24,12.325882)); #234777=CARTESIAN_POINT('',(25.094255,4.25,12.325882)); #234778=CARTESIAN_POINT('',(25.087347,4.24,12.331913)); #234779=CARTESIAN_POINT('Origin',(25.044126576638,4.24,12.2754358988419)); #234780=CARTESIAN_POINT('',(25.087347,4.25,12.331913)); #234781=CARTESIAN_POINT('Origin',(25.0263622044183,4.25,12.2700187069425)); #234782=CARTESIAN_POINT('',(25.099434,4.25,12.318913)); #234783=CARTESIAN_POINT('Origin',(25.0263622044183,4.25,12.2700187069425)); #234784=CARTESIAN_POINT('',(25.099434,4.24,12.318913)); #234785=CARTESIAN_POINT('',(25.099434,4.25,12.318913)); #234786=CARTESIAN_POINT('Origin',(25.0263622044183,4.24,12.2700187069425)); #234787=CARTESIAN_POINT('Origin',(25.101408,4.25,12.315155)); #234788=CARTESIAN_POINT('',(25.101408,4.25,12.315155)); #234789=CARTESIAN_POINT('',(22.5658488558685,4.25,17.1422225094407)); #234790=CARTESIAN_POINT('',(25.101408,4.24,12.315155)); #234791=CARTESIAN_POINT('',(25.101408,4.25,12.315155)); #234792=CARTESIAN_POINT('',(25.101408,4.24,12.315155)); #234793=CARTESIAN_POINT('Origin',(25.102966,4.25,12.311211)); #234794=CARTESIAN_POINT('',(25.102966,4.25,12.311211)); #234795=CARTESIAN_POINT('',(22.9820844110406,4.25,17.6801179235302)); #234796=CARTESIAN_POINT('',(25.102966,4.24,12.311211)); #234797=CARTESIAN_POINT('',(25.102966,4.25,12.311211)); #234798=CARTESIAN_POINT('',(25.102966,4.24,12.311211)); #234799=CARTESIAN_POINT('Origin',(25.104105,4.25,12.307072)); #234800=CARTESIAN_POINT('',(25.104105,4.25,12.307072)); #234801=CARTESIAN_POINT('',(23.5050794769953,4.25,18.1177538610282)); #234802=CARTESIAN_POINT('',(25.104105,4.24,12.307072)); #234803=CARTESIAN_POINT('',(25.104105,4.25,12.307072)); #234804=CARTESIAN_POINT('',(25.104105,4.24,12.307072)); #234805=CARTESIAN_POINT('Origin',(25.104816,4.25,12.302734)); #234806=CARTESIAN_POINT('',(25.104816,4.25,12.302734)); #234807=CARTESIAN_POINT('',(24.1005868561529,4.25,18.4298029536019)); #234808=CARTESIAN_POINT('',(25.104816,4.24,12.302734)); #234809=CARTESIAN_POINT('',(25.104816,4.25,12.302734)); #234810=CARTESIAN_POINT('',(25.104816,4.24,12.302734)); #234811=CARTESIAN_POINT('Origin',(25.105099,4.25,12.298191)); #234812=CARTESIAN_POINT('',(25.105099,4.25,12.298191)); #234813=CARTESIAN_POINT('',(24.71235039124,4.25,18.6029858042531)); #234814=CARTESIAN_POINT('',(25.105099,4.24,12.298191)); #234815=CARTESIAN_POINT('',(25.105099,4.25,12.298191)); #234816=CARTESIAN_POINT('',(25.105099,4.24,12.298191)); #234817=CARTESIAN_POINT('Origin',(25.104946,4.25,12.293411)); #234818=CARTESIAN_POINT('',(25.104946,4.25,12.293411)); #234819=CARTESIAN_POINT('',(25.308452144147,4.25,18.6513153725828)); #234820=CARTESIAN_POINT('',(25.104946,4.24,12.293411)); #234821=CARTESIAN_POINT('',(25.104946,4.25,12.293411)); #234822=CARTESIAN_POINT('',(25.104946,4.24,12.293411)); #234823=CARTESIAN_POINT('Origin',(25.104362,4.25,12.288822)); #234824=CARTESIAN_POINT('',(25.104362,4.25,12.288822)); #234825=CARTESIAN_POINT('',(25.9058325689962,4.25,18.5866789197443)); #234826=CARTESIAN_POINT('',(25.104362,4.24,12.288822)); #234827=CARTESIAN_POINT('',(25.104362,4.25,12.288822)); #234828=CARTESIAN_POINT('',(25.104362,4.24,12.288822)); #234829=CARTESIAN_POINT('Origin',(25.103352,4.25,12.284466)); #234830=CARTESIAN_POINT('',(25.103352,4.25,12.284466)); #234831=CARTESIAN_POINT('',(26.5200529269239,4.25,18.3945147501855)); #234832=CARTESIAN_POINT('',(25.103352,4.24,12.284466)); #234833=CARTESIAN_POINT('',(25.103352,4.25,12.284466)); #234834=CARTESIAN_POINT('',(25.103352,4.24,12.284466)); #234835=CARTESIAN_POINT('Origin',(25.0270964557729,4.25,12.3112221144383)); #234836=CARTESIAN_POINT('',(25.100065,4.25,12.276489)); #234837=CARTESIAN_POINT('Origin',(25.0270964557729,4.25,12.3112221144383)); #234838=CARTESIAN_POINT('',(25.100065,4.24,12.276489)); #234839=CARTESIAN_POINT('',(25.100065,4.25,12.276489)); #234840=CARTESIAN_POINT('Origin',(25.0270964557729,4.24,12.3112221144383)); #234841=CARTESIAN_POINT('Origin',(25.097801,4.25,12.272873)); #234842=CARTESIAN_POINT('',(25.097801,4.25,12.272873)); #234843=CARTESIAN_POINT('',(28.0589174709435,4.25,17.0022887062475)); #234844=CARTESIAN_POINT('',(25.097801,4.24,12.272873)); #234845=CARTESIAN_POINT('',(25.097801,4.25,12.272873)); #234846=CARTESIAN_POINT('',(25.097801,4.24,12.272873)); #234847=CARTESIAN_POINT('Origin',(25.095131,4.25,12.269512)); #234848=CARTESIAN_POINT('',(25.095131,4.25,12.269512)); #234849=CARTESIAN_POINT('',(28.3316372259693,4.25,16.343630885941)); #234850=CARTESIAN_POINT('',(25.095131,4.24,12.269512)); #234851=CARTESIAN_POINT('',(25.095131,4.25,12.269512)); #234852=CARTESIAN_POINT('',(25.095131,4.24,12.269512)); #234853=CARTESIAN_POINT('Origin',(25.092054,4.25,12.266415)); #234854=CARTESIAN_POINT('',(25.092054,4.25,12.266415)); #234855=CARTESIAN_POINT('',(28.4512232948672,4.25,15.6474183494356)); #234856=CARTESIAN_POINT('',(25.092054,4.24,12.266415)); #234857=CARTESIAN_POINT('',(25.092054,4.25,12.266415)); #234858=CARTESIAN_POINT('',(25.092054,4.24,12.266415)); #234859=CARTESIAN_POINT('Origin',(25.088406,4.25,12.263527)); #234860=CARTESIAN_POINT('',(25.088406,4.25,12.263527)); #234861=CARTESIAN_POINT('',(28.4062874471716,4.25,14.8901831456763)); #234862=CARTESIAN_POINT('',(25.088406,4.24,12.263527)); #234863=CARTESIAN_POINT('',(25.088406,4.25,12.263527)); #234864=CARTESIAN_POINT('',(25.088406,4.24,12.263527)); #234865=CARTESIAN_POINT('Origin',(25.084234,4.25,12.261024)); #234866=CARTESIAN_POINT('',(25.084234,4.25,12.261024)); #234867=CARTESIAN_POINT('',(28.1573569661396,4.25,14.1047504583503)); #234868=CARTESIAN_POINT('',(25.084234,4.24,12.261024)); #234869=CARTESIAN_POINT('',(25.084234,4.25,12.261024)); #234870=CARTESIAN_POINT('',(25.084234,4.24,12.261024)); #234871=CARTESIAN_POINT('Origin',(25.079542,4.25,12.258915)); #234872=CARTESIAN_POINT('',(25.079542,4.25,12.258915)); #234873=CARTESIAN_POINT('',(27.761411816363,4.25,13.4643846169478)); #234874=CARTESIAN_POINT('',(25.079542,4.24,12.258915)); #234875=CARTESIAN_POINT('',(25.079542,4.25,12.258915)); #234876=CARTESIAN_POINT('',(25.079542,4.24,12.258915)); #234877=CARTESIAN_POINT('Origin',(25.07431,4.25,12.257191)); #234878=CARTESIAN_POINT('',(25.07431,4.25,12.257191)); #234879=CARTESIAN_POINT('',(27.2954369977673,4.25,12.9890761192934)); #234880=CARTESIAN_POINT('',(25.07431,4.24,12.257191)); #234881=CARTESIAN_POINT('',(25.07431,4.25,12.257191)); #234882=CARTESIAN_POINT('',(25.07431,4.24,12.257191)); #234883=CARTESIAN_POINT('Origin',(25.0468299662155,4.25,12.3689591231816)); #234884=CARTESIAN_POINT('',(25.062176,4.25,12.25489)); #234885=CARTESIAN_POINT('Origin',(25.0468299662155,4.25,12.3689591231816)); #234886=CARTESIAN_POINT('',(25.062176,4.24,12.25489)); #234887=CARTESIAN_POINT('',(25.062176,4.25,12.25489)); #234888=CARTESIAN_POINT('Origin',(25.0468299662155,4.24,12.3689591231816)); #234889=CARTESIAN_POINT('Origin',(25.0483858563397,4.25,12.3747138123053)); #234890=CARTESIAN_POINT('',(25.047705,4.25,12.254101)); #234891=CARTESIAN_POINT('Origin',(25.0483858563397,4.25,12.3747138123053)); #234892=CARTESIAN_POINT('',(25.047705,4.24,12.254101)); #234893=CARTESIAN_POINT('',(25.047705,4.25,12.254101)); #234894=CARTESIAN_POINT('Origin',(25.0483858563397,4.24,12.3747138123053)); #234895=CARTESIAN_POINT('Origin',(24.997505,4.25,12.254097)); #234896=CARTESIAN_POINT('',(24.997505,4.25,12.254097)); #234897=CARTESIAN_POINT('',(25.3992603023496,4.25,12.2541290123747)); #234898=CARTESIAN_POINT('',(24.997505,4.24,12.254097)); #234899=CARTESIAN_POINT('',(24.997505,4.25,12.254097)); #234900=CARTESIAN_POINT('',(24.997505,4.24,12.254097)); #234901=CARTESIAN_POINT('Origin',(24.5975815502366,4.25,11.88592828644)); #234902=CARTESIAN_POINT('',(25.097145,4.25,11.671631)); #234903=CARTESIAN_POINT('Origin',(24.5975815502366,4.25,11.88592828644)); #234904=CARTESIAN_POINT('',(25.097145,4.24,11.671631)); #234905=CARTESIAN_POINT('',(25.097145,4.25,11.671631)); #234906=CARTESIAN_POINT('Origin',(24.5975815502366,4.24,11.88592828644)); #234907=CARTESIAN_POINT('Origin',(24.7772551867033,4.25,11.7977196622669)); #234908=CARTESIAN_POINT('',(24.707804,4.25,11.460964)); #234909=CARTESIAN_POINT('Origin',(24.7772551867033,4.25,11.7977196622669)); #234910=CARTESIAN_POINT('',(24.707804,4.24,11.460964)); #234911=CARTESIAN_POINT('',(24.707804,4.25,11.460964)); #234912=CARTESIAN_POINT('Origin',(24.7772551867033,4.24,11.7977196622669)); #234913=CARTESIAN_POINT('Origin',(24.8241785941843,4.25,11.8215353876478)); #234914=CARTESIAN_POINT('',(24.446114,4.25,11.846474)); #234915=CARTESIAN_POINT('Origin',(24.8241785941843,4.25,11.8215353876478)); #234916=CARTESIAN_POINT('',(24.446114,4.24,11.846474)); #234917=CARTESIAN_POINT('',(24.446114,4.25,11.846474)); #234918=CARTESIAN_POINT('Origin',(24.8241785941843,4.24,11.8215353876478)); #234919=CARTESIAN_POINT('Origin',(24.435091,4.25,11.910809)); #234920=CARTESIAN_POINT('',(24.435091,4.25,11.910809)); #234921=CARTESIAN_POINT('',(23.3651990172062,4.25,18.1551617817309)); #234922=CARTESIAN_POINT('',(24.435091,4.24,11.910809)); #234923=CARTESIAN_POINT('',(24.435091,4.25,11.910809)); #234924=CARTESIAN_POINT('',(24.435091,4.24,11.910809)); #234925=CARTESIAN_POINT('Origin',(24.1236866338998,4.25,11.9212129657393)); #234926=CARTESIAN_POINT('',(24.259081,4.25,12.201836)); #234927=CARTESIAN_POINT('Origin',(24.1236866338998,4.25,11.9212129657393)); #234928=CARTESIAN_POINT('',(24.259081,4.24,12.201836)); #234929=CARTESIAN_POINT('',(24.259081,4.25,12.201836)); #234930=CARTESIAN_POINT('Origin',(24.1236866338998,4.24,11.9212129657393)); #234931=CARTESIAN_POINT('Origin',(24.2060669967863,4.25,11.9624314018296)); #234932=CARTESIAN_POINT('',(24.060495,4.25,12.159748)); #234933=CARTESIAN_POINT('Origin',(24.2060669967863,4.25,11.9624314018296)); #234934=CARTESIAN_POINT('',(24.060495,4.24,12.159748)); #234935=CARTESIAN_POINT('',(24.060495,4.25,12.159748)); #234936=CARTESIAN_POINT('Origin',(24.2060669967863,4.24,11.9624314018296)); #234937=CARTESIAN_POINT('Origin',(24.2076426844302,4.25,11.9634409302606)); #234938=CARTESIAN_POINT('',(23.962988,4.25,11.981689)); #234939=CARTESIAN_POINT('Origin',(24.2076426844302,4.25,11.9634409302606)); #234940=CARTESIAN_POINT('',(23.962988,4.24,11.981689)); #234941=CARTESIAN_POINT('',(23.962988,4.25,11.981689)); #234942=CARTESIAN_POINT('Origin',(24.2076426844302,4.24,11.9634409302606)); #234943=CARTESIAN_POINT('Origin',(24.2763770961207,4.25,11.8895267711527)); #234944=CARTESIAN_POINT('',(24.129232,4.25,11.597885)); #234945=CARTESIAN_POINT('Origin',(24.2763770961207,4.25,11.8895267711527)); #234946=CARTESIAN_POINT('',(24.129232,4.24,11.597885)); #234947=CARTESIAN_POINT('',(24.129232,4.25,11.597885)); #234948=CARTESIAN_POINT('Origin',(24.2763770961207,4.24,11.8895267711527)); #234949=CARTESIAN_POINT('Origin',(24.149963,4.25,11.591793)); #234950=CARTESIAN_POINT('',(24.149963,4.25,11.591793)); #234951=CARTESIAN_POINT('',(23.0959361828819,4.25,11.9015287276487)); #234952=CARTESIAN_POINT('',(24.149963,4.24,11.591793)); #234953=CARTESIAN_POINT('',(24.149963,4.25,11.591793)); #234954=CARTESIAN_POINT('',(24.149963,4.24,11.591793)); #234955=CARTESIAN_POINT('Origin',(24.1111692526292,4.25,11.1368685196501)); #234956=CARTESIAN_POINT('',(24.202496,4.25,11.584217)); #234957=CARTESIAN_POINT('Origin',(24.1111692526292,4.25,11.1368685196501)); #234958=CARTESIAN_POINT('',(24.202496,4.24,11.584217)); #234959=CARTESIAN_POINT('',(24.202496,4.25,11.584217)); #234960=CARTESIAN_POINT('Origin',(24.1111692526292,4.24,11.1368685196501)); #234961=CARTESIAN_POINT('Origin',(24.207499,4.25,11.582554)); #234962=CARTESIAN_POINT('',(24.207499,4.25,11.582554)); #234963=CARTESIAN_POINT('',(22.9164179372929,4.25,12.0117100678158)); #234964=CARTESIAN_POINT('',(24.207499,4.24,11.582554)); #234965=CARTESIAN_POINT('',(24.207499,4.25,11.582554)); #234966=CARTESIAN_POINT('',(24.207499,4.24,11.582554)); #234967=CARTESIAN_POINT('Origin',(24.212,4.25,11.58054)); #234968=CARTESIAN_POINT('',(24.212,4.25,11.58054)); #234969=CARTESIAN_POINT('',(22.372074557809,4.25,12.4038259010375)); #234970=CARTESIAN_POINT('',(24.212,4.24,11.58054)); #234971=CARTESIAN_POINT('',(24.212,4.25,11.58054)); #234972=CARTESIAN_POINT('',(24.212,4.24,11.58054)); #234973=CARTESIAN_POINT('Origin',(24.216,4.25,11.578171)); #234974=CARTESIAN_POINT('',(24.216,4.25,11.578171)); #234975=CARTESIAN_POINT('',(21.8598901319473,4.25,12.9735770693558)); #234976=CARTESIAN_POINT('',(24.216,4.24,11.578171)); #234977=CARTESIAN_POINT('',(24.216,4.25,11.578171)); #234978=CARTESIAN_POINT('',(24.216,4.24,11.578171)); #234979=CARTESIAN_POINT('Origin',(24.219498,4.25,11.575439)); #234980=CARTESIAN_POINT('',(24.219498,4.25,11.575439)); #234981=CARTESIAN_POINT('',(21.4541623918258,4.25,13.7352151239335)); #234982=CARTESIAN_POINT('',(24.219498,4.24,11.575439)); #234983=CARTESIAN_POINT('',(24.219498,4.25,11.575439)); #234984=CARTESIAN_POINT('',(24.219498,4.24,11.575439)); #234985=CARTESIAN_POINT('Origin',(24.222517,4.25,11.572441)); #234986=CARTESIAN_POINT('',(24.222517,4.25,11.572441)); #234987=CARTESIAN_POINT('',(21.2628325408589,4.25,14.5115380548222)); #234988=CARTESIAN_POINT('',(24.222517,4.24,11.572441)); #234989=CARTESIAN_POINT('',(24.222517,4.25,11.572441)); #234990=CARTESIAN_POINT('',(24.222517,4.24,11.572441)); #234991=CARTESIAN_POINT('Origin',(24.225157,4.25,11.569248)); #234992=CARTESIAN_POINT('',(24.225157,4.25,11.569248)); #234993=CARTESIAN_POINT('',(21.2470135037701,4.25,15.1712223119194)); #234994=CARTESIAN_POINT('',(24.225157,4.24,11.569248)); #234995=CARTESIAN_POINT('',(24.225157,4.25,11.569248)); #234996=CARTESIAN_POINT('',(24.225157,4.24,11.569248)); #234997=CARTESIAN_POINT('Origin',(24.227419,4.25,11.565834)); #234998=CARTESIAN_POINT('',(24.227419,4.25,11.565834)); #234999=CARTESIAN_POINT('',(21.3744989049038,4.25,15.8717001382235)); #235000=CARTESIAN_POINT('',(24.227419,4.24,11.565834)); #235001=CARTESIAN_POINT('',(24.227419,4.25,11.565834)); #235002=CARTESIAN_POINT('',(24.227419,4.24,11.565834)); #235003=CARTESIAN_POINT('Origin',(24.229303,4.25,11.562202)); #235004=CARTESIAN_POINT('',(24.229303,4.25,11.562202)); #235005=CARTESIAN_POINT('',(21.6495240627431,4.25,16.5355337941062)); #235006=CARTESIAN_POINT('',(24.229303,4.24,11.562202)); #235007=CARTESIAN_POINT('',(24.229303,4.25,11.562202)); #235008=CARTESIAN_POINT('',(24.229303,4.24,11.562202)); #235009=CARTESIAN_POINT('Origin',(24.230806,4.25,11.558357)); #235010=CARTESIAN_POINT('',(24.230806,4.25,11.558357)); #235011=CARTESIAN_POINT('',(22.0558733563823,4.25,17.12230644425)); #235012=CARTESIAN_POINT('',(24.230806,4.24,11.558357)); #235013=CARTESIAN_POINT('',(24.230806,4.25,11.558357)); #235014=CARTESIAN_POINT('',(24.230806,4.24,11.558357)); #235015=CARTESIAN_POINT('Origin',(24.23192,4.25,11.554298)); #235016=CARTESIAN_POINT('',(24.23192,4.25,11.554298)); #235017=CARTESIAN_POINT('',(22.5709859634563,4.25,17.6061213880993)); #235018=CARTESIAN_POINT('',(24.23192,4.24,11.554298)); #235019=CARTESIAN_POINT('',(24.23192,4.25,11.554298)); #235020=CARTESIAN_POINT('',(24.23192,4.24,11.554298)); #235021=CARTESIAN_POINT('Origin',(24.232649,4.25,11.550034)); #235022=CARTESIAN_POINT('',(24.232649,4.25,11.550034)); #235023=CARTESIAN_POINT('',(23.13781190963,4.25,17.9538547864976)); #235024=CARTESIAN_POINT('',(24.232649,4.24,11.550034)); #235025=CARTESIAN_POINT('',(24.232649,4.25,11.550034)); #235026=CARTESIAN_POINT('',(24.232649,4.24,11.550034)); #235027=CARTESIAN_POINT('Origin',(24.1358006589068,4.25,11.542674051712)); #235028=CARTESIAN_POINT('',(24.23291,4.25,11.540791)); #235029=CARTESIAN_POINT('Origin',(24.1358006589068,4.25,11.542674051712)); #235030=CARTESIAN_POINT('',(24.23291,4.24,11.540791)); #235031=CARTESIAN_POINT('',(24.23291,4.25,11.540791)); #235032=CARTESIAN_POINT('Origin',(24.1358006589068,4.24,11.542674051712)); #235033=CARTESIAN_POINT('Origin',(24.232407,4.25,11.536133)); #235034=CARTESIAN_POINT('',(24.232407,4.25,11.536133)); #235035=CARTESIAN_POINT('',(24.9600184976929,4.25,18.2741337082783)); #235036=CARTESIAN_POINT('',(24.232407,4.24,11.536133)); #235037=CARTESIAN_POINT('',(24.232407,4.25,11.536133)); #235038=CARTESIAN_POINT('',(24.232407,4.24,11.536133)); #235039=CARTESIAN_POINT('Origin',(24.231478,4.25,11.531715)); #235040=CARTESIAN_POINT('',(24.231478,4.25,11.531715)); #235041=CARTESIAN_POINT('',(25.6207560439609,4.25,18.1386368495153)); #235042=CARTESIAN_POINT('',(24.231478,4.24,11.531715)); #235043=CARTESIAN_POINT('',(24.231478,4.25,11.531715)); #235044=CARTESIAN_POINT('',(24.231478,4.24,11.531715)); #235045=CARTESIAN_POINT('Origin',(24.1538945701615,4.25,11.5570900146275)); #235046=CARTESIAN_POINT('',(24.228352,4.25,11.523636)); #235047=CARTESIAN_POINT('Origin',(24.1538945701615,4.25,11.5570900146275)); #235048=CARTESIAN_POINT('',(24.228352,4.24,11.523636)); #235049=CARTESIAN_POINT('',(24.228352,4.25,11.523636)); #235050=CARTESIAN_POINT('Origin',(24.1538945701615,4.24,11.5570900146275)); #235051=CARTESIAN_POINT('Origin',(24.226168,4.25,11.519985)); #235052=CARTESIAN_POINT('',(24.226168,4.25,11.519985)); #235053=CARTESIAN_POINT('',(27.4028491916624,4.25,16.8304534206835)); #235054=CARTESIAN_POINT('',(24.226168,4.24,11.519985)); #235055=CARTESIAN_POINT('',(24.226168,4.25,11.519985)); #235056=CARTESIAN_POINT('',(24.226168,4.24,11.519985)); #235057=CARTESIAN_POINT('Origin',(24.223574,4.25,11.516594)); #235058=CARTESIAN_POINT('',(24.223574,4.25,11.516594)); #235059=CARTESIAN_POINT('',(27.7679283473174,4.25,16.149942339151)); #235060=CARTESIAN_POINT('',(24.223574,4.24,11.516594)); #235061=CARTESIAN_POINT('',(24.223574,4.25,11.516594)); #235062=CARTESIAN_POINT('',(24.223574,4.24,11.516594)); #235063=CARTESIAN_POINT('Origin',(24.220573,4.25,11.513477)); #235064=CARTESIAN_POINT('',(24.220573,4.25,11.513477)); #235065=CARTESIAN_POINT('',(27.9696692409532,4.25,15.4074899900275)); #235066=CARTESIAN_POINT('',(24.220573,4.24,11.513477)); #235067=CARTESIAN_POINT('',(24.220573,4.25,11.513477)); #235068=CARTESIAN_POINT('',(24.220573,4.24,11.513477)); #235069=CARTESIAN_POINT('Origin',(24.217134,4.25,11.510529)); #235070=CARTESIAN_POINT('',(24.217134,4.25,11.510529)); #235071=CARTESIAN_POINT('',(28.0060766121793,4.25,14.7585088838831)); #235072=CARTESIAN_POINT('',(24.217134,4.24,11.510529)); #235073=CARTESIAN_POINT('',(24.217134,4.25,11.510529)); #235074=CARTESIAN_POINT('',(24.217134,4.24,11.510529)); #235075=CARTESIAN_POINT('Origin',(24.213133,4.25,11.507935)); #235076=CARTESIAN_POINT('',(24.213133,4.25,11.507935)); #235077=CARTESIAN_POINT('',(27.8510891805255,4.25,13.8665599268405)); #235078=CARTESIAN_POINT('',(24.213133,4.24,11.507935)); #235079=CARTESIAN_POINT('',(24.213133,4.25,11.507935)); #235080=CARTESIAN_POINT('',(24.213133,4.24,11.507935)); #235081=CARTESIAN_POINT('Origin',(24.208563,4.25,11.505745)); #235082=CARTESIAN_POINT('',(24.208563,4.25,11.505745)); #235083=CARTESIAN_POINT('',(27.4851401371403,4.25,13.0759209147346)); #235084=CARTESIAN_POINT('',(24.208563,4.24,11.505745)); #235085=CARTESIAN_POINT('',(24.208563,4.25,11.505745)); #235086=CARTESIAN_POINT('',(24.208563,4.24,11.505745)); #235087=CARTESIAN_POINT('Origin',(24.0632530062217,4.25,12.1848626764638)); #235088=CARTESIAN_POINT('',(23.941196,4.25,11.501183)); #235089=CARTESIAN_POINT('Origin',(24.0632530062217,4.25,12.1848626764638)); #235090=CARTESIAN_POINT('',(23.941196,4.24,11.501183)); #235091=CARTESIAN_POINT('',(23.941196,4.25,11.501183)); #235092=CARTESIAN_POINT('Origin',(24.0632530062217,4.24,12.1848626764638)); #235093=CARTESIAN_POINT('Origin',(23.9570455430756,4.25,11.636311097212)); #235094=CARTESIAN_POINT('',(23.928682,4.25,11.503246)); #235095=CARTESIAN_POINT('Origin',(23.9570455430756,4.25,11.636311097212)); #235096=CARTESIAN_POINT('',(23.928682,4.24,11.503246)); #235097=CARTESIAN_POINT('',(23.928682,4.25,11.503246)); #235098=CARTESIAN_POINT('Origin',(23.9570455430756,4.24,11.636311097212)); #235099=CARTESIAN_POINT('Origin',(23.9532626246083,4.25,11.58923030127)); #235100=CARTESIAN_POINT('',(23.918442,4.25,11.506859)); #235101=CARTESIAN_POINT('Origin',(23.9532626246083,4.25,11.58923030127)); #235102=CARTESIAN_POINT('',(23.918442,4.24,11.506859)); #235103=CARTESIAN_POINT('',(23.918442,4.25,11.506859)); #235104=CARTESIAN_POINT('Origin',(23.9532626246083,4.24,11.58923030127)); #235105=CARTESIAN_POINT('Origin',(23.914175,4.25,11.509262)); #235106=CARTESIAN_POINT('',(23.914175,4.25,11.509262)); #235107=CARTESIAN_POINT('',(21.746003174365,4.25,12.7302877550956)); #235108=CARTESIAN_POINT('',(23.914175,4.24,11.509262)); #235109=CARTESIAN_POINT('',(23.914175,4.25,11.509262)); #235110=CARTESIAN_POINT('',(23.914175,4.24,11.509262)); #235111=CARTESIAN_POINT('Origin',(23.910477,4.25,11.512077)); #235112=CARTESIAN_POINT('',(23.910477,4.25,11.512077)); #235113=CARTESIAN_POINT('',(21.2583718792509,4.25,13.5309185129552)); #235114=CARTESIAN_POINT('',(23.910477,4.24,11.512077)); #235115=CARTESIAN_POINT('',(23.910477,4.25,11.512077)); #235116=CARTESIAN_POINT('',(23.910477,4.24,11.512077)); #235117=CARTESIAN_POINT('Origin',(23.907288,4.25,11.515099)); #235118=CARTESIAN_POINT('',(23.907288,4.25,11.515099)); #235119=CARTESIAN_POINT('',(21.0395387304733,4.25,14.2326713714362)); #235120=CARTESIAN_POINT('',(23.907288,4.24,11.515099)); #235121=CARTESIAN_POINT('',(23.907288,4.25,11.515099)); #235122=CARTESIAN_POINT('',(23.907288,4.24,11.515099)); #235123=CARTESIAN_POINT('Origin',(23.904503,4.25,11.518364)); #235124=CARTESIAN_POINT('',(23.904503,4.25,11.518364)); #235125=CARTESIAN_POINT('',(20.9754110205448,4.25,14.9522905037419)); #235126=CARTESIAN_POINT('',(23.904503,4.24,11.518364)); #235127=CARTESIAN_POINT('',(23.904503,4.25,11.518364)); #235128=CARTESIAN_POINT('',(23.904503,4.24,11.518364)); #235129=CARTESIAN_POINT('Origin',(23.902126,4.25,11.521896)); #235130=CARTESIAN_POINT('',(23.902126,4.25,11.521896)); #235131=CARTESIAN_POINT('',(21.0764104928018,4.25,15.7206453359001)); #235132=CARTESIAN_POINT('',(23.902126,4.24,11.521896)); #235133=CARTESIAN_POINT('',(23.902126,4.25,11.521896)); #235134=CARTESIAN_POINT('',(23.902126,4.24,11.521896)); #235135=CARTESIAN_POINT('Origin',(23.90016,4.25,11.525688)); #235136=CARTESIAN_POINT('',(23.90016,4.25,11.525688)); #235137=CARTESIAN_POINT('',(21.3484541048429,4.25,16.4473913338919)); #235138=CARTESIAN_POINT('',(23.90016,4.24,11.525688)); #235139=CARTESIAN_POINT('',(23.90016,4.25,11.525688)); #235140=CARTESIAN_POINT('',(23.90016,4.24,11.525688)); #235141=CARTESIAN_POINT('Origin',(23.898611,4.25,11.529736)); #235142=CARTESIAN_POINT('',(23.898611,4.25,11.529736)); #235143=CARTESIAN_POINT('',(21.7719646445661,4.25,17.0872985866914)); #235144=CARTESIAN_POINT('',(23.898611,4.24,11.529736)); #235145=CARTESIAN_POINT('',(23.898611,4.25,11.529736)); #235146=CARTESIAN_POINT('',(23.898611,4.24,11.529736)); #235147=CARTESIAN_POINT('Origin',(23.897484,4.25,11.534035)); #235148=CARTESIAN_POINT('',(23.897484,4.25,11.534035)); #235149=CARTESIAN_POINT('',(22.3070807223936,4.25,17.6007108566253)); #235150=CARTESIAN_POINT('',(23.897484,4.24,11.534035)); #235151=CARTESIAN_POINT('',(23.897484,4.25,11.534035)); #235152=CARTESIAN_POINT('',(23.897484,4.24,11.534035)); #235153=CARTESIAN_POINT('Origin',(23.9844483336068,4.25,11.5478557838476)); #235154=CARTESIAN_POINT('',(23.896507,4.25,11.543369)); #235155=CARTESIAN_POINT('Origin',(23.9844483336068,4.25,11.5478557838476)); #235156=CARTESIAN_POINT('',(23.896507,4.24,11.543369)); #235157=CARTESIAN_POINT('',(23.896507,4.25,11.543369)); #235158=CARTESIAN_POINT('Origin',(23.9844483336068,4.24,11.5478557838476)); #235159=CARTESIAN_POINT('Origin',(23.896669,4.25,11.548183)); #235160=CARTESIAN_POINT('',(23.896669,4.25,11.548183)); #235161=CARTESIAN_POINT('',(24.1238287200516,4.25,18.2984724589426)); #235162=CARTESIAN_POINT('',(23.896669,4.24,11.548183)); #235163=CARTESIAN_POINT('',(23.896669,4.25,11.548183)); #235164=CARTESIAN_POINT('',(23.896669,4.24,11.548183)); #235165=CARTESIAN_POINT('Origin',(23.897263,4.25,11.552773)); #235166=CARTESIAN_POINT('',(23.897263,4.25,11.552773)); #235167=CARTESIAN_POINT('',(24.768716064389,4.25,18.2867284975497)); #235168=CARTESIAN_POINT('',(23.897263,4.24,11.552773)); #235169=CARTESIAN_POINT('',(23.897263,4.25,11.552773)); #235170=CARTESIAN_POINT('',(23.897263,4.24,11.552773)); #235171=CARTESIAN_POINT('Origin',(23.898283,4.25,11.557117)); #235172=CARTESIAN_POINT('',(23.898283,4.25,11.557117)); #235173=CARTESIAN_POINT('',(25.4437393757419,4.25,18.1389429766905)); #235174=CARTESIAN_POINT('',(23.898283,4.24,11.557117)); #235175=CARTESIAN_POINT('',(23.898283,4.25,11.557117)); #235176=CARTESIAN_POINT('',(23.898283,4.24,11.557117)); #235177=CARTESIAN_POINT('Origin',(23.899725,4.25,11.561226)); #235178=CARTESIAN_POINT('',(23.899725,4.25,11.561226)); #235179=CARTESIAN_POINT('',(26.1034219800532,4.25,17.8406926373228)); #235180=CARTESIAN_POINT('',(23.899725,4.24,11.561226)); #235181=CARTESIAN_POINT('',(23.899725,4.25,11.561226)); #235182=CARTESIAN_POINT('',(23.899725,4.24,11.561226)); #235183=CARTESIAN_POINT('Origin',(23.901585,4.25,11.565086)); #235184=CARTESIAN_POINT('',(23.901585,4.25,11.565086)); #235185=CARTESIAN_POINT('',(26.7074007514588,4.25,17.387907935826)); #235186=CARTESIAN_POINT('',(23.901585,4.24,11.565086)); #235187=CARTESIAN_POINT('',(23.901585,4.25,11.565086)); #235188=CARTESIAN_POINT('',(23.901585,4.24,11.565086)); #235189=CARTESIAN_POINT('Origin',(23.9658324827797,4.25,11.5246482882992)); #235190=CARTESIAN_POINT('',(23.906538,4.25,11.572052)); #235191=CARTESIAN_POINT('Origin',(23.9658324827797,4.25,11.5246482882992)); #235192=CARTESIAN_POINT('',(23.906538,4.24,11.572052)); #235193=CARTESIAN_POINT('',(23.906538,4.25,11.572052)); #235194=CARTESIAN_POINT('Origin',(23.9658324827797,4.24,11.5246482882992)); #235195=CARTESIAN_POINT('Origin',(23.909622,4.25,11.57515)); #235196=CARTESIAN_POINT('',(23.909622,4.25,11.57515)); #235197=CARTESIAN_POINT('',(27.736254073739,4.25,15.4191532958682)); #235198=CARTESIAN_POINT('',(23.909622,4.24,11.57515)); #235199=CARTESIAN_POINT('',(23.909622,4.25,11.57515)); #235200=CARTESIAN_POINT('',(23.909622,4.24,11.57515)); #235201=CARTESIAN_POINT('Origin',(23.913271,4.25,11.578026)); #235202=CARTESIAN_POINT('',(23.913271,4.25,11.578026)); #235203=CARTESIAN_POINT('',(27.7577759471697,4.25,14.6081154020478)); #235204=CARTESIAN_POINT('',(23.913271,4.24,11.578026)); #235205=CARTESIAN_POINT('',(23.913271,4.25,11.578026)); #235206=CARTESIAN_POINT('',(23.913271,4.24,11.578026)); #235207=CARTESIAN_POINT('Origin',(23.917482,4.25,11.580521)); #235208=CARTESIAN_POINT('',(23.917482,4.25,11.580521)); #235209=CARTESIAN_POINT('',(27.5566862826503,4.25,13.7367344137268)); #235210=CARTESIAN_POINT('',(23.917482,4.24,11.580521)); #235211=CARTESIAN_POINT('',(23.917482,4.25,11.580521)); #235212=CARTESIAN_POINT('',(23.917482,4.24,11.580521)); #235213=CARTESIAN_POINT('Origin',(23.922243,4.25,11.582623)); #235214=CARTESIAN_POINT('',(23.922243,4.25,11.582623)); #235215=CARTESIAN_POINT('',(27.1867058888515,4.25,13.0238960502769)); #235216=CARTESIAN_POINT('',(23.922243,4.24,11.582623)); #235217=CARTESIAN_POINT('',(23.922243,4.25,11.582623)); #235218=CARTESIAN_POINT('',(23.922243,4.24,11.582623)); #235219=CARTESIAN_POINT('Origin',(23.927557,4.25,11.584339)); #235220=CARTESIAN_POINT('',(23.927557,4.25,11.584339)); #235221=CARTESIAN_POINT('',(26.7369210283692,4.25,12.4915404814987)); #235222=CARTESIAN_POINT('',(23.927557,4.24,11.584339)); #235223=CARTESIAN_POINT('',(23.927557,4.25,11.584339)); #235224=CARTESIAN_POINT('',(23.927557,4.24,11.584339)); #235225=CARTESIAN_POINT('Origin',(23.9543708625171,4.25,11.4683880813166)); #235226=CARTESIAN_POINT('',(23.95437,4.25,11.587399)); #235227=CARTESIAN_POINT('Origin',(23.9543708625171,4.25,11.4683880813166)); #235228=CARTESIAN_POINT('',(23.95437,4.24,11.587399)); #235229=CARTESIAN_POINT('',(23.95437,4.25,11.587399)); #235230=CARTESIAN_POINT('Origin',(23.9543708625171,4.24,11.4683880813166)); #235231=CARTESIAN_POINT('Origin',(23.983604,4.25,11.587399)); #235232=CARTESIAN_POINT('',(23.983604,4.25,11.587399)); #235233=CARTESIAN_POINT('',(24.891802,4.25,11.587399)); #235234=CARTESIAN_POINT('',(23.983604,4.24,11.587399)); #235235=CARTESIAN_POINT('',(23.983604,4.25,11.587399)); #235236=CARTESIAN_POINT('',(23.983604,4.24,11.587399)); #235237=CARTESIAN_POINT('Origin',(24.3205438154152,4.25,11.886861162391)); #235238=CARTESIAN_POINT('',(23.87274,4.25,11.835114)); #235239=CARTESIAN_POINT('Origin',(24.3205438154152,4.25,11.886861162391)); #235240=CARTESIAN_POINT('',(23.87274,4.24,11.835114)); #235241=CARTESIAN_POINT('',(23.87274,4.25,11.835114)); #235242=CARTESIAN_POINT('Origin',(24.3205438154152,4.24,11.886861162391)); #235243=CARTESIAN_POINT('Origin',(24.2528475330135,4.25,11.9181203895589)); #235244=CARTESIAN_POINT('',(24.140587,4.25,12.290638)); #235245=CARTESIAN_POINT('Origin',(24.2528475330135,4.25,11.9181203895589)); #235246=CARTESIAN_POINT('',(24.140587,4.24,12.290638)); #235247=CARTESIAN_POINT('',(24.140587,4.25,12.290638)); #235248=CARTESIAN_POINT('Origin',(24.2528475330135,4.24,11.9181203895589)); #235249=CARTESIAN_POINT('Origin',(24.1972331343205,4.25,11.9950621164088)); #235250=CARTESIAN_POINT('',(24.376453,4.25,12.236835)); #235251=CARTESIAN_POINT('Origin',(24.1972331343205,4.25,11.9950621164088)); #235252=CARTESIAN_POINT('',(24.376453,4.24,12.236835)); #235253=CARTESIAN_POINT('',(24.376453,4.25,12.236835)); #235254=CARTESIAN_POINT('Origin',(24.1972331343205,4.24,11.9950621164088)); #235255=CARTESIAN_POINT('Origin',(24.194539422029,4.25,11.9979643961799)); #235256=CARTESIAN_POINT('',(24.493553,4.25,12.025215)); #235257=CARTESIAN_POINT('Origin',(24.194539422029,4.25,11.9979643961799)); #235258=CARTESIAN_POINT('',(24.493553,4.24,12.025215)); #235259=CARTESIAN_POINT('',(24.493553,4.25,12.025215)); #235260=CARTESIAN_POINT('Origin',(24.194539422029,4.24,11.9979643961799)); #235261=CARTESIAN_POINT('Origin',(24.50535,4.25,11.979553)); #235262=CARTESIAN_POINT('',(24.50535,4.25,11.979553)); #235263=CARTESIAN_POINT('',(22.9691465572131,4.25,17.9256512965579)); #235264=CARTESIAN_POINT('',(24.50535,4.24,11.979553)); #235265=CARTESIAN_POINT('',(24.50535,4.25,11.979553)); #235266=CARTESIAN_POINT('',(24.50535,4.24,11.979553)); #235267=CARTESIAN_POINT('Origin',(40.4071360734584,4.25,14.9788585444055)); #235268=CARTESIAN_POINT('',(24.544197,4.25,11.780453)); #235269=CARTESIAN_POINT('Origin',(40.4071360734584,4.25,14.9788585444055)); #235270=CARTESIAN_POINT('',(24.544197,4.24,11.780453)); #235271=CARTESIAN_POINT('',(24.544197,4.25,11.780453)); #235272=CARTESIAN_POINT('Origin',(40.4071360734584,4.24,14.9788585444055)); #235273=CARTESIAN_POINT('Origin',(24.7953755595461,4.25,11.7895497439135)); #235274=CARTESIAN_POINT('',(24.750275,4.25,11.542286)); #235275=CARTESIAN_POINT('Origin',(24.7953755595461,4.25,11.7895497439135)); #235276=CARTESIAN_POINT('',(24.750275,4.24,11.542286)); #235277=CARTESIAN_POINT('',(24.750275,4.25,11.542286)); #235278=CARTESIAN_POINT('Origin',(24.7953755595461,4.24,11.7895497439135)); #235279=CARTESIAN_POINT('Origin',(24.7499727539466,4.25,11.8514720528514)); #235280=CARTESIAN_POINT('',(24.988993,4.25,11.655346)); #235281=CARTESIAN_POINT('Origin',(24.7499727539466,4.25,11.8514720528514)); #235282=CARTESIAN_POINT('',(24.988993,4.24,11.655346)); #235283=CARTESIAN_POINT('',(24.988993,4.25,11.655346)); #235284=CARTESIAN_POINT('Origin',(24.7499727539466,4.24,11.8514720528514)); #235285=CARTESIAN_POINT('Origin',(24.7493452406597,4.25,11.8501226200925)); #235286=CARTESIAN_POINT('',(25.051935,4.25,11.911835)); #235287=CARTESIAN_POINT('Origin',(24.7493452406597,4.25,11.8501226200925)); #235288=CARTESIAN_POINT('',(25.051935,4.24,11.911835)); #235289=CARTESIAN_POINT('',(25.051935,4.25,11.911835)); #235290=CARTESIAN_POINT('Origin',(24.7493452406597,4.24,11.8501226200925)); #235291=CARTESIAN_POINT('Origin',(24.7248413557723,4.25,11.9388127835608)); #235292=CARTESIAN_POINT('',(24.824389,4.25,12.251556)); #235293=CARTESIAN_POINT('Origin',(24.7248413557723,4.25,11.9388127835608)); #235294=CARTESIAN_POINT('',(24.824389,4.24,12.251556)); #235295=CARTESIAN_POINT('',(24.824389,4.25,12.251556)); #235296=CARTESIAN_POINT('Origin',(24.7248413557723,4.24,11.9388127835608)); #235297=CARTESIAN_POINT('Origin',(24.812681,4.25,12.25322)); #235298=CARTESIAN_POINT('',(24.812681,4.25,12.25322)); #235299=CARTESIAN_POINT('',(24.4086827479777,4.25,12.3106382688217)); #235300=CARTESIAN_POINT('',(24.812681,4.24,12.25322)); #235301=CARTESIAN_POINT('',(24.812681,4.25,12.25322)); #235302=CARTESIAN_POINT('',(24.812681,4.24,12.25322)); #235303=CARTESIAN_POINT('Origin',(24.8183442841005,4.25,12.4476443213556)); #235304=CARTESIAN_POINT('',(24.785738,4.25,12.25589)); #235305=CARTESIAN_POINT('Origin',(24.8183442841005,4.25,12.4476443213556)); #235306=CARTESIAN_POINT('',(24.785738,4.24,12.25589)); #235307=CARTESIAN_POINT('',(24.785738,4.25,12.25589)); #235308=CARTESIAN_POINT('Origin',(24.8183442841005,4.24,12.4476443213556)); #235309=CARTESIAN_POINT('Origin',(24.780214,4.25,12.257206)); #235310=CARTESIAN_POINT('',(24.780214,4.25,12.257206)); #235311=CARTESIAN_POINT('',(23.8263646876645,4.25,12.4844445400133)); #235312=CARTESIAN_POINT('',(24.780214,4.24,12.257206)); #235313=CARTESIAN_POINT('',(24.780214,4.25,12.257206)); #235314=CARTESIAN_POINT('',(24.780214,4.24,12.257206)); #235315=CARTESIAN_POINT('Origin',(24.77519,4.25,12.258873)); #235316=CARTESIAN_POINT('',(24.77519,4.25,12.258873)); #235317=CARTESIAN_POINT('',(23.3326170268284,4.25,12.7375292791156)); #235318=CARTESIAN_POINT('',(24.77519,4.24,12.258873)); #235319=CARTESIAN_POINT('',(24.77519,4.25,12.258873)); #235320=CARTESIAN_POINT('',(24.77519,4.24,12.258873)); #235321=CARTESIAN_POINT('Origin',(24.770662,4.25,12.260891)); #235322=CARTESIAN_POINT('',(24.770662,4.25,12.260891)); #235323=CARTESIAN_POINT('',(22.8317210853359,4.25,13.1250214694774)); #235324=CARTESIAN_POINT('',(24.770662,4.24,12.260891)); #235325=CARTESIAN_POINT('',(24.770662,4.25,12.260891)); #235326=CARTESIAN_POINT('',(24.770662,4.24,12.260891)); #235327=CARTESIAN_POINT('Origin',(24.76663,4.25,12.263264)); #235328=CARTESIAN_POINT('',(24.76663,4.25,12.263264)); #235329=CARTESIAN_POINT('',(22.3665930026796,4.25,13.6757857744646)); #235330=CARTESIAN_POINT('',(24.76663,4.24,12.263264)); #235331=CARTESIAN_POINT('',(24.76663,4.25,12.263264)); #235332=CARTESIAN_POINT('',(24.76663,4.24,12.263264)); #235333=CARTESIAN_POINT('Origin',(24.763094,4.25,12.265991)); #235334=CARTESIAN_POINT('',(24.763094,4.25,12.265991)); #235335=CARTESIAN_POINT('',(22.009179926285,4.25,14.3898381942909)); #235336=CARTESIAN_POINT('',(24.763094,4.24,12.265991)); #235337=CARTESIAN_POINT('',(24.763094,4.25,12.265991)); #235338=CARTESIAN_POINT('',(24.763094,4.24,12.265991)); #235339=CARTESIAN_POINT('Origin',(24.76005,4.25,12.26897)); #235340=CARTESIAN_POINT('',(24.76005,4.25,12.26897)); #235341=CARTESIAN_POINT('',(21.8436321809244,4.25,15.1231121429166)); #235342=CARTESIAN_POINT('',(24.76005,4.24,12.26897)); #235343=CARTESIAN_POINT('',(24.76005,4.25,12.26897)); #235344=CARTESIAN_POINT('',(24.76005,4.24,12.26897)); #235345=CARTESIAN_POINT('Origin',(24.757404,4.25,12.272137)); #235346=CARTESIAN_POINT('',(24.757404,4.25,12.272137)); #235347=CARTESIAN_POINT('',(21.8404510892744,4.25,15.7634408050901)); #235348=CARTESIAN_POINT('',(24.757404,4.24,12.272137)); #235349=CARTESIAN_POINT('',(24.757404,4.25,12.272137)); #235350=CARTESIAN_POINT('',(24.757404,4.24,12.272137)); #235351=CARTESIAN_POINT('Origin',(24.755133,4.25,12.275543)); #235352=CARTESIAN_POINT('',(24.755133,4.25,12.275543)); #235353=CARTESIAN_POINT('',(21.9793355291261,4.25,16.438628066391)); #235354=CARTESIAN_POINT('',(24.755133,4.24,12.275543)); #235355=CARTESIAN_POINT('',(24.755133,4.25,12.275543)); #235356=CARTESIAN_POINT('',(24.755133,4.24,12.275543)); #235357=CARTESIAN_POINT('Origin',(24.753239,4.25,12.279175)); #235358=CARTESIAN_POINT('',(24.753239,4.25,12.279175)); #235359=CARTESIAN_POINT('',(22.2574592953372,4.25,17.0651686047317)); #235360=CARTESIAN_POINT('',(24.753239,4.24,12.279175)); #235361=CARTESIAN_POINT('',(24.753239,4.25,12.279175)); #235362=CARTESIAN_POINT('',(24.753239,4.24,12.279175)); #235363=CARTESIAN_POINT('Origin',(24.75173,4.25,12.283024)); #235364=CARTESIAN_POINT('',(24.75173,4.25,12.283024)); #235365=CARTESIAN_POINT('',(22.6608252651711,4.25,17.6162859776911)); #235366=CARTESIAN_POINT('',(24.75173,4.24,12.283024)); #235367=CARTESIAN_POINT('',(24.75173,4.25,12.283024)); #235368=CARTESIAN_POINT('',(24.75173,4.24,12.283024)); #235369=CARTESIAN_POINT('Origin',(24.750607,4.25,12.28709)); #235370=CARTESIAN_POINT('',(24.750607,4.25,12.28709)); #235371=CARTESIAN_POINT('',(23.1566183227244,4.25,18.0583793693867)); #235372=CARTESIAN_POINT('',(24.750607,4.24,12.28709)); #235373=CARTESIAN_POINT('',(24.750607,4.25,12.28709)); #235374=CARTESIAN_POINT('',(24.750607,4.24,12.28709)); #235375=CARTESIAN_POINT('Origin',(24.74987,4.25,12.291363)); #235376=CARTESIAN_POINT('',(24.74987,4.25,12.291363)); #235377=CARTESIAN_POINT('',(23.7007186338063,4.25,18.3741632547671)); #235378=CARTESIAN_POINT('',(24.74987,4.24,12.291363)); #235379=CARTESIAN_POINT('',(24.74987,4.25,12.291363)); #235380=CARTESIAN_POINT('',(24.74987,4.24,12.291363)); #235381=CARTESIAN_POINT('Origin',(24.749529,4.25,12.295834)); #235382=CARTESIAN_POINT('',(24.749529,4.25,12.295834)); #235383=CARTESIAN_POINT('',(24.2708996200738,4.25,18.5713529373616)); #235384=CARTESIAN_POINT('',(24.749529,4.24,12.295834)); #235385=CARTESIAN_POINT('',(24.749529,4.25,12.295834)); #235386=CARTESIAN_POINT('',(24.749529,4.24,12.295834)); #235387=CARTESIAN_POINT('Origin',(24.749592,4.25,12.300602)); #235388=CARTESIAN_POINT('',(24.749592,4.25,12.300602)); #235389=CARTESIAN_POINT('',(24.8335681589466,4.25,18.6561309819601)); #235390=CARTESIAN_POINT('',(24.749592,4.24,12.300602)); #235391=CARTESIAN_POINT('',(24.749592,4.25,12.300602)); #235392=CARTESIAN_POINT('',(24.749592,4.24,12.300602)); #235393=CARTESIAN_POINT('Origin',(24.750086,4.25,12.305256)); #235394=CARTESIAN_POINT('',(24.750086,4.25,12.305256)); #235395=CARTESIAN_POINT('',(25.4221717246152,4.25,18.6370109845381)); #235396=CARTESIAN_POINT('',(24.750086,4.24,12.305256)); #235397=CARTESIAN_POINT('',(24.750086,4.25,12.305256)); #235398=CARTESIAN_POINT('',(24.750086,4.24,12.305256)); #235399=CARTESIAN_POINT('Origin',(24.751007,4.25,12.309666)); #235400=CARTESIAN_POINT('',(24.751007,4.25,12.309666)); #235401=CARTESIAN_POINT('',(26.0426926873168,4.25,18.4946104962538)); #235402=CARTESIAN_POINT('',(24.751007,4.24,12.309666)); #235403=CARTESIAN_POINT('',(24.751007,4.25,12.309666)); #235404=CARTESIAN_POINT('',(24.751007,4.24,12.309666)); #235405=CARTESIAN_POINT('Origin',(24.8232041961017,4.25,12.2865121242693)); #235406=CARTESIAN_POINT('',(24.754112,4.25,12.317734)); #235407=CARTESIAN_POINT('Origin',(24.8232041961017,4.25,12.2865121242693)); #235408=CARTESIAN_POINT('',(24.754112,4.24,12.317734)); #235409=CARTESIAN_POINT('',(24.754112,4.25,12.317734)); #235410=CARTESIAN_POINT('Origin',(24.8232041961017,4.24,12.2865121242693)); #235411=CARTESIAN_POINT('Origin',(24.75629,4.25,12.321392)); #235412=CARTESIAN_POINT('',(24.75629,4.25,12.321392)); #235413=CARTESIAN_POINT('',(27.679466011779,4.25,17.2309318765285)); #235414=CARTESIAN_POINT('',(24.75629,4.24,12.321392)); #235415=CARTESIAN_POINT('',(24.75629,4.25,12.321392)); #235416=CARTESIAN_POINT('',(24.75629,4.24,12.321392)); #235417=CARTESIAN_POINT('Origin',(24.758875,4.25,12.324799)); #235418=CARTESIAN_POINT('',(24.758875,4.25,12.324799)); #235419=CARTESIAN_POINT('',(28.0007981224398,4.25,16.5976160515094)); #235420=CARTESIAN_POINT('',(24.758875,4.24,12.324799)); #235421=CARTESIAN_POINT('',(24.758875,4.25,12.324799)); #235422=CARTESIAN_POINT('',(24.758875,4.24,12.324799)); #235423=CARTESIAN_POINT('Origin',(24.761866,4.25,12.327946)); #235424=CARTESIAN_POINT('',(24.761866,4.25,12.327946)); #235425=CARTESIAN_POINT('',(28.172139115404,4.25,15.9160869208239)); #235426=CARTESIAN_POINT('',(24.761866,4.24,12.327946)); #235427=CARTESIAN_POINT('',(24.761866,4.25,12.327946)); #235428=CARTESIAN_POINT('',(24.761866,4.24,12.327946)); #235429=CARTESIAN_POINT('Origin',(24.8110943445633,4.25,12.2692457756732)); #235430=CARTESIAN_POINT('',(24.769333,4.25,12.333473)); #235431=CARTESIAN_POINT('Origin',(24.8110943445633,4.25,12.2692457756732)); #235432=CARTESIAN_POINT('',(24.769333,4.24,12.333473)); #235433=CARTESIAN_POINT('',(24.769333,4.25,12.333473)); #235434=CARTESIAN_POINT('Origin',(24.8110943445633,4.24,12.2692457756732)); #235435=CARTESIAN_POINT('Origin',(24.9304750939208,4.25,11.6329662282993)); #235436=CARTESIAN_POINT('',(25.078213,4.25,12.336422)); #235437=CARTESIAN_POINT('Origin',(24.9304750939208,4.25,11.6329662282993)); #235438=CARTESIAN_POINT('',(25.078213,4.24,12.336422)); #235439=CARTESIAN_POINT('',(25.078213,4.25,12.336422)); #235440=CARTESIAN_POINT('Origin',(24.9304750939208,4.24,11.6329662282993)); #235441=CARTESIAN_POINT('Origin',(25.083065,4.25,12.334358)); #235442=CARTESIAN_POINT('',(25.083065,4.25,12.334358)); #235443=CARTESIAN_POINT('',(23.1054660592241,4.25,13.1756119599688)); #235444=CARTESIAN_POINT('',(25.083065,4.24,12.334358)); #235445=CARTESIAN_POINT('',(25.083065,4.25,12.334358)); #235446=CARTESIAN_POINT('',(25.083065,4.24,12.334358)); #235447=CARTESIAN_POINT('Origin',(25.087347,4.25,12.331913)); #235448=CARTESIAN_POINT('',(22.6286038527043,4.25,13.735842704608)); #235449=CARTESIAN_POINT('',(25.087347,4.24,12.331913)); #235450=CARTESIAN_POINT('Origin',(24.5024754629756,4.24,11.9028226337471)); #235451=CARTESIAN_POINT('Origin',(1.72118618924216,4.25,23.0828611721031)); #235452=CARTESIAN_POINT('',(1.4742857,4.25,22.804388)); #235453=CARTESIAN_POINT('',(1.3620036,4.25,23.1803036)); #235454=CARTESIAN_POINT('Origin',(1.72118618924216,4.25,23.0828611721031)); #235455=CARTESIAN_POINT('',(1.4742857,4.24,22.804388)); #235456=CARTESIAN_POINT('',(1.4742857,4.25,22.804388)); #235457=CARTESIAN_POINT('',(1.3620036,4.24,23.1803036)); #235458=CARTESIAN_POINT('Origin',(1.72118618924216,4.24,23.0828611721031)); #235459=CARTESIAN_POINT('',(1.3620036,4.25,23.1803036)); #235460=CARTESIAN_POINT('Origin',(1.58691869724429,4.25,22.9594173127539)); #235461=CARTESIAN_POINT('',(1.7352589,4.25,22.83811)); #235462=CARTESIAN_POINT('Origin',(1.58691869724429,4.25,22.9594173127539)); #235463=CARTESIAN_POINT('',(1.7352589,4.24,22.83811)); #235464=CARTESIAN_POINT('',(1.7352589,4.25,22.83811)); #235465=CARTESIAN_POINT('Origin',(1.58691869724429,4.24,22.9594173127539)); #235466=CARTESIAN_POINT('Origin',(1.34467858538631,4.25,23.1188776768402)); #235467=CARTESIAN_POINT('',(1.8129036,4.25,23.2290993)); #235468=CARTESIAN_POINT('Origin',(1.34467858538631,4.25,23.1188776768402)); #235469=CARTESIAN_POINT('',(1.8129036,4.24,23.2290993)); #235470=CARTESIAN_POINT('',(1.8129036,4.25,23.2290993)); #235471=CARTESIAN_POINT('Origin',(1.34467858538631,4.24,23.1188776768402)); #235472=CARTESIAN_POINT('Origin',(1.8129036,4.25,23.3390751)); #235473=CARTESIAN_POINT('',(1.8129036,4.25,23.3390751)); #235474=CARTESIAN_POINT('',(1.8129036,4.25,24.16953755)); #235475=CARTESIAN_POINT('',(1.8129036,4.24,23.3390751)); #235476=CARTESIAN_POINT('',(1.8129036,4.25,23.3390751)); #235477=CARTESIAN_POINT('',(1.8129036,4.24,23.3390751)); #235478=CARTESIAN_POINT('Origin',(1.8129035,4.25,23.389698)); #235479=CARTESIAN_POINT('',(1.8129035,4.25,23.389698)); #235480=CARTESIAN_POINT('',(1.81290190955909,4.25,24.1948253080546)); #235481=CARTESIAN_POINT('',(1.8129035,4.24,23.389698)); #235482=CARTESIAN_POINT('',(1.8129035,4.25,23.389698)); #235483=CARTESIAN_POINT('',(1.8129035,4.24,23.389698)); #235484=CARTESIAN_POINT('Origin',(1.3620207,4.25,23.389698)); #235485=CARTESIAN_POINT('',(1.3620207,4.25,23.389698)); #235486=CARTESIAN_POINT('',(13.58101035,4.25,23.389698)); #235487=CARTESIAN_POINT('',(1.3620207,4.24,23.389698)); #235488=CARTESIAN_POINT('',(1.3620207,4.25,23.389698)); #235489=CARTESIAN_POINT('',(1.3620207,4.24,23.389698)); #235490=CARTESIAN_POINT('Origin',(1.3620036,4.25,23.3864441)); #235491=CARTESIAN_POINT('',(1.3620036,4.25,23.3864441)); #235492=CARTESIAN_POINT('',(1.36658073679718,4.25,24.2574116686677)); #235493=CARTESIAN_POINT('',(1.3620036,4.24,23.3864441)); #235494=CARTESIAN_POINT('',(1.3620036,4.25,23.3864441)); #235495=CARTESIAN_POINT('',(1.3620036,4.24,23.3864441)); #235496=CARTESIAN_POINT('Origin',(1.3620036,4.25,23.1803036)); #235497=CARTESIAN_POINT('',(1.3620036,4.25,24.0901518)); #235498=CARTESIAN_POINT('',(1.3620036,4.24,23.308815)); #235499=CARTESIAN_POINT('Origin',(1.8997035,4.25,23.3873119)); #235500=CARTESIAN_POINT('',(1.8997035,4.25,23.3873119)); #235501=CARTESIAN_POINT('',(1.8997645,4.25,23.389698)); #235502=CARTESIAN_POINT('',(1.9281089861873,4.25,24.49843207363)); #235503=CARTESIAN_POINT('',(1.8997035,4.24,23.3873119)); #235504=CARTESIAN_POINT('',(1.8997035,4.25,23.3873119)); #235505=CARTESIAN_POINT('',(1.8997645,4.24,23.389698)); #235506=CARTESIAN_POINT('',(1.8997035,4.24,23.3873119)); #235507=CARTESIAN_POINT('',(1.8997645,4.25,23.389698)); #235508=CARTESIAN_POINT('Origin',(1.8997035,4.25,23.1031456)); #235509=CARTESIAN_POINT('',(1.8997035,4.25,23.1031456)); #235510=CARTESIAN_POINT('',(1.8997035,4.25,24.0515728)); #235511=CARTESIAN_POINT('',(1.8997035,4.24,23.1031456)); #235512=CARTESIAN_POINT('',(1.8997035,4.25,23.1031456)); #235513=CARTESIAN_POINT('',(1.8997035,4.24,23.1031456)); #235514=CARTESIAN_POINT('Origin',(2.28984731071581,4.25,23.0292800381909)); #235515=CARTESIAN_POINT('',(2.0742457,4.25,22.695837)); #235516=CARTESIAN_POINT('Origin',(2.28984731071581,4.25,23.0292800381909)); #235517=CARTESIAN_POINT('',(2.0742457,4.24,22.695837)); #235518=CARTESIAN_POINT('',(2.0742457,4.25,22.695837)); #235519=CARTESIAN_POINT('Origin',(2.28984731071581,4.24,23.0292800381909)); #235520=CARTESIAN_POINT('Origin',(2.15725152496829,4.25,22.9085022305868)); #235521=CARTESIAN_POINT('',(2.3652689,4.25,22.8144531)); #235522=CARTESIAN_POINT('Origin',(2.15725152496829,4.25,22.9085022305868)); #235523=CARTESIAN_POINT('',(2.3652689,4.24,22.8144531)); #235524=CARTESIAN_POINT('',(2.3652689,4.25,22.8144531)); #235525=CARTESIAN_POINT('Origin',(2.15725152496829,4.24,22.9085022305868)); #235526=CARTESIAN_POINT('Origin',(1.82761891164746,4.25,23.038809558339)); #235527=CARTESIAN_POINT('',(2.3971035,4.25,23.1616535)); #235528=CARTESIAN_POINT('Origin',(1.82761891164746,4.25,23.038809558339)); #235529=CARTESIAN_POINT('',(2.3971035,4.24,23.1616535)); #235530=CARTESIAN_POINT('',(2.3971035,4.25,23.1616535)); #235531=CARTESIAN_POINT('Origin',(1.82761891164746,4.24,23.038809558339)); #235532=CARTESIAN_POINT('Origin',(2.3971035,4.25,23.389698)); #235533=CARTESIAN_POINT('',(2.3971035,4.25,23.389698)); #235534=CARTESIAN_POINT('',(2.3971035,4.25,24.194849)); #235535=CARTESIAN_POINT('',(2.3971035,4.24,23.389698)); #235536=CARTESIAN_POINT('',(2.3971035,4.25,23.389698)); #235537=CARTESIAN_POINT('',(2.3971035,4.24,23.389698)); #235538=CARTESIAN_POINT('Origin',(1.8997645,4.25,23.389698)); #235539=CARTESIAN_POINT('',(13.84988225,4.25,23.389698)); #235540=CARTESIAN_POINT('',(1.8997645,4.24,23.389698)); #235541=CARTESIAN_POINT('Origin',(2.4780092,4.25,23.6289501)); #235542=CARTESIAN_POINT('',(2.4780092,4.25,23.6289501)); #235543=CARTESIAN_POINT('',(2.4756751,4.25,23.6333618)); #235544=CARTESIAN_POINT('',(4.74487957631296,4.25,19.3443213183799)); #235545=CARTESIAN_POINT('',(2.4780092,4.24,23.6289501)); #235546=CARTESIAN_POINT('',(2.4780092,4.25,23.6289501)); #235547=CARTESIAN_POINT('',(2.4756751,4.24,23.6333618)); #235548=CARTESIAN_POINT('',(2.4780092,4.24,23.6289501)); #235549=CARTESIAN_POINT('',(2.4756751,4.25,23.6333618)); #235550=CARTESIAN_POINT('Origin',(2.4799602,4.25,23.6239796)); #235551=CARTESIAN_POINT('',(2.4799602,4.25,23.6239796)); #235552=CARTESIAN_POINT('',(3.80257563315099,4.25,20.2543947663368)); #235553=CARTESIAN_POINT('',(2.4799602,4.24,23.6239796)); #235554=CARTESIAN_POINT('',(2.4799602,4.25,23.6239796)); #235555=CARTESIAN_POINT('',(2.4799602,4.24,23.6239796)); #235556=CARTESIAN_POINT('Origin',(2.4815247,4.25,23.6184502)); #235557=CARTESIAN_POINT('',(2.4815247,4.25,23.6184502)); #235558=CARTESIAN_POINT('',(3.16477179711429,4.25,21.2036553781508)); #235559=CARTESIAN_POINT('',(2.4815247,4.24,23.6184502)); #235560=CARTESIAN_POINT('',(2.4815247,4.25,23.6184502)); #235561=CARTESIAN_POINT('',(2.4815247,4.24,23.6184502)); #235562=CARTESIAN_POINT('Origin',(2.4826989,4.25,23.61236)); #235563=CARTESIAN_POINT('',(2.4826989,4.25,23.61236)); #235564=CARTESIAN_POINT('',(2.77157168415724,4.25,22.1140692232366)); #235565=CARTESIAN_POINT('',(2.4826989,4.24,23.61236)); #235566=CARTESIAN_POINT('',(2.4826989,4.25,23.61236)); #235567=CARTESIAN_POINT('',(2.4826989,4.24,23.61236)); #235568=CARTESIAN_POINT('Origin',(2.4834793,4.25,23.6057014)); #235569=CARTESIAN_POINT('',(2.4834793,4.25,23.6057014)); #235570=CARTESIAN_POINT('',(2.5608503051538,4.25,22.9455494587929)); #235571=CARTESIAN_POINT('',(2.4834793,4.24,23.6057014)); #235572=CARTESIAN_POINT('',(2.4834793,4.25,23.6057014)); #235573=CARTESIAN_POINT('',(2.4834793,4.24,23.6057014)); #235574=CARTESIAN_POINT('Origin',(-3.2660697484866,4.25,23.2972730292944)); #235575=CARTESIAN_POINT('',(2.4839034,4.25,22.9968548)); #235576=CARTESIAN_POINT('Origin',(-3.2660697484866,4.25,23.2972730292944)); #235577=CARTESIAN_POINT('',(2.4839034,4.24,22.9968548)); #235578=CARTESIAN_POINT('',(2.4839034,4.25,22.9968548)); #235579=CARTESIAN_POINT('Origin',(-3.2660697484866,4.24,23.2972730292944)); #235580=CARTESIAN_POINT('Origin',(2.11835738596348,4.25,22.9499406708582)); #235581=CARTESIAN_POINT('',(2.2578712,4.25,22.6088238)); #235582=CARTESIAN_POINT('Origin',(2.11835738596348,4.25,22.9499406708582)); #235583=CARTESIAN_POINT('',(2.2578712,4.24,22.6088238)); #235584=CARTESIAN_POINT('',(2.2578712,4.25,22.6088238)); #235585=CARTESIAN_POINT('Origin',(2.11835738596348,4.24,22.9499406708582)); #235586=CARTESIAN_POINT('Origin',(2.15960350387887,4.25,22.9112377734203)); #235587=CARTESIAN_POINT('',(2.0084901,4.25,22.6314602)); #235588=CARTESIAN_POINT('Origin',(2.15960350387887,4.25,22.9112377734203)); #235589=CARTESIAN_POINT('',(2.0084901,4.24,22.6314602)); #235590=CARTESIAN_POINT('',(2.0084901,4.25,22.6314602)); #235591=CARTESIAN_POINT('Origin',(2.15960350387887,4.24,22.9112377734203)); #235592=CARTESIAN_POINT('Origin',(2.1612111272964,4.25,22.9103542553089)); #235593=CARTESIAN_POINT('',(1.8539577,4.25,22.828495)); #235594=CARTESIAN_POINT('Origin',(2.1612111272964,4.25,22.9103542553089)); #235595=CARTESIAN_POINT('',(1.8539577,4.24,22.828495)); #235596=CARTESIAN_POINT('',(1.8539577,4.25,22.828495)); #235597=CARTESIAN_POINT('Origin',(2.1612111272964,4.24,22.9103542553089)); #235598=CARTESIAN_POINT('Origin',(1.8461192,4.25,22.8491459)); #235599=CARTESIAN_POINT('',(1.8461192,4.25,22.8491459)); #235600=CARTESIAN_POINT('',(2.99759393961985,4.25,19.8155310884398)); #235601=CARTESIAN_POINT('',(1.8461192,4.24,22.8491459)); #235602=CARTESIAN_POINT('',(1.8461192,4.25,22.8491459)); #235603=CARTESIAN_POINT('',(1.8461192,4.24,22.8491459)); #235604=CARTESIAN_POINT('Origin',(1.56178422173309,4.25,22.9978787330642)); #235605=CARTESIAN_POINT('',(1.6205045,4.25,22.6824112)); #235606=CARTESIAN_POINT('Origin',(1.56178422173309,4.25,22.9978787330642)); #235607=CARTESIAN_POINT('',(1.6205045,4.24,22.6824112)); #235608=CARTESIAN_POINT('',(1.6205045,4.25,22.6824112)); #235609=CARTESIAN_POINT('Origin',(1.56178422173309,4.24,22.9978787330642)); #235610=CARTESIAN_POINT('Origin',(1.59634989188325,4.25,22.9962424542999)); #235611=CARTESIAN_POINT('',(1.2856554,4.25,22.9458199)); #235612=CARTESIAN_POINT('Origin',(1.59634989188325,4.25,22.9962424542999)); #235613=CARTESIAN_POINT('',(1.2856554,4.24,22.9458199)); #235614=CARTESIAN_POINT('',(1.2856554,4.25,22.9458199)); #235615=CARTESIAN_POINT('Origin',(1.59634989188325,4.24,22.9962424542999)); #235616=CARTESIAN_POINT('Origin',(5.52633047587708,4.25,23.3379147706788)); #235617=CARTESIAN_POINT('',(1.2764195,4.25,23.61236)); #235618=CARTESIAN_POINT('Origin',(5.52633047587708,4.25,23.3379147706788)); #235619=CARTESIAN_POINT('',(1.2764195,4.24,23.61236)); #235620=CARTESIAN_POINT('',(1.2764195,4.25,23.61236)); #235621=CARTESIAN_POINT('Origin',(5.52633047587708,4.24,23.3379147706788)); #235622=CARTESIAN_POINT('Origin',(1.2775284,4.25,23.6184502)); #235623=CARTESIAN_POINT('',(1.2775284,4.25,23.6184502)); #235624=CARTESIAN_POINT('',(1.79271983741746,4.25,26.4479378834338)); #235625=CARTESIAN_POINT('',(1.2775284,4.24,23.6184502)); #235626=CARTESIAN_POINT('',(1.2775284,4.25,23.6184502)); #235627=CARTESIAN_POINT('',(1.2775284,4.24,23.6184502)); #235628=CARTESIAN_POINT('Origin',(1.279027,4.25,23.6239796)); #235629=CARTESIAN_POINT('',(1.279027,4.25,23.6239796)); #235630=CARTESIAN_POINT('',(2.29169174269069,4.25,27.3604192291431)); #235631=CARTESIAN_POINT('',(1.279027,4.24,23.6239796)); #235632=CARTESIAN_POINT('',(1.279027,4.25,23.6239796)); #235633=CARTESIAN_POINT('',(1.279027,4.24,23.6239796)); #235634=CARTESIAN_POINT('Origin',(1.2809225,4.25,23.6289501)); #235635=CARTESIAN_POINT('',(1.2809225,4.25,23.6289501)); #235636=CARTESIAN_POINT('',(3.06567280846785,4.25,28.3090352533845)); #235637=CARTESIAN_POINT('',(1.2809225,4.24,23.6289501)); #235638=CARTESIAN_POINT('',(1.2809225,4.25,23.6289501)); #235639=CARTESIAN_POINT('',(1.2809225,4.24,23.6289501)); #235640=CARTESIAN_POINT('Origin',(1.2832221,4.25,23.6333618)); #235641=CARTESIAN_POINT('',(1.2832221,4.25,23.6333618)); #235642=CARTESIAN_POINT('',(4.18233528425804,4.25,29.1952063099105)); #235643=CARTESIAN_POINT('',(1.2832221,4.24,23.6333618)); #235644=CARTESIAN_POINT('',(1.2832221,4.25,23.6333618)); #235645=CARTESIAN_POINT('',(1.2832221,4.24,23.6333618)); #235646=CARTESIAN_POINT('Origin',(1.2859333,4.25,23.6372242)); #235647=CARTESIAN_POINT('',(1.2859333,4.25,23.6372242)); #235648=CARTESIAN_POINT('',(5.65223043574235,4.25,29.8574905238022)); #235649=CARTESIAN_POINT('',(1.2859333,4.24,23.6372242)); #235650=CARTESIAN_POINT('',(1.2859333,4.25,23.6372242)); #235651=CARTESIAN_POINT('',(1.2859333,4.24,23.6372242)); #235652=CARTESIAN_POINT('Origin',(1.2889379,4.25,23.6405582)); #235653=CARTESIAN_POINT('',(1.2889379,4.25,23.6405582)); #235654=CARTESIAN_POINT('',(7.11956151088937,4.25,30.1104041424568)); #235655=CARTESIAN_POINT('',(1.2889379,4.24,23.6405582)); #235656=CARTESIAN_POINT('',(1.2889379,4.25,23.6405582)); #235657=CARTESIAN_POINT('',(1.2889379,4.24,23.6405582)); #235658=CARTESIAN_POINT('Origin',(1.2921466,4.25,23.6434727)); #235659=CARTESIAN_POINT('',(1.2921466,4.25,23.6434727)); #235660=CARTESIAN_POINT('',(8.34408522702316,4.25,30.0488316081108)); #235661=CARTESIAN_POINT('',(1.2921466,4.24,23.6434727)); #235662=CARTESIAN_POINT('',(1.2921466,4.25,23.6434727)); #235663=CARTESIAN_POINT('',(1.2921466,4.24,23.6434727)); #235664=CARTESIAN_POINT('Origin',(1.2956258,4.25,23.645977)); #235665=CARTESIAN_POINT('',(1.2956258,4.25,23.645977)); #235666=CARTESIAN_POINT('',(9.68735970444594,4.25,29.6862791432817)); #235667=CARTESIAN_POINT('',(1.2956258,4.24,23.645977)); #235668=CARTESIAN_POINT('',(1.2956258,4.25,23.645977)); #235669=CARTESIAN_POINT('',(1.2956258,4.24,23.645977)); #235670=CARTESIAN_POINT('Origin',(1.299371,4.25,23.6480637)); #235671=CARTESIAN_POINT('',(1.299371,4.25,23.6480637)); #235672=CARTESIAN_POINT('',(10.9350614211466,4.25,29.0167476692951)); #235673=CARTESIAN_POINT('',(1.299371,4.24,23.6480637)); #235674=CARTESIAN_POINT('',(1.299371,4.25,23.6480637)); #235675=CARTESIAN_POINT('',(1.299371,4.24,23.6480637)); #235676=CARTESIAN_POINT('Origin',(1.303377,4.25,23.6497326)); #235677=CARTESIAN_POINT('',(1.303377,4.25,23.6497326)); #235678=CARTESIAN_POINT('',(11.9799668485372,4.25,28.0976009969613)); #235679=CARTESIAN_POINT('',(1.303377,4.24,23.6497326)); #235680=CARTESIAN_POINT('',(1.303377,4.25,23.6497326)); #235681=CARTESIAN_POINT('',(1.303377,4.24,23.6497326)); #235682=CARTESIAN_POINT('Origin',(1.3076392,4.25,23.6509762)); #235683=CARTESIAN_POINT('',(1.3076392,4.25,23.6509762)); #235684=CARTESIAN_POINT('',(12.7744316785756,4.25,26.9966904148081)); #235685=CARTESIAN_POINT('',(1.3076392,4.24,23.6509762)); #235686=CARTESIAN_POINT('',(1.3076392,4.25,23.6509762)); #235687=CARTESIAN_POINT('',(1.3076392,4.24,23.6509762)); #235688=CARTESIAN_POINT('Origin',(1.3121527,4.25,23.6517887)); #235689=CARTESIAN_POINT('',(1.3121527,4.25,23.6517887)); #235690=CARTESIAN_POINT('',(13.2892993945329,4.25,25.8078608589244)); #235691=CARTESIAN_POINT('',(1.3121527,4.24,23.6517887)); #235692=CARTESIAN_POINT('',(1.3121527,4.25,23.6517887)); #235693=CARTESIAN_POINT('',(1.3121527,4.24,23.6517887)); #235694=CARTESIAN_POINT('Origin',(1.3169125,4.25,23.6521683)); #235695=CARTESIAN_POINT('',(1.3169125,4.25,23.6521683)); #235696=CARTESIAN_POINT('',(13.5344948580695,4.25,24.6265357656765)); #235697=CARTESIAN_POINT('',(1.3169125,4.24,23.6521683)); #235698=CARTESIAN_POINT('',(1.3169125,4.25,23.6521683)); #235699=CARTESIAN_POINT('',(1.3169125,4.24,23.6521683)); #235700=CARTESIAN_POINT('Origin',(1.3217946,4.25,23.6521072)); #235701=CARTESIAN_POINT('',(1.3217946,4.25,23.6521072)); #235702=CARTESIAN_POINT('',(13.5505474255575,4.25,23.4990630596427)); #235703=CARTESIAN_POINT('',(1.3217946,4.24,23.6521072)); #235704=CARTESIAN_POINT('',(1.3217946,4.25,23.6521072)); #235705=CARTESIAN_POINT('',(1.3217946,4.24,23.6521072)); #235706=CARTESIAN_POINT('Origin',(1.3264607,4.25,23.6516094)); #235707=CARTESIAN_POINT('',(1.3264607,4.25,23.6516094)); #235708=CARTESIAN_POINT('',(13.3544074713615,4.25,22.3684153186929)); #235709=CARTESIAN_POINT('',(1.3264607,4.24,23.6516094)); #235710=CARTESIAN_POINT('',(1.3264607,4.25,23.6516094)); #235711=CARTESIAN_POINT('',(1.3264607,4.24,23.6516094)); #235712=CARTESIAN_POINT('Origin',(1.3308887,4.25,23.6506805)); #235713=CARTESIAN_POINT('',(1.3308887,4.25,23.6506805)); #235714=CARTESIAN_POINT('',(12.9141686171083,4.25,21.2207553159439)); #235715=CARTESIAN_POINT('',(1.3308887,4.24,23.6506805)); #235716=CARTESIAN_POINT('',(1.3308887,4.25,23.6506805)); #235717=CARTESIAN_POINT('',(1.3308887,4.24,23.6506805)); #235718=CARTESIAN_POINT('Origin',(1.3350737,4.25,23.6493206)); #235719=CARTESIAN_POINT('',(1.3350737,4.25,23.6493206)); #235720=CARTESIAN_POINT('',(12.2007774785263,4.25,20.1185510496013)); #235721=CARTESIAN_POINT('',(1.3350737,4.24,23.6493206)); #235722=CARTESIAN_POINT('',(1.3350737,4.25,23.6493206)); #235723=CARTESIAN_POINT('',(1.3350737,4.24,23.6493206)); #235724=CARTESIAN_POINT('Origin',(1.3390108,4.25,23.6475353)); #235725=CARTESIAN_POINT('',(1.3390108,4.25,23.6475353)); #235726=CARTESIAN_POINT('',(11.2292197916838,4.25,19.1627647549667)); #235727=CARTESIAN_POINT('',(1.3390108,4.24,23.6475353)); #235728=CARTESIAN_POINT('',(1.3390108,4.25,23.6475353)); #235729=CARTESIAN_POINT('',(1.3390108,4.24,23.6475353)); #235730=CARTESIAN_POINT('Origin',(1.3426952,4.25,23.6453342)); #235731=CARTESIAN_POINT('',(1.3426952,4.25,23.6453342)); #235732=CARTESIAN_POINT('',(10.0566832585133,4.25,18.4395044541538)); #235733=CARTESIAN_POINT('',(1.3426952,4.24,23.6453342)); #235734=CARTESIAN_POINT('',(1.3426952,4.25,23.6453342)); #235735=CARTESIAN_POINT('',(1.3426952,4.24,23.6453342)); #235736=CARTESIAN_POINT('Origin',(1.3461221,4.25,23.6427193)); #235737=CARTESIAN_POINT('',(1.3461221,4.25,23.6427193)); #235738=CARTESIAN_POINT('',(8.74642127940847,4.25,17.995912470436)); #235739=CARTESIAN_POINT('',(1.3461221,4.24,23.6427193)); #235740=CARTESIAN_POINT('',(1.3461221,4.25,23.6427193)); #235741=CARTESIAN_POINT('',(1.3461221,4.24,23.6427193)); #235742=CARTESIAN_POINT('Origin',(1.3492867,4.25,23.6396942)); #235743=CARTESIAN_POINT('',(1.3492867,4.25,23.6396942)); #235744=CARTESIAN_POINT('',(7.39762225123809,4.25,17.857977750511)); #235745=CARTESIAN_POINT('',(1.3492867,4.24,23.6396942)); #235746=CARTESIAN_POINT('',(1.3492867,4.25,23.6396942)); #235747=CARTESIAN_POINT('',(1.3492867,4.24,23.6396942)); #235748=CARTESIAN_POINT('Origin',(1.3522294,4.25,23.6362247)); #235749=CARTESIAN_POINT('',(1.3522294,4.25,23.6362247)); #235750=CARTESIAN_POINT('',(6.1302685779347,4.25,18.002824445864)); #235751=CARTESIAN_POINT('',(1.3522294,4.24,23.6362247)); #235752=CARTESIAN_POINT('',(1.3522294,4.25,23.6362247)); #235753=CARTESIAN_POINT('',(1.3522294,4.24,23.6362247)); #235754=CARTESIAN_POINT('Origin',(1.3548003,4.25,23.6322136)); #235755=CARTESIAN_POINT('',(1.3548003,4.25,23.6322136)); #235756=CARTESIAN_POINT('',(4.60318073860226,4.25,18.5641134104647)); #235757=CARTESIAN_POINT('',(1.3548003,4.24,23.6322136)); #235758=CARTESIAN_POINT('',(1.3548003,4.25,23.6322136)); #235759=CARTESIAN_POINT('',(1.3548003,4.24,23.6322136)); #235760=CARTESIAN_POINT('Origin',(1.3569695,4.25,23.6276493)); #235761=CARTESIAN_POINT('',(1.3569695,4.25,23.6276493)); #235762=CARTESIAN_POINT('',(3.3427634487118,4.25,19.4492612674975)); #235763=CARTESIAN_POINT('',(1.3569695,4.24,23.6276493)); #235764=CARTESIAN_POINT('',(1.3569695,4.25,23.6276493)); #235765=CARTESIAN_POINT('',(1.3569695,4.24,23.6276493)); #235766=CARTESIAN_POINT('Origin',(1.3587426,4.25,23.6225281)); #235767=CARTESIAN_POINT('',(1.3587426,4.25,23.6225281)); #235768=CARTESIAN_POINT('',(2.45392846823372,4.25,20.4593303850381)); #235769=CARTESIAN_POINT('',(1.3587426,4.24,23.6225281)); #235770=CARTESIAN_POINT('',(1.3587426,4.25,23.6225281)); #235771=CARTESIAN_POINT('',(1.3587426,4.24,23.6225281)); #235772=CARTESIAN_POINT('Origin',(1.360126,4.25,23.6168461)); #235773=CARTESIAN_POINT('',(1.360126,4.25,23.6168461)); #235774=CARTESIAN_POINT('',(1.88500618484192,4.25,21.4610204456188)); #235775=CARTESIAN_POINT('',(1.360126,4.24,23.6168461)); #235776=CARTESIAN_POINT('',(1.360126,4.25,23.6168461)); #235777=CARTESIAN_POINT('',(1.360126,4.24,23.6168461)); #235778=CARTESIAN_POINT('Origin',(1.25490313952827,4.25,23.5969060265586)); #235779=CARTESIAN_POINT('',(1.3619975,4.25,23.5964012)); #235780=CARTESIAN_POINT('Origin',(1.25490313952827,4.25,23.5969060265586)); #235781=CARTESIAN_POINT('',(1.3619975,4.24,23.5964012)); #235782=CARTESIAN_POINT('',(1.3619975,4.25,23.5964012)); #235783=CARTESIAN_POINT('Origin',(1.25490313952827,4.24,23.5969060265586)); #235784=CARTESIAN_POINT('Origin',(1.3620036,4.25,23.4765434)); #235785=CARTESIAN_POINT('',(1.3620036,4.25,23.4765434)); #235786=CARTESIAN_POINT('',(1.36196486452167,4.25,24.237649828705)); #235787=CARTESIAN_POINT('',(1.3620036,4.24,23.4765434)); #235788=CARTESIAN_POINT('',(1.3620036,4.25,23.4765434)); #235789=CARTESIAN_POINT('',(1.3620036,4.24,23.4765434)); #235790=CARTESIAN_POINT('Origin',(2.3971035,4.25,23.4764977)); #235791=CARTESIAN_POINT('',(2.3971035,4.25,23.4764977)); #235792=CARTESIAN_POINT('',(14.0985180956279,4.25,23.4759810787086)); #235793=CARTESIAN_POINT('',(2.3971035,4.24,23.4764977)); #235794=CARTESIAN_POINT('',(2.3971035,4.25,23.4764977)); #235795=CARTESIAN_POINT('',(2.3971035,4.24,23.4764977)); #235796=CARTESIAN_POINT('Origin',(3.61602605537735,4.25,23.5354010149567)); #235797=CARTESIAN_POINT('',(2.3980002,4.25,23.6105995)); #235798=CARTESIAN_POINT('Origin',(3.61602605537735,4.25,23.5354010149567)); #235799=CARTESIAN_POINT('',(2.3980002,4.24,23.6105995)); #235800=CARTESIAN_POINT('',(2.3980002,4.25,23.6105995)); #235801=CARTESIAN_POINT('Origin',(3.61602605537735,4.24,23.5354010149567)); #235802=CARTESIAN_POINT('Origin',(2.3990166,4.25,23.6168461)); #235803=CARTESIAN_POINT('',(2.3990166,4.25,23.6168461)); #235804=CARTESIAN_POINT('',(2.81042733867461,4.25,26.1452978121255)); #235805=CARTESIAN_POINT('',(2.3990166,4.24,23.6168461)); #235806=CARTESIAN_POINT('',(2.3990166,4.25,23.6168461)); #235807=CARTESIAN_POINT('',(2.3990166,4.24,23.6168461)); #235808=CARTESIAN_POINT('Origin',(2.4004188,4.25,23.6225281)); #235809=CARTESIAN_POINT('',(2.4004188,4.25,23.6225281)); #235810=CARTESIAN_POINT('',(3.23224393966384,4.25,26.9932529920062)); #235811=CARTESIAN_POINT('',(2.4004188,4.24,23.6225281)); #235812=CARTESIAN_POINT('',(2.4004188,4.25,23.6225281)); #235813=CARTESIAN_POINT('',(2.4004188,4.24,23.6225281)); #235814=CARTESIAN_POINT('Origin',(2.4022102,4.25,23.6276493)); #235815=CARTESIAN_POINT('',(2.4022102,4.25,23.6276493)); #235816=CARTESIAN_POINT('',(3.89148976048916,4.25,27.8851565486184)); #235817=CARTESIAN_POINT('',(2.4022102,4.24,23.6276493)); #235818=CARTESIAN_POINT('',(2.4022102,4.25,23.6276493)); #235819=CARTESIAN_POINT('',(2.4022102,4.24,23.6276493)); #235820=CARTESIAN_POINT('Origin',(2.4043946,4.25,23.6322136)); #235821=CARTESIAN_POINT('',(2.4043946,4.25,23.6322136)); #235822=CARTESIAN_POINT('',(4.85068402625914,4.25,28.7437311005842)); #235823=CARTESIAN_POINT('',(2.4043946,4.24,23.6322136)); #235824=CARTESIAN_POINT('',(2.4043946,4.25,23.6322136)); #235825=CARTESIAN_POINT('',(2.4043946,4.24,23.6322136)); #235826=CARTESIAN_POINT('Origin',(2.4069753,4.25,23.6362247)); #235827=CARTESIAN_POINT('',(2.4069753,4.25,23.6362247)); #235828=CARTESIAN_POINT('',(6.14154303938833,4.25,29.4407446594922)); #235829=CARTESIAN_POINT('',(2.4069753,4.24,23.6362247)); #235830=CARTESIAN_POINT('',(2.4069753,4.25,23.6362247)); #235831=CARTESIAN_POINT('',(2.4069753,4.24,23.6362247)); #235832=CARTESIAN_POINT('Origin',(2.4099202,4.25,23.6396942)); #235833=CARTESIAN_POINT('',(2.4099202,4.25,23.6396942)); #235834=CARTESIAN_POINT('',(7.64287684059056,4.25,29.8048417651213)); #235835=CARTESIAN_POINT('',(2.4099202,4.24,23.6396942)); #235836=CARTESIAN_POINT('',(2.4099202,4.25,23.6396942)); #235837=CARTESIAN_POINT('',(2.4099202,4.24,23.6396942)); #235838=CARTESIAN_POINT('Origin',(2.413085,4.25,23.6427174)); #235839=CARTESIAN_POINT('',(2.413085,4.25,23.6427174)); #235840=CARTESIAN_POINT('',(8.86622056756973,4.25,29.807125718971)); #235841=CARTESIAN_POINT('',(2.413085,4.24,23.6427174)); #235842=CARTESIAN_POINT('',(2.413085,4.25,23.6427174)); #235843=CARTESIAN_POINT('',(2.413085,4.24,23.6427174)); #235844=CARTESIAN_POINT('Origin',(2.4165118,4.25,23.6453342)); #235845=CARTESIAN_POINT('',(2.4165118,4.25,23.6453342)); #235846=CARTESIAN_POINT('',(10.1284453915689,4.25,29.5343816560575)); #235847=CARTESIAN_POINT('',(2.4165118,4.24,23.6453342)); #235848=CARTESIAN_POINT('',(2.4165118,4.25,23.6453342)); #235849=CARTESIAN_POINT('',(2.4165118,4.24,23.6453342)); #235850=CARTESIAN_POINT('Origin',(2.4201963,4.25,23.6475372)); #235851=CARTESIAN_POINT('',(2.4201963,4.25,23.6475372)); #235852=CARTESIAN_POINT('',(11.3294103592386,4.25,28.9744468410634)); #235853=CARTESIAN_POINT('',(2.4201963,4.24,23.6475372)); #235854=CARTESIAN_POINT('',(2.4201963,4.25,23.6475372)); #235855=CARTESIAN_POINT('',(2.4201963,4.24,23.6475372)); #235856=CARTESIAN_POINT('Origin',(2.4241333,4.25,23.6493206)); #235857=CARTESIAN_POINT('',(2.4241333,4.25,23.6493206)); #235858=CARTESIAN_POINT('',(12.3759271110299,4.25,28.1573290029962)); #235859=CARTESIAN_POINT('',(2.4241333,4.24,23.6493206)); #235860=CARTESIAN_POINT('',(2.4241333,4.25,23.6493206)); #235861=CARTESIAN_POINT('',(2.4241333,4.24,23.6493206)); #235862=CARTESIAN_POINT('Origin',(2.4283185,4.25,23.6506786)); #235863=CARTESIAN_POINT('',(2.4283185,4.25,23.6506786)); #235864=CARTESIAN_POINT('',(13.1990707187092,4.25,27.1455370328121)); #235865=CARTESIAN_POINT('',(2.4283185,4.24,23.6506786)); #235866=CARTESIAN_POINT('',(2.4283185,4.25,23.6506786)); #235867=CARTESIAN_POINT('',(2.4283185,4.24,23.6506786)); #235868=CARTESIAN_POINT('Origin',(2.4327464,4.25,23.6516094)); #235869=CARTESIAN_POINT('',(2.4327464,4.25,23.6516094)); #235870=CARTESIAN_POINT('',(13.7576575713657,4.25,26.0322474718414)); #235871=CARTESIAN_POINT('',(2.4327464,4.24,23.6516094)); #235872=CARTESIAN_POINT('',(2.4327464,4.25,23.6516094)); #235873=CARTESIAN_POINT('',(2.4327464,4.24,23.6516094)); #235874=CARTESIAN_POINT('Origin',(2.4374125,4.25,23.6521091)); #235875=CARTESIAN_POINT('',(2.4374125,4.25,23.6521091)); #235876=CARTESIAN_POINT('',(14.0576126384929,4.25,24.8965346393596)); #235877=CARTESIAN_POINT('',(2.4374125,4.24,23.6521091)); #235878=CARTESIAN_POINT('',(2.4374125,4.25,23.6521091)); #235879=CARTESIAN_POINT('',(2.4374125,4.24,23.6521091)); #235880=CARTESIAN_POINT('Origin',(2.4421999,4.25,23.6521683)); #235881=CARTESIAN_POINT('',(2.4421999,4.25,23.6521683)); #235882=CARTESIAN_POINT('',(14.1276465993081,4.25,23.7966681213223)); #235883=CARTESIAN_POINT('',(2.4421999,4.24,23.6521683)); #235884=CARTESIAN_POINT('',(2.4421999,4.25,23.6521683)); #235885=CARTESIAN_POINT('',(2.4421999,4.24,23.6521683)); #235886=CARTESIAN_POINT('Origin',(2.4467237,4.25,23.6517906)); #235887=CARTESIAN_POINT('',(2.4467237,4.25,23.6517906)); #235888=CARTESIAN_POINT('',(13.9866363986542,4.25,22.68830303948)); #235889=CARTESIAN_POINT('',(2.4467237,4.24,23.6517906)); #235890=CARTESIAN_POINT('',(2.4467237,4.25,23.6517906)); #235891=CARTESIAN_POINT('',(2.4467237,4.24,23.6517906)); #235892=CARTESIAN_POINT('Origin',(2.4510446,4.25,23.6509762)); #235893=CARTESIAN_POINT('',(2.4510446,4.25,23.6509762)); #235894=CARTESIAN_POINT('',(13.6022505826948,4.25,21.5492052373975)); #235895=CARTESIAN_POINT('',(2.4510446,4.24,23.6509762)); #235896=CARTESIAN_POINT('',(2.4510446,4.25,23.6509762)); #235897=CARTESIAN_POINT('',(2.4510446,4.24,23.6509762)); #235898=CARTESIAN_POINT('Origin',(2.455169,4.25,23.6497326)); #235899=CARTESIAN_POINT('',(2.455169,4.25,23.6497326)); #235900=CARTESIAN_POINT('',(12.9682134415895,4.25,20.4798116254194)); #235901=CARTESIAN_POINT('',(2.455169,4.24,23.6497326)); #235902=CARTESIAN_POINT('',(2.455169,4.25,23.6497326)); #235903=CARTESIAN_POINT('',(2.455169,4.24,23.6497326)); #235904=CARTESIAN_POINT('Origin',(2.4591026,4.25,23.6480637)); #235905=CARTESIAN_POINT('',(2.4591026,4.25,23.6480637)); #235906=CARTESIAN_POINT('',(12.1062434697549,4.25,19.5550920207404)); #235907=CARTESIAN_POINT('',(2.4591026,4.24,23.6480637)); #235908=CARTESIAN_POINT('',(2.4591026,4.25,23.6480637)); #235909=CARTESIAN_POINT('',(2.4591026,4.24,23.6480637)); #235910=CARTESIAN_POINT('Origin',(2.4628518,4.25,23.645977)); #235911=CARTESIAN_POINT('',(2.4628518,4.25,23.645977)); #235912=CARTESIAN_POINT('',(11.0840197415045,4.25,18.8476757241183)); #235913=CARTESIAN_POINT('',(2.4628518,4.24,23.645977)); #235914=CARTESIAN_POINT('',(2.4628518,4.25,23.645977)); #235915=CARTESIAN_POINT('',(2.4628518,4.24,23.645977)); #235916=CARTESIAN_POINT('Origin',(2.4664223,4.25,23.6434727)); #235917=CARTESIAN_POINT('',(2.4664223,4.25,23.6434727)); #235918=CARTESIAN_POINT('',(9.96742285936565,4.25,18.3823732179043)); #235919=CARTESIAN_POINT('',(2.4664223,4.24,23.6434727)); #235920=CARTESIAN_POINT('',(2.4664223,4.25,23.6434727)); #235921=CARTESIAN_POINT('',(2.4664223,4.24,23.6434727)); #235922=CARTESIAN_POINT('Origin',(2.4698205,4.25,23.6405582)); #235923=CARTESIAN_POINT('',(2.4698205,4.25,23.6405582)); #235924=CARTESIAN_POINT('',(8.85507311568739,4.25,18.164182839981)); #235925=CARTESIAN_POINT('',(2.4698205,4.24,23.6405582)); #235926=CARTESIAN_POINT('',(2.4698205,4.25,23.6405582)); #235927=CARTESIAN_POINT('',(2.4698205,4.24,23.6405582)); #235928=CARTESIAN_POINT('Origin',(2.4729614,4.25,23.6372242)); #235929=CARTESIAN_POINT('',(2.4729614,4.25,23.6372242)); #235930=CARTESIAN_POINT('',(7.6171022461259,4.25,18.1768257215437)); #235931=CARTESIAN_POINT('',(2.4729614,4.24,23.6372242)); #235932=CARTESIAN_POINT('',(2.4729614,4.25,23.6372242)); #235933=CARTESIAN_POINT('',(2.4729614,4.24,23.6372242)); #235934=CARTESIAN_POINT('Origin',(2.4756751,4.25,23.6333618)); #235935=CARTESIAN_POINT('',(6.00852303181365,4.25,18.6050713287481)); #235936=CARTESIAN_POINT('',(2.4756751,4.24,23.6333618)); #235937=CARTESIAN_POINT('Origin',(1.87965667322142,4.24,23.122713452601)); #235938=CARTESIAN_POINT('Origin',(9.3236752,4.25,15.6667175)); #235939=CARTESIAN_POINT('',(9.3236752,4.25,15.6667175)); #235940=CARTESIAN_POINT('',(9.3202238,4.25,15.6640778)); #235941=CARTESIAN_POINT('',(16.7733141211178,4.25,21.3643508835804)); #235942=CARTESIAN_POINT('',(9.3236752,4.24,15.6667175)); #235943=CARTESIAN_POINT('',(9.3236752,4.25,15.6667175)); #235944=CARTESIAN_POINT('',(9.3202238,4.24,15.6640778)); #235945=CARTESIAN_POINT('',(9.3236752,4.24,15.6667175)); #235946=CARTESIAN_POINT('',(9.3202238,4.25,15.6640778)); #235947=CARTESIAN_POINT('Origin',(9.3273535,4.25,15.6689453)); #235948=CARTESIAN_POINT('',(9.3273535,4.25,15.6689453)); #235949=CARTESIAN_POINT('',(17.4206011372114,4.25,20.5707034725698)); #235950=CARTESIAN_POINT('',(9.3273535,4.24,15.6689453)); #235951=CARTESIAN_POINT('',(9.3273535,4.25,15.6689453)); #235952=CARTESIAN_POINT('',(9.3273535,4.24,15.6689453)); #235953=CARTESIAN_POINT('Origin',(9.3312531,4.25,15.6707611)); #235954=CARTESIAN_POINT('',(9.3312531,4.25,15.6707611)); #235955=CARTESIAN_POINT('',(17.8833888986938,4.25,19.6529562439272)); #235956=CARTESIAN_POINT('',(9.3312531,4.24,15.6707611)); #235957=CARTESIAN_POINT('',(9.3312531,4.25,15.6707611)); #235958=CARTESIAN_POINT('',(9.3312531,4.24,15.6707611)); #235959=CARTESIAN_POINT('Origin',(9.335371,4.25,15.6721573)); #235960=CARTESIAN_POINT('',(9.335371,4.25,15.6721573)); #235961=CARTESIAN_POINT('',(18.1371698645121,4.25,18.656462789363)); #235962=CARTESIAN_POINT('',(9.335371,4.24,15.6721573)); #235963=CARTESIAN_POINT('',(9.335371,4.25,15.6721573)); #235964=CARTESIAN_POINT('',(9.335371,4.24,15.6721573)); #235965=CARTESIAN_POINT('Origin',(9.3397007,4.25,15.67313)); #235966=CARTESIAN_POINT('',(9.3397007,4.25,15.67313)); #235967=CARTESIAN_POINT('',(18.1717631122406,4.25,17.6573199227158)); #235968=CARTESIAN_POINT('',(9.3397007,4.24,15.67313)); #235969=CARTESIAN_POINT('',(9.3397007,4.25,15.67313)); #235970=CARTESIAN_POINT('',(9.3397007,4.24,15.67313)); #235971=CARTESIAN_POINT('Origin',(9.3442373,4.25,15.6736755)); #235972=CARTESIAN_POINT('',(9.3442373,4.25,15.6736755)); #235973=CARTESIAN_POINT('',(18.0075766127805,4.25,16.7153921148918)); #235974=CARTESIAN_POINT('',(9.3442373,4.24,15.6736755)); #235975=CARTESIAN_POINT('',(9.3442373,4.25,15.6736755)); #235976=CARTESIAN_POINT('',(9.3442373,4.24,15.6736755)); #235977=CARTESIAN_POINT('Origin',(9.3489294,4.25,15.6737862)); #235978=CARTESIAN_POINT('',(9.3489294,4.25,15.6737862)); #235979=CARTESIAN_POINT('',(17.6798434963488,4.25,15.8703361862443)); #235980=CARTESIAN_POINT('',(9.3489294,4.24,15.6737862)); #235981=CARTESIAN_POINT('',(9.3489294,4.25,15.6737862)); #235982=CARTESIAN_POINT('',(9.3489294,4.24,15.6737862)); #235983=CARTESIAN_POINT('Origin',(9.3534222,4.25,15.6734619)); #235984=CARTESIAN_POINT('',(9.3534222,4.25,15.6734619)); #235985=CARTESIAN_POINT('',(17.199227559253,4.25,15.1071347369864)); #235986=CARTESIAN_POINT('',(9.3534222,4.24,15.6734619)); #235987=CARTESIAN_POINT('',(9.3534222,4.25,15.6734619)); #235988=CARTESIAN_POINT('',(9.3534222,4.24,15.6734619)); #235989=CARTESIAN_POINT('Origin',(9.3577156,4.25,15.6727066)); #235990=CARTESIAN_POINT('',(9.3577156,4.25,15.6727066)); #235991=CARTESIAN_POINT('',(16.5362600872372,4.25,14.4098485734431)); #235992=CARTESIAN_POINT('',(9.3577156,4.24,15.6727066)); #235993=CARTESIAN_POINT('',(9.3577156,4.25,15.6727066)); #235994=CARTESIAN_POINT('',(9.3577156,4.24,15.6727066)); #235995=CARTESIAN_POINT('Origin',(9.3618097,4.25,15.6715279)); #235996=CARTESIAN_POINT('',(9.3618097,4.25,15.6715279)); #235997=CARTESIAN_POINT('',(15.7117315656789,4.25,13.8433720408208)); #235998=CARTESIAN_POINT('',(9.3618097,4.24,15.6715279)); #235999=CARTESIAN_POINT('',(9.3618097,4.25,15.6715279)); #236000=CARTESIAN_POINT('',(9.3618097,4.24,15.6715279)); #236001=CARTESIAN_POINT('Origin',(9.3657045,4.25,15.6699257)); #236002=CARTESIAN_POINT('',(9.3657045,4.25,15.6699257)); #236003=CARTESIAN_POINT('',(14.7522630998625,4.25,13.4540624493316)); #236004=CARTESIAN_POINT('',(9.3657045,4.24,15.6699257)); #236005=CARTESIAN_POINT('',(9.3657045,4.25,15.6699257)); #236006=CARTESIAN_POINT('',(9.3657045,4.24,15.6699257)); #236007=CARTESIAN_POINT('Origin',(9.3693991,4.25,15.6679115)); #236008=CARTESIAN_POINT('',(9.3693991,4.25,15.6679115)); #236009=CARTESIAN_POINT('',(13.7414541870527,4.25,13.2843805747731)); #236010=CARTESIAN_POINT('',(9.3693991,4.24,15.6679115)); #236011=CARTESIAN_POINT('',(9.3693991,4.25,15.6679115)); #236012=CARTESIAN_POINT('',(9.3693991,4.24,15.6679115)); #236013=CARTESIAN_POINT('Origin',(9.3728952,4.25,15.6654816)); #236014=CARTESIAN_POINT('',(9.3728952,4.25,15.6654816)); #236015=CARTESIAN_POINT('',(12.7238249004667,4.25,13.3364795465208)); #236016=CARTESIAN_POINT('',(9.3728952,4.24,15.6654816)); #236017=CARTESIAN_POINT('',(9.3728952,4.25,15.6654816)); #236018=CARTESIAN_POINT('',(9.3728952,4.24,15.6654816)); #236019=CARTESIAN_POINT('Origin',(9.3761921,4.25,15.6626472)); #236020=CARTESIAN_POINT('',(9.3761921,4.25,15.6626472)); #236021=CARTESIAN_POINT('',(11.7901613607982,4.25,13.5873175045879)); #236022=CARTESIAN_POINT('',(9.3761921,4.24,15.6626472)); #236023=CARTESIAN_POINT('',(9.3761921,4.25,15.6626472)); #236024=CARTESIAN_POINT('',(9.3761921,4.24,15.6626472)); #236025=CARTESIAN_POINT('Origin',(9.3792925,4.25,15.6594048)); #236026=CARTESIAN_POINT('',(9.3792925,4.25,15.6594048)); #236027=CARTESIAN_POINT('',(10.9679422291596,4.25,13.997994052411)); #236028=CARTESIAN_POINT('',(9.3792925,4.24,15.6594048)); #236029=CARTESIAN_POINT('',(9.3792925,4.25,15.6594048)); #236030=CARTESIAN_POINT('',(9.3792925,4.24,15.6594048)); #236031=CARTESIAN_POINT('Origin',(9.3820848,4.25,15.6556549)); #236032=CARTESIAN_POINT('',(9.3820848,4.25,15.6556549)); #236033=CARTESIAN_POINT('',(10.0721145475527,4.25,14.7289842162097)); #236034=CARTESIAN_POINT('',(9.3820848,4.24,15.6556549)); #236035=CARTESIAN_POINT('',(9.3820848,4.25,15.6556549)); #236036=CARTESIAN_POINT('',(9.3820848,4.24,15.6556549)); #236037=CARTESIAN_POINT('Origin',(9.3844948,4.25,15.6513596)); #236038=CARTESIAN_POINT('',(9.3844948,4.25,15.6513596)); #236039=CARTESIAN_POINT('',(9.35499545463721,4.25,15.7039357569033)); #236040=CARTESIAN_POINT('',(9.3844948,4.24,15.6513596)); #236041=CARTESIAN_POINT('',(9.3844948,4.25,15.6513596)); #236042=CARTESIAN_POINT('',(9.3844948,4.24,15.6513596)); #236043=CARTESIAN_POINT('Origin',(9.3865185,4.25,15.6465187)); #236044=CARTESIAN_POINT('',(9.3865185,4.25,15.6465187)); #236045=CARTESIAN_POINT('',(8.94313311673926,4.25,16.7071424593654)); #236046=CARTESIAN_POINT('',(9.3865185,4.24,15.6465187)); #236047=CARTESIAN_POINT('',(9.3865185,4.25,15.6465187)); #236048=CARTESIAN_POINT('',(9.3865185,4.24,15.6465187)); #236049=CARTESIAN_POINT('Origin',(9.388155,4.25,15.6411285)); #236050=CARTESIAN_POINT('',(9.388155,4.25,15.6411285)); #236051=CARTESIAN_POINT('',(8.77991056485227,4.25,17.6445254778975)); #236052=CARTESIAN_POINT('',(9.388155,4.24,15.6411285)); #236053=CARTESIAN_POINT('',(9.388155,4.25,15.6411285)); #236054=CARTESIAN_POINT('',(9.388155,4.24,15.6411285)); #236055=CARTESIAN_POINT('Origin',(8.42690653357548,4.25,15.4741286942731)); #236056=CARTESIAN_POINT('',(9.39042,4.25,15.3207359)); #236057=CARTESIAN_POINT('Origin',(8.42690653357548,4.25,15.4741286942731)); #236058=CARTESIAN_POINT('',(9.39042,4.24,15.3207359)); #236059=CARTESIAN_POINT('',(9.39042,4.25,15.3207359)); #236060=CARTESIAN_POINT('Origin',(8.42690653357548,4.24,15.4741286942731)); #236061=CARTESIAN_POINT('Origin',(9.29230259558158,4.25,15.328814438337)); #236062=CARTESIAN_POINT('',(9.3885279,4.25,15.3080063)); #236063=CARTESIAN_POINT('Origin',(9.29230259558158,4.25,15.328814438337)); #236064=CARTESIAN_POINT('',(9.3885279,4.24,15.3080063)); #236065=CARTESIAN_POINT('',(9.3885279,4.25,15.3080063)); #236066=CARTESIAN_POINT('Origin',(9.29230259558158,4.24,15.328814438337)); #236067=CARTESIAN_POINT('Origin',(9.3869963,4.25,15.3024673)); #236068=CARTESIAN_POINT('',(9.3869963,4.25,15.3024673)); #236069=CARTESIAN_POINT('',(11.2154006592272,4.25,21.914854180219)); #236070=CARTESIAN_POINT('',(9.3869963,4.24,15.3024673)); #236071=CARTESIAN_POINT('',(9.3869963,4.25,15.3024673)); #236072=CARTESIAN_POINT('',(9.3869963,4.24,15.3024673)); #236073=CARTESIAN_POINT('Origin',(9.3850756,4.25,15.2974815)); #236074=CARTESIAN_POINT('',(9.3850756,4.25,15.2974815)); #236075=CARTESIAN_POINT('',(12.0730648710789,4.25,22.2750297416525)); #236076=CARTESIAN_POINT('',(9.3850756,4.24,15.2974815)); #236077=CARTESIAN_POINT('',(9.3850756,4.25,15.2974815)); #236078=CARTESIAN_POINT('',(9.3850756,4.24,15.2974815)); #236079=CARTESIAN_POINT('Origin',(9.3827696,4.25,15.2930412)); #236080=CARTESIAN_POINT('',(9.3827696,4.25,15.2930412)); #236081=CARTESIAN_POINT('',(13.1115858580769,4.25,22.4730337545318)); #236082=CARTESIAN_POINT('',(9.3827696,4.24,15.2930412)); #236083=CARTESIAN_POINT('',(9.3827696,4.25,15.2930412)); #236084=CARTESIAN_POINT('',(9.3827696,4.24,15.2930412)); #236085=CARTESIAN_POINT('Origin',(9.3800793,4.25,15.2891464)); #236086=CARTESIAN_POINT('',(9.3800793,4.25,15.2891464)); #236087=CARTESIAN_POINT('',(14.3024915921688,4.25,22.4154190816845)); #236088=CARTESIAN_POINT('',(9.3800793,4.24,15.2891464)); #236089=CARTESIAN_POINT('',(9.3800793,4.25,15.2891464)); #236090=CARTESIAN_POINT('',(9.3800793,4.24,15.2891464)); #236091=CARTESIAN_POINT('Origin',(9.3770428,4.25,15.285778)); #236092=CARTESIAN_POINT('',(9.3770428,4.25,15.285778)); #236093=CARTESIAN_POINT('',(15.4739530289264,4.25,22.0491017000244)); #236094=CARTESIAN_POINT('',(9.3770428,4.24,15.285778)); #236095=CARTESIAN_POINT('',(9.3770428,4.25,15.285778)); #236096=CARTESIAN_POINT('',(9.3770428,4.24,15.285778)); #236097=CARTESIAN_POINT('Origin',(9.3737993,4.25,15.2828369)); #236098=CARTESIAN_POINT('',(9.3737993,4.25,15.2828369)); #236099=CARTESIAN_POINT('',(16.2986791539677,4.25,21.5620920683344)); #236100=CARTESIAN_POINT('',(9.3737993,4.24,15.2828369)); #236101=CARTESIAN_POINT('',(9.3737993,4.25,15.2828369)); #236102=CARTESIAN_POINT('',(9.3737993,4.24,15.2828369)); #236103=CARTESIAN_POINT('Origin',(9.3703566,4.25,15.2802963)); #236104=CARTESIAN_POINT('',(9.3703566,4.25,15.2802963)); #236105=CARTESIAN_POINT('',(17.0106945980163,4.25,20.9186158508655)); #236106=CARTESIAN_POINT('',(9.3703566,4.24,15.2802963)); #236107=CARTESIAN_POINT('',(9.3703566,4.25,15.2802963)); #236108=CARTESIAN_POINT('',(9.3703566,4.24,15.2802963)); #236109=CARTESIAN_POINT('Origin',(9.3667145,4.25,15.2781677)); #236110=CARTESIAN_POINT('',(9.3667145,4.25,15.2781677)); #236111=CARTESIAN_POINT('',(17.6089539152351,4.25,20.0952871693401)); #236112=CARTESIAN_POINT('',(9.3667145,4.24,15.2781677)); #236113=CARTESIAN_POINT('',(9.3667145,4.25,15.2781677)); #236114=CARTESIAN_POINT('',(9.3667145,4.24,15.2781677)); #236115=CARTESIAN_POINT('Origin',(9.362874,4.25,15.2764549)); #236116=CARTESIAN_POINT('',(9.362874,4.25,15.2764549)); #236117=CARTESIAN_POINT('',(18.0265006195062,4.25,19.1402902531797)); #236118=CARTESIAN_POINT('',(9.362874,4.24,15.2764549)); #236119=CARTESIAN_POINT('',(9.362874,4.25,15.2764549)); #236120=CARTESIAN_POINT('',(9.362874,4.24,15.2764549)); #236121=CARTESIAN_POINT('Origin',(9.3588333,4.25,15.2751617)); #236122=CARTESIAN_POINT('',(9.3588333,4.25,15.2751617)); #236123=CARTESIAN_POINT('',(18.2272313714799,4.25,18.1134353619969)); #236124=CARTESIAN_POINT('',(9.3588333,4.24,15.2751617)); #236125=CARTESIAN_POINT('',(9.3588333,4.25,15.2751617)); #236126=CARTESIAN_POINT('',(9.3588333,4.24,15.2751617)); #236127=CARTESIAN_POINT('Origin',(9.3545933,4.25,15.2742958)); #236128=CARTESIAN_POINT('',(9.3545933,4.25,15.2742958)); #236129=CARTESIAN_POINT('',(18.2014261132888,4.25,17.0810110200512)); #236130=CARTESIAN_POINT('',(9.3545933,4.24,15.2742958)); #236131=CARTESIAN_POINT('',(9.3545933,4.25,15.2742958)); #236132=CARTESIAN_POINT('',(9.3545933,4.24,15.2742958)); #236133=CARTESIAN_POINT('Origin',(9.3501549,4.25,15.2738533)); #236134=CARTESIAN_POINT('',(9.3501549,4.25,15.2738533)); #236135=CARTESIAN_POINT('',(17.9741961113093,4.25,16.1336537316894)); #236136=CARTESIAN_POINT('',(9.3501549,4.24,15.2738533)); #236137=CARTESIAN_POINT('',(9.3501549,4.25,15.2738533)); #236138=CARTESIAN_POINT('',(9.3501549,4.24,15.2738533)); #236139=CARTESIAN_POINT('Origin',(9.3454895,4.25,15.2738495)); #236140=CARTESIAN_POINT('',(9.3454895,4.25,15.2738495)); #236141=CARTESIAN_POINT('',(17.5767002970749,4.25,15.2805538771252)); #236142=CARTESIAN_POINT('',(9.3454895,4.24,15.2738495)); #236143=CARTESIAN_POINT('',(9.3454895,4.25,15.2738495)); #236144=CARTESIAN_POINT('',(9.3454895,4.24,15.2738495)); #236145=CARTESIAN_POINT('Origin',(9.3408976,4.25,15.2742805)); #236146=CARTESIAN_POINT('',(9.3408976,4.25,15.2742805)); #236147=CARTESIAN_POINT('',(17.0461341034165,4.25,14.5510598434141)); #236148=CARTESIAN_POINT('',(9.3408976,4.24,15.2742805)); #236149=CARTESIAN_POINT('',(9.3408976,4.25,15.2742805)); #236150=CARTESIAN_POINT('',(9.3408976,4.24,15.2742805)); #236151=CARTESIAN_POINT('Origin',(9.3365116,4.25,15.275135)); #236152=CARTESIAN_POINT('',(9.3365116,4.25,15.275135)); #236153=CARTESIAN_POINT('',(16.3545543237592,4.25,13.9078487465901)); #236154=CARTESIAN_POINT('',(9.3365116,4.24,15.275135)); #236155=CARTESIAN_POINT('',(9.3365116,4.25,15.275135)); #236156=CARTESIAN_POINT('',(9.3365116,4.24,15.275135)); #236157=CARTESIAN_POINT('Origin',(9.3323364,4.25,15.2764206)); #236158=CARTESIAN_POINT('',(9.3323364,4.25,15.2764206)); #236159=CARTESIAN_POINT('',(15.4857489444617,4.25,13.3817024626275)); #236160=CARTESIAN_POINT('',(9.3323364,4.24,15.2764206)); #236161=CARTESIAN_POINT('',(9.3323364,4.25,15.2764206)); #236162=CARTESIAN_POINT('',(9.3323364,4.24,15.2764206)); #236163=CARTESIAN_POINT('Origin',(9.3283777,4.25,15.2781219)); #236164=CARTESIAN_POINT('',(9.3283777,4.25,15.2781219)); #236165=CARTESIAN_POINT('',(14.5168519922585,4.25,13.048311276962)); #236166=CARTESIAN_POINT('',(9.3283777,4.24,15.2781219)); #236167=CARTESIAN_POINT('',(9.3283777,4.25,15.2781219)); #236168=CARTESIAN_POINT('',(9.3283777,4.24,15.2781219)); #236169=CARTESIAN_POINT('Origin',(9.3246403,4.25,15.2802391)); #236170=CARTESIAN_POINT('',(9.3246403,4.25,15.2802391)); #236171=CARTESIAN_POINT('',(13.4767790924346,4.25,12.9280936910663)); #236172=CARTESIAN_POINT('',(9.3246403,4.24,15.2802391)); #236173=CARTESIAN_POINT('',(9.3246403,4.25,15.2802391)); #236174=CARTESIAN_POINT('',(9.3246403,4.24,15.2802391)); #236175=CARTESIAN_POINT('Origin',(9.3211269,4.25,15.2827682)); #236176=CARTESIAN_POINT('',(9.3211269,4.25,15.2827682)); #236177=CARTESIAN_POINT('',(12.4446071344317,4.25,13.0343496137618)); #236178=CARTESIAN_POINT('',(9.3211269,4.24,15.2827682)); #236179=CARTESIAN_POINT('',(9.3211269,4.25,15.2827682)); #236180=CARTESIAN_POINT('',(9.3211269,4.24,15.2827682)); #236181=CARTESIAN_POINT('Origin',(9.3178453,4.25,15.2857018)); #236182=CARTESIAN_POINT('',(9.3178453,4.25,15.2857018)); #236183=CARTESIAN_POINT('',(11.4849797105475,4.25,13.3483829595589)); #236184=CARTESIAN_POINT('',(9.3178453,4.24,15.2857018)); #236185=CARTESIAN_POINT('',(9.3178453,4.25,15.2857018)); #236186=CARTESIAN_POINT('',(9.3178453,4.24,15.2857018)); #236187=CARTESIAN_POINT('Origin',(9.3147984,4.25,15.2890587)); #236188=CARTESIAN_POINT('',(9.3147984,4.25,15.2890587)); #236189=CARTESIAN_POINT('',(10.621634025866,4.25,13.8492620173171)); #236190=CARTESIAN_POINT('',(9.3147984,4.24,15.2890587)); #236191=CARTESIAN_POINT('',(9.3147984,4.25,15.2890587)); #236192=CARTESIAN_POINT('',(9.3147984,4.24,15.2890587)); #236193=CARTESIAN_POINT('Origin',(9.3120995,4.25,15.2929382)); #236194=CARTESIAN_POINT('',(9.3120995,4.25,15.2929382)); #236195=CARTESIAN_POINT('',(9.72540981917782,4.25,14.6988304586051)); #236196=CARTESIAN_POINT('',(9.3120995,4.24,15.2929382)); #236197=CARTESIAN_POINT('',(9.3120995,4.25,15.2929382)); #236198=CARTESIAN_POINT('',(9.3120995,4.24,15.2929382)); #236199=CARTESIAN_POINT('Origin',(9.309783,4.25,15.2973671)); #236200=CARTESIAN_POINT('',(9.309783,4.25,15.2973671)); #236201=CARTESIAN_POINT('',(9.08851229367483,4.25,15.7204130016808)); #236202=CARTESIAN_POINT('',(9.309783,4.24,15.2973671)); #236203=CARTESIAN_POINT('',(9.309783,4.25,15.2973671)); #236204=CARTESIAN_POINT('',(9.309783,4.24,15.2973671)); #236205=CARTESIAN_POINT('Origin',(9.39125233724118,4.25,15.3301297184301)); #236206=CARTESIAN_POINT('',(9.3063116,4.25,15.3078651)); #236207=CARTESIAN_POINT('Origin',(9.39125233724118,4.25,15.3301297184301)); #236208=CARTESIAN_POINT('',(9.3063116,4.24,15.3078651)); #236209=CARTESIAN_POINT('',(9.3063116,4.25,15.3078651)); #236210=CARTESIAN_POINT('Origin',(9.39125233724118,4.24,15.3301297184301)); #236211=CARTESIAN_POINT('Origin',(9.3051596,4.25,15.3139381)); #236212=CARTESIAN_POINT('',(9.3051596,4.25,15.3139381)); #236213=CARTESIAN_POINT('',(8.70484382363573,4.25,18.4786236120354)); #236214=CARTESIAN_POINT('',(9.3051596,4.24,15.3139381)); #236215=CARTESIAN_POINT('',(9.3051596,4.25,15.3139381)); #236216=CARTESIAN_POINT('',(9.3051596,4.24,15.3139381)); #236217=CARTESIAN_POINT('Origin',(9.3044014,4.25,15.3205681)); #236218=CARTESIAN_POINT('',(9.3044014,4.25,15.3205681)); #236219=CARTESIAN_POINT('',(8.86455328363272,4.25,19.1667736018738)); #236220=CARTESIAN_POINT('',(9.3044014,4.24,15.3205681)); #236221=CARTESIAN_POINT('',(9.3044014,4.25,15.3205681)); #236222=CARTESIAN_POINT('',(9.3044014,4.24,15.3205681)); #236223=CARTESIAN_POINT('Origin',(9.304038,4.25,15.327755)); #236224=CARTESIAN_POINT('',(9.304038,4.25,15.327755)); #236225=CARTESIAN_POINT('',(9.08116101828243,4.25,19.7355551648399)); #236226=CARTESIAN_POINT('',(9.304038,4.24,15.327755)); #236227=CARTESIAN_POINT('',(9.304038,4.25,15.327755)); #236228=CARTESIAN_POINT('',(9.304038,4.24,15.327755)); #236229=CARTESIAN_POINT('Origin',(9.16330660746811,4.25,15.3786685319445)); #236230=CARTESIAN_POINT('',(9.3037405,4.25,15.430397)); #236231=CARTESIAN_POINT('Origin',(9.16330660746811,4.25,15.3786685319445)); #236232=CARTESIAN_POINT('',(9.3037405,4.24,15.430397)); #236233=CARTESIAN_POINT('',(9.3037405,4.25,15.430397)); #236234=CARTESIAN_POINT('Origin',(9.16330660746811,4.24,15.3786685319445)); #236235=CARTESIAN_POINT('Origin',(8.9929695,4.25,15.430397)); #236236=CARTESIAN_POINT('',(8.9929695,4.25,15.430397)); #236237=CARTESIAN_POINT('',(17.39648475,4.25,15.430397)); #236238=CARTESIAN_POINT('',(8.9929695,4.24,15.430397)); #236239=CARTESIAN_POINT('',(8.9929695,4.25,15.430397)); #236240=CARTESIAN_POINT('',(8.9929695,4.24,15.430397)); #236241=CARTESIAN_POINT('Origin',(8.9929028,4.25,14.979507)); #236242=CARTESIAN_POINT('',(8.9929028,4.25,14.979507)); #236243=CARTESIAN_POINT('',(8.99364414789254,4.25,19.9909965243379)); #236244=CARTESIAN_POINT('',(8.9929028,4.24,14.979507)); #236245=CARTESIAN_POINT('',(8.9929028,4.25,14.979507)); #236246=CARTESIAN_POINT('',(8.9929028,4.24,14.979507)); #236247=CARTESIAN_POINT('Origin',(9.6560116,4.25,14.9795)); #236248=CARTESIAN_POINT('',(9.6560116,4.25,14.9795)); #236249=CARTESIAN_POINT('',(17.7279529092127,4.25,14.9794147898668)); #236250=CARTESIAN_POINT('',(9.6560116,4.24,14.9795)); #236251=CARTESIAN_POINT('',(9.6560116,4.25,14.9795)); #236252=CARTESIAN_POINT('',(9.6560116,4.24,14.9795)); #236253=CARTESIAN_POINT('Origin',(16.9675588722969,4.25,15.0218779962134)); #236254=CARTESIAN_POINT('',(9.6581669,4.25,15.2043839)); #236255=CARTESIAN_POINT('Origin',(16.9675588722969,4.25,15.0218779962134)); #236256=CARTESIAN_POINT('',(9.6581669,4.24,15.2043839)); #236257=CARTESIAN_POINT('',(9.6581669,4.25,15.2043839)); #236258=CARTESIAN_POINT('Origin',(16.9675588722969,4.24,15.0218779962134)); #236259=CARTESIAN_POINT('Origin',(9.6590853,4.25,15.2107925)); #236260=CARTESIAN_POINT('',(9.6590853,4.25,15.2107925)); #236261=CARTESIAN_POINT('',(10.508810701519,4.25,21.1401807928769)); #236262=CARTESIAN_POINT('',(9.6590853,4.24,15.2107925)); #236263=CARTESIAN_POINT('',(9.6590853,4.25,15.2107925)); #236264=CARTESIAN_POINT('',(9.6590853,4.24,15.2107925)); #236265=CARTESIAN_POINT('Origin',(9.6603928,4.25,15.2166367)); #236266=CARTESIAN_POINT('',(9.6603928,4.25,15.2166367)); #236267=CARTESIAN_POINT('',(11.0872894251483,4.25,21.5945099364669)); #236268=CARTESIAN_POINT('',(9.6603928,4.24,15.2166367)); #236269=CARTESIAN_POINT('',(9.6603928,4.25,15.2166367)); #236270=CARTESIAN_POINT('',(9.6603928,4.24,15.2166367)); #236271=CARTESIAN_POINT('Origin',(9.6620846,4.25,15.2219353)); #236272=CARTESIAN_POINT('',(9.6620846,4.25,15.2219353)); #236273=CARTESIAN_POINT('',(11.8251979195309,4.25,21.9966558549694)); #236274=CARTESIAN_POINT('',(9.6620846,4.24,15.2219353)); #236275=CARTESIAN_POINT('',(9.6620846,4.25,15.2219353)); #236276=CARTESIAN_POINT('',(9.6620846,4.24,15.2219353)); #236277=CARTESIAN_POINT('Origin',(9.6641579,4.25,15.2266846)); #236278=CARTESIAN_POINT('',(9.6641579,4.25,15.2266846)); #236279=CARTESIAN_POINT('',(12.7473786294939,4.25,22.289405967176)); #236280=CARTESIAN_POINT('',(9.6641579,4.24,15.2266846)); #236281=CARTESIAN_POINT('',(9.6641579,4.25,15.2266846)); #236282=CARTESIAN_POINT('',(9.6641579,4.24,15.2266846)); #236283=CARTESIAN_POINT('Origin',(9.6666088,4.25,15.2308998)); #236284=CARTESIAN_POINT('',(9.6666088,4.25,15.2308998)); #236285=CARTESIAN_POINT('',(13.8272445018959,4.25,22.3866024441875)); #236286=CARTESIAN_POINT('',(9.6666088,4.24,15.2308998)); #236287=CARTESIAN_POINT('',(9.6666088,4.25,15.2308998)); #236288=CARTESIAN_POINT('',(9.6666088,4.24,15.2308998)); #236289=CARTESIAN_POINT('Origin',(9.6694336,4.25,15.2345848)); #236290=CARTESIAN_POINT('',(9.6694336,4.25,15.2345848)); #236291=CARTESIAN_POINT('',(15.0121851629777,4.25,22.2042957563793)); #236292=CARTESIAN_POINT('',(9.6694336,4.24,15.2345848)); #236293=CARTESIAN_POINT('',(9.6694336,4.25,15.2345848)); #236294=CARTESIAN_POINT('',(9.6694336,4.24,15.2345848)); #236295=CARTESIAN_POINT('Origin',(9.6726046,4.25,15.2377586)); #236296=CARTESIAN_POINT('',(9.6726046,4.25,15.2377586)); #236297=CARTESIAN_POINT('',(16.1414542897657,4.25,21.7123202983165)); #236298=CARTESIAN_POINT('',(9.6726046,4.24,15.2377586)); #236299=CARTESIAN_POINT('',(9.6726046,4.25,15.2377586)); #236300=CARTESIAN_POINT('',(9.6726046,4.24,15.2377586)); #236301=CARTESIAN_POINT('Origin',(9.6759977,4.25,15.2405243)); #236302=CARTESIAN_POINT('',(9.6759977,4.25,15.2405243)); #236303=CARTESIAN_POINT('',(16.9095920346017,4.25,21.1365933375211)); #236304=CARTESIAN_POINT('',(9.6759977,4.24,15.2405243)); #236305=CARTESIAN_POINT('',(9.6759977,4.25,15.2405243)); #236306=CARTESIAN_POINT('',(9.6759977,4.24,15.2405243)); #236307=CARTESIAN_POINT('Origin',(9.71913307059072,4.25,15.1746381818359)); #236308=CARTESIAN_POINT('',(9.6834316,4.25,15.2448311)); #236309=CARTESIAN_POINT('Origin',(9.71913307059072,4.25,15.1746381818359)); #236310=CARTESIAN_POINT('',(9.6834316,4.24,15.2448311)); #236311=CARTESIAN_POINT('',(9.6834316,4.25,15.2448311)); #236312=CARTESIAN_POINT('Origin',(9.71913307059072,4.24,15.1746381818359)); #236313=CARTESIAN_POINT('Origin',(9.6874695,4.25,15.246357)); #236314=CARTESIAN_POINT('',(9.6874695,4.25,15.246357)); #236315=CARTESIAN_POINT('',(18.349661059554,4.25,18.519751115933)); #236316=CARTESIAN_POINT('',(9.6874695,4.24,15.246357)); #236317=CARTESIAN_POINT('',(9.6874695,4.25,15.246357)); #236318=CARTESIAN_POINT('',(9.6874695,4.24,15.246357)); #236319=CARTESIAN_POINT('Origin',(9.69172,4.25,15.247467)); #236320=CARTESIAN_POINT('',(9.69172,4.25,15.247467)); #236321=CARTESIAN_POINT('',(18.4237753927916,4.25,17.5278061332757)); #236322=CARTESIAN_POINT('',(9.69172,4.24,15.247467)); #236323=CARTESIAN_POINT('',(9.69172,4.25,15.247467)); #236324=CARTESIAN_POINT('',(9.69172,4.24,15.247467)); #236325=CARTESIAN_POINT('Origin',(9.6961823,4.25,15.2481461)); #236326=CARTESIAN_POINT('',(9.6961823,4.25,15.2481461)); #236327=CARTESIAN_POINT('',(18.2910764559434,4.25,16.5561694559592)); #236328=CARTESIAN_POINT('',(9.6961823,4.24,15.2481461)); #236329=CARTESIAN_POINT('',(9.6961823,4.25,15.2481461)); #236330=CARTESIAN_POINT('',(9.6961823,4.24,15.2481461)); #236331=CARTESIAN_POINT('Origin',(9.7008533,4.25,15.2483978)); #236332=CARTESIAN_POINT('',(9.7008533,4.25,15.2483978)); #236333=CARTESIAN_POINT('',(17.98909620486,4.25,15.6950153849205)); #236334=CARTESIAN_POINT('',(9.7008533,4.24,15.2483978)); #236335=CARTESIAN_POINT('',(9.7008533,4.25,15.2483978)); #236336=CARTESIAN_POINT('',(9.7008533,4.24,15.2483978)); #236337=CARTESIAN_POINT('Origin',(9.7054501,4.25,15.2482109)); #236338=CARTESIAN_POINT('',(9.7054501,4.25,15.2482109)); #236339=CARTESIAN_POINT('',(17.5415233640099,4.25,14.9296061982397)); #236340=CARTESIAN_POINT('',(9.7054501,4.24,15.2482109)); #236341=CARTESIAN_POINT('',(9.7054501,4.25,15.2482109)); #236342=CARTESIAN_POINT('',(9.7054501,4.24,15.2482109)); #236343=CARTESIAN_POINT('Origin',(9.7098322,4.25,15.2475929)); #236344=CARTESIAN_POINT('',(9.7098322,4.25,15.2475929)); #236345=CARTESIAN_POINT('',(16.9237559857754,4.25,14.2302256788938)); #236346=CARTESIAN_POINT('',(9.7098322,4.24,15.2475929)); #236347=CARTESIAN_POINT('',(9.7098322,4.25,15.2475929)); #236348=CARTESIAN_POINT('',(9.7098322,4.24,15.2475929)); #236349=CARTESIAN_POINT('Origin',(9.69259150648999,4.25,15.1772784787832)); #236350=CARTESIAN_POINT('',(9.7179775,4.25,15.245079)); #236351=CARTESIAN_POINT('Origin',(9.69259150648999,4.25,15.1772784787832)); #236352=CARTESIAN_POINT('',(9.7179775,4.24,15.245079)); #236353=CARTESIAN_POINT('',(9.7179775,4.25,15.245079)); #236354=CARTESIAN_POINT('Origin',(9.69259150648999,4.24,15.1772784787832)); #236355=CARTESIAN_POINT('Origin',(9.7217436,4.25,15.2431946)); #236356=CARTESIAN_POINT('',(9.7217436,4.25,15.2431946)); #236357=CARTESIAN_POINT('',(14.1990017080806,4.25,13.0029606235084)); #236358=CARTESIAN_POINT('',(9.7217436,4.24,15.2431946)); #236359=CARTESIAN_POINT('',(9.7217436,4.25,15.2431946)); #236360=CARTESIAN_POINT('',(9.7217436,4.24,15.2431946)); #236361=CARTESIAN_POINT('Origin',(9.7253065,4.25,15.2408981)); #236362=CARTESIAN_POINT('',(9.7253065,4.25,15.2408981)); #236363=CARTESIAN_POINT('',(13.1815674370274,4.25,13.0131332899077)); #236364=CARTESIAN_POINT('',(9.7253065,4.24,15.2408981)); #236365=CARTESIAN_POINT('',(9.7253065,4.25,15.2408981)); #236366=CARTESIAN_POINT('',(9.7253065,4.24,15.2408981)); #236367=CARTESIAN_POINT('Origin',(9.7286682,4.25,15.2381897)); #236368=CARTESIAN_POINT('',(9.7286682,4.25,15.2381897)); #236369=CARTESIAN_POINT('',(12.2168810643578,4.25,13.2335266658702)); #236370=CARTESIAN_POINT('',(9.7286682,4.24,15.2381897)); #236371=CARTESIAN_POINT('',(9.7286682,4.25,15.2381897)); #236372=CARTESIAN_POINT('',(9.7286682,4.24,15.2381897)); #236373=CARTESIAN_POINT('Origin',(9.7318287,4.25,15.2350769)); #236374=CARTESIAN_POINT('',(9.7318287,4.25,15.2350769)); #236375=CARTESIAN_POINT('',(11.3690078883272,4.25,13.6226069182182)); #236376=CARTESIAN_POINT('',(9.7318287,4.24,15.2350769)); #236377=CARTESIAN_POINT('',(9.7318287,4.25,15.2350769)); #236378=CARTESIAN_POINT('',(9.7318287,4.24,15.2350769)); #236379=CARTESIAN_POINT('Origin',(9.7347412,4.25,15.231472)); #236380=CARTESIAN_POINT('',(9.7347412,4.25,15.231472)); #236381=CARTESIAN_POINT('',(10.5195839498331,4.25,14.2600455179838)); #236382=CARTESIAN_POINT('',(9.7347412,4.24,15.231472)); #236383=CARTESIAN_POINT('',(9.7347412,4.25,15.231472)); #236384=CARTESIAN_POINT('',(9.7347412,4.24,15.231472)); #236385=CARTESIAN_POINT('Origin',(9.7372723,4.25,15.2273369)); #236386=CARTESIAN_POINT('',(9.7372723,4.25,15.2273369)); #236387=CARTESIAN_POINT('',(9.75048643359925,4.25,15.2057487510346)); #236388=CARTESIAN_POINT('',(9.7372723,4.24,15.2273369)); #236389=CARTESIAN_POINT('',(9.7372723,4.25,15.2273369)); #236390=CARTESIAN_POINT('',(9.7372723,4.24,15.2273369)); #236391=CARTESIAN_POINT('Origin',(9.739419,4.25,15.2226639)); #236392=CARTESIAN_POINT('',(9.739419,4.25,15.2226639)); #236393=CARTESIAN_POINT('',(9.28434066305942,4.25,16.213291872481)); #236394=CARTESIAN_POINT('',(9.739419,4.24,15.2226639)); #236395=CARTESIAN_POINT('',(9.739419,4.25,15.2226639)); #236396=CARTESIAN_POINT('',(9.739419,4.24,15.2226639)); #236397=CARTESIAN_POINT('Origin',(9.7411785,4.25,15.2174492)); #236398=CARTESIAN_POINT('',(9.7411785,4.25,15.2174492)); #236399=CARTESIAN_POINT('',(9.08017895010948,4.25,17.176479806885)); #236400=CARTESIAN_POINT('',(9.7411785,4.24,15.2174492)); #236401=CARTESIAN_POINT('',(9.7411785,4.25,15.2174492)); #236402=CARTESIAN_POINT('',(9.7411785,4.24,15.2174492)); #236403=CARTESIAN_POINT('Origin',(9.7425489,4.25,15.2116814)); #236404=CARTESIAN_POINT('',(9.7425489,4.25,15.2116814)); #236405=CARTESIAN_POINT('',(9.07087099577786,4.25,18.0386690065172)); #236406=CARTESIAN_POINT('',(9.7425489,4.24,15.2116814)); #236407=CARTESIAN_POINT('',(9.7425489,4.25,15.2116814)); #236408=CARTESIAN_POINT('',(9.7425489,4.24,15.2116814)); #236409=CARTESIAN_POINT('Origin',(9.59082462367504,4.25,15.187012347673)); #236410=CARTESIAN_POINT('',(9.7441139,4.25,15.1984673)); #236411=CARTESIAN_POINT('Origin',(9.59082462367504,4.25,15.187012347673)); #236412=CARTESIAN_POINT('',(9.7441139,4.24,15.1984673)); #236413=CARTESIAN_POINT('',(9.7441139,4.25,15.1984673)); #236414=CARTESIAN_POINT('Origin',(9.59082462367504,4.24,15.187012347673)); #236415=CARTESIAN_POINT('Origin',(-6.88411005433294,4.25,15.0364673215298)); #236416=CARTESIAN_POINT('',(9.74430369999997,4.25,14.895287)); #236417=CARTESIAN_POINT('Origin',(-6.88411005433294,4.25,15.0364673215298)); #236418=CARTESIAN_POINT('',(9.74430369999997,4.24,14.895287)); #236419=CARTESIAN_POINT('',(9.74430369999997,4.25,14.895287)); #236420=CARTESIAN_POINT('Origin',(-6.88411005433294,4.24,15.0364673215298)); #236421=CARTESIAN_POINT('Origin',(9.21517537532319,4.25,38.9443792600082)); #236422=CARTESIAN_POINT('',(8.7725716,4.25,14.893539)); #236423=CARTESIAN_POINT('Origin',(9.21517537532319,4.25,38.9443792600082)); #236424=CARTESIAN_POINT('',(8.7725716,4.24,14.893539)); #236425=CARTESIAN_POINT('',(8.7725716,4.25,14.893539)); #236426=CARTESIAN_POINT('Origin',(9.21517537532319,4.24,38.9443792600082)); #236427=CARTESIAN_POINT('Origin',(8.78391165860795,4.25,14.9915263689122)); #236428=CARTESIAN_POINT('',(8.7610283,4.25,14.895576)); #236429=CARTESIAN_POINT('Origin',(8.78391165860795,4.25,14.9915263689122)); #236430=CARTESIAN_POINT('',(8.7610283,4.24,14.895576)); #236431=CARTESIAN_POINT('',(8.7610283,4.25,14.895576)); #236432=CARTESIAN_POINT('Origin',(8.78391165860795,4.24,14.9915263689122)); #236433=CARTESIAN_POINT('Origin',(8.7561626,4.25,14.897076)); #236434=CARTESIAN_POINT('',(8.7561626,4.25,14.897076)); #236435=CARTESIAN_POINT('',(15.1163614861539,4.25,12.9363512678477)); #236436=CARTESIAN_POINT('',(8.7561626,4.24,14.897076)); #236437=CARTESIAN_POINT('',(8.7561626,4.25,14.897076)); #236438=CARTESIAN_POINT('',(8.7561626,4.24,14.897076)); #236439=CARTESIAN_POINT('Origin',(8.7518921,4.25,14.898888)); #236440=CARTESIAN_POINT('',(8.7518921,4.25,14.898888)); #236441=CARTESIAN_POINT('',(14.159415454006,4.25,12.6044418420636)); #236442=CARTESIAN_POINT('',(8.7518921,4.24,14.898888)); #236443=CARTESIAN_POINT('',(8.7518921,4.25,14.898888)); #236444=CARTESIAN_POINT('',(8.7518921,4.24,14.898888)); #236445=CARTESIAN_POINT('Origin',(8.7482128,4.25,14.901009)); #236446=CARTESIAN_POINT('',(8.7482128,4.25,14.901009)); #236447=CARTESIAN_POINT('',(12.9626936579805,4.25,12.4714941738718)); #236448=CARTESIAN_POINT('',(8.7482128,4.24,14.901009)); #236449=CARTESIAN_POINT('',(8.7482128,4.25,14.901009)); #236450=CARTESIAN_POINT('',(8.7482128,4.24,14.901009)); #236451=CARTESIAN_POINT('Origin',(8.7449474,4.25,14.903591)); #236452=CARTESIAN_POINT('',(8.7449474,4.25,14.903591)); #236453=CARTESIAN_POINT('',(11.5358366245068,4.25,12.6967936772596)); #236454=CARTESIAN_POINT('',(8.7449474,4.24,14.903591)); #236455=CARTESIAN_POINT('',(8.7449474,4.25,14.903591)); #236456=CARTESIAN_POINT('',(8.7449474,4.24,14.903591)); #236457=CARTESIAN_POINT('Origin',(8.7414036,4.25,14.907173)); #236458=CARTESIAN_POINT('',(8.7414036,4.25,14.907173)); #236459=CARTESIAN_POINT('',(10.4372684092385,4.25,13.1930278036881)); #236460=CARTESIAN_POINT('',(8.7414036,4.24,14.907173)); #236461=CARTESIAN_POINT('',(8.7414036,4.25,14.907173)); #236462=CARTESIAN_POINT('',(8.7414036,4.24,14.907173)); #236463=CARTESIAN_POINT('Origin',(8.7383652,4.25,14.910923)); #236464=CARTESIAN_POINT('',(8.7383652,4.25,14.910923)); #236465=CARTESIAN_POINT('',(9.65180309494332,4.25,13.7835559298197)); #236466=CARTESIAN_POINT('',(8.7383652,4.24,14.910923)); #236467=CARTESIAN_POINT('',(8.7383652,4.25,14.910923)); #236468=CARTESIAN_POINT('',(8.7383652,4.24,14.910923)); #236469=CARTESIAN_POINT('Origin',(8.7358265,4.25,14.914848)); #236470=CARTESIAN_POINT('',(8.7358265,4.25,14.914848)); #236471=CARTESIAN_POINT('',(8.95289343552686,4.25,14.5792479992347)); #236472=CARTESIAN_POINT('',(8.7358265,4.24,14.914848)); #236473=CARTESIAN_POINT('',(8.7358265,4.25,14.914848)); #236474=CARTESIAN_POINT('',(8.7358265,4.24,14.914848)); #236475=CARTESIAN_POINT('Origin',(8.7337799,4.25,14.918949)); #236476=CARTESIAN_POINT('',(8.7337799,4.25,14.918949)); #236477=CARTESIAN_POINT('',(8.42130325709743,4.25,15.545093196494)); #236478=CARTESIAN_POINT('',(8.7337799,4.24,14.918949)); #236479=CARTESIAN_POINT('',(8.7337799,4.25,14.918949)); #236480=CARTESIAN_POINT('',(8.7337799,4.24,14.918949)); #236481=CARTESIAN_POINT('Origin',(8.7322226,4.25,14.923241)); #236482=CARTESIAN_POINT('',(8.7322226,4.25,14.923241)); #236483=CARTESIAN_POINT('',(8.10957743181803,4.25,16.6392835491788)); #236484=CARTESIAN_POINT('',(8.7322226,4.24,14.923241)); #236485=CARTESIAN_POINT('',(8.7322226,4.25,14.923241)); #236486=CARTESIAN_POINT('',(8.7322226,4.24,14.923241)); #236487=CARTESIAN_POINT('Origin',(8.7311459,4.25,14.927723)); #236488=CARTESIAN_POINT('',(8.7311459,4.25,14.927723)); #236489=CARTESIAN_POINT('',(8.05297880631068,4.25,17.7507423312109)); #236490=CARTESIAN_POINT('',(8.7311459,4.24,14.927723)); #236491=CARTESIAN_POINT('',(8.7311459,4.25,14.927723)); #236492=CARTESIAN_POINT('',(8.7311459,4.24,14.927723)); #236493=CARTESIAN_POINT('Origin',(8.730545,4.25,14.932404)); #236494=CARTESIAN_POINT('',(8.730545,4.25,14.932404)); #236495=CARTESIAN_POINT('',(8.23319465171168,4.25,18.8067541087283)); #236496=CARTESIAN_POINT('',(8.730545,4.24,14.932404)); #236497=CARTESIAN_POINT('',(8.730545,4.25,14.932404)); #236498=CARTESIAN_POINT('',(8.730545,4.24,14.932404)); #236499=CARTESIAN_POINT('Origin',(8.7304134,4.25,14.937271)); #236500=CARTESIAN_POINT('',(8.7304134,4.25,14.937271)); #236501=CARTESIAN_POINT('',(8.60070390563335,4.25,19.7343538957809)); #236502=CARTESIAN_POINT('',(8.7304134,4.24,14.937271)); #236503=CARTESIAN_POINT('',(8.7304134,4.25,14.937271)); #236504=CARTESIAN_POINT('',(8.7304134,4.24,14.937271)); #236505=CARTESIAN_POINT('Origin',(8.7307262,4.25,14.942009)); #236506=CARTESIAN_POINT('',(8.7307262,4.25,14.942009)); #236507=CARTESIAN_POINT('',(9.09833406790854,4.25,20.5101869992058)); #236508=CARTESIAN_POINT('',(8.7307262,4.24,14.942009)); #236509=CARTESIAN_POINT('',(8.7307262,4.25,14.942009)); #236510=CARTESIAN_POINT('',(8.7307262,4.24,14.942009)); #236511=CARTESIAN_POINT('Origin',(8.7314701,4.25,14.946514)); #236512=CARTESIAN_POINT('',(8.7314701,4.25,14.946514)); #236513=CARTESIAN_POINT('',(9.76602003853009,4.25,21.2116672102174)); #236514=CARTESIAN_POINT('',(8.7314701,4.24,14.946514)); #236515=CARTESIAN_POINT('',(8.7314701,4.25,14.946514)); #236516=CARTESIAN_POINT('',(8.7314701,4.24,14.946514)); #236517=CARTESIAN_POINT('Origin',(8.7326393,4.25,14.950783)); #236518=CARTESIAN_POINT('',(8.7326393,4.25,14.950783)); #236519=CARTESIAN_POINT('',(10.6082177499517,4.25,21.7989222429259)); #236520=CARTESIAN_POINT('',(8.7326393,4.24,14.950783)); #236521=CARTESIAN_POINT('',(8.7326393,4.25,14.950783)); #236522=CARTESIAN_POINT('',(8.7326393,4.24,14.950783)); #236523=CARTESIAN_POINT('Origin',(8.73423,4.25,14.954807)); #236524=CARTESIAN_POINT('',(8.73423,4.25,14.954807)); #236525=CARTESIAN_POINT('',(11.6045386315021,4.25,22.2158379506362)); #236526=CARTESIAN_POINT('',(8.73423,4.24,14.954807)); #236527=CARTESIAN_POINT('',(8.73423,4.25,14.954807)); #236528=CARTESIAN_POINT('',(8.73423,4.24,14.954807)); #236529=CARTESIAN_POINT('Origin',(8.7362366,4.25,14.958584)); #236530=CARTESIAN_POINT('',(8.7362366,4.25,14.958584)); #236531=CARTESIAN_POINT('',(12.694472032852,4.25,22.4091248301991)); #236532=CARTESIAN_POINT('',(8.7362366,4.24,14.958584)); #236533=CARTESIAN_POINT('',(8.7362366,4.25,14.958584)); #236534=CARTESIAN_POINT('',(8.7362366,4.24,14.958584)); #236535=CARTESIAN_POINT('Origin',(8.7386551,4.25,14.962109)); #236536=CARTESIAN_POINT('',(8.7386551,4.25,14.962109)); #236537=CARTESIAN_POINT('',(13.8103855518112,4.25,22.3542321518059)); #236538=CARTESIAN_POINT('',(8.7386551,4.24,14.962109)); #236539=CARTESIAN_POINT('',(8.7386551,4.25,14.962109)); #236540=CARTESIAN_POINT('',(8.7386551,4.24,14.962109)); #236541=CARTESIAN_POINT('Origin',(8.7414818,4.25,14.965374)); #236542=CARTESIAN_POINT('',(8.7414818,4.25,14.965374)); #236543=CARTESIAN_POINT('',(14.8784050583292,4.25,22.0538709888682)); #236544=CARTESIAN_POINT('',(8.7414818,4.24,14.965374)); #236545=CARTESIAN_POINT('',(8.7414818,4.25,14.965374)); #236546=CARTESIAN_POINT('',(8.7414818,4.24,14.965374)); #236547=CARTESIAN_POINT('Origin',(8.7447138,4.25,14.968403)); #236548=CARTESIAN_POINT('',(8.7447138,4.25,14.968403)); #236549=CARTESIAN_POINT('',(15.7873681634095,4.25,21.5687124265969)); #236550=CARTESIAN_POINT('',(8.7447138,4.24,14.968403)); #236551=CARTESIAN_POINT('',(8.7447138,4.25,14.968403)); #236552=CARTESIAN_POINT('',(8.7447138,4.24,14.968403)); #236553=CARTESIAN_POINT('Origin',(8.7484512,4.25,14.971146)); #236554=CARTESIAN_POINT('',(8.7484512,4.25,14.971146)); #236555=CARTESIAN_POINT('',(16.6813594605486,4.25,20.7933666236176)); #236556=CARTESIAN_POINT('',(8.7484512,4.24,14.971146)); #236557=CARTESIAN_POINT('',(8.7484512,4.25,14.971146)); #236558=CARTESIAN_POINT('',(8.7484512,4.24,14.971146)); #236559=CARTESIAN_POINT('Origin',(8.7527313,4.25,14.973492)); #236560=CARTESIAN_POINT('',(8.7527313,4.25,14.973492)); #236561=CARTESIAN_POINT('',(17.4202181962422,4.25,19.724297882706)); #236562=CARTESIAN_POINT('',(8.7527313,4.24,14.973492)); #236563=CARTESIAN_POINT('',(8.7527313,4.25,14.973492)); #236564=CARTESIAN_POINT('',(8.7527313,4.24,14.973492)); #236565=CARTESIAN_POINT('Origin',(8.7575579,4.25,14.975441)); #236566=CARTESIAN_POINT('',(8.7575579,4.25,14.975441)); #236567=CARTESIAN_POINT('',(17.8243479779042,4.25,18.6366463747662)); #236568=CARTESIAN_POINT('',(8.7575579,4.24,14.975441)); #236569=CARTESIAN_POINT('',(8.7575579,4.25,14.975441)); #236570=CARTESIAN_POINT('',(8.7575579,4.24,14.975441)); #236571=CARTESIAN_POINT('Origin',(8.7629337,4.25,14.977001)); #236572=CARTESIAN_POINT('',(8.7629337,4.25,14.977001)); #236573=CARTESIAN_POINT('',(17.9611685669703,4.25,17.6462309550689)); #236574=CARTESIAN_POINT('',(8.7629337,4.24,14.977001)); #236575=CARTESIAN_POINT('',(8.7629337,4.25,14.977001)); #236576=CARTESIAN_POINT('',(8.7629337,4.24,14.977001)); #236577=CARTESIAN_POINT('Origin',(8.7688608,4.25,14.97818)); #236578=CARTESIAN_POINT('',(8.7688608,4.25,14.97818)); #236579=CARTESIAN_POINT('',(17.9191278462118,4.25,16.7983222023386)); #236580=CARTESIAN_POINT('',(8.7688608,4.24,14.97818)); #236581=CARTESIAN_POINT('',(8.7688608,4.25,14.97818)); #236582=CARTESIAN_POINT('',(8.7688608,4.24,14.97818)); #236583=CARTESIAN_POINT('Origin',(8.78615550383055,4.25,14.8631989217733)); #236584=CARTESIAN_POINT('',(8.7823772,4.25,14.979412)); #236585=CARTESIAN_POINT('Origin',(8.78615550383055,4.25,14.8631989217733)); #236586=CARTESIAN_POINT('',(8.7823772,4.24,14.979412)); #236587=CARTESIAN_POINT('',(8.7823772,4.25,14.979412)); #236588=CARTESIAN_POINT('Origin',(8.78615550383055,4.24,14.8631989217733)); #236589=CARTESIAN_POINT('Origin',(8.906004,4.25,14.979496)); #236590=CARTESIAN_POINT('',(8.906004,4.25,14.979496)); #236591=CARTESIAN_POINT('',(17.3564023861743,4.25,14.985237744221)); #236592=CARTESIAN_POINT('',(8.906004,4.24,14.979496)); #236593=CARTESIAN_POINT('',(8.906004,4.25,14.979496)); #236594=CARTESIAN_POINT('',(8.906004,4.24,14.979496)); #236595=CARTESIAN_POINT('Origin',(8.9061031,4.25,16.0142441)); #236596=CARTESIAN_POINT('',(8.9061031,4.25,16.0142441)); #236597=CARTESIAN_POINT('',(8.90653346983676,4.25,20.5079309907864)); #236598=CARTESIAN_POINT('',(8.9061031,4.24,16.0142441)); #236599=CARTESIAN_POINT('',(8.9061031,4.25,16.0142441)); #236600=CARTESIAN_POINT('',(8.9061031,4.24,16.0142441)); #236601=CARTESIAN_POINT('Origin',(8.84798377041286,4.25,16.9113377783614)); #236602=CARTESIAN_POINT('',(8.7702351,4.25,16.0157318)); #236603=CARTESIAN_POINT('Origin',(8.84798377041286,4.25,16.9113377783614)); #236604=CARTESIAN_POINT('',(8.7702351,4.24,16.0157318)); #236605=CARTESIAN_POINT('',(8.7702351,4.25,16.0157318)); #236606=CARTESIAN_POINT('Origin',(8.84798377041286,4.24,16.9113377783614)); #236607=CARTESIAN_POINT('Origin',(8.7641869,4.25,16.0168419)); #236608=CARTESIAN_POINT('',(8.7641869,4.25,16.0168419)); #236609=CARTESIAN_POINT('',(16.2069685827986,4.25,14.6507772946499)); #236610=CARTESIAN_POINT('',(8.7641869,4.24,16.0168419)); #236611=CARTESIAN_POINT('',(8.7641869,4.25,16.0168419)); #236612=CARTESIAN_POINT('',(8.7641869,4.24,16.0168419)); #236613=CARTESIAN_POINT('Origin',(8.7586918,4.25,16.0183372)); #236614=CARTESIAN_POINT('',(8.7586918,4.25,16.0183372)); #236615=CARTESIAN_POINT('',(15.5541422103461,4.25,14.1691921437533)); #236616=CARTESIAN_POINT('',(8.7586918,4.24,16.0183372)); #236617=CARTESIAN_POINT('',(8.7586918,4.25,16.0183372)); #236618=CARTESIAN_POINT('',(8.7586918,4.24,16.0183372)); #236619=CARTESIAN_POINT('Origin',(8.7537441,4.25,16.0202179)); #236620=CARTESIAN_POINT('',(8.7537441,4.25,16.0202179)); #236621=CARTESIAN_POINT('',(14.7096364892622,4.25,13.7562878281511)); #236622=CARTESIAN_POINT('',(8.7537441,4.24,16.0202179)); #236623=CARTESIAN_POINT('',(8.7537441,4.25,16.0202179)); #236624=CARTESIAN_POINT('',(8.7537441,4.24,16.0202179)); #236625=CARTESIAN_POINT('Origin',(8.7493439,4.25,16.0224915)); #236626=CARTESIAN_POINT('',(8.7493439,4.25,16.0224915)); #236627=CARTESIAN_POINT('',(13.6475727636369,4.25,13.4915581005272)); #236628=CARTESIAN_POINT('',(8.7493439,4.24,16.0224915)); #236629=CARTESIAN_POINT('',(8.7493439,4.25,16.0224915)); #236630=CARTESIAN_POINT('',(8.7493439,4.24,16.0224915)); #236631=CARTESIAN_POINT('Origin',(8.7454872,4.25,16.0251541)); #236632=CARTESIAN_POINT('',(8.7454872,4.25,16.0251541)); #236633=CARTESIAN_POINT('',(12.4222518588235,4.25,13.4867783952301)); #236634=CARTESIAN_POINT('',(8.7454872,4.24,16.0251541)); #236635=CARTESIAN_POINT('',(8.7454872,4.25,16.0251541)); #236636=CARTESIAN_POINT('',(8.7454872,4.24,16.0251541)); #236637=CARTESIAN_POINT('Origin',(8.7421551,4.25,16.028141)); #236638=CARTESIAN_POINT('',(8.7421551,4.25,16.028141)); #236639=CARTESIAN_POINT('',(11.2415384680731,4.25,13.787689578345)); #236640=CARTESIAN_POINT('',(8.7421551,4.24,16.028141)); #236641=CARTESIAN_POINT('',(8.7421551,4.25,16.028141)); #236642=CARTESIAN_POINT('',(8.7421551,4.24,16.028141)); #236643=CARTESIAN_POINT('Origin',(8.7392406,4.25,16.0313492)); #236644=CARTESIAN_POINT('',(8.7392406,4.25,16.0313492)); #236645=CARTESIAN_POINT('',(10.364307958043,4.25,14.242520550806)); #236646=CARTESIAN_POINT('',(8.7392406,4.24,16.0313492)); #236647=CARTESIAN_POINT('',(8.7392406,4.25,16.0313492)); #236648=CARTESIAN_POINT('',(8.7392406,4.24,16.0313492)); #236649=CARTESIAN_POINT('Origin',(8.7367325,4.25,16.0348167)); #236650=CARTESIAN_POINT('',(8.7367325,4.25,16.0348167)); #236651=CARTESIAN_POINT('',(9.53854184582473,4.25,14.9262987355463)); #236652=CARTESIAN_POINT('',(8.7367325,4.24,16.0348167)); #236653=CARTESIAN_POINT('',(8.7367325,4.25,16.0348167)); #236654=CARTESIAN_POINT('',(8.7367325,4.24,16.0348167)); #236655=CARTESIAN_POINT('Origin',(8.7346354,4.25,16.0385361)); #236656=CARTESIAN_POINT('',(8.7346354,4.25,16.0385361)); #236657=CARTESIAN_POINT('',(8.87591468555508,4.25,15.7879642747683)); #236658=CARTESIAN_POINT('',(8.7346354,4.24,16.0385361)); #236659=CARTESIAN_POINT('',(8.7346354,4.25,16.0385361)); #236660=CARTESIAN_POINT('',(8.7346354,4.24,16.0385361)); #236661=CARTESIAN_POINT('Origin',(8.7329521,4.25,16.042511)); #236662=CARTESIAN_POINT('',(8.7329521,4.25,16.042511)); #236663=CARTESIAN_POINT('',(8.42236233979939,4.25,16.7759294267929)); #236664=CARTESIAN_POINT('',(8.7329521,4.24,16.042511)); #236665=CARTESIAN_POINT('',(8.7329521,4.25,16.042511)); #236666=CARTESIAN_POINT('',(8.7329521,4.24,16.042511)); #236667=CARTESIAN_POINT('Origin',(8.7316904,4.25,16.0467224)); #236668=CARTESIAN_POINT('',(8.7316904,4.25,16.0467224)); #236669=CARTESIAN_POINT('',(8.20388444158855,4.25,17.8084740154866)); #236670=CARTESIAN_POINT('',(8.7316904,4.24,16.0467224)); #236671=CARTESIAN_POINT('',(8.7316904,4.25,16.0467224)); #236672=CARTESIAN_POINT('',(8.7316904,4.24,16.0467224)); #236673=CARTESIAN_POINT('Origin',(8.7308531,4.25,16.051178)); #236674=CARTESIAN_POINT('',(8.7308531,4.25,16.051178)); #236675=CARTESIAN_POINT('',(8.20981027470491,4.25,18.8238501753051)); #236676=CARTESIAN_POINT('',(8.7308531,4.24,16.051178)); #236677=CARTESIAN_POINT('',(8.7308531,4.25,16.051178)); #236678=CARTESIAN_POINT('',(8.7308531,4.24,16.051178)); #236679=CARTESIAN_POINT('Origin',(8.7304459,4.25,16.0558662)); #236680=CARTESIAN_POINT('',(8.7304459,4.25,16.0558662)); #236681=CARTESIAN_POINT('',(8.40883129937204,4.25,19.7586991338414)); #236682=CARTESIAN_POINT('',(8.7304459,4.24,16.0558662)); #236683=CARTESIAN_POINT('',(8.7304459,4.25,16.0558662)); #236684=CARTESIAN_POINT('',(8.7304459,4.24,16.0558662)); #236685=CARTESIAN_POINT('Origin',(8.7304726,4.25,16.0605888)); #236686=CARTESIAN_POINT('',(8.7304726,4.25,16.0605888)); #236687=CARTESIAN_POINT('',(8.75601480786787,4.25,20.5784026907358)); #236688=CARTESIAN_POINT('',(8.7304726,4.24,16.0605888)); #236689=CARTESIAN_POINT('',(8.7304726,4.25,16.0605888)); #236690=CARTESIAN_POINT('',(8.7304726,4.24,16.0605888)); #236691=CARTESIAN_POINT('Origin',(8.7309322,4.25,16.0650482)); #236692=CARTESIAN_POINT('',(8.7309322,4.25,16.0650482)); #236693=CARTESIAN_POINT('',(9.27622640479703,4.25,21.3559206474991)); #236694=CARTESIAN_POINT('',(8.7309322,4.24,16.0650482)); #236695=CARTESIAN_POINT('',(8.7309322,4.25,16.0650482)); #236696=CARTESIAN_POINT('',(8.7309322,4.24,16.0650482)); #236697=CARTESIAN_POINT('Origin',(8.7318211,4.25,16.069313)); #236698=CARTESIAN_POINT('',(8.7318211,4.25,16.069313)); #236699=CARTESIAN_POINT('',(9.97907360058959,4.25,22.0534309710978)); #236700=CARTESIAN_POINT('',(8.7318211,4.24,16.069313)); #236701=CARTESIAN_POINT('',(8.7318211,4.25,16.069313)); #236702=CARTESIAN_POINT('',(8.7318211,4.24,16.069313)); #236703=CARTESIAN_POINT('Origin',(8.7331352,4.25,16.0733795)); #236704=CARTESIAN_POINT('',(8.7331352,4.25,16.0733795)); #236705=CARTESIAN_POINT('',(10.8459546317755,4.25,22.6115274486459)); #236706=CARTESIAN_POINT('',(8.7331352,4.24,16.0733795)); #236707=CARTESIAN_POINT('',(8.7331352,4.25,16.0733795)); #236708=CARTESIAN_POINT('',(8.7331352,4.24,16.0733795)); #236709=CARTESIAN_POINT('Origin',(8.734869,4.25,16.0772591)); #236710=CARTESIAN_POINT('',(8.734869,4.25,16.0772591)); #236711=CARTESIAN_POINT('',(11.8171920187864,4.25,22.9743524116171)); #236712=CARTESIAN_POINT('',(8.734869,4.24,16.0772591)); #236713=CARTESIAN_POINT('',(8.734869,4.25,16.0772591)); #236714=CARTESIAN_POINT('',(8.734869,4.24,16.0772591)); #236715=CARTESIAN_POINT('Origin',(8.7370167,4.25,16.0809593)); #236716=CARTESIAN_POINT('',(8.7370167,4.25,16.0809593)); #236717=CARTESIAN_POINT('',(12.8230934435536,4.25,23.1207233110373)); #236718=CARTESIAN_POINT('',(8.7370167,4.24,16.0809593)); #236719=CARTESIAN_POINT('',(8.7370167,4.25,16.0809593)); #236720=CARTESIAN_POINT('',(8.7370167,4.24,16.0809593)); #236721=CARTESIAN_POINT('Origin',(8.7395744,4.25,16.0844803)); #236722=CARTESIAN_POINT('',(8.7395744,4.25,16.0844803)); #236723=CARTESIAN_POINT('',(13.8056762943601,4.25,23.0586151750953)); #236724=CARTESIAN_POINT('',(8.7395744,4.24,16.0844803)); #236725=CARTESIAN_POINT('',(8.7395744,4.25,16.0844803)); #236726=CARTESIAN_POINT('',(8.7395744,4.24,16.0844803)); #236727=CARTESIAN_POINT('Origin',(8.7425385,4.25,16.0878334)); #236728=CARTESIAN_POINT('',(8.7425385,4.25,16.0878334)); #236729=CARTESIAN_POINT('',(14.6949150302707,4.25,22.8213827889031)); #236730=CARTESIAN_POINT('',(8.7425385,4.24,16.0878334)); #236731=CARTESIAN_POINT('',(8.7425385,4.25,16.0878334)); #236732=CARTESIAN_POINT('',(8.7425385,4.24,16.0878334)); #236733=CARTESIAN_POINT('Origin',(8.7459288,4.25,16.0908928)); #236734=CARTESIAN_POINT('',(8.7459288,4.25,16.0908928)); #236735=CARTESIAN_POINT('',(15.6613576356496,4.25,22.3313620740455)); #236736=CARTESIAN_POINT('',(8.7459288,4.24,16.0908928)); #236737=CARTESIAN_POINT('',(8.7459288,4.25,16.0908928)); #236738=CARTESIAN_POINT('',(8.7459288,4.24,16.0908928)); #236739=CARTESIAN_POINT('Origin',(8.7498512,4.25,16.0935364)); #236740=CARTESIAN_POINT('',(8.7498512,4.25,16.0935364)); #236741=CARTESIAN_POINT('',(16.6759310332864,4.25,21.4355169851708)); #236742=CARTESIAN_POINT('',(8.7498512,4.24,16.0935364)); #236743=CARTESIAN_POINT('',(8.7498512,4.25,16.0935364)); #236744=CARTESIAN_POINT('',(8.7498512,4.24,16.0935364)); #236745=CARTESIAN_POINT('Origin',(8.7543182,4.25,16.0958023)); #236746=CARTESIAN_POINT('',(8.7543182,4.25,16.0958023)); #236747=CARTESIAN_POINT('',(17.3291472146982,4.25,20.4454116719309)); #236748=CARTESIAN_POINT('',(8.7543182,4.24,16.0958023)); #236749=CARTESIAN_POINT('',(8.7543182,4.25,16.0958023)); #236750=CARTESIAN_POINT('',(8.7543182,4.24,16.0958023)); #236751=CARTESIAN_POINT('Origin',(8.7593327,4.25,16.0976906)); #236752=CARTESIAN_POINT('',(8.7593327,4.25,16.0976906)); #236753=CARTESIAN_POINT('',(17.6895002372423,4.25,19.4605055088769)); #236754=CARTESIAN_POINT('',(8.7593327,4.24,16.0976906)); #236755=CARTESIAN_POINT('',(8.7593327,4.25,16.0976906)); #236756=CARTESIAN_POINT('',(8.7593327,4.24,16.0976906)); #236757=CARTESIAN_POINT('Origin',(8.7648954,4.25,16.0991936)); #236758=CARTESIAN_POINT('',(8.7648954,4.25,16.0991936)); #236759=CARTESIAN_POINT('',(17.8235922636251,4.25,18.5467858458553)); #236760=CARTESIAN_POINT('',(8.7648954,4.24,16.0991936)); #236761=CARTESIAN_POINT('',(8.7648954,4.25,16.0991936)); #236762=CARTESIAN_POINT('',(8.7648954,4.24,16.0991936)); #236763=CARTESIAN_POINT('Origin',(8.7710114,4.25,16.1003113)); #236764=CARTESIAN_POINT('',(8.7710114,4.25,16.1003113)); #236765=CARTESIAN_POINT('',(17.7972602335572,4.25,17.7498597501753)); #236766=CARTESIAN_POINT('',(8.7710114,4.24,16.1003113)); #236767=CARTESIAN_POINT('',(8.7710114,4.25,16.1003113)); #236768=CARTESIAN_POINT('',(8.7710114,4.24,16.1003113)); #236769=CARTESIAN_POINT('Origin',(9.04059847003703,4.25,12.6808809431433)); #236770=CARTESIAN_POINT('',(9.3036718,4.25,16.1008186)); #236771=CARTESIAN_POINT('Origin',(9.04059847003703,4.25,12.6808809431433)); #236772=CARTESIAN_POINT('',(9.3036718,4.24,16.1008186)); #236773=CARTESIAN_POINT('',(9.3036718,4.25,16.1008186)); #236774=CARTESIAN_POINT('Origin',(9.04059847003703,4.24,12.6808809431433)); #236775=CARTESIAN_POINT('Origin',(9.29145755682126,4.25,15.9926083637462)); #236776=CARTESIAN_POINT('',(9.316061,4.25,16.09869)); #236777=CARTESIAN_POINT('Origin',(9.29145755682126,4.25,15.9926083637462)); #236778=CARTESIAN_POINT('',(9.316061,4.24,16.09869)); #236779=CARTESIAN_POINT('',(9.316061,4.25,16.09869)); #236780=CARTESIAN_POINT('Origin',(9.29145755682126,4.24,15.9926083637462)); #236781=CARTESIAN_POINT('Origin',(9.3214235,4.25,16.0970421)); #236782=CARTESIAN_POINT('',(9.3214235,4.25,16.0970421)); #236783=CARTESIAN_POINT('',(15.5998719171928,4.25,14.167670510873)); #236784=CARTESIAN_POINT('',(9.3214235,4.24,16.0970421)); #236785=CARTESIAN_POINT('',(9.3214235,4.25,16.0970421)); #236786=CARTESIAN_POINT('',(9.3214235,4.24,16.0970421)); #236787=CARTESIAN_POINT('Origin',(9.3262405,4.25,16.0950165)); #236788=CARTESIAN_POINT('',(9.3262405,4.25,16.0950165)); #236789=CARTESIAN_POINT('',(14.73446723482,4.25,13.8207993370249)); #236790=CARTESIAN_POINT('',(9.3262405,4.24,16.0950165)); #236791=CARTESIAN_POINT('',(9.3262405,4.25,16.0950165)); #236792=CARTESIAN_POINT('',(9.3262405,4.24,16.0950165)); #236793=CARTESIAN_POINT('Origin',(9.3305206,4.25,16.0926132)); #236794=CARTESIAN_POINT('',(9.3305206,4.25,16.0926132)); #236795=CARTESIAN_POINT('',(13.689994589865,4.25,13.644744262397)); #236796=CARTESIAN_POINT('',(9.3305206,4.24,16.0926132)); #236797=CARTESIAN_POINT('',(9.3305206,4.25,16.0926132)); #236798=CARTESIAN_POINT('',(9.3305206,4.24,16.0926132)); #236799=CARTESIAN_POINT('Origin',(9.3342686,4.25,16.0898323)); #236800=CARTESIAN_POINT('',(9.3342686,4.25,16.0898323)); #236801=CARTESIAN_POINT('',(12.5121298957397,4.25,13.7319575728597)); #236802=CARTESIAN_POINT('',(9.3342686,4.24,16.0898323)); #236803=CARTESIAN_POINT('',(9.3342686,4.25,16.0898323)); #236804=CARTESIAN_POINT('',(9.3342686,4.24,16.0898323)); #236805=CARTESIAN_POINT('Origin',(9.3374996,4.25,16.0866241)); #236806=CARTESIAN_POINT('',(9.3374996,4.25,16.0866241)); #236807=CARTESIAN_POINT('',(11.2539815477598,4.25,14.1836660731338)); #236808=CARTESIAN_POINT('',(9.3374996,4.24,16.0866241)); #236809=CARTESIAN_POINT('',(9.3374996,4.25,16.0866241)); #236810=CARTESIAN_POINT('',(9.3374996,4.24,16.0866241)); #236811=CARTESIAN_POINT('Origin',(9.3403149,4.25,16.0832138)); #236812=CARTESIAN_POINT('',(9.3403149,4.25,16.0832138)); #236813=CARTESIAN_POINT('',(10.4869627545756,4.25,14.6942274829269)); #236814=CARTESIAN_POINT('',(9.3403149,4.24,16.0832138)); #236815=CARTESIAN_POINT('',(9.3403149,4.25,16.0832138)); #236816=CARTESIAN_POINT('',(9.3403149,4.24,16.0832138)); #236817=CARTESIAN_POINT('Origin',(9.3427238,4.25,16.0796242)); #236818=CARTESIAN_POINT('',(9.3427238,4.25,16.0796242)); #236819=CARTESIAN_POINT('',(9.83405152191075,4.25,15.3474767507198)); #236820=CARTESIAN_POINT('',(9.3427238,4.24,16.0796242)); #236821=CARTESIAN_POINT('',(9.3427238,4.25,16.0796242)); #236822=CARTESIAN_POINT('',(9.3427238,4.24,16.0796242)); #236823=CARTESIAN_POINT('Origin',(9.3447208,4.25,16.0758629)); #236824=CARTESIAN_POINT('',(9.3447208,4.25,16.0758629)); #236825=CARTESIAN_POINT('',(9.30589579023291,4.25,16.1489888435338)); #236826=CARTESIAN_POINT('',(9.3447208,4.24,16.0758629)); #236827=CARTESIAN_POINT('',(9.3447208,4.25,16.0758629)); #236828=CARTESIAN_POINT('',(9.3447208,4.24,16.0758629)); #236829=CARTESIAN_POINT('Origin',(9.3463011,4.25,16.0719147)); #236830=CARTESIAN_POINT('',(9.3463011,4.25,16.0719147)); #236831=CARTESIAN_POINT('',(8.94225796612682,4.25,17.0813705635445)); #236832=CARTESIAN_POINT('',(9.3463011,4.24,16.0719147)); #236833=CARTESIAN_POINT('',(9.3463011,4.25,16.0719147)); #236834=CARTESIAN_POINT('',(9.3463011,4.24,16.0719147)); #236835=CARTESIAN_POINT('Origin',(9.3474607,4.25,16.0677757)); #236836=CARTESIAN_POINT('',(9.3474607,4.25,16.0677757)); #236837=CARTESIAN_POINT('',(8.78598363433176,4.25,18.0718750228715)); #236838=CARTESIAN_POINT('',(9.3474607,4.24,16.0677757)); #236839=CARTESIAN_POINT('',(9.3474607,4.25,16.0677757)); #236840=CARTESIAN_POINT('',(9.3474607,4.24,16.0677757)); #236841=CARTESIAN_POINT('Origin',(9.3481932,4.25,16.0634422)); #236842=CARTESIAN_POINT('',(9.3481932,4.25,16.0634422)); #236843=CARTESIAN_POINT('',(8.84239181265445,4.25,19.0557839229557)); #236844=CARTESIAN_POINT('',(9.3481932,4.24,16.0634422)); #236845=CARTESIAN_POINT('',(9.3481932,4.25,16.0634422)); #236846=CARTESIAN_POINT('',(9.3481932,4.24,16.0634422)); #236847=CARTESIAN_POINT('Origin',(9.3484955,4.25,16.0589066)); #236848=CARTESIAN_POINT('',(9.3484955,4.25,16.0589066)); #236849=CARTESIAN_POINT('',(9.08822868334213,4.25,19.963855900789)); #236850=CARTESIAN_POINT('',(9.3484955,4.24,16.0589066)); #236851=CARTESIAN_POINT('',(9.3484955,4.25,16.0589066)); #236852=CARTESIAN_POINT('',(9.3484955,4.24,16.0589066)); #236853=CARTESIAN_POINT('Origin',(9.3483629,4.25,16.0541229)); #236854=CARTESIAN_POINT('',(9.3483629,4.25,16.0541229)); #236855=CARTESIAN_POINT('',(9.47856912799308,4.25,20.7514647766927)); #236856=CARTESIAN_POINT('',(9.3483629,4.24,16.0541229)); #236857=CARTESIAN_POINT('',(9.3483629,4.25,16.0541229)); #236858=CARTESIAN_POINT('',(9.3483629,4.24,16.0541229)); #236859=CARTESIAN_POINT('Origin',(9.3477974,4.25,16.0495224)); #236860=CARTESIAN_POINT('',(9.3477974,4.25,16.0495224)); #236861=CARTESIAN_POINT('',(10.0121556025475,4.25,21.4542614111935)); #236862=CARTESIAN_POINT('',(9.3477974,4.24,16.0495224)); #236863=CARTESIAN_POINT('',(9.3477974,4.25,16.0495224)); #236864=CARTESIAN_POINT('',(9.3477974,4.24,16.0495224)); #236865=CARTESIAN_POINT('Origin',(9.3468037,4.25,16.0451546)); #236866=CARTESIAN_POINT('',(9.3468037,4.25,16.0451546)); #236867=CARTESIAN_POINT('',(10.7201598172027,4.25,22.0817298729219)); #236868=CARTESIAN_POINT('',(9.3468037,4.24,16.0451546)); #236869=CARTESIAN_POINT('',(9.3468037,4.25,16.0451546)); #236870=CARTESIAN_POINT('',(9.3468037,4.24,16.0451546)); #236871=CARTESIAN_POINT('Origin',(9.3453875,4.25,16.0410271)); #236872=CARTESIAN_POINT('',(9.3453875,4.25,16.0410271)); #236873=CARTESIAN_POINT('',(11.5870311047709,4.25,22.5742738015244)); #236874=CARTESIAN_POINT('',(9.3453875,4.24,16.0410271)); #236875=CARTESIAN_POINT('',(9.3453875,4.25,16.0410271)); #236876=CARTESIAN_POINT('',(9.3453875,4.24,16.0410271)); #236877=CARTESIAN_POINT('Origin',(9.3435526,4.25,16.0371475)); #236878=CARTESIAN_POINT('',(9.3435526,4.25,16.0371475)); #236879=CARTESIAN_POINT('',(12.5797667697806,4.25,22.8796002184498)); #236880=CARTESIAN_POINT('',(9.3435526,4.24,16.0371475)); #236881=CARTESIAN_POINT('',(9.3435526,4.25,16.0371475)); #236882=CARTESIAN_POINT('',(9.3435526,4.24,16.0371475)); #236883=CARTESIAN_POINT('Origin',(9.3413038,4.25,16.0335197)); #236884=CARTESIAN_POINT('',(9.3413038,4.25,16.0335197)); #236885=CARTESIAN_POINT('',(13.6333037849072,4.25,22.9574424789263)); #236886=CARTESIAN_POINT('',(9.3413038,4.24,16.0335197)); #236887=CARTESIAN_POINT('',(9.3413038,4.25,16.0335197)); #236888=CARTESIAN_POINT('',(9.3413038,4.24,16.0335197)); #236889=CARTESIAN_POINT('Origin',(9.3386469,4.25,16.0301437)); #236890=CARTESIAN_POINT('',(9.3386469,4.25,16.0301437)); #236891=CARTESIAN_POINT('',(14.6662974692546,4.25,22.7997429780335)); #236892=CARTESIAN_POINT('',(9.3386469,4.24,16.0301437)); #236893=CARTESIAN_POINT('',(9.3386469,4.25,16.0301437)); #236894=CARTESIAN_POINT('',(9.3386469,4.24,16.0301437)); #236895=CARTESIAN_POINT('Origin',(9.3355846,4.25,16.0270309)); #236896=CARTESIAN_POINT('',(9.3355846,4.25,16.0270309)); #236897=CARTESIAN_POINT('',(15.6273121547705,4.25,22.422514534022)); #236898=CARTESIAN_POINT('',(9.3355846,4.24,16.0270309)); #236899=CARTESIAN_POINT('',(9.3355846,4.25,16.0270309)); #236900=CARTESIAN_POINT('',(9.3355846,4.24,16.0270309)); #236901=CARTESIAN_POINT('Origin',(9.3320456,4.25,16.0241356)); #236902=CARTESIAN_POINT('',(9.3320456,4.25,16.0241356)); #236903=CARTESIAN_POINT('',(16.4641089844266,4.25,21.858965528495)); #236904=CARTESIAN_POINT('',(9.3320456,4.24,16.0241356)); #236905=CARTESIAN_POINT('',(9.3320456,4.25,16.0241356)); #236906=CARTESIAN_POINT('',(9.3320456,4.24,16.0241356)); #236907=CARTESIAN_POINT('Origin',(9.3279743,4.25,16.0216141)); #236908=CARTESIAN_POINT('',(9.3279743,4.25,16.0216141)); #236909=CARTESIAN_POINT('',(17.2901871130638,4.25,20.9528939389068)); #236910=CARTESIAN_POINT('',(9.3279743,4.24,16.0216141)); #236911=CARTESIAN_POINT('',(9.3279743,4.25,16.0216141)); #236912=CARTESIAN_POINT('',(9.3279743,4.24,16.0216141)); #236913=CARTESIAN_POINT('Origin',(9.3233681,4.25,16.0194855)); #236914=CARTESIAN_POINT('',(9.3233681,4.25,16.0194855)); #236915=CARTESIAN_POINT('',(17.8218432821608,4.25,19.946769220366)); #236916=CARTESIAN_POINT('',(9.3233681,4.24,16.0194855)); #236917=CARTESIAN_POINT('',(9.3233681,4.25,16.0194855)); #236918=CARTESIAN_POINT('',(9.3233681,4.24,16.0194855)); #236919=CARTESIAN_POINT('Origin',(9.3182192,4.25,16.017746)); #236920=CARTESIAN_POINT('',(9.3182192,4.25,16.017746)); #236921=CARTESIAN_POINT('',(18.0767326449145,4.25,18.9767147384521)); #236922=CARTESIAN_POINT('',(9.3182192,4.24,16.017746)); #236923=CARTESIAN_POINT('',(9.3182192,4.25,16.017746)); #236924=CARTESIAN_POINT('',(9.3182192,4.24,16.017746)); #236925=CARTESIAN_POINT('Origin',(9.31252,4.25,16.0163918)); #236926=CARTESIAN_POINT('',(9.31252,4.25,16.0163918)); #236927=CARTESIAN_POINT('',(18.1259643164973,4.25,18.1105745437887)); #236928=CARTESIAN_POINT('',(9.31252,4.24,16.0163918)); #236929=CARTESIAN_POINT('',(9.31252,4.25,16.0163918)); #236930=CARTESIAN_POINT('',(9.31252,4.24,16.0163918)); #236931=CARTESIAN_POINT('Origin',(9.3062649,4.25,16.015419)); #236932=CARTESIAN_POINT('',(9.3062649,4.25,16.015419)); #236933=CARTESIAN_POINT('',(18.0405245011295,4.25,17.37378061532)); #236934=CARTESIAN_POINT('',(9.3062649,4.24,16.015419)); #236935=CARTESIAN_POINT('',(9.3062649,4.25,16.015419)); #236936=CARTESIAN_POINT('',(9.3062649,4.24,16.015419)); #236937=CARTESIAN_POINT('Origin',(9.29183816746037,4.25,16.1418581758132)); #236938=CARTESIAN_POINT('',(9.2920551,4.25,16.0145988)); #236939=CARTESIAN_POINT('Origin',(9.29183816746037,4.25,16.1418581758132)); #236940=CARTESIAN_POINT('',(9.2920551,4.24,16.0145988)); #236941=CARTESIAN_POINT('',(9.2920551,4.25,16.0145988)); #236942=CARTESIAN_POINT('Origin',(9.29183816746037,4.24,16.1418581758132)); #236943=CARTESIAN_POINT('Origin',(8.9929371,4.25,16.0145988)); #236944=CARTESIAN_POINT('',(8.9929371,4.25,16.0145988)); #236945=CARTESIAN_POINT('',(17.39646855,4.25,16.0145988)); #236946=CARTESIAN_POINT('',(8.9929371,4.24,16.0145988)); #236947=CARTESIAN_POINT('',(8.9929371,4.25,16.0145988)); #236948=CARTESIAN_POINT('',(8.9929371,4.24,16.0145988)); #236949=CARTESIAN_POINT('Origin',(8.9929037,4.25,15.5171967)); #236950=CARTESIAN_POINT('',(8.9929037,4.25,15.5171967)); #236951=CARTESIAN_POINT('',(8.99322211775829,4.25,20.2591626175676)); #236952=CARTESIAN_POINT('',(8.9929037,4.24,15.5171967)); #236953=CARTESIAN_POINT('',(8.9929037,4.25,15.5171967)); #236954=CARTESIAN_POINT('',(8.9929037,4.24,15.5171967)); #236955=CARTESIAN_POINT('Origin',(9.1484568,4.25,15.5172052)); #236956=CARTESIAN_POINT('',(9.1484568,4.25,15.5172052)); #236957=CARTESIAN_POINT('',(17.4744874627297,4.25,15.517660165286)); #236958=CARTESIAN_POINT('',(9.1484568,4.24,15.5172052)); #236959=CARTESIAN_POINT('',(9.1484568,4.25,15.5172052)); #236960=CARTESIAN_POINT('',(9.1484568,4.24,15.5172052)); #236961=CARTESIAN_POINT('Origin',(9.3040037,4.25,15.5172424)); #236962=CARTESIAN_POINT('',(9.3040037,4.25,15.5172424)); #236963=CARTESIAN_POINT('',(17.5531353081535,4.25,15.5192152306757)); #236964=CARTESIAN_POINT('',(9.3040037,4.24,15.5172424)); #236965=CARTESIAN_POINT('',(9.3040037,4.25,15.5172424)); #236966=CARTESIAN_POINT('',(9.3040037,4.24,15.5172424)); #236967=CARTESIAN_POINT('Origin',(10.4185834794586,4.25,15.565702150437)); #236968=CARTESIAN_POINT('',(9.3049183,4.25,15.6319313)); #236969=CARTESIAN_POINT('Origin',(10.4185834794586,4.25,15.565702150437)); #236970=CARTESIAN_POINT('',(9.3049183,4.24,15.6319313)); #236971=CARTESIAN_POINT('',(9.3049183,4.25,15.6319313)); #236972=CARTESIAN_POINT('Origin',(10.4185834794586,4.24,15.565702150437)); #236973=CARTESIAN_POINT('Origin',(9.3059635,4.25,15.6381569)); #236974=CARTESIAN_POINT('',(9.3059635,4.25,15.6381569)); #236975=CARTESIAN_POINT('',(10.296367703239,4.25,21.5373727512182)); #236976=CARTESIAN_POINT('',(9.3059635,4.24,15.6381569)); #236977=CARTESIAN_POINT('',(9.3059635,4.25,15.6381569)); #236978=CARTESIAN_POINT('',(9.3059635,4.24,15.6381569)); #236979=CARTESIAN_POINT('Origin',(9.3074017,4.25,15.6438255)); #236980=CARTESIAN_POINT('',(9.3074017,4.25,15.6438255)); #236981=CARTESIAN_POINT('',(10.9212307789501,4.25,22.004659540422)); #236982=CARTESIAN_POINT('',(9.3074017,4.24,15.6438255)); #236983=CARTESIAN_POINT('',(9.3074017,4.25,15.6438255)); #236984=CARTESIAN_POINT('',(9.3074017,4.24,15.6438255)); #236985=CARTESIAN_POINT('Origin',(9.3092279,4.25,15.6489487)); #236986=CARTESIAN_POINT('',(9.3092279,4.25,15.6489487)); #236987=CARTESIAN_POINT('',(11.7175211844483,4.25,22.405146353526)); #236988=CARTESIAN_POINT('',(9.3092279,4.24,15.6489487)); #236989=CARTESIAN_POINT('',(9.3092279,4.25,15.6489487)); #236990=CARTESIAN_POINT('',(9.3092279,4.24,15.6489487)); #236991=CARTESIAN_POINT('Origin',(9.3114405,4.25,15.6535225)); #236992=CARTESIAN_POINT('',(9.3114405,4.25,15.6535225)); #236993=CARTESIAN_POINT('',(12.7068688176007,4.25,22.6724188387253)); #236994=CARTESIAN_POINT('',(9.3114405,4.24,15.6535225)); #236995=CARTESIAN_POINT('',(9.3114405,4.25,15.6535225)); #236996=CARTESIAN_POINT('',(9.3114405,4.24,15.6535225)); #236997=CARTESIAN_POINT('Origin',(9.3140364,4.25,15.6575508)); #236998=CARTESIAN_POINT('',(9.3140364,4.25,15.6575508)); #236999=CARTESIAN_POINT('',(13.8596566489758,4.25,22.7114134483846)); #237000=CARTESIAN_POINT('',(9.3140364,4.24,15.6575508)); #237001=CARTESIAN_POINT('',(9.3140364,4.25,15.6575508)); #237002=CARTESIAN_POINT('',(9.3140364,4.24,15.6575508)); #237003=CARTESIAN_POINT('Origin',(9.3170042,4.25,15.6610374)); #237004=CARTESIAN_POINT('',(9.3170042,4.25,15.6610374)); #237005=CARTESIAN_POINT('',(15.0843255851861,4.25,22.4365420639253)); #237006=CARTESIAN_POINT('',(9.3170042,4.24,15.6610374)); #237007=CARTESIAN_POINT('',(9.3170042,4.25,15.6610374)); #237008=CARTESIAN_POINT('',(9.3170042,4.24,15.6610374)); #237009=CARTESIAN_POINT('Origin',(9.3202238,4.25,15.6640778)); #237010=CARTESIAN_POINT('',(16.0060098641754,4.25,21.9777391087043)); #237011=CARTESIAN_POINT('',(9.3202238,4.24,15.6640778)); #237012=CARTESIAN_POINT('Origin',(9.23765821063354,4.24,15.5001943444171)); #237013=CARTESIAN_POINT('Origin',(13.7690803151942,4.25,4.73694322101515)); #237014=CARTESIAN_POINT('',(14.050265,4.25,4.782848)); #237015=CARTESIAN_POINT('',(13.885181,4.25,4.476765)); #237016=CARTESIAN_POINT('Origin',(13.7690803151942,4.25,4.73694322101515)); #237017=CARTESIAN_POINT('',(14.050265,4.24,4.782848)); #237018=CARTESIAN_POINT('',(14.050265,4.25,4.782848)); #237019=CARTESIAN_POINT('',(13.885181,4.24,4.476765)); #237020=CARTESIAN_POINT('Origin',(13.7690803151942,4.24,4.73694322101515)); #237021=CARTESIAN_POINT('',(13.885181,4.25,4.476765)); #237022=CARTESIAN_POINT('Origin',(8.15802148697918,4.25,5.17904995532646)); #237023=CARTESIAN_POINT('',(14.044341,4.25,5.655235)); #237024=CARTESIAN_POINT('Origin',(8.15802148697918,4.25,5.17904995532646)); #237025=CARTESIAN_POINT('',(14.044341,4.24,5.655235)); #237026=CARTESIAN_POINT('',(14.044341,4.25,5.655235)); #237027=CARTESIAN_POINT('Origin',(8.15802148697918,4.24,5.17904995532646)); #237028=CARTESIAN_POINT('Origin',(13.7613282699353,4.25,5.64468157243582)); #237029=CARTESIAN_POINT('',(13.761347,4.25,5.927891)); #237030=CARTESIAN_POINT('Origin',(13.7613282699353,4.25,5.64468157243582)); #237031=CARTESIAN_POINT('',(13.761347,4.24,5.927891)); #237032=CARTESIAN_POINT('',(13.761347,4.25,5.927891)); #237033=CARTESIAN_POINT('Origin',(13.7613282699353,4.24,5.64468157243582)); #237034=CARTESIAN_POINT('Origin',(13.2901877835655,4.25,-5.72445355160849)); #237035=CARTESIAN_POINT('',(12.817991,4.25,5.927849)); #237036=CARTESIAN_POINT('Origin',(13.2901877835655,4.25,-5.72445355160849)); #237037=CARTESIAN_POINT('',(12.817991,4.24,5.927849)); #237038=CARTESIAN_POINT('',(12.817991,4.25,5.927849)); #237039=CARTESIAN_POINT('Origin',(13.2901877835655,4.24,-5.72445355160849)); #237040=CARTESIAN_POINT('Origin',(12.8222583531437,4.25,5.64083921654734)); #237041=CARTESIAN_POINT('',(12.620911,4.25,5.845417)); #237042=CARTESIAN_POINT('Origin',(12.8222583531437,4.25,5.64083921654734)); #237043=CARTESIAN_POINT('',(12.620911,4.24,5.845417)); #237044=CARTESIAN_POINT('',(12.620911,4.25,5.845417)); #237045=CARTESIAN_POINT('Origin',(12.8222583531437,4.24,5.64083921654734)); #237046=CARTESIAN_POINT('Origin',(12.8239892427908,4.25,5.64263098362173)); #237047=CARTESIAN_POINT('',(12.537069,4.25,5.648933)); #237048=CARTESIAN_POINT('Origin',(12.8239892427908,4.25,5.64263098362173)); #237049=CARTESIAN_POINT('',(12.537069,4.24,5.648933)); #237050=CARTESIAN_POINT('',(12.537069,4.25,5.648933)); #237051=CARTESIAN_POINT('Origin',(12.8239892427908,4.24,5.64263098362173)); #237052=CARTESIAN_POINT('Origin',(13.5916775695556,4.25,5.51724262814188)); #237053=CARTESIAN_POINT('',(12.528904,4.25,5.509899)); #237054=CARTESIAN_POINT('Origin',(13.5916775695556,4.25,5.51724262814188)); #237055=CARTESIAN_POINT('',(12.528904,4.24,5.509899)); #237056=CARTESIAN_POINT('',(12.528904,4.25,5.509899)); #237057=CARTESIAN_POINT('Origin',(13.5916775695556,4.24,5.51724262814188)); #237058=CARTESIAN_POINT('Origin',(12.528903,4.25,4.944656)); #237059=CARTESIAN_POINT('',(12.528903,4.25,4.944656)); #237060=CARTESIAN_POINT('',(12.5289207404828,4.25,14.9723397392533)); #237061=CARTESIAN_POINT('',(12.528903,4.24,4.944656)); #237062=CARTESIAN_POINT('',(12.528903,4.25,4.944656)); #237063=CARTESIAN_POINT('',(12.528904,4.24,5.509899)); #237064=CARTESIAN_POINT('Origin',(13.0407095681508,4.25,4.83782727479616)); #237065=CARTESIAN_POINT('',(12.622438,4.25,4.524128)); #237066=CARTESIAN_POINT('Origin',(13.0407095681508,4.25,4.83782727479616)); #237067=CARTESIAN_POINT('',(12.622438,4.24,4.524128)); #237068=CARTESIAN_POINT('',(12.622438,4.25,4.524128)); #237069=CARTESIAN_POINT('Origin',(13.0407095681508,4.24,4.83782727479616)); #237070=CARTESIAN_POINT('Origin',(12.9632127165294,4.25,5.05464151177051)); #237071=CARTESIAN_POINT('',(13.084165,4.25,4.435818)); #237072=CARTESIAN_POINT('Origin',(12.9632127165294,4.25,5.05464151177051)); #237073=CARTESIAN_POINT('',(13.084165,4.24,4.435818)); #237074=CARTESIAN_POINT('',(13.084165,4.25,4.435818)); #237075=CARTESIAN_POINT('Origin',(12.9632127165294,4.24,5.05464151177051)); #237076=CARTESIAN_POINT('Origin',(13.3560871779259,4.25,6.97172122267894)); #237077=CARTESIAN_POINT('Origin',(13.3560871779259,4.25,6.97172122267894)); #237078=CARTESIAN_POINT('Origin',(13.3560871779259,4.24,6.97172122267894)); #237079=CARTESIAN_POINT('Origin',(12.155447,4.25,5.555485)); #237080=CARTESIAN_POINT('',(12.155447,4.25,5.555485)); #237081=CARTESIAN_POINT('',(12.155403,4.25,4.818897)); #237082=CARTESIAN_POINT('',(12.1560277822822,4.25,15.2781499932141)); #237083=CARTESIAN_POINT('',(12.155447,4.24,5.555485)); #237084=CARTESIAN_POINT('',(12.155447,4.25,5.555485)); #237085=CARTESIAN_POINT('',(12.155403,4.24,4.818897)); #237086=CARTESIAN_POINT('',(12.155403,4.24,4.818897)); #237087=CARTESIAN_POINT('',(12.155403,4.25,4.818897)); #237088=CARTESIAN_POINT('Origin',(12.8131562772505,4.25,5.62660944536925)); #237089=CARTESIAN_POINT('',(12.544058,4.25,6.230949)); #237090=CARTESIAN_POINT('Origin',(12.8131562772505,4.25,5.62660944536925)); #237091=CARTESIAN_POINT('',(12.544058,4.24,6.230949)); #237092=CARTESIAN_POINT('',(12.544058,4.25,6.230949)); #237093=CARTESIAN_POINT('Origin',(12.8131562772505,4.24,5.62660944536925)); #237094=CARTESIAN_POINT('Origin',(13.0205608063761,4.25,4.67257021427881)); #237095=CARTESIAN_POINT('',(13.165218,4.25,6.295738)); #237096=CARTESIAN_POINT('Origin',(13.0205608063761,4.25,4.67257021427881)); #237097=CARTESIAN_POINT('',(13.165218,4.24,6.295738)); #237098=CARTESIAN_POINT('',(13.165218,4.25,6.295738)); #237099=CARTESIAN_POINT('Origin',(13.0205608063761,4.24,4.67257021427881)); #237100=CARTESIAN_POINT('Origin',(13.4268463857657,4.25,4.02508259754019)); #237101=CARTESIAN_POINT('',(14.078467,4.25,6.215908)); #237102=CARTESIAN_POINT('Origin',(13.4268463857657,4.25,4.02508259754019)); #237103=CARTESIAN_POINT('',(14.078467,4.24,6.215908)); #237104=CARTESIAN_POINT('',(14.078467,4.25,6.215908)); #237105=CARTESIAN_POINT('Origin',(13.4268463857657,4.24,4.02508259754019)); #237106=CARTESIAN_POINT('Origin',(13.724031051052,4.25,5.60823791167669)); #237107=CARTESIAN_POINT('',(14.426927,4.25,5.579514)); #237108=CARTESIAN_POINT('Origin',(13.724031051052,4.25,5.60823791167669)); #237109=CARTESIAN_POINT('',(14.426927,4.24,5.579514)); #237110=CARTESIAN_POINT('',(14.426927,4.25,5.579514)); #237111=CARTESIAN_POINT('Origin',(13.724031051052,4.24,5.60823791167669)); #237112=CARTESIAN_POINT('Origin',(10.7793117284197,4.25,5.21282278706744)); #237113=CARTESIAN_POINT('',(14.372336,4.25,4.484993)); #237114=CARTESIAN_POINT('Origin',(10.7793117284197,4.25,5.21282278706744)); #237115=CARTESIAN_POINT('',(14.372336,4.24,4.484993)); #237116=CARTESIAN_POINT('',(14.372336,4.25,4.484993)); #237117=CARTESIAN_POINT('Origin',(10.7793117284197,4.24,5.21282278706744)); #237118=CARTESIAN_POINT('Origin',(13.8074635731298,4.25,4.68721476846895)); #237119=CARTESIAN_POINT('',(13.771514,4.25,4.088314)); #237120=CARTESIAN_POINT('Origin',(13.8074635731298,4.25,4.68721476846895)); #237121=CARTESIAN_POINT('',(13.771514,4.24,4.088314)); #237122=CARTESIAN_POINT('',(13.771514,4.25,4.088314)); #237123=CARTESIAN_POINT('Origin',(13.8074635731298,4.24,4.68721476846895)); #237124=CARTESIAN_POINT('Origin',(13.3055301429008,4.25,10.5104329763033)); #237125=CARTESIAN_POINT('',(12.742085,4.25,4.09613)); #237126=CARTESIAN_POINT('Origin',(13.3055301429008,4.25,10.5104329763033)); #237127=CARTESIAN_POINT('',(12.742085,4.24,4.09613)); #237128=CARTESIAN_POINT('',(12.742085,4.25,4.09613)); #237129=CARTESIAN_POINT('Origin',(13.3055301429008,4.24,10.5104329763033)); #237130=CARTESIAN_POINT('Origin',(12.7889727145393,4.25,4.72664629421043)); #237131=CARTESIAN_POINT('',(12.157452,4.25,4.757156)); #237132=CARTESIAN_POINT('Origin',(12.7889727145393,4.25,4.72664629421043)); #237133=CARTESIAN_POINT('',(12.157452,4.24,4.757156)); #237134=CARTESIAN_POINT('',(12.157452,4.25,4.757156)); #237135=CARTESIAN_POINT('Origin',(12.7889727145393,4.24,4.72664629421043)); #237136=CARTESIAN_POINT('Origin',(13.1209925317114,4.25,4.82003754209485)); #237137=CARTESIAN_POINT('Origin',(13.1209925317114,4.25,4.82003754209485)); #237138=CARTESIAN_POINT('Origin',(13.1209925317114,4.24,4.82003754209485)); #237139=CARTESIAN_POINT('Origin',(13.2984623566233,4.24,5.19109569909347)); #237140=CARTESIAN_POINT('Origin',(5.72819123701158,4.25,2.55221096507601)); #237141=CARTESIAN_POINT('',(4.9671221,4.25,2.12116199999999)); #237142=CARTESIAN_POINT('',(5.0703382,4.25,1.975792)); #237143=CARTESIAN_POINT('Origin',(5.72819123701158,4.25,2.55221096507601)); #237144=CARTESIAN_POINT('',(4.9671221,4.24,2.12116199999999)); #237145=CARTESIAN_POINT('',(4.9671221,4.25,2.12116199999999)); #237146=CARTESIAN_POINT('',(5.0703382,4.24,1.975792)); #237147=CARTESIAN_POINT('Origin',(5.72819123701158,4.24,2.55221096507601)); #237148=CARTESIAN_POINT('',(5.0703382,4.25,1.975792)); #237149=CARTESIAN_POINT('Origin',(115.680305369117,4.25,76.003901789521)); #237150=CARTESIAN_POINT('',(3.65189269999999,4.25,4.13107700000001)); #237151=CARTESIAN_POINT('Origin',(115.680305369117,4.25,76.003901789521)); #237152=CARTESIAN_POINT('',(3.65189269999999,4.24,4.13107700000001)); #237153=CARTESIAN_POINT('',(3.65189269999999,4.25,4.13107700000001)); #237154=CARTESIAN_POINT('Origin',(115.680305369117,4.24,76.003901789521)); #237155=CARTESIAN_POINT('Origin',(3.6374714,4.25,4.153027)); #237156=CARTESIAN_POINT('',(3.6374714,4.25,4.153027)); #237157=CARTESIAN_POINT('',(2.19509777964485,4.25,6.34839780338122)); #237158=CARTESIAN_POINT('',(3.6374714,4.24,4.153027)); #237159=CARTESIAN_POINT('',(3.6374714,4.25,4.153027)); #237160=CARTESIAN_POINT('',(3.65189269999999,4.24,4.13107700000001)); #237161=CARTESIAN_POINT('Origin',(-7.06074449712915,4.25,-3.02906937699605)); #237162=CARTESIAN_POINT('',(3.56591800000006,4.25,4.25847999999991)); #237163=CARTESIAN_POINT('Origin',(-7.06074449712915,4.25,-3.02906937699605)); #237164=CARTESIAN_POINT('',(3.56591800000006,4.24,4.25847999999991)); #237165=CARTESIAN_POINT('',(3.56591800000006,4.25,4.25847999999991)); #237166=CARTESIAN_POINT('Origin',(-7.06074449712915,4.24,-3.02906937699605)); #237167=CARTESIAN_POINT('Origin',(3.5520451,4.25,4.279339)); #237168=CARTESIAN_POINT('',(3.5520451,4.25,4.279339)); #237169=CARTESIAN_POINT('',(2.18622506366346,4.25,6.33295721522131)); #237170=CARTESIAN_POINT('',(3.5520451,4.24,4.279339)); #237171=CARTESIAN_POINT('',(3.5520451,4.25,4.279339)); #237172=CARTESIAN_POINT('',(3.565918,4.24,4.25848)); #237173=CARTESIAN_POINT('Origin',(4.99738766312019,4.25,5.23161756313536)); #237174=CARTESIAN_POINT('',(3.5244474,4.25,4.322609)); #237175=CARTESIAN_POINT('Origin',(4.99738766312019,4.25,5.23161756313536)); #237176=CARTESIAN_POINT('',(3.5244474,4.24,4.322609)); #237177=CARTESIAN_POINT('',(3.5244474,4.25,4.322609)); #237178=CARTESIAN_POINT('Origin',(4.99738766312019,4.24,5.23161756313536)); #237179=CARTESIAN_POINT('Origin',(3.3953061,4.25,4.520203)); #237180=CARTESIAN_POINT('',(3.3953061,4.25,4.520203)); #237181=CARTESIAN_POINT('',(2.05881900294582,4.25,6.56510909476071)); #237182=CARTESIAN_POINT('',(3.3953061,4.24,4.520203)); #237183=CARTESIAN_POINT('',(3.3953061,4.25,4.520203)); #237184=CARTESIAN_POINT('',(3.5244474,4.24,4.322609)); #237185=CARTESIAN_POINT('Origin',(2.497457,4.25,5.891624)); #237186=CARTESIAN_POINT('',(2.497457,4.25,5.891624)); #237187=CARTESIAN_POINT('',(1.61471105718351,4.25,7.23997565914109)); #237188=CARTESIAN_POINT('',(2.497457,4.24,5.891624)); #237189=CARTESIAN_POINT('',(2.497457,4.25,5.891624)); #237190=CARTESIAN_POINT('',(3.3953061,4.24,4.520203)); #237191=CARTESIAN_POINT('Origin',(2.4434152,4.25,5.976116)); #237192=CARTESIAN_POINT('',(2.4434152,4.25,5.976116)); #237193=CARTESIAN_POINT('',(1.5163398838458,4.25,7.42555786930306)); #237194=CARTESIAN_POINT('',(2.4434152,4.24,5.976116)); #237195=CARTESIAN_POINT('',(2.4434152,4.25,5.976116)); #237196=CARTESIAN_POINT('',(2.497457,4.24,5.891624)); #237197=CARTESIAN_POINT('Origin',(-8.63559433597145,4.25,-1.20436100322324)); #237198=CARTESIAN_POINT('',(2.3791447,4.25,6.074322)); #237199=CARTESIAN_POINT('Origin',(-8.63559433597145,4.25,-1.20436100322324)); #237200=CARTESIAN_POINT('',(2.3791447,4.24,6.074322)); #237201=CARTESIAN_POINT('',(2.3791447,4.25,6.074322)); #237202=CARTESIAN_POINT('Origin',(-8.63559433597145,4.24,-1.20436100322324)); #237203=CARTESIAN_POINT('Origin',(1.5667834,4.25,7.318512)); #237204=CARTESIAN_POINT('',(1.5667834,4.25,7.318512)); #237205=CARTESIAN_POINT('',(1.1412748702551,4.25,7.97020904369632)); #237206=CARTESIAN_POINT('',(1.5667834,4.24,7.318512)); #237207=CARTESIAN_POINT('',(1.5667834,4.25,7.318512)); #237208=CARTESIAN_POINT('',(2.3791447,4.24,6.074322)); #237209=CARTESIAN_POINT('Origin',(1.5282035,4.25,7.377598)); #237210=CARTESIAN_POINT('',(1.5282035,4.25,7.377598)); #237211=CARTESIAN_POINT('',(1.12209605567788,4.25,7.99956084736918)); #237212=CARTESIAN_POINT('',(1.5282035,4.24,7.377598)); #237213=CARTESIAN_POINT('',(1.5282035,4.25,7.377598)); #237214=CARTESIAN_POINT('',(1.5667834,4.24,7.318512)); #237215=CARTESIAN_POINT('Origin',(2.938168,4.25,7.376705)); #237216=CARTESIAN_POINT('',(2.938168,4.25,7.376705)); #237217=CARTESIAN_POINT('',(14.3634985663481,4.25,7.3694687751193)); #237218=CARTESIAN_POINT('',(2.938168,4.24,7.376705)); #237219=CARTESIAN_POINT('',(2.938168,4.25,7.376705)); #237220=CARTESIAN_POINT('',(1.5282035,4.24,7.377598)); #237221=CARTESIAN_POINT('Origin',(2.84010400397982,4.25,6.94193460326163)); #237222=CARTESIAN_POINT('',(3.0533361,4.25,7.333309)); #237223=CARTESIAN_POINT('Origin',(2.84010400397982,4.25,6.94193460326163)); #237224=CARTESIAN_POINT('',(3.0533361,4.24,7.333309)); #237225=CARTESIAN_POINT('',(3.0533361,4.25,7.333309)); #237226=CARTESIAN_POINT('Origin',(2.84010400397982,4.24,6.94193460326163)); #237227=CARTESIAN_POINT('Origin',(-43.4983958846128,4.25,-100.501097778534)); #237228=CARTESIAN_POINT('',(3.78220489999753,4.25,7.01572800000109)); #237229=CARTESIAN_POINT('Origin',(-43.4983958846128,4.25,-100.501097778534)); #237230=CARTESIAN_POINT('',(3.78220489999753,4.24,7.01572800000109)); #237231=CARTESIAN_POINT('',(3.78220489999753,4.25,7.01572800000109)); #237232=CARTESIAN_POINT('Origin',(-43.4983958846128,4.24,-100.501097778534)); #237233=CARTESIAN_POINT('Origin',(3.8925476,4.25,6.96804)); #237234=CARTESIAN_POINT('',(3.8925476,4.25,6.96804)); #237235=CARTESIAN_POINT('',(9.83905060272568,4.25,4.39807537892411)); #237236=CARTESIAN_POINT('',(3.8925476,4.24,6.96804)); #237237=CARTESIAN_POINT('',(3.8925476,4.25,6.96804)); #237238=CARTESIAN_POINT('',(3.7822049,4.24,7.015728)); #237239=CARTESIAN_POINT('Origin',(4.0019007,4.25,6.921021)); #237240=CARTESIAN_POINT('',(4.0019007,4.25,6.921021)); #237241=CARTESIAN_POINT('',(9.92006696091205,4.25,4.37636282915876)); #237242=CARTESIAN_POINT('',(4.0019007,4.24,6.921021)); #237243=CARTESIAN_POINT('',(4.0019007,4.25,6.921021)); #237244=CARTESIAN_POINT('',(3.8925476,4.24,6.96804)); #237245=CARTESIAN_POINT('Origin',(-16.4719258861499,4.25,-40.0299493399117)); #237246=CARTESIAN_POINT('',(4.24236870000065,4.25,6.81542599999971)); #237247=CARTESIAN_POINT('Origin',(-16.4719258861499,4.25,-40.0299493399117)); #237248=CARTESIAN_POINT('',(4.24236870000065,4.24,6.81542599999971)); #237249=CARTESIAN_POINT('',(4.24236870000065,4.25,6.81542599999971)); #237250=CARTESIAN_POINT('Origin',(-16.4719258861499,4.24,-40.0299493399117)); #237251=CARTESIAN_POINT('Origin',(4.2985563,4.25,6.791668)); #237252=CARTESIAN_POINT('',(4.2985563,4.25,6.791668)); #237253=CARTESIAN_POINT('',(10.1530223947902,4.25,4.3162035651415)); #237254=CARTESIAN_POINT('',(4.2985563,4.24,6.791668)); #237255=CARTESIAN_POINT('',(4.2985563,4.25,6.791668)); #237256=CARTESIAN_POINT('',(4.2423687,4.24,6.815426)); #237257=CARTESIAN_POINT('Origin',(4.3793097,4.25,6.758167)); #237258=CARTESIAN_POINT('',(4.3793097,4.25,6.758167)); #237259=CARTESIAN_POINT('',(10.288746910258,4.25,4.30660389577356)); #237260=CARTESIAN_POINT('',(4.3793097,4.24,6.758167)); #237261=CARTESIAN_POINT('',(4.3793097,4.25,6.758167)); #237262=CARTESIAN_POINT('',(4.2985563,4.24,6.791668)); #237263=CARTESIAN_POINT('Origin',(5.4312181,4.25,6.300941)); #237264=CARTESIAN_POINT('',(5.4312181,4.25,6.300941)); #237265=CARTESIAN_POINT('',(10.5791091024853,4.25,4.06334159352856)); #237266=CARTESIAN_POINT('',(5.4312181,4.24,6.300941)); #237267=CARTESIAN_POINT('',(5.4312181,4.25,6.300941)); #237268=CARTESIAN_POINT('',(4.3793097,4.24,6.758167)); #237269=CARTESIAN_POINT('Origin',(5.4495258,4.25,6.29332)); #237270=CARTESIAN_POINT('',(5.4495258,4.25,6.29332)); #237271=CARTESIAN_POINT('',(10.8034719305116,4.25,4.06461713395845)); #237272=CARTESIAN_POINT('',(5.4495258,4.24,6.29332)); #237273=CARTESIAN_POINT('',(5.4495258,4.25,6.29332)); #237274=CARTESIAN_POINT('',(5.4312181,4.24,6.300941)); #237275=CARTESIAN_POINT('Origin',(5.4614692,4.25,6.285564)); #237276=CARTESIAN_POINT('',(5.4614692,4.25,6.285564)); #237277=CARTESIAN_POINT('',(8.34019018385039,4.25,4.41613318877831)); #237278=CARTESIAN_POINT('',(5.4614692,4.24,6.285564)); #237279=CARTESIAN_POINT('',(5.4614692,4.25,6.285564)); #237280=CARTESIAN_POINT('',(5.4495258,4.24,6.29332)); #237281=CARTESIAN_POINT('Origin',(5.4682922,4.25,6.273437)); #237282=CARTESIAN_POINT('',(5.4682922,4.25,6.273437)); #237283=CARTESIAN_POINT('',(3.91116414923272,4.25,9.04103070828911)); #237284=CARTESIAN_POINT('',(5.4682922,4.24,6.273437)); #237285=CARTESIAN_POINT('',(5.4682922,4.25,6.273437)); #237286=CARTESIAN_POINT('',(5.4614692,4.24,6.285564)); #237287=CARTESIAN_POINT('Origin',(4.21300481711067,4.25,6.18744793214104)); #237288=CARTESIAN_POINT('',(5.462822,4.25,6.042198)); #237289=CARTESIAN_POINT('Origin',(4.21300481711067,4.25,6.18744793214104)); #237290=CARTESIAN_POINT('',(5.462822,4.24,6.042198)); #237291=CARTESIAN_POINT('',(5.462822,4.25,6.042198)); #237292=CARTESIAN_POINT('Origin',(4.21300481711067,4.24,6.18744793214104)); #237293=CARTESIAN_POINT('Origin',(5.10751334846554,4.25,27.0542319394223)); #237294=CARTESIAN_POINT('',(4.662096,4.25,6.043915)); #237295=CARTESIAN_POINT('Origin',(5.10751334846554,4.25,27.0542319394223)); #237296=CARTESIAN_POINT('',(4.662096,4.24,6.043915)); #237297=CARTESIAN_POINT('',(4.662096,4.25,6.043915)); #237298=CARTESIAN_POINT('Origin',(5.10751334846554,4.24,27.0542319394223)); #237299=CARTESIAN_POINT('Origin',(16.7080726600063,4.25,41.9028080882787)); #237300=CARTESIAN_POINT('',(3.621794,4.25,6.410343)); #237301=CARTESIAN_POINT('Origin',(16.7080726600063,4.25,41.9028080882787)); #237302=CARTESIAN_POINT('',(3.621794,4.24,6.410343)); #237303=CARTESIAN_POINT('',(3.621794,4.25,6.410343)); #237304=CARTESIAN_POINT('Origin',(16.7080726600063,4.24,41.9028080882787)); #237305=CARTESIAN_POINT('Origin',(3.74614117740239,4.25,6.79032956351176)); #237306=CARTESIAN_POINT('',(3.5698712,4.25,6.431469)); #237307=CARTESIAN_POINT('Origin',(3.74614117740239,4.25,6.79032956351176)); #237308=CARTESIAN_POINT('',(3.5698712,4.24,6.431469)); #237309=CARTESIAN_POINT('',(3.5698712,4.25,6.431469)); #237310=CARTESIAN_POINT('Origin',(3.74614117740239,4.24,6.79032956351176)); #237311=CARTESIAN_POINT('Origin',(3.56887319999998,4.25,6.42263800000009)); #237312=CARTESIAN_POINT('',(3.56887319999998,4.25,6.42263800000009)); #237313=CARTESIAN_POINT('',(4.74553074256681,4.25,16.8345245311948)); #237314=CARTESIAN_POINT('',(3.56887319999998,4.24,6.42263800000009)); #237315=CARTESIAN_POINT('',(3.56887319999998,4.25,6.42263800000009)); #237316=CARTESIAN_POINT('',(3.5698712,4.24,6.431469)); #237317=CARTESIAN_POINT('Origin',(-1531.80324202689,4.25,-985.626412774326)); #237318=CARTESIAN_POINT('',(5.04860019994968,4.25,4.12874200007815)); #237319=CARTESIAN_POINT('Origin',(-1531.80324202689,4.25,-985.626412774326)); #237320=CARTESIAN_POINT('',(5.04860019994968,4.24,4.12874200007815)); #237321=CARTESIAN_POINT('',(5.04860019994968,4.25,4.12874200007815)); #237322=CARTESIAN_POINT('Origin',(-1531.80324202689,4.24,-985.626412774326)); #237323=CARTESIAN_POINT('Origin',(5.0588284,4.25,4.1381)); #237324=CARTESIAN_POINT('',(5.0588284,4.25,4.1381)); #237325=CARTESIAN_POINT('',(15.8988961450562,4.25,14.055910949944)); #237326=CARTESIAN_POINT('',(5.0588284,4.24,4.1381)); #237327=CARTESIAN_POINT('',(5.0588284,4.25,4.1381)); #237328=CARTESIAN_POINT('',(5.0486002,4.24,4.128742)); #237329=CARTESIAN_POINT('Origin',(-123.775455158367,4.25,90.0091536114015)); #237330=CARTESIAN_POINT('',(6.47027539999999,4.25,6.294399)); #237331=CARTESIAN_POINT('Origin',(-123.775455158367,4.25,90.0091536114015)); #237332=CARTESIAN_POINT('',(6.47027539999999,4.24,6.294399)); #237333=CARTESIAN_POINT('',(6.47027539999999,4.25,6.294399)); #237334=CARTESIAN_POINT('Origin',(-123.775455158367,4.24,90.0091536114015)); #237335=CARTESIAN_POINT('Origin',(7.8876891,4.25,6.294399)); #237336=CARTESIAN_POINT('',(7.8876891,4.25,6.294399)); #237337=CARTESIAN_POINT('',(16.84384455,4.25,6.294399)); #237338=CARTESIAN_POINT('',(7.8876891,4.24,6.294399)); #237339=CARTESIAN_POINT('',(7.8876891,4.25,6.294399)); #237340=CARTESIAN_POINT('',(6.47027539999999,4.24,6.294399)); #237341=CARTESIAN_POINT('Origin',(6.88841718866007,4.25,6.74498167297348)); #237342=CARTESIAN_POINT('',(7.7355728,4.25,6.049355)); #237343=CARTESIAN_POINT('Origin',(6.88841718866007,4.25,6.74498167297348)); #237344=CARTESIAN_POINT('',(7.7355728,4.24,6.049355)); #237345=CARTESIAN_POINT('',(7.7355728,4.25,6.049355)); #237346=CARTESIAN_POINT('Origin',(6.88841718866007,4.24,6.74498167297348)); #237347=CARTESIAN_POINT('Origin',(6.8124084,4.25,4.635166)); #237348=CARTESIAN_POINT('',(6.8124084,4.25,4.635166)); #237349=CARTESIAN_POINT('',(14.3100027553737,4.25,16.1206776421642)); #237350=CARTESIAN_POINT('',(6.8124084,4.24,4.635166)); #237351=CARTESIAN_POINT('',(6.8124084,4.25,4.635166)); #237352=CARTESIAN_POINT('',(7.7355728,4.24,6.049355)); #237353=CARTESIAN_POINT('Origin',(6.68435290000001,4.25,4.435024)); #237354=CARTESIAN_POINT('',(6.68435290000001,4.25,4.435024)); #237355=CARTESIAN_POINT('',(14.1285789102096,4.25,16.0698407953384)); #237356=CARTESIAN_POINT('',(6.68435290000001,4.24,4.435024)); #237357=CARTESIAN_POINT('',(6.68435290000001,4.25,4.435024)); #237358=CARTESIAN_POINT('',(6.8124084,4.24,4.635166)); #237359=CARTESIAN_POINT('Origin',(-56.9608157666845,4.25,46.0777266034116)); #237360=CARTESIAN_POINT('',(6.34648849999999,4.25,3.923161)); #237361=CARTESIAN_POINT('Origin',(-56.9608157666845,4.25,46.0777266034116)); #237362=CARTESIAN_POINT('',(6.34648849999999,4.24,3.923161)); #237363=CARTESIAN_POINT('',(6.34648849999999,4.25,3.923161)); #237364=CARTESIAN_POINT('Origin',(-56.9608157666845,4.24,46.0777266034116)); #237365=CARTESIAN_POINT('Origin',(6.2627025,4.25,3.795292)); #237366=CARTESIAN_POINT('',(6.2627025,4.25,3.795292)); #237367=CARTESIAN_POINT('',(14.0573994756005,4.25,15.6910753954737)); #237368=CARTESIAN_POINT('',(6.2627025,4.24,3.795292)); #237369=CARTESIAN_POINT('',(6.2627025,4.25,3.795292)); #237370=CARTESIAN_POINT('',(6.34648849999999,4.24,3.923161)); #237371=CARTESIAN_POINT('Origin',(19.1399586738218,4.25,-4.58216390417102)); #237372=CARTESIAN_POINT('',(6.1019392,4.25,3.542831)); #237373=CARTESIAN_POINT('Origin',(19.1399586738218,4.25,-4.58216390417102)); #237374=CARTESIAN_POINT('',(6.1019392,4.24,3.542831)); #237375=CARTESIAN_POINT('',(6.1019392,4.25,3.542831)); #237376=CARTESIAN_POINT('Origin',(19.1399586738218,4.24,-4.58216390417102)); #237377=CARTESIAN_POINT('Origin',(6.01862620000002,4.25,3.41206399999997)); #237378=CARTESIAN_POINT('',(6.01862620000002,4.25,3.41206399999997)); #237379=CARTESIAN_POINT('',(13.7656908365488,4.25,15.5717557807308)); #237380=CARTESIAN_POINT('',(6.01862620000002,4.24,3.41206399999997)); #237381=CARTESIAN_POINT('',(6.01862620000002,4.25,3.41206399999997)); #237382=CARTESIAN_POINT('',(6.1019392,4.24,3.542831)); #237383=CARTESIAN_POINT('Origin',(-123.696501764821,4.25,88.0595632361542)); #237384=CARTESIAN_POINT('',(5.21470260000002,4.25,2.19271899999998)); #237385=CARTESIAN_POINT('Origin',(-123.696501764821,4.25,88.0595632361542)); #237386=CARTESIAN_POINT('',(5.21470260000002,4.24,2.19271899999998)); #237387=CARTESIAN_POINT('',(5.21470260000002,4.25,2.19271899999998)); #237388=CARTESIAN_POINT('Origin',(-123.696501764821,4.24,88.0595632361542)); #237389=CARTESIAN_POINT('Origin',(5.1562147,4.25,2.1022)); #237390=CARTESIAN_POINT('',(5.1562147,4.25,2.1022)); #237391=CARTESIAN_POINT('',(13.4150991835305,4.25,14.8840910332607)); #237392=CARTESIAN_POINT('',(5.1562147,4.24,2.1022)); #237393=CARTESIAN_POINT('',(5.1562147,4.25,2.1022)); #237394=CARTESIAN_POINT('',(5.21470260000002,4.24,2.19271899999998)); #237395=CARTESIAN_POINT('Origin',(5.0813837,4.25,1.980629)); #237396=CARTESIAN_POINT('',(5.0813837,4.25,1.980629)); #237397=CARTESIAN_POINT('',(13.0657858694411,4.25,14.952148238566)); #237398=CARTESIAN_POINT('',(5.0813837,4.24,1.980629)); #237399=CARTESIAN_POINT('',(5.0813837,4.25,1.980629)); #237400=CARTESIAN_POINT('',(5.1562147,4.24,2.1022)); #237401=CARTESIAN_POINT('Origin',(5.0703382,4.25,1.975792)); #237402=CARTESIAN_POINT('',(17.9974633550973,4.25,7.63678556074192)); #237403=CARTESIAN_POINT('',(5.0813837,4.24,1.980629)); #237404=CARTESIAN_POINT('Origin',(4.7079463,4.24,4.676695)); #237405=CARTESIAN_POINT('Origin',(10.58647,4.25,14.944244)); #237406=CARTESIAN_POINT('',(10.58647,4.25,14.944244)); #237407=CARTESIAN_POINT('',(10.585513,4.25,14.94865)); #237408=CARTESIAN_POINT('',(9.88629629769784,4.25,18.1678232396518)); #237409=CARTESIAN_POINT('',(10.58647,4.24,14.944244)); #237410=CARTESIAN_POINT('',(10.58647,4.25,14.944244)); #237411=CARTESIAN_POINT('',(10.585513,4.24,14.94865)); #237412=CARTESIAN_POINT('',(10.58647,4.24,14.944244)); #237413=CARTESIAN_POINT('',(10.585513,4.25,14.94865)); #237414=CARTESIAN_POINT('Origin',(10.586995,4.25,14.939602)); #237415=CARTESIAN_POINT('',(10.586995,4.25,14.939602)); #237416=CARTESIAN_POINT('',(10.1213423939658,4.25,19.0568579946856)); #237417=CARTESIAN_POINT('',(10.586995,4.24,14.939602)); #237418=CARTESIAN_POINT('',(10.586995,4.25,14.939602)); #237419=CARTESIAN_POINT('',(10.586995,4.24,14.939602)); #237420=CARTESIAN_POINT('Origin',(10.587084,4.25,14.934738)); #237421=CARTESIAN_POINT('',(10.587084,4.25,14.934738)); #237422=CARTESIAN_POINT('',(10.4975750948063,4.25,19.8265505266135)); #237423=CARTESIAN_POINT('',(10.587084,4.24,14.934738)); #237424=CARTESIAN_POINT('',(10.587084,4.25,14.934738)); #237425=CARTESIAN_POINT('',(10.587084,4.24,14.934738)); #237426=CARTESIAN_POINT('Origin',(10.586737,4.25,14.929974)); #237427=CARTESIAN_POINT('',(10.586737,4.25,14.929974)); #237428=CARTESIAN_POINT('',(10.9916846088362,4.25,20.4895429005538)); #237429=CARTESIAN_POINT('',(10.586737,4.24,14.929974)); #237430=CARTESIAN_POINT('',(10.586737,4.25,14.929974)); #237431=CARTESIAN_POINT('',(10.586737,4.24,14.929974)); #237432=CARTESIAN_POINT('Origin',(10.585955,4.25,14.925449)); #237433=CARTESIAN_POINT('',(10.585955,4.25,14.925449)); #237434=CARTESIAN_POINT('',(11.6518424771443,4.25,21.0931482763239)); #237435=CARTESIAN_POINT('',(10.585955,4.24,14.925449)); #237436=CARTESIAN_POINT('',(10.585955,4.25,14.925449)); #237437=CARTESIAN_POINT('',(10.585955,4.24,14.925449)); #237438=CARTESIAN_POINT('Origin',(10.584745,4.25,14.921181)); #237439=CARTESIAN_POINT('',(10.584745,4.25,14.921181)); #237440=CARTESIAN_POINT('',(12.4731287719809,4.25,21.5820255775315)); #237441=CARTESIAN_POINT('',(10.584745,4.24,14.921181)); #237442=CARTESIAN_POINT('',(10.584745,4.25,14.921181)); #237443=CARTESIAN_POINT('',(10.584745,4.24,14.921181)); #237444=CARTESIAN_POINT('Origin',(10.583113,4.25,14.917164)); #237445=CARTESIAN_POINT('',(10.583113,4.25,14.917164)); #237446=CARTESIAN_POINT('',(13.4190476137602,4.25,21.8975251173346)); #237447=CARTESIAN_POINT('',(10.583113,4.24,14.917164)); #237448=CARTESIAN_POINT('',(10.583113,4.25,14.917164)); #237449=CARTESIAN_POINT('',(10.583113,4.24,14.917164)); #237450=CARTESIAN_POINT('Origin',(10.58106,4.25,14.913406)); #237451=CARTESIAN_POINT('',(10.58106,4.25,14.913406)); #237452=CARTESIAN_POINT('',(14.4519730544452,4.25,21.9990812355579)); #237453=CARTESIAN_POINT('',(10.58106,4.24,14.913406)); #237454=CARTESIAN_POINT('',(10.58106,4.25,14.913406)); #237455=CARTESIAN_POINT('',(10.58106,4.24,14.913406)); #237456=CARTESIAN_POINT('Origin',(10.578595,4.25,14.909912)); #237457=CARTESIAN_POINT('',(10.578595,4.25,14.909912)); #237458=CARTESIAN_POINT('',(15.4842262260799,4.25,21.8633706222728)); #237459=CARTESIAN_POINT('',(10.578595,4.24,14.909912)); #237460=CARTESIAN_POINT('',(10.578595,4.25,14.909912)); #237461=CARTESIAN_POINT('',(10.578595,4.24,14.909912)); #237462=CARTESIAN_POINT('Origin',(10.575721,4.25,14.906689)); #237463=CARTESIAN_POINT('',(10.575721,4.25,14.906689)); #237464=CARTESIAN_POINT('',(16.4543313464152,4.25,21.4991598234242)); #237465=CARTESIAN_POINT('',(10.575721,4.24,14.906689)); #237466=CARTESIAN_POINT('',(10.575721,4.25,14.906689)); #237467=CARTESIAN_POINT('',(10.575721,4.24,14.906689)); #237468=CARTESIAN_POINT('Origin',(10.572433,4.25,14.903679)); #237469=CARTESIAN_POINT('',(10.572433,4.25,14.903679)); #237470=CARTESIAN_POINT('',(17.2290145935925,4.25,20.9974474296571)); #237471=CARTESIAN_POINT('',(10.572433,4.24,14.903679)); #237472=CARTESIAN_POINT('',(10.572433,4.25,14.903679)); #237473=CARTESIAN_POINT('',(10.572433,4.24,14.903679)); #237474=CARTESIAN_POINT('Origin',(10.568627,4.25,14.900936)); #237475=CARTESIAN_POINT('',(10.568627,4.25,14.900936)); #237476=CARTESIAN_POINT('',(17.9760233155582,4.25,20.2394772752409)); #237477=CARTESIAN_POINT('',(10.568627,4.24,14.900936)); #237478=CARTESIAN_POINT('',(10.568627,4.25,14.900936)); #237479=CARTESIAN_POINT('',(10.568627,4.24,14.900936)); #237480=CARTESIAN_POINT('Origin',(10.564274,4.25,14.898605)); #237481=CARTESIAN_POINT('',(10.564274,4.25,14.898605)); #237482=CARTESIAN_POINT('',(18.5863877813228,4.25,19.1943888787654)); #237483=CARTESIAN_POINT('',(10.564274,4.24,14.898605)); #237484=CARTESIAN_POINT('',(10.564274,4.25,14.898605)); #237485=CARTESIAN_POINT('',(10.564274,4.24,14.898605)); #237486=CARTESIAN_POINT('Origin',(10.55937,4.25,14.896679)); #237487=CARTESIAN_POINT('',(10.55937,4.25,14.896679)); #237488=CARTESIAN_POINT('',(18.8802253277018,4.25,18.1646168795169)); #237489=CARTESIAN_POINT('',(10.55937,4.24,14.896679)); #237490=CARTESIAN_POINT('',(10.55937,4.25,14.896679)); #237491=CARTESIAN_POINT('',(10.55937,4.24,14.896679)); #237492=CARTESIAN_POINT('Origin',(10.5278468130865,4.25,15.0057695518434)); #237493=CARTESIAN_POINT('',(10.547903,4.25,14.894001)); #237494=CARTESIAN_POINT('Origin',(10.5278468130865,4.25,15.0057695518434)); #237495=CARTESIAN_POINT('',(10.547903,4.24,14.894001)); #237496=CARTESIAN_POINT('',(10.547903,4.25,14.894001)); #237497=CARTESIAN_POINT('Origin',(10.5278468130865,4.24,15.0057695518434)); #237498=CARTESIAN_POINT('Origin',(10.2790686660932,4.25,18.0023049025333)); #237499=CARTESIAN_POINT('',(10.010593,4.25,14.89397)); #237500=CARTESIAN_POINT('Origin',(10.2790686660932,4.25,18.0023049025333)); #237501=CARTESIAN_POINT('',(10.010593,4.24,14.89397)); #237502=CARTESIAN_POINT('',(10.010593,4.25,14.89397)); #237503=CARTESIAN_POINT('Origin',(10.2790686660932,4.24,18.0023049025333)); #237504=CARTESIAN_POINT('Origin',(10.004565,4.25,14.895096)); #237505=CARTESIAN_POINT('',(10.004565,4.25,14.895096)); #237506=CARTESIAN_POINT('',(16.7240512411136,4.25,13.6399298574138)); #237507=CARTESIAN_POINT('',(10.004565,4.24,14.895096)); #237508=CARTESIAN_POINT('',(10.004565,4.25,14.895096)); #237509=CARTESIAN_POINT('',(10.004565,4.24,14.895096)); #237510=CARTESIAN_POINT('Origin',(9.9990978,4.25,14.896614)); #237511=CARTESIAN_POINT('',(9.9990978,4.25,14.896614)); #237512=CARTESIAN_POINT('',(16.0318363512762,4.25,13.2215889193693)); #237513=CARTESIAN_POINT('',(9.9990978,4.24,14.896614)); #237514=CARTESIAN_POINT('',(9.9990978,4.25,14.896614)); #237515=CARTESIAN_POINT('',(9.9990978,4.24,14.896614)); #237516=CARTESIAN_POINT('Origin',(9.9941864,4.25,14.898529)); #237517=CARTESIAN_POINT('',(9.9941864,4.25,14.898529)); #237518=CARTESIAN_POINT('',(15.1447318252245,4.25,12.8902840007508)); #237519=CARTESIAN_POINT('',(9.9941864,4.24,14.898529)); #237520=CARTESIAN_POINT('',(9.9941864,4.25,14.898529)); #237521=CARTESIAN_POINT('',(9.9941864,4.24,14.898529)); #237522=CARTESIAN_POINT('Origin',(9.9898233,4.25,14.900845)); #237523=CARTESIAN_POINT('',(9.9898233,4.25,14.900845)); #237524=CARTESIAN_POINT('',(14.0659966535521,4.25,12.7371500384293)); #237525=CARTESIAN_POINT('',(9.9898233,4.24,14.900845)); #237526=CARTESIAN_POINT('',(9.9898233,4.25,14.900845)); #237527=CARTESIAN_POINT('',(9.9898233,4.24,14.900845)); #237528=CARTESIAN_POINT('Origin',(9.9860048,4.25,14.903568)); #237529=CARTESIAN_POINT('',(9.9860048,4.25,14.903568)); #237530=CARTESIAN_POINT('',(12.8411692557315,4.25,12.8675295521909)); #237531=CARTESIAN_POINT('',(9.9860048,4.24,14.903568)); #237532=CARTESIAN_POINT('',(9.9860048,4.25,14.903568)); #237533=CARTESIAN_POINT('',(9.9860048,4.24,14.903568)); #237534=CARTESIAN_POINT('Origin',(9.9826813,4.25,14.906574)); #237535=CARTESIAN_POINT('',(9.9826813,4.25,14.906574)); #237536=CARTESIAN_POINT('',(11.8220392271591,4.25,13.2429332811673)); #237537=CARTESIAN_POINT('',(9.9826813,4.24,14.906574)); #237538=CARTESIAN_POINT('',(9.9826813,4.25,14.906574)); #237539=CARTESIAN_POINT('',(9.9826813,4.24,14.906574)); #237540=CARTESIAN_POINT('Origin',(9.9797726,4.25,14.909786)); #237541=CARTESIAN_POINT('',(9.9797726,4.25,14.909786)); #237542=CARTESIAN_POINT('',(11.0336229796002,4.25,13.7460470722069)); #237543=CARTESIAN_POINT('',(9.9797726,4.24,14.909786)); #237544=CARTESIAN_POINT('',(9.9797726,4.25,14.909786)); #237545=CARTESIAN_POINT('',(9.9797726,4.24,14.909786)); #237546=CARTESIAN_POINT('Origin',(9.9772854,4.25,14.913273)); #237547=CARTESIAN_POINT('',(9.9772854,4.25,14.913273)); #237548=CARTESIAN_POINT('',(10.2607653814063,4.25,14.5158402663377)); #237549=CARTESIAN_POINT('',(9.9772854,4.24,14.913273)); #237550=CARTESIAN_POINT('',(9.9772854,4.25,14.913273)); #237551=CARTESIAN_POINT('',(9.9772854,4.24,14.913273)); #237552=CARTESIAN_POINT('Origin',(9.9752226,4.25,14.917019)); #237553=CARTESIAN_POINT('',(9.9752226,4.25,14.917019)); #237554=CARTESIAN_POINT('',(9.68603855862465,4.25,15.442170938623)); #237555=CARTESIAN_POINT('',(9.9752226,4.24,14.917019)); #237556=CARTESIAN_POINT('',(9.9752226,4.25,14.917019)); #237557=CARTESIAN_POINT('',(9.9752226,4.24,14.917019)); #237558=CARTESIAN_POINT('Origin',(9.9735842,4.25,14.921024)); #237559=CARTESIAN_POINT('',(9.9735842,4.25,14.921024)); #237560=CARTESIAN_POINT('',(9.34198963666628,4.25,16.4649303880308)); #237561=CARTESIAN_POINT('',(9.9735842,4.24,14.921024)); #237562=CARTESIAN_POINT('',(9.9735842,4.25,14.921024)); #237563=CARTESIAN_POINT('',(9.9735842,4.24,14.921024)); #237564=CARTESIAN_POINT('Origin',(9.9723711,4.25,14.925289)); #237565=CARTESIAN_POINT('',(9.9723711,4.25,14.925289)); #237566=CARTESIAN_POINT('',(9.23914514971008,4.25,17.5031545329218)); #237567=CARTESIAN_POINT('',(9.9723711,4.24,14.925289)); #237568=CARTESIAN_POINT('',(9.9723711,4.25,14.925289)); #237569=CARTESIAN_POINT('',(9.9723711,4.24,14.925289)); #237570=CARTESIAN_POINT('Origin',(9.9715853,4.25,14.929802)); #237571=CARTESIAN_POINT('',(9.9715853,4.25,14.929802)); #237572=CARTESIAN_POINT('',(9.35355397734757,4.25,18.4792743328245)); #237573=CARTESIAN_POINT('',(9.9715853,4.24,14.929802)); #237574=CARTESIAN_POINT('',(9.9715853,4.25,14.929802)); #237575=CARTESIAN_POINT('',(9.9715853,4.24,14.929802)); #237576=CARTESIAN_POINT('Origin',(9.9712276,4.25,14.934559)); #237577=CARTESIAN_POINT('',(9.9712276,4.25,14.934559)); #237578=CARTESIAN_POINT('',(9.63942061820493,4.25,19.3472115367611)); #237579=CARTESIAN_POINT('',(9.9712276,4.24,14.934559)); #237580=CARTESIAN_POINT('',(9.9712276,4.25,14.934559)); #237581=CARTESIAN_POINT('',(9.9712276,4.24,14.934559)); #237582=CARTESIAN_POINT('Origin',(9.9712992,4.25,14.939426)); #237583=CARTESIAN_POINT('',(9.9712992,4.25,14.939426)); #237584=CARTESIAN_POINT('',(10.04699783179,4.25,20.0850299234856)); #237585=CARTESIAN_POINT('',(9.9712992,4.24,14.939426)); #237586=CARTESIAN_POINT('',(9.9712992,4.25,14.939426)); #237587=CARTESIAN_POINT('',(9.9712992,4.24,14.939426)); #237588=CARTESIAN_POINT('Origin',(9.9717999,4.25,14.944077)); #237589=CARTESIAN_POINT('',(9.9717999,4.25,14.944077)); #237590=CARTESIAN_POINT('',(10.5975493267373,4.25,20.7566605505411)); #237591=CARTESIAN_POINT('',(9.9717999,4.24,14.944077)); #237592=CARTESIAN_POINT('',(9.9717999,4.25,14.944077)); #237593=CARTESIAN_POINT('',(9.9717999,4.24,14.944077)); #237594=CARTESIAN_POINT('Origin',(9.9727278,4.25,14.94849)); #237595=CARTESIAN_POINT('',(9.9727278,4.25,14.94849)); #237596=CARTESIAN_POINT('',(11.3197865373244,4.25,21.3549672150086)); #237597=CARTESIAN_POINT('',(9.9727278,4.24,14.94849)); #237598=CARTESIAN_POINT('',(9.9727278,4.25,14.94849)); #237599=CARTESIAN_POINT('',(9.9727278,4.24,14.94849)); #237600=CARTESIAN_POINT('Origin',(10.0462332452986,4.25,14.9246715682424)); #237601=CARTESIAN_POINT('',(9.9758635,4.25,14.956585)); #237602=CARTESIAN_POINT('Origin',(10.0462332452986,4.25,14.9246715682424)); #237603=CARTESIAN_POINT('',(9.9758635,4.24,14.956585)); #237604=CARTESIAN_POINT('',(9.9758635,4.25,14.956585)); #237605=CARTESIAN_POINT('Origin',(10.0462332452986,4.24,14.9246715682424)); #237606=CARTESIAN_POINT('Origin',(9.9780674,4.25,14.960255)); #237607=CARTESIAN_POINT('',(9.9780674,4.25,14.960255)); #237608=CARTESIAN_POINT('',(14.2903518632077,4.25,22.1411996798673)); #237609=CARTESIAN_POINT('',(9.9780674,4.24,14.960255)); #237610=CARTESIAN_POINT('',(9.9780674,4.25,14.960255)); #237611=CARTESIAN_POINT('',(9.9780674,4.24,14.960255)); #237612=CARTESIAN_POINT('Origin',(9.9806948,4.25,14.963669)); #237613=CARTESIAN_POINT('',(9.9806948,4.25,14.963669)); #237614=CARTESIAN_POINT('',(15.3482696711319,4.25,21.9382067978405)); #237615=CARTESIAN_POINT('',(9.9806948,4.24,14.963669)); #237616=CARTESIAN_POINT('',(9.9806948,4.25,14.963669)); #237617=CARTESIAN_POINT('',(9.9806948,4.24,14.963669)); #237618=CARTESIAN_POINT('Origin',(9.9837437,4.25,14.966824)); #237619=CARTESIAN_POINT('',(9.9837437,4.25,14.966824)); #237620=CARTESIAN_POINT('',(16.3094285233896,4.25,21.5126390866879)); #237621=CARTESIAN_POINT('',(9.9837437,4.24,14.966824)); #237622=CARTESIAN_POINT('',(9.9837437,4.25,14.966824)); #237623=CARTESIAN_POINT('',(9.9837437,4.24,14.966824)); #237624=CARTESIAN_POINT('Origin',(9.9872179,4.25,14.969757)); #237625=CARTESIAN_POINT('',(9.9872179,4.25,14.969757)); #237626=CARTESIAN_POINT('',(17.0755478134524,4.25,20.9538890695854)); #237627=CARTESIAN_POINT('',(9.9872179,4.24,14.969757)); #237628=CARTESIAN_POINT('',(9.9872179,4.25,14.969757)); #237629=CARTESIAN_POINT('',(9.9872179,4.24,14.969757)); #237630=CARTESIAN_POINT('Origin',(9.9912176,4.25,14.972317)); #237631=CARTESIAN_POINT('',(9.9912176,4.25,14.972317)); #237632=CARTESIAN_POINT('',(17.875018683433,4.25,20.0183281442317)); #237633=CARTESIAN_POINT('',(9.9912176,4.24,14.972317)); #237634=CARTESIAN_POINT('',(9.9912176,4.25,14.972317)); #237635=CARTESIAN_POINT('',(9.9912176,4.24,14.972317)); #237636=CARTESIAN_POINT('Origin',(9.9957619,4.25,14.97448)); #237637=CARTESIAN_POINT('',(9.9957619,4.25,14.97448)); #237638=CARTESIAN_POINT('',(18.3835418520257,4.25,18.966903043423)); #237639=CARTESIAN_POINT('',(9.9957619,4.24,14.97448)); #237640=CARTESIAN_POINT('',(9.9957619,4.25,14.97448)); #237641=CARTESIAN_POINT('',(9.9957619,4.24,14.97448)); #237642=CARTESIAN_POINT('Origin',(10.000858,4.25,14.976246)); #237643=CARTESIAN_POINT('',(10.000858,4.25,14.976246)); #237644=CARTESIAN_POINT('',(18.6040841382994,4.25,17.9576037756045)); #237645=CARTESIAN_POINT('',(10.000858,4.24,14.976246)); #237646=CARTESIAN_POINT('',(10.000858,4.25,14.976246)); #237647=CARTESIAN_POINT('',(10.000858,4.24,14.976246)); #237648=CARTESIAN_POINT('Origin',(10.0270917107254,4.25,14.8718676063916)); #237649=CARTESIAN_POINT('',(10.026876,4.25,14.979492)); #237650=CARTESIAN_POINT('Origin',(10.0270917107254,4.25,14.8718676063916)); #237651=CARTESIAN_POINT('',(10.026876,4.24,14.979492)); #237652=CARTESIAN_POINT('',(10.026876,4.25,14.979492)); #237653=CARTESIAN_POINT('Origin',(10.0270917107254,4.24,14.8718676063916)); #237654=CARTESIAN_POINT('Origin',(10.235582,4.25,14.979496)); #237655=CARTESIAN_POINT('',(10.235582,4.25,14.979496)); #237656=CARTESIAN_POINT('',(18.0178870222101,4.25,14.9796451534507)); #237657=CARTESIAN_POINT('',(10.235582,4.24,14.979496)); #237658=CARTESIAN_POINT('',(10.235582,4.25,14.979496)); #237659=CARTESIAN_POINT('',(10.235582,4.24,14.979496)); #237660=CARTESIAN_POINT('Origin',(-139.512237330139,4.25,15.5145192785006)); #237661=CARTESIAN_POINT('',(10.235703,4.25,16.0145302)); #237662=CARTESIAN_POINT('Origin',(-139.512237330139,4.25,15.5145192785006)); #237663=CARTESIAN_POINT('',(10.235703,4.24,16.0145302)); #237664=CARTESIAN_POINT('',(10.235703,4.25,16.0145302)); #237665=CARTESIAN_POINT('Origin',(-139.512237330139,4.24,15.5145192785006)); #237666=CARTESIAN_POINT('Origin',(10.1294290218656,4.25,17.0145018002078)); #237667=CARTESIAN_POINT('',(10.007397,4.25,16.0163307)); #237668=CARTESIAN_POINT('Origin',(10.1294290218656,4.25,17.0145018002078)); #237669=CARTESIAN_POINT('',(10.007397,4.24,16.0163307)); #237670=CARTESIAN_POINT('',(10.007397,4.25,16.0163307)); #237671=CARTESIAN_POINT('Origin',(10.1294290218656,4.24,17.0145018002078)); #237672=CARTESIAN_POINT('Origin',(10.00166,4.25,16.0176735)); #237673=CARTESIAN_POINT('',(10.00166,4.25,16.0176735)); #237674=CARTESIAN_POINT('',(16.4939567175265,4.25,14.4980890425653)); #237675=CARTESIAN_POINT('',(10.00166,4.24,16.0176735)); #237676=CARTESIAN_POINT('',(10.00166,4.25,16.0176735)); #237677=CARTESIAN_POINT('',(10.00166,4.24,16.0176735)); #237678=CARTESIAN_POINT('Origin',(9.9964819,4.25,16.0194016)); #237679=CARTESIAN_POINT('',(9.9964819,4.25,16.0194016)); #237680=CARTESIAN_POINT('',(15.7579818137478,4.25,14.0966021173821)); #237681=CARTESIAN_POINT('',(9.9964819,4.24,16.0194016)); #237682=CARTESIAN_POINT('',(9.9964819,4.25,16.0194016)); #237683=CARTESIAN_POINT('',(9.9964819,4.24,16.0194016)); #237684=CARTESIAN_POINT('Origin',(9.9918556,4.25,16.0215187)); #237685=CARTESIAN_POINT('',(9.9918556,4.25,16.0215187)); #237686=CARTESIAN_POINT('',(14.8286374107116,4.25,13.8080974407969)); #237687=CARTESIAN_POINT('',(9.9918556,4.24,16.0215187)); #237688=CARTESIAN_POINT('',(9.9918556,4.25,16.0215187)); #237689=CARTESIAN_POINT('',(9.9918556,4.24,16.0215187)); #237690=CARTESIAN_POINT('Origin',(9.9877768,4.25,16.0240326)); #237691=CARTESIAN_POINT('',(9.9877768,4.25,16.0240326)); #237692=CARTESIAN_POINT('',(13.7127875180154,4.25,13.728184692766)); #237693=CARTESIAN_POINT('',(9.9877768,4.24,16.0240326)); #237694=CARTESIAN_POINT('',(9.9877768,4.25,16.0240326)); #237695=CARTESIAN_POINT('',(9.9877768,4.24,16.0240326)); #237696=CARTESIAN_POINT('Origin',(9.9842319,4.25,16.0269279)); #237697=CARTESIAN_POINT('',(9.9842319,4.25,16.0269279)); #237698=CARTESIAN_POINT('',(12.5296989240546,4.25,13.9479156077646)); #237699=CARTESIAN_POINT('',(9.9842319,4.24,16.0269279)); #237700=CARTESIAN_POINT('',(9.9842319,4.25,16.0269279)); #237701=CARTESIAN_POINT('',(9.9842319,4.24,16.0269279)); #237702=CARTESIAN_POINT('Origin',(9.9811201,4.25,16.0300446)); #237703=CARTESIAN_POINT('',(9.9811201,4.25,16.0300446)); #237704=CARTESIAN_POINT('',(11.6871315986361,4.25,14.3213467280939)); #237705=CARTESIAN_POINT('',(9.9811201,4.24,16.0300446)); #237706=CARTESIAN_POINT('',(9.9811201,4.25,16.0300446)); #237707=CARTESIAN_POINT('',(9.9811201,4.24,16.0300446)); #237708=CARTESIAN_POINT('Origin',(9.9784298,4.25,16.0334206)); #237709=CARTESIAN_POINT('',(9.9784298,4.25,16.0334206)); #237710=CARTESIAN_POINT('',(10.8658273715908,4.25,14.9198443439351)); #237711=CARTESIAN_POINT('',(9.9784298,4.24,16.0334206)); #237712=CARTESIAN_POINT('',(9.9784298,4.25,16.0334206)); #237713=CARTESIAN_POINT('',(9.9784298,4.24,16.0334206)); #237714=CARTESIAN_POINT('Origin',(9.9761629,4.25,16.0370522)); #237715=CARTESIAN_POINT('',(9.9761629,4.25,16.0370522)); #237716=CARTESIAN_POINT('',(10.1815625016319,4.25,15.7079996642524)); #237717=CARTESIAN_POINT('',(9.9761629,4.24,16.0370522)); #237718=CARTESIAN_POINT('',(9.9761629,4.25,16.0370522)); #237719=CARTESIAN_POINT('',(9.9761629,4.24,16.0370522)); #237720=CARTESIAN_POINT('Origin',(9.9743195,4.25,16.0409393)); #237721=CARTESIAN_POINT('',(9.9743195,4.25,16.0409393)); #237722=CARTESIAN_POINT('',(9.69285906290203,4.25,16.6344430783682)); #237723=CARTESIAN_POINT('',(9.9743195,4.24,16.0409393)); #237724=CARTESIAN_POINT('',(9.9743195,4.25,16.0409393)); #237725=CARTESIAN_POINT('',(9.9743195,4.24,16.0409393)); #237726=CARTESIAN_POINT('Origin',(9.9729013,4.25,16.0450745)); #237727=CARTESIAN_POINT('',(9.9729013,4.25,16.0450745)); #237728=CARTESIAN_POINT('',(9.43176176615783,4.25,17.622933899481)); #237729=CARTESIAN_POINT('',(9.9729013,4.24,16.0450745)); #237730=CARTESIAN_POINT('',(9.9729013,4.25,16.0450745)); #237731=CARTESIAN_POINT('',(9.9729013,4.24,16.0450745)); #237732=CARTESIAN_POINT('Origin',(9.9719105,4.25,16.0494499)); #237733=CARTESIAN_POINT('',(9.9719105,4.25,16.0494499)); #237734=CARTESIAN_POINT('',(9.39395234039104,4.25,18.601728999266)); #237735=CARTESIAN_POINT('',(9.9719105,4.24,16.0494499)); #237736=CARTESIAN_POINT('',(9.9719105,4.25,16.0494499)); #237737=CARTESIAN_POINT('',(9.9719105,4.24,16.0494499)); #237738=CARTESIAN_POINT('Origin',(9.9713459,4.25,16.0540657)); #237739=CARTESIAN_POINT('',(9.9713459,4.25,16.0540657)); #237740=CARTESIAN_POINT('',(9.54895057369819,4.25,19.5072933782605)); #237741=CARTESIAN_POINT('',(9.9713459,4.24,16.0540657)); #237742=CARTESIAN_POINT('',(9.9713459,4.25,16.0540657)); #237743=CARTESIAN_POINT('',(9.9713459,4.24,16.0540657)); #237744=CARTESIAN_POINT('Origin',(9.9712114,4.25,16.0588646)); #237745=CARTESIAN_POINT('',(9.9712114,4.25,16.0588646)); #237746=CARTESIAN_POINT('',(9.85222409240311,4.25,20.304278655157)); #237747=CARTESIAN_POINT('',(9.9712114,4.24,16.0588646)); #237748=CARTESIAN_POINT('',(9.9712114,4.25,16.0588646)); #237749=CARTESIAN_POINT('',(9.9712114,4.24,16.0588646)); #237750=CARTESIAN_POINT('Origin',(9.9715052,4.25,16.0634155)); #237751=CARTESIAN_POINT('',(9.9715052,4.25,16.0634155)); #237752=CARTESIAN_POINT('',(10.2916231223425,4.25,21.021974563275)); #237753=CARTESIAN_POINT('',(9.9715052,4.24,16.0634155)); #237754=CARTESIAN_POINT('',(9.9715052,4.25,16.0634155)); #237755=CARTESIAN_POINT('',(9.9715052,4.24,16.0634155)); #237756=CARTESIAN_POINT('Origin',(9.9722281,4.25,16.0677643)); #237757=CARTESIAN_POINT('',(9.9722281,4.25,16.0677643)); #237758=CARTESIAN_POINT('',(10.90746615246,4.25,21.6939411467678)); #237759=CARTESIAN_POINT('',(9.9722281,4.24,16.0677643)); #237760=CARTESIAN_POINT('',(9.9722281,4.25,16.0677643)); #237761=CARTESIAN_POINT('',(9.9722281,4.24,16.0677643)); #237762=CARTESIAN_POINT('Origin',(9.9733772,4.25,16.0719147)); #237763=CARTESIAN_POINT('',(9.9733772,4.25,16.0719147)); #237764=CARTESIAN_POINT('',(11.684720502395,4.25,22.2530645061662)); #237765=CARTESIAN_POINT('',(9.9733772,4.24,16.0719147)); #237766=CARTESIAN_POINT('',(9.9733772,4.25,16.0719147)); #237767=CARTESIAN_POINT('',(9.9733772,4.24,16.0719147)); #237768=CARTESIAN_POINT('Origin',(9.9749527,4.25,16.0758743)); #237769=CARTESIAN_POINT('',(9.9749527,4.25,16.0758743)); #237770=CARTESIAN_POINT('',(12.5891996049624,4.25,22.6460882288464)); #237771=CARTESIAN_POINT('',(9.9749527,4.24,16.0758743)); #237772=CARTESIAN_POINT('',(9.9749527,4.25,16.0758743)); #237773=CARTESIAN_POINT('',(9.9749527,4.24,16.0758743)); #237774=CARTESIAN_POINT('Origin',(9.9769535,4.25,16.0796509)); #237775=CARTESIAN_POINT('',(9.9769535,4.25,16.0796509)); #237776=CARTESIAN_POINT('',(13.5559422953703,4.25,22.835153241355)); #237777=CARTESIAN_POINT('',(9.9769535,4.24,16.0796509)); #237778=CARTESIAN_POINT('',(9.9769535,4.25,16.0796509)); #237779=CARTESIAN_POINT('',(9.9769535,4.24,16.0796509)); #237780=CARTESIAN_POINT('Origin',(9.9793768,4.25,16.0832481)); #237781=CARTESIAN_POINT('',(9.9793768,4.25,16.0832481)); #237782=CARTESIAN_POINT('',(14.5145361519538,4.25,22.8153387288311)); #237783=CARTESIAN_POINT('',(9.9793768,4.24,16.0832481)); #237784=CARTESIAN_POINT('',(9.9793768,4.25,16.0832481)); #237785=CARTESIAN_POINT('',(9.9793768,4.24,16.0832481)); #237786=CARTESIAN_POINT('Origin',(9.9822235,4.25,16.0866699)); #237787=CARTESIAN_POINT('',(9.9822235,4.25,16.0866699)); #237788=CARTESIAN_POINT('',(15.4082612222411,4.25,22.6088941870591)); #237789=CARTESIAN_POINT('',(9.9822235,4.24,16.0866699)); #237790=CARTESIAN_POINT('',(9.9822235,4.25,16.0866699)); #237791=CARTESIAN_POINT('',(9.9822235,4.24,16.0866699)); #237792=CARTESIAN_POINT('Origin',(9.9854851,4.25,16.0898819)); #237793=CARTESIAN_POINT('',(9.9854851,4.25,16.0898819)); #237794=CARTESIAN_POINT('',(16.2269628000391,4.25,22.2364438243691)); #237795=CARTESIAN_POINT('',(9.9854851,4.24,16.0898819)); #237796=CARTESIAN_POINT('',(9.9854851,4.25,16.0898819)); #237797=CARTESIAN_POINT('',(9.9854851,4.24,16.0898819)); #237798=CARTESIAN_POINT('Origin',(9.9892244,4.25,16.0926628)); #237799=CARTESIAN_POINT('',(9.9892244,4.25,16.0926628)); #237800=CARTESIAN_POINT('',(17.2119949157065,4.25,21.4642036036603)); #237801=CARTESIAN_POINT('',(9.9892244,4.24,16.0926628)); #237802=CARTESIAN_POINT('',(9.9892244,4.25,16.0926628)); #237803=CARTESIAN_POINT('',(9.9892244,4.24,16.0926628)); #237804=CARTESIAN_POINT('Origin',(9.9935055,4.25,16.0950661)); #237805=CARTESIAN_POINT('',(9.9935055,4.25,16.0950661)); #237806=CARTESIAN_POINT('',(17.9034911503689,4.25,20.5355296522472)); #237807=CARTESIAN_POINT('',(9.9935055,4.24,16.0950661)); #237808=CARTESIAN_POINT('',(9.9935055,4.25,16.0950661)); #237809=CARTESIAN_POINT('',(9.9935055,4.24,16.0950661)); #237810=CARTESIAN_POINT('Origin',(9.9983349,4.25,16.0970917)); #237811=CARTESIAN_POINT('',(9.9983349,4.25,16.0970917)); #237812=CARTESIAN_POINT('',(18.3049295816037,4.25,19.5811348910904)); #237813=CARTESIAN_POINT('',(9.9983349,4.24,16.0970917)); #237814=CARTESIAN_POINT('',(9.9983349,4.25,16.0970917)); #237815=CARTESIAN_POINT('',(9.9983349,4.24,16.0970917)); #237816=CARTESIAN_POINT('Origin',(10.0270853213827,4.25,16.0080793603222)); #237817=CARTESIAN_POINT('',(10.009665,4.25,16.0999832)); #237818=CARTESIAN_POINT('Origin',(10.0270853213827,4.25,16.0080793603222)); #237819=CARTESIAN_POINT('',(10.009665,4.24,16.0999832)); #237820=CARTESIAN_POINT('',(10.009665,4.25,16.0999832)); #237821=CARTESIAN_POINT('Origin',(10.0270853213827,4.24,16.0080793603222)); #237822=CARTESIAN_POINT('Origin',(10.016176,4.25,16.1008415)); #237823=CARTESIAN_POINT('',(10.016176,4.25,16.1008415)); #237824=CARTESIAN_POINT('',(18.3498286305112,4.25,17.1994091628433)); #237825=CARTESIAN_POINT('',(10.016176,4.24,16.1008415)); #237826=CARTESIAN_POINT('',(10.016176,4.25,16.1008415)); #237827=CARTESIAN_POINT('',(10.016176,4.24,16.1008415)); #237828=CARTESIAN_POINT('Origin',(10.02326,4.25,16.1013107)); #237829=CARTESIAN_POINT('',(10.02326,4.25,16.1013107)); #237830=CARTESIAN_POINT('',(18.1705852562219,4.25,16.6409387364505)); #237831=CARTESIAN_POINT('',(10.02326,4.24,16.1013107)); #237832=CARTESIAN_POINT('',(10.02326,4.25,16.1013107)); #237833=CARTESIAN_POINT('',(10.02326,4.24,16.1013107)); #237834=CARTESIAN_POINT('Origin',(10.986814,4.25,16.1013985)); #237835=CARTESIAN_POINT('',(10.986814,4.25,16.1013985)); #237836=CARTESIAN_POINT('',(18.3938123632144,4.25,16.1020734330668)); #237837=CARTESIAN_POINT('',(10.986814,4.24,16.1013985)); #237838=CARTESIAN_POINT('',(10.986814,4.25,16.1013985)); #237839=CARTESIAN_POINT('',(10.986814,4.24,16.1013985)); #237840=CARTESIAN_POINT('Origin',(10.987204,4.25,16.1008949)); #237841=CARTESIAN_POINT('',(10.987204,4.25,16.1008949)); #237842=CARTESIAN_POINT('',(11.6098195969204,4.25,15.2969225548477)); #237843=CARTESIAN_POINT('',(10.987204,4.24,16.1008949)); #237844=CARTESIAN_POINT('',(10.987204,4.25,16.1008949)); #237845=CARTESIAN_POINT('',(10.987204,4.24,16.1008949)); #237846=CARTESIAN_POINT('Origin',(6.86360503694163,4.25,15.8838112221798)); #237847=CARTESIAN_POINT('',(10.986756,4.25,15.6583786)); #237848=CARTESIAN_POINT('Origin',(6.86360503694163,4.25,15.8838112221798)); #237849=CARTESIAN_POINT('',(10.986756,4.24,15.6583786)); #237850=CARTESIAN_POINT('',(10.986756,4.25,15.6583786)); #237851=CARTESIAN_POINT('Origin',(6.86360503694163,4.24,15.8838112221798)); #237852=CARTESIAN_POINT('Origin',(10.985954,4.25,15.6515656)); #237853=CARTESIAN_POINT('',(10.985954,4.25,15.6515656)); #237854=CARTESIAN_POINT('',(11.6299012955642,4.25,21.1219059050869)); #237855=CARTESIAN_POINT('',(10.985954,4.24,15.6515656)); #237856=CARTESIAN_POINT('',(10.985954,4.25,15.6515656)); #237857=CARTESIAN_POINT('',(10.985954,4.24,15.6515656)); #237858=CARTESIAN_POINT('Origin',(10.984756,4.25,15.645359)); #237859=CARTESIAN_POINT('',(10.984756,4.25,15.645359)); #237860=CARTESIAN_POINT('',(12.1212176362548,4.25,21.5331409629211)); #237861=CARTESIAN_POINT('',(10.984756,4.24,15.645359)); #237862=CARTESIAN_POINT('',(10.984756,4.25,15.645359)); #237863=CARTESIAN_POINT('',(10.984756,4.24,15.645359)); #237864=CARTESIAN_POINT('Origin',(10.98317,4.25,15.6397591)); #237865=CARTESIAN_POINT('',(10.98317,4.25,15.6397591)); #237866=CARTESIAN_POINT('',(12.7603685137397,4.25,21.9147489846706)); #237867=CARTESIAN_POINT('',(10.98317,4.24,15.6397591)); #237868=CARTESIAN_POINT('',(10.98317,4.25,15.6397591)); #237869=CARTESIAN_POINT('',(10.98317,4.24,15.6397591)); #237870=CARTESIAN_POINT('Origin',(10.981198,4.25,15.6347656)); #237871=CARTESIAN_POINT('',(10.981198,4.25,15.6347656)); #237872=CARTESIAN_POINT('',(13.5805803031439,4.25,22.2169235770509)); #237873=CARTESIAN_POINT('',(10.981198,4.24,15.6347656)); #237874=CARTESIAN_POINT('',(10.981198,4.25,15.6347656)); #237875=CARTESIAN_POINT('',(10.981198,4.24,15.6347656)); #237876=CARTESIAN_POINT('Origin',(10.97885,4.25,15.6303749)); #237877=CARTESIAN_POINT('',(10.97885,4.25,15.6303749)); #237878=CARTESIAN_POINT('',(14.5749714249523,4.25,22.3550300705871)); #237879=CARTESIAN_POINT('',(10.97885,4.24,15.6303749)); #237880=CARTESIAN_POINT('',(10.97885,4.25,15.6303749)); #237881=CARTESIAN_POINT('',(10.97885,4.24,15.6303749)); #237882=CARTESIAN_POINT('Origin',(10.97613,4.25,15.6265869)); #237883=CARTESIAN_POINT('',(10.97613,4.25,15.6265869)); #237884=CARTESIAN_POINT('',(15.7181014657119,4.25,22.2304795147478)); #237885=CARTESIAN_POINT('',(10.97613,4.24,15.6265869)); #237886=CARTESIAN_POINT('',(10.97613,4.25,15.6265869)); #237887=CARTESIAN_POINT('',(10.97613,4.24,15.6265869)); #237888=CARTESIAN_POINT('Origin',(10.973069,4.25,15.623394)); #237889=CARTESIAN_POINT('',(10.973069,4.25,15.623394)); #237890=CARTESIAN_POINT('',(16.8655820202401,4.25,21.7698183130822)); #237891=CARTESIAN_POINT('',(10.973069,4.24,15.623394)); #237892=CARTESIAN_POINT('',(10.973069,4.25,15.623394)); #237893=CARTESIAN_POINT('',(10.973069,4.24,15.623394)); #237894=CARTESIAN_POINT('Origin',(10.969791,4.25,15.6206284)); #237895=CARTESIAN_POINT('',(10.969791,4.25,15.6206284)); #237896=CARTESIAN_POINT('',(17.6129104291176,4.25,21.2253297707082)); #237897=CARTESIAN_POINT('',(10.969791,4.24,15.6206284)); #237898=CARTESIAN_POINT('',(10.969791,4.25,15.6206284)); #237899=CARTESIAN_POINT('',(10.969791,4.24,15.6206284)); #237900=CARTESIAN_POINT('Origin',(10.966311,4.25,15.6182594)); #237901=CARTESIAN_POINT('',(10.966311,4.25,15.6182594)); #237902=CARTESIAN_POINT('',(18.2165640131208,4.25,20.5538483046179)); #237903=CARTESIAN_POINT('',(10.966311,4.24,15.6182594)); #237904=CARTESIAN_POINT('',(10.966311,4.25,15.6182594)); #237905=CARTESIAN_POINT('',(10.966311,4.24,15.6182594)); #237906=CARTESIAN_POINT('Origin',(10.962626,4.25,15.6162872)); #237907=CARTESIAN_POINT('',(10.962626,4.25,15.6162872)); #237908=CARTESIAN_POINT('',(18.6814372791389,4.25,19.7473698607104)); #237909=CARTESIAN_POINT('',(10.962626,4.24,15.6162872)); #237910=CARTESIAN_POINT('',(10.962626,4.25,15.6162872)); #237911=CARTESIAN_POINT('',(10.962626,4.24,15.6162872)); #237912=CARTESIAN_POINT('Origin',(10.958736,4.25,15.6147156)); #237913=CARTESIAN_POINT('',(10.958736,4.25,15.6147156)); #237914=CARTESIAN_POINT('',(18.9679459463529,4.25,18.8505187752389)); #237915=CARTESIAN_POINT('',(10.958736,4.24,15.6147156)); #237916=CARTESIAN_POINT('',(10.958736,4.25,15.6147156)); #237917=CARTESIAN_POINT('',(10.958736,4.24,15.6147156)); #237918=CARTESIAN_POINT('Origin',(10.954641,4.25,15.6135483)); #237919=CARTESIAN_POINT('',(10.954641,4.25,15.6135483)); #237920=CARTESIAN_POINT('',(19.0567963918189,4.25,17.9231077600398)); #237921=CARTESIAN_POINT('',(10.954641,4.24,15.6135483)); #237922=CARTESIAN_POINT('',(10.954641,4.25,15.6135483)); #237923=CARTESIAN_POINT('',(10.954641,4.24,15.6135483)); #237924=CARTESIAN_POINT('Origin',(10.950338,4.25,15.6127815)); #237925=CARTESIAN_POINT('',(10.950338,4.25,15.6127815)); #237926=CARTESIAN_POINT('',(18.9573091856563,4.25,17.039633813541)); #237927=CARTESIAN_POINT('',(10.950338,4.24,15.6127815)); #237928=CARTESIAN_POINT('',(10.950338,4.25,15.6127815)); #237929=CARTESIAN_POINT('',(10.950338,4.24,15.6127815)); #237930=CARTESIAN_POINT('Origin',(10.945827,4.25,15.6124268)); #237931=CARTESIAN_POINT('',(10.945827,4.25,15.6124268)); #237932=CARTESIAN_POINT('',(18.6940810429986,4.25,16.2216721356339)); #237933=CARTESIAN_POINT('',(10.945827,4.24,15.6124268)); #237934=CARTESIAN_POINT('',(10.945827,4.25,15.6124268)); #237935=CARTESIAN_POINT('',(10.945827,4.24,15.6124268)); #237936=CARTESIAN_POINT('Origin',(10.941116,4.25,15.612484)); #237937=CARTESIAN_POINT('',(10.941116,4.25,15.612484)); #237938=CARTESIAN_POINT('',(18.3124806386428,4.25,15.5229823958137)); #237939=CARTESIAN_POINT('',(10.941116,4.24,15.612484)); #237940=CARTESIAN_POINT('',(10.941116,4.25,15.612484)); #237941=CARTESIAN_POINT('',(10.941116,4.24,15.612484)); #237942=CARTESIAN_POINT('Origin',(10.93654,4.25,15.6129799)); #237943=CARTESIAN_POINT('',(10.93654,4.25,15.6129799)); #237944=CARTESIAN_POINT('',(17.7792743658941,4.25,14.8714344515646)); #237945=CARTESIAN_POINT('',(10.93654,4.24,15.6129799)); #237946=CARTESIAN_POINT('',(10.93654,4.25,15.6129799)); #237947=CARTESIAN_POINT('',(10.93654,4.24,15.6129799)); #237948=CARTESIAN_POINT('Origin',(10.932171,4.25,15.613903)); #237949=CARTESIAN_POINT('',(10.932171,4.25,15.613903)); #237950=CARTESIAN_POINT('',(17.0992164633637,4.25,14.3109046783611)); #237951=CARTESIAN_POINT('',(10.932171,4.24,15.613903)); #237952=CARTESIAN_POINT('',(10.932171,4.25,15.613903)); #237953=CARTESIAN_POINT('',(10.932171,4.24,15.613903)); #237954=CARTESIAN_POINT('Origin',(10.928016,4.25,15.6152534)); #237955=CARTESIAN_POINT('',(10.928016,4.25,15.6152534)); #237956=CARTESIAN_POINT('',(16.27424237439,4.25,13.8776976608956)); #237957=CARTESIAN_POINT('',(10.928016,4.24,15.6152534)); #237958=CARTESIAN_POINT('',(10.928016,4.25,15.6152534)); #237959=CARTESIAN_POINT('',(10.928016,4.24,15.6152534)); #237960=CARTESIAN_POINT('Origin',(10.9604960477088,4.25,15.6873383440496)); #237961=CARTESIAN_POINT('',(10.920363,4.25,15.6192169)); #237962=CARTESIAN_POINT('Origin',(10.9604960477088,4.25,15.6873383440496)); #237963=CARTESIAN_POINT('',(10.920363,4.24,15.6192169)); #237964=CARTESIAN_POINT('',(10.920363,4.25,15.6192169)); #237965=CARTESIAN_POINT('Origin',(10.9604960477088,4.24,15.6873383440496)); #237966=CARTESIAN_POINT('Origin',(10.916877,4.25,15.6218185)); #237967=CARTESIAN_POINT('',(10.916877,4.25,15.6218185)); #237968=CARTESIAN_POINT('',(13.4487905386196,4.25,13.7322527334841)); #237969=CARTESIAN_POINT('',(10.916877,4.24,15.6218185)); #237970=CARTESIAN_POINT('',(10.916877,4.25,15.6218185)); #237971=CARTESIAN_POINT('',(10.916877,4.24,15.6218185)); #237972=CARTESIAN_POINT('Origin',(10.913622,4.25,15.6248283)); #237973=CARTESIAN_POINT('',(10.913622,4.25,15.6248283)); #237974=CARTESIAN_POINT('',(12.5894691931101,4.25,14.075223113573)); #237975=CARTESIAN_POINT('',(10.913622,4.24,15.6248283)); #237976=CARTESIAN_POINT('',(10.913622,4.25,15.6248283)); #237977=CARTESIAN_POINT('',(10.913622,4.24,15.6248283)); #237978=CARTESIAN_POINT('Origin',(10.910639,4.25,15.6282997)); #237979=CARTESIAN_POINT('',(10.910639,4.25,15.6282997)); #237980=CARTESIAN_POINT('',(11.7566052275933,4.25,14.6438252908596)); #237981=CARTESIAN_POINT('',(10.910639,4.24,15.6282997)); #237982=CARTESIAN_POINT('',(10.910639,4.25,15.6282997)); #237983=CARTESIAN_POINT('',(10.910639,4.24,15.6282997)); #237984=CARTESIAN_POINT('Origin',(10.908024,4.25,15.6323051)); #237985=CARTESIAN_POINT('',(10.908024,4.25,15.6323051)); #237986=CARTESIAN_POINT('',(10.9892319661305,4.25,15.5079187185319)); #237987=CARTESIAN_POINT('',(10.908024,4.24,15.6323051)); #237988=CARTESIAN_POINT('',(10.908024,4.25,15.6323051)); #237989=CARTESIAN_POINT('',(10.908024,4.24,15.6323051)); #237990=CARTESIAN_POINT('Origin',(10.905787,4.25,15.6368484)); #237991=CARTESIAN_POINT('',(10.905787,4.25,15.6368484)); #237992=CARTESIAN_POINT('',(10.5036161520326,4.25,16.4536489424989)); #237993=CARTESIAN_POINT('',(10.905787,4.24,15.6368484)); #237994=CARTESIAN_POINT('',(10.905787,4.25,15.6368484)); #237995=CARTESIAN_POINT('',(10.905787,4.24,15.6368484)); #237996=CARTESIAN_POINT('Origin',(10.903934,4.25,15.6419373)); #237997=CARTESIAN_POINT('',(10.903934,4.25,15.6419373)); #237998=CARTESIAN_POINT('',(10.2715421422484,4.25,17.3786771407507)); #237999=CARTESIAN_POINT('',(10.903934,4.24,15.6419373)); #238000=CARTESIAN_POINT('',(10.903934,4.25,15.6419373)); #238001=CARTESIAN_POINT('',(10.903934,4.24,15.6419373)); #238002=CARTESIAN_POINT('Origin',(10.9990941178462,4.25,15.6686009288911)); #238003=CARTESIAN_POINT('',(10.901387,4.25,15.6537781)); #238004=CARTESIAN_POINT('Origin',(10.9990941178462,4.25,15.6686009288911)); #238005=CARTESIAN_POINT('',(10.901387,4.24,15.6537781)); #238006=CARTESIAN_POINT('',(10.901387,4.25,15.6537781)); #238007=CARTESIAN_POINT('Origin',(10.9990941178462,4.24,15.6686009288911)); #238008=CARTESIAN_POINT('Origin',(11.0243087527241,4.25,15.6693430576575)); #238009=CARTESIAN_POINT('',(10.900414,4.25,15.6678886)); #238010=CARTESIAN_POINT('Origin',(11.0243087527241,4.25,15.6693430576575)); #238011=CARTESIAN_POINT('',(10.900414,4.24,15.6678886)); #238012=CARTESIAN_POINT('',(10.900414,4.25,15.6678886)); #238013=CARTESIAN_POINT('Origin',(11.0243087527241,4.24,15.6693430576575)); #238014=CARTESIAN_POINT('Origin',(10.900404,4.25,16.0142403)); #238015=CARTESIAN_POINT('',(10.900404,4.25,16.0142403)); #238016=CARTESIAN_POINT('',(10.9002742860488,4.25,20.5069050528108)); #238017=CARTESIAN_POINT('',(10.900404,4.24,16.0142403)); #238018=CARTESIAN_POINT('',(10.900404,4.25,16.0142403)); #238019=CARTESIAN_POINT('',(10.900404,4.24,16.0142403)); #238020=CARTESIAN_POINT('Origin',(10.5712251432538,4.25,-48.9383091389327)); #238021=CARTESIAN_POINT('',(10.322654,4.25,16.0145988)); #238022=CARTESIAN_POINT('Origin',(10.5712251432538,4.25,-48.9383091389327)); #238023=CARTESIAN_POINT('',(10.322654,4.24,16.0145988)); #238024=CARTESIAN_POINT('',(10.322654,4.25,16.0145988)); #238025=CARTESIAN_POINT('Origin',(10.5712251432538,4.24,-48.9383091389327)); #238026=CARTESIAN_POINT('Origin',(10.322503,4.25,15.8609505)); #238027=CARTESIAN_POINT('',(10.322503,4.25,15.8609505)); #238028=CARTESIAN_POINT('',(10.3270012338201,4.25,20.4380761918275)); #238029=CARTESIAN_POINT('',(10.322503,4.24,15.8609505)); #238030=CARTESIAN_POINT('',(10.322503,4.25,15.8609505)); #238031=CARTESIAN_POINT('',(10.322503,4.24,15.8609505)); #238032=CARTESIAN_POINT('Origin',(10.322503,4.25,14.9795)); #238033=CARTESIAN_POINT('',(10.322503,4.25,14.9795)); #238034=CARTESIAN_POINT('',(10.322503,4.25,19.98975)); #238035=CARTESIAN_POINT('',(10.322503,4.24,14.9795)); #238036=CARTESIAN_POINT('',(10.322503,4.25,14.9795)); #238037=CARTESIAN_POINT('',(10.322503,4.24,14.9795)); #238038=CARTESIAN_POINT('Origin',(10.4254644322242,4.25,12.7709026740294)); #238039=CARTESIAN_POINT('',(10.545295,4.25,14.978649)); #238040=CARTESIAN_POINT('Origin',(10.4254644322242,4.25,12.7709026740294)); #238041=CARTESIAN_POINT('',(10.545295,4.24,14.978649)); #238042=CARTESIAN_POINT('',(10.545295,4.25,14.978649)); #238043=CARTESIAN_POINT('Origin',(10.4254644322242,4.24,12.7709026740294)); #238044=CARTESIAN_POINT('Origin',(10.551532,4.25,14.977669)); #238045=CARTESIAN_POINT('',(10.551532,4.25,14.977669)); #238046=CARTESIAN_POINT('',(17.2236506206991,4.25,13.9293001931573)); #238047=CARTESIAN_POINT('',(10.551532,4.24,14.977669)); #238048=CARTESIAN_POINT('',(10.551532,4.25,14.977669)); #238049=CARTESIAN_POINT('',(10.551532,4.24,14.977669)); #238050=CARTESIAN_POINT('Origin',(10.557214,4.25,14.976303)); #238051=CARTESIAN_POINT('',(10.557214,4.25,14.976303)); #238052=CARTESIAN_POINT('',(16.6231303516332,4.25,13.5180063198991)); #238053=CARTESIAN_POINT('',(10.557214,4.24,14.976303)); #238054=CARTESIAN_POINT('',(10.557214,4.25,14.976303)); #238055=CARTESIAN_POINT('',(10.557214,4.24,14.976303)); #238056=CARTESIAN_POINT('Origin',(10.562343,4.25,14.974552)); #238057=CARTESIAN_POINT('',(10.562343,4.25,14.974552)); #238058=CARTESIAN_POINT('',(15.8532228086902,4.25,13.1682875147173)); #238059=CARTESIAN_POINT('',(10.562343,4.24,14.974552)); #238060=CARTESIAN_POINT('',(10.562343,4.25,14.974552)); #238061=CARTESIAN_POINT('',(10.562343,4.24,14.974552)); #238062=CARTESIAN_POINT('Origin',(10.566919,4.25,14.972404)); #238063=CARTESIAN_POINT('',(10.566919,4.25,14.972404)); #238064=CARTESIAN_POINT('',(14.8796750492658,4.25,12.9479721831676)); #238065=CARTESIAN_POINT('',(10.566919,4.24,14.972404)); #238066=CARTESIAN_POINT('',(10.566919,4.25,14.972404)); #238067=CARTESIAN_POINT('',(10.566919,4.24,14.972404)); #238068=CARTESIAN_POINT('Origin',(10.570948,4.25,14.96986)); #238069=CARTESIAN_POINT('',(10.570948,4.25,14.96986)); #238070=CARTESIAN_POINT('',(13.7509856512846,4.25,12.9619136647133)); #238071=CARTESIAN_POINT('',(10.570948,4.24,14.96986)); #238072=CARTESIAN_POINT('',(10.570948,4.25,14.96986)); #238073=CARTESIAN_POINT('',(10.570948,4.24,14.96986)); #238074=CARTESIAN_POINT('Origin',(10.5201679853968,4.25,14.9087130081067)); #238075=CARTESIAN_POINT('',(10.577474,4.25,14.963791)); #238076=CARTESIAN_POINT('Origin',(10.5201679853968,4.25,14.9087130081067)); #238077=CARTESIAN_POINT('',(10.577474,4.24,14.963791)); #238078=CARTESIAN_POINT('',(10.577474,4.25,14.963791)); #238079=CARTESIAN_POINT('Origin',(10.5201679853968,4.24,14.9087130081067)); #238080=CARTESIAN_POINT('Origin',(10.580107,4.25,14.960384)); #238081=CARTESIAN_POINT('',(10.580107,4.25,14.960384)); #238082=CARTESIAN_POINT('',(10.9968495174401,4.25,14.4211353266548)); #238083=CARTESIAN_POINT('',(10.580107,4.24,14.960384)); #238084=CARTESIAN_POINT('',(10.580107,4.25,14.960384)); #238085=CARTESIAN_POINT('',(10.580107,4.24,14.960384)); #238086=CARTESIAN_POINT('Origin',(10.582327,4.25,14.956722)); #238087=CARTESIAN_POINT('',(10.582327,4.25,14.956722)); #238088=CARTESIAN_POINT('',(10.4010307453079,4.25,15.2557791552621)); #238089=CARTESIAN_POINT('',(10.582327,4.24,14.956722)); #238090=CARTESIAN_POINT('',(10.582327,4.25,14.956722)); #238091=CARTESIAN_POINT('',(10.582327,4.24,14.956722)); #238092=CARTESIAN_POINT('Origin',(10.584131,4.25,14.952808)); #238093=CARTESIAN_POINT('',(10.584131,4.25,14.952808)); #238094=CARTESIAN_POINT('',(10.0074317099209,4.25,16.2040280783671)); #238095=CARTESIAN_POINT('',(10.584131,4.24,14.952808)); #238096=CARTESIAN_POINT('',(10.584131,4.25,14.952808)); #238097=CARTESIAN_POINT('',(10.584131,4.24,14.952808)); #238098=CARTESIAN_POINT('Origin',(10.585513,4.25,14.94865)); #238099=CARTESIAN_POINT('',(9.83807071293639,4.25,17.1974669534026)); #238100=CARTESIAN_POINT('',(10.585513,4.24,14.94865)); #238101=CARTESIAN_POINT('Origin',(10.4820627737967,4.24,15.4918977716913)); #238102=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238103=CARTESIAN_POINT('',(0.,4.25,0.3)); #238104=CARTESIAN_POINT('',(0.300000000000002,4.25,0.)); #238105=CARTESIAN_POINT('',(0.35000000000001,4.25,-0.0500000000000034)); #238106=CARTESIAN_POINT('',(0.,4.25,24.7)); #238107=CARTESIAN_POINT('',(0.,4.25,12.5)); #238108=CARTESIAN_POINT('',(0.3,4.25,25.)); #238109=CARTESIAN_POINT('',(6.60000000000004,4.25,31.3)); #238110=CARTESIAN_POINT('',(3.2,4.25,25.)); #238111=CARTESIAN_POINT('',(12.9,4.25,25.)); #238112=CARTESIAN_POINT('',(3.56066017177982,4.25,24.6393398282202)); #238113=CARTESIAN_POINT('',(9.03033008588991,4.25,19.1696699141101)); #238114=CARTESIAN_POINT('',(4.62132034355964,4.25,24.2)); #238115=CARTESIAN_POINT('Origin',(4.62132034355964,4.25,25.7)); #238116=CARTESIAN_POINT('',(6.37867965644036,4.25,24.2)); #238117=CARTESIAN_POINT('',(16.0893398282202,4.25,24.2)); #238118=CARTESIAN_POINT('',(7.43933982822018,4.25,24.6393398282202)); #238119=CARTESIAN_POINT('Origin',(6.37867965644036,4.25,25.7)); #238120=CARTESIAN_POINT('',(7.8,4.25,25.)); #238121=CARTESIAN_POINT('',(12.3,4.25,29.5)); #238122=CARTESIAN_POINT('',(9.2,4.25,25.)); #238123=CARTESIAN_POINT('',(12.9,4.25,25.)); #238124=CARTESIAN_POINT('',(9.56066017177982,4.25,24.6393398282202)); #238125=CARTESIAN_POINT('',(13.5303300858899,4.25,20.6696699141101)); #238126=CARTESIAN_POINT('',(10.6213203435596,4.25,24.2)); #238127=CARTESIAN_POINT('Origin',(10.6213203435596,4.25,25.7)); #238128=CARTESIAN_POINT('',(12.3786796564404,4.25,24.2)); #238129=CARTESIAN_POINT('',(19.0893398282202,4.25,24.2)); #238130=CARTESIAN_POINT('',(13.4393398282202,4.25,24.6393398282202)); #238131=CARTESIAN_POINT('Origin',(12.3786796564404,4.25,25.7)); #238132=CARTESIAN_POINT('',(13.8,4.25,25.)); #238133=CARTESIAN_POINT('',(16.8,4.25,28.)); #238134=CARTESIAN_POINT('',(15.2,4.25,25.)); #238135=CARTESIAN_POINT('',(12.9,4.25,25.)); #238136=CARTESIAN_POINT('',(15.5606601717798,4.25,24.6393398282202)); #238137=CARTESIAN_POINT('',(18.0303300858899,4.25,22.1696699141101)); #238138=CARTESIAN_POINT('',(16.6213203435596,4.25,24.2)); #238139=CARTESIAN_POINT('Origin',(16.6213203435596,4.25,25.7)); #238140=CARTESIAN_POINT('',(18.3786796564404,4.25,24.2)); #238141=CARTESIAN_POINT('',(22.0893398282202,4.25,24.2)); #238142=CARTESIAN_POINT('',(19.4393398282202,4.25,24.6393398282202)); #238143=CARTESIAN_POINT('Origin',(18.3786796564404,4.25,25.7)); #238144=CARTESIAN_POINT('',(19.8,4.25,25.)); #238145=CARTESIAN_POINT('',(21.3,4.25,26.5)); #238146=CARTESIAN_POINT('',(21.2,4.25,25.)); #238147=CARTESIAN_POINT('',(12.9,4.25,25.)); #238148=CARTESIAN_POINT('',(21.5606601717798,4.25,24.6393398282202)); #238149=CARTESIAN_POINT('',(22.5303300858899,4.25,23.6696699141101)); #238150=CARTESIAN_POINT('',(22.6213203435596,4.25,24.2)); #238151=CARTESIAN_POINT('Origin',(22.6213203435596,4.25,25.7)); #238152=CARTESIAN_POINT('',(25.8,4.25,24.2)); #238153=CARTESIAN_POINT('',(30.4000001303852,4.25,24.2)); #238154=CARTESIAN_POINT('',(25.8,4.25,0.800000000000001)); #238155=CARTESIAN_POINT('',(25.8,4.25,25.)); #238156=CARTESIAN_POINT('',(22.6213203435596,4.25,0.800000000000001)); #238157=CARTESIAN_POINT('',(24.2106601717798,4.25,0.800000000000001)); #238158=CARTESIAN_POINT('',(21.5606601717798,4.25,0.360660171779823)); #238159=CARTESIAN_POINT('Origin',(22.6213203435596,4.25,-0.699999999999985)); #238160=CARTESIAN_POINT('',(21.2,4.25,0.)); #238161=CARTESIAN_POINT('',(28.6,4.25,7.40000000000004)); #238162=CARTESIAN_POINT('',(19.8,4.25,0.)); #238163=CARTESIAN_POINT('',(30.4,4.25,0.)); #238164=CARTESIAN_POINT('',(19.4393398282202,4.25,0.360660171779823)); #238165=CARTESIAN_POINT('',(14.8696699141101,4.25,4.93033008588991)); #238166=CARTESIAN_POINT('',(18.3786796564404,4.25,0.800000000000001)); #238167=CARTESIAN_POINT('Origin',(18.3786796564404,4.25,-0.699999999999985)); #238168=CARTESIAN_POINT('',(16.6213203435596,4.25,0.800000000000001)); #238169=CARTESIAN_POINT('',(21.2106601717798,4.25,0.800000000000001)); #238170=CARTESIAN_POINT('',(15.5606601717798,4.25,0.360660171779823)); #238171=CARTESIAN_POINT('Origin',(16.6213203435596,4.25,-0.699999999999985)); #238172=CARTESIAN_POINT('',(15.2,4.25,0.)); #238173=CARTESIAN_POINT('',(24.1,4.25,8.9)); #238174=CARTESIAN_POINT('',(13.8,4.25,0.)); #238175=CARTESIAN_POINT('',(30.4,4.25,0.)); #238176=CARTESIAN_POINT('',(13.4393398282202,4.25,0.360660171779823)); #238177=CARTESIAN_POINT('',(10.3696699141101,4.25,3.43033008588991)); #238178=CARTESIAN_POINT('',(12.3786796564404,4.25,0.800000000000001)); #238179=CARTESIAN_POINT('Origin',(12.3786796564404,4.25,-0.699999999999985)); #238180=CARTESIAN_POINT('',(10.6213203435596,4.25,0.800000000000001)); #238181=CARTESIAN_POINT('',(18.2106601717798,4.25,0.800000000000001)); #238182=CARTESIAN_POINT('',(9.56066017177982,4.25,0.360660171779823)); #238183=CARTESIAN_POINT('Origin',(10.6213203435596,4.25,-0.699999999999985)); #238184=CARTESIAN_POINT('',(9.2,4.25,0.)); #238185=CARTESIAN_POINT('',(19.6,4.25,10.4)); #238186=CARTESIAN_POINT('',(7.8,4.25,0.)); #238187=CARTESIAN_POINT('',(30.4,4.25,0.)); #238188=CARTESIAN_POINT('',(7.43933982822018,4.25,0.360660171779823)); #238189=CARTESIAN_POINT('',(5.86966991411009,4.25,1.93033008588991)); #238190=CARTESIAN_POINT('',(6.37867965644036,4.25,0.800000000000001)); #238191=CARTESIAN_POINT('Origin',(6.37867965644036,4.25,-0.699999999999985)); #238192=CARTESIAN_POINT('',(4.62132034355964,4.25,0.800000000000001)); #238193=CARTESIAN_POINT('',(15.2106601717798,4.25,0.800000000000001)); #238194=CARTESIAN_POINT('',(3.56066017177982,4.25,0.360660171779823)); #238195=CARTESIAN_POINT('Origin',(4.62132034355964,4.25,-0.699999999999985)); #238196=CARTESIAN_POINT('',(3.2,4.25,0.)); #238197=CARTESIAN_POINT('',(15.1,4.25,11.9)); #238198=CARTESIAN_POINT('',(30.4,4.25,0.)); #238199=CARTESIAN_POINT('',(4.6,4.25,18.5)); #238200=CARTESIAN_POINT('Origin',(4.,4.25,18.5)); #238201=CARTESIAN_POINT('',(6.6,4.25,15.5)); #238202=CARTESIAN_POINT('Origin',(6.,4.25,15.5)); #238203=CARTESIAN_POINT('',(6.6,4.25,18.5)); #238204=CARTESIAN_POINT('Origin',(6.,4.25,18.5)); #238205=CARTESIAN_POINT('',(6.6,4.25,21.5)); #238206=CARTESIAN_POINT('Origin',(6.,4.25,21.5)); #238207=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238208=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238209=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238210=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238211=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238212=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238213=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238214=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238215=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238216=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238217=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238218=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238219=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238220=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238221=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238222=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238223=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238224=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238225=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238226=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238227=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238228=CARTESIAN_POINT('Origin',(31.9,0.,10.0813226755104)); #238229=CARTESIAN_POINT('',(32.9,3.15,10.0813226755104)); #238230=CARTESIAN_POINT('',(32.451724137931,3.15,10.9153493391275)); #238231=CARTESIAN_POINT('Origin',(31.9,3.15,10.0813226755104)); #238232=CARTESIAN_POINT('',(32.9,0.75,10.0813226755104)); #238233=CARTESIAN_POINT('',(32.9,0.,10.0813226755104)); #238234=CARTESIAN_POINT('',(32.451724137931,0.75,10.9153493391275)); #238235=CARTESIAN_POINT('Origin',(31.9,0.75,10.0813226755104)); #238236=CARTESIAN_POINT('',(32.451724137931,0.,10.9153493391275)); #238237=CARTESIAN_POINT('Origin',(32.9,0.75,10.697224362268)); #238238=CARTESIAN_POINT('',(32.9,3.15,3.50000000000001)); #238239=CARTESIAN_POINT('',(32.9,3.15,22.9)); #238240=CARTESIAN_POINT('',(32.9,0.75,3.50000000000001)); #238241=CARTESIAN_POINT('',(32.9,0.75,3.50000000000001)); #238242=CARTESIAN_POINT('',(32.9,0.75,11.598612181134)); #238243=CARTESIAN_POINT('Origin',(31.9,0.,14.9186773244896)); #238244=CARTESIAN_POINT('',(32.451724137931,3.15,14.0846506608725)); #238245=CARTESIAN_POINT('',(32.9,3.15,14.9186773244896)); #238246=CARTESIAN_POINT('Origin',(31.9,3.15,14.9186773244896)); #238247=CARTESIAN_POINT('',(32.451724137931,0.75,14.0846506608725)); #238248=CARTESIAN_POINT('',(32.451724137931,0.,14.0846506608725)); #238249=CARTESIAN_POINT('',(32.9,0.75,14.9186773244896)); #238250=CARTESIAN_POINT('Origin',(31.9,0.75,14.9186773244896)); #238251=CARTESIAN_POINT('',(32.9,0.,14.9186773244896)); #238252=CARTESIAN_POINT('Origin',(17.5,3.15,12.5)); #238253=CARTESIAN_POINT('Origin',(33.5,3.15,12.5)); #238254=CARTESIAN_POINT('',(32.9,3.15,21.5)); #238255=CARTESIAN_POINT('',(32.9,3.15,22.9)); #238256=CARTESIAN_POINT('',(31.5,3.15,22.9)); #238257=CARTESIAN_POINT('Origin',(32.3,3.15,22.3)); #238258=CARTESIAN_POINT('',(25.,3.15,22.9)); #238259=CARTESIAN_POINT('',(2.1,3.15,22.9)); #238260=CARTESIAN_POINT('',(26.,3.15,21.9)); #238261=CARTESIAN_POINT('Origin',(25.,3.15,21.9)); #238262=CARTESIAN_POINT('',(26.,3.15,3.1)); #238263=CARTESIAN_POINT('',(26.,3.15,17.7)); #238264=CARTESIAN_POINT('',(25.,3.15,2.1)); #238265=CARTESIAN_POINT('Origin',(25.,3.15,3.1)); #238266=CARTESIAN_POINT('',(31.5,3.15,2.1)); #238267=CARTESIAN_POINT('',(32.9,3.15,2.1)); #238268=CARTESIAN_POINT('Origin',(32.3,3.15,2.7)); #238269=CARTESIAN_POINT('',(31.35,3.15,12.5)); #238270=CARTESIAN_POINT('Origin',(29.5,3.15,12.5)); #238271=CARTESIAN_POINT('',(31.35,3.15,4.75)); #238272=CARTESIAN_POINT('Origin',(29.5,3.15,4.75)); #238273=CARTESIAN_POINT('',(31.35,3.15,20.25)); #238274=CARTESIAN_POINT('Origin',(29.5,3.15,20.25)); #238275=CARTESIAN_POINT('Origin',(32.9,0.75,21.5)); #238276=CARTESIAN_POINT('',(32.9,0.75,21.5)); #238277=CARTESIAN_POINT('',(32.9,0.75,17.)); #238278=CARTESIAN_POINT('',(32.9,0.75,21.5)); #238279=CARTESIAN_POINT('Origin',(0.,0.,5.)); #238280=CARTESIAN_POINT('',(1.4,0.,7.5)); #238281=CARTESIAN_POINT('',(1.4,3.06161699786838E-16,2.5)); #238282=CARTESIAN_POINT('Origin',(1.4,0.,5.)); #238283=CARTESIAN_POINT('',(0.,0.,7.5)); #238284=CARTESIAN_POINT('',(0.,0.,7.5)); #238285=CARTESIAN_POINT('',(0.,3.06161699786838E-16,2.5)); #238286=CARTESIAN_POINT('Origin',(0.,0.,5.)); #238287=CARTESIAN_POINT('',(0.,0.,2.5)); #238288=CARTESIAN_POINT('Origin',(0.,0.,0.)); #238289=CARTESIAN_POINT('',(0.,0.,24.7)); #238290=CARTESIAN_POINT('',(0.,0.,25.)); #238291=CARTESIAN_POINT('',(0.,0.,24.7)); #238292=CARTESIAN_POINT('',(0.,0.,0.299999999999998)); #238293=CARTESIAN_POINT('',(0.,0.,0.3)); #238294=CARTESIAN_POINT('',(0.,0.,25.)); #238295=CARTESIAN_POINT('Origin',(2.4,0.,2.)); #238296=CARTESIAN_POINT('',(1.4,4.,2.)); #238297=CARTESIAN_POINT('',(2.4,4.,1.)); #238298=CARTESIAN_POINT('Origin',(2.4,4.,2.)); #238299=CARTESIAN_POINT('',(1.4,0.,2.)); #238300=CARTESIAN_POINT('',(1.4,0.,2.)); #238301=CARTESIAN_POINT('',(2.4,0.,1.)); #238302=CARTESIAN_POINT('Origin',(2.4,0.,2.)); #238303=CARTESIAN_POINT('',(2.4,0.,1.)); #238304=CARTESIAN_POINT('Origin',(2.65,4.,5.)); #238305=CARTESIAN_POINT('',(2.9,4.,1.)); #238306=CARTESIAN_POINT('',(3.9,4.,1.)); #238307=CARTESIAN_POINT('',(3.9,4.,2.)); #238308=CARTESIAN_POINT('Origin',(2.9,4.,2.)); #238309=CARTESIAN_POINT('',(3.9,4.,8.)); #238310=CARTESIAN_POINT('',(3.9,4.,9.)); #238311=CARTESIAN_POINT('',(2.9,4.,9.)); #238312=CARTESIAN_POINT('Origin',(2.9,4.,8.)); #238313=CARTESIAN_POINT('',(2.4,4.,9.)); #238314=CARTESIAN_POINT('',(1.4,4.,9.)); #238315=CARTESIAN_POINT('',(1.4,4.,8.)); #238316=CARTESIAN_POINT('Origin',(2.4,4.,8.)); #238317=CARTESIAN_POINT('',(1.4,4.,1.)); #238318=CARTESIAN_POINT('Origin',(3.9,0.,1.)); #238319=CARTESIAN_POINT('',(2.9,0.,1.)); #238320=CARTESIAN_POINT('',(10.7,0.,1.)); #238321=CARTESIAN_POINT('',(2.9,0.,1.)); #238322=CARTESIAN_POINT('Origin',(2.9,0.,2.)); #238323=CARTESIAN_POINT('',(3.7,0.,1.4)); #238324=CARTESIAN_POINT('Origin',(2.9,0.,2.)); #238325=CARTESIAN_POINT('',(3.7,0.75,1.4)); #238326=CARTESIAN_POINT('',(3.7,0.,1.4)); #238327=CARTESIAN_POINT('',(3.9,0.75,2.)); #238328=CARTESIAN_POINT('Origin',(2.9,0.75,2.)); #238329=CARTESIAN_POINT('',(3.9,0.,2.)); #238330=CARTESIAN_POINT('Origin',(2.9,0.,8.)); #238331=CARTESIAN_POINT('',(3.9,3.55,8.)); #238332=CARTESIAN_POINT('',(3.9,0.,8.)); #238333=CARTESIAN_POINT('',(2.9,3.55,9.)); #238334=CARTESIAN_POINT('Origin',(2.9,3.55,8.)); #238335=CARTESIAN_POINT('',(2.9,0.,9.)); #238336=CARTESIAN_POINT('Origin',(1.4,0.,9.)); #238337=CARTESIAN_POINT('',(2.4,3.55,9.)); #238338=CARTESIAN_POINT('',(7.625,3.55,9.)); #238339=CARTESIAN_POINT('',(2.4,0.,9.)); #238340=CARTESIAN_POINT('Origin',(2.4,0.,8.)); #238341=CARTESIAN_POINT('',(1.99602864451026,3.55,8.91477163485965)); #238342=CARTESIAN_POINT('Origin',(2.4,3.55,8.)); #238343=CARTESIAN_POINT('',(1.99602864451026,0.75,8.91477163485965)); #238344=CARTESIAN_POINT('',(1.99602864451026,0.,8.91477163485965)); #238345=CARTESIAN_POINT('',(1.4,0.75,8.)); #238346=CARTESIAN_POINT('Origin',(2.4,0.75,8.)); #238347=CARTESIAN_POINT('',(1.4,0.,8.)); #238348=CARTESIAN_POINT('Origin',(1.4,0.,1.4)); #238349=CARTESIAN_POINT('',(1.4,0.,6.95)); #238350=CARTESIAN_POINT('',(1.4,0.75,9.3)); #238351=CARTESIAN_POINT('',(1.4,0.75,1.4)); #238352=CARTESIAN_POINT('',(1.4,0.,9.3)); #238353=CARTESIAN_POINT('',(1.4,0.,9.3)); #238354=CARTESIAN_POINT('',(1.4,0.,6.95)); #238355=CARTESIAN_POINT('Origin',(3.9,0.,9.)); #238356=CARTESIAN_POINT('',(3.9,0.75,2.1)); #238357=CARTESIAN_POINT('',(3.9,0.75,10.75)); #238358=CARTESIAN_POINT('',(3.9,3.55,2.1)); #238359=CARTESIAN_POINT('',(3.9,0.375,2.1)); #238360=CARTESIAN_POINT('',(3.9,3.55,10.85)); #238361=CARTESIAN_POINT('Origin',(17.5,0.75,12.5)); #238362=CARTESIAN_POINT('',(32.6,0.75,1.4)); #238363=CARTESIAN_POINT('',(33.6,0.75,1.4)); #238364=CARTESIAN_POINT('',(33.6,0.75,2.4)); #238365=CARTESIAN_POINT('Origin',(32.6,0.75,2.4)); #238366=CARTESIAN_POINT('',(33.6,0.75,9.3)); #238367=CARTESIAN_POINT('',(33.6,0.75,23.6)); #238368=CARTESIAN_POINT('',(32.4360656878806,0.75,10.9258196483582)); #238369=CARTESIAN_POINT('Origin',(33.,0.75,10.1)); #238370=CARTESIAN_POINT('Origin',(33.5,0.75,12.5)); #238371=CARTESIAN_POINT('',(31.5,0.75,2.1)); #238372=CARTESIAN_POINT('Origin',(32.3,0.75,2.7)); #238373=CARTESIAN_POINT('',(24.5,0.75,2.1)); #238374=CARTESIAN_POINT('Origin',(17.5,0.75,12.5)); #238375=CARTESIAN_POINT('',(3.20236433224672,0.75,10.4896560458855)); #238376=CARTESIAN_POINT('Origin',(2.7,0.75,9.625)); #238377=CARTESIAN_POINT('',(3.20236433224672,0.75,14.5103439541145)); #238378=CARTESIAN_POINT('Origin',(1.4,0.75,12.5)); #238379=CARTESIAN_POINT('',(2.1,0.75,16.175)); #238380=CARTESIAN_POINT('Origin',(2.7,0.75,15.375)); #238381=CARTESIAN_POINT('',(2.1,0.75,21.5)); #238382=CARTESIAN_POINT('',(2.1,0.75,14.3375)); #238383=CARTESIAN_POINT('',(3.5,0.75,22.9)); #238384=CARTESIAN_POINT('Origin',(2.7,0.75,22.3)); #238385=CARTESIAN_POINT('',(31.5,0.75,22.9)); #238386=CARTESIAN_POINT('',(10.5,0.75,22.9)); #238387=CARTESIAN_POINT('Origin',(32.3,0.75,22.3)); #238388=CARTESIAN_POINT('',(32.4360656878806,0.75,14.0741803516418)); #238389=CARTESIAN_POINT('Origin',(33.5,0.75,12.5)); #238390=CARTESIAN_POINT('',(33.6,0.75,15.7)); #238391=CARTESIAN_POINT('Origin',(33.,0.75,14.9)); #238392=CARTESIAN_POINT('',(33.6,0.75,22.6)); #238393=CARTESIAN_POINT('',(33.6,0.75,23.6)); #238394=CARTESIAN_POINT('',(32.6,0.75,23.6)); #238395=CARTESIAN_POINT('Origin',(32.6,0.75,22.6)); #238396=CARTESIAN_POINT('',(2.4,0.75,23.6)); #238397=CARTESIAN_POINT('',(1.4,0.75,23.6)); #238398=CARTESIAN_POINT('',(1.4,0.75,22.6)); #238399=CARTESIAN_POINT('Origin',(2.4,0.75,22.6)); #238400=CARTESIAN_POINT('',(1.4,0.75,15.7)); #238401=CARTESIAN_POINT('',(1.4,0.75,1.4)); #238402=CARTESIAN_POINT('',(2.56393431211936,0.75,14.0741803516418)); #238403=CARTESIAN_POINT('Origin',(2.,0.75,14.9)); #238404=CARTESIAN_POINT('',(2.56393431211936,0.75,10.9258196483582)); #238405=CARTESIAN_POINT('Origin',(1.5,0.75,12.5)); #238406=CARTESIAN_POINT('Origin',(2.,0.75,10.1)); #238407=CARTESIAN_POINT('Origin',(2.7,0.75,9.625)); #238408=CARTESIAN_POINT('',(3.20236433224672,3.55,10.4896560458855)); #238409=CARTESIAN_POINT('Origin',(2.7,3.55,9.625)); #238410=CARTESIAN_POINT('',(3.20236433224672,0.75,10.4896560458855)); #238411=CARTESIAN_POINT('Origin',(13.85,3.55,12.7)); #238412=CARTESIAN_POINT('',(25.,3.55,2.1)); #238413=CARTESIAN_POINT('',(2.1,3.55,2.1)); #238414=CARTESIAN_POINT('',(26.,3.55,3.1)); #238415=CARTESIAN_POINT('Origin',(25.,3.55,3.1)); #238416=CARTESIAN_POINT('',(26.,3.55,21.9)); #238417=CARTESIAN_POINT('',(26.,3.55,22.9)); #238418=CARTESIAN_POINT('',(25.,3.55,22.9)); #238419=CARTESIAN_POINT('Origin',(25.,3.55,21.9)); #238420=CARTESIAN_POINT('',(8.,3.55,22.9)); #238421=CARTESIAN_POINT('',(31.5,3.55,22.9)); #238422=CARTESIAN_POINT('',(7.,3.55,21.9)); #238423=CARTESIAN_POINT('Origin',(8.,3.55,21.9)); #238424=CARTESIAN_POINT('',(7.,3.55,14.9)); #238425=CARTESIAN_POINT('',(7.,3.55,13.3)); #238426=CARTESIAN_POINT('',(5.,3.55,14.9)); #238427=CARTESIAN_POINT('Origin',(6.,3.55,14.9)); #238428=CARTESIAN_POINT('',(5.,3.55,21.9)); #238429=CARTESIAN_POINT('',(5.,3.55,17.8)); #238430=CARTESIAN_POINT('',(4.,3.55,22.9)); #238431=CARTESIAN_POINT('Origin',(4.,3.55,21.9)); #238432=CARTESIAN_POINT('',(3.5,3.55,22.9)); #238433=CARTESIAN_POINT('',(31.5,3.55,22.9)); #238434=CARTESIAN_POINT('',(2.1,3.55,21.5)); #238435=CARTESIAN_POINT('Origin',(2.7,3.55,22.3)); #238436=CARTESIAN_POINT('',(2.1,3.55,16.175)); #238437=CARTESIAN_POINT('',(2.1,3.55,21.5)); #238438=CARTESIAN_POINT('',(3.20236433224672,3.55,14.5103439541145)); #238439=CARTESIAN_POINT('Origin',(2.7,3.55,15.375)); #238440=CARTESIAN_POINT('Origin',(1.4,3.55,12.5)); #238441=CARTESIAN_POINT('',(4.5,3.55,18.5)); #238442=CARTESIAN_POINT('Origin',(4.,3.55,18.5)); #238443=CARTESIAN_POINT('Origin',(8.,2.15,21.9)); #238444=CARTESIAN_POINT('',(8.,2.55,22.9)); #238445=CARTESIAN_POINT('',(8.,2.15,22.9)); #238446=CARTESIAN_POINT('',(7.,2.55,21.9)); #238447=CARTESIAN_POINT('Origin',(8.,2.55,21.9)); #238448=CARTESIAN_POINT('',(7.,2.15,21.9)); #238449=CARTESIAN_POINT('Origin',(7.,3.55,13.9)); #238450=CARTESIAN_POINT('',(7.,2.55,14.9)); #238451=CARTESIAN_POINT('',(7.,2.55,22.9)); #238452=CARTESIAN_POINT('',(7.,3.55,14.9)); #238453=CARTESIAN_POINT('Origin',(6.,3.55,14.9)); #238454=CARTESIAN_POINT('',(5.,2.55,14.9)); #238455=CARTESIAN_POINT('Origin',(6.,2.55,14.9)); #238456=CARTESIAN_POINT('',(5.,3.55,14.9)); #238457=CARTESIAN_POINT('Origin',(4.,2.15,21.9)); #238458=CARTESIAN_POINT('',(5.,2.55,21.9)); #238459=CARTESIAN_POINT('',(5.,2.15,21.9)); #238460=CARTESIAN_POINT('',(4.,2.55,22.9)); #238461=CARTESIAN_POINT('Origin',(4.,2.55,21.9)); #238462=CARTESIAN_POINT('',(4.,2.15,22.9)); #238463=CARTESIAN_POINT('Origin',(3.5,0.75,22.9)); #238464=CARTESIAN_POINT('',(25.,1.95,22.9)); #238465=CARTESIAN_POINT('',(31.5,0.75,22.9)); #238466=CARTESIAN_POINT('',(3.5,0.75,22.9)); #238467=CARTESIAN_POINT('',(4.75,2.55,22.9)); #238468=CARTESIAN_POINT('Origin',(5.,3.55,22.9)); #238469=CARTESIAN_POINT('',(5.,2.55,13.9)); #238470=CARTESIAN_POINT('Origin',(6.,2.55,18.4)); #238471=CARTESIAN_POINT('',(6.5,2.55,21.5)); #238472=CARTESIAN_POINT('Origin',(6.,2.55,21.5)); #238473=CARTESIAN_POINT('',(6.5,2.55,15.5)); #238474=CARTESIAN_POINT('Origin',(6.,2.55,15.5)); #238475=CARTESIAN_POINT('',(6.5,2.55,18.5)); #238476=CARTESIAN_POINT('Origin',(6.,2.55,18.5)); #238477=CARTESIAN_POINT('Origin',(25.,1.95,3.1)); #238478=CARTESIAN_POINT('',(25.,1.95,2.1)); #238479=CARTESIAN_POINT('',(26.,1.95,3.1)); #238480=CARTESIAN_POINT('Origin',(26.,3.15,22.9)); #238481=CARTESIAN_POINT('',(26.,1.95,21.9)); #238482=CARTESIAN_POINT('Origin',(25.,1.95,21.9)); #238483=CARTESIAN_POINT('Origin',(32.3,0.75,2.7)); #238484=CARTESIAN_POINT('',(31.5,0.75,2.1)); #238485=CARTESIAN_POINT('Origin',(32.3,0.75,22.3)); #238486=CARTESIAN_POINT('Origin',(2.7,0.75,22.3)); #238487=CARTESIAN_POINT('',(2.1,0.75,21.5)); #238488=CARTESIAN_POINT('Origin',(2.1,0.75,16.175)); #238489=CARTESIAN_POINT('',(2.1,0.75,16.175)); #238490=CARTESIAN_POINT('Origin',(2.7,0.75,15.375)); #238491=CARTESIAN_POINT('',(3.20236433224672,0.75,14.5103439541145)); #238492=CARTESIAN_POINT('Origin',(1.4,0.75,12.5)); #238493=CARTESIAN_POINT('Origin',(31.5,0.75,2.1)); #238494=CARTESIAN_POINT('Origin',(33.6,0.,1.4)); #238495=CARTESIAN_POINT('',(32.6,0.,1.4)); #238496=CARTESIAN_POINT('',(25.55,0.,1.4)); #238497=CARTESIAN_POINT('',(32.6,0.,1.4)); #238498=CARTESIAN_POINT('Origin',(32.6,0.,2.4)); #238499=CARTESIAN_POINT('',(33.6,0.,2.4)); #238500=CARTESIAN_POINT('Origin',(32.6,0.,2.4)); #238501=CARTESIAN_POINT('',(33.6,0.,2.4)); #238502=CARTESIAN_POINT('Origin',(33.6,0.,9.3)); #238503=CARTESIAN_POINT('',(33.6,0.,9.3)); #238504=CARTESIAN_POINT('',(33.6,0.,10.9)); #238505=CARTESIAN_POINT('',(33.6,0.,9.3)); #238506=CARTESIAN_POINT('Origin',(32.6,0.,22.6)); #238507=CARTESIAN_POINT('',(33.6,0.,22.6)); #238508=CARTESIAN_POINT('',(33.6,0.,22.6)); #238509=CARTESIAN_POINT('',(32.6,0.,23.6)); #238510=CARTESIAN_POINT('Origin',(32.6,0.,22.6)); #238511=CARTESIAN_POINT('',(32.6,0.,23.6)); #238512=CARTESIAN_POINT('Origin',(1.4,0.,23.6)); #238513=CARTESIAN_POINT('',(2.4,0.,23.6)); #238514=CARTESIAN_POINT('',(9.45,0.,23.6)); #238515=CARTESIAN_POINT('',(2.4,0.,23.6)); #238516=CARTESIAN_POINT('Origin',(2.4,0.,22.6)); #238517=CARTESIAN_POINT('',(1.4,0.,22.6)); #238518=CARTESIAN_POINT('Origin',(2.4,0.,22.6)); #238519=CARTESIAN_POINT('',(1.4,0.,22.6)); #238520=CARTESIAN_POINT('Origin',(1.4,0.,15.7)); #238521=CARTESIAN_POINT('',(1.4,0.,15.7)); #238522=CARTESIAN_POINT('',(1.4,0.,14.1)); #238523=CARTESIAN_POINT('',(1.4,0.,15.7)); #238524=CARTESIAN_POINT('Origin',(33.5,0.,12.5)); #238525=CARTESIAN_POINT('',(32.4360656878806,0.,10.9258196483582)); #238526=CARTESIAN_POINT('',(32.4360656878806,0.,10.9258196483582)); #238527=CARTESIAN_POINT('',(32.4360656878806,0.,14.0741803516418)); #238528=CARTESIAN_POINT('Origin',(33.5,0.,12.5)); #238529=CARTESIAN_POINT('',(32.4360656878806,0.,14.0741803516418)); #238530=CARTESIAN_POINT('Origin',(33.,0.,14.9)); #238531=CARTESIAN_POINT('',(33.6,0.,15.7)); #238532=CARTESIAN_POINT('Origin',(33.,0.,14.9)); #238533=CARTESIAN_POINT('',(33.6,0.,15.7)); #238534=CARTESIAN_POINT('Origin',(33.6,0.,23.6)); #238535=CARTESIAN_POINT('',(33.6,0.,18.05)); #238536=CARTESIAN_POINT('Origin',(2.,0.,14.9)); #238537=CARTESIAN_POINT('',(2.56393431211936,0.,14.0741803516418)); #238538=CARTESIAN_POINT('Origin',(2.,0.,14.9)); #238539=CARTESIAN_POINT('',(2.56393431211936,0.,14.0741803516418)); #238540=CARTESIAN_POINT('Origin',(1.5,0.,12.5)); #238541=CARTESIAN_POINT('',(2.56393431211936,0.,10.9258196483582)); #238542=CARTESIAN_POINT('Origin',(1.5,0.,12.5)); #238543=CARTESIAN_POINT('',(2.56393431211936,0.,10.9258196483582)); #238544=CARTESIAN_POINT('Origin',(2.,0.,10.1)); #238545=CARTESIAN_POINT('Origin',(2.,0.,10.1)); #238546=CARTESIAN_POINT('Origin',(33.,0.,10.1)); #238547=CARTESIAN_POINT('Origin',(33.,0.,10.1)); #238548=CARTESIAN_POINT('Origin',(2.,0.,12.5)); #238549=CARTESIAN_POINT('',(1.3645,0.,12.5)); #238550=CARTESIAN_POINT('Origin',(2.,0.,12.5)); #238551=CARTESIAN_POINT('',(1.3645,4.,12.5)); #238552=CARTESIAN_POINT('',(1.3645,0.,12.5)); #238553=CARTESIAN_POINT('Origin',(2.,4.,12.5)); #238554=CARTESIAN_POINT('Origin',(2.,4.,12.5)); #238555=CARTESIAN_POINT('Origin',(33.,0.,12.5)); #238556=CARTESIAN_POINT('',(32.3645,0.,12.5)); #238557=CARTESIAN_POINT('Origin',(33.,0.,12.5)); #238558=CARTESIAN_POINT('',(32.3645,4.,12.5)); #238559=CARTESIAN_POINT('',(32.3645,0.,12.5)); #238560=CARTESIAN_POINT('Origin',(33.,4.,12.5)); #238561=CARTESIAN_POINT('Origin',(33.,4.,12.5)); #238562=CARTESIAN_POINT('Origin',(6.,4.1635,21.5)); #238563=CARTESIAN_POINT('',(6.5,4.077,21.5)); #238564=CARTESIAN_POINT('',(6.55,4.1635,21.5)); #238565=CARTESIAN_POINT('Origin',(6.,4.077,21.5)); #238566=CARTESIAN_POINT('Origin',(25.8,4.25,25.)); #238567=CARTESIAN_POINT('Origin',(6.,4.25,21.5)); #238568=CARTESIAN_POINT('',(6.5,4.25,21.5)); #238569=CARTESIAN_POINT('Origin',(4.,4.1635,18.5)); #238570=CARTESIAN_POINT('',(4.5,4.077,18.5)); #238571=CARTESIAN_POINT('',(4.55,4.1635,18.5)); #238572=CARTESIAN_POINT('Origin',(4.,4.077,18.5)); #238573=CARTESIAN_POINT('Origin',(4.,4.25,18.5)); #238574=CARTESIAN_POINT('',(4.5,4.25,18.5)); #238575=CARTESIAN_POINT('Origin',(6.,4.1635,18.5)); #238576=CARTESIAN_POINT('',(6.5,4.077,18.5)); #238577=CARTESIAN_POINT('',(6.55,4.1635,18.5)); #238578=CARTESIAN_POINT('Origin',(6.,4.077,18.5)); #238579=CARTESIAN_POINT('Origin',(6.,4.25,18.5)); #238580=CARTESIAN_POINT('',(6.5,4.25,18.5)); #238581=CARTESIAN_POINT('Origin',(6.,4.1635,15.5)); #238582=CARTESIAN_POINT('',(6.5,4.077,15.5)); #238583=CARTESIAN_POINT('',(6.55,4.1635,15.5)); #238584=CARTESIAN_POINT('Origin',(6.,4.077,15.5)); #238585=CARTESIAN_POINT('Origin',(6.,4.25,15.5)); #238586=CARTESIAN_POINT('',(6.5,4.25,15.5)); #238587=CARTESIAN_POINT('Origin',(29.5,5.825,20.25)); #238588=CARTESIAN_POINT('',(31.6,5.95,20.25)); #238589=CARTESIAN_POINT('Origin',(29.5,5.95,20.25)); #238590=CARTESIAN_POINT('',(31.35,5.7,20.25)); #238591=CARTESIAN_POINT('',(31.475,5.825,20.25)); #238592=CARTESIAN_POINT('Origin',(29.5,5.7,20.25)); #238593=CARTESIAN_POINT('Origin',(17.5,5.95,12.5)); #238594=CARTESIAN_POINT('',(26.7814954576224,5.95,24.2)); #238595=CARTESIAN_POINT('',(32.2185045423776,5.95,24.2)); #238596=CARTESIAN_POINT('',(26.2500001303852,5.95,24.2)); #238597=CARTESIAN_POINT('',(32.2185045423776,5.95,0.800000000000001)); #238598=CARTESIAN_POINT('',(32.2185045423776,5.95,18.75)); #238599=CARTESIAN_POINT('',(26.7814954576224,5.95,0.800000000000001)); #238600=CARTESIAN_POINT('',(20.0606601717798,5.95,0.800000000000001)); #238601=CARTESIAN_POINT('',(26.7814954576224,5.95,18.75)); #238602=CARTESIAN_POINT('',(31.6,5.95,12.5)); #238603=CARTESIAN_POINT('Origin',(29.5,5.95,12.5)); #238604=CARTESIAN_POINT('',(31.6,5.95,4.75)); #238605=CARTESIAN_POINT('Origin',(29.5,5.95,4.75)); #238606=CARTESIAN_POINT('Origin',(29.5,5.95,20.25)); #238607=CARTESIAN_POINT('',(31.35,5.95,20.25)); #238608=CARTESIAN_POINT('Origin',(29.5,5.825,12.5)); #238609=CARTESIAN_POINT('',(31.35,5.7,12.5)); #238610=CARTESIAN_POINT('',(31.475,5.825,12.5)); #238611=CARTESIAN_POINT('Origin',(29.5,5.7,12.5)); #238612=CARTESIAN_POINT('Origin',(29.5,5.95,12.5)); #238613=CARTESIAN_POINT('',(31.35,5.95,12.5)); #238614=CARTESIAN_POINT('Origin',(29.5,5.825,4.75)); #238615=CARTESIAN_POINT('',(31.35,5.7,4.75)); #238616=CARTESIAN_POINT('',(31.475,5.825,4.75)); #238617=CARTESIAN_POINT('Origin',(29.5,5.7,4.75)); #238618=CARTESIAN_POINT('Origin',(29.5,5.95,4.75)); #238619=CARTESIAN_POINT('',(31.35,5.95,4.75)); #238620=CARTESIAN_POINT('Origin',(0.15,0.,0.15)); #238621=CARTESIAN_POINT('',(0.3,0.,0.)); #238622=CARTESIAN_POINT('',(0.3,0.,0.)); #238623=CARTESIAN_POINT('',(1.40000000000001,0.,-1.1)); #238624=CARTESIAN_POINT('Origin',(35.,0.,0.)); #238625=CARTESIAN_POINT('',(3.2,0.,0.)); #238626=CARTESIAN_POINT('',(3.2,0.,1.11022302462516E-15)); #238627=CARTESIAN_POINT('',(0.,0.,0.)); #238628=CARTESIAN_POINT('Origin',(34.85,0.,0.950000000000001)); #238629=CARTESIAN_POINT('',(34.7,4.25,0.800000000000001)); #238630=CARTESIAN_POINT('',(35.,4.25,1.1)); #238631=CARTESIAN_POINT('',(40.9,4.25,7.00000000000005)); #238632=CARTESIAN_POINT('',(35.,0.,1.1)); #238633=CARTESIAN_POINT('',(35.,0.,1.1)); #238634=CARTESIAN_POINT('',(34.7,0.,0.800000000000001)); #238635=CARTESIAN_POINT('',(33.4,0.,-0.499999999999976)); #238636=CARTESIAN_POINT('',(34.7,0.,0.800000000000001)); #238637=CARTESIAN_POINT('Origin',(22.6213203435596,0.,0.800000000000001)); #238638=CARTESIAN_POINT('',(22.6213203435596,0.,0.800000000000001)); #238639=CARTESIAN_POINT('',(20.0606601717798,0.,0.800000000000001)); #238640=CARTESIAN_POINT('',(22.6213203435596,0.,0.800000000000001)); #238641=CARTESIAN_POINT('',(24.9732607802352,2.81804566675747,0.800000000000001)); #238642=CARTESIAN_POINT('',(33.2,4.25,0.800000000000001)); #238643=CARTESIAN_POINT('',(32.7978170344659,4.94660133024374,0.800000000000001)); #238644=CARTESIAN_POINT('',(28.8106601717798,4.25,0.800000000000001)); #238645=CARTESIAN_POINT('Origin',(17.5,0.,12.5)); #238646=CARTESIAN_POINT('',(3.56066017177982,0.,0.360660171779823)); #238647=CARTESIAN_POINT('',(9.9,0.,6.7)); #238648=CARTESIAN_POINT('',(4.62132034355964,0.,0.800000000000001)); #238649=CARTESIAN_POINT('Origin',(4.62132034355964,0.,-0.699999999999985)); #238650=CARTESIAN_POINT('',(6.37867965644036,0.,0.800000000000001)); #238651=CARTESIAN_POINT('',(11.0606601717798,0.,0.800000000000001)); #238652=CARTESIAN_POINT('',(7.43933982822018,0.,0.360660171779823)); #238653=CARTESIAN_POINT('Origin',(6.37867965644036,0.,-0.699999999999985)); #238654=CARTESIAN_POINT('',(7.8,0.,0.)); #238655=CARTESIAN_POINT('',(6.91966991411009,0.,0.880330085889911)); #238656=CARTESIAN_POINT('',(9.2,0.,0.)); #238657=CARTESIAN_POINT('',(0.,0.,0.)); #238658=CARTESIAN_POINT('',(9.56066017177982,0.,0.360660171779823)); #238659=CARTESIAN_POINT('',(14.4,0.,5.2)); #238660=CARTESIAN_POINT('',(10.6213203435596,0.,0.800000000000001)); #238661=CARTESIAN_POINT('Origin',(10.6213203435596,0.,-0.699999999999985)); #238662=CARTESIAN_POINT('',(12.3786796564404,0.,0.800000000000001)); #238663=CARTESIAN_POINT('',(14.0606601717798,0.,0.800000000000001)); #238664=CARTESIAN_POINT('',(13.4393398282202,0.,0.360660171779823)); #238665=CARTESIAN_POINT('Origin',(12.3786796564404,0.,-0.699999999999985)); #238666=CARTESIAN_POINT('',(13.8,0.,0.)); #238667=CARTESIAN_POINT('',(11.4196699141101,0.,2.38033008588991)); #238668=CARTESIAN_POINT('',(15.2,0.,0.)); #238669=CARTESIAN_POINT('',(0.,0.,0.)); #238670=CARTESIAN_POINT('',(15.5606601717798,0.,0.360660171779823)); #238671=CARTESIAN_POINT('',(18.9,0.,3.7)); #238672=CARTESIAN_POINT('',(16.6213203435596,0.,0.800000000000001)); #238673=CARTESIAN_POINT('Origin',(16.6213203435596,0.,-0.699999999999985)); #238674=CARTESIAN_POINT('',(18.3786796564404,0.,0.800000000000001)); #238675=CARTESIAN_POINT('',(17.0606601717798,0.,0.800000000000001)); #238676=CARTESIAN_POINT('',(19.4393398282202,0.,0.360660171779823)); #238677=CARTESIAN_POINT('Origin',(18.3786796564404,0.,-0.699999999999985)); #238678=CARTESIAN_POINT('',(19.8,0.,0.)); #238679=CARTESIAN_POINT('',(15.9196699141101,0.,3.88033008588991)); #238680=CARTESIAN_POINT('',(21.2,0.,0.)); #238681=CARTESIAN_POINT('',(0.,0.,0.)); #238682=CARTESIAN_POINT('',(21.5606601717798,0.,0.360660171779823)); #238683=CARTESIAN_POINT('',(23.4,0.,2.20000000000002)); #238684=CARTESIAN_POINT('Origin',(22.6213203435596,0.,-0.699999999999985)); #238685=CARTESIAN_POINT('',(35.,0.,23.9)); #238686=CARTESIAN_POINT('',(35.,0.,0.)); #238687=CARTESIAN_POINT('',(34.7,0.,24.2)); #238688=CARTESIAN_POINT('',(33.4000000000001,0.,25.5)); #238689=CARTESIAN_POINT('',(22.6213203435596,0.,24.2)); #238690=CARTESIAN_POINT('',(26.2500001303852,0.,24.2)); #238691=CARTESIAN_POINT('',(21.5606601717798,0.,24.6393398282202)); #238692=CARTESIAN_POINT('Origin',(22.6213203435596,0.,25.7)); #238693=CARTESIAN_POINT('',(21.2,0.,25.)); #238694=CARTESIAN_POINT('',(23.5803300858899,0.,22.6196699141101)); #238695=CARTESIAN_POINT('',(19.8,0.,25.)); #238696=CARTESIAN_POINT('',(35.,0.,25.)); #238697=CARTESIAN_POINT('',(19.4393398282202,0.,24.6393398282202)); #238698=CARTESIAN_POINT('',(16.1,0.,21.3)); #238699=CARTESIAN_POINT('',(18.3786796564404,0.,24.2)); #238700=CARTESIAN_POINT('Origin',(18.3786796564404,0.,25.7)); #238701=CARTESIAN_POINT('',(16.6213203435596,0.,24.2)); #238702=CARTESIAN_POINT('',(17.9393398282202,0.,24.2)); #238703=CARTESIAN_POINT('',(15.5606601717798,0.,24.6393398282202)); #238704=CARTESIAN_POINT('Origin',(16.6213203435596,0.,25.7)); #238705=CARTESIAN_POINT('',(15.2,0.,25.)); #238706=CARTESIAN_POINT('',(19.0803300858899,0.,21.1196699141101)); #238707=CARTESIAN_POINT('',(13.8,0.,25.)); #238708=CARTESIAN_POINT('',(35.,0.,25.)); #238709=CARTESIAN_POINT('',(13.4393398282202,0.,24.6393398282202)); #238710=CARTESIAN_POINT('',(11.6,0.,22.8)); #238711=CARTESIAN_POINT('',(12.3786796564404,0.,24.2)); #238712=CARTESIAN_POINT('Origin',(12.3786796564404,0.,25.7)); #238713=CARTESIAN_POINT('',(10.6213203435596,0.,24.2)); #238714=CARTESIAN_POINT('',(14.9393398282202,0.,24.2)); #238715=CARTESIAN_POINT('',(9.56066017177982,0.,24.6393398282202)); #238716=CARTESIAN_POINT('Origin',(10.6213203435596,0.,25.7)); #238717=CARTESIAN_POINT('',(9.2,0.,25.)); #238718=CARTESIAN_POINT('',(14.5803300858899,0.,19.6196699141101)); #238719=CARTESIAN_POINT('',(7.8,0.,25.)); #238720=CARTESIAN_POINT('',(35.,0.,25.)); #238721=CARTESIAN_POINT('',(7.43933982822018,0.,24.6393398282202)); #238722=CARTESIAN_POINT('',(7.1,0.,24.3)); #238723=CARTESIAN_POINT('',(6.37867965644036,0.,24.2)); #238724=CARTESIAN_POINT('Origin',(6.37867965644036,0.,25.7)); #238725=CARTESIAN_POINT('',(4.62132034355964,0.,24.2)); #238726=CARTESIAN_POINT('',(11.9393398282202,0.,24.2)); #238727=CARTESIAN_POINT('',(3.56066017177982,0.,24.6393398282202)); #238728=CARTESIAN_POINT('Origin',(4.62132034355964,0.,25.7)); #238729=CARTESIAN_POINT('',(3.2,0.,25.)); #238730=CARTESIAN_POINT('',(10.0803300858899,0.,18.1196699141101)); #238731=CARTESIAN_POINT('',(0.299999999999998,0.,25.)); #238732=CARTESIAN_POINT('',(35.,0.,25.)); #238733=CARTESIAN_POINT('',(1.40000000000003,0.,26.1)); #238734=CARTESIAN_POINT('Origin',(34.85,0.,24.05)); #238735=CARTESIAN_POINT('',(35.,4.25,23.9)); #238736=CARTESIAN_POINT('',(35.,0.,23.9)); #238737=CARTESIAN_POINT('',(34.7,4.25,24.2)); #238738=CARTESIAN_POINT('',(34.65,4.25,24.25)); #238739=CARTESIAN_POINT('',(34.7,0.,24.2)); #238740=CARTESIAN_POINT('Origin',(35.0000002607703,0.,24.2)); #238741=CARTESIAN_POINT('',(33.2,4.25,24.2)); #238742=CARTESIAN_POINT('',(35.0000001303852,4.25,24.2)); #238743=CARTESIAN_POINT('',(34.3451520241173,2.26653851183857,24.2)); #238744=CARTESIAN_POINT('',(26.5205957698865,5.49810848516264,24.2)); #238745=CARTESIAN_POINT('',(22.6213203435596,0.,24.2)); #238746=CARTESIAN_POINT('Origin',(0.15,0.,24.85)); #238747=CARTESIAN_POINT('',(0.3,0.,25.)); #238748=CARTESIAN_POINT('Origin',(0.,0.,25.)); #238749=CARTESIAN_POINT('',(3.2,0.,25.)); #238750=CARTESIAN_POINT('Origin',(22.6213203435596,0.,25.7)); #238751=CARTESIAN_POINT('',(21.5606601717798,0.,24.6393398282202)); #238752=CARTESIAN_POINT('Origin',(21.5606601717798,0.,24.6393398282202)); #238753=CARTESIAN_POINT('',(21.2,0.,25.)); #238754=CARTESIAN_POINT('Origin',(12.3786796564404,0.,25.7)); #238755=CARTESIAN_POINT('',(12.3786796564404,0.,24.2)); #238756=CARTESIAN_POINT('',(13.4393398282202,0.,24.6393398282202)); #238757=CARTESIAN_POINT('Origin',(13.8,0.,25.)); #238758=CARTESIAN_POINT('',(13.8,0.,25.)); #238759=CARTESIAN_POINT('Origin',(9.56066017177982,0.,24.6393398282202)); #238760=CARTESIAN_POINT('',(9.2,0.,25.)); #238761=CARTESIAN_POINT('',(9.56066017177982,0.,24.6393398282202)); #238762=CARTESIAN_POINT('Origin',(10.6213203435596,0.,25.7)); #238763=CARTESIAN_POINT('',(10.6213203435596,0.,24.2)); #238764=CARTESIAN_POINT('Origin',(12.3786796564404,0.,24.2)); #238765=CARTESIAN_POINT('Origin',(21.2,0.,0.)); #238766=CARTESIAN_POINT('',(21.5606601717798,0.,0.360660171779823)); #238767=CARTESIAN_POINT('',(21.2,0.,0.)); #238768=CARTESIAN_POINT('Origin',(22.6213203435596,0.,-0.699999999999985)); #238769=CARTESIAN_POINT('Origin',(4.62132034355964,0.,-0.699999999999985)); #238770=CARTESIAN_POINT('',(4.62132034355964,0.,0.800000000000001)); #238771=CARTESIAN_POINT('',(3.56066017177982,0.,0.360660171779823)); #238772=CARTESIAN_POINT('Origin',(3.2,0.,0.)); #238773=CARTESIAN_POINT('Origin',(6.37867965644036,0.,-0.699999999999985)); #238774=CARTESIAN_POINT('',(7.43933982822018,0.,0.360660171779823)); #238775=CARTESIAN_POINT('',(6.37867965644036,0.,0.800000000000001)); #238776=CARTESIAN_POINT('Origin',(4.62132034355964,0.,0.800000000000001)); #238777=CARTESIAN_POINT('Origin',(7.43933982822018,0.,0.360660171779823)); #238778=CARTESIAN_POINT('',(7.8,0.,-1.11022302462516E-15)); #238779=CARTESIAN_POINT('Origin',(6.37867965644036,0.,25.7)); #238780=CARTESIAN_POINT('',(6.37867965644036,0.,24.2)); #238781=CARTESIAN_POINT('',(7.43933982822018,0.,24.6393398282202)); #238782=CARTESIAN_POINT('Origin',(7.8,0.,25.)); #238783=CARTESIAN_POINT('',(7.8,0.,25.)); #238784=CARTESIAN_POINT('Origin',(4.62132034355964,0.,25.7)); #238785=CARTESIAN_POINT('',(3.56066017177982,0.,24.6393398282202)); #238786=CARTESIAN_POINT('',(4.62132034355964,0.,24.2)); #238787=CARTESIAN_POINT('Origin',(6.37867965644036,0.,24.2)); #238788=CARTESIAN_POINT('Origin',(3.56066017177982,0.,24.6393398282202)); #238789=CARTESIAN_POINT('Origin',(18.3786796564404,0.,25.7)); #238790=CARTESIAN_POINT('',(18.3786796564404,0.,24.2)); #238791=CARTESIAN_POINT('',(19.4393398282202,0.,24.6393398282202)); #238792=CARTESIAN_POINT('Origin',(19.8,0.,25.)); #238793=CARTESIAN_POINT('',(19.8,0.,25.)); #238794=CARTESIAN_POINT('Origin',(15.5606601717798,0.,24.6393398282202)); #238795=CARTESIAN_POINT('',(15.2,0.,25.)); #238796=CARTESIAN_POINT('',(15.5606601717798,0.,24.6393398282202)); #238797=CARTESIAN_POINT('Origin',(16.6213203435596,0.,25.7)); #238798=CARTESIAN_POINT('',(16.6213203435596,0.,24.2)); #238799=CARTESIAN_POINT('Origin',(18.3786796564404,0.,24.2)); #238800=CARTESIAN_POINT('Origin',(10.6213203435596,0.,0.800000000000001)); #238801=CARTESIAN_POINT('',(12.3786796564404,0.,0.800000000000001)); #238802=CARTESIAN_POINT('',(10.6213203435596,0.,0.800000000000001)); #238803=CARTESIAN_POINT('Origin',(10.6213203435596,0.,-0.699999999999985)); #238804=CARTESIAN_POINT('',(9.56066017177982,0.,0.360660171779823)); #238805=CARTESIAN_POINT('Origin',(9.2,0.,0.)); #238806=CARTESIAN_POINT('',(9.2,0.,0.)); #238807=CARTESIAN_POINT('Origin',(13.4393398282202,0.,0.360660171779823)); #238808=CARTESIAN_POINT('',(13.8,0.,-1.11022302462516E-15)); #238809=CARTESIAN_POINT('',(13.4393398282202,0.,0.360660171779823)); #238810=CARTESIAN_POINT('Origin',(12.3786796564404,0.,-0.699999999999985)); #238811=CARTESIAN_POINT('Origin',(16.6213203435596,0.,0.800000000000001)); #238812=CARTESIAN_POINT('',(18.3786796564404,0.,0.800000000000001)); #238813=CARTESIAN_POINT('',(16.6213203435596,0.,0.800000000000001)); #238814=CARTESIAN_POINT('Origin',(16.6213203435596,0.,-0.699999999999985)); #238815=CARTESIAN_POINT('',(15.5606601717798,0.,0.360660171779823)); #238816=CARTESIAN_POINT('Origin',(15.2,0.,0.)); #238817=CARTESIAN_POINT('',(15.2,0.,5.55111512312578E-16)); #238818=CARTESIAN_POINT('Origin',(19.4393398282202,0.,0.360660171779823)); #238819=CARTESIAN_POINT('',(19.8,0.,0.)); #238820=CARTESIAN_POINT('',(19.4393398282202,0.,0.360660171779823)); #238821=CARTESIAN_POINT('Origin',(18.3786796564404,0.,-0.699999999999985)); #238822=CARTESIAN_POINT('Origin',(26.7814954576224,5.95,25.)); #238823=CARTESIAN_POINT('Origin',(0.,0.,25.)); #238824=CARTESIAN_POINT('Origin',(0.,0.,25.)); #238825=CARTESIAN_POINT('Origin',(0.,0.,25.)); #238826=CARTESIAN_POINT('Origin',(35.,0.,0.)); #238827=CARTESIAN_POINT('Origin',(35.,0.,0.)); #238828=CARTESIAN_POINT('Origin',(35.,0.,0.)); #238829=CARTESIAN_POINT('Origin',(33.2,4.25,25.)); #238830=CARTESIAN_POINT('',(33.2,4.25,25.)); #238831=CARTESIAN_POINT('Origin',(35.,4.25,25.)); #238832=CARTESIAN_POINT('',(35.,4.25,25.)); #238833=CARTESIAN_POINT('Origin',(35.,0.,25.)); #238834=CARTESIAN_POINT('',(0.,0.,0.)); #238835=CARTESIAN_POINT('Origin',(0.,0.,0.)); #238836=CARTESIAN_POINT('',(-5.51091059616309E-17,0.,-0.45)); #238837=CARTESIAN_POINT('Origin',(0.,0.,0.)); #238838=CARTESIAN_POINT('Origin',(0.,5.9973413907212,0.)); #238839=CARTESIAN_POINT('',(-5.51091059616309E-17,2.85358983848622,-0.45)); #238840=CARTESIAN_POINT('Origin',(0.,2.85358983848622,0.)); #238841=CARTESIAN_POINT('',(-5.51091059616309E-17,5.9973413907212,-0.45)); #238842=CARTESIAN_POINT('Origin',(0.,2.85358983848622,0.)); #238843=CARTESIAN_POINT('',(-7.9602041944578E-17,3.2,-0.65)); #238844=CARTESIAN_POINT('Origin',(0.,3.2,0.)); #238845=CARTESIAN_POINT('',(-5.51091059616309E-17,2.85358983848622,-0.45)); #238846=CARTESIAN_POINT('Origin',(0.65,3.2,0.)); #238847=CARTESIAN_POINT('',(0.,0.,0.)); #238848=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #238849=CARTESIAN_POINT('',(5.2700958503582E-13,-0.572668331506569,1.4500000000001)); #238850=CARTESIAN_POINT('',(5.20942322772187E-15,-0.572668331506581,1.49375)); #238851=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #238852=CARTESIAN_POINT('',(-0.0260882850888897,-0.57207378832774,1.79766833150901)); #238853=CARTESIAN_POINT('Ctrl Pts',(1.04360964314712E-12,-0.572668331506569, 1.4500000000001)); #238854=CARTESIAN_POINT('Ctrl Pts',(0.0187576967476451,-0.572668331506569, 1.45165471715247)); #238855=CARTESIAN_POINT('Ctrl Pts',(0.0375324546553571,-0.571746860377002, 1.45335787096188)); #238856=CARTESIAN_POINT('Ctrl Pts',(0.13209413389026,-0.562411784685651, 1.46217511075376)); #238857=CARTESIAN_POINT('Ctrl Pts',(0.20564244337037,-0.539867945840591, 1.46981138609257)); #238858=CARTESIAN_POINT('Ctrl Pts',(0.337846930165852,-0.468308216046397, 1.4851184743439)); #238859=CARTESIAN_POINT('Ctrl Pts',(0.395631617536378,-0.42063695882746, 1.49264392468316)); #238860=CARTESIAN_POINT('Ctrl Pts',(0.489553514901857,-0.306166668405344, 1.50675938510386)); #238861=CARTESIAN_POINT('Ctrl Pts',(0.52489019647627,-0.240607746273338, 1.51299113318519)); #238862=CARTESIAN_POINT('Ctrl Pts',(0.569452730315394,-0.0973495298161883, 1.52758374959781)); #238863=CARTESIAN_POINT('Ctrl Pts',(0.577334438112591,-0.0208275031528491, 1.53537846190004)); #238864=CARTESIAN_POINT('Ctrl Pts',(0.562986699698646,0.127934726028283, 1.55053172989969)); #238865=CARTESIAN_POINT('Ctrl Pts',(0.542004819145497,0.198904200161124, 1.55776084623688)); #238866=CARTESIAN_POINT('Ctrl Pts',(0.472966896599057,0.331791151257518, 1.57129702134466)); #238867=CARTESIAN_POINT('Ctrl Pts',(0.424530701600893,0.391884394514761, 1.57834233026664)); #238868=CARTESIAN_POINT('Ctrl Pts',(0.307779029989476,0.488751826725997, 1.59357519354304)); #238869=CARTESIAN_POINT('Ctrl Pts',(0.240992047213052,0.524909782466404, 1.60130839881415)); #238870=CARTESIAN_POINT('Ctrl Pts',(0.0995891670276841,0.568619579115281, 1.61600849713102)); #238871=CARTESIAN_POINT('Ctrl Pts',(0.0266525780422083,0.576652050051644, 1.62244593753954)); #238872=CARTESIAN_POINT('Ctrl Pts',(-0.121789316490475,0.564731807996105, 1.63614790598195)); #238873=CARTESIAN_POINT('Ctrl Pts',(-0.195727144891509,0.543539472215809, 1.6437497092475)); #238874=CARTESIAN_POINT('Ctrl Pts',(-0.32932694292589,0.474359114254417, 1.65905870121039)); #238875=CARTESIAN_POINT('Ctrl Pts',(-0.388063945277025,0.427650794403814, 1.66661911684338)); #238876=CARTESIAN_POINT('Ctrl Pts',(-0.483912222074163,0.314948855130499, 1.68081444934933)); #238877=CARTESIAN_POINT('Ctrl Pts',(-0.520316016209532,0.250270786047564, 1.68700683339218)); #238878=CARTESIAN_POINT('Ctrl Pts',(-0.567549604553439,0.107991633904959, 1.70149972002778)); #238879=CARTESIAN_POINT('Ctrl Pts',(-0.576869028511727,0.0314886876183278, 1.70929248875829)); #238880=CARTESIAN_POINT('Ctrl Pts',(-0.565216197646636,-0.117776623047368, 1.72449700181257)); #238881=CARTESIAN_POINT('Ctrl Pts',(-0.545471827109088,-0.189239012859286, 1.73177632769171)); #238882=CARTESIAN_POINT('Ctrl Pts',(-0.478930929778866,-0.323011791229696, 1.74540273523389)); #238883=CARTESIAN_POINT('Ctrl Pts',(-0.431940992210585,-0.383602432405018, 1.7522668187425)); #238884=CARTESIAN_POINT('Ctrl Pts',(-0.325559603446259,-0.475535796925059, 1.76633356585648)); #238885=CARTESIAN_POINT('Ctrl Pts',(-0.26987845229328,-0.509203705462517, 1.77296024507463)); #238886=CARTESIAN_POINT('Ctrl Pts',(-0.151141531421602,-0.555944555496843, 1.78581523249946)); #238887=CARTESIAN_POINT('Ctrl Pts',(-0.0890831806681462,-0.569201031650968, 1.79196490065781)); #238888=CARTESIAN_POINT('Ctrl Pts',(-0.0260882850888891,-0.572073788327727, 1.79766833150902)); #238889=CARTESIAN_POINT('',(-0.417841891620485,-0.391608441581061,1.79766833150658)); #238890=CARTESIAN_POINT('Origin',(1.17024445689395E-11,2.11754919154927E-11, 1.79766833152951)); #238891=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.49375)); #238892=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.52291666666666)); #238893=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.55208333333333)); #238894=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, 1.58125)); #238895=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.61041666666667)); #238896=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.63958333333333)); #238897=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.66875)); #238898=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 1.69791666666667)); #238899=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 1.72708333333333)); #238900=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468287E-16, 1.75625)); #238901=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.78541666666667)); #238902=CARTESIAN_POINT('Ctrl Pts',(-0.462957750580452,-0.343470317250019, 1.79123668846161)); #238903=CARTESIAN_POINT('Ctrl Pts',(-0.417841891620485,-0.391608441581061, 1.79766833150658)); #238904=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #238905=CARTESIAN_POINT('',(2.91151026768531E-13,-0.57266833150657,1.10000000000005)); #238906=CARTESIAN_POINT('',(4.89161852838002E-15,-0.572668331506581,1.14375)); #238907=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #238908=CARTESIAN_POINT('Ctrl Pts',(5.72528136011222E-13,-0.57266833150657, 1.10000000000005)); #238909=CARTESIAN_POINT('Ctrl Pts',(0.0255112609333365,-0.57266833150657, 1.10225048531361)); #238910=CARTESIAN_POINT('Ctrl Pts',(0.0510401689112833,-0.570964169824401, 1.10458932231175)); #238911=CARTESIAN_POINT('Ctrl Pts',(0.152582618758553,-0.557290277362989, 1.11424335436699)); #238912=CARTESIAN_POINT('Ctrl Pts',(0.225871714186697,-0.531815304725006, 1.12200604910831)); #238913=CARTESIAN_POINT('Ctrl Pts',(0.355518277986844,-0.454992701194625, 1.13735553354041)); #238914=CARTESIAN_POINT('Ctrl Pts',(0.411235299185548,-0.405345775143368, 1.14479920706406)); #238915=CARTESIAN_POINT('Ctrl Pts',(0.501036456458965,-0.287154459164017, 1.15874067104991)); #238916=CARTESIAN_POINT('Ctrl Pts',(0.534082563246024,-0.219668900431969, 1.16512401291135)); #238917=CARTESIAN_POINT('Ctrl Pts',(0.572866583990337,-0.0743746174323298, 1.17992402781586)); #238918=CARTESIAN_POINT('Ctrl Pts',(0.577668215974195,0.00209887130918621, 1.18771379593205)); #238919=CARTESIAN_POINT('Ctrl Pts',(0.55757907340781,0.149617376683312, 1.20274037532083)); #238920=CARTESIAN_POINT('Ctrl Pts',(0.533976681367663,0.219455214286049, 1.20985422051887)); #238921=CARTESIAN_POINT('Ctrl Pts',(0.460289482286761,0.349086266116843, 1.22305874212548)); #238922=CARTESIAN_POINT('Ctrl Pts',(0.409887816978445,0.407121520875347, 1.23041010238007)); #238923=CARTESIAN_POINT('Ctrl Pts',(0.290151611325353,0.499378580824255, 1.24567143578866)); #238924=CARTESIAN_POINT('Ctrl Pts',(0.22236403946743,0.533032646615952, 1.2533653823573)); #238925=CARTESIAN_POINT('Ctrl Pts',(0.0796937011195899,0.571757667096684, 1.26792340949494)); #238926=CARTESIAN_POINT('Ctrl Pts',(0.00643649308874038,0.577245498688149, 1.27419908191485)); #238927=CARTESIAN_POINT('Ctrl Pts',(-0.142384523791857,0.560001799812749, 1.28820562464586)); #238928=CARTESIAN_POINT('Ctrl Pts',(-0.21625748150202,0.535816112557338, 1.29595416020455)); #238929=CARTESIAN_POINT('Ctrl Pts',(-0.347478866389748,0.461182246039356, 1.31133109312983)); #238930=CARTESIAN_POINT('Ctrl Pts',(-0.404152455577193,0.41243043618415, 1.31881356133937)); #238931=CARTESIAN_POINT('Ctrl Pts',(-0.495850422218432,0.295941568548143, 1.33283564538317)); #238932=CARTESIAN_POINT('Ctrl Pts',(-0.529952705398104,0.229349894070909, 1.33913788429576)); #238933=CARTESIAN_POINT('Ctrl Pts',(-0.571407577623789,0.0849827491205187, 1.35384345875388)); #238934=CARTESIAN_POINT('Ctrl Pts',(-0.577627361803236,0.00847172638312383, 1.36163705017052)); #238935=CARTESIAN_POINT('Ctrl Pts',(-0.560176280027831,-0.139647605303575, 1.37672483113033)); #238936=CARTESIAN_POINT('Ctrl Pts',(-0.537775236249823,-0.210019319489992, 1.38389305824371)); #238937=CARTESIAN_POINT('Ctrl Pts',(-0.466272561342226,-0.34106339636476, 1.39724151388047)); #238938=CARTESIAN_POINT('Ctrl Pts',(-0.416868067528065,-0.399972097761755, 1.40443020853203)); #238939=CARTESIAN_POINT('Ctrl Pts',(-0.298642909602623,-0.494366422938244, 1.41966709771987)); #238940=CARTESIAN_POINT('Ctrl Pts',(-0.231323133574286,-0.529223295708607, 1.42738103787009)); #238941=CARTESIAN_POINT('Ctrl Pts',(-0.107340489073193,-0.565102412407471, 1.44014557798505)); #238942=CARTESIAN_POINT('Ctrl Pts',(-0.0537742768722493,-0.572668331506569, 1.4452562822878)); #238943=CARTESIAN_POINT('Ctrl Pts',(1.04360964314712E-12,-0.572668331506569, 1.4500000000001)); #238944=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.14375)); #238945=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.17291666666667)); #238946=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.20208333333333)); #238947=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, 1.23125)); #238948=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.26041666666666)); #238949=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.28958333333333)); #238950=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.31875)); #238951=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 1.34791666666667)); #238952=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 1.37708333333333)); #238953=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468287E-16, 1.40625)); #238954=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.43541666666666)); #238955=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.46458333333333)); #238956=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.49375)); #238957=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #238958=CARTESIAN_POINT('',(2.62878919828069E-14,-0.572668331506571,0.750000000000007)); #238959=CARTESIAN_POINT('',(4.57381382903818E-15,-0.572668331506581,0.793749999999999)); #238960=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #238961=CARTESIAN_POINT('Ctrl Pts',(4.34374758384577E-14,-0.572668331506571, 0.750000000000007)); #238962=CARTESIAN_POINT('Ctrl Pts',(0.0331019412883152,-0.572668331506571, 0.75292009998708)); #238963=CARTESIAN_POINT('Ctrl Pts',(0.066204399955213,-0.569799833253714, 0.755986341579648)); #238964=CARTESIAN_POINT('Ctrl Pts',(0.174352084378824,-0.550826211158787, 0.766492978261941)); #238965=CARTESIAN_POINT('Ctrl Pts',(0.246310887336328,-0.522620791177414, 0.774277385631986)); #238966=CARTESIAN_POINT('Ctrl Pts',(0.372447013176126,-0.441196082104321, 0.78955158608963)); #238967=CARTESIAN_POINT('Ctrl Pts',(0.42605788196799,-0.389685493971802, 0.79690438308563)); #238968=CARTESIAN_POINT('Ctrl Pts',(0.51173033972372,-0.26784908892969, 0.810667280958627)); #238969=CARTESIAN_POINT('Ctrl Pts',(0.542461547905164,-0.198378537912426, 0.817292698947072)); #238970=CARTESIAN_POINT('Ctrl Pts',(0.575367783386162,-0.0511284587125331, 0.832291935819012)); #238971=CARTESIAN_POINT('Ctrl Pts',(0.57708538230422,0.0251732876077672, 0.840064209851185)); #238972=CARTESIAN_POINT('Ctrl Pts',(0.551263479470781,0.171377372996935, 0.854956899351342)); #238973=CARTESIAN_POINT('Ctrl Pts',(0.525016014258063,0.240002935970814, 0.861742481272888)); #238974=CARTESIAN_POINT('Ctrl Pts',(0.446519507863276,0.366566440509485, 0.875113106639806)); #238975=CARTESIAN_POINT('Ctrl Pts',(0.393883638158322,0.422603943564143, 0.882610454792724)); #238976=CARTESIAN_POINT('Ctrl Pts',(0.271018683508045,0.509974724212846, 0.897898928041573)); #238977=CARTESIAN_POINT('Ctrl Pts',(0.20226948556885,0.540940448164636, 0.90554078442212)); #238978=CARTESIAN_POINT('Ctrl Pts',(0.0583071828585714,0.57436151929451, 0.919942646341213)); #238979=CARTESIAN_POINT('Ctrl Pts',(-0.0153400065937607,0.577108323537188, 0.926127090374734)); #238980=CARTESIAN_POINT('Ctrl Pts',(-0.163352350388743,0.554208699236537, 0.940350358595303)); #238981=CARTESIAN_POINT('Ctrl Pts',(-0.235997762065122,0.52737920594174, 0.948125257854865)); #238982=CARTESIAN_POINT('Ctrl Pts',(-0.363933227462204,0.448267830731703, 0.963440366922425)); #238983=CARTESIAN_POINT('Ctrl Pts',(-0.418619336855592,0.397690831495676, 0.970840467893173)); #238984=CARTESIAN_POINT('Ctrl Pts',(-0.506392208824578,0.277698479522197, 0.984694734806863)); #238985=CARTESIAN_POINT('Ctrl Pts',(-0.538304243800878,0.209244291238054, 0.991185887943586)); #238986=CARTESIAN_POINT('Ctrl Pts',(-0.574211685052417,0.06297828807527, 1.00608488453273)); #238987=CARTESIAN_POINT('Ctrl Pts',(-0.577497353456945,-0.0134262706677315, 1.01386763127834)); #238988=CARTESIAN_POINT('Ctrl Pts',(-0.554577956278213,-0.160381001952825, 1.02883678332209)); #238989=CARTESIAN_POINT('Ctrl Pts',(-0.529652412122647,-0.229614294496565, 1.03580437408324)); #238990=CARTESIAN_POINT('Ctrl Pts',(-0.453615624648455,-0.357745088762487, 1.04903783833182)); #238991=CARTESIAN_POINT('Ctrl Pts',(-0.402098743885071,-0.414791954605644, 1.05648788248678)); #238992=CARTESIAN_POINT('Ctrl Pts',(-0.280874178965542,-0.504634839429558, 1.07175755530078)); #238993=CARTESIAN_POINT('Ctrl Pts',(-0.212604668924983,-0.536981632068965, 1.07942743527657)); #238994=CARTESIAN_POINT('Ctrl Pts',(-0.0941417978909359,-0.566856830965984, 1.09139925999199)); #238995=CARTESIAN_POINT('Ctrl Pts',(-0.0471272262702631,-0.57266833150657, 1.09584265431374)); #238996=CARTESIAN_POINT('Ctrl Pts',(5.72528136011222E-13,-0.57266833150657, 1.10000000000005)); #238997=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.793749999999999)); #238998=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.822916666666665)); #238999=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.852083333333332)); #239000=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, 0.881249999999998)); #239001=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.910416666666665)); #239002=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.939583333333331)); #239003=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.968749999999998)); #239004=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 0.997916666666665)); #239005=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 1.02708333333333)); #239006=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468287E-16, 1.05625)); #239007=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.08541666666667)); #239008=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.11458333333333)); #239009=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.14375)); #239010=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239011=CARTESIAN_POINT('',(-2.11450023240645E-14,-0.572668331506573,0.399999999999998)); #239012=CARTESIAN_POINT('',(4.25600912969633E-15,-0.572668331506581,0.443749999999998)); #239013=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239014=CARTESIAN_POINT('Ctrl Pts',(-5.07927033766014E-14,-0.572668331506573, 0.399999999999998)); #239015=CARTESIAN_POINT('Ctrl Pts',(0.0406554789346777,-0.572668331506577, 0.403586438102763)); #239016=CARTESIAN_POINT('Ctrl Pts',(0.081267405038714,-0.568342424693719, 0.407389357660584)); #239017=CARTESIAN_POINT('Ctrl Pts',(0.195713532684329,-0.543561832777003, 0.418747369592145)); #239018=CARTESIAN_POINT('Ctrl Pts',(0.266252749204412,-0.512706385657615, 0.426541516595682)); #239019=CARTESIAN_POINT('Ctrl Pts',(0.388741836182865,-0.426860724860969, 0.441719156404401)); #239020=CARTESIAN_POINT('Ctrl Pts',(0.440198704458326,-0.373585234681043, 0.448971825970259)); #239021=CARTESIAN_POINT('Ctrl Pts',(0.521699940116107,-0.248149854602763, 0.462550057944068)); #239022=CARTESIAN_POINT('Ctrl Pts',(0.55006153943037,-0.17662399458074, 0.46950866752411)); #239023=CARTESIAN_POINT('Ctrl Pts',(0.576940900263652,-0.0274899847109788, 0.484699805972749)); #239024=CARTESIAN_POINT('Ctrl Pts',(0.575555209959109,0.0485126888987815, 0.492441615760342)); #239025=CARTESIAN_POINT('Ctrl Pts',(0.543992544429518,0.193179627852437, 0.507177727935594)); #239026=CARTESIAN_POINT('Ctrl Pts',(0.515085098294125,0.26062209276698, 0.513668698422464)); #239027=CARTESIAN_POINT('Ctrl Pts',(0.43165674877139,0.383961511391728, 0.527310181694549)); #239028=CARTESIAN_POINT('Ctrl Pts',(0.376822930174404,0.437890103177144, 0.534894013408541)); #239029=CARTESIAN_POINT('Ctrl Pts',(0.250800185443751,0.520174768128973, 0.550202010466564)); #239030=CARTESIAN_POINT('Ctrl Pts',(0.181166512409718,0.548331016341905, 0.557778801265343)); #239031=CARTESIAN_POINT('Ctrl Pts',(0.0359245446648087,0.576243770088324, 0.572013004273656)); #239032=CARTESIAN_POINT('Ctrl Pts',(-0.038181558910516,0.576098685756243, 0.578193488386727)); #239033=CARTESIAN_POINT('Ctrl Pts',(-0.185246293686792,0.547237683079606, 0.592636782887528)); #239034=CARTESIAN_POINT('Ctrl Pts',(-0.2564955106767,0.517677104709725, 0.600427613667903)); #239035=CARTESIAN_POINT('Ctrl Pts',(-0.380797174767034,0.433985815782335, 0.615655425962227)); #239036=CARTESIAN_POINT('Ctrl Pts',(-0.433320517490187,0.38156711567671, 0.622958537647003)); #239037=CARTESIAN_POINT('Ctrl Pts',(-0.516881687344198,0.257898589073563, 0.636628499567259)); #239038=CARTESIAN_POINT('Ctrl Pts',(-0.546418018867807,0.187393575777793, 0.643411652838187)); #239039=CARTESIAN_POINT('Ctrl Pts',(-0.576284756205954,0.0391779575595047, 0.658509241768918)); #239040=CARTESIAN_POINT('Ctrl Pts',(-0.576429373784106,-0.0369882146645674, 0.666267705907108)); #239041=CARTESIAN_POINT('Ctrl Pts',(-0.547697905077842,-0.182421881553007, 0.681081918779984)); #239042=CARTESIAN_POINT('Ctrl Pts',(-0.520110286606728,-0.250444620387652, 0.68770704902825)); #239043=CARTESIAN_POINT('Ctrl Pts',(-0.439125164628807,-0.375395129379216, 0.701215056792515)); #239044=CARTESIAN_POINT('Ctrl Pts',(-0.385372253971609,-0.430382579084583, 0.708757464683027)); #239045=CARTESIAN_POINT('Ctrl Pts',(-0.260891423651888,-0.515208140883319, 0.724058852034013)); #239046=CARTESIAN_POINT('Ctrl Pts',(-0.191682819182404,-0.54476270053236, 0.731669362886094)); #239047=CARTESIAN_POINT('Ctrl Pts',(-0.0796029069134931,-0.568518172094999, 0.742766427677137)); #239048=CARTESIAN_POINT('Ctrl Pts',(-0.0398205828485782,-0.572668331506571, 0.746487212564104)); #239049=CARTESIAN_POINT('Ctrl Pts',(4.34374758384577E-14,-0.572668331506571, 0.750000000000007)); #239050=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.443749999999998)); #239051=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.472916666666665)); #239052=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.502083333333332)); #239053=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, 0.531249999999999)); #239054=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.560416666666665)); #239055=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.589583333333332)); #239056=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.618749999999999)); #239057=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 0.647916666666665)); #239058=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 0.677083333333332)); #239059=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468287E-16, 0.706249999999998)); #239060=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.735416666666665)); #239061=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.764583333333332)); #239062=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.793749999999999)); #239063=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239064=CARTESIAN_POINT('',(-3.2226385775788E-13,-0.572668331506574,0.0499999999999444)); #239065=CARTESIAN_POINT('',(3.93820443035448E-15,-0.572668331506581,0.0937499999999983)); #239066=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239067=CARTESIAN_POINT('Ctrl Pts',(-6.52394804845549E-13,-0.572668331506574, 0.0499999999999444)); #239068=CARTESIAN_POINT('Ctrl Pts',(0.0484665131365921,-0.57266833150663, 0.0542754913722769)); #239069=CARTESIAN_POINT('Ctrl Pts',(0.0968086921447779,-0.56652190851108, 0.0588530590620599)); #239070=CARTESIAN_POINT('Ctrl Pts',(0.217440538939718,-0.535198114784613, 0.0710899994017849)); #239071=CARTESIAN_POINT('Ctrl Pts',(0.286415313449217,-0.501677753038683, 0.0788818657880506)); #239072=CARTESIAN_POINT('Ctrl Pts',(0.404981317502721,-0.411435017240536, 0.093937377549403)); #239073=CARTESIAN_POINT('Ctrl Pts',(0.454155203094984,-0.356431432977263, 0.101076666803017)); #239074=CARTESIAN_POINT('Ctrl Pts',(0.530650224644442,-0.228344307334036, 0.114348330246)); #239075=CARTESIAN_POINT('Ctrl Pts',(0.5562912578876,-0.15587251297699,0.121622461891554)); #239076=CARTESIAN_POINT('Ctrl Pts',(0.577527268250245,-0.0061554085221887, 0.136872995716056)); #239077=CARTESIAN_POINT('Ctrl Pts',(0.573368767413776,0.0694709351837233, 0.144576471660975)); #239078=CARTESIAN_POINT('Ctrl Pts',(0.536666727942874,0.212702911459689, 0.159166415187477)); #239079=CARTESIAN_POINT('Ctrl Pts',(0.505319229327839,0.279108062057559, 0.165471710160152)); #239080=CARTESIAN_POINT('Ctrl Pts',(0.417402590132959,0.399413949268949, 0.179354628268509)); #239081=CARTESIAN_POINT('Ctrl Pts',(0.360626989866373,0.451326155576069, 0.187007058103902)); #239082=CARTESIAN_POINT('Ctrl Pts',(0.231891786087927,0.528837941845343, 0.202311247456258)); #239083=CARTESIAN_POINT('Ctrl Pts',(0.161546128350894,0.554394480687753, 0.209818440015614)); #239084=CARTESIAN_POINT('Ctrl Pts',(0.0151731665597273,0.577226658316488, 0.223893654408969)); #239085=CARTESIAN_POINT('Ctrl Pts',(-0.059389216967023,0.574365140225625, 0.230152621279366)); #239086=CARTESIAN_POINT('Ctrl Pts',(-0.205483025843966,0.539925360319138, 0.244794398830127)); #239087=CARTESIAN_POINT('Ctrl Pts',(-0.275334139412185,0.507867787455836, 0.252589070244926)); #239088=CARTESIAN_POINT('Ctrl Pts',(-0.396086412593654,0.42003236314858, 0.267714837361004)); #239089=CARTESIAN_POINT('Ctrl Pts',(-0.446528465887764,0.365971333471864, 0.274917993091777)); #239090=CARTESIAN_POINT('Ctrl Pts',(-0.526077223566226,0.238880126530464, 0.288408319694079)); #239091=CARTESIAN_POINT('Ctrl Pts',(-0.553316183569457,0.16637698448436, 0.29555245189761)); #239092=CARTESIAN_POINT('Ctrl Pts',(-0.577344278665186,0.0163949774226595, 0.310829969320158)); #239093=CARTESIAN_POINT('Ctrl Pts',(-0.57451329413449,-0.0594245035847905, 0.318553118681557)); #239094=CARTESIAN_POINT('Ctrl Pts',(-0.540273972157853,-0.20335105325399, 0.333213813075611)); #239095=CARTESIAN_POINT('Ctrl Pts',(-0.510103199296746,-0.270250702216743, 0.339598574804003)); #239096=CARTESIAN_POINT('Ctrl Pts',(-0.424345721096666,-0.392028109564334, 0.353365967965474)); #239097=CARTESIAN_POINT('Ctrl Pts',(-0.368496894004662,-0.444921760608038, 0.360986628288875)); #239098=CARTESIAN_POINT('Ctrl Pts',(-0.241046731492856,-0.52474631883666, 0.376295249426731)); #239099=CARTESIAN_POINT('Ctrl Pts',(-0.171032304524037,-0.551558303811657, 0.38383716367859)); #239100=CARTESIAN_POINT('Ctrl Pts',(-0.0654421700769721,-0.569865664226315, 0.394084251659107)); #239101=CARTESIAN_POINT('Ctrl Pts',(-0.0327196431410779,-0.572668331506569, 0.397113624585302)); #239102=CARTESIAN_POINT('Ctrl Pts',(-5.07927033766014E-14,-0.572668331506573, 0.399999999999998)); #239103=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.0937499999999983)); #239104=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.122916666666665)); #239105=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.152083333333331)); #239106=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, 0.181249999999998)); #239107=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.210416666666665)); #239108=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.239583333333332)); #239109=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.268749999999998)); #239110=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 0.297916666666665)); #239111=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 0.327083333333332)); #239112=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.356249999999998)); #239113=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.385416666666665)); #239114=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.414583333333332)); #239115=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.443749999999998)); #239116=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239117=CARTESIAN_POINT('',(-6.01629280803092E-13,-0.572668331506575,-0.300000000000106)); #239118=CARTESIAN_POINT('',(3.62039973101264E-15,-0.572668331506581,-0.256250000000002)); #239119=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239120=CARTESIAN_POINT('Ctrl Pts',(-1.21049004153729E-12,-0.572668331506575, -0.300000000000106)); #239121=CARTESIAN_POINT('Ctrl Pts',(0.0561778032655306,-0.572668331506694, -0.295044254318479)); #239122=CARTESIAN_POINT('Ctrl Pts',(0.112116096924292,-0.564410914521415, -0.289689077178537)); #239123=CARTESIAN_POINT('Ctrl Pts',(0.238492785029112,-0.526112048754184, -0.276590104977189)); #239124=CARTESIAN_POINT('Ctrl Pts',(0.305830597470384,-0.490039758039527, -0.26881267108334)); #239125=CARTESIAN_POINT('Ctrl Pts',(0.420491580562977,-0.395544518265872, -0.253885057197648)); #239126=CARTESIAN_POINT('Ctrl Pts',(0.467338311164636,-0.338884298584728, -0.247019545892771)); #239127=CARTESIAN_POINT('Ctrl Pts',(0.538877126961435,-0.208233537138936, -0.233711154283932)); #239128=CARTESIAN_POINT('Ctrl Pts',(0.561743480362045,-0.134838754227078, -0.226234990331822)); #239129=CARTESIAN_POINT('Ctrl Pts',(0.577325541378759,0.0151740867538993, -0.210954332094267)); #239130=CARTESIAN_POINT('Ctrl Pts',(0.570421233274065,0.0903242290760702, -0.203299363134148)); #239131=CARTESIAN_POINT('Ctrl Pts',(0.528605334712923,0.232072594376488, -0.188860543685601)); #239132=CARTESIAN_POINT('Ctrl Pts',(0.494766178743307,0.297465446210491, -0.182662385575893)); #239133=CARTESIAN_POINT('Ctrl Pts',(0.401980529746151,0.415034034116281, -0.168489359817176)); #239134=CARTESIAN_POINT('Ctrl Pts',(0.342808559832447,0.465097831747779, -0.160719674959244)); #239135=CARTESIAN_POINT('Ctrl Pts',(0.210836660850971,0.537539348737207, -0.145388194076633)); #239136=CARTESIAN_POINT('Ctrl Pts',(0.139821908189381,0.560231110741692, -0.137967883489918)); #239137=CARTESIAN_POINT('Ctrl Pts',(-0.00773333199363371,0.5774636104077, -0.124073572106993)); #239138=CARTESIAN_POINT('Ctrl Pts',(-0.0828469278862357,0.571545867554717, -0.117634459232214)); #239139=CARTESIAN_POINT('Ctrl Pts',(-0.227747454226036,0.530873488557482, -0.102780513993833)); #239140=CARTESIAN_POINT('Ctrl Pts',(-0.295936037019935,0.496099952616555, -0.0949941761756495)); #239141=CARTESIAN_POINT('Ctrl Pts',(-0.412668650738447,0.403723845643661, -0.0799917425359536)); #239142=CARTESIAN_POINT('Ctrl Pts',(-0.460689048970925,0.347888724422754, -0.0729367577324808)); #239143=CARTESIAN_POINT('Ctrl Pts',(-0.5347662028907,0.218570085159931, -0.059764059199481)); #239144=CARTESIAN_POINT('Ctrl Pts',(-0.559036908269491,0.145644390643783, -0.0523356776866002)); #239145=CARTESIAN_POINT('Ctrl Pts',(-0.577528057070921,-0.00416099594979779, -0.0370761512373142)); #239146=CARTESIAN_POINT('Ctrl Pts',(-0.572038215624433,-0.0795694645616623, -0.0293948685581756)); #239147=CARTESIAN_POINT('Ctrl Pts',(-0.532860627375669,-0.222090257587917, -0.0148773678179823)); #239148=CARTESIAN_POINT('Ctrl Pts',(-0.500315415563784,-0.288002986046001, -0.00863384769612839)); #239149=CARTESIAN_POINT('Ctrl Pts',(-0.409793095153092,-0.407349264538668, 0.00543005755157937)); #239150=CARTESIAN_POINT('Ctrl Pts',(-0.351464736335492,-0.458614884292457, 0.0131865331485398)); #239151=CARTESIAN_POINT('Ctrl Pts',(-0.22061732795707,-0.533618198304333, 0.0285492919899679)); #239152=CARTESIAN_POINT('Ctrl Pts',(-0.14989760464858,-0.557636867144718, 0.0360111573674459)); #239153=CARTESIAN_POINT('Ctrl Pts',(-0.051115264752305,-0.570959233346994, 0.0454038016603173)); #239154=CARTESIAN_POINT('Ctrl Pts',(-0.0255480626877835,-0.572668331506544, 0.0477462682060052)); #239155=CARTESIAN_POINT('Ctrl Pts',(-6.52394804845549E-13,-0.572668331506574, 0.0499999999999444)); #239156=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.256250000000002)); #239157=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.227083333333335)); #239158=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.197916666666668)); #239159=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -0.168750000000002)); #239160=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.139583333333335)); #239161=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.110416666666668)); #239162=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.0812500000000015)); #239163=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -0.052083333333335)); #239164=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -0.0229166666666685)); #239165=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.00624999999999844)); #239166=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.0354166666666654)); #239167=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.0645833333333314)); #239168=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.0937499999999983)); #239169=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239170=CARTESIAN_POINT('',(-3.42814092660791E-13,-0.572668331506576,-0.650000000000061)); #239171=CARTESIAN_POINT('',(3.30259503167079E-15,-0.572668331506581,-0.606250000000002)); #239172=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239173=CARTESIAN_POINT('Ctrl Pts',(-6.92224055854004E-13,-0.572668331506576, -0.65000000000006)); #239174=CARTESIAN_POINT('Ctrl Pts',(0.0642201059130346,-0.572668331506654, -0.644334799617543)); #239175=CARTESIAN_POINT('Ctrl Pts',(0.128048659439982,-0.561875245187802, -0.638154435687303)); #239176=CARTESIAN_POINT('Ctrl Pts',(0.260006977974222,-0.515774107413923, -0.624165699072534)); #239177=CARTESIAN_POINT('Ctrl Pts',(0.325542443474649,-0.477125844697776, -0.61641606261905)); #239178=CARTESIAN_POINT('Ctrl Pts',(0.435976546646111,-0.378380706908565, -0.601644872240753)); #239179=CARTESIAN_POINT('Ctrl Pts',(0.480448990032696,-0.320007762067555, -0.595096737809533)); #239180=CARTESIAN_POINT('Ctrl Pts',(0.546723881799638,-0.186671647287288, -0.581514809840187)); #239181=CARTESIAN_POINT('Ctrl Pts',(0.566664659665397,-0.112398422980123, -0.573949165796535)); #239182=CARTESIAN_POINT('Ctrl Pts',(0.576245233636661,0.0378611235489252, -0.558643377555837)); #239183=CARTESIAN_POINT('Ctrl Pts',(0.566449156347181,0.112395466867925, -0.55105113531997)); #239184=CARTESIAN_POINT('Ctrl Pts',(0.519204520141798,0.252506444368951, -0.5367791040157)); #239185=CARTESIAN_POINT('Ctrl Pts',(0.482641131209473,0.31684400786626, -0.5306057972576)); #239186=CARTESIAN_POINT('Ctrl Pts',(0.385161349062435,0.430634736615436, -0.516211087585036)); #239187=CARTESIAN_POINT('Ctrl Pts',(0.324301138678244,0.478140332684196, -0.508422766162011)); #239188=CARTESIAN_POINT('Ctrl Pts',(0.190134650140261,0.545168081440224, -0.493173418286684)); #239189=CARTESIAN_POINT('Ctrl Pts',(0.118582724985697,0.565072777222938, -0.485847669366277)); #239190=CARTESIAN_POINT('Ctrl Pts',(-0.0300610740239807,0.576839422562799, -0.472135712705831)); #239191=CARTESIAN_POINT('Ctrl Pts',(-0.105753158842661,0.567866870933525, -0.465426402008125)); #239192=CARTESIAN_POINT('Ctrl Pts',(-0.249356900435541,0.521028403930582, -0.450372807055029)); #239193=CARTESIAN_POINT('Ctrl Pts',(-0.315801392879643,0.483651175795624, -0.442607715728728)); #239194=CARTESIAN_POINT('Ctrl Pts',(-0.428341870523867,0.387011752312368, -0.42775795723553)); #239195=CARTESIAN_POINT('Ctrl Pts',(-0.473994305576734,0.329497594385688, -0.42106339428665)); #239196=CARTESIAN_POINT('Ctrl Pts',(-0.54290676604321,0.197493981585971, -0.407617198080212)); #239197=CARTESIAN_POINT('Ctrl Pts',(-0.564315279364432,0.123644992358182, -0.400094767612262)); #239198=CARTESIAN_POINT('Ctrl Pts',(-0.576896965648967,-0.026521674475354, -0.384798440314205)); #239199=CARTESIAN_POINT('Ctrl Pts',(-0.56854254541669,-0.10137788109024, -0.37717341233152)); #239200=CARTESIAN_POINT('Ctrl Pts',(-0.524010952266061,-0.242315326230016, -0.3628171951039)); #239201=CARTESIAN_POINT('Ctrl Pts',(-0.488819830076979,-0.307178008444938, -0.356643154096964)); #239202=CARTESIAN_POINT('Ctrl Pts',(-0.393692011823029,-0.422877306822428, -0.342358718109378)); #239203=CARTESIAN_POINT('Ctrl Pts',(-0.333667049808031,-0.471675626901789, -0.334578238486987)); #239204=CARTESIAN_POINT('Ctrl Pts',(-0.200576464681164,-0.541432552868545, -0.319284801647354)); #239205=CARTESIAN_POINT('Ctrl Pts',(-0.129280893870268,-0.562739401410796, -0.311910253843197)); #239206=CARTESIAN_POINT('Ctrl Pts',(-0.0372741743567743,-0.571759549768685, -0.30333444038434)); #239207=CARTESIAN_POINT('Ctrl Pts',(-0.0186279874342612,-0.572668331506535, -0.30164327479746)); #239208=CARTESIAN_POINT('Ctrl Pts',(-1.21049004153729E-12,-0.572668331506575, -0.300000000000106)); #239209=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.606250000000002)); #239210=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.577083333333335)); #239211=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.547916666666668)); #239212=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -0.518750000000001)); #239213=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.489583333333335)); #239214=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.460416666666668)); #239215=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.431250000000002)); #239216=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -0.402083333333335)); #239217=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -0.372916666666668)); #239218=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.343750000000002)); #239219=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.314583333333335)); #239220=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.285416666666668)); #239221=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.256250000000002)); #239222=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239223=CARTESIAN_POINT('',(-1.33629376515186E-14,-0.572668331506577,-1.)); #239224=CARTESIAN_POINT('',(2.98479033232894E-15,-0.572668331506581,-0.956250000000002)); #239225=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239226=CARTESIAN_POINT('Ctrl Pts',(-3.26861364367748E-14,-0.572668331506577, -1.)); #239227=CARTESIAN_POINT('Ctrl Pts',(0.0716621198723824,-0.572668331506582, -0.993678299605132)); #239228=CARTESIAN_POINT('Ctrl Pts',(0.142772880501943,-0.559221605339693, -0.986720380108058)); #239229=CARTESIAN_POINT('Ctrl Pts',(0.279501670144131,-0.505433534418636, -0.971919310142022)); #239230=CARTESIAN_POINT('Ctrl Pts',(0.343284521979248,-0.464480329021227, -0.9642068877293)); #239231=CARTESIAN_POINT('Ctrl Pts',(0.449783356380187,-0.361863768176403, -0.949585672444501)); #239232=CARTESIAN_POINT('Ctrl Pts',(0.492065559812797,-0.301854662806573, -0.943247620734318)); #239233=CARTESIAN_POINT('Ctrl Pts',(0.55333478773831,-0.166061953843585, -0.929415458345777)); #239234=CARTESIAN_POINT('Ctrl Pts',(0.570484318536222,-0.0910713641187296, -0.921776741785749)); #239235=CARTESIAN_POINT('Ctrl Pts',(0.574410424436568,0.0591975216864291, -0.906470002224581)); #239236=CARTESIAN_POINT('Ctrl Pts',(0.561921838433107,0.133049890054698, -0.898947227549494)); #239237=CARTESIAN_POINT('Ctrl Pts',(0.509564393841264,0.271565313335041, -0.884837723123498)); #239238=CARTESIAN_POINT('Ctrl Pts',(0.470360506241133,0.334911596617251, -0.878602253998903)); #239239=CARTESIAN_POINT('Ctrl Pts',(0.368414928302502,0.444996853154971, -0.864002316525339)); #239240=CARTESIAN_POINT('Ctrl Pts',(0.306032143168087,0.489991472142494, -0.856207567602)); #239241=CARTESIAN_POINT('Ctrl Pts',(0.169960674999663,0.551754701568328, -0.841058299359766)); #239242=CARTESIAN_POINT('Ctrl Pts',(0.0979950926440789,0.568970711360363, -0.833832997432785)); #239243=CARTESIAN_POINT('Ctrl Pts',(-0.0516425621149678,0.575431691717725, -0.820303591131508)); #239244=CARTESIAN_POINT('Ctrl Pts',(-0.12792488644922,0.563418854303436, -0.813243972181739)); #239245=CARTESIAN_POINT('Ctrl Pts',(-0.270137124442809,0.510519906029248, -0.798004461846775)); #239246=CARTESIAN_POINT('Ctrl Pts',(-0.334776444914537,0.470670374647997, -0.790272697142765)); #239247=CARTESIAN_POINT('Ctrl Pts',(-0.44317927195441,0.369916846240445, -0.775578406860465)); #239248=CARTESIAN_POINT('Ctrl Pts',(-0.48651907209293,0.310704791654916, -0.769149115522399)); #239249=CARTESIAN_POINT('Ctrl Pts',(-0.550222940129483,0.176095064065915, -0.755437454378677)); #239250=CARTESIAN_POINT('Ctrl Pts',(-0.568730648619857,0.10143905400975, -0.747832818884888)); #239251=CARTESIAN_POINT('Ctrl Pts',(-0.575399591882062,-0.0488523437792, -0.732523786200487)); #239252=CARTESIAN_POINT('Ctrl Pts',(-0.564213210638141,-0.123047842657066, -0.724966059450538)); #239253=CARTESIAN_POINT('Ctrl Pts',(-0.514336582511835,-0.262344134005266, -0.710777013979951)); #239254=CARTESIAN_POINT('Ctrl Pts',(-0.476423454032613,-0.326171296347754, -0.704582252875829)); #239255=CARTESIAN_POINT('Ctrl Pts',(-0.376649901624083,-0.438072942219747, -0.690081410608025)); #239256=CARTESIAN_POINT('Ctrl Pts',(-0.314997126663075,-0.484298042220932, -0.682288389967474)); #239257=CARTESIAN_POINT('Ctrl Pts',(-0.179829331440653,-0.548635182389371, -0.667087747289271)); #239258=CARTESIAN_POINT('Ctrl Pts',(-0.108052854627236,-0.567163126298341, -0.659812309041446)); #239259=CARTESIAN_POINT('Ctrl Pts',(-0.0231342805330955,-0.572318172632854, -0.65205863310917)); #239260=CARTESIAN_POINT('Ctrl Pts',(-0.0115623417479144,-0.572668331506562, -0.65101997625138)); #239261=CARTESIAN_POINT('Ctrl Pts',(-6.92224055854004E-13,-0.572668331506576, -0.65000000000006)); #239262=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.956250000000002)); #239263=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.927083333333335)); #239264=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.897916666666668)); #239265=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -0.868750000000001)); #239266=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.839583333333335)); #239267=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.810416666666668)); #239268=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.781250000000002)); #239269=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -0.752083333333335)); #239270=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -0.722916666666668)); #239271=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.693750000000002)); #239272=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.664583333333335)); #239273=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.635416666666668)); #239274=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.606250000000002)); #239275=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239276=CARTESIAN_POINT('',(9.87526111064936E-15,-0.572668331506578,-1.35)); #239277=CARTESIAN_POINT('',(2.6669856329871E-15,-0.572668331506581,-1.30625)); #239278=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239279=CARTESIAN_POINT('Ctrl Pts',(1.44258704862447E-14,-0.572668331506578, -1.35)); #239280=CARTESIAN_POINT('Ctrl Pts',(0.00280339182274517,-0.572668331506578, -1.34975269775407)); #239281=CARTESIAN_POINT('Ctrl Pts',(0.00560745958386006,-0.572647746270866, -1.3495042874499)); #239282=CARTESIAN_POINT('Ctrl Pts',(0.0815560729206079,-0.571532010159417, -1.34274762670686)); #239283=CARTESIAN_POINT('Ctrl Pts',(0.153778130834619,-0.556453809333381, -1.33560858080004)); #239284=CARTESIAN_POINT('Ctrl Pts',(0.291217194659073,-0.498905557318814, -1.32055334332166)); #239285=CARTESIAN_POINT('Ctrl Pts',(0.354737085397372,-0.455937404218585, -1.31276149448051)); #239286=CARTESIAN_POINT('Ctrl Pts',(0.460194351223221,-0.348851634456031, -1.29800453952497)); #239287=CARTESIAN_POINT('Ctrl Pts',(0.501517216032101,-0.286276062934391, -1.29166074817788)); #239288=CARTESIAN_POINT('Ctrl Pts',(0.557864879161811,-0.149046509135708, -1.27768222541958)); #239289=CARTESIAN_POINT('Ctrl Pts',(0.572429560276797,-0.0757938026777111, -1.27022053370679)); #239290=CARTESIAN_POINT('Ctrl Pts',(0.572910470223349,0.0750238219904076, -1.25485789832382)); #239291=CARTESIAN_POINT('Ctrl Pts',(0.557683611291634,0.151171088618301, -1.2471013599538)); #239292=CARTESIAN_POINT('Ctrl Pts',(0.499599334791935,0.289243507954152, -1.23303698094393)); #239293=CARTESIAN_POINT('Ctrl Pts',(0.458794579019289,0.350388535562372, -1.22679370288509)); #239294=CARTESIAN_POINT('Ctrl Pts',(0.354965317681193,0.45558594776114, -1.21227653920247)); #239295=CARTESIAN_POINT('Ctrl Pts',(0.292408570945754,0.498049302394382, -1.2045953644408)); #239296=CARTESIAN_POINT('Ctrl Pts',(0.153433126944764,0.55694958549736, -1.1893357340955)); #239297=CARTESIAN_POINT('Ctrl Pts',(0.0781434864010369,0.572399666665221, -1.1819071399531)); #239298=CARTESIAN_POINT('Ctrl Pts',(-0.0708878638609637,0.572918673714235, -1.16873385066451)); #239299=CARTESIAN_POINT('Ctrl Pts',(-0.143253227043797,0.559255552294327, -1.16167973511834)); #239300=CARTESIAN_POINT('Ctrl Pts',(-0.281623102713254,0.504362601035356, -1.14667762329631)); #239301=CARTESIAN_POINT('Ctrl Pts',(-0.34583526048413,0.462702319875425, -1.13889131730773)); #239302=CARTESIAN_POINT('Ctrl Pts',(-0.453518976367477,0.357559897820743, -1.1240369322048)); #239303=CARTESIAN_POINT('Ctrl Pts',(-0.496206946064418,0.295470700724938, -1.11759733545119)); #239304=CARTESIAN_POINT('Ctrl Pts',(-0.55507222879491,0.159071674007432, -1.10370341212052)); #239305=CARTESIAN_POINT('Ctrl Pts',(-0.570933834273692,0.0862271200815624, -1.09628329577761)); #239306=CARTESIAN_POINT('Ctrl Pts',(-0.574196205443946,-0.0642831442573165, -1.08095196883542)); #239307=CARTESIAN_POINT('Ctrl Pts',(-0.560432316855102,-0.140559999194543, -1.07318223029764)); #239308=CARTESIAN_POINT('Ctrl Pts',(-0.505019916023707,-0.279703844606124, -1.05900871332609)); #239309=CARTESIAN_POINT('Ctrl Pts',(-0.465312593125039,-0.341709771047547, -1.05281071151733)); #239310=CARTESIAN_POINT('Ctrl Pts',(-0.363471871025168,-0.448806146991955, -1.03837225111823)); #239311=CARTESIAN_POINT('Ctrl Pts',(-0.301846346637176,-0.4923654355219, -1.0307174072874)); #239312=CARTESIAN_POINT('Ctrl Pts',(-0.164146265667741,-0.55388919701825, -1.0154366667461)); #239313=CARTESIAN_POINT('Ctrl Pts',(-0.0891527471254826,-0.570790294440708, -1.00796029316374)); #239314=CARTESIAN_POINT('Ctrl Pts',(-0.00867211944234646,-0.572619102659505, -1.00076752150496)); #239315=CARTESIAN_POINT('Ctrl Pts',(-0.00433526716481568,-0.572668331506577, -1.0003824371955)); #239316=CARTESIAN_POINT('Ctrl Pts',(-3.26861364367748E-14,-0.572668331506577, -1.)); #239317=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -1.30625)); #239318=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.27708333333334)); #239319=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.24791666666667)); #239320=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.21875)); #239321=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.18958333333333)); #239322=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.16041666666667)); #239323=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.13125)); #239324=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -1.10208333333334)); #239325=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -1.07291666666667)); #239326=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.04375)); #239327=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.01458333333333)); #239328=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.985416666666668)); #239329=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.956250000000002)); #239330=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239331=CARTESIAN_POINT('',(2.55989492624057E-13,-0.572668331506579,-1.69999999999996)); #239332=CARTESIAN_POINT('',(2.34918093364525E-15,-0.572668331506581,-1.65625)); #239333=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239334=CARTESIAN_POINT('Ctrl Pts',(5.07289942911744E-13,-0.572668331506579, -1.69999999999996)); #239335=CARTESIAN_POINT('Ctrl Pts',(0.0100165348225995,-0.572668331506579, -1.69911638767796)); #239336=CARTESIAN_POINT('Ctrl Pts',(0.0200406274567514,-0.572405538369345, -1.6982187242795)); #239337=CARTESIAN_POINT('Ctrl Pts',(0.103389304103386,-0.568024113742297, -1.69064293888758)); #239338=CARTESIAN_POINT('Ctrl Pts',(0.175256528655819,-0.550104858477307, -1.68339049651765)); #239339=CARTESIAN_POINT('Ctrl Pts',(0.310849705402164,-0.486960708971698, -1.66821308905824)); #239340=CARTESIAN_POINT('Ctrl Pts',(0.372844232421582,-0.441305262390358, -1.66041893374723)); #239341=CARTESIAN_POINT('Ctrl Pts',(0.473627234677837,-0.330244165584717, -1.64587185263318)); #239342=CARTESIAN_POINT('Ctrl Pts',(0.512138419035548,-0.266640998069804, -1.63966067462614)); #239343=CARTESIAN_POINT('Ctrl Pts',(0.563169280555667,-0.127706254131149, -1.62550845721884)); #239344=CARTESIAN_POINT('Ctrl Pts',(0.574962548221055,-0.0536675434583151, -1.61796670128153)); #239345=CARTESIAN_POINT('Ctrl Pts',(0.569569988948161,0.0966197204471481, -1.60265808968416)); #239346=CARTESIAN_POINT('Ctrl Pts',(0.551693322906618,0.171434572524848, -1.59503727417119)); #239347=CARTESIAN_POINT('Ctrl Pts',(0.489120786399865,0.306596805225738, -1.58126933356676)); #239348=CARTESIAN_POINT('Ctrl Pts',(0.446274180811461,0.366178903352277, -1.57488498946346)); #239349=CARTESIAN_POINT('Ctrl Pts',(0.338758440366609,0.46780276471149, -1.56022462677979)); #239350=CARTESIAN_POINT('Ctrl Pts',(0.274516223162638,0.508169225699173, -1.55250156485901)); #239351=CARTESIAN_POINT('Ctrl Pts',(0.13261702405926,0.56236391211799,-1.53722367590909)); #239352=CARTESIAN_POINT('Ctrl Pts',(0.0562067324044315,0.575032247788953, -1.53007869469216)); #239353=CARTESIAN_POINT('Ctrl Pts',(-0.0936338208294831,0.569697565944691, -1.51658875332505)); #239354=CARTESIAN_POINT('Ctrl Pts',(-0.165673773761003,0.553049502368732, -1.50938581693404)); #239355=CARTESIAN_POINT('Ctrl Pts',(-0.302121230067257,0.492403548457701, -1.49426028490553)); #239356=CARTESIAN_POINT('Ctrl Pts',(-0.364812435496151,0.447944713558847, -1.48646561668103)); #239357=CARTESIAN_POINT('Ctrl Pts',(-0.467694153148587,0.338651368859138, -1.47182343029096)); #239358=CARTESIAN_POINT('Ctrl Pts',(-0.50745895172428,0.275511208058245, -1.46556421492604)); #239359=CARTESIAN_POINT('Ctrl Pts',(-0.560882529073526,0.137335726247152, -1.4514893377318)); #239360=CARTESIAN_POINT('Ctrl Pts',(-0.573928141809286,0.0636378490423541, -1.44398229988734)); #239361=CARTESIAN_POINT('Ctrl Pts',(-0.571179991735212,-0.0866057100747845, -1.42867814016174)); #239362=CARTESIAN_POINT('Ctrl Pts',(-0.554616189986922,-0.16173222110325, -1.42102557834037)); #239363=CARTESIAN_POINT('Ctrl Pts',(-0.494396748859249,-0.298028073225459, -1.40714216461488)); #239364=CARTESIAN_POINT('Ctrl Pts',(-0.452566325892332,-0.358381727087027, -1.40083717265744)); #239365=CARTESIAN_POINT('Ctrl Pts',(-0.346882715183418,-0.461790271915755, -1.38624753596311)); #239366=CARTESIAN_POINT('Ctrl Pts',(-0.283471242565049,-0.503209305533768, -1.37854414973018)); #239367=CARTESIAN_POINT('Ctrl Pts',(-0.14580687960751,-0.558637659887535, -1.36357700626817)); #239368=CARTESIAN_POINT('Ctrl Pts',(-0.0731956818215239,-0.572668331506578, -1.3564569841291)); #239369=CARTESIAN_POINT('Ctrl Pts',(1.44258704862447E-14,-0.572668331506578, -1.35)); #239370=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -1.65625)); #239371=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.62708333333333)); #239372=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.59791666666667)); #239373=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.56875)); #239374=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.53958333333334)); #239375=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.51041666666667)); #239376=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.48125)); #239377=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -1.45208333333334)); #239378=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -1.42291666666667)); #239379=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.39375)); #239380=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.36458333333333)); #239381=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.33541666666667)); #239382=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -1.30625)); #239383=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239384=CARTESIAN_POINT('',(6.03575739048695E-13,-0.57266833150658,-2.0499999999999)); #239385=CARTESIAN_POINT('',(2.0313762343034E-15,-0.572668331506581,-2.00625)); #239386=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239387=CARTESIAN_POINT('Ctrl Pts',(1.2030980451597E-12,-0.57266833150658, -2.0499999999999)); #239388=CARTESIAN_POINT('Ctrl Pts',(0.0169987374654277,-0.57266833150658, -2.04850045009082)); #239389=CARTESIAN_POINT('Ctrl Pts',(0.0340140428076964,-0.571911542646399, -2.0469608945001)); #239390=CARTESIAN_POINT('Ctrl Pts',(0.124400164879107,-0.563830303432445, -2.03857586238246)); #239391=CARTESIAN_POINT('Ctrl Pts',(0.195816243587948,-0.543163571567918, -2.03122328581921)); #239392=CARTESIAN_POINT('Ctrl Pts',(0.329405055993009,-0.474651191058175, -2.01594928382909)); #239393=CARTESIAN_POINT('Ctrl Pts',(0.389814855200381,-0.426441600199958, -2.00816484031805)); #239394=CARTESIAN_POINT('Ctrl Pts',(0.486018726960302,-0.311611514367939, -1.99382974988234)); #239395=CARTESIAN_POINT('Ctrl Pts',(0.521835772323258,-0.246989935679791, -1.9876589715291)); #239396=CARTESIAN_POINT('Ctrl Pts',(0.567610307107057,-0.106429077283648, -1.97334111430646)); #239397=CARTESIAN_POINT('Ctrl Pts',(0.576626142418774,-0.0317168403188545, -1.96573075140848)); #239398=CARTESIAN_POINT('Ctrl Pts',(0.565419061365601,0.118499934880324, -1.95042931995531)); #239399=CARTESIAN_POINT('Ctrl Pts',(0.544681635373152,0.192546973737534, -1.94288671568969)); #239400=CARTESIAN_POINT('Ctrl Pts',(0.476975826966067,0.325163441456082, -1.92937809265031)); #239401=CARTESIAN_POINT('Ctrl Pts',(0.431865564148048,0.383070278266613, -1.92275363057459)); #239402=CARTESIAN_POINT('Ctrl Pts',(0.320291592941575,0.480678839369524, -1.90793977349372)); #239403=CARTESIAN_POINT('Ctrl Pts',(0.25426155883318,0.518642447603012, -1.90018137873974)); #239404=CARTESIAN_POINT('Ctrl Pts',(0.110972840201795,0.566898899625104, -1.88508335402124)); #239405=CARTESIAN_POINT('Ctrl Pts',(0.0351441885535403,0.576579188883192, -1.87829944211631)); #239406=CARTESIAN_POINT('Ctrl Pts',(-0.113738823137051,0.566059648404626, -1.86462989972727)); #239407=CARTESIAN_POINT('Ctrl Pts',(-0.185397443521085,0.546788691031521, -1.8573270156848)); #239408=CARTESIAN_POINT('Ctrl Pts',(-0.320031607679577,0.480998132811673, -1.84209942319005)); #239409=CARTESIAN_POINT('Ctrl Pts',(-0.381260026318381,0.434080593266382, -1.83430857054498)); #239410=CARTESIAN_POINT('Ctrl Pts',(-0.479797161852121,0.321157853490256, -1.81986479374426)); #239411=CARTESIAN_POINT('Ctrl Pts',(-0.516981971169467,0.257054955177393, -1.81368421791529)); #239412=CARTESIAN_POINT('Ctrl Pts',(-0.565441741376559,0.117319029911141, -1.79945039030733)); #239413=CARTESIAN_POINT('Ctrl Pts',(-0.57588085933463,0.0429379081341648, -1.79187375557548)); #239414=CARTESIAN_POINT('Ctrl Pts',(-0.567644828140447,-0.107343477748664, -1.77656574272722)); #239415=CARTESIAN_POINT('Ctrl Pts',(-0.548364705463925,-0.181796995008744, -1.76898173362243)); #239416=CARTESIAN_POINT('Ctrl Pts',(-0.483275469376898,-0.315723554601118, -1.75533966156593)); #239417=CARTESIAN_POINT('Ctrl Pts',(-0.439327443715875,-0.374483174362772, -1.74884923426555)); #239418=CARTESIAN_POINT('Ctrl Pts',(-0.329832747510872,-0.474159829260645, -1.73411347076624)); #239419=CARTESIAN_POINT('Ctrl Pts',(-0.264709408900969,-0.513366663342733, -1.726371741547)); #239420=CARTESIAN_POINT('Ctrl Pts',(-0.13157371760308,-0.561267266724787, -1.7121874936745)); #239421=CARTESIAN_POINT('Ctrl Pts',(-0.0660012260042987,-0.572668331506579, -1.70582232254968)); #239422=CARTESIAN_POINT('Ctrl Pts',(5.07289942911744E-13,-0.572668331506579, -1.69999999999996)); #239423=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.00625)); #239424=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.97708333333334)); #239425=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.94791666666667)); #239426=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.91875)); #239427=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.88958333333334)); #239428=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.86041666666667)); #239429=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.83125)); #239430=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -1.80208333333334)); #239431=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -1.77291666666667)); #239432=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.74375)); #239433=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.71458333333333)); #239434=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.68541666666667)); #239435=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -1.65625)); #239436=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239437=CARTESIAN_POINT('',(4.22963907855401E-13,-0.572668331506581,-2.39999999999993)); #239438=CARTESIAN_POINT('',(1.71357153496156E-15,-0.572668331506581,-2.35625)); #239439=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239440=CARTESIAN_POINT('Ctrl Pts',(8.42509992171799E-13,-0.572668331506581, -2.39999999999993)); #239441=CARTESIAN_POINT('Ctrl Pts',(0.0240248176583824,-0.572668331506581, -2.39788064182937)); #239442=CARTESIAN_POINT('Ctrl Pts',(0.048069662516914,-0.571156893454953, -2.3956825376474)); #239443=CARTESIAN_POINT('Ctrl Pts',(0.14537661278448,-0.558824858904454, -2.38647048414316)); #239444=CARTESIAN_POINT('Ctrl Pts',(0.21623196042622,-0.53540195582261, -2.37902699766058)); #239445=CARTESIAN_POINT('Ctrl Pts',(0.347590656893474,-0.461547601375819, -2.36367765320336)); #239446=CARTESIAN_POINT('Ctrl Pts',(0.40630099232702,-0.410820291771962, -2.3559148981624)); #239447=CARTESIAN_POINT('Ctrl Pts',(0.497841945830004,-0.292270653701093, -2.34180170764661)); #239448=CARTESIAN_POINT('Ctrl Pts',(0.53096807045435,-0.22659285874393, -2.33558127764861)); #239449=CARTESIAN_POINT('Ctrl Pts',(0.571334812754116,-0.08441835729414, -2.32109905097693)); #239450=CARTESIAN_POINT('Ctrl Pts',(0.577462814401971,-0.00912299164128813, -2.31342928922927)); #239451=CARTESIAN_POINT('Ctrl Pts',(0.560282015967502,0.140782954004196, -2.29815951960018)); #239452=CARTESIAN_POINT('Ctrl Pts',(0.536642762371268,0.213923439771894, -2.29070925894891)); #239453=CARTESIAN_POINT('Ctrl Pts',(0.463708096397017,0.343844648041711, -2.27747518132996)); #239454=CARTESIAN_POINT('Ctrl Pts',(0.416218383057377,0.40005117478201, -2.27050845182728)); #239455=CARTESIAN_POINT('Ctrl Pts',(0.300420911756433,0.493386901337864, -2.255539637576)); #239456=CARTESIAN_POINT('Ctrl Pts',(0.23261362796505,0.528749582260661, -2.24775693034857)); #239457=CARTESIAN_POINT('Ctrl Pts',(0.0879926586714897,0.570799611399396, -2.23285748100836)); #239458=CARTESIAN_POINT('Ctrl Pts',(0.0127522990489352,0.577396879464365, -2.22636577924226)); #239459=CARTESIAN_POINT('Ctrl Pts',(-0.135053044557221,0.56139178112401, -2.21251191787581)); #239460=CARTESIAN_POINT('Ctrl Pts',(-0.206198341140493,0.539326981930873, -2.2051120232567)); #239461=CARTESIAN_POINT('Ctrl Pts',(-0.338683604483472,0.46809944097263, -2.18979708496365)); #239462=CARTESIAN_POINT('Ctrl Pts',(-0.398245195658246,0.418607134309095, -2.18202213622432)); #239463=CARTESIAN_POINT('Ctrl Pts',(-0.492093616967688,0.301882944040919, -2.16779836377066)); #239464=CARTESIAN_POINT('Ctrl Pts',(-0.526544520741047,0.236731604293585, -2.16161403394259)); #239465=CARTESIAN_POINT('Ctrl Pts',(-0.569594460857397,0.0953497223094308, -2.14721254534032)); #239466=CARTESIAN_POINT('Ctrl Pts',(-0.5771585179331,0.0203296320427798, -2.13957082378622)); #239467=CARTESIAN_POINT('Ctrl Pts',(-0.562939252284263,-0.129760639336569, -2.1242822783075)); #239468=CARTESIAN_POINT('Ctrl Pts',(-0.540733878601555,-0.203365452380882, -2.11678472019571)); #239469=CARTESIAN_POINT('Ctrl Pts',(-0.47038679991201,-0.33463329754496, -2.10341347099854)); #239470=CARTESIAN_POINT('Ctrl Pts',(-0.424084457229853,-0.391680912465064, -2.09662866769954)); #239471=CARTESIAN_POINT('Ctrl Pts',(-0.310388552012761,-0.487155086740152, -2.08173633023839)); #239472=CARTESIAN_POINT('Ctrl Pts',(-0.243454539648553,-0.52382438602756, -2.07396411137404)); #239473=CARTESIAN_POINT('Ctrl Pts',(-0.115763298413332,-0.563861050633724, -2.06066066725876)); #239474=CARTESIAN_POINT('Ctrl Pts',(-0.0580175035173241,-0.57266833150658, -2.05511803551922)); #239475=CARTESIAN_POINT('Ctrl Pts',(1.2030980451597E-12,-0.57266833150658, -2.0499999999999)); #239476=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.35625)); #239477=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.32708333333333)); #239478=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.29791666666667)); #239479=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.26875)); #239480=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.23958333333333)); #239481=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.21041666666667)); #239482=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.18125)); #239483=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -2.15208333333333)); #239484=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -2.12291666666667)); #239485=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.09375)); #239486=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.06458333333333)); #239487=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.03541666666667)); #239488=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.00625)); #239489=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239490=CARTESIAN_POINT('',(6.84000511001941E-14,-0.572668331506583,-2.74999999999999)); #239491=CARTESIAN_POINT('',(1.39576683561971E-15,-0.572668331506581,-2.70625)); #239492=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239493=CARTESIAN_POINT('Ctrl Pts',(1.34017888060069E-13,-0.572668331506583, -2.74999999999999)); #239494=CARTESIAN_POINT('Ctrl Pts',(0.0311492792568425,-0.572668331506583, -2.74725215481585)); #239495=CARTESIAN_POINT('Ctrl Pts',(0.0623069446495844,-0.570128110301492, -2.74437419341414)); #239496=CARTESIAN_POINT('Ctrl Pts',(0.166427079763966,-0.552957303378433, -2.73431466796431)); #239497=CARTESIAN_POINT('Ctrl Pts',(0.236605320685373,-0.526755139821379, -2.7267893617208)); #239498=CARTESIAN_POINT('Ctrl Pts',(0.364685449014635,-0.448052166812639, -2.7114822915601)); #239499=CARTESIAN_POINT('Ctrl Pts',(0.420986992010036,-0.395633457735631, -2.70384588408475)); #239500=CARTESIAN_POINT('Ctrl Pts',(0.507795127315116,-0.274569232678888, -2.69002213002503)); #239501=CARTESIAN_POINT('Ctrl Pts',(0.538537763091369,-0.207911233159239, -2.68367832364804)); #239502=CARTESIAN_POINT('Ctrl Pts',(0.573977640856796,-0.0643216787551214, -2.66905195637852)); #239503=CARTESIAN_POINT('Ctrl Pts',(0.577456107325153,0.0114065928412225, -2.66133809782069)); #239504=CARTESIAN_POINT('Ctrl Pts',(0.554832046826443,0.160990869813926, -2.64610109411365)); #239505=CARTESIAN_POINT('Ctrl Pts',(0.52852326510118,0.233232656208918, -2.63891173040873)); #239506=CARTESIAN_POINT('Ctrl Pts',(0.450797055312651,0.36068303785626, -2.62556388735517)); #239507=CARTESIAN_POINT('Ctrl Pts',(0.401058446361835,0.415272149740777, -2.61839589038384)); #239508=CARTESIAN_POINT('Ctrl Pts',(0.281516750198435,0.504453537387554, -2.60330836328273)); #239509=CARTESIAN_POINT('Ctrl Pts',(0.21214952429308,0.53732781056521,-2.59551478269654)); #239510=CARTESIAN_POINT('Ctrl Pts',(0.0663987214791852,0.573622391438097, -2.58080879637388)); #239511=CARTESIAN_POINT('Ctrl Pts',(-0.00832354663218887,0.577390649010942, -2.57450624840042)); #239512=CARTESIAN_POINT('Ctrl Pts',(-0.155057153953321,0.556233108713676, -2.56048451076262)); #239513=CARTESIAN_POINT('Ctrl Pts',(-0.225615591194489,0.531533770444265, -2.55300220600654)); #239514=CARTESIAN_POINT('Ctrl Pts',(-0.355866009783173,0.455220274163337, -2.5376253817276)); #239515=CARTESIAN_POINT('Ctrl Pts',(-0.413751530704033,0.403342219356847, -2.5298767792072)); #239516=CARTESIAN_POINT('Ctrl Pts',(-0.503105029787349,0.283088639750845, -2.51586977206004)); #239517=CARTESIAN_POINT('Ctrl Pts',(-0.534986421404632,0.21690433920364, -2.50959438242714)); #239518=CARTESIAN_POINT('Ctrl Pts',(-0.572795886157176,0.073988890696291, -2.49503668115819)); #239519=CARTESIAN_POINT('Ctrl Pts',(-0.577550392061081,-0.0015429262931392, -2.48734283392531)); #239520=CARTESIAN_POINT('Ctrl Pts',(-0.557533818550675,-0.151367085904289, -2.47208139521263)); #239521=CARTESIAN_POINT('Ctrl Pts',(-0.532480571175126,-0.224035795257596, -2.46472923249171)); #239522=CARTESIAN_POINT('Ctrl Pts',(-0.457071340922796,-0.352671786322611, -2.45152539817268)); #239523=CARTESIAN_POINT('Ctrl Pts',(-0.40839623094595,-0.408034570803037, -2.44441181410796)); #239524=CARTESIAN_POINT('Ctrl Pts',(-0.290694770189652,-0.499200790082716, -2.42938553426134)); #239525=CARTESIAN_POINT('Ctrl Pts',(-0.222069512274828,-0.533284858110746, -2.42159579039165)); #239526=CARTESIAN_POINT('Ctrl Pts',(-0.100152265702679,-0.566087847932175, -2.40917012085147)); #239527=CARTESIAN_POINT('Ctrl Pts',(-0.0501494205607256,-0.572668331506582, -2.40442394967275)); #239528=CARTESIAN_POINT('Ctrl Pts',(8.42509992171799E-13,-0.572668331506581, -2.39999999999993)); #239529=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.70625)); #239530=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.67708333333334)); #239531=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.64791666666667)); #239532=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.61875)); #239533=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.58958333333334)); #239534=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.56041666666667)); #239535=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.53125)); #239536=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -2.50208333333334)); #239537=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -2.47291666666667)); #239538=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.44375)); #239539=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.41458333333334)); #239540=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.38541666666667)); #239541=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.35625)); #239542=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239543=CARTESIAN_POINT('',(-7.73763717409871E-16,-0.572668331506584,-3.1)); #239544=CARTESIAN_POINT('',(1.07796213627786E-15,-0.572668331506581,-3.05625)); #239545=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239546=CARTESIAN_POINT('Ctrl Pts',(-3.69413217645526E-15,-0.572668331506583, -3.1)); #239547=CARTESIAN_POINT('Ctrl Pts',(0.0380819880800996,-0.572668331506584, -3.09664058334429)); #239548=CARTESIAN_POINT('Ctrl Pts',(0.0761384796325024,-0.568872390771128, -3.09309008418109)); #239549=CARTESIAN_POINT('Ctrl Pts',(0.186653829999943,-0.546497236173001, -3.08220133895094)); #239550=CARTESIAN_POINT('Ctrl Pts',(0.25606955319861,-0.517611790814745, -3.07460663581557)); #239551=CARTESIAN_POINT('Ctrl Pts',(0.38129552383509,-0.433999765271661, -3.0593013224543)); #239552=CARTESIAN_POINT('Ctrl Pts',(0.435568873418028,-0.379516730281684, -3.05173869428189)); #239553=CARTESIAN_POINT('Ctrl Pts',(0.517725827851392,-0.255335486928305, -3.0381664924814)); #239554=CARTESIAN_POINT('Ctrl Pts',(0.545945869031859,-0.187592472648955, -3.03160860726151)); #239555=CARTESIAN_POINT('Ctrl Pts',(0.576038309716824,-0.0425252739563083, -3.0168317236947)); #239556=CARTESIAN_POINT('Ctrl Pts',(0.576629440066372,0.0335661889074208, -3.00908086962639)); #239557=CARTESIAN_POINT('Ctrl Pts',(0.548195089391512,0.182225959945948, -2.99393803825237)); #239558=CARTESIAN_POINT('Ctrl Pts',(0.519221898322437,0.253219996347671, -2.9870732283332)); #239559=CARTESIAN_POINT('Ctrl Pts',(0.436650272263179,0.377739828107213, -2.97344720141915)); #239560=CARTESIAN_POINT('Ctrl Pts',(0.384638638648124,0.430573708133276, -2.96616808474446)); #239561=CARTESIAN_POINT('Ctrl Pts',(0.261205024613299,0.515306957783583, -2.95096377953065)); #239562=CARTESIAN_POINT('Ctrl Pts',(0.190294763175764,0.545492949832822, -2.94317099679081)); #239563=CARTESIAN_POINT('Ctrl Pts',(0.0434626379296725,0.575738979320512, -2.92867768099331)); #239564=CARTESIAN_POINT('Ctrl Pts',(-0.0307530657604154,0.576557209807174, -2.9224851720477)); #239565=CARTESIAN_POINT('Ctrl Pts',(-0.176282405367083,0.54991204730043, -2.90829017832602)); #239566=CARTESIAN_POINT('Ctrl Pts',(-0.246103081114708,0.522404052246077, -2.90072990730388)); #239567=CARTESIAN_POINT('Ctrl Pts',(-0.372820858318295,0.441303624863026, -2.88542091230121)); #239568=CARTESIAN_POINT('Ctrl Pts',(-0.428147089751725,0.387872050659416, -2.8778189558925)); #239569=CARTESIAN_POINT('Ctrl Pts',(-0.51270094095237,0.265284203982417, -2.86411646451054)); #239570=CARTESIAN_POINT('Ctrl Pts',(-0.542218156748826,0.198105284295357, -2.85767946680149)); #239571=CARTESIAN_POINT('Ctrl Pts',(-0.57507691862226,0.0537949732495114, -2.84297968157759)); #239572=CARTESIAN_POINT('Ctrl Pts',(-0.577162704397682,-0.022122469622999, -2.8352465535919)); #239573=CARTESIAN_POINT('Ctrl Pts',(-0.551660722404263,-0.171669622659213, -2.8200133314157)); #239574=CARTESIAN_POINT('Ctrl Pts',(-0.523841205859747,-0.243667277530791, -2.81296725337651)); #239575=CARTESIAN_POINT('Ctrl Pts',(-0.443284799880635,-0.369906225512589, -2.79943144325064)); #239576=CARTESIAN_POINT('Ctrl Pts',(-0.392318586868304,-0.423565068258493, -2.79220253242647)); #239577=CARTESIAN_POINT('Ctrl Pts',(-0.270667798099064,-0.510379955721458, -2.77704947955855)); #239578=CARTESIAN_POINT('Ctrl Pts',(-0.200459781787057,-0.541820203944673, -2.76925476490087)); #239579=CARTESIAN_POINT('Ctrl Pts',(-0.0846419882572886,-0.567974594157469, -2.75770578180528)); #239580=CARTESIAN_POINT('Ctrl Pts',(-0.0423499547321565,-0.572668331506583, -2.75373591691161)); #239581=CARTESIAN_POINT('Ctrl Pts',(1.34017888060069E-13,-0.572668331506583, -2.74999999999999)); #239582=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.05625)); #239583=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.02708333333334)); #239584=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.99791666666667)); #239585=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.96875)); #239586=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.93958333333333)); #239587=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.91041666666667)); #239588=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.88125)); #239589=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -2.85208333333334)); #239590=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -2.82291666666667)); #239591=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.79375)); #239592=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.76458333333334)); #239593=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.73541666666667)); #239594=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.70625)); #239595=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239596=CARTESIAN_POINT('',(-1.94975596907625E-13,-0.572668331506585,-3.45000000000004)); #239597=CARTESIAN_POINT('',(7.60157436936016E-16,-0.572668331506581,-3.40625)); #239598=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239599=CARTESIAN_POINT('Ctrl Pts',(-3.91462189158201E-13,-0.572668331506585, -3.45000000000004)); #239600=CARTESIAN_POINT('Ctrl Pts',(0.0454177249709123,-0.572668331506616, -3.44599345860277)); #239601=CARTESIAN_POINT('Ctrl Pts',(0.0907432019631638,-0.567270554195121, -3.44172013979514)); #239602=CARTESIAN_POINT('Ctrl Pts',(0.207741429509613,-0.538872752856878, -3.4299527647288)); #239603=CARTESIAN_POINT('Ctrl Pts',(0.276240543803183,-0.507176249091644, -3.42229575010047)); #239604=CARTESIAN_POINT('Ctrl Pts',(0.398244824207732,-0.418495034986299, -3.40701645964259)); #239605=CARTESIAN_POINT('Ctrl Pts',(0.450291786664826,-0.361921164372734, -3.3995437443343)); #239606=CARTESIAN_POINT('Ctrl Pts',(0.527489857999627,-0.234527027528018, -3.38624535582313)); #239607=CARTESIAN_POINT('Ctrl Pts',(0.553039271461348,-0.165582142101644, -3.37936658360147)); #239608=CARTESIAN_POINT('Ctrl Pts',(0.577332384748957,-0.0189804417054224, -3.36443339210833)); #239609=CARTESIAN_POINT('Ctrl Pts',(0.574788637362714,0.057379479899392, -3.35665519220585)); #239610=CARTESIAN_POINT('Ctrl Pts',(0.540297778212806,0.204113762936446, -3.34170849553535)); #239611=CARTESIAN_POINT('Ctrl Pts',(0.508943312740759,0.273046994882322, -3.33515668692482)); #239612=CARTESIAN_POINT('Ctrl Pts',(0.422163821704733,0.393914143851941, -3.32134549704508)); #239613=CARTESIAN_POINT('Ctrl Pts',(0.367985338734595,0.444936429325689, -3.31396749757898)); #239614=CARTESIAN_POINT('Ctrl Pts',(0.240897525557663,0.525149121684298, -3.29867107309729)); #239615=CARTESIAN_POINT('Ctrl Pts',(0.168574368495201,0.552632775114421, -3.29089128450825)); #239616=CARTESIAN_POINT('Ctrl Pts',(0.0207781844532512,0.576948427103155, -3.27661496495695)); #239617=CARTESIAN_POINT('Ctrl Pts',(-0.0529737462800637,0.574887908006743, -3.27043994701219)); #239618=CARTESIAN_POINT('Ctrl Pts',(-0.19724747065599,0.542782694453658, -3.25607763107828)); #239619=CARTESIAN_POINT('Ctrl Pts',(-0.266218583228537,0.512486826967665, -3.24845031498813)); #239620=CARTESIAN_POINT('Ctrl Pts',(-0.389858107881509,0.426321815544118, -3.23315492399444)); #239621=CARTESIAN_POINT('Ctrl Pts',(-0.443027517956049,0.370780416908253, -3.2256357765578)); #239622=CARTESIAN_POINT('Ctrl Pts',(-0.522708321397843,0.244981040831372, -3.21219959594371)); #239623=CARTESIAN_POINT('Ctrl Pts',(-0.549593114772803,0.176643852162076, -3.20549335521549)); #239624=CARTESIAN_POINT('Ctrl Pts',(-0.576802958734087,0.0308050449022937, -3.19063787379846)); #239625=CARTESIAN_POINT('Ctrl Pts',(-0.575835663557863,-0.0454361026926414, -3.18287177249394)); #239626=CARTESIAN_POINT('Ctrl Pts',(-0.544375479260489,-0.193149452507168, -3.16782534579553)); #239627=CARTESIAN_POINT('Ctrl Pts',(-0.514212778142695,-0.263111564908611, -3.16112755781049)); #239628=CARTESIAN_POINT('Ctrl Pts',(-0.429546742184031,-0.385823998892657, -3.14740882463165)); #239629=CARTESIAN_POINT('Ctrl Pts',(-0.376453512360851,-0.437771259570373, -3.14007945110611)); #239630=CARTESIAN_POINT('Ctrl Pts',(-0.251188763509501,-0.520283783986291, -3.12482671578627)); #239631=CARTESIAN_POINT('Ctrl Pts',(-0.179565851629964,-0.549138702695364, -3.11703885944339)); #239632=CARTESIAN_POINT('Ctrl Pts',(-0.0698632393209094,-0.569473446572812, -3.10632573070151)); #239633=CARTESIAN_POINT('Ctrl Pts',(-0.0349353284235376,-0.572668331506583, -3.10308183291094)); #239634=CARTESIAN_POINT('Ctrl Pts',(-3.69413217645526E-15,-0.572668331506583, -3.1)); #239635=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.40625)); #239636=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.37708333333334)); #239637=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.34791666666667)); #239638=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.31875)); #239639=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -3.28958333333334)); #239640=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -3.26041666666667)); #239641=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.23125)); #239642=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -3.20208333333334)); #239643=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -3.17291666666667)); #239644=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.14375)); #239645=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.11458333333333)); #239646=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.08541666666667)); #239647=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.05625)); #239648=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #239649=CARTESIAN_POINT('',(-1.82239078240267E-10,-0.57266833150658,-3.80000000001608)); #239650=CARTESIAN_POINT('',(4.4235273759417E-16,-0.572668331506581,-3.75625)); #239651=CARTESIAN_POINT('',(5.9730683234403E-16,-0.572668331506586,-3.953125)); #239652=CARTESIAN_POINT('Ctrl Pts',(-1.82238825903515E-10,-0.572668331506586, -3.80000000001608)); #239653=CARTESIAN_POINT('Ctrl Pts',(0.0625662700997757,-0.572668331526496, -3.79448069335618)); #239654=CARTESIAN_POINT('Ctrl Pts',(0.12479437146337,-0.562415950842128, -3.78846905267435)); #239655=CARTESIAN_POINT('Ctrl Pts',(0.245492855592981,-0.521414022511725, -3.77573331520371)); #239656=CARTESIAN_POINT('Ctrl Pts',(0.302810808487574,-0.490351091909242, -3.7690949194822)); #239657=CARTESIAN_POINT('Ctrl Pts',(0.413646052164657,-0.403302863820959, -3.75488407961217)); #239658=CARTESIAN_POINT('Ctrl Pts',(0.463514972339935,-0.344797253025532, -3.7476218532379)); #239659=CARTESIAN_POINT('Ctrl Pts',(0.536034288127056,-0.214403639118029, -3.73433965527771)); #239660=CARTESIAN_POINT('Ctrl Pts',(0.558993923295381,-0.144276939041458, -3.72719638587363)); #239661=CARTESIAN_POINT('Ctrl Pts',(0.577670350356588,0.00356957491757169, -3.71213639476455)); #239662=CARTESIAN_POINT('Ctrl Pts',(0.572108657002981,0.0800664105964292, -3.70434424847481)); #239663=CARTESIAN_POINT('Ctrl Pts',(0.531890889638701,0.224865974040523, -3.6895946268593)); #239664=CARTESIAN_POINT('Ctrl Pts',(0.498278275086621,0.291870993950817, -3.68325713843774)); #239665=CARTESIAN_POINT('Ctrl Pts',(0.407460534496998,0.409152083161734, -3.6692723550908)); #239666=CARTESIAN_POINT('Ctrl Pts',(0.351229885762091,0.458322317230271, -3.66180765020336)); #239667=CARTESIAN_POINT('Ctrl Pts',(0.220736146206083,0.533977657175202, -3.64644288194588)); #239668=CARTESIAN_POINT('Ctrl Pts',(0.147131904309765,0.558764330813371, -3.63868744231396)); #239669=CARTESIAN_POINT('Ctrl Pts',(-0.00151410137633615,0.577284898606872, -3.62463130583553)); #239670=CARTESIAN_POINT('Ctrl Pts',(-0.0748553659447634,0.572416126106069, -3.61838376110699)); #239671=CARTESIAN_POINT('Ctrl Pts',(-0.217824185178106,0.534895386876476, -3.60386073881772)); #239672=CARTESIAN_POINT('Ctrl Pts',(-0.285839489747207,0.501849820396183, -3.59617769430409)); #239673=CARTESIAN_POINT('Ctrl Pts',(-0.406211564588638,0.410763341475525, -3.58091988651832)); #239674=CARTESIAN_POINT('Ctrl Pts',(-0.457152461676101,0.353212758705961, -3.57349499808513)); #239675=CARTESIAN_POINT('Ctrl Pts',(-0.531934978773627,0.22429284245158, -3.56033198885737)); #239676=CARTESIAN_POINT('Ctrl Pts',(-0.556186990310332,0.154745051519433, -3.55326269225195)); #239677=CARTESIAN_POINT('Ctrl Pts',(-0.577615733306824,0.00741181886427531, -3.53825498517491)); #239678=CARTESIAN_POINT('Ctrl Pts',(-0.573525619858386,-0.0690330345326751, -3.53046813392177)); #239679=CARTESIAN_POINT('Ctrl Pts',(-0.536088824369161,-0.214785883678098, -3.51562140839897)); #239680=CARTESIAN_POINT('Ctrl Pts',(-0.503574216979042,-0.282724371863746, -3.50919017005028)); #239681=CARTESIAN_POINT('Ctrl Pts',(-0.414724857981862,-0.40176333783699, -3.49528949749746)); #239682=CARTESIAN_POINT('Ctrl Pts',(-0.359490631409635,-0.451850202617916, -3.48786600728716)); #239683=CARTESIAN_POINT('Ctrl Pts',(-0.230643651643572,-0.529753524103516, -3.47253201622908)); #239684=CARTESIAN_POINT('Ctrl Pts',(-0.157654180422189,-0.555867255952379, -3.46476322019561)); #239685=CARTESIAN_POINT('Ctrl Pts',(-0.0545577314103851,-0.570721057884733, -3.45491200736282)); #239686=CARTESIAN_POINT('Ctrl Pts',(-0.0272710219298955,-0.572668331506566, -3.45240572328045)); #239687=CARTESIAN_POINT('Ctrl Pts',(-3.91462189158201E-13,-0.572668331506585, -3.45000000000004)); #239688=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.75625)); #239689=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.72708333333333)); #239690=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.69791666666667)); #239691=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.66875)); #239692=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -3.63958333333334)); #239693=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -3.61041666666667)); #239694=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.58125)); #239695=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -3.55208333333334)); #239696=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -3.52291666666667)); #239697=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.49375)); #239698=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.46458333333334)); #239699=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.43541666666667)); #239700=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.40625)); #239701=CARTESIAN_POINT('Origin',(0.,-1.36040664372186E-13,1001.225)); #239702=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,2.025)); #239703=CARTESIAN_POINT('',(-1.04058021512981E-12,0.6850921044361,1.91009210443598)); #239704=CARTESIAN_POINT('',(-1.22464679914734E-13,999.999999999989,1001.225)); #239705=CARTESIAN_POINT('',(0.62105573908663,-0.504271523038489,2.02499999999866)); #239706=CARTESIAN_POINT('Origin',(0.,4.68086694914854E-16,2.025)); #239707=CARTESIAN_POINT('Ctrl Pts',(0.621055739084703,-0.504271523036194, 2.024999999997)); #239708=CARTESIAN_POINT('Ctrl Pts',(0.559244340590618,-0.559480271380434, 2.01181484479303)); #239709=CARTESIAN_POINT('Ctrl Pts',(0.48879525503707,-0.603904491316273, 1.99766797911146)); #239710=CARTESIAN_POINT('Ctrl Pts',(0.341416550712931,-0.665476954678174, 1.9690889872335)); #239711=CARTESIAN_POINT('Ctrl Pts',(0.266115647924346,-0.683870389265699, 1.95490294990794)); #239712=CARTESIAN_POINT('Ctrl Pts',(0.122855315648241,-0.695827003815747, 1.92854035941682)); #239713=CARTESIAN_POINT('Ctrl Pts',(0.0216715398040994,-0.695480337208433, 1.91197522552802)); #239714=CARTESIAN_POINT('Ctrl Pts',(-0.155922257080546,-0.637124531986903, 1.87684847544635)); #239715=CARTESIAN_POINT('Ctrl Pts',(-0.221499110077853,-0.601255846852849, 1.86167930197386)); #239716=CARTESIAN_POINT('Ctrl Pts',(-0.334625261662967,-0.508964909600841, 1.8300376895188)); #239717=CARTESIAN_POINT('Ctrl Pts',(-0.381702924831979,-0.453812718523378, 1.81383710704299)); #239718=CARTESIAN_POINT('Ctrl Pts',(-0.417841891620459,-0.391608441581098, 1.79766833150659)); #239719=CARTESIAN_POINT('Ctrl Pts',(-0.0260882850889896,-0.572073788329125, 1.79766833150821)); #239720=CARTESIAN_POINT('Ctrl Pts',(0.0238340076525971,-0.577226372041443, 1.80054132271119)); #239721=CARTESIAN_POINT('Ctrl Pts',(0.0825894321696695,-0.576488989319007, 1.80381747456235)); #239722=CARTESIAN_POINT('Ctrl Pts',(0.199063649381465,-0.553870229990307, 1.81105938790241)); #239723=CARTESIAN_POINT('Ctrl Pts',(0.250646457789795,-0.536249755900874, 1.81445345062757)); #239724=CARTESIAN_POINT('Ctrl Pts',(0.364215913286418,-0.479053896727158, 1.82237034752844)); #239725=CARTESIAN_POINT('Ctrl Pts',(0.422714584776341,-0.43450111695706, 1.82681182349729)); #239726=CARTESIAN_POINT('Ctrl Pts',(0.542587304264802,-0.302058164083571, 1.83696589478208)); #239727=CARTESIAN_POINT('Ctrl Pts',(0.57741443225146,-0.221845048273522, 1.84132250680653)); #239728=CARTESIAN_POINT('Ctrl Pts',(0.622012792427394,-0.0953391328067402, 1.8490038575147)); #239729=CARTESIAN_POINT('Ctrl Pts',(0.633907309988428,-0.0140200120117943, 1.85383020230568)); #239730=CARTESIAN_POINT('Ctrl Pts',(0.626273105769051,0.143379469588705, 1.86296138956312)); #239731=CARTESIAN_POINT('Ctrl Pts',(0.608788963614435,0.218160272333417, 1.86720589720695)); #239732=CARTESIAN_POINT('Ctrl Pts',(0.546702352572134,0.360684112065138, 1.87516073953653)); #239733=CARTESIAN_POINT('Ctrl Pts',(0.497856751599107,0.435286771475084, 1.8795793793054)); #239734=CARTESIAN_POINT('Ctrl Pts',(0.368610275172768,0.559253164718099, 1.88942985114934)); #239735=CARTESIAN_POINT('Ctrl Pts',(0.296748557136347,0.60572071005489, 1.8941672232343)); #239736=CARTESIAN_POINT('Ctrl Pts',(0.167710107847477,0.657778894856941, 1.90165095455453)); #239737=CARTESIAN_POINT('Ctrl Pts',(0.0943809291627262,0.680679910762292, 1.90567991076189)); #239738=CARTESIAN_POINT('Ctrl Pts',(-2.08107653067433E-12,0.6850921044361, 1.91009210443598)); #239739=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.8)); #239740=CARTESIAN_POINT('',(-8.65495401442687E-13,0.683613885844386,-3.6890544456622)); #239741=CARTESIAN_POINT('',(-7.0131643914014E-17,0.572668331496416,-3.80000000001017)); #239742=CARTESIAN_POINT('',(-7.0131643915259E-17,0.572668331506582,-3.8)); #239743=CARTESIAN_POINT('Ctrl Pts',(-1.73097839908308E-12,0.683613885844386, -3.6890544456622)); #239744=CARTESIAN_POINT('Ctrl Pts',(0.0166942747918499,0.682831761212544, -3.68983657029408)); #239745=CARTESIAN_POINT('Ctrl Pts',(0.0332025321788886,0.681427183940834, -3.6906292233525)); #239746=CARTESIAN_POINT('Ctrl Pts',(0.129559235537123,0.669661008156382, -3.69536655757361)); #239747=CARTESIAN_POINT('Ctrl Pts',(0.20718237574733,0.645385907126024, -3.69964376540518)); #239748=CARTESIAN_POINT('Ctrl Pts',(0.354887749670021,0.56759796552589, -3.70882300081277)); #239749=CARTESIAN_POINT('Ctrl Pts',(0.422664462026469,0.512901104344864, -3.71366223877221)); #239750=CARTESIAN_POINT('Ctrl Pts',(0.514988965455031,0.402739166165923, -3.72142188165564)); #239751=CARTESIAN_POINT('Ctrl Pts',(0.568372376759591,0.328084704845145, -3.72571787165385)); #239752=CARTESIAN_POINT('Ctrl Pts',(0.624030891149535,0.148639550928097, -3.73586751812448)); #239753=CARTESIAN_POINT('Ctrl Pts',(0.633074282338788,0.0709881662899328, -3.74034259584546)); #239754=CARTESIAN_POINT('Ctrl Pts',(0.62200557024821,-0.0893367342059738, -3.74979296418907)); #239755=CARTESIAN_POINT('Ctrl Pts',(0.599812686967779,-0.170374177558555, -3.7546888078142)); #239756=CARTESIAN_POINT('Ctrl Pts',(0.536422753302527,-0.296525940889042, -3.76248213569871)); #239757=CARTESIAN_POINT('Ctrl Pts',(0.492480137221233,-0.366927871173464, -3.76662039023008)); #239758=CARTESIAN_POINT('Ctrl Pts',(0.360329467232149,-0.480099182218095, -3.77700551170024)); #239759=CARTESIAN_POINT('Ctrl Pts',(0.295168470218065,-0.517374172138718, -3.78166429125113)); #239760=CARTESIAN_POINT('Ctrl Pts',(0.152599319901681,-0.566367437832999, -3.79107349734707)); #239761=CARTESIAN_POINT('Ctrl Pts',(0.0762568570968756,-0.576933095653251, -3.79573523587753)); #239762=CARTESIAN_POINT('Ctrl Pts',(-1.81760457830032E-10,-0.572668331496414, -3.80000000001017)); #239763=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.8)); #239764=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #239765=CARTESIAN_POINT('',(-1.61419902501241E-13,0.8,-3.40624999999998)); #239766=CARTESIAN_POINT('',(4.69721755830664E-15,0.8,-3.45)); #239767=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #239768=CARTESIAN_POINT('',(0.129780204802893,-0.789403001287249,-3.57266833150658)); #239769=CARTESIAN_POINT('Ctrl Pts',(-3.2274183325855E-13,0.8,-3.40624999999998)); #239770=CARTESIAN_POINT('Ctrl Pts',(0.0866317839896607,0.800000000000035, -3.41172059666637)); #239771=CARTESIAN_POINT('Ctrl Pts',(0.1727988099562,0.785934409985412,-3.41767465290848)); #239772=CARTESIAN_POINT('Ctrl Pts',(0.354806617857034,0.724682959129635, -3.43140115056786)); #239773=CARTESIAN_POINT('Ctrl Pts',(0.446868486546256,0.671834486216852, -3.43914141175773)); #239774=CARTESIAN_POINT('Ctrl Pts',(0.602504299397177,0.535985993736233, -3.45392226277184)); #239775=CARTESIAN_POINT('Ctrl Pts',(0.665516684820811,0.455374602153915, -3.46054560192631)); #239776=CARTESIAN_POINT('Ctrl Pts',(0.760255990792595,0.270707471562284, -3.47401091353195)); #239777=CARTESIAN_POINT('Ctrl Pts',(0.789419338272097,0.167562740837634, -3.48153188348062)); #239778=CARTESIAN_POINT('Ctrl Pts',(0.805633853159665,-0.0418240471721097, -3.49679967010633)); #239779=CARTESIAN_POINT('Ctrl Pts',(0.793399740418718,-0.146002785863702, -3.50439603646926)); #239780=CARTESIAN_POINT('Ctrl Pts',(0.730331176778285,-0.342103273528617, -3.51869503036149)); #239781=CARTESIAN_POINT('Ctrl Pts',(0.680791676051956,-0.432365735741279, -3.52485624866746)); #239782=CARTESIAN_POINT('Ctrl Pts',(0.55452527037721,-0.584508511570975, -3.538376552002)); #239783=CARTESIAN_POINT('Ctrl Pts',(0.480744187961766,-0.646550755006315, -3.54529761339634)); #239784=CARTESIAN_POINT('Ctrl Pts',(0.314871333011245,-0.741612040126619, -3.55923787632571)); #239785=CARTESIAN_POINT('Ctrl Pts',(0.224107771664963,-0.773895268322346, -3.56614637786455)); #239786=CARTESIAN_POINT('Ctrl Pts',(0.129780204800186,-0.789403001287694, -3.57266833150677)); #239787=CARTESIAN_POINT('',(0.642236530824019,-0.477003394615937,-3.57266833150658)); #239788=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.57266833150658)); #239789=CARTESIAN_POINT('Ctrl Pts',(0.642236530824023,-0.477003394615932, -3.57266833150658)); #239790=CARTESIAN_POINT('Ctrl Pts',(0.678926719602767,-0.427603789031339, -3.56855040371064)); #239791=CARTESIAN_POINT('Ctrl Pts',(0.709779130028427,-0.37416584157408, -3.56478292594809)); #239792=CARTESIAN_POINT('Ctrl Pts',(0.759470533086547,-0.259324237743759, -3.55640905900213)); #239793=CARTESIAN_POINT('Ctrl Pts',(0.777671600948416,-0.198163356059452, -3.55194941137932)); #239794=CARTESIAN_POINT('Ctrl Pts',(0.806290271200299,-0.0316466416040871, -3.53980756761695)); #239795=CARTESIAN_POINT('Ctrl Pts',(0.803444191555053,0.0747268159873245, -3.53205116966757)); #239796=CARTESIAN_POINT('Ctrl Pts',(0.756791856251962,0.279763979152309, -3.51710054318679)); #239797=CARTESIAN_POINT('Ctrl Pts',(0.713649302836055,0.37642977009835, -3.51050796650206)); #239798=CARTESIAN_POINT('Ctrl Pts',(0.593779446521267,0.545868837677396, -3.49676099648599)); #239799=CARTESIAN_POINT('Ctrl Pts',(0.518889383798615,0.617487965602069, -3.48942074830476)); #239800=CARTESIAN_POINT('Ctrl Pts',(0.342782868186149,0.730672717799305, -3.47417350198458)); #239801=CARTESIAN_POINT('Ctrl Pts',(0.242379199871921,0.769832270590359, -3.46640555066665)); #239802=CARTESIAN_POINT('Ctrl Pts',(0.0918135109390144,0.796050520609189, -3.45594180216722)); #239803=CARTESIAN_POINT('Ctrl Pts',(0.0459020018377152,0.8,-3.45289860518471)); #239804=CARTESIAN_POINT('Ctrl Pts',(9.49240686054506E-15,0.8,-3.45)); #239805=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #239806=CARTESIAN_POINT('',(-3.84594861618393E-15,0.8,-3.05625)); #239807=CARTESIAN_POINT('',(-2.47292437093833E-14,0.8,-3.1)); #239808=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #239809=CARTESIAN_POINT('Ctrl Pts',(-7.32053306862213E-15,0.8,-3.05625)); #239810=CARTESIAN_POINT('Ctrl Pts',(0.100995858675612,0.800000000000001, -3.06262765473991)); #239811=CARTESIAN_POINT('Ctrl Pts',(0.201168741500659,0.780876941499122, -3.06965053530067)); #239812=CARTESIAN_POINT('Ctrl Pts',(0.392417853974802,0.704944909772627, -3.08449582946754)); #239813=CARTESIAN_POINT('Ctrl Pts',(0.481125635644984,0.647656794173611, -3.09218614979748)); #239814=CARTESIAN_POINT('Ctrl Pts',(0.629221038456638,0.504358846665743, -3.10676243608298)); #239815=CARTESIAN_POINT('Ctrl Pts',(0.688032201022738,0.420598495556078, -3.11308135969906)); #239816=CARTESIAN_POINT('Ctrl Pts',(0.773184847350338,0.231219952764318, -3.12689021177763)); #239817=CARTESIAN_POINT('Ctrl Pts',(0.797007191187878,0.126678545851376, -3.13451302269836)); #239818=CARTESIAN_POINT('Ctrl Pts',(0.802393031356357,-0.0827856418968903, -3.14978645305501)); #239819=CARTESIAN_POINT('Ctrl Pts',(0.78499233001274,-0.185692771344775, -3.15729009791058)); #239820=CARTESIAN_POINT('Ctrl Pts',(0.712132145402914,-0.378770265504655, -3.17136866519307)); #239821=CARTESIAN_POINT('Ctrl Pts',(0.657550520300391,-0.467148352884194, -3.17759199011599)); #239822=CARTESIAN_POINT('Ctrl Pts',(0.515643898970455,-0.620797116700789, -3.19215484268491)); #239823=CARTESIAN_POINT('Ctrl Pts',(0.42878181666379,-0.683680722420745, -3.19993261361556)); #239824=CARTESIAN_POINT('Ctrl Pts',(0.239239364825325,-0.770198113147209, -3.2150560606599)); #239825=CARTESIAN_POINT('Ctrl Pts',(0.138987850264907,-0.794439241001774, -3.22227050291126)); #239826=CARTESIAN_POINT('Ctrl Pts',(-0.0695317599732112,-0.804058225871101, -3.23578872587602)); #239827=CARTESIAN_POINT('Ctrl Pts',(-0.175872845826888,-0.78767332176927, -3.242805373334)); #239828=CARTESIAN_POINT('Ctrl Pts',(-0.374337486812236,-0.714748587396148, -3.25799667037806)); #239829=CARTESIAN_POINT('Ctrl Pts',(-0.464695804815671,-0.659585437010886, -3.26571374556752)); #239830=CARTESIAN_POINT('Ctrl Pts',(-0.616452060949486,-0.519876789607311, -3.28039034636833)); #239831=CARTESIAN_POINT('Ctrl Pts',(-0.677296708949483,-0.437658840180565, -3.28683737623686)); #239832=CARTESIAN_POINT('Ctrl Pts',(-0.767135604675764,-0.250555115930739, -3.30048035613008)); #239833=CARTESIAN_POINT('Ctrl Pts',(-0.793571315315516,-0.146659228314677, -3.30805609793542)); #239834=CARTESIAN_POINT('Ctrl Pts',(-0.804233497586327,0.0628378361106237, -3.32333192554976)); #239835=CARTESIAN_POINT('Ctrl Pts',(-0.789340266817228,0.166396690105828, -3.33088309198691)); #239836=CARTESIAN_POINT('Ctrl Pts',(-0.721253079115261,0.360963865701776, -3.34507028187412)); #239837=CARTESIAN_POINT('Ctrl Pts',(-0.669153729957804,0.450260336894338, -3.35124356536322)); #239838=CARTESIAN_POINT('Ctrl Pts',(-0.531427093908493,0.607388776832625, -3.36566932472738)); #239839=CARTESIAN_POINT('Ctrl Pts',(-0.445973978631702,0.672634702484982, -3.37344424889841)); #239840=CARTESIAN_POINT('Ctrl Pts',(-0.258188900002505,0.76408753032781, -3.38863665970322)); #239841=CARTESIAN_POINT('Ctrl Pts',(-0.158318198352552,0.790845479505768, -3.39591881361136)); #239842=CARTESIAN_POINT('Ctrl Pts',(-0.0374004399449929,0.799344993319216, -3.40386436832171)); #239843=CARTESIAN_POINT('Ctrl Pts',(-0.0186908976112415,0.799999999999993, -3.40506971307234)); #239844=CARTESIAN_POINT('Ctrl Pts',(-3.2274183325855E-13,0.8,-3.40624999999998)); #239845=CARTESIAN_POINT('Ctrl Pts',(9.49240686054506E-15,0.8,-3.45)); #239846=CARTESIAN_POINT('Ctrl Pts',(-0.0557475481351077,0.8,-3.44647967135223)); #239847=CARTESIAN_POINT('Ctrl Pts',(-0.111453995723747,0.794175212186368, -3.44274957605313)); #239848=CARTESIAN_POINT('Ctrl Pts',(-0.267857284717542,0.760950594181503, -3.43166175612036)); #239849=CARTESIAN_POINT('Ctrl Pts',(-0.364296524884079,0.719775273809307, -3.42407065773865)); #239850=CARTESIAN_POINT('Ctrl Pts',(-0.537881971196684,0.601617559610558, -3.40880130520393)); #239851=CARTESIAN_POINT('Ctrl Pts',(-0.612875631010559,0.525029128605867, -3.40127334259802)); #239852=CARTESIAN_POINT('Ctrl Pts',(-0.725991986990478,0.351016808011791, -3.38778610731558)); #239853=CARTESIAN_POINT('Ctrl Pts',(-0.764600514898383,0.256274156336823, -3.38118665723288)); #239854=CARTESIAN_POINT('Ctrl Pts',(-0.80508257377354,0.0537393601590041, -3.36641849501158)); #239855=CARTESIAN_POINT('Ctrl Pts',(-0.805172283526655,-0.0523777341930955, -3.35868079021507)); #239856=CARTESIAN_POINT('Ctrl Pts',(-0.764192885301367,-0.259220418367744, -3.34359851116067)); #239857=CARTESIAN_POINT('Ctrl Pts',(-0.723281807445655,-0.357824103681595, -3.33679456846369)); #239858=CARTESIAN_POINT('Ctrl Pts',(-0.607334899688113,-0.530697049019067, -3.32317013011016)); #239859=CARTESIAN_POINT('Ctrl Pts',(-0.53447090341499,-0.60400814001723, -3.31589613718255)); #239860=CARTESIAN_POINT('Ctrl Pts',(-0.361793379200529,-0.721407435656006, -3.30071176850378)); #239861=CARTESIAN_POINT('Ctrl Pts',(-0.262725206217354,-0.763088535136917, -3.29293621923553)); #239862=CARTESIAN_POINT('Ctrl Pts',(-0.0578959162574437,-0.804462852503796, -3.2784932849585)); #239863=CARTESIAN_POINT('Ctrl Pts',(0.0455406651528873,-0.805256593419593, -3.27231585902577)); #239864=CARTESIAN_POINT('Ctrl Pts',(0.248222531518237,-0.767544856518979, -3.25814206115843)); #239865=CARTESIAN_POINT('Ctrl Pts',(0.345443033786796,-0.728973746493714, -3.25059657305196)); #239866=CARTESIAN_POINT('Ctrl Pts',(0.52187322652403,-0.615559227957239, -3.23532051037266)); #239867=CARTESIAN_POINT('Ctrl Pts',(0.598866897197078,-0.54095627050296, -3.22773863876616)); #239868=CARTESIAN_POINT('Ctrl Pts',(0.716522441183893,-0.369963053993796, -3.21407483576926)); #239869=CARTESIAN_POINT('Ctrl Pts',(0.757595915299713,-0.276285974471948, -3.20764585230523)); #239870=CARTESIAN_POINT('Ctrl Pts',(0.803328528661096,-0.0751773863243503, -3.19298168441947)); #239871=CARTESIAN_POINT('Ctrl Pts',(0.80625674769462,0.0306144556671471, -3.18526769594092)); #239872=CARTESIAN_POINT('Ctrl Pts',(0.77083908914697,0.239148885336519, -3.1700620604442)); #239873=CARTESIAN_POINT('Ctrl Pts',(0.732118503431144,0.339662491537987, -3.16301472747375)); #239874=CARTESIAN_POINT('Ctrl Pts',(0.619978131719556,0.515822288128507, -3.14951082994342)); #239875=CARTESIAN_POINT('Ctrl Pts',(0.549093338342499,0.59070399787712, -3.14230455695628)); #239876=CARTESIAN_POINT('Ctrl Pts',(0.379798030631847,0.71205337536704, -3.12718975402141)); #239877=CARTESIAN_POINT('Ctrl Pts',(0.282068403383272,0.75611398023804, -3.1194119780178)); #239878=CARTESIAN_POINT('Ctrl Pts',(0.119861740232677,0.793260453961963, -3.10781470411474)); #239879=CARTESIAN_POINT('Ctrl Pts',(0.0599668146167475,0.800000000000004, -3.10378676556141)); #239880=CARTESIAN_POINT('Ctrl Pts',(-4.93605156748348E-14,0.8,-3.1)); #239881=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #239882=CARTESIAN_POINT('',(2.14671363452695E-14,0.8,-2.70625000000001)); #239883=CARTESIAN_POINT('',(-1.88631468834807E-13,0.8,-2.74999999999998)); #239884=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #239885=CARTESIAN_POINT('Ctrl Pts',(4.30322444344707E-14,0.8,-2.70625000000001)); #239886=CARTESIAN_POINT('Ctrl Pts',(0.00859405898637,0.8,-2.7067926949357)); #239887=CARTESIAN_POINT('Ctrl Pts',(0.017192827198512,0.799861517144975, -2.70734073610221)); #239888=CARTESIAN_POINT('Ctrl Pts',(0.13189490054657,0.796161455486434, -2.71471880465958)); #239889=CARTESIAN_POINT('Ctrl Pts',(0.236230763974193,0.77165547371012, -2.72220082022204)); #239890=CARTESIAN_POINT('Ctrl Pts',(0.427365607369568,0.684244233246142, -2.7374574113796)); #239891=CARTESIAN_POINT('Ctrl Pts',(0.512671586918376,0.622899278358776, -2.74508082137745)); #239892=CARTESIAN_POINT('Ctrl Pts',(0.653473610263081,0.472596218401504, -2.75945194235344)); #239893=CARTESIAN_POINT('Ctrl Pts',(0.708255854138511,0.38567725686853, -2.76562770002002)); #239894=CARTESIAN_POINT('Ctrl Pts',(0.784150571087369,0.19116675022629, -2.77981075779602)); #239895=CARTESIAN_POINT('Ctrl Pts',(0.802648075103065,0.0847662416316164, -2.78756912821438)); #239896=CARTESIAN_POINT('Ctrl Pts',(0.796853317847342,-0.124912808595815, -2.8028582256268)); #239897=CARTESIAN_POINT('Ctrl Pts',(0.774210900230268,-0.226239876833512, -2.8102466576858)); #239898=CARTESIAN_POINT('Ctrl Pts',(0.691216314606069,-0.416041173537781, -2.82408633557048)); #239899=CARTESIAN_POINT('Ctrl Pts',(0.631186128714764,-0.502472964670847, -2.8305447169007)); #239900=CARTESIAN_POINT('Ctrl Pts',(0.480330261674914,-0.648410641345604, -2.84539156023952)); #239901=CARTESIAN_POINT('Ctrl Pts',(0.390634754762362,-0.706084933482862, -2.85315833248557)); #239902=CARTESIAN_POINT('Ctrl Pts',(0.197632496785858,-0.781852072698533, -2.86810832202748)); #239903=CARTESIAN_POINT('Ctrl Pts',(0.0967613543377848,-0.800601080393272, -2.87511783001977)); #239904=CARTESIAN_POINT('Ctrl Pts',(-0.110984271692006,-0.799342106568007, -2.8882823856037)); #239905=CARTESIAN_POINT('Ctrl Pts',(-0.215905297474954,-0.777584137771398, -2.89570116484143)); #239906=CARTESIAN_POINT('Ctrl Pts',(-0.40949221769211,-0.695127973900744, -2.91093194514128)); #239907=CARTESIAN_POINT('Ctrl Pts',(-0.496573782869003,-0.635849316256504, -2.91859214550864)); #239908=CARTESIAN_POINT('Ctrl Pts',(-0.641144131626802,-0.489141994853424, -2.93307013287863)); #239909=CARTESIAN_POINT('Ctrl Pts',(-0.698005359430359,-0.40386992443813, -2.93930115134308)); #239910=CARTESIAN_POINT('Ctrl Pts',(-0.778982156200193,-0.21138573337615, -2.95333645694135)); #239911=CARTESIAN_POINT('Ctrl Pts',(-0.800261508205363,-0.105213628539675, -2.96107817291901)); #239912=CARTESIAN_POINT('Ctrl Pts',(-0.799743692510631,0.105071568236201, -2.97641146851725)); #239913=CARTESIAN_POINT('Ctrl Pts',(-0.779560936797925,0.207177401547508, -2.98385668552953)); #239914=CARTESIAN_POINT('Ctrl Pts',(-0.701351243020881,0.398544747065526, -2.99781055447355)); #239915=CARTESIAN_POINT('Ctrl Pts',(-0.643924593751702,0.485896375638867, -3.00413595750588)); #239916=CARTESIAN_POINT('Ctrl Pts',(-0.497305541956926,0.635530833337314, -3.01885003424209)); #239917=CARTESIAN_POINT('Ctrl Pts',(-0.40891949703224,0.695696670410166, -3.02662495456989)); #239918=CARTESIAN_POINT('Ctrl Pts',(-0.217534576756889,0.776570658589172, -3.04165900018077)); #239919=CARTESIAN_POINT('Ctrl Pts',(-0.116926769120844,0.797949217332405, -3.04879157701644)); #239920=CARTESIAN_POINT('Ctrl Pts',(-0.00999174293346426,0.799953219295848, -3.05561733901123)); #239921=CARTESIAN_POINT('Ctrl Pts',(-0.00499497083200354,0.8,-3.05593457915186)); #239922=CARTESIAN_POINT('Ctrl Pts',(-7.59392548843608E-15,0.8,-3.05625)); #239923=CARTESIAN_POINT('Ctrl Pts',(-4.93605156748348E-14,0.8,-3.1)); #239924=CARTESIAN_POINT('Ctrl Pts',(-0.0425835479620416,0.799999999999997, -3.09731094749762)); #239925=CARTESIAN_POINT('Ctrl Pts',(-0.0851875516730487,0.796600803352633, -3.09449667421758)); #239926=CARTESIAN_POINT('Ctrl Pts',(-0.229484347493584,0.773321270058705, -3.08453593252398)); #239927=CARTESIAN_POINT('Ctrl Pts',(-0.32738066191251,0.737227187203139, -3.07703807672647)); #239928=CARTESIAN_POINT('Ctrl Pts',(-0.506383654649836,0.628366052147538, -3.06176555645416)); #239929=CARTESIAN_POINT('Ctrl Pts',(-0.585220922586518,0.555692554738576, -3.0541376108446)); #239930=CARTESIAN_POINT('Ctrl Pts',(-0.707149459112964,0.387600202762914, -3.04030973894395)); #239931=CARTESIAN_POINT('Ctrl Pts',(-0.750555125117483,0.294900863081619, -3.03400318793302)); #239932=CARTESIAN_POINT('Ctrl Pts',(-0.801174139621999,0.0951578513581129, -3.01943859332818)); #239933=CARTESIAN_POINT('Ctrl Pts',(-0.806738785005652,-0.0102629625278469, -3.01175165898233)); #239934=CARTESIAN_POINT('Ctrl Pts',(-0.776770989113494,-0.218864578451737, -2.99654112448788)); #239935=CARTESIAN_POINT('Ctrl Pts',(-0.740906356338907,-0.319855529822251, -2.98929178885963)); #239936=CARTESIAN_POINT('Ctrl Pts',(-0.63408699861042,-0.49831952184274, -2.97603989392283)); #239937=CARTESIAN_POINT('Ctrl Pts',(-0.565522335854743,-0.574944802445185, -2.96891656412755)); #239938=CARTESIAN_POINT('Ctrl Pts',(-0.400230539607622,-0.70072645625776, -2.95389298026865)); #239939=CARTESIAN_POINT('Ctrl Pts',(-0.304110348389834,-0.747477115145956, -2.94611876153962)); #239940=CARTESIAN_POINT('Ctrl Pts',(-0.101340535296907,-0.800300096207884, -2.93138847926326)); #239941=CARTESIAN_POINT('Ctrl Pts',(0.00308132986553286,-0.806683182226279, -2.92504907883546)); #239942=CARTESIAN_POINT('Ctrl Pts',(0.208042195239973,-0.779326644384381, -2.91110890075402)); #239943=CARTESIAN_POINT('Ctrl Pts',(0.306630487050257,-0.74605729717449, -2.90367033333945)); #239944=CARTESIAN_POINT('Ctrl Pts',(0.489264473802505,-0.641951293389652, -2.88834188835924)); #239945=CARTESIAN_POINT('Ctrl Pts',(0.570768294409341,-0.570713012966551, -2.88059788173906)); #239946=CARTESIAN_POINT('Ctrl Pts',(0.697498376863135,-0.404751300457817, -2.86654448288925)); #239947=CARTESIAN_POINT('Ctrl Pts',(0.743213820033007,-0.312991808960678, -2.86032231940337)); #239948=CARTESIAN_POINT('Ctrl Pts',(0.798590654638671,-0.114613336377304, -2.84585722244417)); #239949=CARTESIAN_POINT('Ctrl Pts',(0.806714036775943,-0.00961704444301787, -2.83820124282396)); #239950=CARTESIAN_POINT('Ctrl Pts',(0.78200195349409,0.199313227976173, -2.8229667437934)); #239951=CARTESIAN_POINT('Ctrl Pts',(0.74870878727647,0.301166034937718, -2.81553997661912)); #239952=CARTESIAN_POINT('Ctrl Pts',(0.646514395174826,0.482015310451229, -2.80235305027959)); #239953=CARTESIAN_POINT('Ctrl Pts',(0.58011396458136,0.560189882926691, -2.79537593148624)); #239954=CARTESIAN_POINT('Ctrl Pts',(0.418475260890195,0.689943879875543, -2.78043820695769)); #239955=CARTESIAN_POINT('Ctrl Pts',(0.323876927996676,0.739087115809726, -2.77267286068832)); #239956=CARTESIAN_POINT('Ctrl Pts',(0.150012072170389,0.789421293039918, -2.75985859720809)); #239957=CARTESIAN_POINT('Ctrl Pts',(0.0751330002013712,0.800000000000036, -2.75474447508186)); #239958=CARTESIAN_POINT('Ctrl Pts',(-3.77164965925682E-13,0.8,-2.74999999999998)); #239959=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #239960=CARTESIAN_POINT('',(1.69548683369763E-13,0.8,-2.35625000000002)); #239961=CARTESIAN_POINT('',(-1.1775483094273E-13,0.8,-2.39999999999999)); #239962=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #239963=CARTESIAN_POINT('Ctrl Pts',(3.39195338483457E-13,0.8,-2.35625000000002)); #239964=CARTESIAN_POINT('Ctrl Pts',(0.022618013786604,0.8,-2.3576782752256)); #239965=CARTESIAN_POINT('Ctrl Pts',(0.0452584933178823,0.799040857132745, -2.35914293786134)); #239966=CARTESIAN_POINT('Ctrl Pts',(0.173667205038234,0.788108860858333, -2.36765021319342)); #239967=CARTESIAN_POINT('Ctrl Pts',(0.276601723214185,0.758127409810288, -2.37524336353025)); #239968=CARTESIAN_POINT('Ctrl Pts',(0.462421347132061,0.660974995974349, -2.3905194578247)); #239969=CARTESIAN_POINT('Ctrl Pts',(0.544013458553993,0.595631547500701, -2.39805412405737)); #239970=CARTESIAN_POINT('Ctrl Pts',(0.677157732814659,0.438168144612427, -2.41220273007046)); #239971=CARTESIAN_POINT('Ctrl Pts',(0.727714842373328,0.347800994347466, -2.41838951082885)); #239972=CARTESIAN_POINT('Ctrl Pts',(0.793113294395042,0.14928625041788, -2.43286454424038)); #239973=CARTESIAN_POINT('Ctrl Pts',(0.80591062277945,0.0426369049555321, -2.44064105901367)); #239974=CARTESIAN_POINT('Ctrl Pts',(0.789378341909166,-0.165393542755077, -2.45580994582591)); #239975=CARTESIAN_POINT('Ctrl Pts',(0.761768299145693,-0.264938646706404, -2.46306844298902)); #239976=CARTESIAN_POINT('Ctrl Pts',(0.668918510775709,-0.451404631042022, -2.4766649210135)); #239977=CARTESIAN_POINT('Ctrl Pts',(0.603343087363301,-0.535917655885283, -2.4835222782252)); #239978=CARTESIAN_POINT('Ctrl Pts',(0.443709562314266,-0.673909453107358, -2.49863371683139)); #239979=CARTESIAN_POINT('Ctrl Pts',(0.351493033044883,-0.726281679478675, -2.50636638132381)); #239980=CARTESIAN_POINT('Ctrl Pts',(0.155523889848021,-0.791264649517443, -2.52111054996652)); #239981=CARTESIAN_POINT('Ctrl Pts',(0.054100815495856,-0.804579739666884, -2.52766668903202)); #239982=CARTESIAN_POINT('Ctrl Pts',(-0.153081112785462,-0.79236296375236, -2.54119515160886)); #239983=CARTESIAN_POINT('Ctrl Pts',(-0.256745295244243,-0.765077482567136, -2.54873608798856)); #239984=CARTESIAN_POINT('Ctrl Pts',(-0.445254314263203,-0.67269924157507, -2.56400795297463)); #239985=CARTESIAN_POINT('Ctrl Pts',(-0.528704690406351,-0.609304673690646, -2.5715889113857)); #239986=CARTESIAN_POINT('Ctrl Pts',(-0.665645999903504,-0.45536855487849, -2.58584869248786)); #239987=CARTESIAN_POINT('Ctrl Pts',(-0.718300485206269,-0.366727504117891, -2.59200945282476)); #239988=CARTESIAN_POINT('Ctrl Pts',(-0.788932430439729,-0.170179187287965, -2.60634110092694)); #239989=CARTESIAN_POINT('Ctrl Pts',(-0.804563134445003,-0.0636166931118192, -2.61411128279395)); #239990=CARTESIAN_POINT('Ctrl Pts',(-0.79335546009799,0.145301570011679, -2.6293449061467)); #239991=CARTESIAN_POINT('Ctrl Pts',(-0.768202482165775,0.245763727461191, -2.63667027179406)); #239992=CARTESIAN_POINT('Ctrl Pts',(-0.680262082914956,0.433909860385426, -2.65038926065312)); #239993=CARTESIAN_POINT('Ctrl Pts',(-0.617480359011451,0.519384460051372, -2.65702676947384)); #239994=CARTESIAN_POINT('Ctrl Pts',(-0.462226884393975,0.661391694027525, -2.67200801416372)); #239995=CARTESIAN_POINT('Ctrl Pts',(-0.371235270473992,0.71643294141944, -2.6797606361447)); #239996=CARTESIAN_POINT('Ctrl Pts',(-0.184825898490083,0.783883585392708, -2.69399109463842)); #239997=CARTESIAN_POINT('Ctrl Pts',(-0.0927234894076654,0.8,-2.70039472623456)); #239998=CARTESIAN_POINT('Ctrl Pts',(4.30322444344707E-14,0.8,-2.70625000000001)); #239999=CARTESIAN_POINT('Ctrl Pts',(-3.77164965925682E-13,0.8,-2.74999999999998)); #240000=CARTESIAN_POINT('Ctrl Pts',(-0.0288331040216275,0.799999999999986, -2.7481792562097)); #240001=CARTESIAN_POINT('Ctrl Pts',(-0.0576964065982289,0.798441390741545, -2.74629978115465)); #240002=CARTESIAN_POINT('Ctrl Pts',(-0.188726231807787,0.78419574583954, -2.73750617846558)); #240003=CARTESIAN_POINT('Ctrl Pts',(-0.287865367128788,0.753462276351701, -2.73012528043341)); #240004=CARTESIAN_POINT('Ctrl Pts',(-0.472610010121219,0.65426412747038, -2.71484248460636)); #240005=CARTESIAN_POINT('Ctrl Pts',(-0.555704515596244,0.585338972043561, -2.70708236260024)); #240006=CARTESIAN_POINT('Ctrl Pts',(-0.686752384369559,0.422791005693814, -2.69288076503242)); #240007=CARTESIAN_POINT('Ctrl Pts',(-0.734949751834092,0.332011160915068, -2.68670914715005)); #240008=CARTESIAN_POINT('Ctrl Pts',(-0.79534545678164,0.13510902718636, -2.672351699899)); #240009=CARTESIAN_POINT('Ctrl Pts',(-0.806155390632601,0.0306278975301754, -2.6647332841949)); #240010=CARTESIAN_POINT('Ctrl Pts',(-0.786985149951651,-0.178639608573492, -2.64947419520817)); #240011=CARTESIAN_POINT('Ctrl Pts',(-0.756379173966426,-0.281354481185964, -2.64198456908018)); #240012=CARTESIAN_POINT('Ctrl Pts',(-0.659041617978743,-0.464706085286778, -2.62861518128117)); #240013=CARTESIAN_POINT('Ctrl Pts',(-0.594861334181853,-0.544467553618846, -2.62188040366924)); #240014=CARTESIAN_POINT('Ctrl Pts',(-0.437147155788534,-0.678223201393318, -2.60704461031101)); #240015=CARTESIAN_POINT('Ctrl Pts',(-0.344193056066951,-0.729805602988927, -2.59929416564645)); #240016=CARTESIAN_POINT('Ctrl Pts',(-0.143740846179901,-0.793979328194071, -2.58429640543534)); #240017=CARTESIAN_POINT('Ctrl Pts',(-0.0382571348997033,-0.805973054843932, -2.57763361715466)); #240018=CARTESIAN_POINT('Ctrl Pts',(0.168779563134337,-0.788696299366543, -2.56392984711025)); #240019=CARTESIAN_POINT('Ctrl Pts',(0.268417039671774,-0.760572910416771, -2.55661263916987)); #240020=CARTESIAN_POINT('Ctrl Pts',(0.455194537921545,-0.666452847968342, -2.54138661180584)); #240021=CARTESIAN_POINT('Ctrl Pts',(0.53985602033983,-0.59993600563137, -2.53361534191362)); #240022=CARTESIAN_POINT('Ctrl Pts',(0.67530008258696,-0.44093610362149, -2.51926549141446)); #240023=CARTESIAN_POINT('Ctrl Pts',(0.726057027436518,-0.351135849377955, -2.5131036556838)); #240024=CARTESIAN_POINT('Ctrl Pts',(0.791524885648271,-0.155764074095445, -2.49885779706945)); #240025=CARTESIAN_POINT('Ctrl Pts',(0.80503290068486,-0.0518732531296081, -2.49128242470736)); #240026=CARTESIAN_POINT('Ctrl Pts',(0.791472845852569,0.157584782852237, -2.47600944291702)); #240027=CARTESIAN_POINT('Ctrl Pts',(0.76361065521656,0.261097806359927, -2.46846161828625)); #240028=CARTESIAN_POINT('Ctrl Pts',(0.671210634667625,0.446935388666266, -2.45491096124308)); #240029=CARTESIAN_POINT('Ctrl Pts',(0.60923857990862,0.528313014065871, -2.44837726105139)); #240030=CARTESIAN_POINT('Ctrl Pts',(0.455461303756877,0.666018167519548, -2.43364608156397)); #240031=CARTESIAN_POINT('Ctrl Pts',(0.364209489412829,0.719983471559882, -2.42591625562975)); #240032=CARTESIAN_POINT('Ctrl Pts',(0.179818164035906,0.784757671188635, -2.41191081080894)); #240033=CARTESIAN_POINT('Ctrl Pts',(0.0901804896428435,0.800000000000026, -2.40569468921557)); #240034=CARTESIAN_POINT('Ctrl Pts',(-2.35411690141527E-13,0.8,-2.39999999999999)); #240035=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240036=CARTESIAN_POINT('',(8.34841945008282E-14,0.8,-2.00625000000001)); #240037=CARTESIAN_POINT('',(4.4936165937151E-8,0.799999999999995,-2.05000000567523)); #240038=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240039=CARTESIAN_POINT('Ctrl Pts',(1.67066360745588E-13,0.8,-2.00625000000001)); #240040=CARTESIAN_POINT('Ctrl Pts',(0.0357917304387612,0.8,-2.00851016494415)); #240041=CARTESIAN_POINT('Ctrl Pts',(0.0716118777203335,0.797598469085959, -2.01085968016073)); #240042=CARTESIAN_POINT('Ctrl Pts',(0.213386644750114,0.778422994989402, -2.0205115344147)); #240043=CARTESIAN_POINT('Ctrl Pts',(0.315568519855451,0.742889213843729, -2.02825958578494)); #240044=CARTESIAN_POINT('Ctrl Pts',(0.496339858914458,0.635813572332721, -2.04357867691616)); #240045=CARTESIAN_POINT('Ctrl Pts',(0.574021033917875,0.566674535211587, -2.05100475740122)); #240046=CARTESIAN_POINT('Ctrl Pts',(0.699353727175299,0.402096487335718, -2.06491946825085)); #240047=CARTESIAN_POINT('Ctrl Pts',(0.745567891864992,0.308073125094782, -2.07128633462852)); #240048=CARTESIAN_POINT('Ctrl Pts',(0.800019144692892,0.105647269640459, -2.08604655325539)); #240049=CARTESIAN_POINT('Ctrl Pts',(0.806962355752977,-0.000949930656302701, -2.09381926577703)); #240050=CARTESIAN_POINT('Ctrl Pts',(0.779508735489622,-0.206716197376905, -2.10882305605874)); #240051=CARTESIAN_POINT('Ctrl Pts',(0.746898882102074,-0.304169859544242, -2.11592905225845)); #240052=CARTESIAN_POINT('Ctrl Pts',(0.644782246783285,-0.485286367322398, -2.12913546428394)); #240053=CARTESIAN_POINT('Ctrl Pts',(0.574809877083985,-0.56645180923204, -2.13643730876049)); #240054=CARTESIAN_POINT('Ctrl Pts',(0.40847095981189,-0.695753246285686, -2.15165534131942)); #240055=CARTESIAN_POINT('Ctrl Pts',(0.314184777855146,-0.743109330100496, -2.15933581939186)); #240056=CARTESIAN_POINT('Ctrl Pts',(0.115625349557882,-0.798082504379241, -2.17387861807353)); #240057=CARTESIAN_POINT('Ctrl Pts',(0.0135696890815726,-0.806297763283725, -2.18016349958589)); #240058=CARTESIAN_POINT('Ctrl Pts',(-0.192878599067591,-0.783630061972738, -2.19402665780801)); #240059=CARTESIAN_POINT('Ctrl Pts',(-0.295062666582711,-0.751137154060747, -2.20166398245387)); #240060=CARTESIAN_POINT('Ctrl Pts',(-0.478248188254545,-0.649576406037441, -2.21693445980486)); #240061=CARTESIAN_POINT('Ctrl Pts',(-0.558056367136506,-0.582452531304618, -2.22442138620023)); #240062=CARTESIAN_POINT('Ctrl Pts',(-0.687610929602837,-0.421695379795452, -2.23846339480634)); #240063=CARTESIAN_POINT('Ctrl Pts',(-0.736177534730165,-0.329666457932406, -2.24471182077578)); #240064=CARTESIAN_POINT('Ctrl Pts',(-0.796575234821434,-0.129330008375518, -2.2593196868893)); #240065=CARTESIAN_POINT('Ctrl Pts',(-0.806684873276305,-0.0226657384229183, -2.26709728990668)); #240066=CARTESIAN_POINT('Ctrl Pts',(-0.78512504078512,0.184397344214404, -2.28219563968231)); #240067=CARTESIAN_POINT('Ctrl Pts',(-0.75520662691624,0.283015247246844, -2.2893865284451)); #240068=CARTESIAN_POINT('Ctrl Pts',(-0.657674454988127,0.467843578932216, -2.30286359429716)); #240069=CARTESIAN_POINT('Ctrl Pts',(-0.589375384697451,0.55142399192319, -2.30996964149104)); #240070=CARTESIAN_POINT('Ctrl Pts',(-0.425554191203435,0.68547641903729, -2.32520189879946)); #240071=CARTESIAN_POINT('Ctrl Pts',(-0.332230132863435,0.735254279179536, -2.33290991492076)); #240072=CARTESIAN_POINT('Ctrl Pts',(-0.156616031589978,0.788460634685603, -2.34593935403566)); #240073=CARTESIAN_POINT('Ctrl Pts',(-0.0784697237525851,0.8,-2.35129481854807)); #240074=CARTESIAN_POINT('Ctrl Pts',(3.39195338483457E-13,0.8,-2.35625000000002)); #240075=CARTESIAN_POINT('Ctrl Pts',(-2.35411690141527E-13,0.8,-2.39999999999999)); #240076=CARTESIAN_POINT('Ctrl Pts',(-0.015606590877513,0.799999999999995, -2.39901447990453)); #240077=CARTESIAN_POINT('Ctrl Pts',(-0.0312273634959328,0.799543324750563, -2.39801141464647)); #240078=CARTESIAN_POINT('Ctrl Pts',(-0.149025189213093,0.792634015819782, -2.39032085268471)); #240079=CARTESIAN_POINT('Ctrl Pts',(-0.249087037874915,0.767087025521478, -2.38307077782763)); #240080=CARTESIAN_POINT('Ctrl Pts',(-0.437733782676193,0.678005778589394, -2.36791041868559)); #240081=CARTESIAN_POINT('Ctrl Pts',(-0.523872917000777,0.613893288668118, -2.36013349717705)); #240082=CARTESIAN_POINT('Ctrl Pts',(-0.663615286702258,0.458433632159993, -2.34564128996034)); #240083=CARTESIAN_POINT('Ctrl Pts',(-0.716907046348658,0.369581254994791, -2.3394486331767)); #240084=CARTESIAN_POINT('Ctrl Pts',(-0.787299434026107,0.175729285672192, -2.32531359374692)); #240085=CARTESIAN_POINT('Ctrl Pts',(-0.803406445271462,0.0724776718588389, -2.3177848302397)); #240086=CARTESIAN_POINT('Ctrl Pts',(-0.79529339051437,-0.137020271692207, -2.30250893852244)); #240087=CARTESIAN_POINT('Ctrl Pts',(-0.770117668702295,-0.241236351759304, -2.29490984935088)); #240088=CARTESIAN_POINT('Ctrl Pts',(-0.68253882095332,-0.429443967154436, -2.28118637739498)); #240089=CARTESIAN_POINT('Ctrl Pts',(-0.62267945475023,-0.512404927732865, -2.27480600350555)); #240090=CARTESIAN_POINT('Ctrl Pts',(-0.472694707653462,-0.653856765667066, -2.26017771557918)); #240091=CARTESIAN_POINT('Ctrl Pts',(-0.383130048475168,-0.710055465529844, -2.25247300369973)); #240092=CARTESIAN_POINT('Ctrl Pts',(-0.185289637023637,-0.785572967463035, -2.23722659732211)); #240093=CARTESIAN_POINT('Ctrl Pts',(-0.0786904897887663,-0.803271843646241, -2.23008840828244)); #240094=CARTESIAN_POINT('Ctrl Pts',(0.130249502570956,-0.795904493598464, -2.21662573001247)); #240095=CARTESIAN_POINT('Ctrl Pts',(0.230652052301517,-0.772798468348611, -2.20944313418878)); #240096=CARTESIAN_POINT('Ctrl Pts',(0.420944948841024,-0.688515065762768, -2.19435374578262)); #240097=CARTESIAN_POINT('Ctrl Pts',(0.508421303216279,-0.626705216171424, -2.18657633235131)); #240098=CARTESIAN_POINT('Ctrl Pts',(0.652201603377033,-0.474640649214574, -2.17195291847767)); #240099=CARTESIAN_POINT('Ctrl Pts',(0.707908278959153,-0.38667149943762, -2.16569479683399)); #240100=CARTESIAN_POINT('Ctrl Pts',(0.782899203201711,-0.194270032533634, -2.15166552320557)); #240101=CARTESIAN_POINT('Ctrl Pts',(0.801411823264833,-0.0916731765156808, -2.14418450245426)); #240102=CARTESIAN_POINT('Ctrl Pts',(0.798378542515983,0.117733042528675, -2.12891529898228)); #240103=CARTESIAN_POINT('Ctrl Pts',(0.775728108490664,0.222540736195917, -2.12127307131904)); #240104=CARTESIAN_POINT('Ctrl Pts',(0.692675893124723,0.412921074078936, -2.10739117168174)); #240105=CARTESIAN_POINT('Ctrl Pts',(0.63476462393844,0.497375096890882, -2.10111711655377)); #240106=CARTESIAN_POINT('Ctrl Pts',(0.48829455942654,0.642250639499435, -2.08658595013233)); #240107=CARTESIAN_POINT('Ctrl Pts',(0.40033225220146,0.700463406470255, -2.0789089935404)); #240108=CARTESIAN_POINT('Ctrl Pts',(0.20724146460201,0.779690043163517, -2.06382728604549)); #240109=CARTESIAN_POINT('Ctrl Pts',(0.104076867684051,0.799999994130583, -2.05657221354238)); #240110=CARTESIAN_POINT('Ctrl Pts',(4.51160283437835E-8,0.799999999999999, -2.05000000284897)); #240111=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240112=CARTESIAN_POINT('',(-4.89858719658941E-17,0.8,-1.65625)); #240113=CARTESIAN_POINT('',(5.31084925470854E-14,0.8,-1.70000000000001)); #240114=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240115=CARTESIAN_POINT('Ctrl Pts',(0.,0.8,-1.65625)); #240116=CARTESIAN_POINT('Ctrl Pts',(0.0500870921438945,0.8,-1.65941288395196)); #240117=CARTESIAN_POINT('Ctrl Pts',(0.100167089527668,0.795297683004818, -1.66274675852669)); #240118=CARTESIAN_POINT('Ctrl Pts',(0.254272035468131,0.765963190695513, -1.6735476159154)); #240119=CARTESIAN_POINT('Ctrl Pts',(0.353903981130167,0.725327409137694, -1.6813206555743)); #240120=CARTESIAN_POINT('Ctrl Pts',(0.528021965481143,0.609671771612273, -1.69653241510831)); #240121=CARTESIAN_POINT('Ctrl Pts',(0.601734589432808,0.537058721629483, -1.70383454749781)); #240122=CARTESIAN_POINT('Ctrl Pts',(0.719320962460954,0.365615098030762, -1.71751040360056)); #240123=CARTESIAN_POINT('Ctrl Pts',(0.761167582561387,0.267825204044779, -1.72422107887174)); #240124=CARTESIAN_POINT('Ctrl Pts',(0.80452511459463,0.0617296563892357, -1.73924887922163)); #240125=CARTESIAN_POINT('Ctrl Pts',(0.805661291883604,-0.0445043090357222, -1.7469951058672)); #240126=CARTESIAN_POINT('Ctrl Pts',(0.767445467959344,-0.247641323588849, -1.76180717984503)); #240127=CARTESIAN_POINT('Ctrl Pts',(0.729888812362397,-0.342848807834785, -1.7684922401387)); #240128=CARTESIAN_POINT('Ctrl Pts',(0.618714613836716,-0.518134025691903, -1.78190324038537)); #240129=CARTESIAN_POINT('Ctrl Pts',(0.544589026917131,-0.595551504524293, -1.78940661189905)); #240130=CARTESIAN_POINT('Ctrl Pts',(0.372254697312496,-0.71570823771035, -1.80466984020971)); #240131=CARTESIAN_POINT('Ctrl Pts',(0.276169251106882,-0.75798870995059, -1.81227888931676)); #240132=CARTESIAN_POINT('Ctrl Pts',(0.0751701533211087,-0.802961244966041, -1.82661114084067)); #240133=CARTESIAN_POINT('Ctrl Pts',(-0.027641478036468,-0.805997563621499, -1.83277683419343)); #240134=CARTESIAN_POINT('Ctrl Pts',(-0.233742902142035,-0.772515035871018, -1.84701237930122)); #240135=CARTESIAN_POINT('Ctrl Pts',(-0.334691437916317,-0.734431537773893, -1.85477550657755)); #240136=CARTESIAN_POINT('Ctrl Pts',(-0.512214415838628,-0.623053952439363, -1.87004631292259)); #240137=CARTESIAN_POINT('Ctrl Pts',(-0.587947558015449,-0.55216518052833, -1.87741317205721)); #240138=CARTESIAN_POINT('Ctrl Pts',(-0.709459537906979,-0.38417759045297, -1.89121091992612)); #240139=CARTESIAN_POINT('Ctrl Pts',(-0.753529357459729,-0.288313194649584, -1.89772716289014)); #240140=CARTESIAN_POINT('Ctrl Pts',(-0.802538422394775,-0.0840495080420863, -1.91262139003861)); #240141=CARTESIAN_POINT('Ctrl Pts',(-0.806615451280718,0.0224075018049151, -1.92038388033995)); #240142=CARTESIAN_POINT('Ctrl Pts',(-0.773837846248294,0.226956006046419, -1.93529887544089)); #240143=CARTESIAN_POINT('Ctrl Pts',(-0.738778465539747,0.323291059963873, -1.94221783622282)); #240144=CARTESIAN_POINT('Ctrl Pts',(-0.632222271572335,0.501559331750704, -1.95544597734363)); #240145=CARTESIAN_POINT('Ctrl Pts',(-0.560188558260537,0.580898219868777, -1.96288730326042)); #240146=CARTESIAN_POINT('Ctrl Pts',(-0.390907703387058,0.705732759099378, -1.97812825672053)); #240147=CARTESIAN_POINT('Ctrl Pts',(-0.295709368335517,0.750617738883757, -1.98577624281547)); #240148=CARTESIAN_POINT('Ctrl Pts',(-0.130804839203031,0.791967531481299, -1.9976971267122)); #240149=CARTESIAN_POINT('Ctrl Pts',(-0.0654685948024568,0.8,-2.00211580975267)); #240150=CARTESIAN_POINT('Ctrl Pts',(1.67066360745588E-13,0.8,-2.00625000000001)); #240151=CARTESIAN_POINT('Ctrl Pts',(4.51160280567557E-8,0.799999999999999, -2.05000000284897)); #240152=CARTESIAN_POINT('Ctrl Pts',(-0.00193624695281879,0.800000000109196, -2.04987773048202)); #240153=CARTESIAN_POINT('Ctrl Pts',(-0.0038728227505434,0.799992970418315, -2.04975518391937)); #240154=CARTESIAN_POINT('Ctrl Pts',(-0.107645916162863,0.799239344621745, -2.04317467729272)); #240155=CARTESIAN_POINT('Ctrl Pts',(-0.20837899685885,0.779061932442993, -2.03607798155506)); #240156=CARTESIAN_POINT('Ctrl Pts',(-0.40048772075943,0.700565401434211, -2.02108490754635)); #240157=CARTESIAN_POINT('Ctrl Pts',(-0.489488460049397,0.641549715704663, -2.01331310329303)); #240158=CARTESIAN_POINT('Ctrl Pts',(-0.638072818603976,0.493619428576418, -1.99853705320197)); #240159=CARTESIAN_POINT('Ctrl Pts',(-0.696701573267004,0.406694521170304, -1.99215480883533)); #240160=CARTESIAN_POINT('Ctrl Pts',(-0.777133481475589,0.216050196160645, -1.97825366013671)); #240161=CARTESIAN_POINT('Ctrl Pts',(-0.798462137398305,0.114298845014067, -1.97083429078227)); #240162=CARTESIAN_POINT('Ctrl Pts',(-0.801435178453953,-0.095720854885553, -1.95552035433126)); #240163=CARTESIAN_POINT('Ctrl Pts',(-0.781448573701919,-0.202007827918937, -1.94777026254757)); #240164=CARTESIAN_POINT('Ctrl Pts',(-0.702830879458922,-0.3954396989866, -1.93366585528222)); #240165=CARTESIAN_POINT('Ctrl Pts',(-0.646937943907314,-0.481474339686208, -1.92746554864342)); #240166=CARTESIAN_POINT('Ctrl Pts',(-0.504121794163152,-0.629861709020228, -1.91303708313391)); #240167=CARTESIAN_POINT('Ctrl Pts',(-0.417862195250637,-0.690110250099553, -1.9053934277003)); #240168=CARTESIAN_POINT('Ctrl Pts',(-0.225402730406405,-0.774885906210557, -1.8901492787767)); #240169=CARTESIAN_POINT('Ctrl Pts',(-0.120745300141455,-0.797927202672167, -1.8827003569981)); #240170=CARTESIAN_POINT('Ctrl Pts',(0.0868879113099653,-0.801721222371139, -1.86945047658716)); #240171=CARTESIAN_POINT('Ctrl Pts',(0.187876863209402,-0.784239134483853, -1.86256139600453)); #240172=CARTESIAN_POINT('Ctrl Pts',(0.381590079015159,-0.710994000330293, -1.8476584592223)); #240173=CARTESIAN_POINT('Ctrl Pts',(0.471901543323052,-0.654548626423585, -1.83989759500273)); #240174=CARTESIAN_POINT('Ctrl Pts',(0.624819732915702,-0.510441987254961, -1.8249872773433)); #240175=CARTESIAN_POINT('Ctrl Pts',(0.686133848923711,-0.424458434848977, -1.81845009420774)); #240176=CARTESIAN_POINT('Ctrl Pts',(0.771450215488761,-0.235429502842441, -1.80466673458226)); #240177=CARTESIAN_POINT('Ctrl Pts',(0.795275417185855,-0.134500975468173, -1.79730736279455)); #240178=CARTESIAN_POINT('Ctrl Pts',(0.803616906164484,0.0748377904913681, -1.78204307777667)); #240179=CARTESIAN_POINT('Ctrl Pts',(0.786466519311472,0.181323694588166, -1.77427848060294)); #240180=CARTESIAN_POINT('Ctrl Pts',(0.713041116329899,0.376798104755242, -1.76002513819493)); #240181=CARTESIAN_POINT('Ctrl Pts',(0.659260146079022,0.464522928783134, -1.75386168738238)); #240182=CARTESIAN_POINT('Ctrl Pts',(0.520274816857836,0.616541881323263, -1.73954272785214)); #240183=CARTESIAN_POINT('Ctrl Pts',(0.435837622963722,0.678859868624442, -1.73193870451766)); #240184=CARTESIAN_POINT('Ctrl Pts',(0.245924448416174,0.768622481306953, -1.7166735318084)); #240185=CARTESIAN_POINT('Ctrl Pts',(0.141895701458205,0.794441114185175, -1.70916305286881)); #240186=CARTESIAN_POINT('Ctrl Pts',(0.0238887204950383,0.799732690683346, -1.70151826306559)); #240187=CARTESIAN_POINT('Ctrl Pts',(0.0119401245957712,0.8,-1.7007539912351)); #240188=CARTESIAN_POINT('Ctrl Pts',(1.06314956838103E-13,0.8,-1.70000000000001)); #240189=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240190=CARTESIAN_POINT('',(-5.826908128331E-14,0.8,-1.30625)); #240191=CARTESIAN_POINT('',(1.74611300362053E-13,0.8,-1.35000000000002)); #240192=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240193=CARTESIAN_POINT('Ctrl Pts',(-1.16440190822688E-13,0.8,-1.30625)); #240194=CARTESIAN_POINT('Ctrl Pts',(0.0637405057065065,0.800000000000009, -1.31027506542024)); #240195=CARTESIAN_POINT('Ctrl Pts',(0.127373644873645,0.79238580923851, -1.31457095159685)); #240196=CARTESIAN_POINT('Ctrl Pts',(0.292573145896783,0.75209078149487, -1.32647200731719)); #240197=CARTESIAN_POINT('Ctrl Pts',(0.38954708653763,0.706745279112321, -1.33424890868219)); #240198=CARTESIAN_POINT('Ctrl Pts',(0.556954541544837,0.583274307189996, -1.34932184788492)); #240199=CARTESIAN_POINT('Ctrl Pts',(0.626742341945895,0.507555436098708, -1.35648936981169)); #240200=CARTESIAN_POINT('Ctrl Pts',(0.736781229395154,0.329600072689039, -1.36992602684795)); #240201=CARTESIAN_POINT('Ctrl Pts',(0.774280471235988,0.228020594181118, -1.37712349834096)); #240202=CARTESIAN_POINT('Ctrl Pts',(0.806603861212591,0.0185791430455675, -1.3923952708196)); #240203=CARTESIAN_POINT('Ctrl Pts',(0.80211444650754,-0.0870010681423649, -1.40009382788539)); #240204=CARTESIAN_POINT('Ctrl Pts',(0.753489852128824,-0.287305864107465, -1.41469938592451)); #240205=CARTESIAN_POINT('Ctrl Pts',(0.711040780093187,-0.380402694478272, -1.42105160187357)); #240206=CARTESIAN_POINT('Ctrl Pts',(0.590861875110337,-0.549689928980119, -1.43481255201686)); #240207=CARTESIAN_POINT('Ctrl Pts',(0.51277473735042,-0.623160489738474, -1.44242218824307)); #240208=CARTESIAN_POINT('Ctrl Pts',(0.33481261910349,-0.733896217989014, -1.45769732424379)); #240209=CARTESIAN_POINT('Ctrl Pts',(0.237185940826666,-0.771007417293386, -1.46521523551346)); #240210=CARTESIAN_POINT('Ctrl Pts',(0.0338615020099802,-0.805867260881531, -1.47932563506697)); #240211=CARTESIAN_POINT('Ctrl Pts',(-0.0698343524270274,-0.803550035934496, -1.48553044934417)); #240212=CARTESIAN_POINT('Ctrl Pts',(-0.274127043898926,-0.759044788621394, -1.50005364715616)); #240213=CARTESIAN_POINT('Ctrl Pts',(-0.372414446960013,-0.715958980389786, -1.50783110595065)); #240214=CARTESIAN_POINT('Ctrl Pts',(-0.543112945110767,-0.596224546782358, -1.52297563895201)); #240215=CARTESIAN_POINT('Ctrl Pts',(-0.614816640445166,-0.521983126642303, -1.53021027981059)); #240216=CARTESIAN_POINT('Ctrl Pts',(-0.728529368620209,-0.347175641733508, -1.54376416498261)); #240217=CARTESIAN_POINT('Ctrl Pts',(-0.768158038077266,-0.247454316161062, -1.55070645611326)); #240218=CARTESIAN_POINT('Ctrl Pts',(-0.805879840875464,-0.0396112302417365, -1.56586168112821)); #240219=CARTESIAN_POINT('Ctrl Pts',(-0.804122624018671,0.0663240567045942, -1.57358612913472)); #240220=CARTESIAN_POINT('Ctrl Pts',(-0.760556942267536,0.268026459859964, -1.58829359603146)); #240221=CARTESIAN_POINT('Ctrl Pts',(-0.720505673377326,0.362141393481008, -1.59478813916849)); #240222=CARTESIAN_POINT('Ctrl Pts',(-0.604730112964442,0.534392648817131, -1.60837909155246)); #240223=CARTESIAN_POINT('Ctrl Pts',(-0.528559753426546,0.609826008332861, -1.61593924804133)); #240224=CARTESIAN_POINT('Ctrl Pts',(-0.353294071953082,0.725216936752861, -1.6312138311397)); #240225=CARTESIAN_POINT('Ctrl Pts',(-0.25638931802405,0.764870143312415, -1.63877883190541)); #240226=CARTESIAN_POINT('Ctrl Pts',(-0.103560128822191,0.794972989692797, -1.64952714111741)); #240227=CARTESIAN_POINT('Ctrl Pts',(-0.051787957820865,0.8,-1.65297971031687)); #240228=CARTESIAN_POINT('Ctrl Pts',(0.,0.8,-1.65625)); #240229=CARTESIAN_POINT('Ctrl Pts',(1.06314956838103E-13,0.8,-1.70000000000001)); #240230=CARTESIAN_POINT('Ctrl Pts',(-0.0892844019775908,0.8,-1.69436189664667)); #240231=CARTESIAN_POINT('Ctrl Pts',(-0.178027400325398,0.785058296625579, -1.68821323207739)); #240232=CARTESIAN_POINT('Ctrl Pts',(-0.361926707955702,0.721160657267954, -1.67427081496514)); #240233=CARTESIAN_POINT('Ctrl Pts',(-0.453507454091103,0.667379159377209, -1.66652691901542)); #240234=CARTESIAN_POINT('Ctrl Pts',(-0.610837666754025,0.527262861655256, -1.65148347350845)); #240235=CARTESIAN_POINT('Ctrl Pts',(-0.674937169294637,0.442242792372347, -1.64474687027715)); #240236=CARTESIAN_POINT('Ctrl Pts',(-0.765207091809034,0.254889237516687, -1.63108567356892)); #240237=CARTESIAN_POINT('Ctrl Pts',(-0.791530482563626,0.15485507215998, -1.62379151567833)); #240238=CARTESIAN_POINT('Ctrl Pts',(-0.805271122525119,-0.0536582340340204, -1.60858742043502)); #240239=CARTESIAN_POINT('Ctrl Pts',(-0.790986615850614,-0.160271080634887, -1.60081356703704)); #240240=CARTESIAN_POINT('Ctrl Pts',(-0.722837034109463,-0.357758819179445, -1.58641341943483)); #240241=CARTESIAN_POINT('Ctrl Pts',(-0.671178552878118,-0.44721818339906, -1.58024520936435)); #240242=CARTESIAN_POINT('Ctrl Pts',(-0.536043790833287,-0.602837023491703, -1.56603815833955)); #240243=CARTESIAN_POINT('Ctrl Pts',(-0.453473384024219,-0.667166908151199, -1.55847866786465)); #240244=CARTESIAN_POINT('Ctrl Pts',(-0.266230730768027,-0.761829937733547, -1.54320347136172)); #240245=CARTESIAN_POINT('Ctrl Pts',(-0.162905221850889,-0.790402596241692, -1.53563699309248)); #240246=CARTESIAN_POINT('Ctrl Pts',(0.0441145789052726,-0.80518881856991, -1.5220250907598)); #240247=CARTESIAN_POINT('Ctrl Pts',(0.145683464150291,-0.793133752253764, -1.51555009444614)); #240248=CARTESIAN_POINT('Ctrl Pts',(0.342310015269671,-0.730636098115587, -1.50085502249011)); #240249=CARTESIAN_POINT('Ctrl Pts',(0.435065090834112,-0.679501860660914, -1.49313348505811)); #240250=CARTESIAN_POINT('Ctrl Pts',(0.596705210116224,-0.543385026566445, -1.47796369270067)); #240251=CARTESIAN_POINT('Ctrl Pts',(0.663579105621731,-0.459316910063145, -1.47099185802544)); #240252=CARTESIAN_POINT('Ctrl Pts',(0.758673656742,-0.273631690349424,-1.45745231075465)); #240253=CARTESIAN_POINT('Ctrl Pts',(0.787394768000638,-0.174524799943141, -1.45022576666252)); #240254=CARTESIAN_POINT('Ctrl Pts',(0.806343821659492,0.0330564172898783, -1.43508963623928)); #240255=CARTESIAN_POINT('Ctrl Pts',(0.794836964608518,0.139721140272353, -1.42731200018847)); #240256=CARTESIAN_POINT('Ctrl Pts',(0.731834683546269,0.339116076283615, -1.41277278610432)); #240257=CARTESIAN_POINT('Ctrl Pts',(0.682233021532309,0.430277638006675, -1.40656089752719)); #240258=CARTESIAN_POINT('Ctrl Pts',(0.550813340834283,0.589328669811917, -1.39246331330208)); #240259=CARTESIAN_POINT('Ctrl Pts',(0.470075250693551,0.655535132307326, -1.38495111248397)); #240260=CARTESIAN_POINT('Ctrl Pts',(0.285510479333683,0.754818585436393, -1.36967656508354)); #240261=CARTESIAN_POINT('Ctrl Pts',(0.182929319559423,0.786011402578907, -1.36206156412315)); #240262=CARTESIAN_POINT('Ctrl Pts',(0.0515203827336445,0.798757126915401, -1.35329870601684)); #240263=CARTESIAN_POINT('Ctrl Pts',(0.0257472758250094,0.8,-1.35162588088123)); #240264=CARTESIAN_POINT('Ctrl Pts',(3.49320572468037E-13,0.8,-1.35000000000002)); #240265=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240266=CARTESIAN_POINT('',(-2.07616282555899E-13,0.8,-0.956249999999976)); #240267=CARTESIAN_POINT('',(4.80458755547949E-14,0.8,-1.00000000000001)); #240268=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240269=CARTESIAN_POINT('Ctrl Pts',(-4.15134593367866E-13,0.8,-0.956249999999976)); #240270=CARTESIAN_POINT('Ctrl Pts',(0.0793184317366425,0.800000000000041, -0.961258775396938)); #240271=CARTESIAN_POINT('Ctrl Pts',(0.158313617824885,0.788209720602083, -0.966676992515652)); #240272=CARTESIAN_POINT('Ctrl Pts',(0.335215085386881,0.733991069229745, -0.979824632452436)); #240273=CARTESIAN_POINT('Ctrl Pts',(0.428905981946399,0.683484046392025, -0.987582397614928)); #240274=CARTESIAN_POINT('Ctrl Pts',(0.588362890125591,0.551497182358174, -1.00246375341871)); #240275=CARTESIAN_POINT('Ctrl Pts',(0.653526523102508,0.472443136933257, -1.00930102126529)); #240276=CARTESIAN_POINT('Ctrl Pts',(0.753028651522746,0.290199836163897, -1.02258959527972)); #240277=CARTESIAN_POINT('Ctrl Pts',(0.784833942107557,0.187859758611542, -1.03005189260125)); #240278=CARTESIAN_POINT('Ctrl Pts',(0.806471671696683,-0.0212759077868078, -1.04530136827612)); #240279=CARTESIAN_POINT('Ctrl Pts',(0.796855284416861,-0.125994767856699, -1.05293711848955)); #240280=CARTESIAN_POINT('Ctrl Pts',(0.738692725813578,-0.323558464419776, -1.06734280469728)); #240281=CARTESIAN_POINT('Ctrl Pts',(0.69160569252954,-0.414772811300134, -1.07353195985024)); #240282=CARTESIAN_POINT('Ctrl Pts',(0.562483110422225,-0.578851410987702, -1.08766778436125)); #240283=CARTESIAN_POINT('Ctrl Pts',(0.480091715541698,-0.648814445791763, -1.09542134591284)); #240284=CARTESIAN_POINT('Ctrl Pts',(0.296274506711357,-0.750210931945209, -1.11072572413196)); #240285=CARTESIAN_POINT('Ctrl Pts',(0.197373581762372,-0.782078641676282, -1.1181329322393)); #240286=CARTESIAN_POINT('Ctrl Pts',(-0.00816549083091122,-0.806694919330144, -1.1320097174491)); #240287=CARTESIAN_POINT('Ctrl Pts',(-0.11286705898479,-0.798804351701783, -1.13842088491003)); #240288=CARTESIAN_POINT('Ctrl Pts',(-0.315038740085218,-0.742915251272256, -1.15322518213727)); #240289=CARTESIAN_POINT('Ctrl Pts',(-0.410326879652029,-0.694839465028866, -1.16099517066499)); #240290=CARTESIAN_POINT('Ctrl Pts',(-0.573573162511883,-0.5668880823414, -1.17596868978599)); #240291=CARTESIAN_POINT('Ctrl Pts',(-0.64096123353234,-0.489416988756242, -1.18304855746785)); #240292=CARTESIAN_POINT('Ctrl Pts',(-0.745225756658173,-0.30964725361506, -1.19618672573011)); #240293=CARTESIAN_POINT('Ctrl Pts',(-0.779698457303762,-0.208190569866007, -1.20356943761394)); #240294=CARTESIAN_POINT('Ctrl Pts',(-0.806785809140014,0.000655642196744216, -1.21879780724352)); #240295=CARTESIAN_POINT('Ctrl Pts',(-0.799813815470043,0.105850879126356, -1.22646829326963)); #240296=CARTESIAN_POINT('Ctrl Pts',(-0.746580208234437,0.304848262218222, -1.24097851912008)); #240297=CARTESIAN_POINT('Ctrl Pts',(-0.701910214048653,0.397029698548911, -1.24723436884509)); #240298=CARTESIAN_POINT('Ctrl Pts',(-0.577676522947569,0.5635323824794, -1.2611498492748)); #240299=CARTESIAN_POINT('Ctrl Pts',(-0.49786133761315,0.635141005593522, -1.26880072456283)); #240300=CARTESIAN_POINT('Ctrl Pts',(-0.317514146565635,0.741511263608968, -1.28406731462213)); #240301=CARTESIAN_POINT('Ctrl Pts',(-0.219277752841043,0.776259469826483, -1.29153757722164)); #240302=CARTESIAN_POINT('Ctrl Pts',(-0.0782677014406115,0.797131114540355, -1.30120298100717)); #240303=CARTESIAN_POINT('Ctrl Pts',(-0.0391202904388443,0.799999999999994, -1.30377964419517)); #240304=CARTESIAN_POINT('Ctrl Pts',(-1.16440190822688E-13,0.8,-1.30625)); #240305=CARTESIAN_POINT('Ctrl Pts',(3.49320572468037E-13,0.8,-1.35000000000002)); #240306=CARTESIAN_POINT('Ctrl Pts',(-0.07534711806316,0.8,-1.34524200387072)); #240307=CARTESIAN_POINT('Ctrl Pts',(-0.150423979491334,0.789360912787914, -1.34011319043451)); #240308=CARTESIAN_POINT('Ctrl Pts',(-0.323538385457345,0.739103100099453, -1.3273491002501)); #240309=CARTESIAN_POINT('Ctrl Pts',(-0.417334799072472,0.690492740002099, -1.31965382109216)); #240310=CARTESIAN_POINT('Ctrl Pts',(-0.582097291274331,0.558962305509826, -1.30445407059647)); #240311=CARTESIAN_POINT('Ctrl Pts',(-0.65102466612088,0.476886138947946, -1.29727294763162)); #240312=CARTESIAN_POINT('Ctrl Pts',(-0.750854858542914,0.294314524401038, -1.28396043407091)); #240313=CARTESIAN_POINT('Ctrl Pts',(-0.782212200852819,0.196307714243333, -1.27681410416358)); #240314=CARTESIAN_POINT('Ctrl Pts',(-0.806918680817037,-0.0100850983341826, -1.26176462824647)); #240315=CARTESIAN_POINT('Ctrl Pts',(-0.798497446701258,-0.116725034794419, -1.25398879954624)); #240316=CARTESIAN_POINT('Ctrl Pts',(-0.741262519250019,-0.318183264104652, -1.23929913699237)); #240317=CARTESIAN_POINT('Ctrl Pts',(-0.693948704104592,-0.411270608755437, -1.23299368037605)); #240318=CARTESIAN_POINT('Ctrl Pts',(-0.566645879586608,-0.574072673373228, -1.21901930968642)); #240319=CARTESIAN_POINT('Ctrl Pts',(-0.487968525930462,-0.642281980736552, -1.21156421922233)); #240320=CARTESIAN_POINT('Ctrl Pts',(-0.305549834619229,-0.747086693875735, -1.19622389587241)); #240321=CARTESIAN_POINT('Ctrl Pts',(-0.202751671655338,-0.781280958099719, -1.18848576390463)); #240322=CARTESIAN_POINT('Ctrl Pts',(0.00457052975651637,-0.806408203284715, -1.17447774921207)); #240323=CARTESIAN_POINT('Ctrl Pts',(0.106781497775866,-0.799322502052848, -1.16823229509467)); #240324=CARTESIAN_POINT('Ctrl Pts',(0.305889375829193,-0.746546415071766, -1.15373496522125)); #240325=CARTESIAN_POINT('Ctrl Pts',(0.400594088023112,-0.700300886085496, -1.14606854691106)); #240326=CARTESIAN_POINT('Ctrl Pts',(0.568220490245549,-0.573041623422869, -1.13084366693183)); #240327=CARTESIAN_POINT('Ctrl Pts',(0.639135439633636,-0.492717372266651, -1.12343706367356)); #240328=CARTESIAN_POINT('Ctrl Pts',(0.743254699895758,-0.312898906110336, -1.11030629177336)); #240329=CARTESIAN_POINT('Ctrl Pts',(0.776997112142871,-0.215955875848081, -1.10324678261392)); #240330=CARTESIAN_POINT('Ctrl Pts',(0.806875822229487,-0.0106747500720108, -1.08827836719275)); #240331=CARTESIAN_POINT('Ctrl Pts',(0.801232874665482,0.0958682488194498, -1.08050960685692)); #240332=CARTESIAN_POINT('Ctrl Pts',(0.749242979934276,0.29913419323035, -1.06568813174362)); #240333=CARTESIAN_POINT('Ctrl Pts',(0.703999363131224,0.393988468549312, -1.05925843426162)); #240334=CARTESIAN_POINT('Ctrl Pts',(0.580399698066301,0.560118681951596, -1.04539657076343)); #240335=CARTESIAN_POINT('Ctrl Pts',(0.503599633174374,0.630060107875325, -1.03799687658433)); #240336=CARTESIAN_POINT('Ctrl Pts',(0.324293224329554,0.739103837167715, -1.02269853920547)); #240337=CARTESIAN_POINT('Ctrl Pts',(0.222664673879934,0.775802060447083, -1.01494297538722)); #240338=CARTESIAN_POINT('Ctrl Pts',(0.0780531885587578,0.797146696304731, -1.00503290491564)); #240339=CARTESIAN_POINT('Ctrl Pts',(0.0390139641500516,0.8,-1.00246364154577)); #240340=CARTESIAN_POINT('Ctrl Pts',(9.61897228535216E-14,0.8,-1.00000000000001)); #240341=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240342=CARTESIAN_POINT('',(-6.08176836381049E-14,0.8,-0.606249999999995)); #240343=CARTESIAN_POINT('',(-5.13306005925176E-15,0.8,-0.650000000000001)); #240344=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240345=CARTESIAN_POINT('Ctrl Pts',(-1.21537395532278E-13,0.8,-0.606249999999995)); #240346=CARTESIAN_POINT('Ctrl Pts',(0.0942709480887412,0.800000000000014, -0.612202992199854)); #240347=CARTESIAN_POINT('Ctrl Pts',(0.187901478333277,0.78334227283097, -0.618722856464674)); #240348=CARTESIAN_POINT('Ctrl Pts',(0.374961642433672,0.714420024503289, -0.633048063216508)); #240349=CARTESIAN_POINT('Ctrl Pts',(0.465264176206534,0.659183229622256, -0.640764300716481)); #240350=CARTESIAN_POINT('Ctrl Pts',(0.616895209085977,0.519350966764314, -0.655437500772028)); #240351=CARTESIAN_POINT('Ctrl Pts',(0.677670124550333,0.437080697125089, -0.661879532501298)); #240352=CARTESIAN_POINT('Ctrl Pts',(0.767349730347146,0.249898756604465, -0.67552821566426)); #240353=CARTESIAN_POINT('Ctrl Pts',(0.793696667363856,0.145979759309312, -0.683105642550365)); #240354=CARTESIAN_POINT('Ctrl Pts',(0.804178927050814,-0.0635183943791574, -0.69838154959015)); #240355=CARTESIAN_POINT('Ctrl Pts',(0.78919988013706,-0.167056030099875, -0.705931168861452)); #240356=CARTESIAN_POINT('Ctrl Pts',(0.720950016715275,-0.361572995653867, -0.720114697599764)); #240357=CARTESIAN_POINT('Ctrl Pts',(0.66876690902999,-0.450838085836703, -0.726289055973085)); #240358=CARTESIAN_POINT('Ctrl Pts',(0.53089821778945,-0.607849475432351, -0.740719518333403)); #240359=CARTESIAN_POINT('Ctrl Pts',(0.445396361306468,-0.673015878353986, -0.748494621132576)); #240360=CARTESIAN_POINT('Ctrl Pts',(0.25754970098446,-0.764302096746248, -0.763684846375882)); #240361=CARTESIAN_POINT('Ctrl Pts',(0.157665074220647,-0.79097487733646, -0.770964774517973)); #240362=CARTESIAN_POINT('Ctrl Pts',(-0.0499339253797266,-0.805397264840777, -0.784599987105934)); #240363=CARTESIAN_POINT('Ctrl Pts',(-0.155733008651749,-0.791780449647638, -0.791383850188905)); #240364=CARTESIAN_POINT('Ctrl Pts',(-0.355468253497391,-0.724357269183181, -0.806454805439922)); #240365=CARTESIAN_POINT('Ctrl Pts',(-0.447473731138057,-0.671430059923697, -0.814194376261559)); #240366=CARTESIAN_POINT('Ctrl Pts',(-0.602979307076246,-0.53545101248919, -0.828971762932069)); #240367=CARTESIAN_POINT('Ctrl Pts',(-0.665918672491978,-0.454786123441241, -0.835588511832412)); #240368=CARTESIAN_POINT('Ctrl Pts',(-0.760494545910884,-0.270036788892584, -0.849059817476585)); #240369=CARTESIAN_POINT('Ctrl Pts',(-0.789567054086563,-0.166865751020955, -0.856582705654725)); #240370=CARTESIAN_POINT('Ctrl Pts',(-0.805595900303415,0.0425271245576347, -0.871850936165664)); #240371=CARTESIAN_POINT('Ctrl Pts',(-0.793272526100422,0.146686227560727, -0.879445870759639)); #240372=CARTESIAN_POINT('Ctrl Pts',(-0.730036117214468,0.342736017951252, -0.893741167975615)); #240373=CARTESIAN_POINT('Ctrl Pts',(-0.680411844398246,0.432966080863124, -0.899902121739878)); #240374=CARTESIAN_POINT('Ctrl Pts',(-0.546905734965494,0.593539328254999, -0.91418695655421)); #240375=CARTESIAN_POINT('Ctrl Pts',(-0.462928306951549,0.661124352365047, -0.921953974121054)); #240376=CARTESIAN_POINT('Ctrl Pts',(-0.277032213034895,0.757492842016772, -0.93720631674974)); #240377=CARTESIAN_POINT('Ctrl Pts',(-0.177606528140974,0.786770194082999, -0.944552222109943)); #240378=CARTESIAN_POINT('Ctrl Pts',(-0.0502559534926969,0.798817457878775, -0.953033339329827)); #240379=CARTESIAN_POINT('Ctrl Pts',(-0.0251144939826836,0.799999999999987, -0.954664077827654)); #240380=CARTESIAN_POINT('Ctrl Pts',(-4.15134593367866E-13,0.8,-0.956249999999976)); #240381=CARTESIAN_POINT('Ctrl Pts',(9.61897228535216E-14,0.8,-1.00000000000001)); #240382=CARTESIAN_POINT('Ctrl Pts',(-0.0623463195267353,0.8,-0.99606297421044)); #240383=CARTESIAN_POINT('Ctrl Pts',(-0.124594295136319,0.792715217862101, -0.991866567596196)); #240384=CARTESIAN_POINT('Ctrl Pts',(-0.286812264402414,0.754045583060589, -0.980213047823814)); #240385=CARTESIAN_POINT('Ctrl Pts',(-0.382424262071021,0.71034763494112, -0.972582217088072)); #240386=CARTESIAN_POINT('Ctrl Pts',(-0.553115164894884,0.58763921470156, -0.957329737118061)); #240387=CARTESIAN_POINT('Ctrl Pts',(-0.626110338547916,0.509170347548124, -0.949859416720173)); #240388=CARTESIAN_POINT('Ctrl Pts',(-0.734778624076342,0.332258908857368, -0.936547369778601)); #240389=CARTESIAN_POINT('Ctrl Pts',(-0.770979690730269,0.236443507356545, -0.929740672411416)); #240390=CARTESIAN_POINT('Ctrl Pts',(-0.806253341040915,0.0325369004137512, -0.914872482321837)); #240391=CARTESIAN_POINT('Ctrl Pts',(-0.803525772230804,-0.0738277693960333, -0.907116725148207)); #240392=CARTESIAN_POINT('Ctrl Pts',(-0.757100989124017,-0.278938892153474, -0.892160705780477)); #240393=CARTESIAN_POINT('Ctrl Pts',(-0.714047945924708,-0.375682182960141, -0.885560395671624)); #240394=CARTESIAN_POINT('Ctrl Pts',(-0.594336253126444,-0.545260612037912, -0.871818332427608)); #240395=CARTESIAN_POINT('Ctrl Pts',(-0.519527560605084,-0.616949396516361, -0.864480683028557)); #240396=CARTESIAN_POINT('Ctrl Pts',(-0.346135289880249,-0.728646668817564, -0.849459066029126)); #240397=CARTESIAN_POINT('Ctrl Pts',(-0.248942085475994,-0.767313057756746, -0.841911828005256)); #240398=CARTESIAN_POINT('Ctrl Pts',(-0.0463025686467313,-0.80521098649974, -0.827733916168863)); #240399=CARTESIAN_POINT('Ctrl Pts',(0.0571174232560576,-0.804516320332658, -0.821557824420784)); #240400=CARTESIAN_POINT('Ctrl Pts',(0.261980966029494,-0.763345819958362, -0.807120157985705)); #240401=CARTESIAN_POINT('Ctrl Pts',(0.361099287895407,-0.721756620670564, -0.799344792459436)); #240402=CARTESIAN_POINT('Ctrl Pts',(0.533904544149855,-0.604510418689859, -0.784157939337568)); #240403=CARTESIAN_POINT('Ctrl Pts',(0.606843650461526,-0.531260502408092, -0.776881433713059)); #240404=CARTESIAN_POINT('Ctrl Pts',(0.722935467641275,-0.358513560046632, -0.76325243852338)); #240405=CARTESIAN_POINT('Ctrl Pts',(0.763929418087302,-0.259982024716623, -0.756457022635588)); #240406=CARTESIAN_POINT('Ctrl Pts',(0.805119436652649,-0.0532049416934358, -0.741379526998481)); #240407=CARTESIAN_POINT('Ctrl Pts',(0.805138010787394,0.052923049610289, -0.733641027632584)); #240408=CARTESIAN_POINT('Ctrl Pts',(0.764856143283679,0.255511369188332, -0.718868962663352)); #240409=CARTESIAN_POINT('Ctrl Pts',(0.726340814878892,0.350294962612314, -0.712262237638451)); #240410=CARTESIAN_POINT('Ctrl Pts',(0.613396438763278,0.524420447837754, -0.698781736692576)); #240411=CARTESIAN_POINT('Ctrl Pts',(0.538479357197913,0.601082789502432, -0.691255915166354)); #240412=CARTESIAN_POINT('Ctrl Pts',(0.365003890327415,0.719418263930985, -0.675987026737162)); #240413=CARTESIAN_POINT('Ctrl Pts',(0.268595511457237,0.76069167250964, -0.66839430104237)); #240414=CARTESIAN_POINT('Ctrl Pts',(0.111963549897806,0.794121674208657, -0.65728455301912)); #240415=CARTESIAN_POINT('Ctrl Pts',(0.0560032554618687,0.800000000000001, -0.653536475973671)); #240416=CARTESIAN_POINT('Ctrl Pts',(-1.01681483745717E-14,0.8,-0.650000000000001)); #240417=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240418=CARTESIAN_POINT('',(-3.93953989972329E-8,0.799999999999996,-0.256249995024545)); #240419=CARTESIAN_POINT('',(-1.36699826088016E-13,0.8,-0.299999999999984)); #240420=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240421=CARTESIAN_POINT('Ctrl Pts',(-3.95530117946832E-8,0.799999999999999, -0.256249997502321)); #240422=CARTESIAN_POINT('Ctrl Pts',(0.00180850294673728,0.800000000089416, -0.256364202778917)); #240423=CARTESIAN_POINT('Ctrl Pts',(0.00361729342889388,0.799993867380459, -0.256478647293577)); #240424=CARTESIAN_POINT('Ctrl Pts',(0.107258070351057,0.799290868673688, -0.263048952660781)); #240425=CARTESIAN_POINT('Ctrl Pts',(0.207996071302688,0.779163612351716, -0.270144130502249)); #240426=CARTESIAN_POINT('Ctrl Pts',(0.400134386436358,0.700766477411363, -0.285135449313853)); #240427=CARTESIAN_POINT('Ctrl Pts',(0.489160475719107,0.641798943449528, -0.292907098827348)); #240428=CARTESIAN_POINT('Ctrl Pts',(0.637826752870798,0.493940085560777, -0.307685717697209)); #240429=CARTESIAN_POINT('Ctrl Pts',(0.696505821288928,0.407032959365798, -0.314070513379561)); #240430=CARTESIAN_POINT('Ctrl Pts',(0.777030253187727,0.216418898952255, -0.327969455284716)); #240431=CARTESIAN_POINT('Ctrl Pts',(0.798406487485925,0.114682578701617, -0.335387728636325)); #240432=CARTESIAN_POINT('Ctrl Pts',(0.801481590167037,-0.0953254634418127, -0.350700815042618)); #240433=CARTESIAN_POINT('Ctrl Pts',(0.781548782996396,-0.201616888227639, -0.358451231433251)); #240434=CARTESIAN_POINT('Ctrl Pts',(0.703029354186326,-0.395087969146491, -0.372558497750251)); #240435=CARTESIAN_POINT('Ctrl Pts',(0.647176627085821,-0.481154445085921, -0.378757716242253)); #240436=CARTESIAN_POINT('Ctrl Pts',(0.504433360186145,-0.62961138190031, -0.393184115683196)); #240437=CARTESIAN_POINT('Ctrl Pts',(0.418208087617215,-0.689899918948422, -0.400827061674018)); #240438=CARTESIAN_POINT('Ctrl Pts',(0.225795998773029,-0.774771464064301, -0.41607171486616)); #240439=CARTESIAN_POINT('Ctrl Pts',(0.121149853873349,-0.797865928021655, -0.423521862398342)); #240440=CARTESIAN_POINT('Ctrl Pts',(-0.0864784658358559,-0.801765071746417, -0.436775266553857)); #240441=CARTESIAN_POINT('Ctrl Pts',(-0.187472429022974,-0.784335382562887, -0.44365955586802)); #240442=CARTESIAN_POINT('Ctrl Pts',(-0.381214796611476,-0.711194474889151, -0.458560533594114)); #240443=CARTESIAN_POINT('Ctrl Pts',(-0.471551374243286,-0.654800041704823, -0.466321126448133)); #240444=CARTESIAN_POINT('Ctrl Pts',(-0.624554674206386,-0.510769425928393, -0.481234046944319)); #240445=CARTESIAN_POINT('Ctrl Pts',(-0.685922018761389,-0.424804391938337, -0.48777467975448)); #240446=CARTESIAN_POINT('Ctrl Pts',(-0.771334109924303,-0.235807479583802, -0.501555704613665)); #240447=CARTESIAN_POINT('Ctrl Pts',(-0.795207918870699,-0.134895669437287, -0.508913857436849)); #240448=CARTESIAN_POINT('Ctrl Pts',(-0.803654197055901,0.0744284245250786, -0.524177072621605)); #240449=CARTESIAN_POINT('Ctrl Pts',(-0.786559303474064,0.18091749369257, -0.531941900581735)); #240450=CARTESIAN_POINT('Ctrl Pts',(-0.713235755314629,0.376431369898969, -0.546198120721786)); #240451=CARTESIAN_POINT('Ctrl Pts',(-0.659495971353792,0.464189515512454, -0.552361265105114)); #240452=CARTESIAN_POINT('Ctrl Pts',(-0.520585378328793,0.616278815662502, -0.566678069974136)); #240453=CARTESIAN_POINT('Ctrl Pts',(-0.436184094893085,0.678636506949686, -0.574281273187432)); #240454=CARTESIAN_POINT('Ctrl Pts',(-0.246321713913731,0.768495318632398, -0.589546745655634)); #240455=CARTESIAN_POINT('Ctrl Pts',(-0.142305944041705,0.794367774713586, -0.59705836742564)); #240456=CARTESIAN_POINT('Ctrl Pts',(-0.0241630509050635,0.79972653203908, -0.604714189069061)); #240457=CARTESIAN_POINT('Ctrl Pts',(-0.0120768891784999,0.799999999999998, -0.605487372398014)); #240458=CARTESIAN_POINT('Ctrl Pts',(-1.21537395532278E-13,0.8,-0.606249999999995)); #240459=CARTESIAN_POINT('Ctrl Pts',(-1.01681483745717E-14,0.8,-0.650000000000001)); #240460=CARTESIAN_POINT('Ctrl Pts',(-0.0456330822411629,0.8,-0.647118376465451)); #240461=CARTESIAN_POINT('Ctrl Pts',(-0.0912765453559003,0.796096651265452, -0.644093787813479)); #240462=CARTESIAN_POINT('Ctrl Pts',(-0.241612722446353,0.770074661672378, -0.633651687783761)); #240463=CARTESIAN_POINT('Ctrl Pts',(-0.342065274227278,0.73101047195107, -0.625884126366622)); #240464=CARTESIAN_POINT('Ctrl Pts',(-0.51829843488209,0.617985670900817, -0.610634714257352)); #240465=CARTESIAN_POINT('Ctrl Pts',(-0.593263725972074,0.546431071421011, -0.603292068178378)); #240466=CARTESIAN_POINT('Ctrl Pts',(-0.713279857196572,0.377120958678655, -0.589540562718704)); #240467=CARTESIAN_POINT('Ctrl Pts',(-0.756505160660862,0.2805267937614, -0.58295507871177)); #240468=CARTESIAN_POINT('Ctrl Pts',(-0.803367856285891,0.0755581159452241, -0.56800944595434)); #240469=CARTESIAN_POINT('Ctrl Pts',(-0.806323547362411,-0.0308233530858304, -0.560252463837493)); #240470=CARTESIAN_POINT('Ctrl Pts',(-0.771471745592299,-0.234839158474979, -0.5453763113612)); #240471=CARTESIAN_POINT('Ctrl Pts',(-0.735464155340079,-0.330742133319857, -0.538551219042315)); #240472=CARTESIAN_POINT('Ctrl Pts',(-0.62715372229412,-0.507884340999805, -0.525253356204099)); #240473=CARTESIAN_POINT('Ctrl Pts',(-0.554320899776143,-0.586501657655229, -0.517787875683232)); #240474=CARTESIAN_POINT('Ctrl Pts',(-0.383867316771178,-0.709571898664673, -0.502537190528319)); #240475=CARTESIAN_POINT('Ctrl Pts',(-0.288324471448296,-0.753471467626121, -0.494903375843305)); #240476=CARTESIAN_POINT('Ctrl Pts',(-0.0880854023460681,-0.801623796143957, -0.480503188400376)); #240477=CARTESIAN_POINT('Ctrl Pts',(0.0144722058038869,-0.806317727129647, -0.47431644749822)); #240478=CARTESIAN_POINT('Ctrl Pts',(0.221088820322942,-0.776255660451525, -0.460173073618059)); #240479=CARTESIAN_POINT('Ctrl Pts',(0.322812387573212,-0.739754941104978, -0.452418710372964)); #240480=CARTESIAN_POINT('Ctrl Pts',(0.502369447460186,-0.631044623803679, -0.437116700606388)); #240481=CARTESIAN_POINT('Ctrl Pts',(0.579319962790012,-0.561238906558313, -0.429712453542552)); #240482=CARTESIAN_POINT('Ctrl Pts',(0.703214947348933,-0.39537252874236, -0.415841560307527)); #240483=CARTESIAN_POINT('Ctrl Pts',(0.748624185308632,-0.300660339342751, -0.409423149743743)); #240484=CARTESIAN_POINT('Ctrl Pts',(0.801034351469315,-0.0975368031401341, -0.394612058562302)); #240485=CARTESIAN_POINT('Ctrl Pts',(0.806898862123799,0.00901653268285256, -0.386842544491876)); #240486=CARTESIAN_POINT('Ctrl Pts',(0.77744470032318,0.214301996966147, -0.371873812721219)); #240487=CARTESIAN_POINT('Ctrl Pts',(0.743924945014283,0.3113411969529,-0.364798037722185)); #240488=CARTESIAN_POINT('Ctrl Pts',(0.640150116006378,0.491375655096576, -0.351670525149209)); #240489=CARTESIAN_POINT('Ctrl Pts',(0.569421205196909,0.571867420914746, -0.344274964904969)); #240490=CARTESIAN_POINT('Ctrl Pts',(0.401947235290939,0.69952806027424, -0.329045077148337)); #240491=CARTESIAN_POINT('Ctrl Pts',(0.307313318358223,0.745964100161826, -0.321376183685108)); #240492=CARTESIAN_POINT('Ctrl Pts',(0.138948702549029,0.79093076264463, -0.309104938274545)); #240493=CARTESIAN_POINT('Ctrl Pts',(0.069566321533918,0.800000000000024, -0.304392952207016)); #240494=CARTESIAN_POINT('Ctrl Pts',(-2.733016804321E-13,0.8,-0.299999999999984)); #240495=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240496=CARTESIAN_POINT('',(1.16420348305126E-13,0.8,0.0937499999999828)); #240497=CARTESIAN_POINT('',(-1.41783900275301E-13,0.8,0.0500000000000167)); #240498=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240499=CARTESIAN_POINT('Ctrl Pts',(2.32938668354184E-13,0.8,0.0937499999999829)); #240500=CARTESIAN_POINT('Ctrl Pts',(0.0154757401078202,0.8,0.0927727428278056)); #240501=CARTESIAN_POINT('Ctrl Pts',(0.0309654736018746,0.799550950252192, 0.091778230400292)); #240502=CARTESIAN_POINT('Ctrl Pts',(0.148630526216434,0.792707473173256, 0.0840984528722797)); #240503=CARTESIAN_POINT('Ctrl Pts',(0.248700160846944,0.767211869636069, 0.076849759824964)); #240504=CARTESIAN_POINT('Ctrl Pts',(0.437382808473816,0.678231386892099, 0.0616908071969309)); #240505=CARTESIAN_POINT('Ctrl Pts',(0.523550721147116,0.61416712485562, 0.0539138267105685)); #240506=CARTESIAN_POINT('Ctrl Pts',(0.663378438061797,0.458778501886614, 0.0394188197793122)); #240507=CARTESIAN_POINT('Ctrl Pts',(0.716720872509475,0.369944874347074, 0.0332251470878231)); #240508=CARTESIAN_POINT('Ctrl Pts',(0.787210717203505,0.176123317293184, 0.0190923252193095)); #240509=CARTESIAN_POINT('Ctrl Pts',(0.803368932827471,0.0728850031703465, 0.0115645314811856)); #240510=CARTESIAN_POINT('Ctrl Pts',(0.795363619601344,-0.136612287560127, -0.00371131263457877)); #240511=CARTESIAN_POINT('Ctrl Pts',(0.77024125924492,-0.240841558298579, -0.0113113636259246)); #240512=CARTESIAN_POINT('Ctrl Pts',(0.682758093634699,-0.429095629315528, -0.025038222970911)); #240513=CARTESIAN_POINT('Ctrl Pts',(0.622940248877035,-0.512088079753058, -0.0314159761996152)); #240514=CARTESIAN_POINT('Ctrl Pts',(0.473030254758184,-0.653613213794094, -0.0460422159023272)); #240515=CARTESIAN_POINT('Ctrl Pts',(0.38349929622347,-0.709855312604553, -0.0537463819174342)); #240516=CARTESIAN_POINT('Ctrl Pts',(0.185685567082279,-0.785482125963767, -0.0689950886813148)); #240517=CARTESIAN_POINT('Ctrl Pts',(0.0790755120713996,-0.803236538624452, -0.0761385656137002)); #240518=CARTESIAN_POINT('Ctrl Pts',(-0.1298820157698,-0.795963953897248, -0.0895988962231021)); #240519=CARTESIAN_POINT('Ctrl Pts',(-0.230290631445504,-0.772905628983596, -0.0967801360224327)); #240520=CARTESIAN_POINT('Ctrl Pts',(-0.420614492139744,-0.688716214743292, -0.111868053084869)); #240521=CARTESIAN_POINT('Ctrl Pts',(-0.508116372743186,-0.626951600672567, -0.119645429226724)); #240522=CARTESIAN_POINT('Ctrl Pts',(-0.651975261711112,-0.47495381985349, -0.134271370890388)); #240523=CARTESIAN_POINT('Ctrl Pts',(-0.707729300080002,-0.387001794634337, -0.14053111914123)); #240524=CARTESIAN_POINT('Ctrl Pts',(-0.782809483638137,-0.1946287956224, -0.154558316985851)); #240525=CARTESIAN_POINT('Ctrl Pts',(-0.801368576198723,-0.0920451953183583, -0.162038371174688)); #240526=CARTESIAN_POINT('Ctrl Pts',(-0.798433793163353,0.117358013222229, -0.177307355130773)); #240527=CARTESIAN_POINT('Ctrl Pts',(-0.775832515031889,0.222176565473552, -0.184950374565765)); #240528=CARTESIAN_POINT('Ctrl Pts',(-0.692868402609103,0.412598680869471, -0.198835320480052)); #240529=CARTESIAN_POINT('Ctrl Pts',(-0.634994731564395,0.49708183449235, -0.205107660823889)); #240530=CARTESIAN_POINT('Ctrl Pts',(-0.4885926337155,0.642023137464284, -0.21963693282111)); #240531=CARTESIAN_POINT('Ctrl Pts',(-0.400661663908122,0.700274316156819, -0.227313310954985)); #240532=CARTESIAN_POINT('Ctrl Pts',(-0.207495040055387,0.7796396793804, -0.242404865071868)); #240533=CARTESIAN_POINT('Ctrl Pts',(-0.104205558164199,0.799999994847946, -0.24966965997779)); #240534=CARTESIAN_POINT('Ctrl Pts',(-3.9553011872695E-8,0.799999999999999, -0.256249997502321)); #240535=CARTESIAN_POINT('Ctrl Pts',(-2.733016804321E-13,0.8,-0.299999999999984)); #240536=CARTESIAN_POINT('Ctrl Pts',(-0.0315978802633968,0.799999999999989, -0.298004666988575)); #240537=CARTESIAN_POINT('Ctrl Pts',(-0.0632250439925238,0.798128209248054, -0.295939242422894)); #240538=CARTESIAN_POINT('Ctrl Pts',(-0.201262459278812,0.781668778350013, -0.286622415690417)); #240539=CARTESIAN_POINT('Ctrl Pts',(-0.304145399988961,0.747662492060056, -0.278885783566333)); #240540=CARTESIAN_POINT('Ctrl Pts',(-0.486792075271704,0.643177049054852, -0.263542703613212)); #240541=CARTESIAN_POINT('Ctrl Pts',(-0.565607755679978,0.575098778332625, -0.256083655512393)); #240542=CARTESIAN_POINT('Ctrl Pts',(-0.693185114681731,0.412545167805772, -0.242101006827531)); #240543=CARTESIAN_POINT('Ctrl Pts',(-0.740651885830474,0.319587521528191, -0.235803256778098)); #240544=CARTESIAN_POINT('Ctrl Pts',(-0.798273494791087,0.118265168414904, -0.221123501863588)); #240545=CARTESIAN_POINT('Ctrl Pts',(-0.80690064673469,0.011620867854903, -0.213347354947754)); #240546=CARTESIAN_POINT('Ctrl Pts',(-0.782577448559982,-0.194856283182353, -0.198291729351288)); #240547=CARTESIAN_POINT('Ctrl Pts',(-0.751395134171364,-0.292938807924095, -0.191139878588869)); #240548=CARTESIAN_POINT('Ctrl Pts',(-0.651884817512238,-0.47571060966403, -0.177812768045332)); #240549=CARTESIAN_POINT('Ctrl Pts',(-0.583103818421443,-0.557912514303542, -0.170647762901192)); #240550=CARTESIAN_POINT('Ctrl Pts',(-0.418562932045686,-0.689751736657817, -0.155450748409752)); #240551=CARTESIAN_POINT('Ctrl Pts',(-0.324835974194636,-0.738536270996882, -0.147753505120004)); #240552=CARTESIAN_POINT('Ctrl Pts',(-0.126994827704089,-0.796344583533566, -0.133152699856452)); #240553=CARTESIAN_POINT('Ctrl Pts',(-0.0251307087152937,-0.806011513241022, -0.126806115848766)); #240554=CARTESIAN_POINT('Ctrl Pts',(0.181547616640957,-0.786331579533376, -0.113037360336602)); #240555=CARTESIAN_POINT('Ctrl Pts',(0.284182484416424,-0.7553194066802, -0.105425553829941)); #240556=CARTESIAN_POINT('Ctrl Pts',(0.4689362298815,-0.656353184677224, -0.090150638870254)); #240557=CARTESIAN_POINT('Ctrl Pts',(0.549802432825615,-0.590274917454864, -0.0826350218111017)); #240558=CARTESIAN_POINT('Ctrl Pts',(0.681480085742447,-0.431460752619511, -0.0685297830123462)); #240559=CARTESIAN_POINT('Ctrl Pts',(0.731224755934049,-0.340418488055634, -0.0623221814207239)); #240560=CARTESIAN_POINT('Ctrl Pts',(0.794586209390958,-0.141154634584849, -0.0477925254384791)); #240561=CARTESIAN_POINT('Ctrl Pts',(0.806286200528122,-0.0344912486045145, -0.040014986877413)); #240562=CARTESIAN_POINT('Ctrl Pts',(0.787698512084451,0.173158991188996, -0.0248738235591362)); #240563=CARTESIAN_POINT('Ctrl Pts',(0.759143365384611,0.272332284471254, -0.0176424375906383)); #240564=CARTESIAN_POINT('Ctrl Pts',(0.664385157852885,0.458134996150225, -0.00409432319737994)); #240565=CARTESIAN_POINT('Ctrl Pts',(0.597706668123667,0.542270018449831, 0.00285980160759597)); #240566=CARTESIAN_POINT('Ctrl Pts',(0.436367334592401,0.678669371133474, 0.0180209001978755)); #240567=CARTESIAN_POINT('Ctrl Pts',(0.343692070600262,0.729989786571846, 0.0257441854527886)); #240568=CARTESIAN_POINT('Ctrl Pts',(0.164831970930644,0.787208287421708, 0.0391248779864934)); #240569=CARTESIAN_POINT('Ctrl Pts',(0.082616447234039,0.800000000000029, 0.0447829625570242)); #240570=CARTESIAN_POINT('Ctrl Pts',(-2.8346982880667E-13,0.8,0.0500000000000167)); #240571=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240572=CARTESIAN_POINT('',(1.87271792674729E-13,0.8,0.443749999999974)); #240573=CARTESIAN_POINT('',(-5.13306005925176E-15,0.8,0.399999999999999)); #240574=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240575=CARTESIAN_POINT('Ctrl Pts',(3.7464155709339E-13,0.8,0.443749999999974)); #240576=CARTESIAN_POINT('Ctrl Pts',(0.028698216139271,0.8,0.441937774067288)); #240577=CARTESIAN_POINT('Ctrl Pts',(0.057426543636405,0.798455937850835, 0.440067352739527)); #240578=CARTESIAN_POINT('Ctrl Pts',(0.188323526353267,0.784291877837052, 0.431285113195475)); #240579=CARTESIAN_POINT('Ctrl Pts',(0.287473426061181,0.753611189623737, 0.423905459734488)); #240580=CARTESIAN_POINT('Ctrl Pts',(0.472260574671462,0.654515463555055, 0.40862371394109)); #240581=CARTESIAN_POINT('Ctrl Pts',(0.555387470764236,0.585638733766784, 0.40086331206049)); #240582=CARTESIAN_POINT('Ctrl Pts',(0.686524704858333,0.423162151157227, 0.386658673811677)); #240583=CARTESIAN_POINT('Ctrl Pts',(0.734773760300116,0.332402388396755, 0.380487674153944)); #240584=CARTESIAN_POINT('Ctrl Pts',(0.7952729400379,0.135531089864612,0.366132475302642)); #240585=CARTESIAN_POINT('Ctrl Pts',(0.806138100300425,0.0310613053713621, 0.358514886850008)); #240586=CARTESIAN_POINT('Ctrl Pts',(0.787082243506416,-0.178211600117512, 0.343255404158111)); #240587=CARTESIAN_POINT('Ctrl Pts',(0.756531974203456,-0.280943495078569, 0.3357645368172)); #240588=CARTESIAN_POINT('Ctrl Pts',(0.659294853021707,-0.464346251978119, 0.322391419126608)); #240589=CARTESIAN_POINT('Ctrl Pts',(0.595159963592454,-0.544140556152507, 0.315661183208052)); #240590=CARTESIAN_POINT('Ctrl Pts',(0.43752639849113,-0.677977712203499, 0.300827508736734)); #240591=CARTESIAN_POINT('Ctrl Pts',(0.344606633514213,-0.729609554949256, 0.293077429689901)); #240592=CARTESIAN_POINT('Ctrl Pts',(0.144180187606099,-0.79390199700586, 0.278076968195893)); #240593=CARTESIAN_POINT('Ctrl Pts',(0.0386850284144618,-0.805954876426238, 0.271409974885047)); #240594=CARTESIAN_POINT('Ctrl Pts',(-0.168372458459067,-0.788782637468896, 0.257708702571668)); #240595=CARTESIAN_POINT('Ctrl Pts',(-0.268019370580534,-0.760712429072675, 0.250392837670336)); #240596=CARTESIAN_POINT('Ctrl Pts',(-0.454836827700846,-0.666696111986539, 0.23516806937911)); #240597=CARTESIAN_POINT('Ctrl Pts',(-0.539529502446827,-0.600228627366044, 0.227396629263661)); #240598=CARTESIAN_POINT('Ctrl Pts',(-0.675062665992906,-0.441301421762347, 0.213043802613493)); #240599=CARTESIAN_POINT('Ctrl Pts',(-0.725871859833722,-0.351520857669297, 0.206881729205067)); #240600=CARTESIAN_POINT('Ctrl Pts',(-0.791442149216984,-0.156180384800847, 0.192638153058409)); #240601=CARTESIAN_POINT('Ctrl Pts',(-0.805004448270701,-0.0523022011029951, 0.185063702163774)); #240602=CARTESIAN_POINT('Ctrl Pts',(-0.791557730163901,0.157158121410413, 0.169790553647171)); #240603=CARTESIAN_POINT('Ctrl Pts',(-0.763751211834623,0.260686495635674, 0.162241609693246)); #240604=CARTESIAN_POINT('Ctrl Pts',(-0.671451207623712,0.446573824068703, 0.148687325328337)); #240605=CARTESIAN_POINT('Ctrl Pts',(-0.609523403628291,0.527984235682657, 0.142157233722031)); #240606=CARTESIAN_POINT('Ctrl Pts',(-0.455825321599619,0.665768197806735, 0.127428181927419)); #240607=CARTESIAN_POINT('Ctrl Pts',(-0.364608274406363,0.719780767047534, 0.119698828247879)); #240608=CARTESIAN_POINT('Ctrl Pts',(-0.180116868768628,0.784706517630569, 0.105681538295005)); #240609=CARTESIAN_POINT('Ctrl Pts',(-0.0903315718054661,0.8,0.0994542297045033)); #240610=CARTESIAN_POINT('Ctrl Pts',(2.32938668354184E-13,0.8,0.0937499999999829)); #240611=CARTESIAN_POINT('Ctrl Pts',(-2.8346982880667E-13,0.8,0.0500000000000167)); #240612=CARTESIAN_POINT('Ctrl Pts',(-0.0184950008228629,0.799999999999994, 0.0511679164988458)); #240613=CARTESIAN_POINT('Ctrl Pts',(-0.0370071648301616,0.799358651700589, 0.0523602993031522)); #240614=CARTESIAN_POINT('Ctrl Pts',(-0.16142789179816,0.790705504759569, 0.0605326595789321)); #240615=CARTESIAN_POINT('Ctrl Pts',(-0.264805438745927,0.762326339594391, 0.0680953694845769)); #240616=CARTESIAN_POINT('Ctrl Pts',(-0.452240511038759,0.668006141386151, 0.0833702177438394)); #240617=CARTESIAN_POINT('Ctrl Pts',(-0.534944040698566,0.60381629428613, 0.0909330090826552)); #240618=CARTESIAN_POINT('Ctrl Pts',(-0.670351170403999,0.448450454094041, 0.105148035540169)); #240619=CARTESIAN_POINT('Ctrl Pts',(-0.722159857469065,0.359114981401446, 0.111314532606144)); #240620=CARTESIAN_POINT('Ctrl Pts',(-0.790684508170774,0.161768278383282, 0.125704396367885)); #240621=CARTESIAN_POINT('Ctrl Pts',(-0.805172143695451,0.055161976070851, 0.133477772578167)); #240622=CARTESIAN_POINT('Ctrl Pts',(-0.791813342283598,-0.153414389098519, 0.148686465871767)); #240623=CARTESIAN_POINT('Ctrl Pts',(-0.765666207469322,-0.253514029445923, 0.155985397980432)); #240624=CARTESIAN_POINT('Ctrl Pts',(-0.675748121752981,-0.440987827644001, 0.169655362432376)); #240625=CARTESIAN_POINT('Ctrl Pts',(-0.611848552611858,-0.526076732625857, 0.176376394118503)); #240626=CARTESIAN_POINT('Ctrl Pts',(-0.454832194780875,-0.666480281993472, 0.191410493135441)); #240627=CARTESIAN_POINT('Ctrl Pts',(-0.363339525030549,-0.720452828869712, 0.199155792592875)); #240628=CARTESIAN_POINT('Ctrl Pts',(-0.168239139199873,-0.788665461128091, 0.213962849320239)); #240629=CARTESIAN_POINT('Ctrl Pts',(-0.0669948355990046,-0.803612986693542, 0.220637707705364)); #240630=CARTESIAN_POINT('Ctrl Pts',(0.140379146059525,-0.794710332027939, 0.234057470671314)); #240631=CARTESIAN_POINT('Ctrl Pts',(0.24445560610322,-0.769090684783264, 0.241563707897282)); #240632=CARTESIAN_POINT('Ctrl Pts',(0.434556085085476,-0.67968386949857, 0.25682773666799)); #240633=CARTESIAN_POINT('Ctrl Pts',(0.519125843797436,-0.617512801381004, 0.264434774735352)); #240634=CARTESIAN_POINT('Ctrl Pts',(0.658387303570668,-0.465753929840314, 0.278761689151128)); #240635=CARTESIAN_POINT('Ctrl Pts',(0.712320447779128,-0.378152103467422, 0.284926409122168)); #240636=CARTESIAN_POINT('Ctrl Pts',(0.786121991508332,-0.182823639799776, 0.299169109597934)); #240637=CARTESIAN_POINT('Ctrl Pts',(0.803477361790499,-0.0763496689455925, 0.306932836639385)); #240638=CARTESIAN_POINT('Ctrl Pts',(0.795523030796416,0.133042844196808, 0.322201040722685)); #240639=CARTESIAN_POINT('Ctrl Pts',(0.771877456570631,0.234032916795046, 0.329564900182973)); #240640=CARTESIAN_POINT('Ctrl Pts',(0.686914623236494,0.423179983911934, 0.343356873826913)); #240641=CARTESIAN_POINT('Ctrl Pts',(0.625796890478814,0.509231900884104, 0.349881454372362)); #240642=CARTESIAN_POINT('Ctrl Pts',(0.473192977912834,0.653618945496289, 0.364782149280028)); #240643=CARTESIAN_POINT('Ctrl Pts',(0.382974458211385,0.710252268656397, 0.372543996579334)); #240644=CARTESIAN_POINT('Ctrl Pts',(0.193463069270206,0.782325160931814, 0.387138859734237)); #240645=CARTESIAN_POINT('Ctrl Pts',(0.0970960483602626,0.800000000000001, 0.39386860925615)); #240646=CARTESIAN_POINT('Ctrl Pts',(-1.01681483745717E-14,0.8,0.399999999999999)); #240647=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240648=CARTESIAN_POINT('',(2.52512888238194E-14,0.8,0.793749999999994)); #240649=CARTESIAN_POINT('',(3.54020899636318E-14,0.8,0.749999999999994)); #240650=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240651=CARTESIAN_POINT('Ctrl Pts',(5.06005493915706E-14,0.8,0.793749999999994)); #240652=CARTESIAN_POINT('Ctrl Pts',(0.042460148250123,0.8,0.791068739903835)); #240653=CARTESIAN_POINT('Ctrl Pts',(0.0849410490161109,0.796620470844293, 0.788262957333874)); #240654=CARTESIAN_POINT('Ctrl Pts',(0.229121419106282,0.773428246292271, 0.778312750786915)); #240655=CARTESIAN_POINT('Ctrl Pts',(0.327030162268788,0.737382060684287, 0.770815856396839)); #240656=CARTESIAN_POINT('Ctrl Pts',(0.506081638690698,0.628609369231439, 0.755543498998398)); #240657=CARTESIAN_POINT('Ctrl Pts',(0.584953995383055,0.55597357016771, 0.747914712033955)); #240658=CARTESIAN_POINT('Ctrl Pts',(0.706964760588751,0.387937620907207, 0.734083703931507)); #240659=CARTESIAN_POINT('Ctrl Pts',(0.750415446089983,0.295256867548782, 0.727779146592113)); #240660=CARTESIAN_POINT('Ctrl Pts',(0.801127980721392,0.0955403470677341, 0.713216483640369)); #240661=CARTESIAN_POINT('Ctrl Pts',(0.806743011019993,-0.00987272319780415, 0.705530113933506)); #240662=CARTESIAN_POINT('Ctrl Pts',(0.776878151622239,-0.218483715237148, 0.69031889576397)); #240663=CARTESIAN_POINT('Ctrl Pts',(0.741063181779092,-0.319491631711248, 0.683064959141897)); #240664=CARTESIAN_POINT('Ctrl Pts',(0.634334873345789,-0.498002932735216, 0.669817088949947)); #240665=CARTESIAN_POINT('Ctrl Pts',(0.565812092208891,-0.574658755437395, 0.662695290367913)); #240666=CARTESIAN_POINT('Ctrl Pts',(0.400592934772357,-0.700518525430526, 0.647673445496046)); #240667=CARTESIAN_POINT('Ctrl Pts',(0.304502181260324,-0.747316795467193, 0.639899349866881)); #240668=CARTESIAN_POINT('Ctrl Pts',(0.101753400179909,-0.800249370552327, 0.625166400098008)); #240669=CARTESIAN_POINT('Ctrl Pts',(-0.00267835923523294,-0.806686248549724, 0.618824637291231)); #240670=CARTESIAN_POINT('Ctrl Pts',(-0.207660119695664,-0.779427888964304, 0.604886719149071)); #240671=CARTESIAN_POINT('Ctrl Pts',(-0.306259967912734,-0.746208782246095, 0.597449253658752)); #240672=CARTESIAN_POINT('Ctrl Pts',(-0.488937078724178,-0.642199763139392, 0.58212162139373)); #240673=CARTESIAN_POINT('Ctrl Pts',(-0.570473072546446,-0.571007052597073, 0.574377244511351)); #240674=CARTESIAN_POINT('Ctrl Pts',(-0.697289175222768,-0.405112688185909, 0.56032086908296)); #240675=CARTESIAN_POINT('Ctrl Pts',(-0.743053783078351,-0.313372901384879, 0.554100107392661)); #240676=CARTESIAN_POINT('Ctrl Pts',(-0.798530986211812,-0.115023582346213, 0.539637136212758)); #240677=CARTESIAN_POINT('Ctrl Pts',(-0.806708233443924,-0.0100369165701627, 0.531981858499921)); #240678=CARTESIAN_POINT('Ctrl Pts',(-0.782106832335748,0.198901543131664, 0.516746762479996)); #240679=CARTESIAN_POINT('Ctrl Pts',(-0.748867108736092,0.300772283561744, 0.509318687656969)); #240680=CARTESIAN_POINT('Ctrl Pts',(-0.646769565754543,0.481672003529597, 0.496128083075979)); #240681=CARTESIAN_POINT('Ctrl Pts',(-0.580413897028546,0.559878210774096, 0.489156234573392)); #240682=CARTESIAN_POINT('Ctrl Pts',(-0.418853951260476,0.689713173582141, 0.474220531545137)); #240683=CARTESIAN_POINT('Ctrl Pts',(-0.324288080027923,0.738905974488564, 0.466455428098249)); #240684=CARTESIAN_POINT('Ctrl Pts',(-0.150312113218922,0.789378671638154, 0.453629098011213)); #240685=CARTESIAN_POINT('Ctrl Pts',(-0.0752841993068545,0.8,0.448504022955466)); #240686=CARTESIAN_POINT('Ctrl Pts',(3.7464155709339E-13,0.8,0.443749999999974)); #240687=CARTESIAN_POINT('Ctrl Pts',(-1.01681483745717E-14,0.8,0.399999999999999)); #240688=CARTESIAN_POINT('Ctrl Pts',(-0.00437248954921438,0.8,0.400276112595746)); #240689=CARTESIAN_POINT('Ctrl Pts',(-0.00874629508703925,0.799964152524729, 0.400553615232336)); #240690=CARTESIAN_POINT('Ctrl Pts',(-0.119252634001488,0.798151469614044, 0.407597922186932)); #240691=CARTESIAN_POINT('Ctrl Pts',(-0.22395145488243,0.775306357788288, 0.41504230512229)); #240692=CARTESIAN_POINT('Ctrl Pts',(-0.416585274566216,0.690884668011691, 0.430284559127888)); #240693=CARTESIAN_POINT('Ctrl Pts',(-0.502971347292438,0.63078383744499, 0.437930815811034)); #240694=CARTESIAN_POINT('Ctrl Pts',(-0.646056284677192,0.482653189960026, 0.452366894987244)); #240695=CARTESIAN_POINT('Ctrl Pts',(-0.702097520139379,0.396735849986538, 0.458571344271818)); #240696=CARTESIAN_POINT('Ctrl Pts',(-0.781077438416464,0.203448696727993, 0.47266519919692)); #240697=CARTESIAN_POINT('Ctrl Pts',(-0.801262386925056,0.097178363376479, 0.480414077670467)); #240698=CARTESIAN_POINT('Ctrl Pts',(-0.798665709660793,-0.112883896497227, 0.495731117452925)); #240699=CARTESIAN_POINT('Ctrl Pts',(-0.777512542893325,-0.214690471670368, 0.5031545135593)); #240700=CARTESIAN_POINT('Ctrl Pts',(-0.697421721281206,-0.405446255132179, 0.517063789436724)); #240701=CARTESIAN_POINT('Ctrl Pts',(-0.63897826466373,-0.492436700906833, 0.523436756099167)); #240702=CARTESIAN_POINT('Ctrl Pts',(-0.490695835336856,-0.640629981048967, 0.538203328345219)); #240703=CARTESIAN_POINT('Ctrl Pts',(-0.401788717866203,-0.699822995654854, 0.545975685712599)); #240704=CARTESIAN_POINT('Ctrl Pts',(-0.209789460353815,-0.778685668211677, 0.560975196082841)); #240705=CARTESIAN_POINT('Ctrl Pts',(-0.109074723230186,-0.799047880001213, 0.568077470952165)); #240706=CARTESIAN_POINT('Ctrl Pts',(0.0988227018603603,-0.800924767028189, 0.581274140380944)); #240707=CARTESIAN_POINT('Ctrl Pts',(0.204075209693416,-0.780786264938481, 0.588587377956828)); #240708=CARTESIAN_POINT('Ctrl Pts',(0.399117798530039,-0.701158753830591, 0.603806952395832)); #240709=CARTESIAN_POINT('Ctrl Pts',(0.487195423764906,-0.643087651965193, 0.611486026179558)); #240710=CARTESIAN_POINT('Ctrl Pts',(0.633915841568158,-0.498454480408155, 0.626024165387371)); #240711=CARTESIAN_POINT('Ctrl Pts',(0.691965623862907,-0.414107673765666, 0.632304648787923)); #240712=CARTESIAN_POINT('Ctrl Pts',(0.775342176821565,-0.22388130238625, 0.646175321701006)); #240713=CARTESIAN_POINT('Ctrl Pts',(0.798173572216995,-0.119113798432196, 0.653814618864322)); #240714=CARTESIAN_POINT('Ctrl Pts',(0.801569585153004,0.0903031027204236, 0.669084601240034)); #240715=CARTESIAN_POINT('Ctrl Pts',(0.783228163404673,0.192948591079425, 0.676569168099545)); #240716=CARTESIAN_POINT('Ctrl Pts',(0.708565913307137,0.385454780766524, 0.690606077764229)); #240717=CARTESIAN_POINT('Ctrl Pts',(0.653033476426016,0.473486990228739, 0.696858327135987)); #240718=CARTESIAN_POINT('Ctrl Pts',(0.509542492023422,0.625797185778023, 0.711472426598167)); #240719=CARTESIAN_POINT('Ctrl Pts',(0.422160275852038,0.687773427633781, 0.719249961804406)); #240720=CARTESIAN_POINT('Ctrl Pts',(0.231981727011931,0.772402639728668, 0.734344737056332)); #240721=CARTESIAN_POINT('Ctrl Pts',(0.131601695528857,0.795684206526231, 0.741532310857068)); #240722=CARTESIAN_POINT('Ctrl Pts',(0.0196850812736372,0.79981847884831, 0.748750314716247)); #240723=CARTESIAN_POINT('Ctrl Pts',(0.00983930478460056,0.8,0.749378670674028)); #240724=CARTESIAN_POINT('Ctrl Pts',(7.09021516711955E-14,0.8,0.749999999999994)); #240725=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240726=CARTESIAN_POINT('',(-5.09836558973223E-15,0.8,1.14375)); #240727=CARTESIAN_POINT('',(2.02419931828032E-13,0.8,1.09999999999997)); #240728=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240729=CARTESIAN_POINT('Ctrl Pts',(-1.00987594355327E-14,0.8,1.14375)); #240730=CARTESIAN_POINT('Ctrl Pts',(0.0556094573984335,0.800000000000001, 1.14023839146267)); #240731=CARTESIAN_POINT('Ctrl Pts',(0.111178807338899,0.794204022707234, 1.13651800394636)); #240732=CARTESIAN_POINT('Ctrl Pts',(0.26745849571753,0.761090129067492, 1.12544202593221)); #240733=CARTESIAN_POINT('Ctrl Pts',(0.363914361829934,0.719967790482506, 1.11785180928815)); #240734=CARTESIAN_POINT('Ctrl Pts',(0.537559126934914,0.601906119633221, 1.10258221248942)); #240735=CARTESIAN_POINT('Ctrl Pts',(0.612594112221164,0.525357637565666, 1.09505309674724)); #240736=CARTESIAN_POINT('Ctrl Pts',(0.725803330504614,0.351406457877959, 1.08156222652078)); #240737=CARTESIAN_POINT('Ctrl Pts',(0.764462187336503,0.256685895513707, 1.07496667988122)); #240738=CARTESIAN_POINT('Ctrl Pts',(0.805052302707067,0.054180015461742, 1.06020062612743)); #240739=CARTESIAN_POINT('Ctrl Pts',(0.805200459471785,-0.0519311507200832, 1.05246335359334)); #240740=CARTESIAN_POINT('Ctrl Pts',(0.764334790720895,-0.258809211769233, 1.03737849497517)); #240741=CARTESIAN_POINT('Ctrl Pts',(0.723468463562969,-0.357451862119571, 1.0305699266725)); #240742=CARTESIAN_POINT('Ctrl Pts',(0.607599779800012,-0.530392798745749, 1.01694794913412)); #240743=CARTESIAN_POINT('Ctrl Pts',(0.534776336757784,-0.603736870442074, 1.00967531462791)); #240744=CARTESIAN_POINT('Ctrl Pts',(0.362167796557891,-0.721218725311608, 0.994492292158051)); #240745=CARTESIAN_POINT('Ctrl Pts',(0.263126719906739,-0.762949393824823, 0.986716646756148)); #240746=CARTESIAN_POINT('Ctrl Pts',(0.0583159479853866,-0.80443369656991, 0.972270871966814)); #240747=CARTESIAN_POINT('Ctrl Pts',(-0.0451296005319916,-0.805280905701471, 0.966092703190551)); #240748=CARTESIAN_POINT('Ctrl Pts',(-0.247834293315943,-0.767669615527907, 0.951921126007967)); #240749=CARTESIAN_POINT('Ctrl Pts',(-0.345069482546972,-0.729149908665605, 0.944376584527456)); #240750=CARTESIAN_POINT('Ctrl Pts',(-0.521554376642035,-0.61582947021743, 0.929100497587223)); #240751=CARTESIAN_POINT('Ctrl Pts',(-0.598586885064598,-0.541266149532134, 0.921517618557256)); #240752=CARTESIAN_POINT('Ctrl Pts',(-0.716331525864063,-0.370332826735607, 0.907850372927771)); #240753=CARTESIAN_POINT('Ctrl Pts',(-0.757453497389013,-0.276676378985427, 0.901424319301034)); #240754=CARTESIAN_POINT('Ctrl Pts',(-0.803288542789476,-0.0755960407702512, 0.886762211306177)); #240755=CARTESIAN_POINT('Ctrl Pts',(-0.806272093272944,0.0301886997683071, 0.879048740641907)); #240756=CARTESIAN_POINT('Ctrl Pts',(-0.770963657837665,0.238755564655281, 0.863840740077231)); #240757=CARTESIAN_POINT('Ctrl Pts',(-0.73228614449795,0.339306759457685, 0.856788283017933)); #240758=CARTESIAN_POINT('Ctrl Pts',(-0.620220146944678,0.51553033432352, 0.843286756793958)); #240759=CARTESIAN_POINT('Ctrl Pts',(-0.549374130942129,0.590442035156766, 0.83608183908331)); #240760=CARTESIAN_POINT('Ctrl Pts',(-0.380145398544994,0.711867217729717, 0.82096847649843)); #240761=CARTESIAN_POINT('Ctrl Pts',(-0.282442319281875,0.755973647685095, 0.813190706232465)); #240762=CARTESIAN_POINT('Ctrl Pts',(-0.120128443312616,0.793230326291606, 0.801582644201679)); #240763=CARTESIAN_POINT('Ctrl Pts',(-0.0601007425182771,0.8,0.797545222798437)); #240764=CARTESIAN_POINT('Ctrl Pts',(5.06005493915706E-14,0.8,0.793749999999994)); #240765=CARTESIAN_POINT('Ctrl Pts',(7.09021516711955E-14,0.8,0.749999999999994)); #240766=CARTESIAN_POINT('Ctrl Pts',(-0.0968800880618757,0.8,0.756117753350798)); #240767=CARTESIAN_POINT('Ctrl Pts',(-0.19305322486422,0.782406393848532, 0.762832297923281)); #240768=CARTESIAN_POINT('Ctrl Pts',(-0.381771011205149,0.710790001048364, 0.777360403700584)); #240769=CARTESIAN_POINT('Ctrl Pts',(-0.471459448839802,0.65475109581886, 0.785067106057091)); #240770=CARTESIAN_POINT('Ctrl Pts',(-0.621719076756157,0.513568962800574, 0.799702919079334)); #240771=CARTESIAN_POINT('Ctrl Pts',(-0.681731161837308,0.430723699941133, 0.806093063545964)); #240772=CARTESIAN_POINT('Ctrl Pts',(-0.769661631204336,0.242686999191982, 0.819804072975589)); #240773=CARTESIAN_POINT('Ctrl Pts',(-0.795033439785887,0.138519648084016, 0.827399608993879)); #240774=CARTESIAN_POINT('Ctrl Pts',(-0.803542561370172,-0.0709802142048855, 0.842675640619111)); #240775=CARTESIAN_POINT('Ctrl Pts',(-0.787623849461685,-0.174280499982807, 0.850207953123751)); #240776=CARTESIAN_POINT('Ctrl Pts',(-0.717589701058411,-0.368244138570367, 0.864351135104093)); #240777=CARTESIAN_POINT('Ctrl Pts',(-0.66448403061171,-0.457165452944502, 0.870540195092443)); #240778=CARTESIAN_POINT('Ctrl Pts',(-0.525055298012994,-0.612885881629536, 0.885022104170914)); #240779=CARTESIAN_POINT('Ctrl Pts',(-0.439022107554645,-0.677175435918146, 0.892798791041217)); #240780=CARTESIAN_POINT('Ctrl Pts',(-0.250507706721,-0.766626731902115, 0.907964284339673)); #240781=CARTESIAN_POINT('Ctrl Pts',(-0.150474685374694,-0.792362481405985, 0.915219420664528)); #240782=CARTESIAN_POINT('Ctrl Pts',(0.0574823156839783,-0.804936884390871, 0.92880986578045)); #240783=CARTESIAN_POINT('Ctrl Pts',(0.163488385371977,-0.790260663101945, 0.935678993164943)); #240784=CARTESIAN_POINT('Ctrl Pts',(0.362744469432382,-0.720725764350231, 0.95079668025052)); #240785=CARTESIAN_POINT('Ctrl Pts',(0.454123719221068,-0.666934169275786, 0.958528220298759)); #240786=CARTESIAN_POINT('Ctrl Pts',(0.608191667915881,-0.529518486426605, 0.973267201468788)); #240787=CARTESIAN_POINT('Ctrl Pts',(0.670326193400959,-0.448261101902515, 0.979814294652947)); #240788=CARTESIAN_POINT('Ctrl Pts',(0.763093074737593,-0.262606197571289, 0.993351631427099)); #240789=CARTESIAN_POINT('Ctrl Pts',(0.79115944500515,-0.159149758432139, 1.00089533011433)); #240790=CARTESIAN_POINT('Ctrl Pts',(0.805135332496254,0.0502993583011259, 1.0161676615428)); #240791=CARTESIAN_POINT('Ctrl Pts',(0.791826560891839,0.154236304082835, 1.02374639717271)); #240792=CARTESIAN_POINT('Ctrl Pts',(0.726734526882667,0.349722794418976, 1.03800062042639)); #240793=CARTESIAN_POINT('Ctrl Pts',(0.676169092002197,0.43959532124099, 1.0441617327901)); #240794=CARTESIAN_POINT('Ctrl Pts',(0.541051839967992,0.598861590843962, 1.05850065729915)); #240795=CARTESIAN_POINT('Ctrl Pts',(0.45650496194223,0.665559285348841, 1.06627128375784)); #240796=CARTESIAN_POINT('Ctrl Pts',(0.269874454284761,0.760059593642255, 1.08150189213591)); #240797=CARTESIAN_POINT('Ctrl Pts',(0.170271814065254,0.788377947841502, 1.08882400835149)); #240798=CARTESIAN_POINT('Ctrl Pts',(0.045360206299989,0.799036605782458, 1.09710048420211)); #240799=CARTESIAN_POINT('Ctrl Pts',(0.0226680606169928,0.8,1.0985685644329)); #240800=CARTESIAN_POINT('Ctrl Pts',(4.04937835399996E-13,0.8,1.09999999999997)); #240801=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240802=CARTESIAN_POINT('',(-1.26635761122005E-13,0.8,1.49375000000001)); #240803=CARTESIAN_POINT('',(1.01220167447546E-13,0.8,1.44999999999999)); #240804=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240805=CARTESIAN_POINT('Ctrl Pts',(-2.53173550500077E-13,0.8,1.49375000000001)); #240806=CARTESIAN_POINT('Ctrl Pts',(0.0691935499698905,0.800000000000022, 1.48938058745454)); #240807=CARTESIAN_POINT('Ctrl Pts',(0.138208181653615,0.79102768388823, 1.48469535749322)); #240808=CARTESIAN_POINT('Ctrl Pts',(0.306261179458409,0.746394673194997, 1.47245595442662)); #240809=CARTESIAN_POINT('Ctrl Pts',(0.400947448071759,0.700099404985681, 1.46478888737669)); #240810=CARTESIAN_POINT('Ctrl Pts',(0.56851258614541,0.572751747605299, 1.44956465336258)); #240811=CARTESIAN_POINT('Ctrl Pts',(0.639386294378652,0.492391714714483, 1.44215934984538)); #240812=CARTESIAN_POINT('Ctrl Pts',(0.743416126710611,0.312516668559789, 1.42903216229957)); #240813=CARTESIAN_POINT('Ctrl Pts',(0.777110207633485,0.215551154791066, 1.42196727170352)); #240814=CARTESIAN_POINT('Ctrl Pts',(0.806882144655826,0.0102433119301469, 1.40699690816158)); #240815=CARTESIAN_POINT('Ctrl Pts',(0.801181556312509,-0.096302419748947, 1.39922794855998)); #240816=CARTESIAN_POINT('Ctrl Pts',(0.749082291163517,-0.299531345329736, 1.38440917273638)); #240817=CARTESIAN_POINT('Ctrl Pts',(0.703795577001589,-0.394348636420519, 1.37798243451886)); #240818=CARTESIAN_POINT('Ctrl Pts',(0.580119082028248,-0.560410236909241, 1.36411822090223)); #240819=CARTESIAN_POINT('Ctrl Pts',(0.503279864460677,-0.63031639755226, 1.35671734003563)); #240820=CARTESIAN_POINT('Ctrl Pts',(0.323908206958224,-0.739273464630678, 1.34141804191494)); #240821=CARTESIAN_POINT('Ctrl Pts',(0.222254908628197,-0.775920342260891, 1.33366278730211)); #240822=CARTESIAN_POINT('Ctrl Pts',(0.01568478185635,-0.806297054610386, 1.31951087755883)); #240823=CARTESIAN_POINT('Ctrl Pts',(-0.086895727654468,-0.801755590154836, 1.31332674179312)); #240824=CARTESIAN_POINT('Ctrl Pts',(-0.287205521330256,-0.753896615074863, 1.29893278343447)); #240825=CARTESIAN_POINT('Ctrl Pts',(-0.382799580933822,-0.710146239735803, 1.29130117403697)); #240826=CARTESIAN_POINT('Ctrl Pts',(-0.553428859389142,-0.587343708496814, 1.27604915437303)); #240827=CARTESIAN_POINT('Ctrl Pts',(-0.626381854612781,-0.508836213756686, 1.26858008914791)); #240828=CARTESIAN_POINT('Ctrl Pts',(-0.734957122772472,-0.331864755997362, 1.25527172784269)); #240829=CARTESIAN_POINT('Ctrl Pts',(-0.771107896686595,-0.236026609784125, 1.2484602736301)); #240830=CARTESIAN_POINT('Ctrl Pts',(-0.806271936180228,-0.0320916015325111, 1.23359001261175)); #240831=CARTESIAN_POINT('Ctrl Pts',(-0.803485091589638,0.0742774800314589, 1.22583393374772)); #240832=CARTESIAN_POINT('Ctrl Pts',(-0.756946478624534,0.279351621475082, 1.21088061093412)); #240833=CARTESIAN_POINT('Ctrl Pts',(-0.713848659495433,0.3760561414361, 1.20428417729207)); #240834=CARTESIAN_POINT('Ctrl Pts',(-0.59405785612147,0.545564874005141, 1.19053965941683)); #240835=CARTESIAN_POINT('Ctrl Pts',(-0.519208460112299,0.617218832644016, 1.18320070937465)); #240836=CARTESIAN_POINT('Ctrl Pts',(-0.343170426374122,0.730489945368697, 1.16795463896545)); #240837=CARTESIAN_POINT('Ctrl Pts',(-0.242793204731949,0.769700996374862, 1.16018648015456)); #240838=CARTESIAN_POINT('Ctrl Pts',(-0.0921036168059609,0.796025484094786, 1.14971103447094)); #240839=CARTESIAN_POINT('Ctrl Pts',(-0.0460472954603727,0.8,1.14665778014072)); #240840=CARTESIAN_POINT('Ctrl Pts',(-1.00987594355327E-14,0.8,1.14375)); #240841=CARTESIAN_POINT('Ctrl Pts',(4.04937835399996E-13,0.8,1.09999999999997)); #240842=CARTESIAN_POINT('Ctrl Pts',(-0.0820913290942689,0.8,1.10518387744771)); #240843=CARTESIAN_POINT('Ctrl Pts',(-0.163808819374742,0.78737073992022, 1.1108045896133)); #240844=CARTESIAN_POINT('Ctrl Pts',(-0.342676704569532,0.730521945049855, 1.12417229492107)); #240845=CARTESIAN_POINT('Ctrl Pts',(-0.4357563922107,0.679120889164603, 1.13192405860975)); #240846=CARTESIAN_POINT('Ctrl Pts',(-0.593765879216452,0.545664061119378, 1.14676760555412)); #240847=CARTESIAN_POINT('Ctrl Pts',(-0.658112523237507,0.466022792660272, 1.15351917136853)); #240848=CARTESIAN_POINT('Ctrl Pts',(-0.755817765165111,0.282858662026066, 1.16687488922727)); #240849=CARTESIAN_POINT('Ctrl Pts',(-0.786627637178898,0.180206385767156, 1.17435995103782)); #240850=CARTESIAN_POINT('Ctrl Pts',(-0.806216714450273,-0.029040811095001, 1.18961755914235)); #240851=CARTESIAN_POINT('Ctrl Pts',(-0.795609078868625,-0.133563237508324, 1.19723898606832)); #240852=CARTESIAN_POINT('Ctrl Pts',(-0.73559229626325,-0.330578152152654, 1.21160465692781)); #240853=CARTESIAN_POINT('Ctrl Pts',(-0.68758402606299,-0.421431574501517, 1.21777868618862)); #240854=CARTESIAN_POINT('Ctrl Pts',(-0.556863294491434,-0.584240596604656, 1.23196914345692)); #240855=CARTESIAN_POINT('Ctrl Pts',(-0.473887547341724,-0.653342833187624, 1.23972822223346)); #240856=CARTESIAN_POINT('Ctrl Pts',(-0.289298916229779,-0.752915629728702, 1.25501482530058)); #240857=CARTESIAN_POINT('Ctrl Pts',(-0.190199407996527,-0.783842213902931, 1.26240026161347)); #240858=CARTESIAN_POINT('Ctrl Pts',(0.0157224539821137,-0.806616775793008, 1.27623407319984)); #240859=CARTESIAN_POINT('Ctrl Pts',(0.120615980451348,-0.797705766760268, 1.28270026394326)); #240860=CARTESIAN_POINT('Ctrl Pts',(0.32237251539064,-0.73974758876963, 1.29755378077061)); #240861=CARTESIAN_POINT('Ctrl Pts',(0.417089343104016,-0.690785782414772, 1.30531999509354)); #240862=CARTESIAN_POINT('Ctrl Pts',(0.579015997450085,-0.561328044113202, 1.32026510194302)); #240863=CARTESIAN_POINT('Ctrl Pts',(0.645580160592705,-0.483269064561845, 1.32726163070904)); #240864=CARTESIAN_POINT('Ctrl Pts',(0.748128436030946,-0.302604299189096, 1.34043510318414)); #240865=CARTESIAN_POINT('Ctrl Pts',(0.781616825298197,-0.200817276962603, 1.34785707355482)); #240866=CARTESIAN_POINT('Ctrl Pts',(0.806733387447766,0.00808257172120031, 1.36308935418801)); #240867=CARTESIAN_POINT('Ctrl Pts',(0.798806923624542,0.113113811524124, 1.37074788209031)); #240868=CARTESIAN_POINT('Ctrl Pts',(0.743796932111717,0.311598710216706, 1.38522073928664)); #240869=CARTESIAN_POINT('Ctrl Pts',(0.698261025795932,0.403430263048223, 1.39144816055195)); #240870=CARTESIAN_POINT('Ctrl Pts',(0.571845227735138,0.569637815151696, 1.40549067597158)); #240871=CARTESIAN_POINT('Ctrl Pts',(0.490459119342218,0.64104241152198, 1.41323331294012)); #240872=CARTESIAN_POINT('Ctrl Pts',(0.307983057188382,0.745502482353168, 1.42856469404182)); #240873=CARTESIAN_POINT('Ctrl Pts',(0.209437187035046,0.778955289634098, 1.43600727127535)); #240874=CARTESIAN_POINT('Ctrl Pts',(0.0716195560984162,0.79759808624838, 1.44538982100987)); #240875=CARTESIAN_POINT('Ctrl Pts',(0.0357945043995087,0.800000000000001, 1.44773965988666)); #240876=CARTESIAN_POINT('Ctrl Pts',(2.02538306639023E-13,0.800000000000001, 1.44999999999999)); #240877=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240878=CARTESIAN_POINT('',(1.03329573678058E-16,-0.799999999999999,2.1125)); #240879=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,2.1125)); #240880=CARTESIAN_POINT('Origin',(0.,4.56132615933297E-16,2.1125)); #240881=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240882=CARTESIAN_POINT('',(0.0956603927987479,-0.794260089170787,2.025)); #240883=CARTESIAN_POINT('Origin',(0.,4.68086694914854E-16,2.025)); #240884=CARTESIAN_POINT('',(-1.468519616305E-13,0.8,1.84375000000002)); #240885=CARTESIAN_POINT('Ctrl Pts',(0.0956603927987478,-0.794260089170787, 2.025)); #240886=CARTESIAN_POINT('Ctrl Pts',(0.0363177293258114,-0.801407297717388, 2.02099207282725)); #240887=CARTESIAN_POINT('Ctrl Pts',(-0.0236011516526555,-0.801882244868129, 2.01732826540427)); #240888=CARTESIAN_POINT('Ctrl Pts',(-0.144370644439958,-0.789277410864346, 2.00924240019276)); #240889=CARTESIAN_POINT('Ctrl Pts',(-0.204739765315687,-0.775809739356726, 2.00493922106511)); #240890=CARTESIAN_POINT('Ctrl Pts',(-0.362296678708257,-0.720975634360355, 1.99299070654151)); #240891=CARTESIAN_POINT('Ctrl Pts',(-0.453854406535001,-0.66714411215398, 1.98524644034153)); #240892=CARTESIAN_POINT('Ctrl Pts',(-0.611102476812694,-0.526952583342981, 1.97020543913596)); #240893=CARTESIAN_POINT('Ctrl Pts',(-0.675149625539592,-0.441914492938128, 1.96347293177674)); #240894=CARTESIAN_POINT('Ctrl Pts',(-0.765327472259095,-0.254529451843236, 1.9498094391969)); #240895=CARTESIAN_POINT('Ctrl Pts',(-0.791604754732205,-0.154478123147792, 1.94251402981286)); #240896=CARTESIAN_POINT('Ctrl Pts',(-0.805245492836212,0.0540517505952526, 1.9273087265191)); #240897=CARTESIAN_POINT('Ctrl Pts',(-0.790907834165142,0.160662920954207, 1.91953499534709)); #240898=CARTESIAN_POINT('Ctrl Pts',(-0.722660091648721,0.358113779766377, 1.90513753689204)); #240899=CARTESIAN_POINT('Ctrl Pts',(-0.670962308892469,0.447540711253023, 1.8989697952291)); #240900=CARTESIAN_POINT('Ctrl Pts',(-0.535756284651426,0.603093380423427, 1.88476065664307)); #240901=CARTESIAN_POINT('Ctrl Pts',(-0.453151032363838,0.667386659292703, 1.87720030034295)); #240902=CARTESIAN_POINT('Ctrl Pts',(-0.265857983939715,0.761960047117903, 1.86192518969086)); #240903=CARTESIAN_POINT('Ctrl Pts',(-0.162518826489643,0.7904820998888, 1.85435969454919)); #240904=CARTESIAN_POINT('Ctrl Pts',(-0.0377416115922893,0.799332949341996, 1.8461576149816)); #240905=CARTESIAN_POINT('Ctrl Pts',(-0.0188619770804383,0.799999999999993, 1.84494109020021)); #240906=CARTESIAN_POINT('Ctrl Pts',(-2.93605951517068E-13,0.8,1.84375000000002)); #240907=CARTESIAN_POINT('',(-4.89858719658941E-17,0.8,1.8)); #240908=CARTESIAN_POINT('',(-9.79717439317882E-17,0.8,3.8)); #240909=CARTESIAN_POINT('Ctrl Pts',(0.,0.8,1.8)); #240910=CARTESIAN_POINT('Ctrl Pts',(-0.05255854347903,0.8,1.80331895038407)); #240911=CARTESIAN_POINT('Ctrl Pts',(-0.105097368645314,0.794822327196534, 1.80682542492331)); #240912=CARTESIAN_POINT('Ctrl Pts',(-0.261263464574384,0.763593092382406, 1.81782551831748)); #240913=CARTESIAN_POINT('Ctrl Pts',(-0.360430039577754,0.722092515788038, 1.82560069988201)); #240914=CARTESIAN_POINT('Ctrl Pts',(-0.533358271917168,0.604993984643483, 1.84078993482647)); #240915=CARTESIAN_POINT('Ctrl Pts',(-0.606369717403778,0.531803097031359, 1.84806885641555)); #240916=CARTESIAN_POINT('Ctrl Pts',(-0.722601132959529,0.359177600433879, 1.86170223920945)); #240917=CARTESIAN_POINT('Ctrl Pts',(-0.763674884700091,0.260715530721365, 1.86848949255158)); #240918=CARTESIAN_POINT('Ctrl Pts',(-0.805067727447838,0.0540017233355667, 1.88356237434013)); #240919=CARTESIAN_POINT('Ctrl Pts',(-0.805190630334197,-0.0521366577015261, 1.89130163129075)); #240920=CARTESIAN_POINT('Ctrl Pts',(-0.765101638111338,-0.254776487217351, 1.90607745219295)); #240921=CARTESIAN_POINT('Ctrl Pts',(-0.726676051189281,-0.349599548203738, 1.9126912393141)); #240922=CARTESIAN_POINT('Ctrl Pts',(-0.613897294030484,-0.52383392967617, 1.92616525198181)); #240923=CARTESIAN_POINT('Ctrl Pts',(-0.539054012208394,-0.600567357075084, 1.93368900489244)); #240924=CARTESIAN_POINT('Ctrl Pts',(-0.365684606285165,-0.719073880745523, 1.94895743198854)); #240925=CARTESIAN_POINT('Ctrl Pts',(-0.269306033417821,-0.760441708476363, 1.95655171786816)); #240926=CARTESIAN_POINT('Ctrl Pts',(-0.0678856616745792,-0.803625132728421, 1.97084534387095)); #240927=CARTESIAN_POINT('Ctrl Pts',(0.0350744872569296,-0.805723779311967, 1.97700619324307)); #240928=CARTESIAN_POINT('Ctrl Pts',(0.230397759371732,-0.772110228366096, 1.99056589988393)); #240929=CARTESIAN_POINT('Ctrl Pts',(0.321392960883527,-0.738876351615444, 1.99752368802506)); #240930=CARTESIAN_POINT('Ctrl Pts',(0.487117630323991,-0.641815734077575, 2.01152748571014)); #240931=CARTESIAN_POINT('Ctrl Pts',(0.560539819039342,-0.578802321892638, 2.01846129099189)); #240932=CARTESIAN_POINT('Ctrl Pts',(0.621055739086505,-0.504271523038644, 2.02499999999864)); #240933=CARTESIAN_POINT('Origin',(0.,4.56132615933297E-16,2.1125)); #240934=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #240935=CARTESIAN_POINT('Ctrl Pts',(-2.93605951517068E-13,0.8,1.84375000000002)); #240936=CARTESIAN_POINT('Ctrl Pts',(0.0822458689530407,0.80000000000003, 1.83855636371784)); #240937=CARTESIAN_POINT('Ctrl Pts',(0.164098055997534,0.787322845321022, 1.8329253996061)); #240938=CARTESIAN_POINT('Ctrl Pts',(0.342671530012647,0.730467344864828, 1.81957604120849)); #240939=CARTESIAN_POINT('Ctrl Pts',(0.435405770837472,0.679284412212302, 1.81185404409631)); #240940=CARTESIAN_POINT('Ctrl Pts',(0.596967252255529,0.543093665689889, 1.79668652281406)); #240941=CARTESIAN_POINT('Ctrl Pts',(0.663790034268035,0.459008049504864, 1.78971933694307)); #240942=CARTESIAN_POINT('Ctrl Pts',(0.758796655787291,0.273292096823912, 1.77617754872675)); #240943=CARTESIAN_POINT('Ctrl Pts',(0.787474396881357,0.174167821695521, 1.76894973699863)); #240944=CARTESIAN_POINT('Ctrl Pts',(0.806329005561679,-0.0334314980246416, 1.75381228660237)); #240945=CARTESIAN_POINT('Ctrl Pts',(0.794771665698671,-0.140095904321876, 1.7460346736432)); #240946=CARTESIAN_POINT('Ctrl Pts',(0.731675482084098,-0.339456599038809, 1.73149795632009)); #240947=CARTESIAN_POINT('Ctrl Pts',(0.682036438570368,-0.430586964143734, 1.72528720625268)); #240948=CARTESIAN_POINT('Ctrl Pts',(0.550549331379206,-0.589576106644506, 1.71118762058147)); #240949=CARTESIAN_POINT('Ctrl Pts',(0.469777744092253,-0.655749093138774, 1.70367452497531)); #240950=CARTESIAN_POINT('Ctrl Pts',(0.28516353773094,-0.754949683699731, 1.68839987690008)); #240951=CARTESIAN_POINT('Ctrl Pts',(0.182568311511721,-0.786095304740642, 1.68078570836744)); #240952=CARTESIAN_POINT('Ctrl Pts',(-0.024090002417524,-0.806043934300238, 1.66700842032765)); #240953=CARTESIAN_POINT('Ctrl Pts',(-0.125970997526495,-0.79650773582634, 1.66066790091049)); #240954=CARTESIAN_POINT('Ctrl Pts',(-0.323877449157207,-0.738955247579, 1.64607229870569)); #240955=CARTESIAN_POINT('Ctrl Pts',(-0.417655749559147,-0.690299379346588, 1.63837650420448)); #240956=CARTESIAN_POINT('Ctrl Pts',(-0.582360404818528,-0.558688247231733, 1.62317746387702)); #240957=CARTESIAN_POINT('Ctrl Pts',(-0.651249564694615,-0.476579210380207, 1.61600056742356)); #240958=CARTESIAN_POINT('Ctrl Pts',(-0.750996236105902,-0.293955246297194, 1.60268423670918)); #240959=CARTESIAN_POINT('Ctrl Pts',(-0.782307873075087,-0.195928627457463, 1.59553646241878)); #240960=CARTESIAN_POINT('Ctrl Pts',(-0.806914251723175,0.0104862841350821, 1.58048537511516)); #240961=CARTESIAN_POINT('Ctrl Pts',(-0.798439231284756,0.117127397983684, 1.5727094605637)); #240962=CARTESIAN_POINT('Ctrl Pts',(-0.74110327533058,0.318550161171664, 1.55802238408124)); #240963=CARTESIAN_POINT('Ctrl Pts',(-0.693749502483278,0.411603611667214, 1.55171896052353)); #240964=CARTESIAN_POINT('Ctrl Pts',(-0.566374970598679,0.574340804079276, 1.53774242681215)); #240965=CARTESIAN_POINT('Ctrl Pts',(-0.487661474659234,0.642515920065681, 1.53028630060712)); #240966=CARTESIAN_POINT('Ctrl Pts',(-0.305183197082935,0.747237307410513, 1.51494525244206)); #240967=CARTESIAN_POINT('Ctrl Pts',(-0.20236286421008,0.78138250346917, 1.50720750943936)); #240968=CARTESIAN_POINT('Ctrl Pts',(-0.0639848849158685,0.798082937682686, 1.49786039029874)); #240969=CARTESIAN_POINT('Ctrl Pts',(-0.0319777739123103,0.79999999999999, 1.49576932241616)); #240970=CARTESIAN_POINT('Ctrl Pts',(-2.53173550500077E-13,0.8,1.49375000000001)); #240971=CARTESIAN_POINT('Ctrl Pts',(2.02538306639023E-13,0.800000000000001, 1.44999999999999)); #240972=CARTESIAN_POINT('Ctrl Pts',(-0.0673936441763212,0.800000000000001, 1.45425575266012)); #240973=CARTESIAN_POINT('Ctrl Pts',(-0.134639505292159,0.791488249909429, 1.45881249738406)); #240974=CARTESIAN_POINT('Ctrl Pts',(-0.302679449315058,0.748060536762899, 1.47100713299482)); #240975=CARTESIAN_POINT('Ctrl Pts',(-0.398906893415971,0.701483807178014, 1.47878178332269)); #240976=CARTESIAN_POINT('Ctrl Pts',(-0.564463512090766,0.575987620787827, 1.49381168243858)); #240977=CARTESIAN_POINT('Ctrl Pts',(-0.63318092741049,0.499474009697768, 1.50094058692046)); #240978=CARTESIAN_POINT('Ctrl Pts',(-0.74033243156702,0.321183092151015, 1.51420714612865)); #240979=CARTESIAN_POINT('Ctrl Pts',(-0.776378270467401,0.220254263815189, 1.52143979326349)); #240980=CARTESIAN_POINT('Ctrl Pts',(-0.806721759451233,0.011687271504014, 1.53664780311951)); #240981=CARTESIAN_POINT('Ctrl Pts',(-0.801341064340808,-0.0937616047027193, 1.54433678367625)); #240982=CARTESIAN_POINT('Ctrl Pts',(-0.751063432686916,-0.293601206328398, 1.55890842129479)); #240983=CARTESIAN_POINT('Ctrl Pts',(-0.707821978450087,-0.386368432413803, 1.56522236261027)); #240984=CARTESIAN_POINT('Ctrl Pts',(-0.586193465244866,-0.554666389560955, 1.57903878475441)); #240985=CARTESIAN_POINT('Ctrl Pts',(-0.507484350725342,-0.627477253875762, 1.58666364594071)); #240986=CARTESIAN_POINT('Ctrl Pts',(-0.328658590353423,-0.736660829017666, 1.60193673002746)); #240987=CARTESIAN_POINT('Ctrl Pts',(-0.230807809896424,-0.772929600231735, 1.60943807930705)); #240988=CARTESIAN_POINT('Ctrl Pts',(-0.0271178868120972,-0.806144342989597, 1.62351158071178)); #240989=CARTESIAN_POINT('Ctrl Pts',(0.0767318445578312,-0.802943037161032, 1.62973814017294)); #240990=CARTESIAN_POINT('Ctrl Pts',(0.280704635105061,-0.756624022804113, 1.64430726390535)); #240991=CARTESIAN_POINT('Ctrl Pts',(0.378530871610568,-0.712730609524174, 1.65208504503319)); #240992=CARTESIAN_POINT('Ctrl Pts',(0.548068609415219,-0.591657891576244, 1.66720507032164)); #240993=CARTESIAN_POINT('Ctrl Pts',(0.61909466596958,-0.516885686848256, 1.67441627138096)); #240994=CARTESIAN_POINT('Ctrl Pts',(0.731506037168182,-0.340958424505397, 1.6879288040906)); #240995=CARTESIAN_POINT('Ctrl Pts',(0.770383474771863,-0.240581695903649, 1.69495758467371)); #240996=CARTESIAN_POINT('Ctrl Pts',(0.806198934157234,-0.0321656563558273, 1.7101545875574)); #240997=CARTESIAN_POINT('Ctrl Pts',(0.80347237414694,0.0736518198692326, 1.71787044519881)); #240998=CARTESIAN_POINT('Ctrl Pts',(0.75811304618346,0.274863219958742, 1.73254210978867)); #240999=CARTESIAN_POINT('Ctrl Pts',(0.717216170509074,0.368615536357692, 1.73898190012076)); #241000=CARTESIAN_POINT('Ctrl Pts',(0.599885140969443,0.539826692217237, 1.75263315648683)); #241001=CARTESIAN_POINT('Ctrl Pts',(0.523033067300615,0.614573802007978, 1.76021134212415)); #241002=CARTESIAN_POINT('Ctrl Pts',(0.346802486373847,0.728330670511959, 1.77548728128881)); #241003=CARTESIAN_POINT('Ctrl Pts',(0.249635690574563,0.767088913612178, 1.78303620051606)); #241004=CARTESIAN_POINT('Ctrl Pts',(0.0989293655129715,0.795413440874923, 1.7935856212194)); #241005=CARTESIAN_POINT('Ctrl Pts',(0.049466611245996,0.8,1.79687629798076)); #241006=CARTESIAN_POINT('Ctrl Pts',(0.,0.8,1.8)); #241007=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.8)); #241008=CARTESIAN_POINT('',(-0.40122819461005,-0.408613452740474,-3.8)); #241009=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.8)); #241010=CARTESIAN_POINT('Ctrl Pts',(-0.401228194610052,-0.408613452740475, -3.8)); #241011=CARTESIAN_POINT('Ctrl Pts',(-0.363283675276873,-0.468433884473551, -3.78390163789356)); #241012=CARTESIAN_POINT('Ctrl Pts',(-0.314887960577777,-0.520963439227941, -3.7678939665044)); #241013=CARTESIAN_POINT('Ctrl Pts',(-0.200074410581265,-0.607812062567281, -3.73675066275355)); #241014=CARTESIAN_POINT('Ctrl Pts',(-0.134133971824664,-0.64087826358793, -3.72188700838308)); #241015=CARTESIAN_POINT('Ctrl Pts',(0.0250818794032965,-0.6871402266714, -3.69109223428667)); #241016=CARTESIAN_POINT('Ctrl Pts',(0.122325934063608,-0.693162949640492, -3.67464229304973)); #241017=CARTESIAN_POINT('Ctrl Pts',(0.290693915419103,-0.673289852689031, -3.64325996732368)); #241018=CARTESIAN_POINT('Ctrl Pts',(0.365641874855855,-0.652087382029759, -3.6289622687935)); #241019=CARTESIAN_POINT('Ctrl Pts',(0.512220418708149,-0.584206729597448, -3.60012119981801)); #241020=CARTESIAN_POINT('Ctrl Pts',(0.581973355444559,-0.536018716151386, -3.5858592114883)); #241021=CARTESIAN_POINT('Ctrl Pts',(0.642236530823893,-0.477003394616065, -3.57266833150661)); #241022=CARTESIAN_POINT('Ctrl Pts',(0.129780204802894,-0.789403001287249, -3.57266833150658)); #241023=CARTESIAN_POINT('Ctrl Pts',(0.0661805901327211,-0.797053667466195, -3.5754364717238)); #241024=CARTESIAN_POINT('Ctrl Pts',(-0.0328841438964637,-0.801813295739534, -3.57920590409989)); #241025=CARTESIAN_POINT('Ctrl Pts',(-0.232889356801762,-0.753836649879866, -3.58831943757195)); #241026=CARTESIAN_POINT('Ctrl Pts',(-0.31219524164986,-0.720256778594841, -3.59232473289624)); #241027=CARTESIAN_POINT('Ctrl Pts',(-0.466457461173294,-0.622321025142233, -3.60101977739507)); #241028=CARTESIAN_POINT('Ctrl Pts',(-0.537984751993793,-0.555260615351171, -3.60565260507229)); #241029=CARTESIAN_POINT('Ctrl Pts',(-0.636702305824441,-0.419902557100151, -3.61321350389985)); #241030=CARTESIAN_POINT('Ctrl Pts',(-0.690883551807026,-0.33014727980049, -3.61736943564753)); #241031=CARTESIAN_POINT('Ctrl Pts',(-0.7425633220268,-0.11264033056854, -3.62795933202655)); #241032=CARTESIAN_POINT('Ctrl Pts',(-0.746080777953152,-0.0141996814948754, -3.63283978888738)); #241033=CARTESIAN_POINT('Ctrl Pts',(-0.715777991922575,0.171371229667924, -3.64223018517847)); #241034=CARTESIAN_POINT('Ctrl Pts',(-0.68490043112182,0.257236170840443, -3.64666178421648)); #241035=CARTESIAN_POINT('Ctrl Pts',(-0.580022603246691,0.436849826173503, -3.65608738340892)); #241036=CARTESIAN_POINT('Ctrl Pts',(-0.511083458434987,0.499965102611001, -3.66054499337038)); #241037=CARTESIAN_POINT('Ctrl Pts',(-0.389611812538783,0.593463178123391, -3.66839738657589)); #241038=CARTESIAN_POINT('Ctrl Pts',(-0.308844848908494,0.633984794508401, -3.67315064841484)); #241039=CARTESIAN_POINT('Ctrl Pts',(-0.147765628418519,0.679263314525133, -3.68187111347647)); #241040=CARTESIAN_POINT('Ctrl Pts',(-0.0721712110815646,0.686995097822358, -3.68567323368404)); #241041=CARTESIAN_POINT('Ctrl Pts',(-1.7309764732687E-12,0.683613885844386, -3.6890544456622)); #241042=CARTESIAN_POINT('Origin',(0.,-1.36040664372186E-13,1001.225)); #241043=CARTESIAN_POINT('Ctrl Pts',(-2.08107653067433E-12,0.6850921044361, 1.91009210443598)); #241044=CARTESIAN_POINT('Ctrl Pts',(-0.0122194193205045,0.685663347430757, 1.91066334743067)); #241045=CARTESIAN_POINT('Ctrl Pts',(-0.0247905771158251,0.685931902426333, 1.91125842195093)); #241046=CARTESIAN_POINT('Ctrl Pts',(-0.1308199782655,0.685197137406664, 1.91634578145332)); #241047=CARTESIAN_POINT('Ctrl Pts',(-0.222863333943204,0.666062514106691, 1.92117906973894)); #241048=CARTESIAN_POINT('Ctrl Pts',(-0.389213101017784,0.595131019260496, 1.93068334861568)); #241049=CARTESIAN_POINT('Ctrl Pts',(-0.462775198479327,0.545893325786131, 1.93526516265868)); #241050=CARTESIAN_POINT('Ctrl Pts',(-0.612856333792052,0.398427143175842, 1.94564743817984)); #241051=CARTESIAN_POINT('Ctrl Pts',(-0.659134252853033,0.308269862683233, 1.94984977495942)); #241052=CARTESIAN_POINT('Ctrl Pts',(-0.72146155633949,0.159717527818348, 1.95753082016602)); #241053=CARTESIAN_POINT('Ctrl Pts',(-0.741033821517313,0.0636206640602324, 1.96240584287053)); #241054=CARTESIAN_POINT('Ctrl Pts',(-0.741924872104491,-0.131367258165006, 1.97209931464801)); #241055=CARTESIAN_POINT('Ctrl Pts',(-0.722947993086689,-0.228353954663153, 1.97682253492335)); #241056=CARTESIAN_POINT('Ctrl Pts',(-0.649096563488041,-0.407522881927707, 1.98540817910986)); #241057=CARTESIAN_POINT('Ctrl Pts',(-0.595277459143842,-0.489082858802553, 1.98946375103938)); #241058=CARTESIAN_POINT('Ctrl Pts',(-0.459560075033971,-0.630301977382758, 1.99861493594253)); #241059=CARTESIAN_POINT('Ctrl Pts',(-0.376911180496874,-0.688382643928312, 2.00341928020219)); #241060=CARTESIAN_POINT('Ctrl Pts',(-0.228395857983527,-0.754681204546756, 2.01100517746659)); #241061=CARTESIAN_POINT('Ctrl Pts',(-0.168401899266329,-0.773188493191536, 2.01384281313999)); #241062=CARTESIAN_POINT('Ctrl Pts',(-0.0401624155766063,-0.796338892064058, 2.01947282559336)); #241063=CARTESIAN_POINT('Ctrl Pts',(0.0267795955016856,-0.799600558930853, 2.02206569732783)); #241064=CARTESIAN_POINT('Ctrl Pts',(0.0956603927987341,-0.794260089170674, 2.02500000000007)); #241065=CARTESIAN_POINT('Origin',(0.,4.33680868994202E-16,2.1125)); #241066=CARTESIAN_POINT('',(-1.08687403424328E-16,-0.887499999999999,2.2)); #241067=CARTESIAN_POINT('Origin',(-1.08687403424328E-16,-0.887499999999999, 2.1125)); #241068=CARTESIAN_POINT('Origin',(0.,4.44178536951741E-16,2.2)); #241069=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241070=CARTESIAN_POINT('',(0.,2.35813972515597E-15,2.56698729810778)); #241071=CARTESIAN_POINT('',(-0.749999999999999,2.35813972515597E-15,3.)); #241072=CARTESIAN_POINT('',(-0.749999999999999,2.26629121521992E-15,3.)); #241073=CARTESIAN_POINT('',(-0.375,-0.649519052838326,3.)); #241074=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241075=CARTESIAN_POINT('',(0.374999999999999,-0.649519052838326,3.)); #241076=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241077=CARTESIAN_POINT('',(0.749999999999999,2.07645573789276E-15,3.)); #241078=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241079=CARTESIAN_POINT('',(0.374999999999999,0.64951905283833,3.)); #241080=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241081=CARTESIAN_POINT('',(-0.374999999999999,0.649519052838331,3.)); #241082=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241083=CARTESIAN_POINT('Origin',(0.,2.35813972515597E-15,3.)); #241084=CARTESIAN_POINT('Origin',(0.,-8.18520226364575E-31,3.8)); #241085=CARTESIAN_POINT('',(0.749999999999999,0.389711431702939,3.77918167143437)); #241086=CARTESIAN_POINT('',(0.749999999999999,-0.389711431703006,3.77918167143439)); #241087=CARTESIAN_POINT('Ctrl Pts',(0.749999999999999,-0.389711431702903, 3.77918167143436)); #241088=CARTESIAN_POINT('Ctrl Pts',(0.749999999999999,-2.47857290247566E-14, 3.59949197827769)); #241089=CARTESIAN_POINT('Ctrl Pts',(0.749999999999999,0.38971143170284, 3.77918167143434)); #241090=CARTESIAN_POINT('',(0.71250000000001,-0.454663336986822,3.77918167143438)); #241091=CARTESIAN_POINT('Ctrl Pts',(0.749999999999999,-0.389711431702998, 3.77918167143441)); #241092=CARTESIAN_POINT('Ctrl Pts',(0.749999999999999,-0.39187667986443, 3.78018003264756)); #241093=CARTESIAN_POINT('Ctrl Pts',(0.749909009326615,-0.394066558144094, 3.78111499895433)); #241094=CARTESIAN_POINT('Ctrl Pts',(0.749241740196612,-0.401557953460977, 3.78402761662464)); #241095=CARTESIAN_POINT('Ctrl Pts',(0.748203643399772,-0.406924127247901, 3.78565940647345)); #241096=CARTESIAN_POINT('Ctrl Pts',(0.744925679402893,-0.417376314569075, 3.78784142473855)); #241097=CARTESIAN_POINT('Ctrl Pts',(0.742693170301473,-0.42246342141543, 3.78839745962155)); #241098=CARTESIAN_POINT('Ctrl Pts',(0.73721064026619,-0.431959441990562, 3.78839745962155)); #241099=CARTESIAN_POINT('Ctrl Pts',(0.733921331056191,-0.43643640500975, 3.78784142473855)); #241100=CARTESIAN_POINT('Ctrl Pts',(0.72650845330938,-0.444501298764325, 3.78565940647345)); #241101=CARTESIAN_POINT('Ctrl Pts',(0.722380258887202,-0.448083403855438, 3.78402761662464)); #241102=CARTESIAN_POINT('Ctrl Pts',(0.716226154797991,-0.452406973531623, 3.78111499895433)); #241103=CARTESIAN_POINT('Ctrl Pts',(0.714375159913297,-0.453580712906113, 3.78018003264756)); #241104=CARTESIAN_POINT('Ctrl Pts',(0.712499999999999,-0.454663336986829, 3.77918167143441)); #241105=CARTESIAN_POINT('',(0.0375000000000089,-0.84437476868982,3.7791816714344)); #241106=CARTESIAN_POINT('Ctrl Pts',(0.712499999999918,-0.454663336986876, 3.77918167143436)); #241107=CARTESIAN_POINT('Ctrl Pts',(0.375000000000003,-0.649519052838325, 3.59949197827768)); #241108=CARTESIAN_POINT('Ctrl Pts',(0.0375000000000909,-0.844374768689773, 3.77918167143436)); #241109=CARTESIAN_POINT('',(-0.0374999999999887,-0.844374768689832,3.77918167143438)); #241110=CARTESIAN_POINT('Ctrl Pts',(0.0375000000000088,-0.844374768689821, 3.7791816714344)); #241111=CARTESIAN_POINT('Ctrl Pts',(0.0356248400867075,-0.845457392770539, 3.78018003264756)); #241112=CARTESIAN_POINT('Ctrl Pts',(0.0336828545286278,-0.846473531675715, 3.78111499895433)); #241113=CARTESIAN_POINT('Ctrl Pts',(0.0268614813094098,-0.849641357316415, 3.78402761662464)); #241114=CARTESIAN_POINT('Ctrl Pts',(0.0216951900903916,-0.851425426012226, 3.78565940647345)); #241115=CARTESIAN_POINT('Ctrl Pts',(0.0110043483467016,-0.853812719578825, 3.78784142473855)); #241116=CARTESIAN_POINT('Ctrl Pts',(0.00548253003528226,-0.854422863405993, 3.78839745962155)); #241117=CARTESIAN_POINT('Ctrl Pts',(-0.00548253003528293,-0.854422863405993, 3.78839745962155)); #241118=CARTESIAN_POINT('Ctrl Pts',(-0.0110043483467023,-0.853812719578825, 3.78784142473855)); #241119=CARTESIAN_POINT('Ctrl Pts',(-0.0216951900903922,-0.851425426012226, 3.78565940647345)); #241120=CARTESIAN_POINT('Ctrl Pts',(-0.0268614813094104,-0.849641357316415, 3.78402761662464)); #241121=CARTESIAN_POINT('Ctrl Pts',(-0.0336828545286248,-0.846473531675717, 3.78111499895433)); #241122=CARTESIAN_POINT('Ctrl Pts',(-0.0356248400867018,-0.845457392770542, 3.78018003264756)); #241123=CARTESIAN_POINT('Ctrl Pts',(-0.0374999999999999,-0.844374768689826, 3.77918167143441)); #241124=CARTESIAN_POINT('',(-0.71249999999999,-0.454663336986834,3.7791816714344)); #241125=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000812,-0.844374768689779, 3.77918167143436)); #241126=CARTESIAN_POINT('Ctrl Pts',(-0.374999999999995,-0.64951905283833, 3.59949197827768)); #241127=CARTESIAN_POINT('Ctrl Pts',(-0.712499999999907,-0.454663336986882, 3.77918167143436)); #241128=CARTESIAN_POINT('',(-0.749999999999999,-0.389711431703005,3.77918167143439)); #241129=CARTESIAN_POINT('Ctrl Pts',(-0.71249999999999,-0.454663336986834, 3.7791816714344)); #241130=CARTESIAN_POINT('Ctrl Pts',(-0.714375159913291,-0.453580712906117, 3.78018003264756)); #241131=CARTESIAN_POINT('Ctrl Pts',(-0.716226154797988,-0.452406973531625, 3.78111499895433)); #241132=CARTESIAN_POINT('Ctrl Pts',(-0.722380258887203,-0.448083403855437, 3.78402761662464)); #241133=CARTESIAN_POINT('Ctrl Pts',(-0.72650845330938,-0.444501298764324, 3.78565940647345)); #241134=CARTESIAN_POINT('Ctrl Pts',(-0.733921331056192,-0.436436405009749, 3.78784142473855)); #241135=CARTESIAN_POINT('Ctrl Pts',(-0.737210640266191,-0.431959441990562, 3.78839745962155)); #241136=CARTESIAN_POINT('Ctrl Pts',(-0.742693170301473,-0.42246342141543, 3.78839745962155)); #241137=CARTESIAN_POINT('Ctrl Pts',(-0.744925679402894,-0.417376314569075, 3.78784142473855)); #241138=CARTESIAN_POINT('Ctrl Pts',(-0.748203643399772,-0.4069241272479, 3.78565940647345)); #241139=CARTESIAN_POINT('Ctrl Pts',(-0.749241740196613,-0.401557953460977, 3.78402761662464)); #241140=CARTESIAN_POINT('Ctrl Pts',(-0.749909009326616,-0.394066558144094, 3.78111499895433)); #241141=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,-0.39187667986443, 3.78018003264757)); #241142=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,-0.389711431702998, 3.77918167143441)); #241143=CARTESIAN_POINT('',(-0.749999999999999,-6.13740564766497E-18,3.68397459621556)); #241144=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,-0.389711431702904, 3.77918167143436)); #241145=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,-0.183226101687824, 3.68397459621556)); #241146=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,7.95736986407223E-17, 3.68397459621556)); #241147=CARTESIAN_POINT('',(-0.866025403784438,-1.06057523872491E-16,3.8)); #241148=CARTESIAN_POINT('',(-0.866025403784438,-1.06057523872491E-16,3.8)); #241149=CARTESIAN_POINT('Origin',(0.,-8.18520226364575E-31,3.8)); #241150=CARTESIAN_POINT('',(-0.749999999999999,0.38971143170294,3.77918167143437)); #241151=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,7.95736986407223E-17, 3.68397459621556)); #241152=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,0.183226101687798, 3.68397459621556)); #241153=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,0.38971143170284, 3.77918167143434)); #241154=CARTESIAN_POINT('',(-0.712500000000011,0.454663336986824,3.77918167143438)); #241155=CARTESIAN_POINT('Ctrl Pts',(-0.749999999999999,0.389711431702935, 3.77918167143438)); #241156=CARTESIAN_POINT('Ctrl Pts',(-0.750000000000001,0.391876679864388, 3.78018003264755)); #241157=CARTESIAN_POINT('Ctrl Pts',(-0.749909009326618,0.394066558144074, 3.78111499895432)); #241158=CARTESIAN_POINT('Ctrl Pts',(-0.749241740196613,0.401557953460979, 3.78402761662464)); #241159=CARTESIAN_POINT('Ctrl Pts',(-0.748203643399772,0.406924127247903, 3.78565940647345)); #241160=CARTESIAN_POINT('Ctrl Pts',(-0.744925679402893,0.417376314569077, 3.78784142473855)); #241161=CARTESIAN_POINT('Ctrl Pts',(-0.742693170301473,0.422463421415432, 3.78839745962156)); #241162=CARTESIAN_POINT('Ctrl Pts',(-0.737210640266191,0.431959441990564, 3.78839745962156)); #241163=CARTESIAN_POINT('Ctrl Pts',(-0.733921331056192,0.436436405009751, 3.78784142473855)); #241164=CARTESIAN_POINT('Ctrl Pts',(-0.72650845330938,0.444501298764326, 3.78565940647345)); #241165=CARTESIAN_POINT('Ctrl Pts',(-0.722380258887203,0.44808340385544, 3.78402761662464)); #241166=CARTESIAN_POINT('Ctrl Pts',(-0.716226154797991,0.452406973531625, 3.78111499895433)); #241167=CARTESIAN_POINT('Ctrl Pts',(-0.714375159913298,0.453580712906115, 3.78018003264757)); #241168=CARTESIAN_POINT('Ctrl Pts',(-0.712499999999999,0.454663336986831, 3.77918167143441)); #241169=CARTESIAN_POINT('',(-0.0375000000000091,0.844374768689822,3.7791816714344)); #241170=CARTESIAN_POINT('Ctrl Pts',(-0.712499999999918,0.454663336986878, 3.77918167143437)); #241171=CARTESIAN_POINT('Ctrl Pts',(-0.375000000000004,0.649519052838327, 3.59949197827768)); #241172=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000919,0.844374768689775, 3.77918167143436)); #241173=CARTESIAN_POINT('',(0.0374999999999883,0.844374768689834,3.77918167143438)); #241174=CARTESIAN_POINT('Ctrl Pts',(-0.0375000000000094,0.844374768689822, 3.7791816714344)); #241175=CARTESIAN_POINT('Ctrl Pts',(-0.0356248400867084,0.84545739277054, 3.78018003264756)); #241176=CARTESIAN_POINT('Ctrl Pts',(-0.0336828545286284,0.846473531675717, 3.78111499895433)); #241177=CARTESIAN_POINT('Ctrl Pts',(-0.0268614813094104,0.849641357316417, 3.78402761662464)); #241178=CARTESIAN_POINT('Ctrl Pts',(-0.0216951900903921,0.851425426012227, 3.78565940647345)); #241179=CARTESIAN_POINT('Ctrl Pts',(-0.0110043483467019,0.853812719578827, 3.78784142473855)); #241180=CARTESIAN_POINT('Ctrl Pts',(-0.00548253003528264,0.854422863405995, 3.78839745962156)); #241181=CARTESIAN_POINT('Ctrl Pts',(0.00548253003528255,0.854422863405995, 3.78839745962156)); #241182=CARTESIAN_POINT('Ctrl Pts',(0.0110043483467019,0.853812719578827, 3.78784142473855)); #241183=CARTESIAN_POINT('Ctrl Pts',(0.021695190090392,0.851425426012227, 3.78565940647345)); #241184=CARTESIAN_POINT('Ctrl Pts',(0.0268614813094103,0.849641357316417, 3.78402761662464)); #241185=CARTESIAN_POINT('Ctrl Pts',(0.0336828545286247,0.846473531675719, 3.78111499895433)); #241186=CARTESIAN_POINT('Ctrl Pts',(0.0356248400867013,0.845457392770544, 3.78018003264757)); #241187=CARTESIAN_POINT('Ctrl Pts',(0.0374999999999993,0.844374768689828, 3.77918167143441)); #241188=CARTESIAN_POINT('',(0.71249999999999,0.454663336986836,3.7791816714344)); #241189=CARTESIAN_POINT('Ctrl Pts',(0.0375000000000805,0.844374768689781, 3.77918167143437)); #241190=CARTESIAN_POINT('Ctrl Pts',(0.374999999999995,0.649519052838332, 3.59949197827768)); #241191=CARTESIAN_POINT('Ctrl Pts',(0.712499999999908,0.454663336986883, 3.77918167143436)); #241192=CARTESIAN_POINT('Ctrl Pts',(0.712499999999989,0.454663336986836, 3.7791816714344)); #241193=CARTESIAN_POINT('Ctrl Pts',(0.71437515991329,0.453580712906119, 3.78018003264756)); #241194=CARTESIAN_POINT('Ctrl Pts',(0.716226154797988,0.452406973531627, 3.78111499895433)); #241195=CARTESIAN_POINT('Ctrl Pts',(0.722380258887203,0.448083403855439, 3.78402761662464)); #241196=CARTESIAN_POINT('Ctrl Pts',(0.72650845330938,0.444501298764326, 3.78565940647345)); #241197=CARTESIAN_POINT('Ctrl Pts',(0.733921331056191,0.436436405009751, 3.78784142473855)); #241198=CARTESIAN_POINT('Ctrl Pts',(0.73721064026619,0.431959441990564, 3.78839745962156)); #241199=CARTESIAN_POINT('Ctrl Pts',(0.742693170301473,0.422463421415432, 3.78839745962155)); #241200=CARTESIAN_POINT('Ctrl Pts',(0.744925679402893,0.417376314569077, 3.78784142473855)); #241201=CARTESIAN_POINT('Ctrl Pts',(0.748203643399772,0.406924127247902, 3.78565940647345)); #241202=CARTESIAN_POINT('Ctrl Pts',(0.749241740196613,0.401557953460979, 3.78402761662464)); #241203=CARTESIAN_POINT('Ctrl Pts',(0.749909009326618,0.394066558144073, 3.78111499895432)); #241204=CARTESIAN_POINT('Ctrl Pts',(0.750000000000001,0.391876679864388, 3.78018003264755)); #241205=CARTESIAN_POINT('Ctrl Pts',(0.749999999999999,0.389711431702935, 3.77918167143438)); #241206=CARTESIAN_POINT('Origin',(0.,6.50521303491303E-16,2.228125)); #241207=CARTESIAN_POINT('',(-1.70928561096135E-16,1.39573762177913,2.20823762177913)); #241208=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,2.20823762177913)); #241209=CARTESIAN_POINT('',(-1.68493059687973E-16,1.37585024355826,2.2)); #241210=CARTESIAN_POINT('Origin',(-1.68493059687973E-16,1.37585024355826, 2.228125)); #241211=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,2.2)); #241212=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,2.20823762177913)); #241213=CARTESIAN_POINT('',(-1.82688202157663E-16,1.49176237822087,2.30426237822087)); #241214=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,2.30426237822087)); #241215=CARTESIAN_POINT('',(-1.70928561096135E-16,1.39573762177913,2.20823762177913)); #241216=CARTESIAN_POINT('Origin',(0.,6.50521303491303E-16,2.32414975644174)); #241217=CARTESIAN_POINT('',(-1.83697019872103E-16,1.5,2.32414975644174)); #241218=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,2.32414975644174)); #241219=CARTESIAN_POINT('Origin',(-1.80252700749501E-16,1.471875,2.32414975644174)); #241220=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #241221=CARTESIAN_POINT('',(-1.83697019872103E-16,1.5,3.67585024355826)); #241222=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.67585024355826)); #241223=CARTESIAN_POINT('',(-1.83697019872103E-16,1.5,3.8)); #241224=CARTESIAN_POINT('Origin',(0.,6.50521303491303E-16,3.67585024355826)); #241225=CARTESIAN_POINT('',(1.82688202157663E-16,-1.49176237822087,3.69573762177913)); #241226=CARTESIAN_POINT('',(-1.82688202157663E-16,1.49176237822087,3.69573762177913)); #241227=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.69573762177913)); #241228=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.69573762177913)); #241229=CARTESIAN_POINT('Origin',(-1.80252700749501E-16,1.471875,3.67585024355826)); #241230=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.69573762177913)); #241231=CARTESIAN_POINT('',(-1.70928561096135E-16,-1.39573762177913,3.79176237822087)); #241232=CARTESIAN_POINT('',(-1.82688202157663E-16,-1.49176237822087,3.69573762177913)); #241233=CARTESIAN_POINT('',(1.70928561096135E-16,1.39573762177913,3.79176237822087)); #241234=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.79176237822087)); #241235=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.79176237822087)); #241236=CARTESIAN_POINT('Origin',(0.,6.50521303491303E-16,3.771875)); #241237=CARTESIAN_POINT('',(-1.68493059687973E-16,1.37585024355826,3.8)); #241238=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,3.8)); #241239=CARTESIAN_POINT('Origin',(-1.68493059687973E-16,1.37585024355826, 3.771875)); #241240=CARTESIAN_POINT('Origin',(0.,0.8,2.2)); #241241=CARTESIAN_POINT('Origin',(0.,0.75,3.)); #241242=CARTESIAN_POINT('',(0.749999999999999,0.389711431702997,3.)); #241243=CARTESIAN_POINT('',(0.749999999999999,-0.433012701892218,3.)); #241244=CARTESIAN_POINT('',(0.712499999999999,0.454663336986831,3.)); #241245=CARTESIAN_POINT('Origin',(0.674999999999999,0.389711431702998,3.)); #241246=CARTESIAN_POINT('',(0.749999999999999,0.43301270189222,3.)); #241247=CARTESIAN_POINT('Origin',(0.,0.75,3.)); #241248=CARTESIAN_POINT('',(0.712499999999999,-0.454663336986829,3.)); #241249=CARTESIAN_POINT('',(-3.46283663991284E-16,-0.866025403784437,3.)); #241250=CARTESIAN_POINT('',(0.749999999999999,-0.389711431702996,3.)); #241251=CARTESIAN_POINT('Origin',(0.674999999999999,-0.389711431702996, 3.)); #241252=CARTESIAN_POINT('',(0.749999999999999,-0.433012701892218,3.)); #241253=CARTESIAN_POINT('Origin',(0.,0.75,3.)); #241254=CARTESIAN_POINT('',(-0.0375000000000003,-0.844374768689826,3.)); #241255=CARTESIAN_POINT('',(-0.749999999999999,-0.433012701892218,3.)); #241256=CARTESIAN_POINT('',(0.0374999999999996,-0.844374768689826,3.)); #241257=CARTESIAN_POINT('Origin',(-3.11708124589583E-16,-0.779422863405993, 3.)); #241258=CARTESIAN_POINT('',(-3.46283663991284E-16,-0.866025403784437,3.)); #241259=CARTESIAN_POINT('Origin',(0.,0.75,3.)); #241260=CARTESIAN_POINT('',(-0.749999999999999,-0.389711431702996,3.)); #241261=CARTESIAN_POINT('',(-0.749999999999999,0.43301270189222,3.)); #241262=CARTESIAN_POINT('',(-0.712499999999999,-0.454663336986829,3.)); #241263=CARTESIAN_POINT('Origin',(-0.674999999999999,-0.389711431702996, 3.)); #241264=CARTESIAN_POINT('',(-0.749999999999999,-0.433012701892218,3.)); #241265=CARTESIAN_POINT('Origin',(0.,0.75,3.)); #241266=CARTESIAN_POINT('',(-0.712499999999999,0.454663336986831,3.)); #241267=CARTESIAN_POINT('',(0.,0.866025403784438,3.)); #241268=CARTESIAN_POINT('',(-0.749999999999999,0.389711431702998,3.)); #241269=CARTESIAN_POINT('Origin',(-0.674999999999999,0.389711431702998, 3.)); #241270=CARTESIAN_POINT('',(-0.749999999999999,0.43301270189222,3.)); #241271=CARTESIAN_POINT('Origin',(0.,1.37585024355826,3.8)); #241272=CARTESIAN_POINT('Origin',(0.749999999999999,0.43301270189222,3.)); #241273=CARTESIAN_POINT('',(0.0374999999999999,0.844374768689827,3.)); #241274=CARTESIAN_POINT('',(0.0375,0.844374768689827,3.)); #241275=CARTESIAN_POINT('',(0.749999999999999,0.43301270189222,3.)); #241276=CARTESIAN_POINT('',(0.712499999999999,0.454663336986831,3.8)); #241277=CARTESIAN_POINT('Origin',(0.749999999999999,-0.433012701892218, 3.)); #241278=CARTESIAN_POINT('',(0.749999999999999,0.389711431702998,3.)); #241279=CARTESIAN_POINT('',(0.749999999999999,-0.389711431702996,3.8)); #241280=CARTESIAN_POINT('Origin',(-3.46283663991284E-16,-0.866025403784437, 3.)); #241281=CARTESIAN_POINT('',(0.712499999999999,-0.454663336986829,3.)); #241282=CARTESIAN_POINT('',(0.0374999999999996,-0.844374768689826,3.8)); #241283=CARTESIAN_POINT('Origin',(-0.749999999999999,-0.433012701892218, 3.)); #241284=CARTESIAN_POINT('',(-0.0375000000000003,-0.844374768689826,3.)); #241285=CARTESIAN_POINT('',(-0.712499999999999,-0.454663336986829,3.8)); #241286=CARTESIAN_POINT('Origin',(-0.749999999999999,0.43301270189222,3.)); #241287=CARTESIAN_POINT('',(-0.749999999999999,0.389711431702998,3.8)); #241288=CARTESIAN_POINT('',(-0.749999999999999,-0.389711431702996,3.)); #241289=CARTESIAN_POINT('Origin',(0.,0.866025403784438,3.)); #241290=CARTESIAN_POINT('',(-0.712499999999999,0.454663336986831,3.)); #241291=CARTESIAN_POINT('',(-0.0375,0.844374768689827,3.)); #241292=CARTESIAN_POINT('',(0.,0.866025403784438,3.)); #241293=CARTESIAN_POINT('',(-0.0375,0.844374768689827,3.8)); #241294=CARTESIAN_POINT('Origin',(0.,0.75,3.)); #241295=CARTESIAN_POINT('Origin',(-5.42101086242752E-17,0.779422863405995, 3.)); #241296=CARTESIAN_POINT('Origin',(-0.674999999999999,0.389711431702998, 3.)); #241297=CARTESIAN_POINT('Origin',(-5.42101086242752E-17,0.779422863405995, 3.)); #241298=CARTESIAN_POINT('Origin',(0.674999999999999,0.389711431702998,3.)); #241299=CARTESIAN_POINT('Origin',(0.674999999999999,-0.389711431702996, 3.)); #241300=CARTESIAN_POINT('Origin',(-3.11708124589583E-16,-0.779422863405993, 3.)); #241301=CARTESIAN_POINT('Origin',(-0.674999999999999,-0.389711431702996, 3.)); #241302=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.8)); #241303=CARTESIAN_POINT('Origin',(0.,7.35032151749239E-16,-3.8)); #241304=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-3.7890625)); #241305=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -3.75989583333334)); #241306=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -3.73072916666667)); #241307=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -3.7015625)); #241308=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -3.67239583333334)); #241309=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -3.64322916666667)); #241310=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -3.6140625)); #241311=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -3.58489583333334)); #241312=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -3.55572916666667)); #241313=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -3.5265625)); #241314=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -3.49739583333334)); #241315=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-3.46822916666667)); #241316=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-3.4390625)); #241317=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -3.40989583333334)); #241318=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -3.38072916666667)); #241319=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -3.3515625)); #241320=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -3.32239583333334)); #241321=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -3.29322916666667)); #241322=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -3.2640625)); #241323=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -3.23489583333334)); #241324=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -3.20572916666667)); #241325=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -3.1765625)); #241326=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -3.14739583333334)); #241327=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-3.11822916666667)); #241328=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-3.0890625)); #241329=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -3.05989583333334)); #241330=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -3.03072916666667)); #241331=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -3.0015625)); #241332=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -2.97239583333334)); #241333=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -2.94322916666667)); #241334=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -2.9140625)); #241335=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -2.88489583333334)); #241336=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -2.85572916666667)); #241337=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -2.8265625)); #241338=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -2.79739583333334)); #241339=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-2.76822916666667)); #241340=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-2.7390625)); #241341=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -2.70989583333334)); #241342=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -2.68072916666667)); #241343=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -2.6515625)); #241344=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -2.62239583333334)); #241345=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -2.59322916666667)); #241346=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -2.5640625)); #241347=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -2.53489583333334)); #241348=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -2.50572916666667)); #241349=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -2.4765625)); #241350=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -2.44739583333334)); #241351=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-2.41822916666667)); #241352=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-2.3890625)); #241353=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -2.35989583333334)); #241354=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -2.33072916666667)); #241355=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -2.3015625)); #241356=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -2.27239583333334)); #241357=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -2.24322916666667)); #241358=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -2.2140625)); #241359=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -2.18489583333334)); #241360=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -2.15572916666667)); #241361=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -2.1265625)); #241362=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -2.09739583333334)); #241363=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-2.06822916666667)); #241364=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-2.0390625)); #241365=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -2.00989583333334)); #241366=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -1.98072916666667)); #241367=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -1.9515625)); #241368=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -1.92239583333334)); #241369=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -1.89322916666667)); #241370=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -1.8640625)); #241371=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -1.83489583333334)); #241372=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -1.80572916666667)); #241373=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -1.7765625)); #241374=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -1.74739583333334)); #241375=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-1.71822916666667)); #241376=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-1.6890625)); #241377=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -1.65989583333334)); #241378=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -1.63072916666667)); #241379=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -1.6015625)); #241380=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -1.57239583333334)); #241381=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -1.54322916666667)); #241382=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -1.5140625)); #241383=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -1.48489583333334)); #241384=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -1.45572916666667)); #241385=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -1.4265625)); #241386=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -1.39739583333334)); #241387=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-1.36822916666667)); #241388=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-1.3390625)); #241389=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -1.30989583333334)); #241390=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -1.28072916666667)); #241391=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -1.2515625)); #241392=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -1.22239583333334)); #241393=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -1.19322916666667)); #241394=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -1.1640625)); #241395=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -1.13489583333334)); #241396=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -1.10572916666667)); #241397=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -1.0765625)); #241398=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -1.04739583333334)); #241399=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-1.01822916666667)); #241400=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-0.989062500000003)); #241401=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -0.959895833333336)); #241402=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -0.930729166666669)); #241403=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -0.901562500000003)); #241404=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -0.872395833333336)); #241405=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -0.843229166666669)); #241406=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -0.814062500000003)); #241407=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -0.784895833333336)); #241408=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -0.755729166666669)); #241409=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -0.726562500000002)); #241410=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -0.697395833333336)); #241411=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-0.668229166666669)); #241412=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-0.639062500000003)); #241413=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -0.609895833333336)); #241414=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -0.580729166666669)); #241415=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -0.551562500000003)); #241416=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -0.522395833333336)); #241417=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -0.493229166666669)); #241418=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -0.464062500000003)); #241419=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -0.434895833333336)); #241420=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -0.405729166666669)); #241421=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -0.376562500000002)); #241422=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -0.347395833333336)); #241423=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-0.318229166666669)); #241424=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-0.289062500000002)); #241425=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -0.259895833333336)); #241426=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -0.230729166666669)); #241427=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, -0.201562500000003)); #241428=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -0.172395833333336)); #241429=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, -0.143229166666669)); #241430=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, -0.114062500000003)); #241431=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -0.0848958333333357)); #241432=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, -0.0557291666666692)); #241433=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, -0.0265625000000027)); #241434=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 0.00260416666666421)); #241435=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,0.0317708333333307)); #241436=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,0.0609374999999976)); #241437=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 0.0901041666666641)); #241438=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 0.119270833333331)); #241439=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 0.148437499999998)); #241440=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 0.177604166666664)); #241441=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 0.206770833333331)); #241442=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 0.235937499999997)); #241443=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 0.265104166666664)); #241444=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 0.29427083333333)); #241445=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 0.323437499999997)); #241446=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 0.352604166666664)); #241447=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,0.381770833333331)); #241448=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,0.410937499999997)); #241449=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 0.440104166666664)); #241450=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 0.469270833333331)); #241451=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 0.498437499999997)); #241452=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 0.527604166666664)); #241453=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 0.556770833333331)); #241454=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 0.585937499999997)); #241455=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 0.615104166666664)); #241456=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 0.644270833333331)); #241457=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 0.673437499999998)); #241458=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 0.702604166666664)); #241459=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,0.731770833333331)); #241460=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,0.760937499999998)); #241461=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 0.790104166666664)); #241462=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 0.819270833333331)); #241463=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 0.848437499999998)); #241464=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 0.877604166666664)); #241465=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 0.906770833333331)); #241466=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 0.935937499999998)); #241467=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 0.965104166666665)); #241468=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 0.994270833333331)); #241469=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 1.0234375)); #241470=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 1.05260416666666)); #241471=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,1.08177083333333)); #241472=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,1.1109375)); #241473=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 1.14010416666666)); #241474=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 1.16927083333333)); #241475=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 1.1984375)); #241476=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 1.22760416666666)); #241477=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 1.25677083333333)); #241478=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 1.2859375)); #241479=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 1.31510416666666)); #241480=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 1.34427083333333)); #241481=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 1.3734375)); #241482=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 1.40260416666666)); #241483=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,1.43177083333333)); #241484=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,1.4609375)); #241485=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 1.49010416666666)); #241486=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 1.51927083333333)); #241487=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 1.5484375)); #241488=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 1.57760416666666)); #241489=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 1.60677083333333)); #241490=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 1.6359375)); #241491=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 1.66510416666666)); #241492=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 1.69427083333333)); #241493=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 1.7234375)); #241494=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 1.75260416666666)); #241495=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,1.78177083333333)); #241496=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,1.8109375)); #241497=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 1.84010416666666)); #241498=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 1.86927083333333)); #241499=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 1.8984375)); #241500=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 1.92760416666666)); #241501=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 1.95677083333333)); #241502=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 1.9859375)); #241503=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 2.01510416666666)); #241504=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 2.04427083333333)); #241505=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 2.0734375)); #241506=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 2.10260416666666)); #241507=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,2.13177083333333)); #241508=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,2.1609375)); #241509=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 2.19010416666666)); #241510=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 2.21927083333333)); #241511=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703399,8.53828467143663E-16, 2.2484375)); #241512=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 2.27760416666666)); #241513=CARTESIAN_POINT('Ctrl Pts',(-0.4728177153517,-0.818944305707781, 2.30677083333333)); #241514=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707782, 2.3359375)); #241515=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 2.36510416666666)); #241516=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,-0.409472152853891, 2.39427083333333)); #241517=CARTESIAN_POINT('Ctrl Pts',(0.945635430703399,6.22214586469414E-16, 2.4234375)); #241518=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 2.45260416666666)); #241519=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,2.48177083333333)); #241520=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,2.5109375)); #241521=CARTESIAN_POINT('Ctrl Pts',(-0.394368187584051,0.818944305707783, 2.53526485724093)); #241522=CARTESIAN_POINT('Ctrl Pts',(-0.640261657356691,0.510621959937159, 2.56141415429346)); #241523=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.93125)); #241524=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -3.90208333333334)); #241525=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -3.87291666666667)); #241526=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.84375)); #241527=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.81458333333333)); #241528=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.78541666666667)); #241529=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.75625)); #241530=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.72708333333333)); #241531=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.69791666666667)); #241532=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.66875)); #241533=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -3.63958333333334)); #241534=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -3.61041666666667)); #241535=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.58125)); #241536=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -3.55208333333334)); #241537=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -3.52291666666667)); #241538=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.49375)); #241539=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.46458333333334)); #241540=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.43541666666667)); #241541=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.40625)); #241542=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.37708333333333)); #241543=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.34791666666667)); #241544=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.31875)); #241545=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -3.28958333333334)); #241546=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -3.26041666666667)); #241547=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.23125)); #241548=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -3.20208333333333)); #241549=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -3.17291666666667)); #241550=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.14375)); #241551=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.11458333333333)); #241552=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.08541666666667)); #241553=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.05625)); #241554=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.02708333333333)); #241555=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.99791666666667)); #241556=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.96875)); #241557=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.93958333333333)); #241558=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.91041666666667)); #241559=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.88125)); #241560=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -2.85208333333334)); #241561=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -2.82291666666667)); #241562=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.79375)); #241563=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.76458333333334)); #241564=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.73541666666667)); #241565=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.70625)); #241566=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.67708333333333)); #241567=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.64791666666667)); #241568=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.61875)); #241569=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.58958333333334)); #241570=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.56041666666667)); #241571=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.53125)); #241572=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -2.50208333333334)); #241573=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -2.47291666666667)); #241574=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.44375)); #241575=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.41458333333334)); #241576=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.38541666666667)); #241577=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.35625)); #241578=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.32708333333333)); #241579=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.29791666666667)); #241580=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.26875)); #241581=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.23958333333333)); #241582=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.21041666666667)); #241583=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.18125)); #241584=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -2.15208333333333)); #241585=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -2.12291666666667)); #241586=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.09375)); #241587=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.06458333333333)); #241588=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.03541666666667)); #241589=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -2.00625)); #241590=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.97708333333334)); #241591=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.94791666666667)); #241592=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.91875)); #241593=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.88958333333334)); #241594=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.86041666666667)); #241595=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.83125)); #241596=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -1.80208333333333)); #241597=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -1.77291666666667)); #241598=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.74375)); #241599=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.71458333333333)); #241600=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.68541666666667)); #241601=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -1.65625)); #241602=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.62708333333334)); #241603=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.59791666666667)); #241604=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.56875)); #241605=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.53958333333334)); #241606=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.51041666666667)); #241607=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.48125)); #241608=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -1.45208333333334)); #241609=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -1.42291666666667)); #241610=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.39375)); #241611=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.36458333333333)); #241612=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.33541666666667)); #241613=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -1.30625)); #241614=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.27708333333333)); #241615=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.24791666666667)); #241616=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.21875)); #241617=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.18958333333333)); #241618=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.16041666666667)); #241619=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.13125)); #241620=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -1.10208333333334)); #241621=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -1.07291666666667)); #241622=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.04375)); #241623=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.01458333333333)); #241624=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.985416666666668)); #241625=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.956250000000002)); #241626=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.927083333333335)); #241627=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.897916666666668)); #241628=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -0.868750000000001)); #241629=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.839583333333335)); #241630=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.810416666666668)); #241631=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.781250000000002)); #241632=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -0.752083333333335)); #241633=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -0.722916666666668)); #241634=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.693750000000002)); #241635=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.664583333333335)); #241636=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.635416666666668)); #241637=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.606250000000002)); #241638=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.577083333333335)); #241639=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.547916666666668)); #241640=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -0.518750000000001)); #241641=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.489583333333335)); #241642=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.460416666666668)); #241643=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.431250000000002)); #241644=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -0.402083333333335)); #241645=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -0.372916666666668)); #241646=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.343750000000002)); #241647=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.314583333333335)); #241648=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.285416666666668)); #241649=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -0.256250000000002)); #241650=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.227083333333335)); #241651=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.197916666666668)); #241652=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -0.168750000000002)); #241653=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.139583333333335)); #241654=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.110416666666668)); #241655=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.0812500000000015)); #241656=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, -0.052083333333335)); #241657=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, -0.0229166666666685)); #241658=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.00624999999999844)); #241659=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.0354166666666654)); #241660=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.0645833333333314)); #241661=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.0937499999999983)); #241662=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.122916666666665)); #241663=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.152083333333331)); #241664=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, 0.181249999999998)); #241665=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.210416666666665)); #241666=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.239583333333332)); #241667=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.268749999999998)); #241668=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 0.297916666666665)); #241669=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 0.327083333333332)); #241670=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.356249999999998)); #241671=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.385416666666665)); #241672=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.414583333333332)); #241673=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.443749999999998)); #241674=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.472916666666665)); #241675=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.502083333333332)); #241676=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 0.531249999999999)); #241677=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.560416666666665)); #241678=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.589583333333332)); #241679=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.618749999999999)); #241680=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 0.647916666666665)); #241681=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 0.677083333333332)); #241682=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.706249999999998)); #241683=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.735416666666665)); #241684=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.764583333333332)); #241685=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 0.793749999999999)); #241686=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.822916666666665)); #241687=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.852083333333332)); #241688=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 0.881249999999998)); #241689=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.910416666666665)); #241690=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.939583333333331)); #241691=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.968749999999998)); #241692=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 0.997916666666665)); #241693=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 1.02708333333333)); #241694=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 1.05625)); #241695=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.08541666666667)); #241696=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.11458333333333)); #241697=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.14375)); #241698=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.17291666666667)); #241699=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.20208333333333)); #241700=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 1.23125)); #241701=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.26041666666666)); #241702=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.28958333333333)); #241703=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.31875)); #241704=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 1.34791666666667)); #241705=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 1.37708333333333)); #241706=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 1.40625)); #241707=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.43541666666666)); #241708=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.46458333333333)); #241709=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.49375)); #241710=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.52291666666666)); #241711=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.55208333333333)); #241712=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 1.58125)); #241713=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.61041666666667)); #241714=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.63958333333333)); #241715=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.66875)); #241716=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 1.69791666666667)); #241717=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 1.72708333333333)); #241718=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 1.75625)); #241719=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.78541666666667)); #241720=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.81458333333333)); #241721=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 1.84375)); #241722=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.87291666666667)); #241723=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.90208333333333)); #241724=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 1.93125)); #241725=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.96041666666666)); #241726=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.98958333333333)); #241727=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,2.01875)); #241728=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,0.572668331506582, 2.04791666666667)); #241729=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753292, 2.07708333333333)); #241730=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 2.10625)); #241731=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 2.13541666666666)); #241732=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 2.16458333333333)); #241733=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, 2.19375)); #241734=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 2.22291666666666)); #241735=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 2.25208333333333)); #241736=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 2.28125)); #241737=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 2.31041666666667)); #241738=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 2.33958333333333)); #241739=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,2.36875)); #241740=CARTESIAN_POINT('Ctrl Pts',(-0.275772321034513,0.572668331506582, 2.39307735724093)); #241741=CARTESIAN_POINT('Ctrl Pts',(-0.447719793019633,0.357065827052926, 2.41922665429346)); #241742=CARTESIAN_POINT('Ctrl Pts',(-0.40122819461005,-0.408613452740474, -3.8)); #241743=CARTESIAN_POINT('Ctrl Pts',(-0.234153618715176,-0.572668331506581, -3.77690594803123)); #241744=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.572668331506581, -3.75625)); #241745=CARTESIAN_POINT('Origin',(3.33719238129645E-16,-2.15105711021124E-15, -3.953125)); #241746=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.975)); #241747=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -3.94583333333334)); #241748=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -3.91666666666667)); #241749=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.8875)); #241750=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.85833333333334)); #241751=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.82916666666667)); #241752=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -3.8)); #241753=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.77083333333334)); #241754=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.74166666666667)); #241755=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.7125)); #241756=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -3.68333333333334)); #241757=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -3.65416666666667)); #241758=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.625)); #241759=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -3.59583333333334)); #241760=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -3.56666666666667)); #241761=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.5375)); #241762=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.50833333333334)); #241763=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.47916666666667)); #241764=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -3.45)); #241765=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.42083333333334)); #241766=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.39166666666667)); #241767=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.3625)); #241768=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -3.33333333333334)); #241769=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -3.30416666666667)); #241770=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-3.275)); #241771=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -3.24583333333333)); #241772=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -3.21666666666667)); #241773=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -3.1875)); #241774=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -3.15833333333333)); #241775=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -3.12916666666667)); #241776=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -3.1)); #241777=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -3.07083333333334)); #241778=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -3.04166666666667)); #241779=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -3.0125)); #241780=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.98333333333334)); #241781=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.95416666666667)); #241782=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.925)); #241783=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -2.89583333333334)); #241784=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -2.86666666666667)); #241785=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.8375)); #241786=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.80833333333334)); #241787=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.77916666666667)); #241788=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -2.75)); #241789=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.72083333333334)); #241790=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.69166666666667)); #241791=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.6625)); #241792=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.63333333333334)); #241793=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.60416666666667)); #241794=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.575)); #241795=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -2.54583333333334)); #241796=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -2.51666666666667)); #241797=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.4875)); #241798=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.45833333333334)); #241799=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.42916666666667)); #241800=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -2.4)); #241801=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.37083333333334)); #241802=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -2.34166666666667)); #241803=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -2.3125)); #241804=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -2.28333333333333)); #241805=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -2.25416666666667)); #241806=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-2.225)); #241807=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -2.19583333333334)); #241808=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -2.16666666666667)); #241809=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -2.1375)); #241810=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -2.10833333333334)); #241811=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -2.07916666666667)); #241812=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -2.05)); #241813=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -2.02083333333334)); #241814=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.99166666666667)); #241815=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.9625)); #241816=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.93333333333334)); #241817=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.90416666666667)); #241818=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.875)); #241819=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -1.84583333333334)); #241820=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -1.81666666666667)); #241821=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.7875)); #241822=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.75833333333334)); #241823=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.72916666666667)); #241824=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -1.7)); #241825=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.67083333333334)); #241826=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.64166666666667)); #241827=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495543E-16, -1.6125)); #241828=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.58333333333334)); #241829=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.55416666666667)); #241830=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.525)); #241831=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -1.49583333333334)); #241832=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -1.46666666666667)); #241833=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.4375)); #241834=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.40833333333334)); #241835=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.37916666666667)); #241836=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -1.35)); #241837=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -1.32083333333334)); #241838=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -1.29166666666667)); #241839=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, -1.2625)); #241840=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -1.23333333333334)); #241841=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -1.20416666666667)); #241842=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-1.175)); #241843=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -1.14583333333334)); #241844=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -1.11666666666667)); #241845=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -1.0875)); #241846=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -1.05833333333334)); #241847=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -1.02916666666667)); #241848=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -1.)); #241849=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.970833333333335)); #241850=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.941666666666669)); #241851=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, -0.912500000000002)); #241852=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.883333333333335)); #241853=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.854166666666669)); #241854=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.825000000000002)); #241855=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -0.795833333333336)); #241856=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -0.766666666666669)); #241857=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.737500000000002)); #241858=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.708333333333335)); #241859=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.679166666666669)); #241860=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -0.650000000000002)); #241861=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.620833333333335)); #241862=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.591666666666669)); #241863=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, -0.562500000000002)); #241864=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.533333333333335)); #241865=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.504166666666669)); #241866=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.475000000000002)); #241867=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -0.445833333333335)); #241868=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -0.416666666666669)); #241869=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.387500000000002)); #241870=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.358333333333336)); #241871=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, -0.329166666666669)); #241872=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, -0.300000000000002)); #241873=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, -0.270833333333335)); #241874=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, -0.241666666666669)); #241875=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, -0.212500000000002)); #241876=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, -0.183333333333335)); #241877=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, -0.154166666666669)); #241878=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,-0.125000000000002)); #241879=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, -0.0958333333333354)); #241880=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, -0.0666666666666689)); #241881=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, -0.0375000000000019)); #241882=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, -0.00833333333333502)); #241883=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.020833333333331)); #241884=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 0.049999999999998)); #241885=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.0791666666666649)); #241886=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.108333333333331)); #241887=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 0.137499999999998)); #241888=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.166666666666665)); #241889=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.195833333333332)); #241890=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.224999999999998)); #241891=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, 0.254166666666665)); #241892=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, 0.283333333333332)); #241893=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.312499999999998)); #241894=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.341666666666665)); #241895=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.370833333333332)); #241896=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 0.399999999999998)); #241897=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.429166666666664)); #241898=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.458333333333331)); #241899=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 0.487499999999998)); #241900=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.516666666666664)); #241901=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.545833333333331)); #241902=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.574999999999998)); #241903=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, 0.604166666666664)); #241904=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, 0.633333333333331)); #241905=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 0.662499999999998)); #241906=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 0.691666666666664)); #241907=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 0.720833333333331)); #241908=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 0.749999999999998)); #241909=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 0.779166666666665)); #241910=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 0.808333333333331)); #241911=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 0.837499999999998)); #241912=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 0.866666666666665)); #241913=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 0.895833333333331)); #241914=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,0.924999999999998)); #241915=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, 0.954166666666665)); #241916=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, 0.983333333333332)); #241917=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 1.0125)); #241918=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.04166666666666)); #241919=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.07083333333333)); #241920=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 1.1)); #241921=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.12916666666666)); #241922=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.15833333333333)); #241923=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 1.1875)); #241924=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.21666666666666)); #241925=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.24583333333333)); #241926=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.275)); #241927=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, 1.30416666666666)); #241928=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, 1.33333333333333)); #241929=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 1.3625)); #241930=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.39166666666666)); #241931=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.42083333333333)); #241932=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 1.45)); #241933=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.47916666666666)); #241934=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.50833333333333)); #241935=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 1.5375)); #241936=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.56666666666667)); #241937=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.59583333333333)); #241938=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.625)); #241939=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, 1.65416666666667)); #241940=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, 1.68333333333333)); #241941=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 1.7125)); #241942=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 1.74166666666666)); #241943=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 1.77083333333333)); #241944=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 1.8)); #241945=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 1.82916666666667)); #241946=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 1.85833333333333)); #241947=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 1.8875)); #241948=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 1.91666666666666)); #241949=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 1.94583333333333)); #241950=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,1.975)); #241951=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351698,0.572668331506582, 2.00416666666666)); #241952=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,0.286334165753291, 2.03333333333333)); #241953=CARTESIAN_POINT('Ctrl Pts',(-0.661260430703397,8.36415520468286E-16, 2.0625)); #241954=CARTESIAN_POINT('Ctrl Pts',(-0.495945323027548,-0.28633416575329, 2.09166666666666)); #241955=CARTESIAN_POINT('Ctrl Pts',(-0.330630215351699,-0.57266833150658, 2.12083333333333)); #241956=CARTESIAN_POINT('Ctrl Pts',(-7.0131643915259E-17,-0.57266833150658, 2.15)); #241957=CARTESIAN_POINT('Ctrl Pts',(0.330630215351698,-0.572668331506581, 2.17916666666666)); #241958=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,-0.28633416575329, 2.20833333333333)); #241959=CARTESIAN_POINT('Ctrl Pts',(0.661260430703397,6.74453426495544E-16, 2.2375)); #241960=CARTESIAN_POINT('Ctrl Pts',(0.495945323027548,0.286334165753291, 2.26666666666666)); #241961=CARTESIAN_POINT('Ctrl Pts',(0.330630215351699,0.572668331506582, 2.29583333333333)); #241962=CARTESIAN_POINT('Ctrl Pts',(0.,0.572668331506582,2.325)); #241963=CARTESIAN_POINT('Ctrl Pts',(-0.275761732634494,0.572668331506582, 2.34932642318131)); #241964=CARTESIAN_POINT('Ctrl Pts',(-0.447709074312794,0.3570792666741, 2.37547502424318)); #241965=CARTESIAN_POINT('Ctrl Pts',(-0.648811018391071,0.104935909081842, 2.40605726213731)); #241966=CARTESIAN_POINT('Ctrl Pts',(-0.849912962469348,-0.147207448510416, 2.43663950003144)); #241967=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-4.1171875)); #241968=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -4.08802083333333)); #241969=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -4.05885416666667)); #241970=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -4.0296875)); #241971=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -4.00052083333333)); #241972=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -3.97135416666667)); #241973=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -3.9421875)); #241974=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -3.91302083333333)); #241975=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -3.88385416666667)); #241976=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -3.8546875)); #241977=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -3.82552083333333)); #241978=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-3.79635416666667)); #241979=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-3.7671875)); #241980=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -3.73802083333333)); #241981=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -3.70885416666667)); #241982=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -3.6796875)); #241983=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -3.65052083333333)); #241984=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -3.62135416666667)); #241985=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -3.5921875)); #241986=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -3.56302083333333)); #241987=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -3.53385416666667)); #241988=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -3.5046875)); #241989=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -3.47552083333333)); #241990=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-3.44635416666667)); #241991=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-3.4171875)); #241992=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -3.38802083333333)); #241993=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -3.35885416666667)); #241994=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -3.3296875)); #241995=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -3.30052083333333)); #241996=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -3.27135416666667)); #241997=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -3.2421875)); #241998=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -3.21302083333333)); #241999=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -3.18385416666667)); #242000=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -3.1546875)); #242001=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -3.12552083333333)); #242002=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-3.09635416666667)); #242003=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-3.0671875)); #242004=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -3.03802083333333)); #242005=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -3.00885416666667)); #242006=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -2.9796875)); #242007=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -2.95052083333333)); #242008=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -2.92135416666667)); #242009=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -2.8921875)); #242010=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -2.86302083333333)); #242011=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -2.83385416666667)); #242012=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -2.8046875)); #242013=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -2.77552083333333)); #242014=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-2.74635416666667)); #242015=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-2.7171875)); #242016=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -2.68802083333333)); #242017=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -2.65885416666667)); #242018=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -2.6296875)); #242019=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -2.60052083333333)); #242020=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -2.57135416666667)); #242021=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -2.5421875)); #242022=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -2.51302083333333)); #242023=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -2.48385416666667)); #242024=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -2.4546875)); #242025=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -2.42552083333333)); #242026=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-2.39635416666667)); #242027=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-2.3671875)); #242028=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -2.33802083333333)); #242029=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -2.30885416666667)); #242030=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -2.2796875)); #242031=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -2.25052083333333)); #242032=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -2.22135416666667)); #242033=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -2.1921875)); #242034=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -2.16302083333333)); #242035=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -2.13385416666667)); #242036=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -2.1046875)); #242037=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -2.07552083333333)); #242038=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-2.04635416666667)); #242039=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-2.0171875)); #242040=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -1.98802083333333)); #242041=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -1.95885416666667)); #242042=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -1.9296875)); #242043=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -1.90052083333333)); #242044=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -1.87135416666667)); #242045=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -1.8421875)); #242046=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -1.81302083333333)); #242047=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -1.78385416666667)); #242048=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -1.7546875)); #242049=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -1.72552083333333)); #242050=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-1.69635416666667)); #242051=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-1.6671875)); #242052=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -1.63802083333333)); #242053=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -1.60885416666667)); #242054=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -1.5796875)); #242055=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -1.55052083333333)); #242056=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -1.52135416666667)); #242057=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -1.4921875)); #242058=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -1.46302083333333)); #242059=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -1.43385416666667)); #242060=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -1.4046875)); #242061=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -1.37552083333333)); #242062=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-1.34635416666667)); #242063=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-1.3171875)); #242064=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -1.28802083333333)); #242065=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -1.25885416666667)); #242066=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -1.2296875)); #242067=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -1.20052083333333)); #242068=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -1.17135416666667)); #242069=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -1.1421875)); #242070=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -1.11302083333333)); #242071=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -1.08385416666667)); #242072=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -1.0546875)); #242073=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -1.02552083333333)); #242074=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-0.996354166666668)); #242075=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-0.967187500000001)); #242076=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -0.938020833333335)); #242077=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -0.908854166666668)); #242078=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -0.879687500000001)); #242079=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -0.850520833333334)); #242080=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -0.821354166666668)); #242081=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -0.792187500000001)); #242082=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -0.763020833333334)); #242083=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -0.733854166666668)); #242084=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -0.704687500000001)); #242085=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -0.675520833333334)); #242086=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-0.646354166666668)); #242087=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-0.617187500000001)); #242088=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -0.588020833333335)); #242089=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -0.558854166666668)); #242090=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -0.529687500000001)); #242091=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -0.500520833333335)); #242092=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -0.471354166666668)); #242093=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -0.442187500000001)); #242094=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -0.413020833333334)); #242095=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -0.383854166666668)); #242096=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -0.354687500000001)); #242097=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, -0.325520833333334)); #242098=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,-0.296354166666668)); #242099=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,-0.267187500000001)); #242100=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, -0.238020833333334)); #242101=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, -0.208854166666668)); #242102=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, -0.179687500000001)); #242103=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, -0.150520833333334)); #242104=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, -0.121354166666668)); #242105=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, -0.0921875000000011)); #242106=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, -0.0630208333333342)); #242107=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, -0.0338541666666681)); #242108=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, -0.00468750000000122)); #242109=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 0.0244791666666657)); #242110=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,0.0536458333333326)); #242111=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,0.0828124999999987)); #242112=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 0.111979166666666)); #242113=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 0.141145833333333)); #242114=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, 0.170312499999999)); #242115=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 0.199479166666666)); #242116=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, 0.228645833333332)); #242117=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, 0.257812499999999)); #242118=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 0.286979166666665)); #242119=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, 0.316145833333332)); #242120=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, 0.345312499999999)); #242121=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 0.374479166666665)); #242122=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,0.403645833333332)); #242123=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,0.432812499999999)); #242124=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 0.461979166666665)); #242125=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 0.491145833333332)); #242126=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, 0.520312499999999)); #242127=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 0.549479166666665)); #242128=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, 0.578645833333332)); #242129=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, 0.607812499999999)); #242130=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 0.636979166666666)); #242131=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, 0.666145833333332)); #242132=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, 0.695312499999999)); #242133=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 0.724479166666666)); #242134=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,0.753645833333332)); #242135=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,0.782812499999999)); #242136=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 0.811979166666666)); #242137=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 0.841145833333333)); #242138=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, 0.870312499999999)); #242139=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 0.899479166666666)); #242140=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, 0.928645833333333)); #242141=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, 0.957812499999999)); #242142=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 0.986979166666666)); #242143=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, 1.01614583333333)); #242144=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, 1.0453125)); #242145=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 1.07447916666667)); #242146=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,1.10364583333333)); #242147=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,1.1328125)); #242148=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 1.16197916666667)); #242149=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 1.19114583333333)); #242150=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, 1.2203125)); #242151=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 1.24947916666667)); #242152=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, 1.27864583333333)); #242153=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, 1.3078125)); #242154=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 1.33697916666667)); #242155=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, 1.36614583333333)); #242156=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, 1.3953125)); #242157=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 1.42447916666667)); #242158=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,1.45364583333333)); #242159=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,1.4828125)); #242160=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 1.51197916666667)); #242161=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 1.54114583333333)); #242162=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, 1.5703125)); #242163=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 1.59947916666667)); #242164=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, 1.62864583333333)); #242165=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, 1.6578125)); #242166=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 1.68697916666667)); #242167=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, 1.71614583333333)); #242168=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, 1.7453125)); #242169=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 1.77447916666667)); #242170=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,1.80364583333333)); #242171=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,1.8328125)); #242172=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,0.818944305707783, 1.86197916666667)); #242173=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,0.409472152853892, 1.89114583333333)); #242174=CARTESIAN_POINT('Ctrl Pts',(-0.945635430703398,8.53828467143663E-16, 1.9203125)); #242175=CARTESIAN_POINT('Ctrl Pts',(-0.709226573027549,-0.40947215285389, 1.94947916666667)); #242176=CARTESIAN_POINT('Ctrl Pts',(-0.472817715351699,-0.818944305707781, 1.97864583333333)); #242177=CARTESIAN_POINT('Ctrl Pts',(-1.00291752266499E-16,-0.818944305707781, 2.0078125)); #242178=CARTESIAN_POINT('Ctrl Pts',(0.472817715351699,-0.818944305707782, 2.03697916666667)); #242179=CARTESIAN_POINT('Ctrl Pts',(0.709226573027548,-0.409472152853891, 2.06614583333333)); #242180=CARTESIAN_POINT('Ctrl Pts',(0.945635430703398,6.22214586469414E-16, 2.0953125)); #242181=CARTESIAN_POINT('Ctrl Pts',(0.709226573027549,0.409472152853891, 2.12447916666667)); #242182=CARTESIAN_POINT('Ctrl Pts',(0.4728177153517,0.818944305707783,2.15364583333333)); #242183=CARTESIAN_POINT('Ctrl Pts',(0.,0.818944305707783,2.1828125)); #242184=CARTESIAN_POINT('Ctrl Pts',(-0.394353045643376,0.818944305707783, 2.20713892318131)); #242185=CARTESIAN_POINT('Ctrl Pts',(-0.640246329070759,0.510641179266439, 2.23328752424318)); #242186=CARTESIAN_POINT('Ctrl Pts',(-0.92783214953406,0.150063589129786, 2.26386976213731)); #242187=CARTESIAN_POINT('Ctrl Pts',(-1.21541796999736,-0.210514001006866, 2.29445200003144)); #242188=CARTESIAN_POINT('',(0.,0.,0.)); #242189=CARTESIAN_POINT('Origin',(5.92385183339515,0.2,2.8614616242721)); #242190=CARTESIAN_POINT('',(6.356642,0.2,3.09020999999998)); #242191=CARTESIAN_POINT('',(6.41328300000001,0.2,2.85195999999999)); #242192=CARTESIAN_POINT('Origin',(5.92385183339515,0.2,2.8614616242721)); #242193=CARTESIAN_POINT('',(6.356642,0.18,3.09020999999998)); #242194=CARTESIAN_POINT('',(6.356642,0.2,3.09020999999998)); #242195=CARTESIAN_POINT('',(6.41328300000001,0.18,2.85195999999999)); #242196=CARTESIAN_POINT('Origin',(5.92385183339515,0.18,2.8614616242721)); #242197=CARTESIAN_POINT('',(6.41328300000001,0.2,2.85195999999999)); #242198=CARTESIAN_POINT('Origin',(6.19196798461537,0.2,3.0092268545368)); #242199=CARTESIAN_POINT('',(6.20088000000001,0.2,3.19251999999999)); #242200=CARTESIAN_POINT('Origin',(6.19196798461537,0.2,3.0092268545368)); #242201=CARTESIAN_POINT('',(6.20088000000001,0.18,3.19251999999999)); #242202=CARTESIAN_POINT('',(6.20088000000001,0.2,3.19251999999999)); #242203=CARTESIAN_POINT('Origin',(6.19196798461537,0.18,3.0092268545368)); #242204=CARTESIAN_POINT('Origin',(6.19926244169551,0.2,3.02195065464907)); #242205=CARTESIAN_POINT('',(6.10954899999999,0.2,3.16703)); #242206=CARTESIAN_POINT('Origin',(6.19926244169551,0.2,3.02195065464907)); #242207=CARTESIAN_POINT('',(6.10954899999999,0.18,3.16703)); #242208=CARTESIAN_POINT('',(6.10954899999999,0.2,3.16703)); #242209=CARTESIAN_POINT('Origin',(6.19926244169551,0.18,3.02195065464907)); #242210=CARTESIAN_POINT('Origin',(6.19059712230176,0.2,3.03364776124722)); #242211=CARTESIAN_POINT('',(6.06423,0.2,3.12524999999999)); #242212=CARTESIAN_POINT('Origin',(6.19059712230176,0.2,3.03364776124722)); #242213=CARTESIAN_POINT('',(6.06423,0.18,3.12524999999999)); #242214=CARTESIAN_POINT('',(6.06423,0.2,3.12524999999999)); #242215=CARTESIAN_POINT('Origin',(6.19059712230176,0.18,3.03364776124722)); #242216=CARTESIAN_POINT('Origin',(6.42185822232572,0.2,2.88774267797876)); #242217=CARTESIAN_POINT('',(6.010069,0.2,3.00913999999999)); #242218=CARTESIAN_POINT('Origin',(6.42185822232572,0.2,2.88774267797876)); #242219=CARTESIAN_POINT('',(6.010069,0.18,3.00913999999999)); #242220=CARTESIAN_POINT('',(6.010069,0.2,3.00913999999999)); #242221=CARTESIAN_POINT('Origin',(6.42185822232572,0.18,2.88774267797876)); #242222=CARTESIAN_POINT('Origin',(6.49394613639852,0.2,2.85573841534942)); #242223=CARTESIAN_POINT('',(5.986349,0.2,2.85195999999999)); #242224=CARTESIAN_POINT('Origin',(6.49394613639852,0.2,2.85573841534942)); #242225=CARTESIAN_POINT('',(5.986349,0.18,2.85195999999999)); #242226=CARTESIAN_POINT('',(5.986349,0.2,2.85195999999999)); #242227=CARTESIAN_POINT('Origin',(6.49394613639852,0.18,2.85573841534942)); #242228=CARTESIAN_POINT('Origin',(5.986349,0.2,2.72098)); #242229=CARTESIAN_POINT('',(5.986349,0.2,2.72098)); #242230=CARTESIAN_POINT('',(5.986349,0.2,1.36048999999672)); #242231=CARTESIAN_POINT('',(5.986349,0.18,2.72098)); #242232=CARTESIAN_POINT('',(5.986349,0.2,2.72098)); #242233=CARTESIAN_POINT('',(5.986349,0.18,2.72098)); #242234=CARTESIAN_POINT('Origin',(6.47369007045518,0.2,2.71132662272963)); #242235=CARTESIAN_POINT('',(6.04298999999999,0.2,2.48309000000001)); #242236=CARTESIAN_POINT('Origin',(6.47369007045518,0.2,2.71132662272963)); #242237=CARTESIAN_POINT('',(6.04298999999999,0.18,2.48309000000001)); #242238=CARTESIAN_POINT('',(6.04298999999999,0.2,2.48309000000001)); #242239=CARTESIAN_POINT('Origin',(6.47369007045518,0.18,2.71132662272963)); #242240=CARTESIAN_POINT('Origin',(6.20785862017367,0.2,2.56507793228732)); #242241=CARTESIAN_POINT('',(6.19946099999999,0.2,2.38113999999999)); #242242=CARTESIAN_POINT('Origin',(6.20785862017367,0.2,2.56507793228732)); #242243=CARTESIAN_POINT('',(6.19946099999999,0.18,2.38113999999999)); #242244=CARTESIAN_POINT('',(6.19946099999999,0.2,2.38113999999999)); #242245=CARTESIAN_POINT('Origin',(6.20785862017367,0.18,2.56507793228732)); #242246=CARTESIAN_POINT('Origin',(6.20091997065812,0.2,2.55392838334373)); #242247=CARTESIAN_POINT('',(6.290091,0.2,2.40591999999998)); #242248=CARTESIAN_POINT('Origin',(6.20091997065812,0.2,2.55392838334373)); #242249=CARTESIAN_POINT('',(6.290091,0.18,2.40591999999998)); #242250=CARTESIAN_POINT('',(6.290091,0.2,2.40591999999998)); #242251=CARTESIAN_POINT('Origin',(6.20091997065812,0.18,2.55392838334373)); #242252=CARTESIAN_POINT('Origin',(6.20611202515085,0.2,2.54306472275315)); #242253=CARTESIAN_POINT('',(6.336111,0.2,2.4484)); #242254=CARTESIAN_POINT('Origin',(6.20611202515085,0.2,2.54306472275315)); #242255=CARTESIAN_POINT('',(6.336111,0.18,2.4484)); #242256=CARTESIAN_POINT('',(6.336111,0.2,2.4484)); #242257=CARTESIAN_POINT('Origin',(6.20611202515085,0.18,2.54306472275315)); #242258=CARTESIAN_POINT('Origin',(5.96241040374473,0.2,2.69117635818109)); #242259=CARTESIAN_POINT('',(6.389563,0.2,2.56415999999998)); #242260=CARTESIAN_POINT('Origin',(5.96241040374473,0.2,2.69117635818109)); #242261=CARTESIAN_POINT('',(6.389563,0.18,2.56415999999998)); #242262=CARTESIAN_POINT('',(6.389563,0.2,2.56415999999998)); #242263=CARTESIAN_POINT('Origin',(5.96241040374473,0.18,2.69117635818109)); #242264=CARTESIAN_POINT('Origin',(5.90910458534608,0.2,2.71703622111714)); #242265=CARTESIAN_POINT('',(6.41328300000001,0.2,2.72098)); #242266=CARTESIAN_POINT('Origin',(5.90910458534608,0.2,2.71703622111714)); #242267=CARTESIAN_POINT('',(6.41328300000001,0.18,2.72098)); #242268=CARTESIAN_POINT('',(6.41328300000001,0.2,2.72098)); #242269=CARTESIAN_POINT('Origin',(5.90910458534608,0.18,2.71703622111714)); #242270=CARTESIAN_POINT('Origin',(6.41328300000001,0.2,2.85195999999999)); #242271=CARTESIAN_POINT('',(6.41328300000001,0.2,1.42597999999672)); #242272=CARTESIAN_POINT('',(6.41328300000001,0.18,2.85195999999999)); #242273=CARTESIAN_POINT('Origin',(6.00093995697579,0.2,2.88419095652369)); #242274=CARTESIAN_POINT('',(6.47275400000001,0.2,2.86045999999999)); #242275=CARTESIAN_POINT('',(6.381422,0.2,3.16419999999999)); #242276=CARTESIAN_POINT('Origin',(6.00093995697579,0.2,2.88419095652369)); #242277=CARTESIAN_POINT('',(6.47275400000001,0.18,2.86045999999999)); #242278=CARTESIAN_POINT('',(6.47275400000001,0.2,2.86045999999999)); #242279=CARTESIAN_POINT('',(6.381422,0.18,3.16419999999999)); #242280=CARTESIAN_POINT('Origin',(6.00093995697579,0.18,2.88419095652369)); #242281=CARTESIAN_POINT('',(6.381422,0.2,3.16419999999999)); #242282=CARTESIAN_POINT('Origin',(6.47275400000001,0.2,2.71319)); #242283=CARTESIAN_POINT('',(6.47275400000001,0.2,2.71319)); #242284=CARTESIAN_POINT('',(6.47275400000001,0.2,1.35659499999672)); #242285=CARTESIAN_POINT('',(6.47275400000001,0.18,2.71319)); #242286=CARTESIAN_POINT('',(6.47275400000001,0.2,2.71319)); #242287=CARTESIAN_POINT('',(6.47275400000001,0.18,2.71319)); #242288=CARTESIAN_POINT('Origin',(5.8968967971045,0.2,2.7035682721027)); #242289=CARTESIAN_POINT('',(6.45717500000001,0.2,2.57017999999999)); #242290=CARTESIAN_POINT('Origin',(5.8968967971045,0.2,2.7035682721027)); #242291=CARTESIAN_POINT('',(6.45717500000001,0.18,2.57017999999999)); #242292=CARTESIAN_POINT('',(6.45717500000001,0.2,2.57017999999999)); #242293=CARTESIAN_POINT('Origin',(5.8968967971045,0.18,2.7035682721027)); #242294=CARTESIAN_POINT('Origin',(5.9035219479109,0.2,2.7245737755816)); #242295=CARTESIAN_POINT('',(6.42177399999999,0.2,2.47601)); #242296=CARTESIAN_POINT('Origin',(5.9035219479109,0.2,2.7245737755816)); #242297=CARTESIAN_POINT('',(6.42177399999999,0.18,2.47601)); #242298=CARTESIAN_POINT('',(6.42177399999999,0.2,2.47601)); #242299=CARTESIAN_POINT('Origin',(5.9035219479109,0.18,2.7245737755816)); #242300=CARTESIAN_POINT('Origin',(6.13708401670039,0.2,2.59487486102685)); #242301=CARTESIAN_POINT('',(6.37646299999999,0.2,2.40025999999999)); #242302=CARTESIAN_POINT('Origin',(6.13708401670039,0.2,2.59487486102685)); #242303=CARTESIAN_POINT('',(6.37646299999999,0.18,2.40025999999999)); #242304=CARTESIAN_POINT('',(6.37646299999999,0.2,2.40025999999999)); #242305=CARTESIAN_POINT('Origin',(6.13708401670039,0.18,2.59487486102685)); #242306=CARTESIAN_POINT('Origin',(6.20341837947368,0.2,2.55101361457223)); #242307=CARTESIAN_POINT('',(6.297873,0.2,2.34185)); #242308=CARTESIAN_POINT('Origin',(6.20341837947368,0.2,2.55101361457223)); #242309=CARTESIAN_POINT('',(6.297873,0.18,2.34185)); #242310=CARTESIAN_POINT('',(6.297873,0.2,2.34185)); #242311=CARTESIAN_POINT('Origin',(6.20341837947368,0.18,2.55101361457223)); #242312=CARTESIAN_POINT('Origin',(6.20002381486605,0.2,2.5605300722431)); #242313=CARTESIAN_POINT('',(6.19875200000001,0.2,2.32095999999999)); #242314=CARTESIAN_POINT('Origin',(6.20002381486605,0.2,2.5605300722431)); #242315=CARTESIAN_POINT('',(6.19875200000001,0.18,2.32095999999999)); #242316=CARTESIAN_POINT('',(6.19875200000001,0.2,2.32095999999999)); #242317=CARTESIAN_POINT('Origin',(6.20002381486605,0.18,2.5605300722431)); #242318=CARTESIAN_POINT('Origin',(6.20084717784104,0.2,2.55480800694585)); #242319=CARTESIAN_POINT('',(6.01820999999999,0.2,2.40874999999999)); #242320=CARTESIAN_POINT('Origin',(6.20084717784104,0.2,2.55480800694585)); #242321=CARTESIAN_POINT('',(6.01820999999999,0.18,2.40874999999999)); #242322=CARTESIAN_POINT('',(6.01820999999999,0.2,2.40874999999999)); #242323=CARTESIAN_POINT('Origin',(6.20084717784104,0.18,2.55480800694585)); #242324=CARTESIAN_POINT('Origin',(6.39966921351753,0.2,2.68910756405525)); #242325=CARTESIAN_POINT('',(5.92687799999999,0.2,2.71319)); #242326=CARTESIAN_POINT('Origin',(6.39966921351753,0.2,2.68910756405525)); #242327=CARTESIAN_POINT('',(5.92687799999999,0.18,2.71319)); #242328=CARTESIAN_POINT('',(5.92687799999999,0.2,2.71319)); #242329=CARTESIAN_POINT('Origin',(6.39966921351753,0.18,2.68910756405525)); #242330=CARTESIAN_POINT('Origin',(5.92687799999999,0.2,2.86045999999999)); #242331=CARTESIAN_POINT('',(5.92687799999999,0.2,2.86045999999999)); #242332=CARTESIAN_POINT('',(5.92687799999999,0.2,1.43022999999672)); #242333=CARTESIAN_POINT('',(5.92687799999999,0.18,2.86045999999999)); #242334=CARTESIAN_POINT('',(5.92687799999999,0.2,2.86045999999999)); #242335=CARTESIAN_POINT('',(5.92687799999999,0.18,2.86045999999999)); #242336=CARTESIAN_POINT('Origin',(6.47650999232144,0.2,2.86963559052465)); #242337=CARTESIAN_POINT('',(5.94316700000001,0.2,3.00276999999998)); #242338=CARTESIAN_POINT('Origin',(6.47650999232144,0.2,2.86963559052465)); #242339=CARTESIAN_POINT('',(5.94316700000001,0.18,3.00276999999998)); #242340=CARTESIAN_POINT('',(5.94316700000001,0.2,3.00276999999998)); #242341=CARTESIAN_POINT('Origin',(6.47650999232144,0.18,2.86963559052465)); #242342=CARTESIAN_POINT('Origin',(6.43258050019587,0.2,2.87590272328597)); #242343=CARTESIAN_POINT('',(5.978209,0.2,3.09763999999998)); #242344=CARTESIAN_POINT('Origin',(6.43258050019587,0.2,2.87590272328597)); #242345=CARTESIAN_POINT('',(5.978209,0.18,3.09763999999998)); #242346=CARTESIAN_POINT('',(5.978209,0.2,3.09763999999998)); #242347=CARTESIAN_POINT('Origin',(6.43258050019587,0.18,2.87590272328597)); #242348=CARTESIAN_POINT('Origin',(6.26743739939537,0.2,2.97536475966941)); #242349=CARTESIAN_POINT('',(6.02316899999999,0.2,3.17269)); #242350=CARTESIAN_POINT('Origin',(6.26743739939537,0.2,2.97536475966941)); #242351=CARTESIAN_POINT('',(6.02316899999999,0.18,3.17269)); #242352=CARTESIAN_POINT('',(6.02316899999999,0.2,3.17269)); #242353=CARTESIAN_POINT('Origin',(6.26743739939537,0.18,2.97536475966941)); #242354=CARTESIAN_POINT('Origin',(6.19549576964634,0.2,3.02237574833695)); #242355=CARTESIAN_POINT('',(6.10211000000001,0.2,3.23110999999999)); #242356=CARTESIAN_POINT('Origin',(6.19549576964634,0.2,3.02237574833695)); #242357=CARTESIAN_POINT('',(6.10211000000001,0.18,3.23110999999999)); #242358=CARTESIAN_POINT('',(6.10211000000001,0.2,3.23110999999999)); #242359=CARTESIAN_POINT('Origin',(6.19549576964634,0.18,3.02237574833695)); #242360=CARTESIAN_POINT('Origin',(6.20009792484762,0.2,3.01164047475105)); #242361=CARTESIAN_POINT('',(6.20088000000001,0.2,3.25199)); #242362=CARTESIAN_POINT('Origin',(6.20009792484762,0.2,3.01164047475105)); #242363=CARTESIAN_POINT('',(6.20088000000001,0.18,3.25199)); #242364=CARTESIAN_POINT('',(6.20088000000001,0.2,3.25199)); #242365=CARTESIAN_POINT('Origin',(6.20009792484762,0.18,3.01164047475105)); #242366=CARTESIAN_POINT('Origin',(6.19878371573011,0.2,3.01813971765974)); #242367=CARTESIAN_POINT('Origin',(6.19878371573011,0.2,3.01813971765974)); #242368=CARTESIAN_POINT('Origin',(6.19878371573011,0.18,3.01813971765974)); #242369=CARTESIAN_POINT('Origin',(6.19980774063007,0.18,2.78647394827715)); #242370=CARTESIAN_POINT('Origin',(1.51018400000001,0.2,2.86328999999999)); #242371=CARTESIAN_POINT('',(1.51018400000001,0.2,2.86328999999999)); #242372=CARTESIAN_POINT('',(1.51018400000001,0.2,2.77832999999999)); #242373=CARTESIAN_POINT('',(1.51018400000001,0.2,1.43164499999672)); #242374=CARTESIAN_POINT('',(1.51018400000001,0.18,2.86328999999999)); #242375=CARTESIAN_POINT('',(1.51018400000001,0.2,2.86328999999999)); #242376=CARTESIAN_POINT('',(1.51018400000001,0.18,2.77832999999999)); #242377=CARTESIAN_POINT('',(1.51018400000001,0.18,2.86328999999999)); #242378=CARTESIAN_POINT('',(1.51018400000001,0.2,2.77832999999999)); #242379=CARTESIAN_POINT('Origin',(2.116949,0.2,2.86328999999999)); #242380=CARTESIAN_POINT('',(2.116949,0.2,2.86328999999999)); #242381=CARTESIAN_POINT('',(1.05847450000328,0.2,2.86328999999999)); #242382=CARTESIAN_POINT('',(2.116949,0.18,2.86328999999999)); #242383=CARTESIAN_POINT('',(2.116949,0.2,2.86328999999999)); #242384=CARTESIAN_POINT('',(2.116949,0.18,2.86328999999999)); #242385=CARTESIAN_POINT('Origin',(2.116949,0.2,2.77832999999999)); #242386=CARTESIAN_POINT('',(2.116949,0.2,2.77832999999999)); #242387=CARTESIAN_POINT('',(2.116949,0.2,1.38916499999672)); #242388=CARTESIAN_POINT('',(2.116949,0.18,2.77832999999999)); #242389=CARTESIAN_POINT('',(2.116949,0.2,2.77832999999999)); #242390=CARTESIAN_POINT('',(2.116949,0.18,2.77832999999999)); #242391=CARTESIAN_POINT('Origin',(1.51018400000001,0.2,2.77832999999999)); #242392=CARTESIAN_POINT('',(0.755092000003279,0.2,2.77832999999999)); #242393=CARTESIAN_POINT('',(1.51018400000001,0.18,2.77832999999999)); #242394=CARTESIAN_POINT('Origin',(1.81356650000001,0.18,2.82080999999998)); #242395=CARTESIAN_POINT('Origin',(10.654272,0.2,0.962919999999983)); #242396=CARTESIAN_POINT('',(10.654272,0.2,0.962919999999983)); #242397=CARTESIAN_POINT('',(10.632277,0.2,0.962919999999983)); #242398=CARTESIAN_POINT('',(5.32713600000327,0.2,0.962919999999983)); #242399=CARTESIAN_POINT('',(10.654272,0.18,0.962919999999983)); #242400=CARTESIAN_POINT('',(10.654272,0.2,0.962919999999983)); #242401=CARTESIAN_POINT('',(10.632277,0.18,0.962919999999983)); #242402=CARTESIAN_POINT('',(10.654272,0.18,0.962919999999983)); #242403=CARTESIAN_POINT('',(10.632277,0.2,0.962919999999983)); #242404=CARTESIAN_POINT('Origin',(10.818877,0.2,1.40019999999998)); #242405=CARTESIAN_POINT('',(10.818877,0.2,1.40019999999998)); #242406=CARTESIAN_POINT('',(9.91666878283861,0.2,-0.996553495946741)); #242407=CARTESIAN_POINT('',(10.818877,0.18,1.40019999999998)); #242408=CARTESIAN_POINT('',(10.818877,0.2,1.40019999999998)); #242409=CARTESIAN_POINT('',(10.818877,0.18,1.40019999999998)); #242410=CARTESIAN_POINT('Origin',(10.472639,0.2,1.40019999999998)); #242411=CARTESIAN_POINT('',(10.472639,0.2,1.40019999999998)); #242412=CARTESIAN_POINT('',(5.23631950000327,0.2,1.40019999999998)); #242413=CARTESIAN_POINT('',(10.472639,0.18,1.40019999999998)); #242414=CARTESIAN_POINT('',(10.472639,0.2,1.40019999999998)); #242415=CARTESIAN_POINT('',(10.472639,0.18,1.40019999999998)); #242416=CARTESIAN_POINT('Origin',(10.632277,0.2,0.962919999999983)); #242417=CARTESIAN_POINT('',(10.1621806493524,0.2,2.25060671751819)); #242418=CARTESIAN_POINT('',(10.632277,0.18,0.962919999999983)); #242419=CARTESIAN_POINT('Origin',(11.0361877914699,0.2,1.72413304915983)); #242420=CARTESIAN_POINT('',(11.031729,0.2,1.68001999999998)); #242421=CARTESIAN_POINT('',(11.062231,0.2,1.68824999999998)); #242422=CARTESIAN_POINT('Origin',(11.0361877914699,0.2,1.72413304915983)); #242423=CARTESIAN_POINT('',(11.031729,0.18,1.68001999999998)); #242424=CARTESIAN_POINT('',(11.031729,0.2,1.68001999999998)); #242425=CARTESIAN_POINT('',(11.062231,0.18,1.68824999999998)); #242426=CARTESIAN_POINT('Origin',(11.0361877914699,0.18,1.72413304915983)); #242427=CARTESIAN_POINT('',(11.062231,0.2,1.68824999999998)); #242428=CARTESIAN_POINT('Origin',(10.985609,0.2,1.68001999999998)); #242429=CARTESIAN_POINT('',(10.985609,0.2,1.68001999999998)); #242430=CARTESIAN_POINT('',(5.49280450000327,0.2,1.68001999999998)); #242431=CARTESIAN_POINT('',(10.985609,0.18,1.68001999999998)); #242432=CARTESIAN_POINT('',(10.985609,0.2,1.68001999999998)); #242433=CARTESIAN_POINT('',(10.985609,0.18,1.68001999999998)); #242434=CARTESIAN_POINT('Origin',(10.694708,0.2,0.902809999999992)); #242435=CARTESIAN_POINT('',(10.694708,0.2,0.902809999999992)); #242436=CARTESIAN_POINT('',(9.88944154642216,0.2,-1.2486475074862)); #242437=CARTESIAN_POINT('',(10.694708,0.18,0.902809999999992)); #242438=CARTESIAN_POINT('',(10.694708,0.2,0.902809999999992)); #242439=CARTESIAN_POINT('',(10.694708,0.18,0.902809999999992)); #242440=CARTESIAN_POINT('Origin',(10.394591,0.2,0.902809999999992)); #242441=CARTESIAN_POINT('',(10.394591,0.2,0.902809999999992)); #242442=CARTESIAN_POINT('',(5.19729550000327,0.2,0.902809999999992)); #242443=CARTESIAN_POINT('',(10.394591,0.18,0.902809999999992)); #242444=CARTESIAN_POINT('',(10.394591,0.2,0.902809999999992)); #242445=CARTESIAN_POINT('',(10.394591,0.18,0.902809999999992)); #242446=CARTESIAN_POINT('Origin',(10.3901282969534,0.2,0.94693386756358)); #242447=CARTESIAN_POINT('',(10.364081,0.2,0.911039999999979)); #242448=CARTESIAN_POINT('Origin',(10.3901282969534,0.2,0.94693386756358)); #242449=CARTESIAN_POINT('',(10.364081,0.18,0.911039999999979)); #242450=CARTESIAN_POINT('',(10.364081,0.2,0.911039999999979)); #242451=CARTESIAN_POINT('Origin',(10.3901282969534,0.18,0.94693386756358)); #242452=CARTESIAN_POINT('Origin',(10.3838286525248,0.2,0.932247590966462)); #242453=CARTESIAN_POINT('',(10.354857,0.2,0.932859999999991)); #242454=CARTESIAN_POINT('Origin',(10.3838286525248,0.2,0.932247590966462)); #242455=CARTESIAN_POINT('',(10.354857,0.18,0.932859999999991)); #242456=CARTESIAN_POINT('',(10.354857,0.2,0.932859999999991)); #242457=CARTESIAN_POINT('Origin',(10.3838286525248,0.18,0.932247590966462)); #242458=CARTESIAN_POINT('Origin',(10.383839816435,0.2,0.933477409033561)); #242459=CARTESIAN_POINT('',(10.364081,0.2,0.954689999999978)); #242460=CARTESIAN_POINT('Origin',(10.383839816435,0.2,0.933477409033561)); #242461=CARTESIAN_POINT('',(10.364081,0.18,0.954689999999978)); #242462=CARTESIAN_POINT('',(10.364081,0.2,0.954689999999978)); #242463=CARTESIAN_POINT('Origin',(10.383839816435,0.18,0.933477409033561)); #242464=CARTESIAN_POINT('Origin',(10.3901282969534,0.2,0.918796132436253)); #242465=CARTESIAN_POINT('',(10.394591,0.2,0.962919999999983)); #242466=CARTESIAN_POINT('Origin',(10.3901282969534,0.2,0.918796132436253)); #242467=CARTESIAN_POINT('',(10.394591,0.18,0.962919999999983)); #242468=CARTESIAN_POINT('',(10.394591,0.2,0.962919999999983)); #242469=CARTESIAN_POINT('Origin',(10.3901282969534,0.18,0.918796132436253)); #242470=CARTESIAN_POINT('Origin',(10.570547,0.2,0.962919999999983)); #242471=CARTESIAN_POINT('',(10.570547,0.2,0.962919999999983)); #242472=CARTESIAN_POINT('',(5.28527350000327,0.2,0.962919999999983)); #242473=CARTESIAN_POINT('',(10.570547,0.18,0.962919999999983)); #242474=CARTESIAN_POINT('',(10.570547,0.2,0.962919999999983)); #242475=CARTESIAN_POINT('',(10.570547,0.18,0.962919999999983)); #242476=CARTESIAN_POINT('Origin',(10.307326,0.2,1.68001999999998)); #242477=CARTESIAN_POINT('',(10.307326,0.2,1.68001999999998)); #242478=CARTESIAN_POINT('',(9.96711983861607,0.2,2.60685273115903)); #242479=CARTESIAN_POINT('',(10.307326,0.18,1.68001999999998)); #242480=CARTESIAN_POINT('',(10.307326,0.2,1.68001999999998)); #242481=CARTESIAN_POINT('',(10.307326,0.18,1.68001999999998)); #242482=CARTESIAN_POINT('Origin',(10.261206,0.2,1.68002)); #242483=CARTESIAN_POINT('',(10.261206,0.2,1.68002)); #242484=CARTESIAN_POINT('',(5.1306030000036,0.2,1.68002000000197)); #242485=CARTESIAN_POINT('',(10.261206,0.18,1.68002)); #242486=CARTESIAN_POINT('',(10.261206,0.2,1.68002)); #242487=CARTESIAN_POINT('',(10.261206,0.18,1.68002)); #242488=CARTESIAN_POINT('Origin',(10.2567432085301,0.2,1.72414353976336)); #242489=CARTESIAN_POINT('',(10.230696,0.2,1.68824999999998)); #242490=CARTESIAN_POINT('Origin',(10.2567432085301,0.2,1.72414353976336)); #242491=CARTESIAN_POINT('',(10.230696,0.18,1.68824999999998)); #242492=CARTESIAN_POINT('',(10.230696,0.2,1.68824999999998)); #242493=CARTESIAN_POINT('Origin',(10.2567432085301,0.18,1.72414353976336)); #242494=CARTESIAN_POINT('Origin',(10.2504522938682,0.2,1.70946152508659)); #242495=CARTESIAN_POINT('',(10.221472,0.2,1.71007999999999)); #242496=CARTESIAN_POINT('Origin',(10.2504522938682,0.2,1.70946152508659)); #242497=CARTESIAN_POINT('',(10.221472,0.18,1.71007999999999)); #242498=CARTESIAN_POINT('',(10.221472,0.2,1.71007999999999)); #242499=CARTESIAN_POINT('Origin',(10.2504522938682,0.18,1.70946152508659)); #242500=CARTESIAN_POINT('Origin',(10.2507474097796,0.2,1.71021903857442)); #242501=CARTESIAN_POINT('',(10.230696,0.2,1.73154999999998)); #242502=CARTESIAN_POINT('Origin',(10.2507474097796,0.2,1.71021903857442)); #242503=CARTESIAN_POINT('',(10.230696,0.18,1.73154999999998)); #242504=CARTESIAN_POINT('',(10.230696,0.2,1.73154999999998)); #242505=CARTESIAN_POINT('Origin',(10.2507474097796,0.18,1.71021903857442)); #242506=CARTESIAN_POINT('Origin',(10.2568538370533,0.2,1.69707012138733)); #242507=CARTESIAN_POINT('',(10.261206,0.2,1.74012999999999)); #242508=CARTESIAN_POINT('Origin',(10.2568538370533,0.2,1.69707012138733)); #242509=CARTESIAN_POINT('',(10.261206,0.18,1.74012999999999)); #242510=CARTESIAN_POINT('',(10.261206,0.2,1.74012999999999)); #242511=CARTESIAN_POINT('Origin',(10.2568538370533,0.18,1.69707012138733)); #242512=CARTESIAN_POINT('Origin',(10.483992,0.2,1.74013)); #242513=CARTESIAN_POINT('',(10.483992,0.2,1.74013)); #242514=CARTESIAN_POINT('',(5.24199600000321,0.2,1.74012999999959)); #242515=CARTESIAN_POINT('',(10.483992,0.18,1.74013)); #242516=CARTESIAN_POINT('',(10.483992,0.2,1.74013)); #242517=CARTESIAN_POINT('',(10.483992,0.18,1.74013)); #242518=CARTESIAN_POINT('Origin',(10.4883441629467,0.2,1.69707012138737)); #242519=CARTESIAN_POINT('',(10.514502,0.2,1.73154999999998)); #242520=CARTESIAN_POINT('Origin',(10.4883441629467,0.2,1.69707012138737)); #242521=CARTESIAN_POINT('',(10.514502,0.18,1.73154999999998)); #242522=CARTESIAN_POINT('',(10.514502,0.2,1.73154999999998)); #242523=CARTESIAN_POINT('Origin',(10.4883441629467,0.18,1.69707012138737)); #242524=CARTESIAN_POINT('Origin',(10.4944465902204,0.2,1.71022822848025)); #242525=CARTESIAN_POINT('',(10.523718,0.2,1.71007999999999)); #242526=CARTESIAN_POINT('Origin',(10.4944465902204,0.2,1.71022822848025)); #242527=CARTESIAN_POINT('',(10.523718,0.18,1.71007999999999)); #242528=CARTESIAN_POINT('',(10.523718,0.2,1.71007999999999)); #242529=CARTESIAN_POINT('Origin',(10.4944465902204,0.18,1.71022822848025)); #242530=CARTESIAN_POINT('Origin',(10.4947417061319,0.2,1.70945259488265)); #242531=CARTESIAN_POINT('',(10.514502,0.2,1.68824999999998)); #242532=CARTESIAN_POINT('Origin',(10.4947417061319,0.2,1.70945259488265)); #242533=CARTESIAN_POINT('',(10.514502,0.18,1.68824999999998)); #242534=CARTESIAN_POINT('',(10.514502,0.2,1.68824999999998)); #242535=CARTESIAN_POINT('Origin',(10.4947417061319,0.18,1.70945259488265)); #242536=CARTESIAN_POINT('Origin',(10.4884547914699,0.2,1.72414353976359)); #242537=CARTESIAN_POINT('',(10.483992,0.2,1.68001999999998)); #242538=CARTESIAN_POINT('Origin',(10.4884547914699,0.2,1.72414353976359)); #242539=CARTESIAN_POINT('',(10.483992,0.18,1.68001999999998)); #242540=CARTESIAN_POINT('',(10.483992,0.2,1.68001999999998)); #242541=CARTESIAN_POINT('Origin',(10.4884547914699,0.18,1.72414353976359)); #242542=CARTESIAN_POINT('Origin',(10.369757,0.2,1.68001999999998)); #242543=CARTESIAN_POINT('',(10.369757,0.2,1.68001999999998)); #242544=CARTESIAN_POINT('',(5.18487850000328,0.2,1.68001999999998)); #242545=CARTESIAN_POINT('',(10.369757,0.18,1.68001999999998)); #242546=CARTESIAN_POINT('',(10.369757,0.2,1.68001999999998)); #242547=CARTESIAN_POINT('',(10.369757,0.18,1.68001999999998)); #242548=CARTESIAN_POINT('Origin',(10.449934,0.2,1.46030999999999)); #242549=CARTESIAN_POINT('',(10.449934,0.2,1.46030999999999)); #242550=CARTESIAN_POINT('',(10.071041424869,0.2,2.49859389291227)); #242551=CARTESIAN_POINT('',(10.449934,0.18,1.46030999999999)); #242552=CARTESIAN_POINT('',(10.449934,0.2,1.46030999999999)); #242553=CARTESIAN_POINT('',(10.449934,0.18,1.46030999999999)); #242554=CARTESIAN_POINT('Origin',(10.841582,0.2,1.46030999999999)); #242555=CARTESIAN_POINT('',(10.841582,0.2,1.46030999999999)); #242556=CARTESIAN_POINT('',(5.42079100000328,0.2,1.46030999999999)); #242557=CARTESIAN_POINT('',(10.841582,0.18,1.46030999999999)); #242558=CARTESIAN_POINT('',(10.841582,0.2,1.46030999999999)); #242559=CARTESIAN_POINT('',(10.841582,0.18,1.46030999999999)); #242560=CARTESIAN_POINT('Origin',(10.92317,0.2,1.68001999999998)); #242561=CARTESIAN_POINT('',(10.92317,0.2,1.68001999999998)); #242562=CARTESIAN_POINT('',(9.98717475692892,0.2,-0.840540803735501)); #242563=CARTESIAN_POINT('',(10.92317,0.18,1.68001999999998)); #242564=CARTESIAN_POINT('',(10.92317,0.2,1.68001999999998)); #242565=CARTESIAN_POINT('',(10.92317,0.18,1.68001999999998)); #242566=CARTESIAN_POINT('Origin',(10.809653,0.2,1.68001999999998)); #242567=CARTESIAN_POINT('',(10.809653,0.2,1.68001999999998)); #242568=CARTESIAN_POINT('',(5.40482650000327,0.2,1.68001999999998)); #242569=CARTESIAN_POINT('',(10.809653,0.18,1.68001999999998)); #242570=CARTESIAN_POINT('',(10.809653,0.2,1.68001999999998)); #242571=CARTESIAN_POINT('',(10.809653,0.18,1.68001999999998)); #242572=CARTESIAN_POINT('Origin',(10.8048352085302,0.2,1.72507458083976)); #242573=CARTESIAN_POINT('',(10.778433,0.2,1.68824999999998)); #242574=CARTESIAN_POINT('Origin',(10.8048352085302,0.2,1.72507458083976)); #242575=CARTESIAN_POINT('',(10.778433,0.18,1.68824999999998)); #242576=CARTESIAN_POINT('',(10.778433,0.2,1.68824999999998)); #242577=CARTESIAN_POINT('Origin',(10.8048352085302,0.18,1.72507458083976)); #242578=CARTESIAN_POINT('Origin',(10.7981892938682,0.2,1.70946152508659)); #242579=CARTESIAN_POINT('',(10.769209,0.2,1.71007999999999)); #242580=CARTESIAN_POINT('Origin',(10.7981892938682,0.2,1.70946152508659)); #242581=CARTESIAN_POINT('',(10.769209,0.18,1.71007999999999)); #242582=CARTESIAN_POINT('',(10.769209,0.2,1.71007999999999)); #242583=CARTESIAN_POINT('Origin',(10.7981892938682,0.18,1.70946152508659)); #242584=CARTESIAN_POINT('Origin',(10.7984844097796,0.2,1.71021903857442)); #242585=CARTESIAN_POINT('',(10.778433,0.2,1.73154999999998)); #242586=CARTESIAN_POINT('Origin',(10.7984844097796,0.2,1.71021903857442)); #242587=CARTESIAN_POINT('',(10.778433,0.18,1.73154999999998)); #242588=CARTESIAN_POINT('',(10.778433,0.2,1.73154999999998)); #242589=CARTESIAN_POINT('Origin',(10.7984844097796,0.18,1.71021903857442)); #242590=CARTESIAN_POINT('Origin',(10.8049458370534,0.2,1.69616790526746)); #242591=CARTESIAN_POINT('',(10.809653,0.2,1.74012999999999)); #242592=CARTESIAN_POINT('Origin',(10.8049458370534,0.2,1.69616790526746)); #242593=CARTESIAN_POINT('',(10.809653,0.18,1.74012999999999)); #242594=CARTESIAN_POINT('',(10.809653,0.2,1.74012999999999)); #242595=CARTESIAN_POINT('Origin',(10.8049458370534,0.18,1.69616790526746)); #242596=CARTESIAN_POINT('Origin',(11.031729,0.2,1.74012999999999)); #242597=CARTESIAN_POINT('',(11.031729,0.2,1.74012999999999)); #242598=CARTESIAN_POINT('',(5.51586450000328,0.2,1.74012999999999)); #242599=CARTESIAN_POINT('',(11.031729,0.18,1.74012999999999)); #242600=CARTESIAN_POINT('',(11.031729,0.2,1.74012999999999)); #242601=CARTESIAN_POINT('',(11.031729,0.18,1.74012999999999)); #242602=CARTESIAN_POINT('Origin',(11.0360771629467,0.2,1.69708028720269)); #242603=CARTESIAN_POINT('',(11.062231,0.2,1.73154999999998)); #242604=CARTESIAN_POINT('Origin',(11.0360771629467,0.2,1.69708028720269)); #242605=CARTESIAN_POINT('',(11.062231,0.18,1.73154999999998)); #242606=CARTESIAN_POINT('',(11.062231,0.2,1.73154999999998)); #242607=CARTESIAN_POINT('Origin',(11.0360771629467,0.18,1.69708028720269)); #242608=CARTESIAN_POINT('Origin',(11.0421795902204,0.2,1.71021903857442)); #242609=CARTESIAN_POINT('',(11.071455,0.2,1.71007999999999)); #242610=CARTESIAN_POINT('Origin',(11.0421795902204,0.2,1.71021903857442)); #242611=CARTESIAN_POINT('',(11.071455,0.18,1.71007999999999)); #242612=CARTESIAN_POINT('',(11.071455,0.2,1.71007999999999)); #242613=CARTESIAN_POINT('Origin',(11.0421795902204,0.18,1.71021903857442)); #242614=CARTESIAN_POINT('Origin',(11.0424747061319,0.2,1.70946152508652)); #242615=CARTESIAN_POINT('Origin',(11.0424747061319,0.2,1.70946152508652)); #242616=CARTESIAN_POINT('Origin',(11.0424747061319,0.18,1.70946152508652)); #242617=CARTESIAN_POINT('Origin',(10.6464635,0.18,1.32148309095683)); #242618=CARTESIAN_POINT('Origin',(7.362776,0.2,1.74012999999999)); #242619=CARTESIAN_POINT('',(7.362776,0.2,1.74012999999999)); #242620=CARTESIAN_POINT('',(6.89450699999999,0.2,1.74012999999999)); #242621=CARTESIAN_POINT('',(3.68138800000328,0.2,1.74012999999999)); #242622=CARTESIAN_POINT('',(7.362776,0.18,1.74012999999999)); #242623=CARTESIAN_POINT('',(7.362776,0.2,1.74012999999999)); #242624=CARTESIAN_POINT('',(6.89450699999999,0.18,1.74012999999999)); #242625=CARTESIAN_POINT('',(7.362776,0.18,1.74012999999999)); #242626=CARTESIAN_POINT('',(6.89450699999999,0.2,1.74012999999999)); #242627=CARTESIAN_POINT('Origin',(7.36712754330221,0.2,1.6970679179663)); #242628=CARTESIAN_POINT('',(7.39328599999999,0.2,1.73154999999998)); #242629=CARTESIAN_POINT('Origin',(7.36712754330221,0.2,1.6970679179663)); #242630=CARTESIAN_POINT('',(7.39328599999999,0.18,1.73154999999998)); #242631=CARTESIAN_POINT('',(7.39328599999999,0.2,1.73154999999998)); #242632=CARTESIAN_POINT('Origin',(7.36712754330221,0.18,1.6970679179663)); #242633=CARTESIAN_POINT('Origin',(7.37323459022041,0.2,1.71021903857442)); #242634=CARTESIAN_POINT('',(7.40251,0.2,1.71007999999999)); #242635=CARTESIAN_POINT('Origin',(7.37323459022041,0.2,1.71021903857442)); #242636=CARTESIAN_POINT('',(7.40251,0.18,1.71007999999999)); #242637=CARTESIAN_POINT('',(7.40251,0.2,1.71007999999999)); #242638=CARTESIAN_POINT('Origin',(7.37323459022041,0.18,1.71021903857442)); #242639=CARTESIAN_POINT('Origin',(7.37352970613194,0.2,1.70946152508652)); #242640=CARTESIAN_POINT('',(7.39328600000001,0.2,1.68824999999998)); #242641=CARTESIAN_POINT('Origin',(7.37352970613194,0.2,1.70946152508652)); #242642=CARTESIAN_POINT('',(7.39328600000001,0.18,1.68824999999998)); #242643=CARTESIAN_POINT('',(7.39328600000001,0.2,1.68824999999998)); #242644=CARTESIAN_POINT('Origin',(7.37352970613194,0.18,1.70946152508652)); #242645=CARTESIAN_POINT('Origin',(7.36723816558032,0.2,1.72414586004184)); #242646=CARTESIAN_POINT('',(7.362776,0.2,1.68001999999998)); #242647=CARTESIAN_POINT('Origin',(7.36723816558032,0.2,1.72414586004184)); #242648=CARTESIAN_POINT('',(7.362776,0.18,1.68001999999998)); #242649=CARTESIAN_POINT('',(7.362776,0.2,1.68001999999998)); #242650=CARTESIAN_POINT('Origin',(7.36723816558032,0.18,1.72414586004184)); #242651=CARTESIAN_POINT('Origin',(7.15843799999999,0.2,1.68001999999998)); #242652=CARTESIAN_POINT('',(7.15843799999999,0.2,1.68001999999998)); #242653=CARTESIAN_POINT('',(3.57921900000327,0.2,1.68001999999998)); #242654=CARTESIAN_POINT('',(7.15843799999999,0.18,1.68001999999998)); #242655=CARTESIAN_POINT('',(7.15843799999999,0.2,1.68001999999998)); #242656=CARTESIAN_POINT('',(7.15843799999999,0.18,1.68001999999998)); #242657=CARTESIAN_POINT('Origin',(7.15843799999999,0.2,0.829809999999984)); #242658=CARTESIAN_POINT('',(7.15843799999999,0.2,0.829809999999984)); #242659=CARTESIAN_POINT('',(7.15843799999999,0.2,0.414904999996716)); #242660=CARTESIAN_POINT('',(7.15843799999999,0.18,0.829809999999984)); #242661=CARTESIAN_POINT('',(7.15843799999999,0.2,0.829809999999984)); #242662=CARTESIAN_POINT('',(7.15843799999999,0.18,0.829809999999984)); #242663=CARTESIAN_POINT('Origin',(6.88599200000002,0.2,0.91640999999999)); #242664=CARTESIAN_POINT('',(6.88599200000001,0.2,0.916409999999974)); #242665=CARTESIAN_POINT('',(3.89122044989863,0.2,1.86833154129194)); #242666=CARTESIAN_POINT('',(6.88599200000001,0.18,0.916409999999974)); #242667=CARTESIAN_POINT('',(6.88599200000001,0.2,0.916409999999974)); #242668=CARTESIAN_POINT('',(6.88599200000001,0.18,0.916409999999992)); #242669=CARTESIAN_POINT('Origin',(6.90138482566703,0.2,0.974670536033528)); #242670=CARTESIAN_POINT('',(6.86257800000001,0.2,0.928569999999986)); #242671=CARTESIAN_POINT('Origin',(6.90138482566703,0.2,0.974670536033528)); #242672=CARTESIAN_POINT('',(6.86257800000001,0.18,0.928569999999986)); #242673=CARTESIAN_POINT('',(6.86257800000001,0.2,0.928569999999986)); #242674=CARTESIAN_POINT('Origin',(6.90138482566703,0.18,0.974670536033528)); #242675=CARTESIAN_POINT('Origin',(6.88869206572259,0.2,0.949093094728948)); #242676=CARTESIAN_POINT('',(6.85548199999999,0.2,0.948609999999981)); #242677=CARTESIAN_POINT('Origin',(6.88869206572259,0.2,0.949093094728948)); #242678=CARTESIAN_POINT('',(6.85548199999999,0.18,0.948609999999981)); #242679=CARTESIAN_POINT('',(6.85548199999999,0.2,0.948609999999981)); #242680=CARTESIAN_POINT('Origin',(6.88869206572259,0.18,0.949093094728948)); #242681=CARTESIAN_POINT('Origin',(6.88555764657043,0.2,0.948388299501666)); #242682=CARTESIAN_POINT('',(6.86435499999999,0.2,0.969719999999974)); #242683=CARTESIAN_POINT('Origin',(6.88555764657043,0.2,0.948388299501666)); #242684=CARTESIAN_POINT('',(6.86435499999999,0.18,0.969719999999974)); #242685=CARTESIAN_POINT('',(6.86435499999999,0.2,0.969719999999974)); #242686=CARTESIAN_POINT('Origin',(6.88555764657043,0.18,0.948388299501666)); #242687=CARTESIAN_POINT('Origin',(6.88484718391488,0.2,0.950789248547945)); #242688=CARTESIAN_POINT('',(6.883864,0.2,0.978669999999973)); #242689=CARTESIAN_POINT('Origin',(6.88484718391488,0.2,0.950789248547945)); #242690=CARTESIAN_POINT('',(6.883864,0.18,0.978669999999973)); #242691=CARTESIAN_POINT('',(6.883864,0.2,0.978669999999973)); #242692=CARTESIAN_POINT('Origin',(6.88484718391488,0.18,0.950789248547945)); #242693=CARTESIAN_POINT('Origin',(6.87965770259082,0.2,0.907006430421138)); #242694=CARTESIAN_POINT('',(6.90444,0.2,0.974380000000004)); #242695=CARTESIAN_POINT('Origin',(6.87965770259082,0.2,0.907006430421138)); #242696=CARTESIAN_POINT('',(6.90444,0.18,0.974380000000004)); #242697=CARTESIAN_POINT('',(6.90444,0.2,0.974380000000004)); #242698=CARTESIAN_POINT('Origin',(6.87965770259082,0.18,0.907006430421138)); #242699=CARTESIAN_POINT('Origin',(7.09884499999998,0.2,0.912830000000007)); #242700=CARTESIAN_POINT('',(7.09884499999998,0.2,0.912830000000007)); #242701=CARTESIAN_POINT('',(4.00414008237889,0.2,1.89263549718159)); #242702=CARTESIAN_POINT('',(7.09884499999998,0.18,0.912830000000007)); #242703=CARTESIAN_POINT('',(7.09884499999998,0.2,0.912830000000007)); #242704=CARTESIAN_POINT('',(7.09884499999998,0.18,0.912830000000007)); #242705=CARTESIAN_POINT('Origin',(7.09884499999998,0.2,1.68001999999998)); #242706=CARTESIAN_POINT('',(7.09884499999998,0.2,1.68001999999998)); #242707=CARTESIAN_POINT('',(7.09884499999998,0.2,0.840009999996713)); #242708=CARTESIAN_POINT('',(7.09884499999998,0.18,1.68001999999998)); #242709=CARTESIAN_POINT('',(7.09884499999998,0.2,1.68001999999998)); #242710=CARTESIAN_POINT('',(7.09884499999998,0.18,1.68001999999998)); #242711=CARTESIAN_POINT('Origin',(6.89450699999999,0.2,1.68001999999998)); #242712=CARTESIAN_POINT('',(6.89450699999999,0.2,1.68001999999998)); #242713=CARTESIAN_POINT('',(3.44725350000327,0.2,1.68001999999998)); #242714=CARTESIAN_POINT('',(6.89450699999999,0.18,1.68001999999998)); #242715=CARTESIAN_POINT('',(6.89450699999999,0.2,1.68001999999998)); #242716=CARTESIAN_POINT('',(6.89450699999999,0.18,1.68001999999998)); #242717=CARTESIAN_POINT('Origin',(6.89004420853013,0.2,1.72414353976359)); #242718=CARTESIAN_POINT('',(6.863997,0.2,1.68824999999998)); #242719=CARTESIAN_POINT('Origin',(6.89004420853013,0.2,1.72414353976359)); #242720=CARTESIAN_POINT('',(6.863997,0.18,1.68824999999998)); #242721=CARTESIAN_POINT('',(6.863997,0.2,1.68824999999998)); #242722=CARTESIAN_POINT('Origin',(6.89004420853013,0.18,1.72414353976359)); #242723=CARTESIAN_POINT('Origin',(6.88375203338006,0.2,1.70946099248271)); #242724=CARTESIAN_POINT('',(6.854773,0.2,1.71007999999999)); #242725=CARTESIAN_POINT('Origin',(6.88375203338006,0.2,1.70946099248271)); #242726=CARTESIAN_POINT('',(6.854773,0.18,1.71007999999999)); #242727=CARTESIAN_POINT('',(6.854773,0.2,1.71007999999999)); #242728=CARTESIAN_POINT('Origin',(6.88375203338006,0.18,1.70946099248271)); #242729=CARTESIAN_POINT('Origin',(6.88404710365831,0.2,1.71021959971378)); #242730=CARTESIAN_POINT('',(6.863997,0.2,1.73154999999998)); #242731=CARTESIAN_POINT('Origin',(6.88404710365831,0.2,1.71021959971378)); #242732=CARTESIAN_POINT('',(6.863997,0.18,1.73154999999998)); #242733=CARTESIAN_POINT('',(6.863997,0.2,1.73154999999998)); #242734=CARTESIAN_POINT('Origin',(6.88404710365831,0.18,1.71021959971378)); #242735=CARTESIAN_POINT('Origin',(6.89015483705332,0.2,1.69707012138737)); #242736=CARTESIAN_POINT('Origin',(6.89015483705332,0.2,1.69707012138737)); #242737=CARTESIAN_POINT('Origin',(6.89015483705332,0.18,1.69707012138737)); #242738=CARTESIAN_POINT('Origin',(7.1286414941719,0.18,1.28507969140014)); #242739=CARTESIAN_POINT('Origin',(1.68020500000001,0.2,0.962919999999983)); #242740=CARTESIAN_POINT('',(1.68020500000001,0.2,0.962919999999983)); #242741=CARTESIAN_POINT('',(1.68020500000001,0.2,1.68001999999998)); #242742=CARTESIAN_POINT('',(1.68020500000001,0.2,0.481459999996715)); #242743=CARTESIAN_POINT('',(1.68020500000001,0.18,0.962919999999983)); #242744=CARTESIAN_POINT('',(1.68020500000001,0.2,0.962919999999983)); #242745=CARTESIAN_POINT('',(1.68020500000001,0.18,1.68001999999998)); #242746=CARTESIAN_POINT('',(1.68020500000001,0.18,0.962919999999983)); #242747=CARTESIAN_POINT('',(1.68020500000001,0.2,1.68001999999998)); #242748=CARTESIAN_POINT('Origin',(1.896605,0.2,0.962919999999983)); #242749=CARTESIAN_POINT('',(1.896605,0.2,0.962919999999983)); #242750=CARTESIAN_POINT('',(0.948302500003277,0.2,0.962919999999983)); #242751=CARTESIAN_POINT('',(1.896605,0.18,0.962919999999983)); #242752=CARTESIAN_POINT('',(1.896605,0.2,0.962919999999983)); #242753=CARTESIAN_POINT('',(1.896605,0.18,0.962919999999983)); #242754=CARTESIAN_POINT('Origin',(1.89726787629184,0.2,1.1929183805622)); #242755=CARTESIAN_POINT('',(2.00374499999999,0.2,0.989049999999985)); #242756=CARTESIAN_POINT('Origin',(1.89726787629184,0.2,1.1929183805622)); #242757=CARTESIAN_POINT('',(2.00374499999999,0.18,0.989049999999985)); #242758=CARTESIAN_POINT('',(2.00374499999999,0.2,0.989049999999985)); #242759=CARTESIAN_POINT('Origin',(1.89726787629184,0.18,1.1929183805622)); #242760=CARTESIAN_POINT('Origin',(1.90108543882976,0.2,1.20094603838091)); #242761=CARTESIAN_POINT('',(2.07894699999999,0.2,1.04665999999996)); #242762=CARTESIAN_POINT('Origin',(1.90108543882976,0.2,1.20094603838091)); #242763=CARTESIAN_POINT('',(2.07894699999999,0.18,1.04665999999996)); #242764=CARTESIAN_POINT('',(2.07894699999999,0.2,1.04665999999996)); #242765=CARTESIAN_POINT('Origin',(1.90108543882976,0.18,1.20094603838091)); #242766=CARTESIAN_POINT('Origin',(1.72057785381694,0.2,1.34322574643409)); #242767=CARTESIAN_POINT('',(2.15132,0.2,1.16760999999999)); #242768=CARTESIAN_POINT('Origin',(1.72057785381694,0.2,1.34322574643409)); #242769=CARTESIAN_POINT('',(2.15132,0.18,1.16760999999999)); #242770=CARTESIAN_POINT('',(2.15132,0.2,1.16760999999999)); #242771=CARTESIAN_POINT('Origin',(1.72057785381694,0.18,1.34322574643409)); #242772=CARTESIAN_POINT('Origin',(1.90565704293294,0.2,1.26273444259029)); #242773=CARTESIAN_POINT('',(2.169058,0.2,1.26707999999999)); #242774=CARTESIAN_POINT('Origin',(1.90565704293294,0.2,1.26273444259029)); #242775=CARTESIAN_POINT('',(2.169058,0.18,1.26707999999999)); #242776=CARTESIAN_POINT('',(2.169058,0.2,1.26707999999999)); #242777=CARTESIAN_POINT('Origin',(1.90565704293294,0.18,1.26273444259029)); #242778=CARTESIAN_POINT('Origin',(2.169058,0.2,1.37585999999999)); #242779=CARTESIAN_POINT('',(2.169058,0.2,1.37585999999999)); #242780=CARTESIAN_POINT('',(2.169058,0.2,0.687929999996718)); #242781=CARTESIAN_POINT('',(2.169058,0.18,1.37585999999999)); #242782=CARTESIAN_POINT('',(2.169058,0.2,1.37585999999999)); #242783=CARTESIAN_POINT('',(2.169058,0.18,1.37585999999999)); #242784=CARTESIAN_POINT('Origin',(1.85173540823938,0.2,1.37121422793347)); #242785=CARTESIAN_POINT('',(2.13606099999999,0.2,1.51218999999999)); #242786=CARTESIAN_POINT('Origin',(1.85173540823938,0.2,1.37121422793347)); #242787=CARTESIAN_POINT('',(2.13606099999999,0.18,1.51218999999999)); #242788=CARTESIAN_POINT('',(2.13606099999999,0.2,1.51218999999999)); #242789=CARTESIAN_POINT('Origin',(1.85173540823938,0.18,1.37121422793347)); #242790=CARTESIAN_POINT('Origin',(1.84480188346375,0.2,1.36995403959004)); #242791=CARTESIAN_POINT('',(2.03744399999999,0.2,1.63062999999999)); #242792=CARTESIAN_POINT('Origin',(1.84480188346375,0.2,1.36995403959004)); #242793=CARTESIAN_POINT('',(2.03744399999999,0.18,1.63062999999999)); #242794=CARTESIAN_POINT('',(2.03744399999999,0.2,1.63062999999999)); #242795=CARTESIAN_POINT('Origin',(1.84480188346375,0.18,1.36995403959004)); #242796=CARTESIAN_POINT('Origin',(1.89313021741916,0.2,1.44461034390757)); #242797=CARTESIAN_POINT('',(1.896605,0.2,1.68001999999998)); #242798=CARTESIAN_POINT('Origin',(1.89313021741916,0.2,1.44461034390757)); #242799=CARTESIAN_POINT('',(1.896605,0.18,1.68001999999998)); #242800=CARTESIAN_POINT('',(1.896605,0.2,1.68001999999998)); #242801=CARTESIAN_POINT('Origin',(1.89313021741916,0.18,1.44461034390757)); #242802=CARTESIAN_POINT('Origin',(1.68020500000001,0.2,1.68001999999998)); #242803=CARTESIAN_POINT('',(0.840102500003279,0.2,1.68001999999998)); #242804=CARTESIAN_POINT('',(1.68020500000001,0.18,1.68001999999998)); #242805=CARTESIAN_POINT('Origin',(1.89472963146164,0.2,1.45012594186374)); #242806=CARTESIAN_POINT('',(2.05340400000001,0.2,1.6929)); #242807=CARTESIAN_POINT('',(1.89092900000001,0.2,1.74012999999999)); #242808=CARTESIAN_POINT('Origin',(1.89472963146164,0.2,1.45012594186374)); #242809=CARTESIAN_POINT('',(2.05340400000001,0.18,1.6929)); #242810=CARTESIAN_POINT('',(2.05340400000001,0.2,1.6929)); #242811=CARTESIAN_POINT('',(1.89092900000001,0.18,1.74012999999999)); #242812=CARTESIAN_POINT('Origin',(1.89472963146164,0.18,1.45012594186374)); #242813=CARTESIAN_POINT('',(1.89092900000001,0.2,1.74012999999999)); #242814=CARTESIAN_POINT('Origin',(1.84530024721026,0.2,1.37144451987377)); #242815=CARTESIAN_POINT('',(2.188208,0.2,1.54189999999998)); #242816=CARTESIAN_POINT('Origin',(1.84530024721026,0.2,1.37144451987377)); #242817=CARTESIAN_POINT('',(2.188208,0.18,1.54189999999998)); #242818=CARTESIAN_POINT('',(2.188208,0.2,1.54189999999998)); #242819=CARTESIAN_POINT('Origin',(1.84530024721026,0.18,1.37144451987377)); #242820=CARTESIAN_POINT('Origin',(1.80545981443344,0.2,1.36135290524605)); #242821=CARTESIAN_POINT('',(2.22865100000001,0.2,1.36298)); #242822=CARTESIAN_POINT('Origin',(1.80545981443344,0.2,1.36135290524605)); #242823=CARTESIAN_POINT('',(2.22865100000001,0.18,1.36298)); #242824=CARTESIAN_POINT('',(2.22865100000001,0.2,1.36298)); #242825=CARTESIAN_POINT('Origin',(1.80545981443344,0.18,1.36135290524605)); #242826=CARTESIAN_POINT('Origin',(2.228651,0.2,1.27996)); #242827=CARTESIAN_POINT('',(2.228651,0.2,1.27996)); #242828=CARTESIAN_POINT('',(2.22865099999993,0.2,0.639979999996603)); #242829=CARTESIAN_POINT('',(2.228651,0.18,1.27996)); #242830=CARTESIAN_POINT('',(2.228651,0.2,1.27996)); #242831=CARTESIAN_POINT('',(2.228651,0.18,1.27996)); #242832=CARTESIAN_POINT('Origin',(1.85776249861465,0.2,1.27180018937816)); #242833=CARTESIAN_POINT('',(2.208075,0.2,1.14971000000001)); #242834=CARTESIAN_POINT('Origin',(1.85776249861465,0.2,1.27180018937816)); #242835=CARTESIAN_POINT('',(2.208075,0.18,1.14971000000001)); #242836=CARTESIAN_POINT('',(2.208075,0.2,1.14971000000001)); #242837=CARTESIAN_POINT('Origin',(1.85776249861465,0.18,1.27180018937816)); #242838=CARTESIAN_POINT('Origin',(1.72442487515424,0.2,1.33883448057606)); #242839=CARTESIAN_POINT('',(2.122939,0.2,1.00585999999998)); #242840=CARTESIAN_POINT('Origin',(1.72442487515424,0.2,1.33883448057606)); #242841=CARTESIAN_POINT('',(2.122939,0.18,1.00585999999998)); #242842=CARTESIAN_POINT('',(2.122939,0.2,1.00585999999998)); #242843=CARTESIAN_POINT('Origin',(1.72442487515424,0.18,1.33883448057606)); #242844=CARTESIAN_POINT('Origin',(1.90686287052118,0.2,1.19339847998331)); #242845=CARTESIAN_POINT('',(2.02822,0.2,0.934299999999997)); #242846=CARTESIAN_POINT('Origin',(1.90686287052118,0.2,1.19339847998331)); #242847=CARTESIAN_POINT('',(2.02822,0.18,0.934299999999997)); #242848=CARTESIAN_POINT('',(2.02822,0.2,0.934299999999997)); #242849=CARTESIAN_POINT('Origin',(1.90686287052118,0.18,1.19339847998331)); #242850=CARTESIAN_POINT('Origin',(1.89372648183637,0.2,1.20564106737699)); #242851=CARTESIAN_POINT('',(1.89092900000001,0.2,0.902809999999992)); #242852=CARTESIAN_POINT('Origin',(1.89372648183637,0.2,1.20564106737699)); #242853=CARTESIAN_POINT('',(1.89092900000001,0.18,0.902809999999992)); #242854=CARTESIAN_POINT('',(1.89092900000001,0.2,0.902809999999992)); #242855=CARTESIAN_POINT('Origin',(1.89372648183637,0.18,1.20564106737699)); #242856=CARTESIAN_POINT('Origin',(1.57023499999999,0.2,0.902809999999992)); #242857=CARTESIAN_POINT('',(1.57023499999999,0.2,0.902809999999992)); #242858=CARTESIAN_POINT('',(0.785117500003272,0.2,0.902809999999992)); #242859=CARTESIAN_POINT('',(1.57023499999999,0.18,0.902809999999992)); #242860=CARTESIAN_POINT('',(1.57023499999999,0.2,0.902809999999992)); #242861=CARTESIAN_POINT('',(1.57023499999999,0.18,0.902809999999992)); #242862=CARTESIAN_POINT('Origin',(1.56577245310839,0.2,0.946934446456549)); #242863=CARTESIAN_POINT('',(1.539725,0.2,0.911039999999979)); #242864=CARTESIAN_POINT('Origin',(1.56577245310839,0.2,0.946934446456549)); #242865=CARTESIAN_POINT('',(1.539725,0.18,0.911039999999979)); #242866=CARTESIAN_POINT('',(1.539725,0.2,0.911039999999979)); #242867=CARTESIAN_POINT('Origin',(1.56577245310839,0.18,0.946934446456549)); #242868=CARTESIAN_POINT('Origin',(1.55946977647881,0.2,0.932246375171406)); #242869=CARTESIAN_POINT('',(1.530501,0.2,0.932860000000009)); #242870=CARTESIAN_POINT('Origin',(1.55946977647881,0.2,0.932246375171406)); #242871=CARTESIAN_POINT('',(1.530501,0.18,0.932860000000009)); #242872=CARTESIAN_POINT('',(1.530501,0.2,0.932860000000009)); #242873=CARTESIAN_POINT('Origin',(1.55946977647881,0.18,0.932246375171406)); #242874=CARTESIAN_POINT('Origin',(1.55948093907113,0.2,0.933478624828563)); #242875=CARTESIAN_POINT('',(1.539725,0.2,0.954689999999978)); #242876=CARTESIAN_POINT('Origin',(1.55948093907113,0.2,0.933478624828563)); #242877=CARTESIAN_POINT('',(1.539725,0.18,0.954689999999978)); #242878=CARTESIAN_POINT('',(1.539725,0.2,0.954689999999978)); #242879=CARTESIAN_POINT('Origin',(1.55948093907113,0.18,0.933478624828563)); #242880=CARTESIAN_POINT('Origin',(1.56577245310838,0.2,0.91879555354355)); #242881=CARTESIAN_POINT('',(1.57023499999999,0.2,0.962919999999983)); #242882=CARTESIAN_POINT('Origin',(1.56577245310838,0.2,0.91879555354355)); #242883=CARTESIAN_POINT('',(1.57023499999999,0.18,0.962919999999983)); #242884=CARTESIAN_POINT('',(1.57023499999999,0.2,0.962919999999983)); #242885=CARTESIAN_POINT('Origin',(1.56577245310838,0.18,0.91879555354355)); #242886=CARTESIAN_POINT('Origin',(1.620612,0.2,0.962919999999983)); #242887=CARTESIAN_POINT('',(1.620612,0.2,0.962919999999983)); #242888=CARTESIAN_POINT('',(0.810306000003276,0.2,0.962919999999983)); #242889=CARTESIAN_POINT('',(1.620612,0.18,0.962919999999983)); #242890=CARTESIAN_POINT('',(1.620612,0.2,0.962919999999983)); #242891=CARTESIAN_POINT('',(1.620612,0.18,0.962919999999983)); #242892=CARTESIAN_POINT('Origin',(1.620612,0.2,1.68001999999998)); #242893=CARTESIAN_POINT('',(1.620612,0.2,1.68001999999998)); #242894=CARTESIAN_POINT('',(1.620612,0.2,0.840009999996713)); #242895=CARTESIAN_POINT('',(1.620612,0.18,1.68001999999998)); #242896=CARTESIAN_POINT('',(1.620612,0.2,1.68001999999998)); #242897=CARTESIAN_POINT('',(1.620612,0.18,1.68001999999998)); #242898=CARTESIAN_POINT('Origin',(1.57023499999999,0.2,1.68001999999998)); #242899=CARTESIAN_POINT('',(1.57023499999999,0.2,1.68001999999998)); #242900=CARTESIAN_POINT('',(0.785117500003272,0.2,1.68001999999998)); #242901=CARTESIAN_POINT('',(1.57023499999999,0.18,1.68001999999998)); #242902=CARTESIAN_POINT('',(1.57023499999999,0.2,1.68001999999998)); #242903=CARTESIAN_POINT('',(1.57023499999999,0.18,1.68001999999998)); #242904=CARTESIAN_POINT('Origin',(1.56577236468276,0.2,1.72414411864773)); #242905=CARTESIAN_POINT('',(1.539725,0.2,1.68824999999998)); #242906=CARTESIAN_POINT('Origin',(1.56577236468276,0.2,1.72414411864773)); #242907=CARTESIAN_POINT('',(1.539725,0.18,1.68824999999998)); #242908=CARTESIAN_POINT('',(1.539725,0.2,1.68824999999998)); #242909=CARTESIAN_POINT('Origin',(1.56577236468276,0.18,1.72414411864773)); #242910=CARTESIAN_POINT('Origin',(1.55948093907112,0.2,1.7094613751714)); #242911=CARTESIAN_POINT('',(1.530501,0.2,1.71007999999999)); #242912=CARTESIAN_POINT('Origin',(1.55948093907112,0.2,1.7094613751714)); #242913=CARTESIAN_POINT('',(1.530501,0.18,1.71007999999999)); #242914=CARTESIAN_POINT('',(1.530501,0.2,1.71007999999999)); #242915=CARTESIAN_POINT('Origin',(1.55948093907112,0.18,1.7094613751714)); #242916=CARTESIAN_POINT('Origin',(1.55977604157275,0.2,1.71021919676443)); #242917=CARTESIAN_POINT('',(1.53972500000001,0.2,1.73154999999998)); #242918=CARTESIAN_POINT('Origin',(1.55977604157275,0.2,1.71021919676443)); #242919=CARTESIAN_POINT('',(1.53972500000001,0.18,1.73154999999998)); #242920=CARTESIAN_POINT('',(1.53972500000001,0.2,1.73154999999998)); #242921=CARTESIAN_POINT('Origin',(1.55977604157275,0.18,1.71021919676443)); #242922=CARTESIAN_POINT('Origin',(1.565882992113,0.2,1.69706957000379)); #242923=CARTESIAN_POINT('',(1.57023499999999,0.2,1.74012999999999)); #242924=CARTESIAN_POINT('Origin',(1.565882992113,0.2,1.69706957000379)); #242925=CARTESIAN_POINT('',(1.57023499999999,0.18,1.74012999999999)); #242926=CARTESIAN_POINT('',(1.57023499999999,0.2,1.74012999999999)); #242927=CARTESIAN_POINT('Origin',(1.565882992113,0.18,1.69706957000379)); #242928=CARTESIAN_POINT('Origin',(1.89092900000001,0.2,1.74012999999999)); #242929=CARTESIAN_POINT('',(0.945464500003281,0.2,1.74012999999999)); #242930=CARTESIAN_POINT('',(1.89092900000001,0.18,1.74012999999999)); #242931=CARTESIAN_POINT('Origin',(1.87961757396161,0.18,1.32146713880775)); #242932=CARTESIAN_POINT('Origin',(-2.4550288649995,0.2,2.41759167787098)); #242933=CARTESIAN_POINT('',(-2.450548,0.2,2.46184999999999)); #242934=CARTESIAN_POINT('',(-2.48099699999998,0.2,2.45370999999999)); #242935=CARTESIAN_POINT('Origin',(-2.4550288649995,0.2,2.41759167787098)); #242936=CARTESIAN_POINT('',(-2.450548,0.18,2.46184999999999)); #242937=CARTESIAN_POINT('',(-2.450548,0.2,2.46184999999999)); #242938=CARTESIAN_POINT('',(-2.48099699999998,0.18,2.45370999999999)); #242939=CARTESIAN_POINT('Origin',(-2.4550288649995,0.18,2.41759167787098)); #242940=CARTESIAN_POINT('',(-2.48099699999998,0.2,2.45370999999999)); #242941=CARTESIAN_POINT('Origin',(-2.34080699999999,0.2,2.46184999999999)); #242942=CARTESIAN_POINT('',(-2.34080699999999,0.2,2.46184999999999)); #242943=CARTESIAN_POINT('',(-1.17040349999672,0.2,2.46184999999999)); #242944=CARTESIAN_POINT('',(-2.34080699999999,0.18,2.46184999999999)); #242945=CARTESIAN_POINT('',(-2.34080699999999,0.2,2.46184999999999)); #242946=CARTESIAN_POINT('',(-2.34080699999999,0.18,2.46184999999999)); #242947=CARTESIAN_POINT('Origin',(-2.34080699999999,0.2,3.14508)); #242948=CARTESIAN_POINT('',(-2.34080699999999,0.2,3.14508)); #242949=CARTESIAN_POINT('',(-2.34080699999999,0.2,1.57253999999672)); #242950=CARTESIAN_POINT('',(-2.34080699999999,0.18,3.14508)); #242951=CARTESIAN_POINT('',(-2.34080699999999,0.2,3.14508)); #242952=CARTESIAN_POINT('',(-2.34080699999999,0.18,3.14508)); #242953=CARTESIAN_POINT('Origin',(-2.779771,0.2,2.40238)); #242954=CARTESIAN_POINT('',(-2.779771,0.2,2.40238)); #242955=CARTESIAN_POINT('',(-2.9460954130737,0.2,2.12096935678134)); #242956=CARTESIAN_POINT('',(-2.779771,0.18,2.40238)); #242957=CARTESIAN_POINT('',(-2.779771,0.2,2.40238)); #242958=CARTESIAN_POINT('',(-2.779771,0.18,2.40238)); #242959=CARTESIAN_POINT('Origin',(-2.935533,0.2,2.40238)); #242960=CARTESIAN_POINT('',(-2.935533,0.2,2.40238)); #242961=CARTESIAN_POINT('',(-1.46776649999673,0.2,2.40238)); #242962=CARTESIAN_POINT('',(-2.935533,0.18,2.40238)); #242963=CARTESIAN_POINT('',(-2.935533,0.2,2.40238)); #242964=CARTESIAN_POINT('',(-2.935533,0.18,2.40238)); #242965=CARTESIAN_POINT('Origin',(-2.94001386499956,0.2,2.44663832212884)); #242966=CARTESIAN_POINT('',(-2.96598199999999,0.2,2.41051999999998)); #242967=CARTESIAN_POINT('Origin',(-2.94001386499956,0.2,2.44663832212884)); #242968=CARTESIAN_POINT('',(-2.96598199999999,0.18,2.41051999999998)); #242969=CARTESIAN_POINT('',(-2.96598199999999,0.2,2.41051999999998)); #242970=CARTESIAN_POINT('Origin',(-2.94001386499956,0.18,2.44663832212884)); #242971=CARTESIAN_POINT('Origin',(-2.9466092942548,0.2,2.43153191972505)); #242972=CARTESIAN_POINT('',(-2.97518299999999,0.2,2.43211999999998)); #242973=CARTESIAN_POINT('Origin',(-2.9466092942548,0.2,2.43153191972505)); #242974=CARTESIAN_POINT('',(-2.97518299999999,0.18,2.43211999999998)); #242975=CARTESIAN_POINT('',(-2.97518299999999,0.2,2.43211999999998)); #242976=CARTESIAN_POINT('Origin',(-2.9466092942548,0.18,2.43153191972505)); #242977=CARTESIAN_POINT('Origin',(-2.94662039418429,0.2,2.43270308079618)); #242978=CARTESIAN_POINT('',(-2.96598199999998,0.2,2.45371)); #242979=CARTESIAN_POINT('Origin',(-2.94662039418429,0.2,2.43270308079618)); #242980=CARTESIAN_POINT('',(-2.96598199999998,0.18,2.45371)); #242981=CARTESIAN_POINT('',(-2.96598199999998,0.2,2.45371)); #242982=CARTESIAN_POINT('Origin',(-2.94662039418429,0.18,2.43270308079618)); #242983=CARTESIAN_POINT('Origin',(-2.9400138649995,0.2,2.41759167787098)); #242984=CARTESIAN_POINT('',(-2.935533,0.2,2.46184999999999)); #242985=CARTESIAN_POINT('Origin',(-2.9400138649995,0.2,2.41759167787098)); #242986=CARTESIAN_POINT('',(-2.935533,0.18,2.46184999999999)); #242987=CARTESIAN_POINT('',(-2.935533,0.2,2.46184999999999)); #242988=CARTESIAN_POINT('Origin',(-2.9400138649995,0.18,2.41759167787098)); #242989=CARTESIAN_POINT('Origin',(-2.855531,0.2,2.46184999999999)); #242990=CARTESIAN_POINT('',(-2.855531,0.2,2.46184999999999)); #242991=CARTESIAN_POINT('',(-1.42776549999673,0.2,2.46184999999999)); #242992=CARTESIAN_POINT('',(-2.855531,0.18,2.46184999999999)); #242993=CARTESIAN_POINT('',(-2.855531,0.2,2.46184999999999)); #242994=CARTESIAN_POINT('',(-2.855531,0.18,2.46184999999999)); #242995=CARTESIAN_POINT('Origin',(-2.855531,0.2,3.17128)); #242996=CARTESIAN_POINT('',(-2.855531,0.2,3.17128)); #242997=CARTESIAN_POINT('',(-2.855531,0.2,1.58563999999673)); #242998=CARTESIAN_POINT('',(-2.855531,0.18,3.17128)); #242999=CARTESIAN_POINT('',(-2.855531,0.2,3.17128)); #243000=CARTESIAN_POINT('',(-2.855531,0.18,3.17128)); #243001=CARTESIAN_POINT('Origin',(-2.905092,0.2,3.17128)); #243002=CARTESIAN_POINT('',(-2.905092,0.2,3.17128)); #243003=CARTESIAN_POINT('',(-1.45254599999672,0.2,3.17128)); #243004=CARTESIAN_POINT('',(-2.905092,0.18,3.17128)); #243005=CARTESIAN_POINT('',(-2.905092,0.2,3.17128)); #243006=CARTESIAN_POINT('',(-2.905092,0.18,3.17128)); #243007=CARTESIAN_POINT('Origin',(-2.90992395348633,0.2,3.21646452302806)); #243008=CARTESIAN_POINT('',(-2.93624300000002,0.2,3.17942)); #243009=CARTESIAN_POINT('Origin',(-2.90992395348633,0.2,3.21646452302806)); #243010=CARTESIAN_POINT('',(-2.93624300000002,0.18,3.17942)); #243011=CARTESIAN_POINT('',(-2.93624300000002,0.2,3.17942)); #243012=CARTESIAN_POINT('Origin',(-2.90992395348633,0.18,3.21646452302806)); #243013=CARTESIAN_POINT('Origin',(-2.91688504831008,0.2,3.20043462256416)); #243014=CARTESIAN_POINT('',(-2.945451,0.2,3.20100999999998)); #243015=CARTESIAN_POINT('Origin',(-2.91688504831008,0.2,3.20043462256416)); #243016=CARTESIAN_POINT('',(-2.945451,0.18,3.20100999999998)); #243017=CARTESIAN_POINT('',(-2.945451,0.2,3.20100999999998)); #243018=CARTESIAN_POINT('Origin',(-2.91688504831008,0.18,3.20043462256416)); #243019=CARTESIAN_POINT('Origin',(-2.91659125121931,0.2,3.20111460759076)); #243020=CARTESIAN_POINT('',(-2.93624300000001,0.2,3.22225)); #243021=CARTESIAN_POINT('Origin',(-2.91659125121931,0.2,3.20111460759076)); #243022=CARTESIAN_POINT('',(-2.93624300000001,0.18,3.22225)); #243023=CARTESIAN_POINT('',(-2.93624300000001,0.2,3.22225)); #243024=CARTESIAN_POINT('Origin',(-2.91659125121931,0.18,3.20111460759076)); #243025=CARTESIAN_POINT('Origin',(-2.90979747882433,0.2,3.18666329063017)); #243026=CARTESIAN_POINT('',(-2.905092,0.2,3.23074999999999)); #243027=CARTESIAN_POINT('Origin',(-2.90979747882433,0.2,3.18666329063017)); #243028=CARTESIAN_POINT('',(-2.905092,0.18,3.23074999999999)); #243029=CARTESIAN_POINT('',(-2.905092,0.2,3.23074999999999)); #243030=CARTESIAN_POINT('Origin',(-2.90979747882433,0.18,3.18666329063017)); #243031=CARTESIAN_POINT('Origin',(-2.68631899999999,0.2,3.23074999999999)); #243032=CARTESIAN_POINT('',(-2.68631899999999,0.2,3.23074999999999)); #243033=CARTESIAN_POINT('',(-1.34315949999672,0.2,3.23074999999999)); #243034=CARTESIAN_POINT('',(-2.68631899999999,0.18,3.23074999999999)); #243035=CARTESIAN_POINT('',(-2.68631899999999,0.2,3.23074999999999)); #243036=CARTESIAN_POINT('',(-2.68631899999999,0.18,3.23074999999999)); #243037=CARTESIAN_POINT('Origin',(-2.68161352117565,0.2,3.18666329063026)); #243038=CARTESIAN_POINT('',(-2.65516800000002,0.2,3.22225)); #243039=CARTESIAN_POINT('Origin',(-2.68161352117565,0.2,3.18666329063026)); #243040=CARTESIAN_POINT('',(-2.65516800000002,0.18,3.22225)); #243041=CARTESIAN_POINT('',(-2.65516800000002,0.2,3.22225)); #243042=CARTESIAN_POINT('Origin',(-2.68161352117565,0.18,3.18666329063026)); #243043=CARTESIAN_POINT('Origin',(-2.67481908813158,0.2,3.20111353525874)); #243044=CARTESIAN_POINT('',(-2.645959,0.2,3.20100999999998)); #243045=CARTESIAN_POINT('Origin',(-2.67481908813158,0.2,3.20111353525874)); #243046=CARTESIAN_POINT('',(-2.645959,0.18,3.20100999999998)); #243047=CARTESIAN_POINT('',(-2.645959,0.2,3.20100999999998)); #243048=CARTESIAN_POINT('Origin',(-2.67481908813158,0.18,3.20111353525874)); #243049=CARTESIAN_POINT('Origin',(-2.67452529756596,0.2,3.20043566668758)); #243050=CARTESIAN_POINT('',(-2.65516800000001,0.2,3.17941999999999)); #243051=CARTESIAN_POINT('Origin',(-2.67452529756596,0.2,3.20043566668758)); #243052=CARTESIAN_POINT('',(-2.65516800000001,0.18,3.17941999999999)); #243053=CARTESIAN_POINT('',(-2.65516800000001,0.2,3.17941999999999)); #243054=CARTESIAN_POINT('Origin',(-2.67452529756596,0.18,3.20043566668758)); #243055=CARTESIAN_POINT('Origin',(-2.68148704651367,0.2,3.21646452302797)); #243056=CARTESIAN_POINT('',(-2.68631899999999,0.2,3.17128)); #243057=CARTESIAN_POINT('Origin',(-2.68148704651367,0.2,3.21646452302797)); #243058=CARTESIAN_POINT('',(-2.68631899999999,0.18,3.17128)); #243059=CARTESIAN_POINT('',(-2.68631899999999,0.2,3.17128)); #243060=CARTESIAN_POINT('Origin',(-2.68148704651367,0.18,3.21646452302797)); #243061=CARTESIAN_POINT('Origin',(-2.79606,0.2,3.17128)); #243062=CARTESIAN_POINT('',(-2.79606,0.2,3.17128)); #243063=CARTESIAN_POINT('',(-1.39802999999673,0.2,3.17128)); #243064=CARTESIAN_POINT('',(-2.79606,0.18,3.17128)); #243065=CARTESIAN_POINT('',(-2.79606,0.2,3.17128)); #243066=CARTESIAN_POINT('',(-2.79606,0.18,3.17128)); #243067=CARTESIAN_POINT('Origin',(-2.79606,0.2,2.48946999999998)); #243068=CARTESIAN_POINT('',(-2.79606,0.2,2.48946999999998)); #243069=CARTESIAN_POINT('',(-2.79606,0.2,1.24473499999671)); #243070=CARTESIAN_POINT('',(-2.79606,0.18,2.48946999999998)); #243071=CARTESIAN_POINT('',(-2.79606,0.2,2.48946999999998)); #243072=CARTESIAN_POINT('',(-2.79606,0.18,2.48946999999998)); #243073=CARTESIAN_POINT('Origin',(-2.35780500000001,0.2,3.23074999999999)); #243074=CARTESIAN_POINT('',(-2.35780500000001,0.2,3.23074999999999)); #243075=CARTESIAN_POINT('',(-2.7601408119992,0.2,2.55022498438403)); #243076=CARTESIAN_POINT('',(-2.35780500000001,0.18,3.23074999999999)); #243077=CARTESIAN_POINT('',(-2.35780500000001,0.2,3.23074999999999)); #243078=CARTESIAN_POINT('',(-2.35780500000001,0.18,3.23074999999999)); #243079=CARTESIAN_POINT('Origin',(-2.281335,0.2,3.23074999999999)); #243080=CARTESIAN_POINT('',(-2.281335,0.2,3.23074999999999)); #243081=CARTESIAN_POINT('',(-1.14066749999673,0.2,3.23074999999999)); #243082=CARTESIAN_POINT('',(-2.281335,0.18,3.23074999999999)); #243083=CARTESIAN_POINT('',(-2.281335,0.2,3.23074999999999)); #243084=CARTESIAN_POINT('',(-2.281335,0.18,3.23074999999999)); #243085=CARTESIAN_POINT('Origin',(-2.281335,0.2,2.46184999999999)); #243086=CARTESIAN_POINT('',(-2.281335,0.2,2.46184999999999)); #243087=CARTESIAN_POINT('',(-2.281335,0.2,1.23092499999672)); #243088=CARTESIAN_POINT('',(-2.281335,0.18,2.46184999999999)); #243089=CARTESIAN_POINT('',(-2.281335,0.2,2.46184999999999)); #243090=CARTESIAN_POINT('',(-2.281335,0.18,2.46184999999999)); #243091=CARTESIAN_POINT('Origin',(-2.23106500000001,0.2,2.46184999999999)); #243092=CARTESIAN_POINT('',(-2.23106500000001,0.2,2.46184999999999)); #243093=CARTESIAN_POINT('',(-1.11553249999673,0.2,2.46184999999999)); #243094=CARTESIAN_POINT('',(-2.23106500000001,0.18,2.46184999999999)); #243095=CARTESIAN_POINT('',(-2.23106500000001,0.2,2.46184999999999)); #243096=CARTESIAN_POINT('',(-2.23106500000001,0.18,2.46184999999999)); #243097=CARTESIAN_POINT('Origin',(-2.22658829126759,0.2,2.417601652337)); #243098=CARTESIAN_POINT('',(-2.20062400000001,0.2,2.45370999999999)); #243099=CARTESIAN_POINT('Origin',(-2.22658829126759,0.2,2.417601652337)); #243100=CARTESIAN_POINT('',(-2.20062400000001,0.18,2.45370999999999)); #243101=CARTESIAN_POINT('',(-2.20062400000001,0.2,2.45370999999999)); #243102=CARTESIAN_POINT('Origin',(-2.22658829126759,0.18,2.417601652337)); #243103=CARTESIAN_POINT('Origin',(-2.21998560581565,0.2,2.43270308079618)); #243104=CARTESIAN_POINT('',(-2.19142299999999,0.2,2.43211999999998)); #243105=CARTESIAN_POINT('Origin',(-2.21998560581565,0.2,2.43270308079618)); #243106=CARTESIAN_POINT('',(-2.19142299999999,0.18,2.43211999999998)); #243107=CARTESIAN_POINT('',(-2.19142299999999,0.2,2.43211999999998)); #243108=CARTESIAN_POINT('Origin',(-2.21998560581565,0.18,2.43270308079618)); #243109=CARTESIAN_POINT('Origin',(-2.21999670452153,0.2,2.43153191920381)); #243110=CARTESIAN_POINT('',(-2.20062400000001,0.2,2.41051999999998)); #243111=CARTESIAN_POINT('Origin',(-2.21999670452153,0.2,2.43153191920381)); #243112=CARTESIAN_POINT('',(-2.20062400000001,0.18,2.41051999999998)); #243113=CARTESIAN_POINT('',(-2.20062400000001,0.2,2.41051999999998)); #243114=CARTESIAN_POINT('Origin',(-2.21999670452153,0.18,2.43153191920381)); #243115=CARTESIAN_POINT('Origin',(-2.22658829126755,0.2,2.44662834766288)); #243116=CARTESIAN_POINT('',(-2.23106500000001,0.2,2.40238)); #243117=CARTESIAN_POINT('Origin',(-2.22658829126755,0.2,2.44662834766288)); #243118=CARTESIAN_POINT('',(-2.23106500000001,0.18,2.40238)); #243119=CARTESIAN_POINT('',(-2.23106500000001,0.2,2.40238)); #243120=CARTESIAN_POINT('Origin',(-2.22658829126755,0.18,2.44662834766288)); #243121=CARTESIAN_POINT('Origin',(-2.450548,0.2,2.40238)); #243122=CARTESIAN_POINT('',(-2.450548,0.2,2.40238)); #243123=CARTESIAN_POINT('',(-1.22527399999673,0.2,2.40238)); #243124=CARTESIAN_POINT('',(-2.450548,0.18,2.40238)); #243125=CARTESIAN_POINT('',(-2.450548,0.2,2.40238)); #243126=CARTESIAN_POINT('',(-2.450548,0.18,2.40238)); #243127=CARTESIAN_POINT('Origin',(-2.4555127619882,0.2,2.44593468436904)); #243128=CARTESIAN_POINT('',(-2.48134800000001,0.2,2.41051999999998)); #243129=CARTESIAN_POINT('Origin',(-2.4555127619882,0.2,2.44593468436904)); #243130=CARTESIAN_POINT('',(-2.48134800000001,0.18,2.41051999999998)); #243131=CARTESIAN_POINT('',(-2.48134800000001,0.2,2.41051999999998)); #243132=CARTESIAN_POINT('Origin',(-2.4555127619882,0.18,2.44593468436904)); #243133=CARTESIAN_POINT('Origin',(-2.46116154972763,0.2,2.43140385809768)); #243134=CARTESIAN_POINT('',(-2.490198,0.2,2.43211999999998)); #243135=CARTESIAN_POINT('Origin',(-2.46116154972763,0.2,2.43140385809768)); #243136=CARTESIAN_POINT('',(-2.490198,0.18,2.43211999999998)); #243137=CARTESIAN_POINT('',(-2.490198,0.2,2.43211999999998)); #243138=CARTESIAN_POINT('Origin',(-2.46116154972763,0.18,2.43140385809768)); #243139=CARTESIAN_POINT('Origin',(-2.46163570243394,0.2,2.43270321216277)); #243140=CARTESIAN_POINT('Origin',(-2.46163570243394,0.2,2.43270321216277)); #243141=CARTESIAN_POINT('Origin',(-2.46163570243394,0.18,2.43270321216277)); #243142=CARTESIAN_POINT('Origin',(-2.5833029999945,0.18,2.81654917552739)); #243143=CARTESIAN_POINT('Origin',(-1.353632,0.2,1.39144999999999)); #243144=CARTESIAN_POINT('',(-1.353632,0.2,1.39144999999999)); #243145=CARTESIAN_POINT('',(-1.96350300000001,0.2,1.39144999999999)); #243146=CARTESIAN_POINT('',(-0.676815999996723,0.2,1.39144999999999)); #243147=CARTESIAN_POINT('',(-1.353632,0.18,1.39144999999999)); #243148=CARTESIAN_POINT('',(-1.353632,0.2,1.39144999999999)); #243149=CARTESIAN_POINT('',(-1.96350300000001,0.18,1.39144999999999)); #243150=CARTESIAN_POINT('',(-1.353632,0.18,1.39144999999999)); #243151=CARTESIAN_POINT('',(-1.96350300000001,0.2,1.39144999999999)); #243152=CARTESIAN_POINT('Origin',(-1.353632,0.2,1.25864999999999)); #243153=CARTESIAN_POINT('',(-1.353632,0.2,1.25864999999999)); #243154=CARTESIAN_POINT('',(-1.353632,0.2,0.62932499999672)); #243155=CARTESIAN_POINT('',(-1.353632,0.18,1.25864999999999)); #243156=CARTESIAN_POINT('',(-1.353632,0.2,1.25864999999999)); #243157=CARTESIAN_POINT('',(-1.353632,0.18,1.25864999999999)); #243158=CARTESIAN_POINT('Origin',(-1.96350300000001,0.2,1.25864999999999)); #243159=CARTESIAN_POINT('',(-1.96350300000001,0.2,1.25864999999999)); #243160=CARTESIAN_POINT('',(-0.981751499996728,0.2,1.25864999999999)); #243161=CARTESIAN_POINT('',(-1.96350300000001,0.18,1.25864999999999)); #243162=CARTESIAN_POINT('',(-1.96350300000001,0.2,1.25864999999999)); #243163=CARTESIAN_POINT('',(-1.96350300000001,0.18,1.25864999999999)); #243164=CARTESIAN_POINT('Origin',(-1.96350300000001,0.2,1.39144999999999)); #243165=CARTESIAN_POINT('',(-1.96350300000001,0.2,0.69572499999672)); #243166=CARTESIAN_POINT('',(-1.96350300000001,0.18,1.39144999999999)); #243167=CARTESIAN_POINT('Origin',(-1.65856750000001,0.18,1.32504999999998)); #243168=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,0.191999999999997)); #243169=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.191999999999997)); #243170=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.80798999999999)); #243171=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.0959999999967223)); #243172=CARTESIAN_POINT('',(-6.46222099999999,0.18,0.191999999999997)); #243173=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.191999999999997)); #243174=CARTESIAN_POINT('',(-6.46222099999999,0.18,0.80798999999999)); #243175=CARTESIAN_POINT('',(-6.46222099999999,0.18,0.499989999999997)); #243176=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.80798999999999)); #243177=CARTESIAN_POINT('Origin',(-7.07821,0.2,0.191999999999997)); #243178=CARTESIAN_POINT('',(-7.07821,0.2,0.191999999999997)); #243179=CARTESIAN_POINT('',(-3.53910499999673,0.2,0.191999999999997)); #243180=CARTESIAN_POINT('',(-7.07821,0.18,0.191999999999997)); #243181=CARTESIAN_POINT('',(-7.07821,0.2,0.191999999999997)); #243182=CARTESIAN_POINT('',(-6.770212,0.18,0.191999999999997)); #243183=CARTESIAN_POINT('Origin',(-7.07821,0.2,0.499989999999997)); #243184=CARTESIAN_POINT('',(-7.07821,0.2,0.499989999999997)); #243185=CARTESIAN_POINT('',(-7.07821,0.2,0.249994999996722)); #243186=CARTESIAN_POINT('',(-7.07821,0.18,0.499989999999997)); #243187=CARTESIAN_POINT('',(-7.07821,0.2,0.499989999999997)); #243188=CARTESIAN_POINT('',(-7.07821,0.18,0.499989999999997)); #243189=CARTESIAN_POINT('Origin',(-6.770212,0.2,0.499989999999997)); #243190=CARTESIAN_POINT('',(-6.770212,0.2,0.499989999999997)); #243191=CARTESIAN_POINT('',(-3.38510599999673,0.2,0.499989999999997)); #243192=CARTESIAN_POINT('',(-6.770212,0.18,0.499989999999997)); #243193=CARTESIAN_POINT('',(-6.770212,0.2,0.499989999999997)); #243194=CARTESIAN_POINT('',(-6.770212,0.18,0.499989999999997)); #243195=CARTESIAN_POINT('Origin',(-6.770212,0.2,0.80798999999999)); #243196=CARTESIAN_POINT('',(-6.770212,0.2,0.80798999999999)); #243197=CARTESIAN_POINT('',(-6.770212,0.2,0.403994999996719)); #243198=CARTESIAN_POINT('',(-6.770212,0.18,0.80798999999999)); #243199=CARTESIAN_POINT('',(-6.770212,0.2,0.80798999999999)); #243200=CARTESIAN_POINT('',(-6.770212,0.18,0.80798999999999)); #243201=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,0.80798999999999)); #243202=CARTESIAN_POINT('',(-3.23111049999672,0.2,0.80798999999999)); #243203=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.80798999999999)); #243204=CARTESIAN_POINT('',(-6.46222099999999,0.18,0.80798999999999)); #243205=CARTESIAN_POINT('Origin',(-6.7702155,0.18,0.499994999999984)); #243206=CARTESIAN_POINT('Origin',(-7.6942,0.2,1.73196999999998)); #243207=CARTESIAN_POINT('',(-7.6942,0.2,1.73196999999998)); #243208=CARTESIAN_POINT('',(-7.6942,0.2,2.03996999999998)); #243209=CARTESIAN_POINT('',(-7.6942,0.2,0.865984999996715)); #243210=CARTESIAN_POINT('',(-7.6942,0.18,1.73196999999998)); #243211=CARTESIAN_POINT('',(-7.6942,0.2,1.73196999999998)); #243212=CARTESIAN_POINT('',(-7.6942,0.18,2.03996999999998)); #243213=CARTESIAN_POINT('',(-7.6942,0.18,1.73196999999998)); #243214=CARTESIAN_POINT('',(-7.6942,0.2,2.03996999999998)); #243215=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,1.73196999999998)); #243216=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.73196999999998)); #243217=CARTESIAN_POINT('',(-4.00109949999672,0.2,1.73196999999998)); #243218=CARTESIAN_POINT('',(-8.00219899999999,0.18,1.73196999999998)); #243219=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.73196999999998)); #243220=CARTESIAN_POINT('',(-8.00219899999999,0.18,1.73196999999998)); #243221=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,2.03996999999998)); #243222=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.03996999999998)); #243223=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.01998499999671)); #243224=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.03996999999998)); #243225=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.03996999999998)); #243226=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.03996999999998)); #243227=CARTESIAN_POINT('Origin',(-7.6942,0.2,2.03996999999998)); #243228=CARTESIAN_POINT('',(-3.84709999999673,0.2,2.03996999999998)); #243229=CARTESIAN_POINT('',(-7.6942,0.18,2.03996999999998)); #243230=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.03996999999998)); #243231=CARTESIAN_POINT('Origin',(-7.8481995,0.18,1.88596999999998)); #243232=CARTESIAN_POINT('Origin',(-8.31019,0.2,2.96394999999999)); #243233=CARTESIAN_POINT('',(-8.31019,0.2,2.96394999999999)); #243234=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.96394999999999)); #243235=CARTESIAN_POINT('',(-4.15509499999672,0.2,2.96394999999999)); #243236=CARTESIAN_POINT('',(-8.31019,0.18,2.96394999999999)); #243237=CARTESIAN_POINT('',(-8.31019,0.2,2.96394999999999)); #243238=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.96394999999999)); #243239=CARTESIAN_POINT('',(-8.31019,0.18,2.96394999999999)); #243240=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.96394999999999)); #243241=CARTESIAN_POINT('Origin',(-8.31019,0.2,3.27195)); #243242=CARTESIAN_POINT('',(-8.31019,0.2,3.27195)); #243243=CARTESIAN_POINT('',(-8.31019,0.2,1.63597499999672)); #243244=CARTESIAN_POINT('',(-8.31019,0.18,3.27195)); #243245=CARTESIAN_POINT('',(-8.31019,0.2,3.27195)); #243246=CARTESIAN_POINT('',(-8.31019,0.18,3.27195)); #243247=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,3.27195)); #243248=CARTESIAN_POINT('',(-8.00219899999999,0.2,3.27195)); #243249=CARTESIAN_POINT('',(-4.00109949999672,0.2,3.27195)); #243250=CARTESIAN_POINT('',(-8.00219899999999,0.18,3.27195)); #243251=CARTESIAN_POINT('',(-8.00219899999999,0.2,3.27195)); #243252=CARTESIAN_POINT('',(-8.00219899999999,0.18,3.27195)); #243253=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,2.96394999999999)); #243254=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.48197499999672)); #243255=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.96394999999999)); #243256=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.96394999999999)); #243257=CARTESIAN_POINT('Origin',(-8.15619449999999,0.18,3.11795)); #243258=CARTESIAN_POINT('Origin',(-7.07821,0.2,2.65595999999999)); #243259=CARTESIAN_POINT('',(-7.07821,0.2,2.65595999999999)); #243260=CARTESIAN_POINT('',(-7.386209,0.2,2.65595999999999)); #243261=CARTESIAN_POINT('',(-3.53910499999673,0.2,2.65595999999999)); #243262=CARTESIAN_POINT('',(-7.07821,0.18,2.65595999999999)); #243263=CARTESIAN_POINT('',(-7.07821,0.2,2.65595999999999)); #243264=CARTESIAN_POINT('',(-7.386209,0.18,2.65595999999999)); #243265=CARTESIAN_POINT('',(-7.07821,0.18,2.65595999999999)); #243266=CARTESIAN_POINT('',(-7.386209,0.2,2.65595999999999)); #243267=CARTESIAN_POINT('Origin',(-7.07821,0.2,2.34795999999999)); #243268=CARTESIAN_POINT('',(-7.07821,0.2,2.34795999999999)); #243269=CARTESIAN_POINT('',(-7.07821,0.2,1.17397999999672)); #243270=CARTESIAN_POINT('',(-7.07821,0.18,2.34795999999999)); #243271=CARTESIAN_POINT('',(-7.07821,0.2,2.34795999999999)); #243272=CARTESIAN_POINT('',(-7.07821,0.18,2.34795999999999)); #243273=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,2.34795999999999)); #243274=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.34795999999999)); #243275=CARTESIAN_POINT('',(-4.00109949999672,0.2,2.34795999999999)); #243276=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.34795999999999)); #243277=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.34795999999999)); #243278=CARTESIAN_POINT('',(-7.386209,0.18,2.34795999999999)); #243279=CARTESIAN_POINT('',(-7.07821,0.2,2.34795999999999)); #243280=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,2.03996999999998)); #243281=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.01998499999671)); #243282=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.03996999999998)); #243283=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,2.03996999999998)); #243284=CARTESIAN_POINT('',(-8.61818799999999,0.2,2.03996999999998)); #243285=CARTESIAN_POINT('',(-4.30909399999672,0.2,2.03996999999998)); #243286=CARTESIAN_POINT('',(-8.61818799999999,0.18,2.03996999999998)); #243287=CARTESIAN_POINT('',(-8.61818799999999,0.2,2.03996999999998)); #243288=CARTESIAN_POINT('',(-8.31019,0.18,2.03996999999998)); #243289=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,2.34795999999999)); #243290=CARTESIAN_POINT('',(-8.61818799999999,0.2,2.34795999999999)); #243291=CARTESIAN_POINT('',(-8.61818799999999,0.2,1.17397999999672)); #243292=CARTESIAN_POINT('',(-8.61818799999999,0.18,2.34795999999999)); #243293=CARTESIAN_POINT('',(-8.61818799999999,0.2,2.34795999999999)); #243294=CARTESIAN_POINT('',(-8.61818799999999,0.18,2.34795999999999)); #243295=CARTESIAN_POINT('Origin',(-8.31019,0.2,2.34795999999999)); #243296=CARTESIAN_POINT('',(-8.31019,0.2,2.34795999999999)); #243297=CARTESIAN_POINT('',(-4.15509499999672,0.2,2.34795999999999)); #243298=CARTESIAN_POINT('',(-8.31019,0.18,2.34795999999999)); #243299=CARTESIAN_POINT('',(-8.31019,0.2,2.34795999999999)); #243300=CARTESIAN_POINT('',(-8.31019,0.18,2.34795999999999)); #243301=CARTESIAN_POINT('Origin',(-8.31019,0.2,2.65595999999999)); #243302=CARTESIAN_POINT('',(-8.31019,0.2,2.65595999999999)); #243303=CARTESIAN_POINT('',(-8.31019,0.2,1.32797999999672)); #243304=CARTESIAN_POINT('',(-8.31019,0.18,2.65595999999999)); #243305=CARTESIAN_POINT('',(-8.31019,0.2,2.65595999999999)); #243306=CARTESIAN_POINT('',(-8.31019,0.18,2.65595999999999)); #243307=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,2.65595999999999)); #243308=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.65595999999999)); #243309=CARTESIAN_POINT('',(-4.00109949999672,0.2,2.65595999999999)); #243310=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.65595999999999)); #243311=CARTESIAN_POINT('',(-8.00219899999999,0.2,2.65595999999999)); #243312=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.65595999999999)); #243313=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,2.96394999999999)); #243314=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.48197499999672)); #243315=CARTESIAN_POINT('',(-8.00219899999999,0.18,2.96394999999999)); #243316=CARTESIAN_POINT('Origin',(-7.6942,0.2,2.96394999999999)); #243317=CARTESIAN_POINT('',(-7.6942,0.2,2.96394999999999)); #243318=CARTESIAN_POINT('',(-3.84709999999673,0.2,2.96394999999999)); #243319=CARTESIAN_POINT('',(-7.6942,0.18,2.96394999999999)); #243320=CARTESIAN_POINT('',(-7.6942,0.2,2.96394999999999)); #243321=CARTESIAN_POINT('',(-7.6942,0.18,2.96394999999999)); #243322=CARTESIAN_POINT('Origin',(-7.6942,0.2,3.27195)); #243323=CARTESIAN_POINT('',(-7.6942,0.2,3.27195)); #243324=CARTESIAN_POINT('',(-7.6942,0.2,1.63597499999672)); #243325=CARTESIAN_POINT('',(-7.6942,0.18,3.27195)); #243326=CARTESIAN_POINT('',(-7.6942,0.2,3.27195)); #243327=CARTESIAN_POINT('',(-7.6942,0.18,3.27195)); #243328=CARTESIAN_POINT('Origin',(-7.386209,0.2,3.27195)); #243329=CARTESIAN_POINT('',(-7.386209,0.2,3.27195)); #243330=CARTESIAN_POINT('',(-3.69310449999672,0.2,3.27195)); #243331=CARTESIAN_POINT('',(-7.386209,0.18,3.27195)); #243332=CARTESIAN_POINT('',(-7.386209,0.2,3.27195)); #243333=CARTESIAN_POINT('',(-7.386209,0.18,3.27195)); #243334=CARTESIAN_POINT('Origin',(-7.386209,0.2,2.65595999999999)); #243335=CARTESIAN_POINT('',(-7.386209,0.2,1.32797999999672)); #243336=CARTESIAN_POINT('',(-7.386209,0.18,2.96394999999999)); #243337=CARTESIAN_POINT('Origin',(-7.848199,0.18,2.65595999999999)); #243338=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,2.03996999999998)); #243339=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.03996999999998)); #243340=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.34795999999999)); #243341=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.01998499999671)); #243342=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.03996999999998)); #243343=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.03996999999998)); #243344=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.34795999999999)); #243345=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.03996999999998)); #243346=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.34795999999999)); #243347=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,2.03996999999998)); #243348=CARTESIAN_POINT('',(-6.46222099999999,0.2,2.03996999999998)); #243349=CARTESIAN_POINT('',(-3.23111049999672,0.2,2.03996999999998)); #243350=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.03996999999998)); #243351=CARTESIAN_POINT('',(-6.46222099999999,0.2,2.03996999999998)); #243352=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.03996999999998)); #243353=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,2.34795999999999)); #243354=CARTESIAN_POINT('',(-6.46222099999999,0.2,2.34795999999999)); #243355=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.17397999999672)); #243356=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.34795999999999)); #243357=CARTESIAN_POINT('',(-6.46222099999999,0.2,2.34795999999999)); #243358=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.34795999999999)); #243359=CARTESIAN_POINT('',(-6.46222099999999,0.2,2.03996999999998)); #243360=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,2.34795999999999)); #243361=CARTESIAN_POINT('',(-3.07711099999672,0.2,2.34795999999999)); #243362=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.34795999999999)); #243363=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.34795999999999)); #243364=CARTESIAN_POINT('Origin',(-6.30822149999999,0.18,2.19396499999998)); #243365=CARTESIAN_POINT('Origin',(-7.07821,0.2,3.27195)); #243366=CARTESIAN_POINT('',(-7.07821,0.2,3.27195)); #243367=CARTESIAN_POINT('',(-7.07821,0.2,2.96394999999999)); #243368=CARTESIAN_POINT('',(-7.07821,0.2,1.63597499999672)); #243369=CARTESIAN_POINT('',(-7.07821,0.18,3.27195)); #243370=CARTESIAN_POINT('',(-7.07821,0.2,3.27195)); #243371=CARTESIAN_POINT('',(-7.07821,0.18,2.96394999999999)); #243372=CARTESIAN_POINT('',(-7.07821,0.18,3.27195)); #243373=CARTESIAN_POINT('',(-7.07821,0.2,2.96394999999999)); #243374=CARTESIAN_POINT('Origin',(-6.770212,0.2,3.27195)); #243375=CARTESIAN_POINT('',(-6.770212,0.2,3.27195)); #243376=CARTESIAN_POINT('',(-3.38510599999673,0.2,3.27195)); #243377=CARTESIAN_POINT('',(-6.770212,0.18,3.27195)); #243378=CARTESIAN_POINT('',(-6.770212,0.2,3.27195)); #243379=CARTESIAN_POINT('',(-6.770212,0.18,3.27195)); #243380=CARTESIAN_POINT('Origin',(-6.770212,0.2,2.96394999999999)); #243381=CARTESIAN_POINT('',(-6.770212,0.2,2.96394999999999)); #243382=CARTESIAN_POINT('',(-6.770212,0.2,1.48197499999672)); #243383=CARTESIAN_POINT('',(-6.770212,0.18,2.96394999999999)); #243384=CARTESIAN_POINT('',(-6.770212,0.2,2.96394999999999)); #243385=CARTESIAN_POINT('',(-6.770212,0.18,2.96394999999999)); #243386=CARTESIAN_POINT('Origin',(-7.07821,0.2,2.96394999999999)); #243387=CARTESIAN_POINT('',(-3.53910499999673,0.2,2.96394999999999)); #243388=CARTESIAN_POINT('',(-7.07821,0.18,2.96394999999999)); #243389=CARTESIAN_POINT('',(-6.770212,0.2,2.96394999999999)); #243390=CARTESIAN_POINT('Origin',(-6.924211,0.18,3.11795)); #243391=CARTESIAN_POINT('Origin',(-5.230241,0.2,2.96394999999999)); #243392=CARTESIAN_POINT('',(-5.230241,0.2,2.96394999999999)); #243393=CARTESIAN_POINT('',(-5.230241,0.2,3.27195)); #243394=CARTESIAN_POINT('',(-5.230241,0.2,1.48197499999672)); #243395=CARTESIAN_POINT('',(-5.230241,0.18,2.96394999999999)); #243396=CARTESIAN_POINT('',(-5.230241,0.2,2.96394999999999)); #243397=CARTESIAN_POINT('',(-5.230241,0.18,3.27195)); #243398=CARTESIAN_POINT('',(-5.230241,0.18,2.96394999999999)); #243399=CARTESIAN_POINT('',(-5.230241,0.2,3.27195)); #243400=CARTESIAN_POINT('Origin',(-5.538232,0.2,2.96394999999999)); #243401=CARTESIAN_POINT('',(-5.538232,0.2,2.96394999999999)); #243402=CARTESIAN_POINT('',(-2.76911599999673,0.2,2.96394999999999)); #243403=CARTESIAN_POINT('',(-5.538232,0.18,2.96394999999999)); #243404=CARTESIAN_POINT('',(-5.538232,0.2,2.96394999999999)); #243405=CARTESIAN_POINT('',(-5.538232,0.18,2.96394999999999)); #243406=CARTESIAN_POINT('Origin',(-5.538232,0.2,2.65595999999999)); #243407=CARTESIAN_POINT('',(-5.538232,0.2,2.65595999999999)); #243408=CARTESIAN_POINT('',(-5.538232,0.2,1.32797999999672)); #243409=CARTESIAN_POINT('',(-5.538232,0.18,2.65595999999999)); #243410=CARTESIAN_POINT('',(-5.538232,0.2,2.65595999999999)); #243411=CARTESIAN_POINT('',(-5.538232,0.18,2.65595999999999)); #243412=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,2.65595999999999)); #243413=CARTESIAN_POINT('',(-5.84623100000001,0.2,2.65595999999999)); #243414=CARTESIAN_POINT('',(-2.92311549999673,0.2,2.65595999999999)); #243415=CARTESIAN_POINT('',(-5.84623100000001,0.18,2.65595999999999)); #243416=CARTESIAN_POINT('',(-5.84623100000001,0.2,2.65595999999999)); #243417=CARTESIAN_POINT('',(-5.84623100000001,0.18,2.65595999999999)); #243418=CARTESIAN_POINT('',(-5.538232,0.2,2.65595999999999)); #243419=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,2.34795999999999)); #243420=CARTESIAN_POINT('',(-5.84623100000001,0.2,2.34795999999999)); #243421=CARTESIAN_POINT('',(-5.84623100000001,0.2,1.17397999999672)); #243422=CARTESIAN_POINT('',(-5.84623100000001,0.18,2.34795999999999)); #243423=CARTESIAN_POINT('',(-5.84623100000001,0.2,2.34795999999999)); #243424=CARTESIAN_POINT('',(-5.84623100000001,0.18,2.34795999999999)); #243425=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,2.34795999999999)); #243426=CARTESIAN_POINT('',(-3.07711099999672,0.2,2.34795999999999)); #243427=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.34795999999999)); #243428=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,2.65595999999999)); #243429=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.65595999999999)); #243430=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.32797999999672)); #243431=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.65595999999999)); #243432=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.65595999999999)); #243433=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.65595999999999)); #243434=CARTESIAN_POINT('Origin',(-6.770212,0.2,2.65595999999999)); #243435=CARTESIAN_POINT('',(-6.770212,0.2,2.65595999999999)); #243436=CARTESIAN_POINT('',(-3.38510599999673,0.2,2.65595999999999)); #243437=CARTESIAN_POINT('',(-6.770212,0.18,2.65595999999999)); #243438=CARTESIAN_POINT('',(-6.770212,0.2,2.65595999999999)); #243439=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.65595999999999)); #243440=CARTESIAN_POINT('Origin',(-6.770212,0.2,2.96394999999999)); #243441=CARTESIAN_POINT('',(-6.770212,0.2,1.48197499999672)); #243442=CARTESIAN_POINT('',(-6.770212,0.18,2.96394999999999)); #243443=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,2.96394999999999)); #243444=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.96394999999999)); #243445=CARTESIAN_POINT('',(-3.07711099999672,0.2,2.96394999999999)); #243446=CARTESIAN_POINT('',(-6.15422199999999,0.18,2.96394999999999)); #243447=CARTESIAN_POINT('',(-6.15422199999999,0.2,2.96394999999999)); #243448=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.96394999999999)); #243449=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,3.27195)); #243450=CARTESIAN_POINT('',(-6.15422199999999,0.2,3.27195)); #243451=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.63597499999672)); #243452=CARTESIAN_POINT('',(-6.15422199999999,0.18,3.27195)); #243453=CARTESIAN_POINT('',(-6.15422199999999,0.2,3.27195)); #243454=CARTESIAN_POINT('',(-6.15422199999999,0.18,3.27195)); #243455=CARTESIAN_POINT('Origin',(-5.230241,0.2,3.27195)); #243456=CARTESIAN_POINT('',(-2.61512049999672,0.2,3.27195)); #243457=CARTESIAN_POINT('',(-5.84623100000001,0.18,3.27195)); #243458=CARTESIAN_POINT('Origin',(-6.00022650000001,0.18,2.809955)); #243459=CARTESIAN_POINT('Origin',(-5.538232,0.2,2.34795999999999)); #243460=CARTESIAN_POINT('',(-5.538232,0.2,2.34795999999999)); #243461=CARTESIAN_POINT('',(-5.230241,0.2,2.34795999999999)); #243462=CARTESIAN_POINT('',(-2.76911599999673,0.2,2.34795999999999)); #243463=CARTESIAN_POINT('',(-5.538232,0.18,2.34795999999999)); #243464=CARTESIAN_POINT('',(-5.538232,0.2,2.34795999999999)); #243465=CARTESIAN_POINT('',(-5.230241,0.18,2.34795999999999)); #243466=CARTESIAN_POINT('',(-5.538232,0.18,2.34795999999999)); #243467=CARTESIAN_POINT('',(-5.230241,0.2,2.34795999999999)); #243468=CARTESIAN_POINT('Origin',(-5.538232,0.2,2.65595999999999)); #243469=CARTESIAN_POINT('',(-5.538232,0.2,1.32797999999672)); #243470=CARTESIAN_POINT('',(-5.538232,0.18,2.65595999999999)); #243471=CARTESIAN_POINT('Origin',(-5.230241,0.2,2.65595999999999)); #243472=CARTESIAN_POINT('',(-5.230241,0.2,2.65595999999999)); #243473=CARTESIAN_POINT('',(-2.61512049999672,0.2,2.65595999999999)); #243474=CARTESIAN_POINT('',(-5.230241,0.18,2.65595999999999)); #243475=CARTESIAN_POINT('',(-5.230241,0.2,2.65595999999999)); #243476=CARTESIAN_POINT('',(-5.230241,0.18,2.65595999999999)); #243477=CARTESIAN_POINT('Origin',(-5.230241,0.2,2.34795999999999)); #243478=CARTESIAN_POINT('',(-5.230241,0.2,1.17397999999672)); #243479=CARTESIAN_POINT('',(-5.230241,0.2,2.34795999999999)); #243480=CARTESIAN_POINT('',(-5.230241,0.18,2.34795999999999)); #243481=CARTESIAN_POINT('Origin',(-5.38423649999999,0.18,2.50195999999999)); #243482=CARTESIAN_POINT('Origin',(-4.614252,0.2,2.96394999999999)); #243483=CARTESIAN_POINT('',(-4.614252,0.2,2.96394999999999)); #243484=CARTESIAN_POINT('',(-4.922243,0.2,2.96394999999999)); #243485=CARTESIAN_POINT('',(-2.30712599999672,0.2,2.96394999999999)); #243486=CARTESIAN_POINT('',(-4.614252,0.18,2.96394999999999)); #243487=CARTESIAN_POINT('',(-4.614252,0.2,2.96394999999999)); #243488=CARTESIAN_POINT('',(-4.922243,0.18,2.96394999999999)); #243489=CARTESIAN_POINT('',(-4.614252,0.18,2.96394999999999)); #243490=CARTESIAN_POINT('',(-4.922243,0.2,2.96394999999999)); #243491=CARTESIAN_POINT('Origin',(-4.614252,0.2,1.73196999999998)); #243492=CARTESIAN_POINT('',(-4.614252,0.2,1.73196999999998)); #243493=CARTESIAN_POINT('',(-4.614252,0.2,0.865984999996715)); #243494=CARTESIAN_POINT('',(-4.614252,0.18,1.73196999999998)); #243495=CARTESIAN_POINT('',(-4.614252,0.2,1.73196999999998)); #243496=CARTESIAN_POINT('',(-4.614252,0.18,2.65595999999999)); #243497=CARTESIAN_POINT('Origin',(-4.922243,0.2,1.73196999999998)); #243498=CARTESIAN_POINT('',(-4.922243,0.2,1.73196999999998)); #243499=CARTESIAN_POINT('',(-2.46112149999673,0.2,1.73196999999998)); #243500=CARTESIAN_POINT('',(-4.922243,0.18,1.73196999999998)); #243501=CARTESIAN_POINT('',(-4.922243,0.2,1.73196999999998)); #243502=CARTESIAN_POINT('',(-4.922243,0.18,1.73196999999998)); #243503=CARTESIAN_POINT('Origin',(-4.922243,0.2,2.03996999999998)); #243504=CARTESIAN_POINT('',(-4.922243,0.2,2.03996999999998)); #243505=CARTESIAN_POINT('',(-4.922243,0.2,1.01998499999671)); #243506=CARTESIAN_POINT('',(-4.922243,0.18,2.03996999999998)); #243507=CARTESIAN_POINT('',(-4.922243,0.2,2.03996999999998)); #243508=CARTESIAN_POINT('',(-4.922243,0.18,2.03996999999998)); #243509=CARTESIAN_POINT('Origin',(-5.230241,0.2,2.03996999999998)); #243510=CARTESIAN_POINT('',(-5.230241,0.2,2.03996999999998)); #243511=CARTESIAN_POINT('',(-2.61512049999672,0.2,2.03996999999998)); #243512=CARTESIAN_POINT('',(-5.230241,0.18,2.03996999999998)); #243513=CARTESIAN_POINT('',(-5.230241,0.2,2.03996999999998)); #243514=CARTESIAN_POINT('',(-5.230241,0.18,2.03996999999998)); #243515=CARTESIAN_POINT('Origin',(-5.230241,0.2,2.34795999999999)); #243516=CARTESIAN_POINT('',(-5.230241,0.2,1.17397999999672)); #243517=CARTESIAN_POINT('',(-5.230241,0.18,2.34795999999999)); #243518=CARTESIAN_POINT('',(-5.230241,0.2,2.03996999999998)); #243519=CARTESIAN_POINT('Origin',(-4.922243,0.2,2.34795999999999)); #243520=CARTESIAN_POINT('',(-4.922243,0.2,2.34795999999999)); #243521=CARTESIAN_POINT('',(-2.46112149999673,0.2,2.34795999999999)); #243522=CARTESIAN_POINT('',(-4.922243,0.18,2.34795999999999)); #243523=CARTESIAN_POINT('',(-4.922243,0.2,2.34795999999999)); #243524=CARTESIAN_POINT('',(-4.922243,0.18,2.34795999999999)); #243525=CARTESIAN_POINT('Origin',(-4.922243,0.2,2.96394999999999)); #243526=CARTESIAN_POINT('',(-4.922243,0.2,1.48197499999672)); #243527=CARTESIAN_POINT('',(-4.922243,0.18,2.96394999999999)); #243528=CARTESIAN_POINT('Origin',(-4.9222465,0.18,2.34795999999999)); #243529=CARTESIAN_POINT('Origin',(-5.538232,0.2,2.03996999999998)); #243530=CARTESIAN_POINT('',(-5.538232,0.2,2.03996999999998)); #243531=CARTESIAN_POINT('',(-5.538232,0.2,1.73196999999998)); #243532=CARTESIAN_POINT('',(-5.538232,0.2,1.01998499999671)); #243533=CARTESIAN_POINT('',(-5.538232,0.18,2.03996999999998)); #243534=CARTESIAN_POINT('',(-5.538232,0.2,2.03996999999998)); #243535=CARTESIAN_POINT('',(-5.538232,0.18,1.73196999999998)); #243536=CARTESIAN_POINT('',(-5.538232,0.18,2.03996999999998)); #243537=CARTESIAN_POINT('',(-5.538232,0.2,1.73196999999998)); #243538=CARTESIAN_POINT('Origin',(-5.230241,0.2,2.03996999999998)); #243539=CARTESIAN_POINT('',(-2.61512049999672,0.2,2.03996999999998)); #243540=CARTESIAN_POINT('',(-5.230241,0.18,2.03996999999998)); #243541=CARTESIAN_POINT('Origin',(-5.230241,0.2,1.73196999999998)); #243542=CARTESIAN_POINT('',(-5.230241,0.2,1.73196999999998)); #243543=CARTESIAN_POINT('',(-5.230241,0.2,0.865984999996715)); #243544=CARTESIAN_POINT('',(-5.230241,0.18,1.73196999999998)); #243545=CARTESIAN_POINT('',(-5.230241,0.2,1.73196999999998)); #243546=CARTESIAN_POINT('',(-5.230241,0.18,1.73196999999998)); #243547=CARTESIAN_POINT('Origin',(-5.538232,0.2,1.73196999999998)); #243548=CARTESIAN_POINT('',(-2.76911599999673,0.2,1.73196999999998)); #243549=CARTESIAN_POINT('',(-5.538232,0.2,1.73196999999998)); #243550=CARTESIAN_POINT('',(-5.538232,0.18,1.73196999999998)); #243551=CARTESIAN_POINT('Origin',(-5.38423649999999,0.18,1.88596999999998)); #243552=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,1.42398)); #243553=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.42398)); #243554=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.42398)); #243555=CARTESIAN_POINT('',(-3.23111049999672,0.2,1.42398)); #243556=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.42398)); #243557=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.42398)); #243558=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.42398)); #243559=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.42398)); #243560=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.42398)); #243561=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,1.73196999999998)); #243562=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.73196999999998)); #243563=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.865984999996715)); #243564=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.73196999999998)); #243565=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.73196999999998)); #243566=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.73196999999998)); #243567=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,1.73196999999998)); #243568=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.73196999999998)); #243569=CARTESIAN_POINT('',(-3.07711099999672,0.2,1.73196999999998)); #243570=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.73196999999998)); #243571=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.73196999999998)); #243572=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.73196999999998)); #243573=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.73196999999998)); #243574=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,1.42398)); #243575=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.711989999996724)); #243576=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.42398)); #243577=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.42398)); #243578=CARTESIAN_POINT('Origin',(-6.30822149999999,0.18,1.57797499999999)); #243579=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,2.03996999999998)); #243580=CARTESIAN_POINT('',(-6.770212,0.2,2.03996999999998)); #243581=CARTESIAN_POINT('',(-3.23111049999672,0.2,2.03996999999998)); #243582=CARTESIAN_POINT('',(-6.770212,0.18,2.03996999999998)); #243583=CARTESIAN_POINT('',(-6.46222099999999,0.18,2.03996999999998)); #243584=CARTESIAN_POINT('',(-6.770212,0.2,2.03996999999998)); #243585=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,1.73196999999998)); #243586=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.865984999996715)); #243587=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.73196999999998)); #243588=CARTESIAN_POINT('Origin',(-6.770212,0.2,1.73196999999998)); #243589=CARTESIAN_POINT('',(-6.770212,0.2,1.73196999999998)); #243590=CARTESIAN_POINT('',(-3.38510599999673,0.2,1.73196999999998)); #243591=CARTESIAN_POINT('',(-6.770212,0.18,1.73196999999998)); #243592=CARTESIAN_POINT('',(-6.770212,0.2,1.73196999999998)); #243593=CARTESIAN_POINT('',(-6.770212,0.18,1.73196999999998)); #243594=CARTESIAN_POINT('Origin',(-6.770212,0.2,1.11599)); #243595=CARTESIAN_POINT('',(-6.770212,0.2,1.11599)); #243596=CARTESIAN_POINT('',(-6.770212,0.2,0.557994999996724)); #243597=CARTESIAN_POINT('',(-6.770212,0.18,1.11599)); #243598=CARTESIAN_POINT('',(-6.770212,0.2,1.11599)); #243599=CARTESIAN_POINT('',(-6.770212,0.18,1.42398)); #243600=CARTESIAN_POINT('Origin',(-7.07821,0.2,1.11599)); #243601=CARTESIAN_POINT('',(-7.07821,0.2,1.11599)); #243602=CARTESIAN_POINT('',(-3.53910499999673,0.2,1.11599)); #243603=CARTESIAN_POINT('',(-7.07821,0.18,1.11599)); #243604=CARTESIAN_POINT('',(-7.07821,0.2,1.11599)); #243605=CARTESIAN_POINT('',(-7.07821,0.18,1.11599)); #243606=CARTESIAN_POINT('Origin',(-7.07821,0.2,1.42398)); #243607=CARTESIAN_POINT('',(-7.07821,0.2,1.42398)); #243608=CARTESIAN_POINT('',(-7.07821,0.2,0.711989999996724)); #243609=CARTESIAN_POINT('',(-7.07821,0.18,1.42398)); #243610=CARTESIAN_POINT('',(-7.07821,0.2,1.42398)); #243611=CARTESIAN_POINT('',(-7.07821,0.18,1.42398)); #243612=CARTESIAN_POINT('',(-7.07821,0.2,1.11599)); #243613=CARTESIAN_POINT('Origin',(-7.386209,0.2,1.42398)); #243614=CARTESIAN_POINT('',(-7.386209,0.2,1.42398)); #243615=CARTESIAN_POINT('',(-3.69310449999672,0.2,1.42398)); #243616=CARTESIAN_POINT('',(-7.386209,0.18,1.42398)); #243617=CARTESIAN_POINT('',(-7.386209,0.2,1.42398)); #243618=CARTESIAN_POINT('',(-7.386209,0.18,1.42398)); #243619=CARTESIAN_POINT('Origin',(-7.386209,0.2,2.03996999999998)); #243620=CARTESIAN_POINT('',(-7.386209,0.2,2.03996999999998)); #243621=CARTESIAN_POINT('',(-7.386209,0.2,1.01998499999671)); #243622=CARTESIAN_POINT('',(-7.386209,0.18,2.03996999999998)); #243623=CARTESIAN_POINT('',(-7.386209,0.2,2.03996999999998)); #243624=CARTESIAN_POINT('',(-7.386209,0.18,1.73196999999998)); #243625=CARTESIAN_POINT('',(-7.386209,0.2,1.42398)); #243626=CARTESIAN_POINT('Origin',(-7.07821,0.2,2.03996999999998)); #243627=CARTESIAN_POINT('',(-7.07821,0.2,2.03996999999998)); #243628=CARTESIAN_POINT('',(-3.53910499999673,0.2,2.03996999999998)); #243629=CARTESIAN_POINT('',(-7.07821,0.18,2.03996999999998)); #243630=CARTESIAN_POINT('',(-7.07821,0.2,2.03996999999998)); #243631=CARTESIAN_POINT('',(-7.07821,0.18,2.03996999999998)); #243632=CARTESIAN_POINT('Origin',(-7.07821,0.2,2.34795999999999)); #243633=CARTESIAN_POINT('',(-7.07821,0.2,1.17397999999672)); #243634=CARTESIAN_POINT('',(-7.07821,0.18,2.34795999999999)); #243635=CARTESIAN_POINT('Origin',(-6.770212,0.2,2.34795999999999)); #243636=CARTESIAN_POINT('',(-6.770212,0.2,2.34795999999999)); #243637=CARTESIAN_POINT('',(-3.38510599999673,0.2,2.34795999999999)); #243638=CARTESIAN_POINT('',(-6.770212,0.18,2.34795999999999)); #243639=CARTESIAN_POINT('',(-6.770212,0.2,2.34795999999999)); #243640=CARTESIAN_POINT('',(-6.770212,0.18,2.34795999999999)); #243641=CARTESIAN_POINT('Origin',(-6.770212,0.2,2.03996999999998)); #243642=CARTESIAN_POINT('',(-6.770212,0.2,1.01998499999671)); #243643=CARTESIAN_POINT('',(-6.770212,0.18,2.03996999999998)); #243644=CARTESIAN_POINT('Origin',(-6.92421499999999,0.18,1.73197500000001)); #243645=CARTESIAN_POINT('Origin',(-7.386209,0.2,1.11599)); #243646=CARTESIAN_POINT('',(-7.386209,0.2,1.11599)); #243647=CARTESIAN_POINT('',(-7.386209,0.2,0.80798999999999)); #243648=CARTESIAN_POINT('',(-7.386209,0.2,0.557994999996724)); #243649=CARTESIAN_POINT('',(-7.386209,0.18,1.11599)); #243650=CARTESIAN_POINT('',(-7.386209,0.2,1.11599)); #243651=CARTESIAN_POINT('',(-7.386209,0.18,0.80798999999999)); #243652=CARTESIAN_POINT('',(-7.386209,0.18,1.11599)); #243653=CARTESIAN_POINT('',(-7.386209,0.2,0.80798999999999)); #243654=CARTESIAN_POINT('Origin',(-7.07821,0.2,1.11599)); #243655=CARTESIAN_POINT('',(-3.53910499999673,0.2,1.11599)); #243656=CARTESIAN_POINT('',(-7.07821,0.18,1.11599)); #243657=CARTESIAN_POINT('',(-7.386209,0.2,1.11599)); #243658=CARTESIAN_POINT('Origin',(-7.07821,0.2,0.80798999999999)); #243659=CARTESIAN_POINT('',(-7.07821,0.2,0.80798999999999)); #243660=CARTESIAN_POINT('',(-7.07821,0.2,0.403994999996719)); #243661=CARTESIAN_POINT('',(-7.07821,0.18,0.80798999999999)); #243662=CARTESIAN_POINT('',(-7.07821,0.2,0.80798999999999)); #243663=CARTESIAN_POINT('',(-7.07821,0.18,0.80798999999999)); #243664=CARTESIAN_POINT('Origin',(-7.386209,0.2,0.80798999999999)); #243665=CARTESIAN_POINT('',(-3.69310449999672,0.2,0.80798999999999)); #243666=CARTESIAN_POINT('',(-7.386209,0.18,0.80798999999999)); #243667=CARTESIAN_POINT('Origin',(-7.2322095,0.18,0.961989999999986)); #243668=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,0.499989999999997)); #243669=CARTESIAN_POINT('',(-8.61818799999999,0.2,0.499989999999997)); #243670=CARTESIAN_POINT('',(-8.31019,0.2,0.499989999999997)); #243671=CARTESIAN_POINT('',(-4.30909399999672,0.2,0.499989999999997)); #243672=CARTESIAN_POINT('',(-8.61818799999999,0.18,0.499989999999997)); #243673=CARTESIAN_POINT('',(-8.61818799999999,0.2,0.499989999999997)); #243674=CARTESIAN_POINT('',(-8.31019,0.18,0.499989999999997)); #243675=CARTESIAN_POINT('',(-8.61818799999999,0.18,0.499989999999997)); #243676=CARTESIAN_POINT('',(-8.31019,0.2,0.499989999999997)); #243677=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,1.11599)); #243678=CARTESIAN_POINT('',(-8.61818799999999,0.2,1.11599)); #243679=CARTESIAN_POINT('',(-8.61818799999999,0.2,0.557994999996724)); #243680=CARTESIAN_POINT('',(-8.61818799999999,0.18,1.11599)); #243681=CARTESIAN_POINT('',(-8.61818799999999,0.2,1.11599)); #243682=CARTESIAN_POINT('',(-8.61818799999999,0.18,0.80798999999999)); #243683=CARTESIAN_POINT('Origin',(-8.31019,0.2,1.11599)); #243684=CARTESIAN_POINT('',(-8.31019,0.2,1.11599)); #243685=CARTESIAN_POINT('',(-4.15509499999672,0.2,1.11599)); #243686=CARTESIAN_POINT('',(-8.31019,0.18,1.11599)); #243687=CARTESIAN_POINT('',(-8.31019,0.2,1.11599)); #243688=CARTESIAN_POINT('',(-8.31019,0.18,1.11599)); #243689=CARTESIAN_POINT('Origin',(-8.31019,0.2,1.42398)); #243690=CARTESIAN_POINT('',(-8.31019,0.2,1.42398)); #243691=CARTESIAN_POINT('',(-8.31019,0.2,0.711989999996724)); #243692=CARTESIAN_POINT('',(-8.31019,0.18,1.42398)); #243693=CARTESIAN_POINT('',(-8.31019,0.2,1.42398)); #243694=CARTESIAN_POINT('',(-8.31019,0.18,1.42398)); #243695=CARTESIAN_POINT('Origin',(-7.386209,0.2,1.42398)); #243696=CARTESIAN_POINT('',(-3.69310449999672,0.2,1.42398)); #243697=CARTESIAN_POINT('',(-8.00219899999999,0.18,1.42398)); #243698=CARTESIAN_POINT('Origin',(-7.386209,0.2,1.11599)); #243699=CARTESIAN_POINT('',(-7.386209,0.2,0.557994999996724)); #243700=CARTESIAN_POINT('',(-7.386209,0.18,1.11599)); #243701=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,1.11599)); #243702=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.11599)); #243703=CARTESIAN_POINT('',(-4.00109949999672,0.2,1.11599)); #243704=CARTESIAN_POINT('',(-8.00219899999999,0.18,1.11599)); #243705=CARTESIAN_POINT('',(-8.00219899999999,0.2,1.11599)); #243706=CARTESIAN_POINT('',(-7.6942,0.18,1.11599)); #243707=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,0.80798999999999)); #243708=CARTESIAN_POINT('',(-8.00219899999999,0.2,0.80798999999999)); #243709=CARTESIAN_POINT('',(-8.00219899999999,0.2,0.403994999996719)); #243710=CARTESIAN_POINT('',(-8.00219899999999,0.18,0.80798999999999)); #243711=CARTESIAN_POINT('',(-8.00219899999999,0.2,0.80798999999999)); #243712=CARTESIAN_POINT('',(-8.00219899999999,0.18,0.80798999999999)); #243713=CARTESIAN_POINT('Origin',(-7.6942,0.2,0.80798999999999)); #243714=CARTESIAN_POINT('',(-7.6942,0.2,0.80798999999999)); #243715=CARTESIAN_POINT('',(-3.84709999999673,0.2,0.80798999999999)); #243716=CARTESIAN_POINT('',(-7.6942,0.18,0.80798999999999)); #243717=CARTESIAN_POINT('',(-7.6942,0.2,0.80798999999999)); #243718=CARTESIAN_POINT('',(-7.6942,0.18,0.80798999999999)); #243719=CARTESIAN_POINT('Origin',(-7.6942,0.2,-0.116000000000014)); #243720=CARTESIAN_POINT('',(-7.6942,0.2,-0.116000000000014)); #243721=CARTESIAN_POINT('',(-7.6942,0.2,-0.0580000000032829)); #243722=CARTESIAN_POINT('',(-7.6942,0.18,-0.116000000000014)); #243723=CARTESIAN_POINT('',(-7.6942,0.2,-0.116000000000014)); #243724=CARTESIAN_POINT('',(-7.6942,0.18,0.499989999999997)); #243725=CARTESIAN_POINT('Origin',(-8.31019,0.2,-0.116000000000014)); #243726=CARTESIAN_POINT('',(-8.31019,0.2,-0.116000000000014)); #243727=CARTESIAN_POINT('',(-4.15509499999672,0.2,-0.116000000000014)); #243728=CARTESIAN_POINT('',(-8.31019,0.18,-0.116000000000014)); #243729=CARTESIAN_POINT('',(-8.31019,0.2,-0.116000000000014)); #243730=CARTESIAN_POINT('',(-8.00219899999999,0.18,-0.116000000000014)); #243731=CARTESIAN_POINT('',(-7.6942,0.2,-0.116000000000014)); #243732=CARTESIAN_POINT('Origin',(-8.31019,0.2,0.499989999999997)); #243733=CARTESIAN_POINT('',(-8.31019,0.2,0.249994999996722)); #243734=CARTESIAN_POINT('',(-8.31019,0.18,0.499989999999997)); #243735=CARTESIAN_POINT('',(-8.31019,0.2,-0.116000000000014)); #243736=CARTESIAN_POINT('Origin',(-8.0021985,0.18,0.653989999999993)); #243737=CARTESIAN_POINT('Origin',(-8.31019,0.2,-1.03999)); #243738=CARTESIAN_POINT('',(-8.31019,0.2,-1.03999)); #243739=CARTESIAN_POINT('',(-8.61818799999999,0.2,-1.03999)); #243740=CARTESIAN_POINT('',(-4.15509499999672,0.2,-1.03999)); #243741=CARTESIAN_POINT('',(-8.31019,0.18,-1.03999)); #243742=CARTESIAN_POINT('',(-8.31019,0.2,-1.03999)); #243743=CARTESIAN_POINT('',(-8.61818799999999,0.18,-1.03999)); #243744=CARTESIAN_POINT('',(-8.31019,0.18,-1.03999)); #243745=CARTESIAN_POINT('',(-8.61818799999999,0.2,-1.03999)); #243746=CARTESIAN_POINT('Origin',(-8.31019,0.2,-2.27197)); #243747=CARTESIAN_POINT('',(-8.31019,0.2,-2.27197)); #243748=CARTESIAN_POINT('',(-8.31019,0.2,-1.13598500000328)); #243749=CARTESIAN_POINT('',(-8.31019,0.18,-2.27197)); #243750=CARTESIAN_POINT('',(-8.31019,0.2,-2.27197)); #243751=CARTESIAN_POINT('',(-8.31019,0.18,-1.34798000000002)); #243752=CARTESIAN_POINT('',(-8.31019,0.2,-1.03999)); #243753=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,-2.27197)); #243754=CARTESIAN_POINT('',(-8.61818799999999,0.2,-2.27197)); #243755=CARTESIAN_POINT('',(-4.30909399999672,0.2,-2.27197)); #243756=CARTESIAN_POINT('',(-8.61818799999999,0.18,-2.27197)); #243757=CARTESIAN_POINT('',(-8.61818799999999,0.2,-2.27197)); #243758=CARTESIAN_POINT('',(-8.61818799999999,0.18,-2.27197)); #243759=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,-1.03999)); #243760=CARTESIAN_POINT('',(-8.61818799999999,0.2,-0.519995000003276)); #243761=CARTESIAN_POINT('',(-8.61818799999999,0.18,-1.96397000000001)); #243762=CARTESIAN_POINT('Origin',(-8.46418899999999,0.18,-1.65597999999999)); #243763=CARTESIAN_POINT('Origin',(-7.386209,0.2,-1.03999)); #243764=CARTESIAN_POINT('',(-7.386209,0.2,-1.03999)); #243765=CARTESIAN_POINT('',(-7.386209,0.2,-1.34798000000002)); #243766=CARTESIAN_POINT('',(-7.386209,0.2,-0.519995000003276)); #243767=CARTESIAN_POINT('',(-7.386209,0.18,-1.03999)); #243768=CARTESIAN_POINT('',(-7.386209,0.2,-1.03999)); #243769=CARTESIAN_POINT('',(-7.386209,0.18,-1.34798000000002)); #243770=CARTESIAN_POINT('',(-7.386209,0.18,-1.03999)); #243771=CARTESIAN_POINT('',(-7.386209,0.2,-1.34798000000002)); #243772=CARTESIAN_POINT('Origin',(-7.6942,0.2,-1.03999)); #243773=CARTESIAN_POINT('',(-7.6942,0.2,-1.03999)); #243774=CARTESIAN_POINT('',(-3.84709999999673,0.2,-1.03999)); #243775=CARTESIAN_POINT('',(-7.6942,0.18,-1.03999)); #243776=CARTESIAN_POINT('',(-7.6942,0.2,-1.03999)); #243777=CARTESIAN_POINT('',(-7.6942,0.18,-1.03999)); #243778=CARTESIAN_POINT('Origin',(-7.6942,0.2,-1.34798000000002)); #243779=CARTESIAN_POINT('',(-7.6942,0.2,-1.34798000000002)); #243780=CARTESIAN_POINT('',(-7.6942,0.2,-0.673990000003285)); #243781=CARTESIAN_POINT('',(-7.6942,0.18,-1.34798000000002)); #243782=CARTESIAN_POINT('',(-7.6942,0.2,-1.34798000000002)); #243783=CARTESIAN_POINT('',(-7.6942,0.18,-1.34798000000002)); #243784=CARTESIAN_POINT('Origin',(-7.386209,0.2,-1.34798000000002)); #243785=CARTESIAN_POINT('',(-3.69310449999672,0.2,-1.34798000000002)); #243786=CARTESIAN_POINT('',(-7.386209,0.18,-1.34798000000002)); #243787=CARTESIAN_POINT('Origin',(-7.386209,0.2,-1.65598000000001)); #243788=CARTESIAN_POINT('',(-7.386209,0.2,-1.65598000000001)); #243789=CARTESIAN_POINT('',(-7.386209,0.2,-0.827990000003281)); #243790=CARTESIAN_POINT('',(-7.386209,0.18,-1.65598000000001)); #243791=CARTESIAN_POINT('',(-7.386209,0.2,-1.65598000000001)); #243792=CARTESIAN_POINT('',(-7.386209,0.18,-1.65598000000001)); #243793=CARTESIAN_POINT('',(-7.386209,0.2,-1.34798000000002)); #243794=CARTESIAN_POINT('Origin',(-7.07821,0.2,-1.65598000000001)); #243795=CARTESIAN_POINT('',(-7.07821,0.2,-1.65598000000001)); #243796=CARTESIAN_POINT('',(-3.53910499999673,0.2,-1.65598000000001)); #243797=CARTESIAN_POINT('',(-7.07821,0.18,-1.65598000000001)); #243798=CARTESIAN_POINT('',(-7.07821,0.2,-1.65598000000001)); #243799=CARTESIAN_POINT('',(-7.07821,0.18,-1.65598000000001)); #243800=CARTESIAN_POINT('Origin',(-7.07821,0.2,-2.88796000000001)); #243801=CARTESIAN_POINT('',(-7.07821,0.2,-2.88796000000001)); #243802=CARTESIAN_POINT('',(-7.07821,0.2,-1.44398000000328)); #243803=CARTESIAN_POINT('',(-7.07821,0.18,-2.88796000000001)); #243804=CARTESIAN_POINT('',(-7.07821,0.2,-2.88796000000001)); #243805=CARTESIAN_POINT('',(-7.07821,0.18,-1.96397000000001)); #243806=CARTESIAN_POINT('Origin',(-7.386209,0.2,-2.88796000000001)); #243807=CARTESIAN_POINT('',(-7.386209,0.2,-2.88796000000001)); #243808=CARTESIAN_POINT('',(-3.69310449999672,0.2,-2.88796000000001)); #243809=CARTESIAN_POINT('',(-7.386209,0.18,-2.88796000000001)); #243810=CARTESIAN_POINT('',(-7.386209,0.2,-2.88796000000001)); #243811=CARTESIAN_POINT('',(-7.386209,0.18,-2.88796000000001)); #243812=CARTESIAN_POINT('Origin',(-7.386209,0.2,-3.19595000000001)); #243813=CARTESIAN_POINT('',(-7.386209,0.2,-3.19595000000001)); #243814=CARTESIAN_POINT('',(-7.386209,0.2,-1.59797500000328)); #243815=CARTESIAN_POINT('',(-7.386209,0.18,-3.19595000000001)); #243816=CARTESIAN_POINT('',(-7.386209,0.2,-3.19595000000001)); #243817=CARTESIAN_POINT('',(-7.386209,0.18,-3.19595000000001)); #243818=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,-3.19595000000001)); #243819=CARTESIAN_POINT('',(-8.61818799999999,0.2,-3.19595000000001)); #243820=CARTESIAN_POINT('',(-4.30909399999672,0.2,-3.19595000000001)); #243821=CARTESIAN_POINT('',(-8.61818799999999,0.18,-3.19595000000001)); #243822=CARTESIAN_POINT('',(-8.61818799999999,0.2,-3.19595000000001)); #243823=CARTESIAN_POINT('',(-7.6942,0.18,-3.19595000000001)); #243824=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,-2.57996000000002)); #243825=CARTESIAN_POINT('',(-8.61818799999999,0.2,-2.57996000000002)); #243826=CARTESIAN_POINT('',(-8.61818799999999,0.2,-1.28998000000329)); #243827=CARTESIAN_POINT('',(-8.61818799999999,0.18,-2.57996000000002)); #243828=CARTESIAN_POINT('',(-8.61818799999999,0.2,-2.57996000000002)); #243829=CARTESIAN_POINT('',(-8.61818799999999,0.18,-2.88796000000001)); #243830=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,-2.57996000000002)); #243831=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.57996000000002)); #243832=CARTESIAN_POINT('',(-4.00109949999672,0.2,-2.57996000000002)); #243833=CARTESIAN_POINT('',(-8.00219899999999,0.18,-2.57996000000002)); #243834=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.57996000000002)); #243835=CARTESIAN_POINT('',(-8.31019,0.18,-2.57996000000002)); #243836=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,-2.88796000000001)); #243837=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.88796000000001)); #243838=CARTESIAN_POINT('',(-8.00219899999999,0.2,-1.44398000000328)); #243839=CARTESIAN_POINT('',(-8.00219899999999,0.18,-2.88796000000001)); #243840=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.88796000000001)); #243841=CARTESIAN_POINT('',(-8.00219899999999,0.18,-2.88796000000001)); #243842=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.57996000000002)); #243843=CARTESIAN_POINT('Origin',(-7.6942,0.2,-2.88796000000001)); #243844=CARTESIAN_POINT('',(-7.6942,0.2,-2.88796000000001)); #243845=CARTESIAN_POINT('',(-3.84709999999673,0.2,-2.88796000000001)); #243846=CARTESIAN_POINT('',(-7.6942,0.18,-2.88796000000001)); #243847=CARTESIAN_POINT('',(-7.6942,0.2,-2.88796000000001)); #243848=CARTESIAN_POINT('',(-7.6942,0.18,-2.88796000000001)); #243849=CARTESIAN_POINT('Origin',(-7.6942,0.2,-2.57996000000002)); #243850=CARTESIAN_POINT('',(-7.6942,0.2,-2.57996000000002)); #243851=CARTESIAN_POINT('',(-7.6942,0.2,-1.28998000000329)); #243852=CARTESIAN_POINT('',(-7.6942,0.18,-2.57996000000002)); #243853=CARTESIAN_POINT('',(-7.6942,0.2,-2.57996000000002)); #243854=CARTESIAN_POINT('',(-7.6942,0.18,-2.57996000000002)); #243855=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,-2.57996000000002)); #243856=CARTESIAN_POINT('',(-4.00109949999672,0.2,-2.57996000000002)); #243857=CARTESIAN_POINT('',(-8.00219899999999,0.18,-2.57996000000002)); #243858=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,-2.27197)); #243859=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.27197)); #243860=CARTESIAN_POINT('',(-8.00219899999999,0.2,-1.13598500000328)); #243861=CARTESIAN_POINT('',(-8.00219899999999,0.18,-2.27197)); #243862=CARTESIAN_POINT('',(-8.00219899999999,0.2,-2.27197)); #243863=CARTESIAN_POINT('',(-8.00219899999999,0.18,-2.27197)); #243864=CARTESIAN_POINT('Origin',(-7.6942,0.2,-2.27197)); #243865=CARTESIAN_POINT('',(-7.6942,0.2,-2.27197)); #243866=CARTESIAN_POINT('',(-3.84709999999673,0.2,-2.27197)); #243867=CARTESIAN_POINT('',(-7.6942,0.18,-2.27197)); #243868=CARTESIAN_POINT('',(-7.6942,0.2,-2.27197)); #243869=CARTESIAN_POINT('',(-7.6942,0.18,-2.27197)); #243870=CARTESIAN_POINT('Origin',(-7.6942,0.2,-1.96397000000001)); #243871=CARTESIAN_POINT('',(-7.6942,0.2,-1.96397000000001)); #243872=CARTESIAN_POINT('',(-7.6942,0.2,-0.981985000003281)); #243873=CARTESIAN_POINT('',(-7.6942,0.18,-1.96397000000001)); #243874=CARTESIAN_POINT('',(-7.6942,0.2,-1.96397000000001)); #243875=CARTESIAN_POINT('',(-7.6942,0.18,-1.96397000000001)); #243876=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,-1.96397000000001)); #243877=CARTESIAN_POINT('',(-8.00219899999999,0.2,-1.96397000000001)); #243878=CARTESIAN_POINT('',(-4.00109949999672,0.2,-1.96397000000001)); #243879=CARTESIAN_POINT('',(-8.00219899999999,0.18,-1.96397000000001)); #243880=CARTESIAN_POINT('',(-8.00219899999999,0.2,-1.96397000000001)); #243881=CARTESIAN_POINT('',(-8.00219899999999,0.18,-1.96397000000001)); #243882=CARTESIAN_POINT('Origin',(-8.00219899999999,0.2,-1.03999)); #243883=CARTESIAN_POINT('',(-8.00219899999999,0.2,-1.03999)); #243884=CARTESIAN_POINT('',(-8.00219899999999,0.2,-0.519995000003276)); #243885=CARTESIAN_POINT('',(-8.00219899999999,0.18,-1.03999)); #243886=CARTESIAN_POINT('',(-8.00219899999999,0.2,-1.03999)); #243887=CARTESIAN_POINT('',(-8.00219899999999,0.18,-1.65598000000001)); #243888=CARTESIAN_POINT('Origin',(-8.31019,0.2,-1.03999)); #243889=CARTESIAN_POINT('',(-4.15509499999672,0.2,-1.03999)); #243890=CARTESIAN_POINT('',(-8.31019,0.18,-1.03999)); #243891=CARTESIAN_POINT('Origin',(-8.31019,0.2,-0.731989999999989)); #243892=CARTESIAN_POINT('',(-8.31019,0.2,-0.731989999999989)); #243893=CARTESIAN_POINT('',(-8.31019,0.2,-0.365995000003271)); #243894=CARTESIAN_POINT('',(-8.31019,0.18,-0.731989999999989)); #243895=CARTESIAN_POINT('',(-8.31019,0.2,-0.731989999999989)); #243896=CARTESIAN_POINT('',(-8.31019,0.18,-0.731989999999989)); #243897=CARTESIAN_POINT('Origin',(-7.6942,0.2,-0.731989999999989)); #243898=CARTESIAN_POINT('',(-7.6942,0.2,-0.731989999999989)); #243899=CARTESIAN_POINT('',(-3.84709999999673,0.2,-0.731989999999989)); #243900=CARTESIAN_POINT('',(-7.6942,0.18,-0.731989999999989)); #243901=CARTESIAN_POINT('',(-7.6942,0.2,-0.731989999999989)); #243902=CARTESIAN_POINT('',(-8.00219899999999,0.18,-0.731989999999989)); #243903=CARTESIAN_POINT('Origin',(-7.6942,0.2,-0.116000000000014)); #243904=CARTESIAN_POINT('',(-7.6942,0.2,-0.0580000000032829)); #243905=CARTESIAN_POINT('',(-7.6942,0.18,-0.423989999999996)); #243906=CARTESIAN_POINT('Origin',(-7.386209,0.2,-0.116000000000014)); #243907=CARTESIAN_POINT('',(-7.386209,0.2,-0.116000000000014)); #243908=CARTESIAN_POINT('',(-3.69310449999672,0.2,-0.116000000000014)); #243909=CARTESIAN_POINT('',(-7.386209,0.18,-0.116000000000014)); #243910=CARTESIAN_POINT('',(-7.386209,0.2,-0.116000000000014)); #243911=CARTESIAN_POINT('',(-7.386209,0.18,-0.116000000000014)); #243912=CARTESIAN_POINT('Origin',(-7.386209,0.2,-0.731989999999989)); #243913=CARTESIAN_POINT('',(-7.386209,0.2,-0.731989999999989)); #243914=CARTESIAN_POINT('',(-7.386209,0.2,-0.365995000003271)); #243915=CARTESIAN_POINT('',(-7.386209,0.18,-0.731989999999989)); #243916=CARTESIAN_POINT('',(-7.386209,0.2,-0.731989999999989)); #243917=CARTESIAN_POINT('',(-7.386209,0.18,-0.423989999999996)); #243918=CARTESIAN_POINT('Origin',(-7.07821,0.2,-0.731989999999989)); #243919=CARTESIAN_POINT('',(-7.07821,0.2,-0.731989999999989)); #243920=CARTESIAN_POINT('',(-3.53910499999673,0.2,-0.731989999999989)); #243921=CARTESIAN_POINT('',(-7.07821,0.18,-0.731989999999989)); #243922=CARTESIAN_POINT('',(-7.07821,0.2,-0.731989999999989)); #243923=CARTESIAN_POINT('',(-7.07821,0.18,-0.731989999999989)); #243924=CARTESIAN_POINT('Origin',(-7.07821,0.2,-1.34798000000002)); #243925=CARTESIAN_POINT('',(-7.07821,0.2,-1.34798000000002)); #243926=CARTESIAN_POINT('',(-7.07821,0.2,-0.673990000003285)); #243927=CARTESIAN_POINT('',(-7.07821,0.18,-1.34798000000002)); #243928=CARTESIAN_POINT('',(-7.07821,0.2,-1.34798000000002)); #243929=CARTESIAN_POINT('',(-7.07821,0.18,-1.03999)); #243930=CARTESIAN_POINT('Origin',(-7.386209,0.2,-1.34798000000002)); #243931=CARTESIAN_POINT('',(-3.69310449999672,0.2,-1.34798000000002)); #243932=CARTESIAN_POINT('',(-7.386209,0.18,-1.34798000000002)); #243933=CARTESIAN_POINT('Origin',(-7.848199,0.18,-1.65597500000001)); #243934=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,-0.423989999999996)); #243935=CARTESIAN_POINT('',(-8.61818799999999,0.2,-0.423989999999996)); #243936=CARTESIAN_POINT('',(-8.31019,0.2,-0.423989999999996)); #243937=CARTESIAN_POINT('',(-4.30909399999672,0.2,-0.423989999999996)); #243938=CARTESIAN_POINT('',(-8.61818799999999,0.18,-0.423989999999996)); #243939=CARTESIAN_POINT('',(-8.61818799999999,0.2,-0.423989999999996)); #243940=CARTESIAN_POINT('',(-8.31019,0.18,-0.423989999999996)); #243941=CARTESIAN_POINT('',(-8.61818799999999,0.18,-0.423989999999996)); #243942=CARTESIAN_POINT('',(-8.31019,0.2,-0.423989999999996)); #243943=CARTESIAN_POINT('Origin',(-8.61818799999999,0.2,-0.116000000000014)); #243944=CARTESIAN_POINT('',(-8.61818799999999,0.2,-0.116000000000014)); #243945=CARTESIAN_POINT('',(-8.61818799999999,0.2,-0.0580000000032829)); #243946=CARTESIAN_POINT('',(-8.61818799999999,0.18,-0.116000000000014)); #243947=CARTESIAN_POINT('',(-8.61818799999999,0.2,-0.116000000000014)); #243948=CARTESIAN_POINT('',(-8.61818799999999,0.18,-0.116000000000014)); #243949=CARTESIAN_POINT('Origin',(-8.31019,0.2,-0.116000000000014)); #243950=CARTESIAN_POINT('',(-4.15509499999672,0.2,-0.116000000000014)); #243951=CARTESIAN_POINT('',(-8.31019,0.18,-0.116000000000014)); #243952=CARTESIAN_POINT('Origin',(-8.31019,0.2,-0.423989999999996)); #243953=CARTESIAN_POINT('',(-8.31019,0.2,-0.211995000003274)); #243954=CARTESIAN_POINT('',(-8.31019,0.18,-0.423989999999996)); #243955=CARTESIAN_POINT('Origin',(-8.46418899999999,0.18,-0.269995000000005)); #243956=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,0.499989999999997)); #243957=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.499989999999997)); #243958=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.191999999999997)); #243959=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.249994999996722)); #243960=CARTESIAN_POINT('',(-5.84623100000001,0.18,0.499989999999997)); #243961=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.499989999999997)); #243962=CARTESIAN_POINT('',(-5.84623100000001,0.18,0.191999999999997)); #243963=CARTESIAN_POINT('',(-5.84623100000001,0.18,0.499989999999997)); #243964=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.191999999999997)); #243965=CARTESIAN_POINT('Origin',(-5.538232,0.2,0.499989999999997)); #243966=CARTESIAN_POINT('',(-5.538232,0.2,0.499989999999997)); #243967=CARTESIAN_POINT('',(-2.76911599999673,0.2,0.499989999999997)); #243968=CARTESIAN_POINT('',(-5.538232,0.18,0.499989999999997)); #243969=CARTESIAN_POINT('',(-5.538232,0.2,0.499989999999997)); #243970=CARTESIAN_POINT('',(-5.538232,0.18,0.499989999999997)); #243971=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.499989999999997)); #243972=CARTESIAN_POINT('Origin',(-5.538232,0.2,0.191999999999997)); #243973=CARTESIAN_POINT('',(-5.538232,0.2,0.191999999999997)); #243974=CARTESIAN_POINT('',(-5.538232,0.2,0.0959999999967223)); #243975=CARTESIAN_POINT('',(-5.538232,0.18,0.191999999999997)); #243976=CARTESIAN_POINT('',(-5.538232,0.2,0.191999999999997)); #243977=CARTESIAN_POINT('',(-5.538232,0.18,0.191999999999997)); #243978=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,0.191999999999997)); #243979=CARTESIAN_POINT('',(-2.92311549999673,0.2,0.191999999999997)); #243980=CARTESIAN_POINT('',(-5.84623100000001,0.18,0.191999999999997)); #243981=CARTESIAN_POINT('Origin',(-5.6922315,0.18,0.345994999999988)); #243982=CARTESIAN_POINT('Origin',(-5.230241,0.2,1.11599)); #243983=CARTESIAN_POINT('',(-5.230241,0.2,1.11599)); #243984=CARTESIAN_POINT('',(-5.538232,0.2,1.11599)); #243985=CARTESIAN_POINT('',(-2.61512049999672,0.2,1.11599)); #243986=CARTESIAN_POINT('',(-5.230241,0.18,1.11599)); #243987=CARTESIAN_POINT('',(-5.230241,0.2,1.11599)); #243988=CARTESIAN_POINT('',(-5.538232,0.18,1.11599)); #243989=CARTESIAN_POINT('',(-5.230241,0.18,1.11599)); #243990=CARTESIAN_POINT('',(-5.538232,0.2,1.11599)); #243991=CARTESIAN_POINT('Origin',(-5.230241,0.2,0.80798999999999)); #243992=CARTESIAN_POINT('',(-5.230241,0.2,0.80798999999999)); #243993=CARTESIAN_POINT('',(-5.230241,0.2,0.403994999996719)); #243994=CARTESIAN_POINT('',(-5.230241,0.18,0.80798999999999)); #243995=CARTESIAN_POINT('',(-5.230241,0.2,0.80798999999999)); #243996=CARTESIAN_POINT('',(-5.230241,0.18,0.80798999999999)); #243997=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,0.80798999999999)); #243998=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.80798999999999)); #243999=CARTESIAN_POINT('',(-2.92311549999673,0.2,0.80798999999999)); #244000=CARTESIAN_POINT('',(-5.84623100000001,0.18,0.80798999999999)); #244001=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.80798999999999)); #244002=CARTESIAN_POINT('',(-5.538232,0.18,0.80798999999999)); #244003=CARTESIAN_POINT('',(-5.230241,0.2,0.80798999999999)); #244004=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,0.499989999999997)); #244005=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.249994999996722)); #244006=CARTESIAN_POINT('',(-5.84623100000001,0.18,0.499989999999997)); #244007=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,0.499989999999997)); #244008=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.499989999999997)); #244009=CARTESIAN_POINT('',(-3.07711099999672,0.2,0.499989999999997)); #244010=CARTESIAN_POINT('',(-6.15422199999999,0.18,0.499989999999997)); #244011=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.499989999999997)); #244012=CARTESIAN_POINT('',(-6.15422199999999,0.18,0.499989999999997)); #244013=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,0.80798999999999)); #244014=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.80798999999999)); #244015=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.403994999996719)); #244016=CARTESIAN_POINT('',(-6.15422199999999,0.18,0.80798999999999)); #244017=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.80798999999999)); #244018=CARTESIAN_POINT('',(-6.15422199999999,0.18,0.80798999999999)); #244019=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,0.80798999999999)); #244020=CARTESIAN_POINT('',(-3.23111049999672,0.2,0.80798999999999)); #244021=CARTESIAN_POINT('',(-6.46222099999999,0.18,0.80798999999999)); #244022=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,1.11599)); #244023=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.11599)); #244024=CARTESIAN_POINT('',(-6.46222099999999,0.2,0.557994999996724)); #244025=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.11599)); #244026=CARTESIAN_POINT('',(-6.46222099999999,0.2,1.11599)); #244027=CARTESIAN_POINT('',(-6.46222099999999,0.18,1.11599)); #244028=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,1.11599)); #244029=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.11599)); #244030=CARTESIAN_POINT('',(-3.07711099999672,0.2,1.11599)); #244031=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.11599)); #244032=CARTESIAN_POINT('',(-6.15422199999999,0.2,1.11599)); #244033=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.11599)); #244034=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,1.42398)); #244035=CARTESIAN_POINT('',(-6.15422199999999,0.2,0.711989999996724)); #244036=CARTESIAN_POINT('',(-6.15422199999999,0.18,1.42398)); #244037=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,1.42398)); #244038=CARTESIAN_POINT('',(-5.84623100000001,0.2,1.42398)); #244039=CARTESIAN_POINT('',(-2.92311549999673,0.2,1.42398)); #244040=CARTESIAN_POINT('',(-5.84623100000001,0.18,1.42398)); #244041=CARTESIAN_POINT('',(-5.84623100000001,0.2,1.42398)); #244042=CARTESIAN_POINT('',(-5.84623100000001,0.18,1.42398)); #244043=CARTESIAN_POINT('Origin',(-5.84623100000001,0.2,1.73196999999998)); #244044=CARTESIAN_POINT('',(-5.84623100000001,0.2,1.73196999999998)); #244045=CARTESIAN_POINT('',(-5.84623100000001,0.2,0.865984999996715)); #244046=CARTESIAN_POINT('',(-5.84623100000001,0.18,1.73196999999998)); #244047=CARTESIAN_POINT('',(-5.84623100000001,0.2,1.73196999999998)); #244048=CARTESIAN_POINT('',(-5.84623100000001,0.18,1.73196999999998)); #244049=CARTESIAN_POINT('Origin',(-5.538232,0.2,1.73196999999998)); #244050=CARTESIAN_POINT('',(-2.76911599999673,0.2,1.73196999999998)); #244051=CARTESIAN_POINT('',(-5.538232,0.18,1.73196999999998)); #244052=CARTESIAN_POINT('Origin',(-5.538232,0.2,1.11599)); #244053=CARTESIAN_POINT('',(-5.538232,0.2,0.557994999996724)); #244054=CARTESIAN_POINT('',(-5.538232,0.18,1.11599)); #244055=CARTESIAN_POINT('Origin',(-5.84623099999999,0.18,1.11597999999999)); #244056=CARTESIAN_POINT('Origin',(-4.614252,0.2,0.191999999999997)); #244057=CARTESIAN_POINT('',(-4.614252,0.2,0.191999999999997)); #244058=CARTESIAN_POINT('',(-4.614252,0.2,0.80798999999999)); #244059=CARTESIAN_POINT('',(-4.614252,0.2,0.0959999999967223)); #244060=CARTESIAN_POINT('',(-4.614252,0.18,0.191999999999997)); #244061=CARTESIAN_POINT('',(-4.614252,0.2,0.191999999999997)); #244062=CARTESIAN_POINT('',(-4.614252,0.18,0.80798999999999)); #244063=CARTESIAN_POINT('',(-4.614252,0.18,0.499989999999997)); #244064=CARTESIAN_POINT('',(-4.614252,0.2,0.80798999999999)); #244065=CARTESIAN_POINT('Origin',(-4.922243,0.2,0.191999999999997)); #244066=CARTESIAN_POINT('',(-4.922243,0.2,0.191999999999997)); #244067=CARTESIAN_POINT('',(-2.46112149999673,0.2,0.191999999999997)); #244068=CARTESIAN_POINT('',(-4.922243,0.18,0.191999999999997)); #244069=CARTESIAN_POINT('',(-4.922243,0.2,0.191999999999997)); #244070=CARTESIAN_POINT('',(-4.922243,0.18,0.191999999999997)); #244071=CARTESIAN_POINT('Origin',(-4.922243,0.2,0.499989999999997)); #244072=CARTESIAN_POINT('',(-4.922243,0.2,0.499989999999997)); #244073=CARTESIAN_POINT('',(-4.922243,0.2,0.249994999996722)); #244074=CARTESIAN_POINT('',(-4.922243,0.18,0.499989999999997)); #244075=CARTESIAN_POINT('',(-4.922243,0.2,0.499989999999997)); #244076=CARTESIAN_POINT('',(-4.922243,0.18,0.499989999999997)); #244077=CARTESIAN_POINT('Origin',(-5.230241,0.2,0.499989999999997)); #244078=CARTESIAN_POINT('',(-5.230241,0.2,0.499989999999997)); #244079=CARTESIAN_POINT('',(-2.61512049999672,0.2,0.499989999999997)); #244080=CARTESIAN_POINT('',(-5.230241,0.18,0.499989999999997)); #244081=CARTESIAN_POINT('',(-5.230241,0.2,0.499989999999997)); #244082=CARTESIAN_POINT('',(-5.230241,0.18,0.499989999999997)); #244083=CARTESIAN_POINT('Origin',(-5.230241,0.2,0.80798999999999)); #244084=CARTESIAN_POINT('',(-5.230241,0.2,0.403994999996719)); #244085=CARTESIAN_POINT('',(-5.230241,0.18,0.80798999999999)); #244086=CARTESIAN_POINT('Origin',(-4.614252,0.2,0.80798999999999)); #244087=CARTESIAN_POINT('',(-2.30712599999672,0.2,0.80798999999999)); #244088=CARTESIAN_POINT('',(-4.922243,0.18,0.80798999999999)); #244089=CARTESIAN_POINT('Origin',(-4.9222465,0.18,0.499994999999984)); #244090=CARTESIAN_POINT('Origin',(-10.466161,0.2,0.499989999999997)); #244091=CARTESIAN_POINT('',(-10.466161,0.2,0.499989999999997)); #244092=CARTESIAN_POINT('',(-10.466161,0.2,-0.116000000000014)); #244093=CARTESIAN_POINT('',(-10.466161,0.2,0.249994999996722)); #244094=CARTESIAN_POINT('',(-10.466161,0.18,0.499989999999997)); #244095=CARTESIAN_POINT('',(-10.466161,0.2,0.499989999999997)); #244096=CARTESIAN_POINT('',(-10.466161,0.18,-0.116000000000014)); #244097=CARTESIAN_POINT('',(-10.466161,0.18,0.191999999999997)); #244098=CARTESIAN_POINT('',(-10.466161,0.2,-0.116000000000014)); #244099=CARTESIAN_POINT('Origin',(-10.774156,0.2,0.499989999999997)); #244100=CARTESIAN_POINT('',(-10.774156,0.2,0.499989999999997)); #244101=CARTESIAN_POINT('',(-5.38707799999673,0.2,0.499989999999997)); #244102=CARTESIAN_POINT('',(-10.774156,0.18,0.499989999999997)); #244103=CARTESIAN_POINT('',(-10.774156,0.2,0.499989999999997)); #244104=CARTESIAN_POINT('',(-10.774156,0.18,0.499989999999997)); #244105=CARTESIAN_POINT('Origin',(-10.774156,0.2,0.80798999999999)); #244106=CARTESIAN_POINT('',(-10.774156,0.2,0.80798999999999)); #244107=CARTESIAN_POINT('',(-10.774156,0.2,0.403994999996719)); #244108=CARTESIAN_POINT('',(-10.774156,0.18,0.80798999999999)); #244109=CARTESIAN_POINT('',(-10.774156,0.2,0.80798999999999)); #244110=CARTESIAN_POINT('',(-10.774156,0.18,0.80798999999999)); #244111=CARTESIAN_POINT('Origin',(-10.158167,0.2,0.80798999999999)); #244112=CARTESIAN_POINT('',(-10.158167,0.2,0.80798999999999)); #244113=CARTESIAN_POINT('',(-5.07908349999673,0.2,0.80798999999999)); #244114=CARTESIAN_POINT('',(-10.158167,0.18,0.80798999999999)); #244115=CARTESIAN_POINT('',(-10.158167,0.2,0.80798999999999)); #244116=CARTESIAN_POINT('',(-10.466161,0.18,0.80798999999999)); #244117=CARTESIAN_POINT('Origin',(-10.158167,0.2,0.191999999999997)); #244118=CARTESIAN_POINT('',(-10.158167,0.2,0.191999999999997)); #244119=CARTESIAN_POINT('',(-10.158167,0.2,0.0959999999967223)); #244120=CARTESIAN_POINT('',(-10.158167,0.18,0.191999999999997)); #244121=CARTESIAN_POINT('',(-10.158167,0.2,0.191999999999997)); #244122=CARTESIAN_POINT('',(-10.158167,0.18,0.499989999999997)); #244123=CARTESIAN_POINT('Origin',(-9.850168,0.2,0.191999999999997)); #244124=CARTESIAN_POINT('',(-9.850168,0.2,0.191999999999997)); #244125=CARTESIAN_POINT('',(-4.92508399999673,0.2,0.191999999999997)); #244126=CARTESIAN_POINT('',(-9.850168,0.18,0.191999999999997)); #244127=CARTESIAN_POINT('',(-9.850168,0.2,0.191999999999997)); #244128=CARTESIAN_POINT('',(-9.850168,0.18,0.191999999999997)); #244129=CARTESIAN_POINT('Origin',(-9.850168,0.2,0.499989999999997)); #244130=CARTESIAN_POINT('',(-9.850168,0.2,0.499989999999997)); #244131=CARTESIAN_POINT('',(-9.850168,0.2,0.249994999996722)); #244132=CARTESIAN_POINT('',(-9.850168,0.18,0.499989999999997)); #244133=CARTESIAN_POINT('',(-9.850168,0.2,0.499989999999997)); #244134=CARTESIAN_POINT('',(-9.850168,0.18,0.499989999999997)); #244135=CARTESIAN_POINT('Origin',(-9.542177,0.2,0.499989999999997)); #244136=CARTESIAN_POINT('',(-9.542177,0.2,0.499989999999997)); #244137=CARTESIAN_POINT('',(-4.77108849999673,0.2,0.499989999999997)); #244138=CARTESIAN_POINT('',(-9.542177,0.18,0.499989999999997)); #244139=CARTESIAN_POINT('',(-9.542177,0.2,0.499989999999997)); #244140=CARTESIAN_POINT('',(-9.542177,0.18,0.499989999999997)); #244141=CARTESIAN_POINT('Origin',(-9.542177,0.2,0.80798999999999)); #244142=CARTESIAN_POINT('',(-9.542177,0.2,0.80798999999999)); #244143=CARTESIAN_POINT('',(-9.542177,0.2,0.403994999996719)); #244144=CARTESIAN_POINT('',(-9.542177,0.18,0.80798999999999)); #244145=CARTESIAN_POINT('',(-9.542177,0.2,0.80798999999999)); #244146=CARTESIAN_POINT('',(-9.542177,0.18,0.80798999999999)); #244147=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,0.80798999999999)); #244148=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.80798999999999)); #244149=CARTESIAN_POINT('',(-4.46309349999673,0.2,0.80798999999999)); #244150=CARTESIAN_POINT('',(-8.92618700000001,0.18,0.80798999999999)); #244151=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.80798999999999)); #244152=CARTESIAN_POINT('',(-9.234178,0.18,0.80798999999999)); #244153=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,0.499989999999997)); #244154=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.499989999999997)); #244155=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.249994999996722)); #244156=CARTESIAN_POINT('',(-8.92618700000001,0.18,0.499989999999997)); #244157=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.499989999999997)); #244158=CARTESIAN_POINT('',(-8.92618700000001,0.18,0.499989999999997)); #244159=CARTESIAN_POINT('Origin',(-9.234178,0.2,0.499989999999997)); #244160=CARTESIAN_POINT('',(-9.234178,0.2,0.499989999999997)); #244161=CARTESIAN_POINT('',(-4.61708899999673,0.2,0.499989999999997)); #244162=CARTESIAN_POINT('',(-9.234178,0.18,0.499989999999997)); #244163=CARTESIAN_POINT('',(-9.234178,0.2,0.499989999999997)); #244164=CARTESIAN_POINT('',(-9.234178,0.18,0.499989999999997)); #244165=CARTESIAN_POINT('Origin',(-9.234178,0.2,0.191999999999997)); #244166=CARTESIAN_POINT('',(-9.234178,0.2,0.191999999999997)); #244167=CARTESIAN_POINT('',(-9.234178,0.2,0.0959999999967223)); #244168=CARTESIAN_POINT('',(-9.234178,0.18,0.191999999999997)); #244169=CARTESIAN_POINT('',(-9.234178,0.2,0.191999999999997)); #244170=CARTESIAN_POINT('',(-9.234178,0.18,0.191999999999997)); #244171=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,0.191999999999997)); #244172=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.191999999999997)); #244173=CARTESIAN_POINT('',(-4.46309349999673,0.2,0.191999999999997)); #244174=CARTESIAN_POINT('',(-8.92618700000001,0.18,0.191999999999997)); #244175=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.191999999999997)); #244176=CARTESIAN_POINT('',(-8.92618700000001,0.18,0.191999999999997)); #244177=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,-0.116000000000014)); #244178=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.116000000000014)); #244179=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.0580000000032829)); #244180=CARTESIAN_POINT('',(-8.92618700000001,0.18,-0.116000000000014)); #244181=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.116000000000014)); #244182=CARTESIAN_POINT('',(-8.92618700000001,0.18,-0.116000000000014)); #244183=CARTESIAN_POINT('Origin',(-9.234178,0.2,-0.116000000000014)); #244184=CARTESIAN_POINT('',(-9.234178,0.2,-0.116000000000014)); #244185=CARTESIAN_POINT('',(-4.61708899999673,0.2,-0.116000000000014)); #244186=CARTESIAN_POINT('',(-9.234178,0.18,-0.116000000000014)); #244187=CARTESIAN_POINT('',(-9.234178,0.2,-0.116000000000014)); #244188=CARTESIAN_POINT('',(-9.234178,0.18,-0.116000000000014)); #244189=CARTESIAN_POINT('Origin',(-9.234178,0.2,-0.423989999999996)); #244190=CARTESIAN_POINT('',(-9.234178,0.2,-0.423989999999996)); #244191=CARTESIAN_POINT('',(-9.234178,0.2,-0.211995000003274)); #244192=CARTESIAN_POINT('',(-9.234178,0.18,-0.423989999999996)); #244193=CARTESIAN_POINT('',(-9.234178,0.2,-0.423989999999996)); #244194=CARTESIAN_POINT('',(-9.234178,0.18,-0.423989999999996)); #244195=CARTESIAN_POINT('Origin',(-9.850168,0.2,-0.423989999999996)); #244196=CARTESIAN_POINT('',(-9.850168,0.2,-0.423989999999996)); #244197=CARTESIAN_POINT('',(-4.92508399999673,0.2,-0.423989999999996)); #244198=CARTESIAN_POINT('',(-9.850168,0.18,-0.423989999999996)); #244199=CARTESIAN_POINT('',(-9.850168,0.2,-0.423989999999996)); #244200=CARTESIAN_POINT('',(-9.542177,0.18,-0.423989999999996)); #244201=CARTESIAN_POINT('',(-9.234178,0.2,-0.423989999999996)); #244202=CARTESIAN_POINT('Origin',(-9.850168,0.2,-0.116000000000014)); #244203=CARTESIAN_POINT('',(-9.850168,0.2,-0.116000000000014)); #244204=CARTESIAN_POINT('',(-9.850168,0.2,-0.0580000000032829)); #244205=CARTESIAN_POINT('',(-9.850168,0.18,-0.116000000000014)); #244206=CARTESIAN_POINT('',(-9.850168,0.2,-0.116000000000014)); #244207=CARTESIAN_POINT('',(-9.850168,0.18,-0.116000000000014)); #244208=CARTESIAN_POINT('',(-9.850168,0.2,-0.423989999999996)); #244209=CARTESIAN_POINT('Origin',(-10.466161,0.2,-0.116000000000014)); #244210=CARTESIAN_POINT('',(-5.23308049999672,0.2,-0.116000000000014)); #244211=CARTESIAN_POINT('',(-10.466161,0.2,-0.116000000000014)); #244212=CARTESIAN_POINT('',(-10.466161,0.18,-0.116000000000014)); #244213=CARTESIAN_POINT('Origin',(-9.8501715,0.18,0.191999999999997)); #244214=CARTESIAN_POINT('Origin',(-10.774156,0.2,-0.116000000000014)); #244215=CARTESIAN_POINT('',(-10.774156,0.2,-0.116000000000014)); #244216=CARTESIAN_POINT('',(-10.774156,0.2,0.191999999999997)); #244217=CARTESIAN_POINT('',(-10.774156,0.2,-0.0580000000032829)); #244218=CARTESIAN_POINT('',(-10.774156,0.18,-0.116000000000014)); #244219=CARTESIAN_POINT('',(-10.774156,0.2,-0.116000000000014)); #244220=CARTESIAN_POINT('',(-10.774156,0.18,0.191999999999997)); #244221=CARTESIAN_POINT('',(-10.774156,0.18,-0.116000000000014)); #244222=CARTESIAN_POINT('',(-10.774156,0.2,0.191999999999997)); #244223=CARTESIAN_POINT('Origin',(-10.466161,0.2,-0.116000000000014)); #244224=CARTESIAN_POINT('',(-5.23308049999672,0.2,-0.116000000000014)); #244225=CARTESIAN_POINT('',(-10.466161,0.18,-0.116000000000014)); #244226=CARTESIAN_POINT('Origin',(-10.466161,0.2,-0.423989999999996)); #244227=CARTESIAN_POINT('',(-10.466161,0.2,-0.423989999999996)); #244228=CARTESIAN_POINT('',(-10.466161,0.2,-0.211995000003274)); #244229=CARTESIAN_POINT('',(-10.466161,0.18,-0.423989999999996)); #244230=CARTESIAN_POINT('',(-10.466161,0.2,-0.423989999999996)); #244231=CARTESIAN_POINT('',(-10.466161,0.18,-0.423989999999996)); #244232=CARTESIAN_POINT('Origin',(-11.082151,0.2,-0.423989999999996)); #244233=CARTESIAN_POINT('',(-11.082151,0.2,-0.423989999999996)); #244234=CARTESIAN_POINT('',(-5.54107549999672,0.2,-0.423989999999996)); #244235=CARTESIAN_POINT('',(-11.082151,0.18,-0.423989999999996)); #244236=CARTESIAN_POINT('',(-11.082151,0.2,-0.423989999999996)); #244237=CARTESIAN_POINT('',(-10.774156,0.18,-0.423989999999996)); #244238=CARTESIAN_POINT('Origin',(-11.082151,0.2,0.191999999999997)); #244239=CARTESIAN_POINT('',(-11.082151,0.2,0.191999999999997)); #244240=CARTESIAN_POINT('',(-11.082151,0.2,0.0959999999967223)); #244241=CARTESIAN_POINT('',(-11.082151,0.18,0.191999999999997)); #244242=CARTESIAN_POINT('',(-11.082151,0.2,0.191999999999997)); #244243=CARTESIAN_POINT('',(-11.082151,0.18,-0.116000000000014)); #244244=CARTESIAN_POINT('Origin',(-10.774156,0.2,0.191999999999997)); #244245=CARTESIAN_POINT('',(-5.38707799999673,0.2,0.191999999999997)); #244246=CARTESIAN_POINT('',(-10.774156,0.18,0.191999999999997)); #244247=CARTESIAN_POINT('Origin',(-10.774156,0.18,-0.115994999999991)); #244248=CARTESIAN_POINT('Origin',(-9.234178,0.2,-0.423989999999996)); #244249=CARTESIAN_POINT('',(-9.234178,0.2,-0.731989999999989)); #244250=CARTESIAN_POINT('',(-9.234178,0.2,-0.211995000003274)); #244251=CARTESIAN_POINT('',(-9.234178,0.18,-0.731989999999989)); #244252=CARTESIAN_POINT('',(-9.234178,0.18,-0.423989999999996)); #244253=CARTESIAN_POINT('',(-9.234178,0.2,-0.731989999999989)); #244254=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,-0.423989999999996)); #244255=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.423989999999996)); #244256=CARTESIAN_POINT('',(-4.46309349999673,0.2,-0.423989999999996)); #244257=CARTESIAN_POINT('',(-8.92618700000001,0.18,-0.423989999999996)); #244258=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.423989999999996)); #244259=CARTESIAN_POINT('',(-8.92618700000001,0.18,-0.423989999999996)); #244260=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,-0.731989999999989)); #244261=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.731989999999989)); #244262=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.365995000003271)); #244263=CARTESIAN_POINT('',(-8.92618700000001,0.18,-0.731989999999989)); #244264=CARTESIAN_POINT('',(-8.92618700000001,0.2,-0.731989999999989)); #244265=CARTESIAN_POINT('',(-8.92618700000001,0.18,-0.731989999999989)); #244266=CARTESIAN_POINT('Origin',(-9.234178,0.2,-0.731989999999989)); #244267=CARTESIAN_POINT('',(-4.61708899999673,0.2,-0.731989999999989)); #244268=CARTESIAN_POINT('',(-9.234178,0.18,-0.731989999999989)); #244269=CARTESIAN_POINT('Origin',(-9.0801825,0.18,-0.577989999999993)); #244270=CARTESIAN_POINT('Origin',(-10.158167,0.2,-0.423989999999996)); #244271=CARTESIAN_POINT('',(-10.158167,0.2,-0.423989999999996)); #244272=CARTESIAN_POINT('',(-10.158167,0.2,-0.731989999999989)); #244273=CARTESIAN_POINT('',(-10.158167,0.2,-0.211995000003274)); #244274=CARTESIAN_POINT('',(-10.158167,0.18,-0.423989999999996)); #244275=CARTESIAN_POINT('',(-10.158167,0.2,-0.423989999999996)); #244276=CARTESIAN_POINT('',(-10.158167,0.18,-0.731989999999989)); #244277=CARTESIAN_POINT('',(-10.158167,0.18,-0.423989999999996)); #244278=CARTESIAN_POINT('',(-10.158167,0.2,-0.731989999999989)); #244279=CARTESIAN_POINT('Origin',(-9.850168,0.2,-0.423989999999996)); #244280=CARTESIAN_POINT('',(-4.92508399999673,0.2,-0.423989999999996)); #244281=CARTESIAN_POINT('',(-9.850168,0.18,-0.423989999999996)); #244282=CARTESIAN_POINT('Origin',(-9.850168,0.2,-0.731989999999989)); #244283=CARTESIAN_POINT('',(-9.850168,0.2,-0.731989999999989)); #244284=CARTESIAN_POINT('',(-9.850168,0.2,-0.365995000003271)); #244285=CARTESIAN_POINT('',(-9.850168,0.18,-0.731989999999989)); #244286=CARTESIAN_POINT('',(-9.850168,0.2,-0.731989999999989)); #244287=CARTESIAN_POINT('',(-9.850168,0.18,-0.731989999999989)); #244288=CARTESIAN_POINT('Origin',(-10.158167,0.2,-0.731989999999989)); #244289=CARTESIAN_POINT('',(-5.07908349999673,0.2,-0.731989999999989)); #244290=CARTESIAN_POINT('',(-10.158167,0.18,-0.731989999999989)); #244291=CARTESIAN_POINT('Origin',(-10.0041675,0.18,-0.577989999999993)); #244292=CARTESIAN_POINT('Origin',(-10.774156,0.2,1.42398)); #244293=CARTESIAN_POINT('',(-10.774156,0.2,1.42398)); #244294=CARTESIAN_POINT('',(-10.774156,0.2,2.96394999999999)); #244295=CARTESIAN_POINT('',(-10.774156,0.2,0.711989999996724)); #244296=CARTESIAN_POINT('',(-10.774156,0.18,1.42398)); #244297=CARTESIAN_POINT('',(-10.774156,0.2,1.42398)); #244298=CARTESIAN_POINT('',(-10.774156,0.18,2.96394999999999)); #244299=CARTESIAN_POINT('',(-10.774156,0.18,1.42398)); #244300=CARTESIAN_POINT('',(-10.774156,0.2,2.96394999999999)); #244301=CARTESIAN_POINT('Origin',(-9.234178,0.2,1.42398)); #244302=CARTESIAN_POINT('',(-9.234178,0.2,1.42398)); #244303=CARTESIAN_POINT('',(-4.61708899999673,0.2,1.42398)); #244304=CARTESIAN_POINT('',(-9.234178,0.18,1.42398)); #244305=CARTESIAN_POINT('',(-9.234178,0.2,1.42398)); #244306=CARTESIAN_POINT('',(-9.234178,0.18,1.42398)); #244307=CARTESIAN_POINT('Origin',(-9.234178,0.2,2.96394999999999)); #244308=CARTESIAN_POINT('',(-9.234178,0.2,2.96394999999999)); #244309=CARTESIAN_POINT('',(-9.234178,0.2,1.48197499999672)); #244310=CARTESIAN_POINT('',(-9.234178,0.18,2.96394999999999)); #244311=CARTESIAN_POINT('',(-9.234178,0.2,2.96394999999999)); #244312=CARTESIAN_POINT('',(-9.234178,0.18,2.96394999999999)); #244313=CARTESIAN_POINT('Origin',(-10.774156,0.2,2.96394999999999)); #244314=CARTESIAN_POINT('',(-5.38707799999673,0.2,2.96394999999999)); #244315=CARTESIAN_POINT('',(-10.774156,0.18,2.96394999999999)); #244316=CARTESIAN_POINT('Origin',(-11.082151,0.2,1.11599)); #244317=CARTESIAN_POINT('',(-11.082151,0.2,1.11599)); #244318=CARTESIAN_POINT('',(-8.92618700000001,0.2,1.11599)); #244319=CARTESIAN_POINT('',(-5.54107549999672,0.2,1.11599)); #244320=CARTESIAN_POINT('',(-11.082151,0.18,1.11599)); #244321=CARTESIAN_POINT('',(-11.082151,0.2,1.11599)); #244322=CARTESIAN_POINT('',(-8.92618700000001,0.18,1.11599)); #244323=CARTESIAN_POINT('',(-9.234178,0.18,1.11599)); #244324=CARTESIAN_POINT('',(-8.92618700000001,0.2,1.11599)); #244325=CARTESIAN_POINT('Origin',(-11.082151,0.2,3.27195)); #244326=CARTESIAN_POINT('',(-11.082151,0.2,3.27195)); #244327=CARTESIAN_POINT('',(-11.082151,0.2,1.63597499999672)); #244328=CARTESIAN_POINT('',(-11.082151,0.18,3.27195)); #244329=CARTESIAN_POINT('',(-11.082151,0.2,3.27195)); #244330=CARTESIAN_POINT('',(-11.082151,0.18,1.42398)); #244331=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,3.27195)); #244332=CARTESIAN_POINT('',(-8.92618700000001,0.2,3.27195)); #244333=CARTESIAN_POINT('',(-4.46309349999673,0.2,3.27195)); #244334=CARTESIAN_POINT('',(-8.92618700000001,0.18,3.27195)); #244335=CARTESIAN_POINT('',(-8.92618700000001,0.2,3.27195)); #244336=CARTESIAN_POINT('',(-10.774156,0.18,3.27195)); #244337=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,1.11599)); #244338=CARTESIAN_POINT('',(-8.92618700000001,0.2,0.557994999996724)); #244339=CARTESIAN_POINT('',(-8.92618700000001,0.18,2.96394999999999)); #244340=CARTESIAN_POINT('Origin',(-10.004169,0.18,2.19397000000001)); #244341=CARTESIAN_POINT('Origin',(7.658385,0.2,-1.83455)); #244342=CARTESIAN_POINT('',(7.658385,0.2,-1.83455)); #244343=CARTESIAN_POINT('',(7.66034500000001,0.2,-1.84033000000003)); #244344=CARTESIAN_POINT('',(6.98450980730402,0.2,0.152694190706929)); #244345=CARTESIAN_POINT('',(7.658385,0.18,-1.83455)); #244346=CARTESIAN_POINT('',(7.658385,0.2,-1.83455)); #244347=CARTESIAN_POINT('',(7.66034500000001,0.18,-1.84033000000003)); #244348=CARTESIAN_POINT('',(7.66034500000001,0.18,-1.84033000000003)); #244349=CARTESIAN_POINT('',(7.66034500000001,0.2,-1.84033000000003)); #244350=CARTESIAN_POINT('Origin',(7.656958,0.2,-1.82841000000002)); #244351=CARTESIAN_POINT('',(7.656958,0.2,-1.82841000000002)); #244352=CARTESIAN_POINT('',(7.25917930710877,0.2,-0.116874488889966)); #244353=CARTESIAN_POINT('',(7.656958,0.18,-1.82841000000002)); #244354=CARTESIAN_POINT('',(7.656958,0.2,-1.82841000000002)); #244355=CARTESIAN_POINT('',(7.658385,0.18,-1.83455)); #244356=CARTESIAN_POINT('Origin',(7.656088,0.2,-1.82192000000001)); #244357=CARTESIAN_POINT('',(7.656088,0.2,-1.82192000000001)); #244358=CARTESIAN_POINT('',(7.46855155967913,0.2,-0.422941267039421)); #244359=CARTESIAN_POINT('',(7.656088,0.18,-1.82192000000001)); #244360=CARTESIAN_POINT('',(7.656088,0.2,-1.82192000000001)); #244361=CARTESIAN_POINT('',(7.656958,0.18,-1.82841000000002)); #244362=CARTESIAN_POINT('Origin',(7.655798,0.2,-1.81510000000001)); #244363=CARTESIAN_POINT('',(7.655798,0.2,-1.81510000000001)); #244364=CARTESIAN_POINT('',(7.61036800641974,0.2,-0.746711875099018)); #244365=CARTESIAN_POINT('',(7.655798,0.18,-1.81510000000001)); #244366=CARTESIAN_POINT('',(7.655798,0.2,-1.81510000000001)); #244367=CARTESIAN_POINT('',(7.656088,0.18,-1.82192000000001)); #244368=CARTESIAN_POINT('Origin',(7.655798,0.2,-0.551330000000014)); #244369=CARTESIAN_POINT('',(7.655798,0.2,-0.551330000000014)); #244370=CARTESIAN_POINT('',(7.655798,0.2,-0.275665000003283)); #244371=CARTESIAN_POINT('',(7.655798,0.18,-0.551330000000014)); #244372=CARTESIAN_POINT('',(7.655798,0.2,-0.551330000000014)); #244373=CARTESIAN_POINT('',(7.655798,0.18,-1.81510000000001)); #244374=CARTESIAN_POINT('Origin',(8.11742909172506,0.2,-0.457003647308305)); #244375=CARTESIAN_POINT('',(7.659087,0.2,-0.347810000000024)); #244376=CARTESIAN_POINT('Origin',(8.11742909172506,0.2,-0.457003647308305)); #244377=CARTESIAN_POINT('',(7.659087,0.18,-0.347810000000024)); #244378=CARTESIAN_POINT('',(7.659087,0.2,-0.347810000000024)); #244379=CARTESIAN_POINT('Origin',(8.11742909172506,0.18,-0.457003647308305)); #244380=CARTESIAN_POINT('Origin',(7.66436600000001,0.2,-0.337250000000004)); #244381=CARTESIAN_POINT('',(7.66436600000001,0.2,-0.337250000000004)); #244382=CARTESIAN_POINT('',(6.9656039832751,0.2,-1.73503876616909)); #244383=CARTESIAN_POINT('',(7.66436600000001,0.18,-0.337250000000004)); #244384=CARTESIAN_POINT('',(7.66436600000001,0.2,-0.337250000000004)); #244385=CARTESIAN_POINT('',(7.659087,0.18,-0.347810000000024)); #244386=CARTESIAN_POINT('Origin',(7.67185799999999,0.2,-0.328660000000021)); #244387=CARTESIAN_POINT('',(7.67185799999999,0.2,-0.328660000000021)); #244388=CARTESIAN_POINT('',(6.09597925854226,0.2,-2.13549374120885)); #244389=CARTESIAN_POINT('',(7.67185799999999,0.18,-0.328660000000021)); #244390=CARTESIAN_POINT('',(7.67185799999999,0.2,-0.328660000000021)); #244391=CARTESIAN_POINT('',(7.66436600000001,0.18,-0.337250000000004)); #244392=CARTESIAN_POINT('Origin',(7.681364,0.2,-0.321830000000016)); #244393=CARTESIAN_POINT('',(7.681364,0.2,-0.321830000000016)); #244394=CARTESIAN_POINT('',(5.22457435467097,0.2,-2.0870175949491)); #244395=CARTESIAN_POINT('',(7.681364,0.18,-0.321830000000016)); #244396=CARTESIAN_POINT('',(7.681364,0.2,-0.321830000000016)); #244397=CARTESIAN_POINT('',(7.67185799999999,0.18,-0.328660000000021)); #244398=CARTESIAN_POINT('Origin',(7.69264799999999,0.2,-0.31658000000002)); #244399=CARTESIAN_POINT('',(7.69264799999999,0.2,-0.31658000000002)); #244400=CARTESIAN_POINT('',(4.59130884045326,0.2,-1.75951075040994)); #244401=CARTESIAN_POINT('',(7.69264799999999,0.18,-0.31658000000002)); #244402=CARTESIAN_POINT('',(7.69264799999999,0.2,-0.31658000000002)); #244403=CARTESIAN_POINT('',(7.681364,0.18,-0.321830000000016)); #244404=CARTESIAN_POINT('Origin',(7.7863409129358,0.2,-0.529139050982934)); #244405=CARTESIAN_POINT('',(7.91413699999999,0.2,-0.335160000000023)); #244406=CARTESIAN_POINT('Origin',(7.7863409129358,0.2,-0.529139050982934)); #244407=CARTESIAN_POINT('',(7.91413699999999,0.18,-0.335160000000023)); #244408=CARTESIAN_POINT('',(7.91413699999999,0.2,-0.335160000000023)); #244409=CARTESIAN_POINT('Origin',(7.7863409129358,0.18,-0.529139050982934)); #244410=CARTESIAN_POINT('Origin',(7.918295,0.2,-0.346390000000003)); #244411=CARTESIAN_POINT('',(7.918295,0.2,-0.346390000000003)); #244412=CARTESIAN_POINT('',(7.38457261537191,0.2,1.09509686372717)); #244413=CARTESIAN_POINT('',(7.918295,0.18,-0.346390000000003)); #244414=CARTESIAN_POINT('',(7.918295,0.2,-0.346390000000003)); #244415=CARTESIAN_POINT('',(7.91413700000001,0.18,-0.335160000000023)); #244416=CARTESIAN_POINT('Origin',(7.91945500000002,0.2,-0.352910000000026)); #244417=CARTESIAN_POINT('',(7.91945500000002,0.2,-0.352910000000026)); #244418=CARTESIAN_POINT('',(7.76753111774605,0.2,0.501006993346741)); #244419=CARTESIAN_POINT('',(7.91945500000002,0.18,-0.352910000000026)); #244420=CARTESIAN_POINT('',(7.91945500000002,0.2,-0.352910000000026)); #244421=CARTESIAN_POINT('',(7.918295,0.18,-0.346390000000003)); #244422=CARTESIAN_POINT('Origin',(7.91997400000001,0.2,-0.360040000000001)); #244423=CARTESIAN_POINT('',(7.91997400000002,0.2,-0.360040000000001)); #244424=CARTESIAN_POINT('',(7.88606769118885,0.2,0.105763433193485)); #244425=CARTESIAN_POINT('',(7.91997400000002,0.18,-0.360040000000001)); #244426=CARTESIAN_POINT('',(7.91997400000002,0.2,-0.360040000000001)); #244427=CARTESIAN_POINT('',(7.91945500000002,0.18,-0.352910000000026)); #244428=CARTESIAN_POINT('Origin',(-254.970599215401,0.2,-1.07727820926497)); #244429=CARTESIAN_POINT('',(7.91985200000004,0.2,-1.83792000000121)); #244430=CARTESIAN_POINT('Origin',(-254.970599215401,0.2,-1.07727820926497)); #244431=CARTESIAN_POINT('',(7.91985200000004,0.18,-1.83792000000121)); #244432=CARTESIAN_POINT('',(7.91985200000004,0.2,-1.83792000000121)); #244433=CARTESIAN_POINT('Origin',(-254.970599215401,0.18,-1.07727820926497)); #244434=CARTESIAN_POINT('Origin',(7.917128,0.2,-1.84736000000003)); #244435=CARTESIAN_POINT('',(7.917128,0.2,-1.84736000000003)); #244436=CARTESIAN_POINT('',(7.85889742994078,0.2,-2.04915757024975)); #244437=CARTESIAN_POINT('',(7.917128,0.18,-1.84736000000003)); #244438=CARTESIAN_POINT('',(7.917128,0.2,-1.84736000000003)); #244439=CARTESIAN_POINT('',(7.919852,0.18,-1.83792)); #244440=CARTESIAN_POINT('Origin',(7.911253,0.2,-1.85518000000002)); #244441=CARTESIAN_POINT('',(7.911253,0.2,-1.85518000000002)); #244442=CARTESIAN_POINT('',(6.92957581643463,0.2,-3.16185499156999)); #244443=CARTESIAN_POINT('',(7.911253,0.18,-1.85518000000002)); #244444=CARTESIAN_POINT('',(7.911253,0.2,-1.85518000000002)); #244445=CARTESIAN_POINT('',(7.917128,0.18,-1.84736000000003)); #244446=CARTESIAN_POINT('Origin',(7.90259399999999,0.2,-1.86153000000001)); #244447=CARTESIAN_POINT('',(7.90259399999999,0.2,-1.86153000000001)); #244448=CARTESIAN_POINT('',(5.77699114719976,0.2,-3.42032179065111)); #244449=CARTESIAN_POINT('',(7.90259399999999,0.18,-1.86153000000001)); #244450=CARTESIAN_POINT('',(7.90259399999999,0.2,-1.86153000000001)); #244451=CARTESIAN_POINT('',(7.911253,0.18,-1.85518000000002)); #244452=CARTESIAN_POINT('Origin',(7.891524,0.2,-1.86654000000001)); #244453=CARTESIAN_POINT('',(7.891524,0.2,-1.86654000000001)); #244454=CARTESIAN_POINT('',(4.96712288770693,0.2,-3.19004944648541)); #244455=CARTESIAN_POINT('',(7.891524,0.18,-1.86654000000001)); #244456=CARTESIAN_POINT('',(7.891524,0.2,-1.86654000000001)); #244457=CARTESIAN_POINT('',(7.90259399999999,0.18,-1.86153000000001)); #244458=CARTESIAN_POINT('Origin',(7.79015059464553,0.2,-1.62553414529834)); #244459=CARTESIAN_POINT('',(7.67322400000002,0.2,-1.85939000000001)); #244460=CARTESIAN_POINT('Origin',(7.79015059464553,0.2,-1.62553414529834)); #244461=CARTESIAN_POINT('',(7.67322400000002,0.18,-1.85939000000001)); #244462=CARTESIAN_POINT('',(7.67322400000002,0.2,-1.85939000000001)); #244463=CARTESIAN_POINT('Origin',(7.79015059464553,0.18,-1.62553414529834)); #244464=CARTESIAN_POINT('Origin',(7.669287,0.2,-1.85527)); #244465=CARTESIAN_POINT('',(7.669287,0.2,-1.85527)); #244466=CARTESIAN_POINT('',(5.37567805457212,0.2,0.544950689645935)); #244467=CARTESIAN_POINT('',(7.669287,0.18,-1.85527)); #244468=CARTESIAN_POINT('',(7.669287,0.2,-1.85527)); #244469=CARTESIAN_POINT('',(7.67322400000002,0.18,-1.85939000000001)); #244470=CARTESIAN_POINT('Origin',(7.66581599999999,0.2,-1.85070000000001)); #244471=CARTESIAN_POINT('',(7.66581599999999,0.2,-1.85070000000001)); #244472=CARTESIAN_POINT('',(5.81791021024761,0.2,0.582296098856423)); #244473=CARTESIAN_POINT('',(7.66581599999999,0.18,-1.85070000000001)); #244474=CARTESIAN_POINT('',(7.66581599999999,0.2,-1.85070000000001)); #244475=CARTESIAN_POINT('',(7.669287,0.18,-1.85527)); #244476=CARTESIAN_POINT('Origin',(7.662833,0.2,-1.84571999999999)); #244477=CARTESIAN_POINT('',(7.662833,0.2,-1.84571999999999)); #244478=CARTESIAN_POINT('',(6.24430747907377,0.2,0.52245200612365)); #244479=CARTESIAN_POINT('',(7.662833,0.18,-1.84571999999999)); #244480=CARTESIAN_POINT('',(7.662833,0.2,-1.84571999999999)); #244481=CARTESIAN_POINT('',(7.66581599999999,0.18,-1.85070000000001)); #244482=CARTESIAN_POINT('Origin',(7.66034500000001,0.2,-1.84033000000003)); #244483=CARTESIAN_POINT('',(6.63745251838547,0.2,0.375662956540649)); #244484=CARTESIAN_POINT('',(7.662833,0.18,-1.84571999999999)); #244485=CARTESIAN_POINT('Origin',(7.7836059861957,0.18,-1.0919195477444)); #244486=CARTESIAN_POINT('Origin',(1.25670500000001,0.2,-1.20111000000001)); #244487=CARTESIAN_POINT('',(1.25670500000001,0.2,-1.20111000000001)); #244488=CARTESIAN_POINT('',(1.27829599999999,0.2,-1.20090000000001)); #244489=CARTESIAN_POINT('',(0.634252547252735,0.2,-1.20716414362832)); #244490=CARTESIAN_POINT('',(1.25670500000001,0.18,-1.20111000000001)); #244491=CARTESIAN_POINT('',(1.25670500000001,0.2,-1.20111000000001)); #244492=CARTESIAN_POINT('',(1.27829599999999,0.18,-1.20090000000001)); #244493=CARTESIAN_POINT('',(1.27829599999999,0.18,-1.20090000000001)); #244494=CARTESIAN_POINT('',(1.27829599999999,0.2,-1.20090000000001)); #244495=CARTESIAN_POINT('Origin',(1.25670500000001,0.2,-1.34443000000001)); #244496=CARTESIAN_POINT('',(1.25670500000001,0.2,-1.34443000000001)); #244497=CARTESIAN_POINT('',(1.25670500000001,0.2,-0.672215000003281)); #244498=CARTESIAN_POINT('',(1.25670500000001,0.18,-1.34443000000001)); #244499=CARTESIAN_POINT('',(1.25670500000001,0.2,-1.34443000000001)); #244500=CARTESIAN_POINT('',(1.25670500000001,0.18,-1.33943000000002)); #244501=CARTESIAN_POINT('Origin',(1.25760500000001,0.2,-1.38086000000003)); #244502=CARTESIAN_POINT('',(1.25760500000001,0.2,-1.38086000000003)); #244503=CARTESIAN_POINT('',(1.2401748459828,0.2,-0.675326099059505)); #244504=CARTESIAN_POINT('',(1.25760500000001,0.18,-1.38086000000003)); #244505=CARTESIAN_POINT('',(1.25760500000001,0.2,-1.38086000000003)); #244506=CARTESIAN_POINT('',(1.25670500000001,0.18,-1.34443000000001)); #244507=CARTESIAN_POINT('Origin',(1.46129600573888,0.2,-1.4076902359071)); #244508=CARTESIAN_POINT('',(1.29933,0.2,-1.53409)); #244509=CARTESIAN_POINT('Origin',(1.46129600573888,0.2,-1.4076902359071)); #244510=CARTESIAN_POINT('',(1.29933,0.18,-1.53409)); #244511=CARTESIAN_POINT('',(1.29933,0.2,-1.53409)); #244512=CARTESIAN_POINT('Origin',(1.46129600573888,0.18,-1.4076902359071)); #244513=CARTESIAN_POINT('Origin',(1.4600623123454,0.2,-1.40615526277051)); #244514=CARTESIAN_POINT('',(1.438574,0.2,-1.61046000000002)); #244515=CARTESIAN_POINT('Origin',(1.4600623123454,0.2,-1.40615526277051)); #244516=CARTESIAN_POINT('',(1.438574,0.18,-1.61046000000002)); #244517=CARTESIAN_POINT('',(1.438574,0.2,-1.61046000000002)); #244518=CARTESIAN_POINT('Origin',(1.4600623123454,0.18,-1.40615526277051)); #244519=CARTESIAN_POINT('Origin',(1.74460798381372,0.2,0.167235245601134)); #244520=CARTESIAN_POINT('',(2.158263,0.2,-1.58854000000002)); #244521=CARTESIAN_POINT('Origin',(1.74460798381372,0.2,0.167235245601134)); #244522=CARTESIAN_POINT('',(2.158263,0.18,-1.58854000000002)); #244523=CARTESIAN_POINT('',(2.158263,0.2,-1.58854000000002)); #244524=CARTESIAN_POINT('Origin',(1.74460798381372,0.18,0.167235245601134)); #244525=CARTESIAN_POINT('Origin',(2.01936459113049,0.2,-1.3781000903036)); #244526=CARTESIAN_POINT('',(2.26565400000001,0.2,-1.32406999999999)); #244527=CARTESIAN_POINT('Origin',(2.01936459113049,0.2,-1.3781000903036)); #244528=CARTESIAN_POINT('',(2.26565400000001,0.18,-1.32406999999999)); #244529=CARTESIAN_POINT('',(2.26565400000001,0.2,-1.32406999999999)); #244530=CARTESIAN_POINT('Origin',(2.01936459113049,0.18,-1.3781000903036)); #244531=CARTESIAN_POINT('Origin',(-1.48938139357499,0.2,-1.21851487156414)); #244532=CARTESIAN_POINT('',(2.26709599999999,0.2,-1.20090000000001)); #244533=CARTESIAN_POINT('Origin',(-1.48938139357499,0.2,-1.21851487156414)); #244534=CARTESIAN_POINT('',(2.26709599999999,0.18,-1.20090000000001)); #244535=CARTESIAN_POINT('',(2.26709599999999,0.2,-1.20090000000001)); #244536=CARTESIAN_POINT('Origin',(-1.48938139357499,0.18,-1.21851487156414)); #244537=CARTESIAN_POINT('Origin',(1.27829599999999,0.2,-1.20090000000001)); #244538=CARTESIAN_POINT('',(0.639148000003271,0.2,-1.20090000000001)); #244539=CARTESIAN_POINT('',(2.26709599999999,0.18,-1.20090000000001)); #244540=CARTESIAN_POINT('Origin',(2.28781764633859,0.2,-0.225921014734585)); #244541=CARTESIAN_POINT('',(2.259566,0.2,-0.567000000000029)); #244542=CARTESIAN_POINT('',(2.379065,0.2,-0.555780000000006)); #244543=CARTESIAN_POINT('Origin',(2.28781764633859,0.2,-0.225921014734585)); #244544=CARTESIAN_POINT('',(2.259566,0.18,-0.567000000000029)); #244545=CARTESIAN_POINT('',(2.259566,0.2,-0.567000000000029)); #244546=CARTESIAN_POINT('',(2.379065,0.18,-0.555780000000006)); #244547=CARTESIAN_POINT('Origin',(2.28781764633859,0.18,-0.225921014734585)); #244548=CARTESIAN_POINT('',(2.379065,0.2,-0.555780000000006)); #244549=CARTESIAN_POINT('Origin',(2.00337321518785,0.2,30.6379563033395)); #244550=CARTESIAN_POINT('',(1.53656600000029,0.2,-0.564560000000025)); #244551=CARTESIAN_POINT('Origin',(2.00337321518785,0.2,30.6379563033395)); #244552=CARTESIAN_POINT('',(1.53656600000029,0.18,-0.564560000000025)); #244553=CARTESIAN_POINT('',(1.53656600000029,0.2,-0.564560000000025)); #244554=CARTESIAN_POINT('Origin',(2.00337321518785,0.18,30.6379563033395)); #244555=CARTESIAN_POINT('Origin',(1.490057,0.2,-0.566420000000001)); #244556=CARTESIAN_POINT('',(1.490057,0.2,-0.566420000000001)); #244557=CARTESIAN_POINT('',(0.757526303383677,0.2,-0.595715557756595)); #244558=CARTESIAN_POINT('',(1.490057,0.18,-0.566420000000001)); #244559=CARTESIAN_POINT('',(1.490057,0.2,-0.566420000000001)); #244560=CARTESIAN_POINT('',(1.53656600000001,0.18,-0.564560000000007)); #244561=CARTESIAN_POINT('Origin',(1.47306456362085,0.2,-0.779552767966774)); #244562=CARTESIAN_POINT('',(1.33148000000001,0.2,-0.619340000000008)); #244563=CARTESIAN_POINT('Origin',(1.47306456362085,0.2,-0.779552767966774)); #244564=CARTESIAN_POINT('',(1.33148000000001,0.18,-0.619340000000008)); #244565=CARTESIAN_POINT('',(1.33148000000001,0.2,-0.619340000000008)); #244566=CARTESIAN_POINT('Origin',(1.47306456362085,0.18,-0.779552767966774)); #244567=CARTESIAN_POINT('Origin',(1.47475419028448,0.2,-0.778252041240517)); #244568=CARTESIAN_POINT('',(1.26091600000001,0.2,-0.770920000000004)); #244569=CARTESIAN_POINT('Origin',(1.47475419028448,0.2,-0.778252041240517)); #244570=CARTESIAN_POINT('',(1.26091600000001,0.18,-0.770920000000004)); #244571=CARTESIAN_POINT('',(1.26091600000001,0.2,-0.770920000000004)); #244572=CARTESIAN_POINT('Origin',(1.47475419028448,0.18,-0.778252041240517)); #244573=CARTESIAN_POINT('Origin',(2.56211256182562,0.2,-0.886935932508734)); #244574=CARTESIAN_POINT('',(1.257613,0.2,-0.956600000000005)); #244575=CARTESIAN_POINT('Origin',(2.56211256182562,0.2,-0.886935932508734)); #244576=CARTESIAN_POINT('',(1.257613,0.18,-0.956600000000005)); #244577=CARTESIAN_POINT('',(1.257613,0.2,-0.956600000000005)); #244578=CARTESIAN_POINT('Origin',(2.56211256182562,0.18,-0.886935932508734)); #244579=CARTESIAN_POINT('Origin',(2.481406,0.2,-0.956660000000014)); #244580=CARTESIAN_POINT('',(2.481406,0.2,-0.956660000000014)); #244581=CARTESIAN_POINT('',(1.24067955147057,0.2,-0.956599169788595)); #244582=CARTESIAN_POINT('',(2.481406,0.18,-0.956660000000014)); #244583=CARTESIAN_POINT('',(2.481406,0.2,-0.956660000000014)); #244584=CARTESIAN_POINT('',(1.257613,0.18,-0.956600000000005)); #244585=CARTESIAN_POINT('Origin',(2.487204,0.2,-0.957170000000005)); #244586=CARTESIAN_POINT('',(2.487204,0.2,-0.957170000000005)); #244587=CARTESIAN_POINT('',(1.21137634582074,0.2,-0.844946456774628)); #244588=CARTESIAN_POINT('',(2.487204,0.18,-0.957170000000005)); #244589=CARTESIAN_POINT('',(2.487204,0.2,-0.957170000000005)); #244590=CARTESIAN_POINT('',(2.481406,0.18,-0.956660000000014)); #244591=CARTESIAN_POINT('Origin',(2.49272,0.2,-0.958140000000007)); #244592=CARTESIAN_POINT('',(2.49272,0.2,-0.958140000000007)); #244593=CARTESIAN_POINT('',(1.20202784912591,0.2,-0.731169117775627)); #244594=CARTESIAN_POINT('',(2.49272,0.18,-0.958140000000007)); #244595=CARTESIAN_POINT('',(2.49272,0.2,-0.958140000000007)); #244596=CARTESIAN_POINT('',(2.487204,0.18,-0.957170000000005)); #244597=CARTESIAN_POINT('Origin',(2.50282099999999,0.2,-0.961490000000005)); #244598=CARTESIAN_POINT('',(2.50282099999999,0.2,-0.961490000000005)); #244599=CARTESIAN_POINT('',(1.23177597283719,0.2,-0.539947495198627)); #244600=CARTESIAN_POINT('',(2.50282099999999,0.18,-0.961490000000005)); #244601=CARTESIAN_POINT('',(2.50282099999999,0.2,-0.961490000000005)); #244602=CARTESIAN_POINT('',(2.49272,0.18,-0.958140000000007)); #244603=CARTESIAN_POINT('Origin',(2.51158,0.2,-0.966589999999989)); #244604=CARTESIAN_POINT('',(2.51158,0.2,-0.966589999999989)); #244605=CARTESIAN_POINT('',(1.3635858391245,0.2,-0.29816093042148)); #244606=CARTESIAN_POINT('',(2.51158,0.18,-0.966589999999989)); #244607=CARTESIAN_POINT('',(2.51158,0.2,-0.966589999999989)); #244608=CARTESIAN_POINT('',(2.50282099999999,0.18,-0.961490000000005)); #244609=CARTESIAN_POINT('Origin',(2.518881,0.2,-0.973310000000023)); #244610=CARTESIAN_POINT('',(2.518881,0.2,-0.973310000000023)); #244611=CARTESIAN_POINT('',(1.59456841138893,0.2,-0.122552487947465)); #244612=CARTESIAN_POINT('',(2.518881,0.18,-0.973310000000023)); #244613=CARTESIAN_POINT('',(2.518881,0.2,-0.973310000000023)); #244614=CARTESIAN_POINT('',(2.51158,0.18,-0.966589999999989)); #244615=CARTESIAN_POINT('Origin',(2.52460300000001,0.2,-0.981530000000017)); #244616=CARTESIAN_POINT('',(2.52460300000001,0.2,-0.981530000000017)); #244617=CARTESIAN_POINT('',(1.88246733982214,0.2,-0.0590631830386194)); #244618=CARTESIAN_POINT('',(2.52460300000001,0.18,-0.981530000000017)); #244619=CARTESIAN_POINT('',(2.52460300000001,0.2,-0.981530000000017)); #244620=CARTESIAN_POINT('',(2.518881,0.18,-0.973310000000023)); #244621=CARTESIAN_POINT('Origin',(2.52861600000001,0.2,-0.991150000000012)); #244622=CARTESIAN_POINT('',(2.52861600000001,0.2,-0.991150000000012)); #244623=CARTESIAN_POINT('',(2.16512909287465,0.2,-0.119795889224147)); #244624=CARTESIAN_POINT('',(2.52861600000001,0.18,-0.991150000000012)); #244625=CARTESIAN_POINT('',(2.52861600000001,0.2,-0.991150000000012)); #244626=CARTESIAN_POINT('',(2.52460300000001,0.18,-0.981530000000017)); #244627=CARTESIAN_POINT('Origin',(1.21712149301294,0.2,-1.19615446110226)); #244628=CARTESIAN_POINT('',(2.469344,0.2,-1.63658999999999)); #244629=CARTESIAN_POINT('Origin',(1.21712149301294,0.2,-1.19615446110226)); #244630=CARTESIAN_POINT('',(2.469344,0.18,-1.63658999999999)); #244631=CARTESIAN_POINT('',(2.469344,0.2,-1.63658999999999)); #244632=CARTESIAN_POINT('Origin',(1.21712149301294,0.18,-1.19615446110226)); #244633=CARTESIAN_POINT('Origin',(2.03251727459245,0.2,-1.3760414955042)); #244634=CARTESIAN_POINT('',(1.932204,0.2,-1.87468000000001)); #244635=CARTESIAN_POINT('Origin',(2.03251727459245,0.2,-1.3760414955042)); #244636=CARTESIAN_POINT('',(1.932204,0.18,-1.87468000000001)); #244637=CARTESIAN_POINT('',(1.932204,0.2,-1.87468000000001)); #244638=CARTESIAN_POINT('Origin',(2.03251727459245,0.18,-1.3760414955042)); #244639=CARTESIAN_POINT('Origin',(1.71626745950594,0.2,-0.172717370782056)); #244640=CARTESIAN_POINT('',(1.250151,0.2,-1.82379000000001)); #244641=CARTESIAN_POINT('Origin',(1.71626745950594,0.2,-0.172717370782056)); #244642=CARTESIAN_POINT('',(1.250151,0.18,-1.82379000000001)); #244643=CARTESIAN_POINT('',(1.250151,0.2,-1.82379000000001)); #244644=CARTESIAN_POINT('Origin',(1.71626745950594,0.18,-0.172717370782056)); #244645=CARTESIAN_POINT('Origin',(1.50424151580099,0.2,-1.36679550723684)); #244646=CARTESIAN_POINT('',(0.987074000000003,0.2,-1.28970000000001)); #244647=CARTESIAN_POINT('Origin',(1.50424151580099,0.2,-1.36679550723684)); #244648=CARTESIAN_POINT('',(0.987074000000003,0.18,-1.28970000000001)); #244649=CARTESIAN_POINT('',(0.987074000000003,0.2,-1.28970000000001)); #244650=CARTESIAN_POINT('Origin',(1.50424151580099,0.18,-1.36679550723684)); #244651=CARTESIAN_POINT('Origin',(3.37404339903887,0.2,-1.21483911366989)); #244652=CARTESIAN_POINT('',(0.98596800000001,0.2,-1.23281000000002)); #244653=CARTESIAN_POINT('Origin',(3.37404339903887,0.2,-1.21483911366989)); #244654=CARTESIAN_POINT('',(0.98596800000001,0.18,-1.23281000000002)); #244655=CARTESIAN_POINT('',(0.98596800000001,0.2,-1.23281000000002)); #244656=CARTESIAN_POINT('Origin',(3.37404339903887,0.18,-1.21483911366989)); #244657=CARTESIAN_POINT('Origin',(0.985357999999996,0.2,-1.08050000000002)); #244658=CARTESIAN_POINT('',(0.985357999999996,0.2,-1.08050000000002)); #244659=CARTESIAN_POINT('',(0.983186436536313,0.2,-0.538285522711631)); #244660=CARTESIAN_POINT('',(0.985357999999996,0.18,-1.08050000000002)); #244661=CARTESIAN_POINT('',(0.985357999999996,0.2,-1.08050000000002)); #244662=CARTESIAN_POINT('',(0.98596800000001,0.18,-1.23281000000002)); #244663=CARTESIAN_POINT('Origin',(1.89965319879895,0.2,-0.933062655111065)); #244664=CARTESIAN_POINT('',(1.094374,0.2,-0.475680000000018)); #244665=CARTESIAN_POINT('Origin',(1.89965319879895,0.2,-0.933062655111065)); #244666=CARTESIAN_POINT('',(1.094374,0.18,-0.475680000000018)); #244667=CARTESIAN_POINT('',(1.094374,0.2,-0.475680000000018)); #244668=CARTESIAN_POINT('Origin',(1.89965319879895,0.18,-0.933062655111065)); #244669=CARTESIAN_POINT('Origin',(1.51021175704685,0.2,-0.895533249059266)); #244670=CARTESIAN_POINT('',(1.585349,0.2,-0.309400000000029)); #244671=CARTESIAN_POINT('Origin',(1.51021175704685,0.2,-0.895533249059266)); #244672=CARTESIAN_POINT('',(1.585349,0.18,-0.309400000000029)); #244673=CARTESIAN_POINT('',(1.585349,0.2,-0.309400000000029)); #244674=CARTESIAN_POINT('Origin',(1.51021175704685,0.18,-0.895533249059266)); #244675=CARTESIAN_POINT('Origin',(2.34630399999999,0.2,-0.309400000000011)); #244676=CARTESIAN_POINT('',(2.34630399999999,0.2,-0.309400000000011)); #244677=CARTESIAN_POINT('',(1.17315200000327,0.2,-0.309400000000011)); #244678=CARTESIAN_POINT('',(2.34630399999999,0.18,-0.309400000000011)); #244679=CARTESIAN_POINT('',(2.34630399999999,0.2,-0.309400000000011)); #244680=CARTESIAN_POINT('',(1.585349,0.18,-0.309400000000011)); #244681=CARTESIAN_POINT('Origin',(2.360587,0.2,-0.31048000000002)); #244682=CARTESIAN_POINT('',(2.360587,0.2,-0.31048000000002)); #244683=CARTESIAN_POINT('',(1.17533186044141,0.2,-0.220857683208503)); #244684=CARTESIAN_POINT('',(2.360587,0.18,-0.31048000000002)); #244685=CARTESIAN_POINT('',(2.360587,0.2,-0.31048000000002)); #244686=CARTESIAN_POINT('',(2.34630399999999,0.18,-0.309400000000011)); #244687=CARTESIAN_POINT('Origin',(2.372565,0.2,-0.31366000000002)); #244688=CARTESIAN_POINT('',(2.372565,0.2,-0.31366000000002)); #244689=CARTESIAN_POINT('',(1.2254953575648,0.2,-0.00912823652158702)); #244690=CARTESIAN_POINT('',(2.372565,0.18,-0.31366000000002)); #244691=CARTESIAN_POINT('',(2.372565,0.2,-0.31366000000002)); #244692=CARTESIAN_POINT('',(2.360587,0.18,-0.31048000000002)); #244693=CARTESIAN_POINT('Origin',(2.38243700000001,0.2,-0.318850000000008)); #244694=CARTESIAN_POINT('',(2.38243700000001,0.2,-0.318850000000008)); #244695=CARTESIAN_POINT('',(1.3835012777456,0.2,0.206319813460707)); #244696=CARTESIAN_POINT('',(2.38243700000001,0.18,-0.318850000000008)); #244697=CARTESIAN_POINT('',(2.38243700000001,0.2,-0.318850000000008)); #244698=CARTESIAN_POINT('',(2.372565,0.18,-0.31366000000002)); #244699=CARTESIAN_POINT('Origin',(2.390379,0.2,-0.325960000000016)); #244700=CARTESIAN_POINT('',(2.390379,0.2,-0.325960000000016)); #244701=CARTESIAN_POINT('',(1.6459277688383,0.2,0.340502887631324)); #244702=CARTESIAN_POINT('',(2.390379,0.18,-0.325960000000016)); #244703=CARTESIAN_POINT('',(2.390379,0.2,-0.325960000000016)); #244704=CARTESIAN_POINT('',(2.38243700000001,0.18,-0.318850000000008)); #244705=CARTESIAN_POINT('Origin',(2.396597,0.2,-0.33488000000002)); #244706=CARTESIAN_POINT('',(2.396597,0.2,-0.33488000000002)); #244707=CARTESIAN_POINT('',(1.92617947067881,0.2,0.33995505332115)); #244708=CARTESIAN_POINT('',(2.396597,0.18,-0.33488000000002)); #244709=CARTESIAN_POINT('',(2.396597,0.2,-0.33488000000002)); #244710=CARTESIAN_POINT('',(2.390379,0.18,-0.325960000000016)); #244711=CARTESIAN_POINT('Origin',(2.401274,0.2,-0.345530000000007)); #244712=CARTESIAN_POINT('',(2.401274,0.2,-0.345530000000007)); #244713=CARTESIAN_POINT('',(2.14355501062428,0.2,0.241322092548564)); #244714=CARTESIAN_POINT('',(2.401274,0.18,-0.345530000000007)); #244715=CARTESIAN_POINT('',(2.401274,0.2,-0.345530000000007)); #244716=CARTESIAN_POINT('',(2.396597,0.18,-0.33488000000002)); #244717=CARTESIAN_POINT('Origin',(2.19616057133935,0.2,-0.435226569605955)); #244718=CARTESIAN_POINT('',(2.38752600000001,0.2,-0.551400000000015)); #244719=CARTESIAN_POINT('Origin',(2.19616057133935,0.2,-0.435226569605955)); #244720=CARTESIAN_POINT('',(2.38752600000001,0.18,-0.551400000000015)); #244721=CARTESIAN_POINT('',(2.38752600000001,0.2,-0.551400000000015)); #244722=CARTESIAN_POINT('Origin',(2.19616057133935,0.18,-0.435226569605955)); #244723=CARTESIAN_POINT('Origin',(2.379065,0.2,-0.555780000000006)); #244724=CARTESIAN_POINT('',(1.55438627421315,0.2,-0.982690863838423)); #244725=CARTESIAN_POINT('',(2.38752600000001,0.18,-0.551400000000015)); #244726=CARTESIAN_POINT('Origin',(1.75904418671712,0.18,-1.0964637193357)); #244727=CARTESIAN_POINT('Origin',(-0.850175000000002,0.2,-0.785620000000016)); #244728=CARTESIAN_POINT('',(-0.850175000000002,0.2,-0.785620000000016)); #244729=CARTESIAN_POINT('',(-0.850229000000002,0.2,-0.784900000000004)); #244730=CARTESIAN_POINT('',(-0.877093217836706,0.2,-0.426710428841004)); #244731=CARTESIAN_POINT('',(-0.850175000000002,0.18,-0.785620000000016)); #244732=CARTESIAN_POINT('',(-0.850175000000002,0.2,-0.785620000000016)); #244733=CARTESIAN_POINT('',(-0.850229000000002,0.18,-0.784900000000004)); #244734=CARTESIAN_POINT('',(-0.850229000000002,0.18,-0.784900000000004)); #244735=CARTESIAN_POINT('',(-0.850229000000002,0.2,-0.784900000000004)); #244736=CARTESIAN_POINT('Origin',(-0.85104499999999,0.2,-0.788440000000001)); #244737=CARTESIAN_POINT('',(-0.85104499999999,0.2,-0.788440000000001)); #244738=CARTESIAN_POINT('',(-0.703012743879178,0.2,-0.308611307742374)); #244739=CARTESIAN_POINT('',(-0.85104499999999,0.18,-0.788440000000001)); #244740=CARTESIAN_POINT('',(-0.85104499999999,0.2,-0.788440000000001)); #244741=CARTESIAN_POINT('',(-0.850175000000002,0.18,-0.785620000000016)); #244742=CARTESIAN_POINT('Origin',(-0.852274,0.2,-0.791710000000023)); #244743=CARTESIAN_POINT('',(-0.852274,0.2,-0.791710000000023)); #244744=CARTESIAN_POINT('',(-0.669166050622794,0.2,-0.304514723789315)); #244745=CARTESIAN_POINT('',(-0.852274,0.18,-0.791710000000023)); #244746=CARTESIAN_POINT('',(-0.852274,0.2,-0.791710000000023)); #244747=CARTESIAN_POINT('',(-0.85104499999999,0.18,-0.788440000000001)); #244748=CARTESIAN_POINT('Origin',(-3.47549623103644,0.2,0.630840398667818)); #244749=CARTESIAN_POINT('',(-1.14048900000002,0.2,-1.22730000000001)); #244750=CARTESIAN_POINT('Origin',(-3.47549623103644,0.2,0.630840398667818)); #244751=CARTESIAN_POINT('',(-1.14048900000002,0.18,-1.22730000000001)); #244752=CARTESIAN_POINT('',(-1.14048900000002,0.2,-1.22730000000001)); #244753=CARTESIAN_POINT('Origin',(-3.47549623103644,0.18,0.630840398667818)); #244754=CARTESIAN_POINT('Origin',(-1.142473,0.2,-1.22245000000001)); #244755=CARTESIAN_POINT('',(-1.142473,0.2,-1.22245000000001)); #244756=CARTESIAN_POINT('',(-1.27477754939071,0.2,-0.899024060207312)); #244757=CARTESIAN_POINT('',(-1.142473,0.18,-1.22245000000001)); #244758=CARTESIAN_POINT('',(-1.142473,0.2,-1.22245000000001)); #244759=CARTESIAN_POINT('',(-1.14048900000001,0.18,-1.22730000000001)); #244760=CARTESIAN_POINT('Origin',(-33.1503011556171,0.2,-21.6260193868474)); #244761=CARTESIAN_POINT('',(-1.41356799999969,0.2,-0.803280000000495)); #244762=CARTESIAN_POINT('Origin',(-33.1503011556171,0.2,-21.6260193868474)); #244763=CARTESIAN_POINT('',(-1.41356799999969,0.18,-0.803280000000495)); #244764=CARTESIAN_POINT('',(-1.41356799999969,0.2,-0.803280000000495)); #244765=CARTESIAN_POINT('Origin',(-33.1503011556171,0.18,-21.6260193868474)); #244766=CARTESIAN_POINT('Origin',(-1.41509399999999,0.2,-0.799000000000003)); #244767=CARTESIAN_POINT('',(-1.41509399999999,0.2,-0.799000000000003)); #244768=CARTESIAN_POINT('',(-1.46166711486686,0.2,-0.668375536282241)); #244769=CARTESIAN_POINT('',(-1.41509399999999,0.18,-0.799000000000003)); #244770=CARTESIAN_POINT('',(-1.41509399999999,0.2,-0.799000000000003)); #244771=CARTESIAN_POINT('',(-1.41356800000001,0.18,-0.803280000000015)); #244772=CARTESIAN_POINT('Origin',(-1.41634499999999,0.2,-0.794519999999999)); #244773=CARTESIAN_POINT('',(-1.41634499999999,0.2,-0.794519999999999)); #244774=CARTESIAN_POINT('',(-1.46802627247112,0.2,-0.609442381559344)); #244775=CARTESIAN_POINT('',(-1.41634499999999,0.18,-0.794519999999999)); #244776=CARTESIAN_POINT('',(-1.41634499999999,0.2,-0.794519999999999)); #244777=CARTESIAN_POINT('',(-1.41509399999999,0.18,-0.799000000000003)); #244778=CARTESIAN_POINT('Origin',(-1.417291,0.2,-0.789830000000009)); #244779=CARTESIAN_POINT('',(-1.417291,0.2,-0.789830000000009)); #244780=CARTESIAN_POINT('',(-1.4661292420775,0.2,-0.54770383156291)); #244781=CARTESIAN_POINT('',(-1.417291,0.18,-0.789830000000009)); #244782=CARTESIAN_POINT('',(-1.417291,0.2,-0.789830000000009)); #244783=CARTESIAN_POINT('',(-1.41634499999999,0.18,-0.794519999999999)); #244784=CARTESIAN_POINT('Origin',(-1.417902,0.2,-0.784900000000004)); #244785=CARTESIAN_POINT('',(-1.417902,0.2,-0.784900000000004)); #244786=CARTESIAN_POINT('',(-1.45507985683241,0.2,-0.484921547980065)); #244787=CARTESIAN_POINT('',(-1.417902,0.18,-0.784900000000004)); #244788=CARTESIAN_POINT('',(-1.417902,0.2,-0.784900000000004)); #244789=CARTESIAN_POINT('',(-1.417291,0.18,-0.789830000000009)); #244790=CARTESIAN_POINT('Origin',(-0.850229000000002,0.2,-0.784900000000004)); #244791=CARTESIAN_POINT('',(-0.425114499996726,0.2,-0.784900000000004)); #244792=CARTESIAN_POINT('',(-1.417902,0.18,-0.784900000000004)); #244793=CARTESIAN_POINT('Origin',(-1.1340385,0.18,-1.0061)); #244794=CARTESIAN_POINT('Origin',(6.31732931487732,0.2,-1.34155819154101)); #244795=CARTESIAN_POINT('',(6.170088,0.2,-1.59325000000001)); #244796=CARTESIAN_POINT('',(6.037901,0.2,-1.25820000000001)); #244797=CARTESIAN_POINT('Origin',(6.31732931487732,0.2,-1.34155819154101)); #244798=CARTESIAN_POINT('',(6.170088,0.18,-1.59325000000001)); #244799=CARTESIAN_POINT('',(6.170088,0.2,-1.59325000000001)); #244800=CARTESIAN_POINT('',(6.037901,0.18,-1.25820000000001)); #244801=CARTESIAN_POINT('Origin',(6.31732931487732,0.18,-1.34155819154101)); #244802=CARTESIAN_POINT('',(6.037901,0.2,-1.25820000000001)); #244803=CARTESIAN_POINT('Origin',(6.3659957964834,0.2,-0.999700575480329)); #244804=CARTESIAN_POINT('',(6.40381500000001,0.2,-1.62360000000001)); #244805=CARTESIAN_POINT('Origin',(6.3659957964834,0.2,-0.999700575480329)); #244806=CARTESIAN_POINT('',(6.40381500000001,0.18,-1.62360000000001)); #244807=CARTESIAN_POINT('',(6.40381500000001,0.2,-1.62360000000001)); #244808=CARTESIAN_POINT('Origin',(6.3659957964834,0.18,-0.999700575480329)); #244809=CARTESIAN_POINT('Origin',(6.6192523370428,0.2,0.214700023301617)); #244810=CARTESIAN_POINT('',(6.975974,0.2,-1.60148000000001)); #244811=CARTESIAN_POINT('Origin',(6.6192523370428,0.2,0.214700023301617)); #244812=CARTESIAN_POINT('',(6.975974,0.18,-1.60148000000001)); #244813=CARTESIAN_POINT('',(6.975974,0.2,-1.60148000000001)); #244814=CARTESIAN_POINT('Origin',(6.6192523370428,0.18,0.214700023301617)); #244815=CARTESIAN_POINT('Origin',(6.89276649270629,0.2,-1.39581466442227)); #244816=CARTESIAN_POINT('',(7.112425,0.2,-1.36464)); #244817=CARTESIAN_POINT('Origin',(6.89276649270629,0.2,-1.39581466442227)); #244818=CARTESIAN_POINT('',(7.112425,0.18,-1.36464)); #244819=CARTESIAN_POINT('',(7.112425,0.2,-1.36464)); #244820=CARTESIAN_POINT('Origin',(6.89276649270629,0.18,-1.39581466442227)); #244821=CARTESIAN_POINT('Origin',(4.61587113055991,0.2,-1.09726873696333)); #244822=CARTESIAN_POINT('',(7.097235,0.2,-0.713730000000012)); #244823=CARTESIAN_POINT('Origin',(4.61587113055991,0.2,-1.09726873696333)); #244824=CARTESIAN_POINT('',(7.097235,0.18,-0.713730000000012)); #244825=CARTESIAN_POINT('',(7.097235,0.2,-0.713730000000012)); #244826=CARTESIAN_POINT('Origin',(4.61587113055991,0.18,-1.09726873696333)); #244827=CARTESIAN_POINT('Origin',(6.9073733070555,0.2,-0.762983269760529)); #244828=CARTESIAN_POINT('',(6.89252299999999,0.2,-0.567400000000013)); #244829=CARTESIAN_POINT('Origin',(6.9073733070555,0.2,-0.762983269760529)); #244830=CARTESIAN_POINT('',(6.89252299999999,0.18,-0.567400000000013)); #244831=CARTESIAN_POINT('',(6.89252299999999,0.2,-0.567400000000013)); #244832=CARTESIAN_POINT('Origin',(6.9073733070555,0.18,-0.762983269760529)); #244833=CARTESIAN_POINT('Origin',(6.57957847442744,0.2,-4.48225997975189)); #244834=CARTESIAN_POINT('',(6.23839399999998,0.2,-0.569760000000024)); #244835=CARTESIAN_POINT('Origin',(6.57957847442744,0.2,-4.48225997975189)); #244836=CARTESIAN_POINT('',(6.23839399999998,0.18,-0.569760000000024)); #244837=CARTESIAN_POINT('',(6.23839399999998,0.2,-0.569760000000024)); #244838=CARTESIAN_POINT('Origin',(6.57957847442744,0.18,-4.48225997975189)); #244839=CARTESIAN_POINT('Origin',(6.27537140249625,0.2,-0.80271467460701)); #244840=CARTESIAN_POINT('',(6.03984700000001,0.2,-0.815500000000018)); #244841=CARTESIAN_POINT('Origin',(6.27537140249625,0.2,-0.80271467460701)); #244842=CARTESIAN_POINT('',(6.03984700000001,0.18,-0.815500000000018)); #244843=CARTESIAN_POINT('',(6.03984700000001,0.2,-0.815500000000018)); #244844=CARTESIAN_POINT('Origin',(6.27537140249625,0.18,-0.80271467460701)); #244845=CARTESIAN_POINT('Origin',(6.63686988352635,0.2,-0.863744444225212)); #244846=CARTESIAN_POINT('',(6.037901,0.2,-0.864100000000008)); #244847=CARTESIAN_POINT('Origin',(6.63686988352635,0.2,-0.863744444225212)); #244848=CARTESIAN_POINT('',(6.037901,0.18,-0.864100000000008)); #244849=CARTESIAN_POINT('',(6.037901,0.2,-0.864100000000008)); #244850=CARTESIAN_POINT('Origin',(6.63686988352635,0.18,-0.863744444225212)); #244851=CARTESIAN_POINT('Origin',(6.037901,0.2,-1.25820000000001)); #244852=CARTESIAN_POINT('',(6.037901,0.2,-0.629100000003281)); #244853=CARTESIAN_POINT('',(6.037901,0.18,-0.864100000000008)); #244854=CARTESIAN_POINT('Origin',(5.74220415264262,0.2,-1.00693329166562)); #244855=CARTESIAN_POINT('',(7.38146099999999,0.2,-1.20184)); #244856=CARTESIAN_POINT('',(7.341811,0.2,-0.599000000000007)); #244857=CARTESIAN_POINT('Origin',(5.74220415264262,0.2,-1.00693329166562)); #244858=CARTESIAN_POINT('',(7.38146099999999,0.18,-1.20184)); #244859=CARTESIAN_POINT('',(7.38146099999999,0.2,-1.20184)); #244860=CARTESIAN_POINT('',(7.341811,0.18,-0.599000000000007)); #244861=CARTESIAN_POINT('Origin',(5.74220415264262,0.18,-1.00693329166562)); #244862=CARTESIAN_POINT('',(7.341811,0.2,-0.599000000000007)); #244863=CARTESIAN_POINT('Origin',(6.62940692997807,0.2,-1.30071371283794)); #244864=CARTESIAN_POINT('',(7.249861,0.2,-1.73705999999999)); #244865=CARTESIAN_POINT('Origin',(6.62940692997807,0.2,-1.30071371283794)); #244866=CARTESIAN_POINT('',(7.249861,0.18,-1.73705999999999)); #244867=CARTESIAN_POINT('',(7.249861,0.2,-1.73705999999999)); #244868=CARTESIAN_POINT('Origin',(6.62940692997807,0.18,-1.30071371283794)); #244869=CARTESIAN_POINT('Origin',(6.79144730964757,0.2,-1.10950769297817)); #244870=CARTESIAN_POINT('',(6.66509899999999,0.2,-1.87632000000001)); #244871=CARTESIAN_POINT('Origin',(6.79144730964757,0.2,-1.10950769297817)); #244872=CARTESIAN_POINT('',(6.66509899999999,0.18,-1.87632000000001)); #244873=CARTESIAN_POINT('',(6.66509899999999,0.2,-1.87632000000001)); #244874=CARTESIAN_POINT('Origin',(6.79144730964757,0.18,-1.10950769297817)); #244875=CARTESIAN_POINT('Origin',(6.52161350514809,0.2,-0.987493491220288)); #244876=CARTESIAN_POINT('',(6.16432800000001,0.2,-1.81390000000004)); #244877=CARTESIAN_POINT('Origin',(6.52161350514809,0.2,-0.987493491220288)); #244878=CARTESIAN_POINT('',(6.16432800000001,0.18,-1.81390000000004)); #244879=CARTESIAN_POINT('',(6.16432800000001,0.2,-1.81390000000004)); #244880=CARTESIAN_POINT('Origin',(6.52161350514809,0.18,-0.987493491220288)); #244881=CARTESIAN_POINT('Origin',(6.32276109458757,0.2,-1.52631062740209)); #244882=CARTESIAN_POINT('',(6.03790100000001,0.2,-1.68960000000002)); #244883=CARTESIAN_POINT('Origin',(6.32276109458757,0.2,-1.52631062740209)); #244884=CARTESIAN_POINT('',(6.03790100000001,0.18,-1.68960000000002)); #244885=CARTESIAN_POINT('',(6.03790100000001,0.2,-1.68960000000002)); #244886=CARTESIAN_POINT('Origin',(6.32276109458757,0.18,-1.52631062740209)); #244887=CARTESIAN_POINT('Origin',(58.7082364455248,0.2,-1.97478487634234)); #244888=CARTESIAN_POINT('',(6.03815300000001,0.2,-2.30323000000002)); #244889=CARTESIAN_POINT('Origin',(58.7082364455248,0.2,-1.97478487634234)); #244890=CARTESIAN_POINT('',(6.03815300000001,0.18,-2.30323000000002)); #244891=CARTESIAN_POINT('',(6.03815300000001,0.2,-2.30323000000002)); #244892=CARTESIAN_POINT('Origin',(58.7082364455248,0.18,-1.97478487634234)); #244893=CARTESIAN_POINT('Origin',(6.038077,0.2,-2.35118000000002)); #244894=CARTESIAN_POINT('',(6.038077,0.2,-2.35118000000002)); #244895=CARTESIAN_POINT('',(6.03993270274631,0.2,-1.1803780700645)); #244896=CARTESIAN_POINT('',(6.038077,0.18,-2.35118000000002)); #244897=CARTESIAN_POINT('',(6.038077,0.2,-2.35118000000002)); #244898=CARTESIAN_POINT('',(6.03815300000001,0.18,-2.30323000000002)); #244899=CARTESIAN_POINT('Origin',(5.88761752765151,0.2,-2.35062653283499)); #244900=CARTESIAN_POINT('',(6.03440699999999,0.2,-2.38366000000003)); #244901=CARTESIAN_POINT('Origin',(5.88761752765151,0.2,-2.35062653283499)); #244902=CARTESIAN_POINT('',(6.03440699999999,0.18,-2.38366000000003)); #244903=CARTESIAN_POINT('',(6.03440699999999,0.2,-2.38366000000003)); #244904=CARTESIAN_POINT('Origin',(5.88761752765151,0.18,-2.35062653283499)); #244905=CARTESIAN_POINT('Origin',(6.03015699999999,0.2,-2.39501000000002)); #244906=CARTESIAN_POINT('',(6.03015699999999,0.2,-2.39501000000002)); #244907=CARTESIAN_POINT('',(6.05265617984238,0.2,-2.33492395500918)); #244908=CARTESIAN_POINT('',(6.03015699999999,0.18,-2.39501000000002)); #244909=CARTESIAN_POINT('',(6.03015699999999,0.2,-2.39501000000002)); #244910=CARTESIAN_POINT('',(6.03440699999999,0.18,-2.38366000000003)); #244911=CARTESIAN_POINT('Origin',(6.02371800000001,0.2,-2.40359000000002)); #244912=CARTESIAN_POINT('',(6.02371800000001,0.2,-2.40359000000002)); #244913=CARTESIAN_POINT('',(5.51554805710369,0.2,-3.08072901383103)); #244914=CARTESIAN_POINT('',(6.02371800000001,0.18,-2.40359000000002)); #244915=CARTESIAN_POINT('',(6.02371800000001,0.2,-2.40359000000002)); #244916=CARTESIAN_POINT('',(6.03015699999999,0.18,-2.39501000000002)); #244917=CARTESIAN_POINT('Origin',(6.014655,0.2,-2.40976000000002)); #244918=CARTESIAN_POINT('',(6.014655,0.2,-2.40976000000002)); #244919=CARTESIAN_POINT('',(4.52022760864014,0.2,-3.42715126168796)); #244920=CARTESIAN_POINT('',(6.014655,0.18,-2.40976000000002)); #244921=CARTESIAN_POINT('',(6.014655,0.2,-2.40976000000002)); #244922=CARTESIAN_POINT('',(6.02371800000001,0.18,-2.40359000000002)); #244923=CARTESIAN_POINT('Origin',(6.002554,0.2,-2.41388000000002)); #244924=CARTESIAN_POINT('',(6.002554,0.2,-2.41388000000002)); #244925=CARTESIAN_POINT('',(3.68127928324698,0.2,-3.20419913338087)); #244926=CARTESIAN_POINT('',(6.002554,0.18,-2.41388000000002)); #244927=CARTESIAN_POINT('',(6.002554,0.2,-2.41388000000002)); #244928=CARTESIAN_POINT('',(6.014655,0.18,-2.40976000000002)); #244929=CARTESIAN_POINT('Origin',(5.90412708701766,0.2,-2.06452292845473)); #244930=CARTESIAN_POINT('',(5.79234899999999,0.2,-2.40984000000001)); #244931=CARTESIAN_POINT('Origin',(5.90412708701766,0.2,-2.06452292845473)); #244932=CARTESIAN_POINT('',(5.79234899999999,0.18,-2.40984000000001)); #244933=CARTESIAN_POINT('',(5.79234899999999,0.2,-2.40984000000001)); #244934=CARTESIAN_POINT('Origin',(5.90412708701766,0.18,-2.06452292845473)); #244935=CARTESIAN_POINT('Origin',(5.788809,0.2,-2.40751000000001)); #244936=CARTESIAN_POINT('',(5.788809,0.2,-2.40751000000001)); #244937=CARTESIAN_POINT('',(3.21647908584718,0.2,-0.714422796617856)); #244938=CARTESIAN_POINT('',(5.788809,0.18,-2.40751000000001)); #244939=CARTESIAN_POINT('',(5.788809,0.2,-2.40751000000001)); #244940=CARTESIAN_POINT('',(5.79234899999999,0.18,-2.40984000000001)); #244941=CARTESIAN_POINT('Origin',(5.785635,0.2,-2.40481000000003)); #244942=CARTESIAN_POINT('',(5.785635,0.2,-2.40481000000003)); #244943=CARTESIAN_POINT('',(3.51387818838908,0.2,-0.472313657430261)); #244944=CARTESIAN_POINT('',(5.785635,0.18,-2.40481000000003)); #244945=CARTESIAN_POINT('',(5.785635,0.2,-2.40481000000003)); #244946=CARTESIAN_POINT('',(5.788809,0.18,-2.40751000000001)); #244947=CARTESIAN_POINT('Origin',(5.782835,0.2,-2.40175000000001)); #244948=CARTESIAN_POINT('',(5.782835,0.2,-2.40175000000001)); #244949=CARTESIAN_POINT('',(3.86708421529737,0.2,-0.308108070984241)); #244950=CARTESIAN_POINT('',(5.782835,0.18,-2.40175000000001)); #244951=CARTESIAN_POINT('',(5.782835,0.2,-2.40175000000001)); #244952=CARTESIAN_POINT('',(5.785635,0.18,-2.40481000000003)); #244953=CARTESIAN_POINT('Origin',(5.780409,0.2,-2.39830000000001)); #244954=CARTESIAN_POINT('',(5.780409,0.2,-2.39830000000001)); #244955=CARTESIAN_POINT('',(4.25990127192341,0.2,-0.235995110529649)); #244956=CARTESIAN_POINT('',(5.780409,0.18,-2.39830000000001)); #244957=CARTESIAN_POINT('',(5.780409,0.2,-2.39830000000001)); #244958=CARTESIAN_POINT('',(5.782835,0.18,-2.40175000000001)); #244959=CARTESIAN_POINT('Origin',(5.778357,0.2,-2.39448000000001)); #244960=CARTESIAN_POINT('',(5.778357,0.2,-2.39448000000001)); #244961=CARTESIAN_POINT('',(4.63225852496571,0.2,-0.260904866171926)); #244962=CARTESIAN_POINT('',(5.778357,0.18,-2.39448000000001)); #244963=CARTESIAN_POINT('',(5.778357,0.2,-2.39448000000001)); #244964=CARTESIAN_POINT('',(5.780409,0.18,-2.39830000000001)); #244965=CARTESIAN_POINT('Origin',(5.776678,0.2,-2.39029)); #244966=CARTESIAN_POINT('',(5.776678,0.2,-2.39029)); #244967=CARTESIAN_POINT('',(4.96440371491457,0.2,-0.363232671523232)); #244968=CARTESIAN_POINT('',(5.776678,0.18,-2.39029)); #244969=CARTESIAN_POINT('',(5.776678,0.2,-2.39029)); #244970=CARTESIAN_POINT('',(5.778357,0.18,-2.39448000000001)); #244971=CARTESIAN_POINT('Origin',(5.77538100000001,0.2,-2.38572000000001)); #244972=CARTESIAN_POINT('',(5.77538100000001,0.2,-2.38572000000001)); #244973=CARTESIAN_POINT('',(5.24681856439658,0.2,-0.523321903834062)); #244974=CARTESIAN_POINT('',(5.77538100000001,0.18,-2.38572000000001)); #244975=CARTESIAN_POINT('',(5.77538100000001,0.2,-2.38572000000001)); #244976=CARTESIAN_POINT('',(5.776678,0.18,-2.39029)); #244977=CARTESIAN_POINT('Origin',(5.774443,0.2,-2.38079000000001)); #244978=CARTESIAN_POINT('',(5.774443,0.2,-2.38079000000001)); #244979=CARTESIAN_POINT('',(5.45499977961913,0.2,-0.701840025093822)); #244980=CARTESIAN_POINT('',(5.774443,0.18,-2.38079000000001)); #244981=CARTESIAN_POINT('',(5.774443,0.2,-2.38079000000001)); #244982=CARTESIAN_POINT('',(5.77538100000001,0.18,-2.38572000000001)); #244983=CARTESIAN_POINT('Origin',(5.773886,0.2,-2.37549)); #244984=CARTESIAN_POINT('',(5.773886,0.2,-2.37549)); #244985=CARTESIAN_POINT('',(5.61888689441917,0.2,-0.90063316053482)); #244986=CARTESIAN_POINT('',(5.773886,0.18,-2.37549)); #244987=CARTESIAN_POINT('',(5.773886,0.2,-2.37549)); #244988=CARTESIAN_POINT('',(5.774443,0.18,-2.38079000000001)); #244989=CARTESIAN_POINT('Origin',(5.77370300000001,0.2,-2.36980000000001)); #244990=CARTESIAN_POINT('',(5.77370300000001,0.2,-2.36980000000001)); #244991=CARTESIAN_POINT('',(5.73265099552075,0.2,-1.09337428688377)); #244992=CARTESIAN_POINT('',(5.77370300000001,0.18,-2.36980000000001)); #244993=CARTESIAN_POINT('',(5.77370300000001,0.2,-2.36980000000001)); #244994=CARTESIAN_POINT('',(5.773886,0.18,-2.37549)); #244995=CARTESIAN_POINT('Origin',(5.773772,0.2,-0.358880000000017)); #244996=CARTESIAN_POINT('',(5.773772,0.2,-0.358880000000017)); #244997=CARTESIAN_POINT('',(5.77377815366353,0.2,-0.179539056931741)); #244998=CARTESIAN_POINT('',(5.773772,0.18,-0.358880000000017)); #244999=CARTESIAN_POINT('',(5.773772,0.2,-0.358880000000017)); #245000=CARTESIAN_POINT('',(5.77370300000001,0.18,-2.36980000000001)); #245001=CARTESIAN_POINT('Origin',(5.775481,0.2,-0.346890000000002)); #245002=CARTESIAN_POINT('',(5.775481,0.2,-0.346890000000002)); #245003=CARTESIAN_POINT('',(5.74221063633585,0.2,-0.580308174565524)); #245004=CARTESIAN_POINT('',(5.775481,0.18,-0.346890000000002)); #245005=CARTESIAN_POINT('',(5.775481,0.2,-0.346890000000002)); #245006=CARTESIAN_POINT('',(5.773772,0.18,-0.358880000000017)); #245007=CARTESIAN_POINT('Origin',(5.77933300000001,0.2,-0.336860000000012)); #245008=CARTESIAN_POINT('',(5.77933300000001,0.2,-0.336860000000012)); #245009=CARTESIAN_POINT('',(5.4642811674429,0.2,-1.15720524417004)); #245010=CARTESIAN_POINT('',(5.77933300000001,0.18,-0.336860000000012)); #245011=CARTESIAN_POINT('',(5.77933300000001,0.2,-0.336860000000012)); #245012=CARTESIAN_POINT('',(5.775481,0.18,-0.346890000000002)); #245013=CARTESIAN_POINT('Origin',(5.78518499999999,0.2,-0.328630000000025)); #245014=CARTESIAN_POINT('',(5.78518499999999,0.2,-0.328630000000025)); #245015=CARTESIAN_POINT('',(4.89141385311818,0.2,-1.58559113103901)); #245016=CARTESIAN_POINT('',(5.78518499999999,0.18,-0.328630000000025)); #245017=CARTESIAN_POINT('',(5.78518499999999,0.2,-0.328630000000025)); #245018=CARTESIAN_POINT('',(5.77933300000001,0.18,-0.336860000000012)); #245019=CARTESIAN_POINT('Origin',(5.792891,0.2,-0.322010000000024)); #245020=CARTESIAN_POINT('',(5.792891,0.2,-0.322010000000024)); #245021=CARTESIAN_POINT('',(4.20593676430619,0.2,-1.68531613032478)); #245022=CARTESIAN_POINT('',(5.792891,0.18,-0.322010000000024)); #245023=CARTESIAN_POINT('',(5.792891,0.2,-0.322010000000024)); #245024=CARTESIAN_POINT('',(5.78518499999999,0.18,-0.328630000000025)); #245025=CARTESIAN_POINT('Origin',(5.802283,0.2,-0.316870000000016)); #245026=CARTESIAN_POINT('',(5.802283,0.2,-0.316870000000016)); #245027=CARTESIAN_POINT('',(3.63651592050215,0.2,-1.50213861037505)); #245028=CARTESIAN_POINT('',(5.802283,0.18,-0.316870000000016)); #245029=CARTESIAN_POINT('',(5.802283,0.2,-0.316870000000016)); #245030=CARTESIAN_POINT('',(5.792891,0.18,-0.322010000000024)); #245031=CARTESIAN_POINT('Origin',(5.89048634300966,0.2,-0.58525578692878)); #245032=CARTESIAN_POINT('',(6.028403,0.2,-0.338700000000021)); #245033=CARTESIAN_POINT('Origin',(5.89048634300966,0.2,-0.58525578692878)); #245034=CARTESIAN_POINT('',(6.028403,0.18,-0.338700000000021)); #245035=CARTESIAN_POINT('',(6.028403,0.2,-0.338700000000021)); #245036=CARTESIAN_POINT('Origin',(5.89048634300966,0.18,-0.58525578692878)); #245037=CARTESIAN_POINT('Origin',(6.03331600000001,0.2,-0.349410000000017)); #245038=CARTESIAN_POINT('',(6.03331600000001,0.2,-0.349410000000017)); #245039=CARTESIAN_POINT('',(5.44266148621425,0.2,0.938175964307048)); #245040=CARTESIAN_POINT('',(6.03331600000001,0.18,-0.349410000000017)); #245041=CARTESIAN_POINT('',(6.03331600000001,0.2,-0.349410000000017)); #245042=CARTESIAN_POINT('',(6.028403,0.18,-0.338700000000021)); #245043=CARTESIAN_POINT('Origin',(5.57844718874678,0.2,-0.436325732312604)); #245044=CARTESIAN_POINT('',(6.037901,0.2,-0.49431000000002)); #245045=CARTESIAN_POINT('Origin',(5.57844718874678,0.2,-0.436325732312604)); #245046=CARTESIAN_POINT('',(6.037901,0.18,-0.49431000000002)); #245047=CARTESIAN_POINT('',(6.037901,0.2,-0.49431000000002)); #245048=CARTESIAN_POINT('Origin',(5.57844718874678,0.18,-0.436325732312604)); #245049=CARTESIAN_POINT('Origin',(4.53941496255343,0.2,0.713050839526979)); #245050=CARTESIAN_POINT('',(6.08927,0.2,-0.427619999999997)); #245051=CARTESIAN_POINT('Origin',(4.53941496255343,0.2,0.713050839526979)); #245052=CARTESIAN_POINT('',(6.08927,0.18,-0.427619999999997)); #245053=CARTESIAN_POINT('',(6.08927,0.2,-0.427619999999997)); #245054=CARTESIAN_POINT('Origin',(4.53941496255343,0.18,0.713050839526979)); #245055=CARTESIAN_POINT('Origin',(6.10592499999999,0.2,-0.409850000000009)); #245056=CARTESIAN_POINT('',(6.10592499999999,0.2,-0.409850000000009)); #245057=CARTESIAN_POINT('',(4.78047104164235,0.2,-1.82403894266013)); #245058=CARTESIAN_POINT('',(6.10592499999999,0.18,-0.409850000000009)); #245059=CARTESIAN_POINT('',(6.10592499999999,0.2,-0.409850000000009)); #245060=CARTESIAN_POINT('',(6.08927,0.18,-0.427619999999997)); #245061=CARTESIAN_POINT('Origin',(6.48566463594716,0.2,-0.987631360362879)); #245062=CARTESIAN_POINT('',(6.61128799999999,0.2,-0.307739999999992)); #245063=CARTESIAN_POINT('Origin',(6.48566463594716,0.2,-0.987631360362879)); #245064=CARTESIAN_POINT('',(6.61128799999999,0.18,-0.307739999999992)); #245065=CARTESIAN_POINT('',(6.61128799999999,0.2,-0.307739999999992)); #245066=CARTESIAN_POINT('Origin',(6.48566463594716,0.18,-0.987631360362879)); #245067=CARTESIAN_POINT('Origin',(6.759177,0.2,-0.309310000000025)); #245068=CARTESIAN_POINT('',(6.759177,0.2,-0.309310000000025)); #245069=CARTESIAN_POINT('',(3.37832769658621,0.2,-0.273418666591383)); #245070=CARTESIAN_POINT('',(6.759177,0.18,-0.309310000000025)); #245071=CARTESIAN_POINT('',(6.759177,0.2,-0.309310000000025)); #245072=CARTESIAN_POINT('',(6.61128799999999,0.18,-0.307739999999992)); #245073=CARTESIAN_POINT('Origin',(6.803351,0.2,-0.309510000000017)); #245074=CARTESIAN_POINT('',(6.803351,0.2,-0.309510000000017)); #245075=CARTESIAN_POINT('',(3.40104458187459,0.2,-0.294105887091985)); #245076=CARTESIAN_POINT('',(6.803351,0.18,-0.309510000000017)); #245077=CARTESIAN_POINT('',(6.803351,0.2,-0.309510000000017)); #245078=CARTESIAN_POINT('',(6.759177,0.18,-0.309310000000025)); #245079=CARTESIAN_POINT('Origin',(6.8867075267372,0.2,-0.799985182089511)); #245080=CARTESIAN_POINT('Origin',(6.8867075267372,0.2,-0.799985182089511)); #245081=CARTESIAN_POINT('Origin',(6.8867075267372,0.18,-0.799985182089511)); #245082=CARTESIAN_POINT('Origin',(6.58335524165792,0.18,-1.3618561146686)); #245083=CARTESIAN_POINT('Origin',(9.96578468352493,0.2,36.4561414316149)); #245084=CARTESIAN_POINT('',(10.4980610000002,0.2,-1.62086000000002)); #245085=CARTESIAN_POINT('',(9.962416,0.2,-1.62458000000003)); #245086=CARTESIAN_POINT('Origin',(9.96578468352493,0.2,36.4561414316149)); #245087=CARTESIAN_POINT('',(10.4980610000002,0.18,-1.62086000000002)); #245088=CARTESIAN_POINT('',(10.4980610000002,0.2,-1.62086000000002)); #245089=CARTESIAN_POINT('',(9.962416,0.18,-1.62458000000003)); #245090=CARTESIAN_POINT('Origin',(9.96578468352493,0.18,36.4561414316149)); #245091=CARTESIAN_POINT('',(9.962416,0.2,-1.62458000000003)); #245092=CARTESIAN_POINT('Origin',(10.600905,0.2,-1.62112)); #245093=CARTESIAN_POINT('',(10.600905,0.2,-1.62112)); #245094=CARTESIAN_POINT('',(5.2984372122376,0.2,-1.60771482687636)); #245095=CARTESIAN_POINT('',(10.600905,0.18,-1.62112)); #245096=CARTESIAN_POINT('',(10.600905,0.2,-1.62112)); #245097=CARTESIAN_POINT('',(10.498061,0.18,-1.62086000000002)); #245098=CARTESIAN_POINT('Origin',(10.6735496027929,0.2,-1.98382868313724)); #245099=CARTESIAN_POINT('',(10.801581,0.2,-1.63678000000001)); #245100=CARTESIAN_POINT('Origin',(10.6735496027929,0.2,-1.98382868313724)); #245101=CARTESIAN_POINT('',(10.801581,0.18,-1.63678000000001)); #245102=CARTESIAN_POINT('',(10.801581,0.2,-1.63678000000001)); #245103=CARTESIAN_POINT('Origin',(10.6735496027929,0.18,-1.98382868313724)); #245104=CARTESIAN_POINT('Origin',(10.806265,0.2,-1.64353999999999)); #245105=CARTESIAN_POINT('',(10.806265,0.2,-1.64353999999999)); #245106=CARTESIAN_POINT('',(8.66892348325882,0.2,1.44109463987393)); #245107=CARTESIAN_POINT('',(10.806265,0.18,-1.64353999999999)); #245108=CARTESIAN_POINT('',(10.806265,0.2,-1.64353999999999)); #245109=CARTESIAN_POINT('',(10.801581,0.18,-1.63678000000001)); #245110=CARTESIAN_POINT('Origin',(10.6117539996197,0.2,-1.7393715034598)); #245111=CARTESIAN_POINT('',(10.785361,0.2,-1.86929000000001)); #245112=CARTESIAN_POINT('Origin',(10.6117539996197,0.2,-1.7393715034598)); #245113=CARTESIAN_POINT('',(10.785361,0.18,-1.86929000000001)); #245114=CARTESIAN_POINT('',(10.785361,0.2,-1.86929000000001)); #245115=CARTESIAN_POINT('Origin',(10.6117539996197,0.18,-1.7393715034598)); #245116=CARTESIAN_POINT('Origin',(10.772902,0.2,-1.87327)); #245117=CARTESIAN_POINT('',(10.772902,0.2,-1.87327)); #245118=CARTESIAN_POINT('',(6.15672362522093,0.2,-3.34789797428)); #245119=CARTESIAN_POINT('',(10.772902,0.18,-1.87327)); #245120=CARTESIAN_POINT('',(10.772902,0.2,-1.87327)); #245121=CARTESIAN_POINT('',(10.785361,0.18,-1.86929000000001)); #245122=CARTESIAN_POINT('Origin',(10.765921,0.2,-1.87424)); #245123=CARTESIAN_POINT('',(10.765921,0.2,-1.87424)); #245124=CARTESIAN_POINT('',(5.61266440056015,0.2,-2.59027765957229)); #245125=CARTESIAN_POINT('',(10.765921,0.18,-1.87424)); #245126=CARTESIAN_POINT('',(10.765921,0.2,-1.87424)); #245127=CARTESIAN_POINT('',(10.772902,0.18,-1.87327)); #245128=CARTESIAN_POINT('Origin',(10.3621745461133,0.2,8.75151447955563)); #245129=CARTESIAN_POINT('',(9.871916,0.2,-1.87060000000001)); #245130=CARTESIAN_POINT('Origin',(10.3621745461133,0.2,8.75151447955563)); #245131=CARTESIAN_POINT('',(9.871916,0.18,-1.87060000000001)); #245132=CARTESIAN_POINT('',(9.871916,0.2,-1.87060000000001)); #245133=CARTESIAN_POINT('Origin',(10.3621745461133,0.18,8.75151447955563)); #245134=CARTESIAN_POINT('Origin',(9.83868163837502,0.2,-1.45748610333655)); #245135=CARTESIAN_POINT('',(9.55640999999999,0.2,-1.76095)); #245136=CARTESIAN_POINT('Origin',(9.83868163837502,0.2,-1.45748610333655)); #245137=CARTESIAN_POINT('',(9.55640999999999,0.18,-1.76095)); #245138=CARTESIAN_POINT('',(9.55640999999999,0.2,-1.76095)); #245139=CARTESIAN_POINT('Origin',(9.83868163837502,0.18,-1.45748610333655)); #245140=CARTESIAN_POINT('Origin',(9.84198187807094,0.2,-1.4606455283816)); #245141=CARTESIAN_POINT('',(9.42764899999999,0.2,-1.45275000000002)); #245142=CARTESIAN_POINT('Origin',(9.84198187807094,0.2,-1.4606455283816)); #245143=CARTESIAN_POINT('',(9.42764899999999,0.18,-1.45275000000002)); #245144=CARTESIAN_POINT('',(9.42764899999999,0.2,-1.45275000000002)); #245145=CARTESIAN_POINT('Origin',(9.84198187807094,0.18,-1.4606455283816)); #245146=CARTESIAN_POINT('Origin',(9.81771759915996,0.2,-1.40006368816215)); #245147=CARTESIAN_POINT('',(9.510245,0.2,-1.15432000000002)); #245148=CARTESIAN_POINT('Origin',(9.81771759915996,0.2,-1.40006368816215)); #245149=CARTESIAN_POINT('',(9.510245,0.18,-1.15432000000002)); #245150=CARTESIAN_POINT('',(9.510245,0.2,-1.15432000000002)); #245151=CARTESIAN_POINT('Origin',(9.81771759915996,0.18,-1.40006368816215)); #245152=CARTESIAN_POINT('Origin',(9.81547555896463,0.2,-1.4028228902349)); #245153=CARTESIAN_POINT('',(9.78444499999997,0.2,-1.01045000000001)); #245154=CARTESIAN_POINT('Origin',(9.81547555896463,0.2,-1.4028228902349)); #245155=CARTESIAN_POINT('',(9.78444499999997,0.18,-1.01045000000001)); #245156=CARTESIAN_POINT('',(9.78444499999997,0.2,-1.01045000000001)); #245157=CARTESIAN_POINT('Origin',(9.81547555896463,0.18,-1.4028228902349)); #245158=CARTESIAN_POINT('Origin',(146.601376567387,0.2,-1194.79518730432)); #245159=CARTESIAN_POINT('',(10.470259,0.2,-0.93204999999994)); #245160=CARTESIAN_POINT('Origin',(146.601376567387,0.2,-1194.79518730432)); #245161=CARTESIAN_POINT('',(10.470259,0.18,-0.93204999999994)); #245162=CARTESIAN_POINT('',(10.470259,0.2,-0.93204999999994)); #245163=CARTESIAN_POINT('Origin',(146.601376567387,0.18,-1194.79518730432)); #245164=CARTESIAN_POINT('Origin',(10.498732,0.2,-0.925630000000019)); #245165=CARTESIAN_POINT('',(10.498732,0.2,-0.925630000000019)); #245166=CARTESIAN_POINT('',(5.60263641654255,0.2,-2.02958580533831)); #245167=CARTESIAN_POINT('',(10.498732,0.18,-0.925630000000019)); #245168=CARTESIAN_POINT('',(10.498732,0.2,-0.925630000000019)); #245169=CARTESIAN_POINT('',(10.470259,0.18,-0.93204999999994)); #245170=CARTESIAN_POINT('Origin',(10.4762322244471,0.2,-0.774992690190199)); #245171=CARTESIAN_POINT('',(10.598212,0.2,-0.866199999999999)); #245172=CARTESIAN_POINT('Origin',(10.4762322244471,0.2,-0.774992690190199)); #245173=CARTESIAN_POINT('',(10.598212,0.18,-0.866199999999999)); #245174=CARTESIAN_POINT('',(10.598212,0.2,-0.866199999999999)); #245175=CARTESIAN_POINT('Origin',(10.4762322244471,0.18,-0.774992690190199)); #245176=CARTESIAN_POINT('Origin',(10.4755618883642,0.2,-0.77602151420388)); #245177=CARTESIAN_POINT('',(10.626158,0.2,-0.753750000000011)); #245178=CARTESIAN_POINT('Origin',(10.4755618883642,0.2,-0.77602151420388)); #245179=CARTESIAN_POINT('',(10.626158,0.18,-0.753750000000011)); #245180=CARTESIAN_POINT('',(10.626158,0.2,-0.753750000000011)); #245181=CARTESIAN_POINT('Origin',(10.4755618883642,0.18,-0.77602151420388)); #245182=CARTESIAN_POINT('Origin',(10.4424785476164,0.2,-0.750479182693979)); #245183=CARTESIAN_POINT('',(10.564894,0.2,-0.613500000000009)); #245184=CARTESIAN_POINT('Origin',(10.4424785476164,0.2,-0.750479182693979)); #245185=CARTESIAN_POINT('',(10.564894,0.18,-0.613500000000009)); #245186=CARTESIAN_POINT('',(10.564894,0.2,-0.613500000000009)); #245187=CARTESIAN_POINT('Origin',(10.4424785476164,0.18,-0.750479182693979)); #245188=CARTESIAN_POINT('Origin',(10.4427507461282,0.2,-0.750646177559453)); #245189=CARTESIAN_POINT('',(10.418593,0.2,-0.568589999999993)); #245190=CARTESIAN_POINT('Origin',(10.4427507461282,0.2,-0.750646177559453)); #245191=CARTESIAN_POINT('',(10.418593,0.18,-0.568589999999993)); #245192=CARTESIAN_POINT('',(10.418593,0.2,-0.568589999999993)); #245193=CARTESIAN_POINT('Origin',(10.4427507461282,0.18,-0.750646177559453)); #245194=CARTESIAN_POINT('Origin',(9.94756738188729,0.2,-25.2680211051185)); #245195=CARTESIAN_POINT('',(9.532278,0.2,-0.567590000000013)); #245196=CARTESIAN_POINT('Origin',(9.94756738188729,0.2,-25.2680211051185)); #245197=CARTESIAN_POINT('',(9.532278,0.18,-0.567590000000013)); #245198=CARTESIAN_POINT('',(9.532278,0.2,-0.567590000000013)); #245199=CARTESIAN_POINT('Origin',(9.94756738188729,0.18,-25.2680211051185)); #245200=CARTESIAN_POINT('Origin',(9.51818700000001,0.2,-0.565550000000012)); #245201=CARTESIAN_POINT('',(9.51818700000001,0.2,-0.565550000000012)); #245202=CARTESIAN_POINT('',(4.81669520292722,0.2,0.115100292104495)); #245203=CARTESIAN_POINT('',(9.51818700000001,0.18,-0.565550000000012)); #245204=CARTESIAN_POINT('',(9.51818700000001,0.2,-0.565550000000012)); #245205=CARTESIAN_POINT('',(9.532278,0.18,-0.567590000000013)); #245206=CARTESIAN_POINT('Origin',(9.50527800000001,0.2,-0.562310000000021)); #245207=CARTESIAN_POINT('',(9.50527800000001,0.2,-0.562310000000021)); #245208=CARTESIAN_POINT('',(4.96790362641692,0.2,0.576515080979127)); #245209=CARTESIAN_POINT('',(9.50527800000001,0.18,-0.562310000000021)); #245210=CARTESIAN_POINT('',(9.50527800000001,0.2,-0.562310000000021)); #245211=CARTESIAN_POINT('',(9.51818700000001,0.18,-0.565550000000012)); #245212=CARTESIAN_POINT('Origin',(9.493681,0.2,-0.557669999999995)); #245213=CARTESIAN_POINT('',(9.493681,0.2,-0.557669999999995)); #245214=CARTESIAN_POINT('',(5.30570114955615,0.2,1.11795529155496)); #245215=CARTESIAN_POINT('',(9.493681,0.18,-0.557669999999995)); #245216=CARTESIAN_POINT('',(9.493681,0.2,-0.557669999999995)); #245217=CARTESIAN_POINT('',(9.50527800000001,0.18,-0.562310000000021)); #245218=CARTESIAN_POINT('Origin',(9.483527,0.2,-0.55138000000003)); #245219=CARTESIAN_POINT('',(9.483527,0.2,-0.55138000000003)); #245220=CARTESIAN_POINT('',(5.93331222612619,0.2,1.64783714866452)); #245221=CARTESIAN_POINT('',(9.483527,0.18,-0.55138000000003)); #245222=CARTESIAN_POINT('',(9.483527,0.2,-0.55138000000003)); #245223=CARTESIAN_POINT('',(9.493681,0.18,-0.557669999999995)); #245224=CARTESIAN_POINT('Origin',(9.47492100000001,0.2,-0.543239999999994)); #245225=CARTESIAN_POINT('',(9.47492100000001,0.2,-0.543239999999994)); #245226=CARTESIAN_POINT('',(6.83886105427053,0.2,1.95008186362433)); #245227=CARTESIAN_POINT('',(9.47492100000001,0.18,-0.543239999999994)); #245228=CARTESIAN_POINT('',(9.47492100000001,0.2,-0.543239999999994)); #245229=CARTESIAN_POINT('',(9.483527,0.18,-0.55138000000003)); #245230=CARTESIAN_POINT('Origin',(9.468016,0.2,-0.533020000000004)); #245231=CARTESIAN_POINT('',(9.468016,0.2,-0.533020000000004)); #245232=CARTESIAN_POINT('',(7.86067756892086,0.2,1.84598054534214)); #245233=CARTESIAN_POINT('',(9.468016,0.18,-0.533020000000004)); #245234=CARTESIAN_POINT('',(9.468016,0.2,-0.533020000000004)); #245235=CARTESIAN_POINT('',(9.47492100000001,0.18,-0.543239999999994)); #245236=CARTESIAN_POINT('Origin',(9.462927,0.2,-0.520500000000013)); #245237=CARTESIAN_POINT('',(9.462927,0.2,-0.520500000000013)); #245238=CARTESIAN_POINT('',(8.70126267948779,0.2,1.35335287734521)); #245239=CARTESIAN_POINT('',(9.462927,0.18,-0.520500000000013)); #245240=CARTESIAN_POINT('',(9.462927,0.2,-0.520500000000013)); #245241=CARTESIAN_POINT('',(9.468016,0.18,-0.533020000000004)); #245242=CARTESIAN_POINT('Origin',(9.57107477219892,0.2,-0.489893840752664)); #245243=CARTESIAN_POINT('',(9.458701,0.2,-0.487700000000011)); #245244=CARTESIAN_POINT('Origin',(9.57107477219892,0.2,-0.489893840752664)); #245245=CARTESIAN_POINT('',(9.458701,0.18,-0.487700000000011)); #245246=CARTESIAN_POINT('',(9.458701,0.2,-0.487700000000011)); #245247=CARTESIAN_POINT('Origin',(9.57107477219892,0.18,-0.489893840752664)); #245248=CARTESIAN_POINT('Origin',(9.45747999999999,0.2,-0.416000000000007)); #245249=CARTESIAN_POINT('',(9.45747999999999,0.2,-0.416000000000007)); #245250=CARTESIAN_POINT('',(9.45256801353863,0.2,-0.127556568977505)); #245251=CARTESIAN_POINT('',(9.45747999999999,0.18,-0.416000000000007)); #245252=CARTESIAN_POINT('',(9.45747999999999,0.2,-0.416000000000007)); #245253=CARTESIAN_POINT('',(9.458701,0.18,-0.487700000000011)); #245254=CARTESIAN_POINT('Origin',(9.55757699338316,0.2,-0.393058694066557)); #245255=CARTESIAN_POINT('',(9.48338199999999,0.2,-0.322060000000022)); #245256=CARTESIAN_POINT('Origin',(9.55757699338316,0.2,-0.393058694066557)); #245257=CARTESIAN_POINT('',(9.48338199999999,0.18,-0.322060000000022)); #245258=CARTESIAN_POINT('',(9.48338199999999,0.2,-0.322060000000022)); #245259=CARTESIAN_POINT('Origin',(9.55757699338316,0.18,-0.393058694066557)); #245260=CARTESIAN_POINT('Origin',(9.49289599999999,0.2,-0.316420000000015)); #245261=CARTESIAN_POINT('',(9.49289599999999,0.2,-0.316420000000015)); #245262=CARTESIAN_POINT('',(6.05011412421478,0.2,-2.35733757194169)); #245263=CARTESIAN_POINT('',(9.49289599999999,0.18,-0.316420000000015)); #245264=CARTESIAN_POINT('',(9.49289599999999,0.2,-0.316420000000015)); #245265=CARTESIAN_POINT('',(9.48338199999999,0.18,-0.322060000000022)); #245266=CARTESIAN_POINT('Origin',(9.50442399999999,0.2,-0.312380000000019)); #245267=CARTESIAN_POINT('',(9.50442399999999,0.2,-0.312380000000019)); #245268=CARTESIAN_POINT('',(5.32076859357199,0.2,-1.77854653729667)); #245269=CARTESIAN_POINT('',(9.50442399999999,0.18,-0.312380000000019)); #245270=CARTESIAN_POINT('',(9.50442399999999,0.2,-0.312380000000019)); #245271=CARTESIAN_POINT('',(9.49289599999999,0.18,-0.316420000000015)); #245272=CARTESIAN_POINT('Origin',(9.52996892635594,0.2,-0.41990126820302)); #245273=CARTESIAN_POINT('',(9.53165299999999,0.2,-0.309400000000011)); #245274=CARTESIAN_POINT('Origin',(9.52996892635594,0.2,-0.41990126820302)); #245275=CARTESIAN_POINT('',(9.53165299999999,0.18,-0.309400000000011)); #245276=CARTESIAN_POINT('',(9.53165299999999,0.2,-0.309400000000011)); #245277=CARTESIAN_POINT('Origin',(9.52996892635594,0.18,-0.41990126820302)); #245278=CARTESIAN_POINT('Origin',(9.93515551199169,0.2,-7.4246586467364)); #245279=CARTESIAN_POINT('',(10.474158,0.2,-0.318380000000005)); #245280=CARTESIAN_POINT('Origin',(9.93515551199169,0.2,-7.4246586467364)); #245281=CARTESIAN_POINT('',(10.474158,0.18,-0.318380000000005)); #245282=CARTESIAN_POINT('',(10.474158,0.2,-0.318380000000005)); #245283=CARTESIAN_POINT('Origin',(9.93515551199169,0.18,-7.4246586467364)); #245284=CARTESIAN_POINT('Origin',(10.5124867011315,0.2,-0.699411629629392)); #245285=CARTESIAN_POINT('',(10.758154,0.2,-0.405639999999998)); #245286=CARTESIAN_POINT('Origin',(10.5124867011315,0.2,-0.699411629629392)); #245287=CARTESIAN_POINT('',(10.758154,0.18,-0.405639999999998)); #245288=CARTESIAN_POINT('',(10.758154,0.2,-0.405639999999998)); #245289=CARTESIAN_POINT('Origin',(10.5124867011315,0.18,-0.699411629629392)); #245290=CARTESIAN_POINT('Origin',(10.5096419587611,0.2,-0.696997613218091)); #245291=CARTESIAN_POINT('',(10.891707,0.2,-0.671040000000005)); #245292=CARTESIAN_POINT('Origin',(10.5096419587611,0.2,-0.696997613218091)); #245293=CARTESIAN_POINT('',(10.891707,0.18,-0.671040000000005)); #245294=CARTESIAN_POINT('',(10.891707,0.2,-0.671040000000005)); #245295=CARTESIAN_POINT('Origin',(10.5096419587611,0.18,-0.696997613218091)); #245296=CARTESIAN_POINT('Origin',(10.4963056513449,0.2,-0.762947076159666)); #245297=CARTESIAN_POINT('',(10.834425,0.2,-0.987589999999994)); #245298=CARTESIAN_POINT('Origin',(10.4963056513449,0.2,-0.762947076159666)); #245299=CARTESIAN_POINT('',(10.834425,0.18,-0.987589999999994)); #245300=CARTESIAN_POINT('',(10.834425,0.2,-0.987589999999994)); #245301=CARTESIAN_POINT('Origin',(10.4963056513449,0.18,-0.762947076159666)); #245302=CARTESIAN_POINT('Origin',(10.4988228119957,0.2,-0.759177386903467)); #245303=CARTESIAN_POINT('',(10.562872,0.2,-1.16005000000001)); #245304=CARTESIAN_POINT('Origin',(10.4988228119957,0.2,-0.759177386903467)); #245305=CARTESIAN_POINT('',(10.562872,0.18,-1.16005000000001)); #245306=CARTESIAN_POINT('',(10.562872,0.2,-1.16005000000001)); #245307=CARTESIAN_POINT('Origin',(10.4988228119957,0.18,-0.759177386903467)); #245308=CARTESIAN_POINT('Origin',(1.43702116349001,0.2,63.3955103572677)); #245309=CARTESIAN_POINT('',(9.804427,0.2,-1.26273000000001)); #245310=CARTESIAN_POINT('Origin',(1.43702116349001,0.2,63.3955103572677)); #245311=CARTESIAN_POINT('',(9.804427,0.18,-1.26273000000001)); #245312=CARTESIAN_POINT('',(9.804427,0.2,-1.26273000000001)); #245313=CARTESIAN_POINT('Origin',(1.43702116349001,0.18,63.3955103572677)); #245314=CARTESIAN_POINT('Origin',(9.77009399999999,0.2,-1.27607000000001)); #245315=CARTESIAN_POINT('',(9.77009399999999,0.2,-1.27607000000001)); #245316=CARTESIAN_POINT('',(5.74119348502407,0.2,-2.84148906823639)); #245317=CARTESIAN_POINT('',(9.77009399999999,0.18,-1.27607000000001)); #245318=CARTESIAN_POINT('',(9.77009399999999,0.2,-1.27607000000001)); #245319=CARTESIAN_POINT('',(9.804427,0.18,-1.26273000000001)); #245320=CARTESIAN_POINT('Origin',(9.8645746662953,0.2,-1.42637228781968)); #245321=CARTESIAN_POINT('',(9.70064399999999,0.2,-1.49452)); #245322=CARTESIAN_POINT('Origin',(9.8645746662953,0.2,-1.42637228781968)); #245323=CARTESIAN_POINT('',(9.70064399999999,0.18,-1.49452)); #245324=CARTESIAN_POINT('',(9.70064399999999,0.2,-1.49452)); #245325=CARTESIAN_POINT('Origin',(9.8645746662953,0.18,-1.42637228781968)); #245326=CARTESIAN_POINT('Origin',(9.91619556777979,0.2,-1.38914345679805)); #245327=CARTESIAN_POINT('Origin',(9.91619556777979,0.2,-1.38914345679805)); #245328=CARTESIAN_POINT('Origin',(9.91619556777979,0.18,-1.38914345679805)); #245329=CARTESIAN_POINT('Origin',(10.163177431797,0.18,-1.08993789098754)); #245330=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,-1.65598000000001)); #245331=CARTESIAN_POINT('',(-6.15422199999999,0.2,-1.65598000000001)); #245332=CARTESIAN_POINT('',(-6.15422199999999,0.2,-2.57996000000002)); #245333=CARTESIAN_POINT('',(-6.15422199999999,0.2,-0.827990000003281)); #245334=CARTESIAN_POINT('',(-6.15422199999999,0.18,-1.65598000000001)); #245335=CARTESIAN_POINT('',(-6.15422199999999,0.2,-1.65598000000001)); #245336=CARTESIAN_POINT('',(-6.15422199999999,0.18,-2.57996000000002)); #245337=CARTESIAN_POINT('',(-6.15422199999999,0.18,-2.27197)); #245338=CARTESIAN_POINT('',(-6.15422199999999,0.2,-2.57996000000002)); #245339=CARTESIAN_POINT('Origin',(-5.230241,0.2,-1.65598000000001)); #245340=CARTESIAN_POINT('',(-5.230241,0.2,-1.65598000000001)); #245341=CARTESIAN_POINT('',(-2.61512049999672,0.2,-1.65598000000001)); #245342=CARTESIAN_POINT('',(-5.230241,0.18,-1.65598000000001)); #245343=CARTESIAN_POINT('',(-5.230241,0.2,-1.65598000000001)); #245344=CARTESIAN_POINT('',(-5.84623100000001,0.18,-1.65598000000001)); #245345=CARTESIAN_POINT('Origin',(-5.230241,0.2,-2.57996000000002)); #245346=CARTESIAN_POINT('',(-5.230241,0.2,-2.57996000000002)); #245347=CARTESIAN_POINT('',(-5.230241,0.2,-1.28998000000329)); #245348=CARTESIAN_POINT('',(-5.230241,0.18,-2.57996000000002)); #245349=CARTESIAN_POINT('',(-5.230241,0.2,-2.57996000000002)); #245350=CARTESIAN_POINT('',(-5.230241,0.18,-1.96397000000001)); #245351=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,-2.57996000000002)); #245352=CARTESIAN_POINT('',(-3.07711099999672,0.2,-2.57996000000002)); #245353=CARTESIAN_POINT('',(-5.538232,0.18,-2.57996000000002)); #245354=CARTESIAN_POINT('Origin',(-5.6922315,0.18,-2.11797000000001)); #245355=CARTESIAN_POINT('Origin',(-9.234178,0.2,-2.88796000000001)); #245356=CARTESIAN_POINT('',(-9.234178,0.2,-2.88796000000001)); #245357=CARTESIAN_POINT('',(-10.774156,0.2,-2.88796000000001)); #245358=CARTESIAN_POINT('',(-4.61708899999673,0.2,-2.88796000000001)); #245359=CARTESIAN_POINT('',(-9.234178,0.18,-2.88796000000001)); #245360=CARTESIAN_POINT('',(-9.234178,0.2,-2.88796000000001)); #245361=CARTESIAN_POINT('',(-10.774156,0.18,-2.88796000000001)); #245362=CARTESIAN_POINT('',(-9.234178,0.18,-2.88796000000001)); #245363=CARTESIAN_POINT('',(-10.774156,0.2,-2.88796000000001)); #245364=CARTESIAN_POINT('Origin',(-9.234178,0.2,-1.34798000000002)); #245365=CARTESIAN_POINT('',(-9.234178,0.2,-1.34798000000002)); #245366=CARTESIAN_POINT('',(-9.234178,0.2,-0.673990000003285)); #245367=CARTESIAN_POINT('',(-9.234178,0.18,-1.34798000000002)); #245368=CARTESIAN_POINT('',(-9.234178,0.2,-1.34798000000002)); #245369=CARTESIAN_POINT('',(-9.234178,0.18,-1.34798000000002)); #245370=CARTESIAN_POINT('Origin',(-10.774156,0.2,-1.34798000000002)); #245371=CARTESIAN_POINT('',(-10.774156,0.2,-1.34798000000002)); #245372=CARTESIAN_POINT('',(-5.38707799999673,0.2,-1.34798000000002)); #245373=CARTESIAN_POINT('',(-10.774156,0.18,-1.34798000000002)); #245374=CARTESIAN_POINT('',(-10.774156,0.2,-1.34798000000002)); #245375=CARTESIAN_POINT('',(-10.774156,0.18,-1.34798000000002)); #245376=CARTESIAN_POINT('Origin',(-10.774156,0.2,-2.88796000000001)); #245377=CARTESIAN_POINT('',(-10.774156,0.2,-1.44398000000328)); #245378=CARTESIAN_POINT('',(-10.774156,0.18,-2.88796000000001)); #245379=CARTESIAN_POINT('Origin',(-11.082151,0.2,-3.19595000000001)); #245380=CARTESIAN_POINT('',(-11.082151,0.2,-3.19595000000001)); #245381=CARTESIAN_POINT('',(-8.92618700000001,0.2,-3.19595000000001)); #245382=CARTESIAN_POINT('',(-5.54107549999672,0.2,-3.19595000000001)); #245383=CARTESIAN_POINT('',(-11.082151,0.18,-3.19595000000001)); #245384=CARTESIAN_POINT('',(-11.082151,0.2,-3.19595000000001)); #245385=CARTESIAN_POINT('',(-8.92618700000001,0.18,-3.19595000000001)); #245386=CARTESIAN_POINT('',(-9.234178,0.18,-3.19595000000001)); #245387=CARTESIAN_POINT('',(-8.92618700000001,0.2,-3.19595000000001)); #245388=CARTESIAN_POINT('Origin',(-11.082151,0.2,-1.03999)); #245389=CARTESIAN_POINT('',(-11.082151,0.2,-1.03999)); #245390=CARTESIAN_POINT('',(-11.082151,0.2,-0.519995000003276)); #245391=CARTESIAN_POINT('',(-11.082151,0.18,-1.03999)); #245392=CARTESIAN_POINT('',(-11.082151,0.2,-1.03999)); #245393=CARTESIAN_POINT('',(-11.082151,0.18,-2.88796000000001)); #245394=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,-1.03999)); #245395=CARTESIAN_POINT('',(-8.92618700000001,0.2,-1.03999)); #245396=CARTESIAN_POINT('',(-4.46309349999673,0.2,-1.03999)); #245397=CARTESIAN_POINT('',(-8.92618700000001,0.18,-1.03999)); #245398=CARTESIAN_POINT('',(-8.92618700000001,0.2,-1.03999)); #245399=CARTESIAN_POINT('',(-10.774156,0.18,-1.03999)); #245400=CARTESIAN_POINT('Origin',(-8.92618700000001,0.2,-3.19595000000001)); #245401=CARTESIAN_POINT('',(-8.92618700000001,0.2,-1.59797500000328)); #245402=CARTESIAN_POINT('',(-8.92618700000001,0.18,-1.34798000000002)); #245403=CARTESIAN_POINT('Origin',(-10.004169,0.18,-2.11797000000001)); #245404=CARTESIAN_POINT('Origin',(-2.693102,0.2,1.02287999999998)); #245405=CARTESIAN_POINT('',(-2.693102,0.2,1.02287999999998)); #245406=CARTESIAN_POINT('',(-2.693102,0.2,1.26361999999999)); #245407=CARTESIAN_POINT('',(-2.693102,0.2,0.511439999996714)); #245408=CARTESIAN_POINT('',(-2.693102,0.18,1.02287999999998)); #245409=CARTESIAN_POINT('',(-2.693102,0.2,1.02287999999998)); #245410=CARTESIAN_POINT('',(-2.693102,0.18,1.26361999999999)); #245411=CARTESIAN_POINT('',(-2.693102,0.18,1.02287999999998)); #245412=CARTESIAN_POINT('',(-2.693102,0.2,1.26361999999999)); #245413=CARTESIAN_POINT('Origin',(-2.525667,0.2,1.02287999999998)); #245414=CARTESIAN_POINT('',(-2.525667,0.2,1.02287999999998)); #245415=CARTESIAN_POINT('',(-1.26283349999673,0.2,1.02287999999998)); #245416=CARTESIAN_POINT('',(-2.525667,0.18,1.02287999999998)); #245417=CARTESIAN_POINT('',(-2.525667,0.2,1.02287999999998)); #245418=CARTESIAN_POINT('',(-2.525667,0.18,1.02287999999998)); #245419=CARTESIAN_POINT('Origin',(-2.51150034417055,0.2,1.22502087622982)); #245420=CARTESIAN_POINT('',(-2.39671500000001,0.2,1.05802999999998)); #245421=CARTESIAN_POINT('Origin',(-2.51150034417055,0.2,1.22502087622982)); #245422=CARTESIAN_POINT('',(-2.39671500000001,0.18,1.05802999999998)); #245423=CARTESIAN_POINT('',(-2.39671500000001,0.2,1.05802999999998)); #245424=CARTESIAN_POINT('Origin',(-2.51150034417055,0.18,1.22502087622982)); #245425=CARTESIAN_POINT('Origin',(-2.45378485761051,0.2,1.14200396945357)); #245426=CARTESIAN_POINT('',(-2.35254100000001,0.2,1.13436999999999)); #245427=CARTESIAN_POINT('Origin',(-2.45378485761051,0.2,1.14200396945357)); #245428=CARTESIAN_POINT('',(-2.35254100000001,0.18,1.13436999999999)); #245429=CARTESIAN_POINT('',(-2.35254100000001,0.2,1.13436999999999)); #245430=CARTESIAN_POINT('Origin',(-2.45378485761051,0.18,1.14200396945357)); #245431=CARTESIAN_POINT('Origin',(-2.44293816937271,0.2,1.12989836581136)); #245432=CARTESIAN_POINT('',(-2.375696,0.2,1.19047999999999)); #245433=CARTESIAN_POINT('Origin',(-2.44293816937271,0.2,1.12989836581136)); #245434=CARTESIAN_POINT('',(-2.375696,0.18,1.19047999999999)); #245435=CARTESIAN_POINT('',(-2.375696,0.2,1.19047999999999)); #245436=CARTESIAN_POINT('Origin',(-2.44293816937271,0.18,1.12989836581136)); #245437=CARTESIAN_POINT('Origin',(-2.52174617008352,0.2,1.0488237235325)); #245438=CARTESIAN_POINT('',(-2.465822,0.2,1.24444999999998)); #245439=CARTESIAN_POINT('Origin',(-2.52174617008352,0.2,1.0488237235325)); #245440=CARTESIAN_POINT('',(-2.465822,0.18,1.24444999999998)); #245441=CARTESIAN_POINT('',(-2.465822,0.2,1.24444999999998)); #245442=CARTESIAN_POINT('Origin',(-2.52174617008352,0.18,1.0488237235325)); #245443=CARTESIAN_POINT('Origin',(-2.57410357319073,0.2,0.948873163165498)); #245444=CARTESIAN_POINT('',(-2.57910300000001,0.2,1.26361999999999)); #245445=CARTESIAN_POINT('Origin',(-2.57410357319073,0.2,0.948873163165498)); #245446=CARTESIAN_POINT('',(-2.57910300000001,0.18,1.26361999999999)); #245447=CARTESIAN_POINT('',(-2.57910300000001,0.2,1.26361999999999)); #245448=CARTESIAN_POINT('Origin',(-2.57410357319073,0.18,0.948873163165498)); #245449=CARTESIAN_POINT('Origin',(-2.693102,0.2,1.26361999999999)); #245450=CARTESIAN_POINT('',(-1.34655099999673,0.2,1.26361999999999)); #245451=CARTESIAN_POINT('',(-2.693102,0.18,1.26361999999999)); #245452=CARTESIAN_POINT('Origin',(-3.40923904946369,0.2,2.35781134119614)); #245453=CARTESIAN_POINT('',(-2.267755,0.2,1.46600999999999)); #245454=CARTESIAN_POINT('',(-2.17940699999999,0.2,1.59243)); #245455=CARTESIAN_POINT('Origin',(-3.40923904946369,0.2,2.35781134119614)); #245456=CARTESIAN_POINT('',(-2.267755,0.18,1.46600999999999)); #245457=CARTESIAN_POINT('',(-2.267755,0.2,1.46600999999999)); #245458=CARTESIAN_POINT('',(-2.17940699999999,0.18,1.59243)); #245459=CARTESIAN_POINT('Origin',(-3.40923904946369,0.18,2.35781134119614)); #245460=CARTESIAN_POINT('',(-2.17940699999999,0.2,1.59243)); #245461=CARTESIAN_POINT('Origin',(-2.9752135288787,0.2,2.04264614429091)); #245462=CARTESIAN_POINT('',(-2.36679200000001,0.2,1.36233000000001)); #245463=CARTESIAN_POINT('Origin',(-2.9752135288787,0.2,2.04264614429091)); #245464=CARTESIAN_POINT('',(-2.36679200000001,0.18,1.36233000000001)); #245465=CARTESIAN_POINT('',(-2.36679200000001,0.2,1.36233000000001)); #245466=CARTESIAN_POINT('Origin',(-2.9752135288787,0.18,2.04264614429091)); #245467=CARTESIAN_POINT('Origin',(-2.52008285880263,0.2,1.05331972736098)); #245468=CARTESIAN_POINT('',(-2.24638499999999,0.2,1.26325999999999)); #245469=CARTESIAN_POINT('Origin',(-2.52008285880263,0.2,1.05331972736098)); #245470=CARTESIAN_POINT('',(-2.24638499999999,0.18,1.26325999999999)); #245471=CARTESIAN_POINT('',(-2.24638499999999,0.2,1.26325999999999)); #245472=CARTESIAN_POINT('Origin',(-2.52008285880263,0.18,1.05331972736098)); #245473=CARTESIAN_POINT('Origin',(-2.41945140830758,0.2,1.13914875251158)); #245474=CARTESIAN_POINT('',(-2.206483,0.2,1.13935)); #245475=CARTESIAN_POINT('Origin',(-2.41945140830758,0.2,1.13914875251158)); #245476=CARTESIAN_POINT('',(-2.206483,0.18,1.13935)); #245477=CARTESIAN_POINT('',(-2.206483,0.2,1.13935)); #245478=CARTESIAN_POINT('Origin',(-2.41945140830758,0.18,1.13914875251158)); #245479=CARTESIAN_POINT('Origin',(-2.45702699691768,0.2,1.14183940730753)); #245480=CARTESIAN_POINT('',(-2.29162,0.2,0.95364)); #245481=CARTESIAN_POINT('Origin',(-2.45702699691768,0.2,1.14183940730753)); #245482=CARTESIAN_POINT('',(-2.29162,0.18,0.95364)); #245483=CARTESIAN_POINT('',(-2.29162,0.2,0.95364)); #245484=CARTESIAN_POINT('Origin',(-2.45702699691768,0.18,1.14183940730753)); #245485=CARTESIAN_POINT('Origin',(-2.51123820822674,0.2,1.22872012695211)); #245486=CARTESIAN_POINT('',(-2.53136599999999,0.2,0.877299999999988)); #245487=CARTESIAN_POINT('Origin',(-2.51123820822674,0.2,1.22872012695211)); #245488=CARTESIAN_POINT('',(-2.53136599999999,0.18,0.877299999999988)); #245489=CARTESIAN_POINT('',(-2.53136599999999,0.2,0.877299999999988)); #245490=CARTESIAN_POINT('Origin',(-2.51123820822674,0.18,1.22872012695211)); #245491=CARTESIAN_POINT('Origin',(-2.861955,0.2,0.876589999999986)); #245492=CARTESIAN_POINT('',(-2.861955,0.2,0.876589999999986)); #245493=CARTESIAN_POINT('',(-1.4319254142846,0.2,0.879661248607351)); #245494=CARTESIAN_POINT('',(-2.861955,0.18,0.876589999999986)); #245495=CARTESIAN_POINT('',(-2.861955,0.2,0.876589999999986)); #245496=CARTESIAN_POINT('',(-2.861955,0.18,0.876589999999986)); #245497=CARTESIAN_POINT('Origin',(-2.87320478272608,0.2,0.98450143744536)); #245498=CARTESIAN_POINT('',(-2.93712000000001,0.2,0.896829999999991)); #245499=CARTESIAN_POINT('Origin',(-2.87320478272608,0.2,0.98450143744536)); #245500=CARTESIAN_POINT('',(-2.93712000000001,0.18,0.896829999999991)); #245501=CARTESIAN_POINT('',(-2.93712000000001,0.2,0.896829999999991)); #245502=CARTESIAN_POINT('Origin',(-2.87320478272608,0.18,0.98450143744536)); #245503=CARTESIAN_POINT('Origin',(-2.88887276551218,0.2,0.948513816319707)); #245504=CARTESIAN_POINT('',(-2.959566,0.2,0.949729999999995)); #245505=CARTESIAN_POINT('Origin',(-2.88887276551218,0.2,0.948513816319707)); #245506=CARTESIAN_POINT('',(-2.959566,0.18,0.949729999999995)); #245507=CARTESIAN_POINT('',(-2.959566,0.2,0.949729999999995)); #245508=CARTESIAN_POINT('Origin',(-2.88887276551218,0.18,0.948513816319707)); #245509=CARTESIAN_POINT('Origin',(-2.88886152350186,0.2,0.950951183680253)); #245510=CARTESIAN_POINT('',(-2.93712,0.2,1.00263999999999)); #245511=CARTESIAN_POINT('Origin',(-2.88886152350186,0.2,0.950951183680253)); #245512=CARTESIAN_POINT('',(-2.93712,0.18,1.00263999999999)); #245513=CARTESIAN_POINT('',(-2.93712,0.2,1.00263999999999)); #245514=CARTESIAN_POINT('Origin',(-2.88886152350186,0.18,0.950951183680253)); #245515=CARTESIAN_POINT('Origin',(-2.87320478272613,0.2,0.914968562554748)); #245516=CARTESIAN_POINT('',(-2.861955,0.2,1.02287999999998)); #245517=CARTESIAN_POINT('Origin',(-2.87320478272613,0.2,0.914968562554748)); #245518=CARTESIAN_POINT('',(-2.861955,0.18,1.02287999999998)); #245519=CARTESIAN_POINT('',(-2.861955,0.2,1.02287999999998)); #245520=CARTESIAN_POINT('Origin',(-2.87320478272613,0.18,0.914968562554748)); #245521=CARTESIAN_POINT('Origin',(-2.839159,0.2,1.02287999999998)); #245522=CARTESIAN_POINT('',(-2.839159,0.2,1.02287999999998)); #245523=CARTESIAN_POINT('',(-1.41957949999673,0.2,1.02287999999998)); #245524=CARTESIAN_POINT('',(-2.839159,0.18,1.02287999999998)); #245525=CARTESIAN_POINT('',(-2.839159,0.2,1.02287999999998)); #245526=CARTESIAN_POINT('',(-2.839159,0.18,1.02287999999998)); #245527=CARTESIAN_POINT('Origin',(-2.839159,0.2,1.59243)); #245528=CARTESIAN_POINT('',(-2.839159,0.2,1.59243)); #245529=CARTESIAN_POINT('',(-2.839159,0.2,0.796214999996724)); #245530=CARTESIAN_POINT('',(-2.839159,0.18,1.59243)); #245531=CARTESIAN_POINT('',(-2.839159,0.2,1.59243)); #245532=CARTESIAN_POINT('',(-2.839159,0.18,1.59243)); #245533=CARTESIAN_POINT('Origin',(-2.861955,0.2,1.59243)); #245534=CARTESIAN_POINT('',(-2.861955,0.2,1.59243)); #245535=CARTESIAN_POINT('',(-1.43097749999672,0.2,1.59243)); #245536=CARTESIAN_POINT('',(-2.861955,0.18,1.59243)); #245537=CARTESIAN_POINT('',(-2.861955,0.2,1.59243)); #245538=CARTESIAN_POINT('',(-2.861955,0.18,1.59243)); #245539=CARTESIAN_POINT('Origin',(-2.87272760753093,0.2,1.70101821497983)); #245540=CARTESIAN_POINT('',(-2.93676100000001,0.2,1.61265999999998)); #245541=CARTESIAN_POINT('Origin',(-2.87272760753093,0.2,1.70101821497983)); #245542=CARTESIAN_POINT('',(-2.93676100000001,0.18,1.61265999999998)); #245543=CARTESIAN_POINT('',(-2.93676100000001,0.2,1.61265999999998)); #245544=CARTESIAN_POINT('Origin',(-2.87272760753093,0.18,1.70101821497983)); #245545=CARTESIAN_POINT('Origin',(-2.89009327690905,0.2,1.66412956776989)); #245546=CARTESIAN_POINT('',(-2.95956600000001,0.2,1.66485999999999)); #245547=CARTESIAN_POINT('Origin',(-2.89009327690905,0.2,1.66412956776989)); #245548=CARTESIAN_POINT('',(-2.95956600000001,0.18,1.66485999999999)); #245549=CARTESIAN_POINT('',(-2.95956600000001,0.2,1.66485999999999)); #245550=CARTESIAN_POINT('Origin',(-2.89009327690905,0.18,1.66412956776989)); #245551=CARTESIAN_POINT('Origin',(-2.88887258773906,0.2,1.66607610824933)); #245552=CARTESIAN_POINT('',(-2.93712,0.2,1.71775999999998)); #245553=CARTESIAN_POINT('Origin',(-2.88887258773906,0.2,1.66607610824933)); #245554=CARTESIAN_POINT('',(-2.93712,0.18,1.71775999999998)); #245555=CARTESIAN_POINT('',(-2.93712,0.2,1.71775999999998)); #245556=CARTESIAN_POINT('Origin',(-2.88887258773906,0.18,1.66607610824933)); #245557=CARTESIAN_POINT('Origin',(-2.87320469606087,0.2,1.63008824070724)); #245558=CARTESIAN_POINT('',(-2.861955,0.2,1.73799999999998)); #245559=CARTESIAN_POINT('Origin',(-2.87320469606087,0.2,1.63008824070724)); #245560=CARTESIAN_POINT('',(-2.861955,0.18,1.73799999999998)); #245561=CARTESIAN_POINT('',(-2.861955,0.2,1.73799999999998)); #245562=CARTESIAN_POINT('Origin',(-2.87320469606087,0.18,1.63008824070724)); #245563=CARTESIAN_POINT('Origin',(-2.640375,0.2,1.73799999999998)); #245564=CARTESIAN_POINT('',(-2.640375,0.2,1.73799999999998)); #245565=CARTESIAN_POINT('',(-1.32018749999672,0.2,1.73799999999998)); #245566=CARTESIAN_POINT('',(-2.640375,0.18,1.73799999999998)); #245567=CARTESIAN_POINT('',(-2.640375,0.2,1.73799999999998)); #245568=CARTESIAN_POINT('',(-2.640375,0.18,1.73799999999998)); #245569=CARTESIAN_POINT('Origin',(-2.62912522487608,0.2,1.63008853432261)); #245570=CARTESIAN_POINT('',(-2.56521,0.2,1.71775999999999)); #245571=CARTESIAN_POINT('Origin',(-2.62912522487608,0.2,1.63008853432261)); #245572=CARTESIAN_POINT('',(-2.56521,0.18,1.71775999999999)); #245573=CARTESIAN_POINT('',(-2.56521,0.2,1.71775999999999)); #245574=CARTESIAN_POINT('Origin',(-2.62912522487608,0.18,1.63008853432261)); #245575=CARTESIAN_POINT('Origin',(-2.61266322714367,0.2,1.66644010188444)); #245576=CARTESIAN_POINT('',(-2.54277199999999,0.2,1.66556999999999)); #245577=CARTESIAN_POINT('Origin',(-2.61266322714367,0.2,1.66644010188444)); #245578=CARTESIAN_POINT('',(-2.54277199999999,0.18,1.66556999999999)); #245579=CARTESIAN_POINT('',(-2.54277199999999,0.2,1.66556999999999)); #245580=CARTESIAN_POINT('Origin',(-2.61266322714367,0.18,1.66644010188444)); #245581=CARTESIAN_POINT('Origin',(-2.61347265430491,0.2,1.66433989811555)); #245582=CARTESIAN_POINT('',(-2.56521,0.2,1.61265999999999)); #245583=CARTESIAN_POINT('Origin',(-2.61347265430491,0.2,1.66433989811555)); #245584=CARTESIAN_POINT('',(-2.56521,0.18,1.61265999999999)); #245585=CARTESIAN_POINT('',(-2.56521,0.2,1.61265999999999)); #245586=CARTESIAN_POINT('Origin',(-2.61347265430491,0.18,1.66433989811555)); #245587=CARTESIAN_POINT('Origin',(-2.62911221463652,0.2,1.7003364656774)); #245588=CARTESIAN_POINT('',(-2.640375,0.2,1.59243)); #245589=CARTESIAN_POINT('Origin',(-2.62911221463652,0.2,1.7003364656774)); #245590=CARTESIAN_POINT('',(-2.640375,0.18,1.59243)); #245591=CARTESIAN_POINT('',(-2.640375,0.2,1.59243)); #245592=CARTESIAN_POINT('Origin',(-2.62911221463652,0.18,1.7003364656774)); #245593=CARTESIAN_POINT('Origin',(-2.693102,0.2,1.59243)); #245594=CARTESIAN_POINT('',(-2.693102,0.2,1.59243)); #245595=CARTESIAN_POINT('',(-1.34655099999673,0.2,1.59243)); #245596=CARTESIAN_POINT('',(-2.693102,0.18,1.59243)); #245597=CARTESIAN_POINT('',(-2.693102,0.2,1.59243)); #245598=CARTESIAN_POINT('',(-2.693102,0.18,1.59243)); #245599=CARTESIAN_POINT('Origin',(-2.693102,0.2,1.40920999999999)); #245600=CARTESIAN_POINT('',(-2.693102,0.2,1.40920999999999)); #245601=CARTESIAN_POINT('',(-2.693102,0.2,0.704604999996718)); #245602=CARTESIAN_POINT('',(-2.693102,0.18,1.40920999999999)); #245603=CARTESIAN_POINT('',(-2.693102,0.2,1.40920999999999)); #245604=CARTESIAN_POINT('',(-2.693102,0.18,1.40920999999999)); #245605=CARTESIAN_POINT('Origin',(-2.548468,0.2,1.40919999999998)); #245606=CARTESIAN_POINT('',(-2.548468,0.2,1.40919999999998)); #245607=CARTESIAN_POINT('',(-1.27418529001866,0.2,1.40911189604715)); #245608=CARTESIAN_POINT('',(-2.548468,0.18,1.40919999999998)); #245609=CARTESIAN_POINT('',(-2.548468,0.2,1.40919999999998)); #245610=CARTESIAN_POINT('',(-2.548468,0.18,1.40919999999998)); #245611=CARTESIAN_POINT('Origin',(-2.486261,0.2,1.45052999999999)); #245612=CARTESIAN_POINT('',(-2.486261,0.2,1.45052999999999)); #245613=CARTESIAN_POINT('',(-1.95812337489308,0.2,1.80142182962809)); #245614=CARTESIAN_POINT('',(-2.486261,0.18,1.45052999999999)); #245615=CARTESIAN_POINT('',(-2.486261,0.2,1.45052999999999)); #245616=CARTESIAN_POINT('',(-2.486261,0.18,1.45052999999999)); #245617=CARTESIAN_POINT('Origin',(-2.41648299999999,0.2,1.51909999999999)); #245618=CARTESIAN_POINT('',(-2.41648299999999,0.2,1.51909999999999)); #245619=CARTESIAN_POINT('',(-2.18153026315011,0.2,1.74998522407911)); #245620=CARTESIAN_POINT('',(-2.41648299999999,0.18,1.51909999999999)); #245621=CARTESIAN_POINT('',(-2.41648299999999,0.2,1.51909999999999)); #245622=CARTESIAN_POINT('',(-2.41648299999999,0.18,1.51909999999999)); #245623=CARTESIAN_POINT('Origin',(-2.339136,0.2,1.61492999999998)); #245624=CARTESIAN_POINT('',(-2.339136,0.2,1.61492999999998)); #245625=CARTESIAN_POINT('',(-2.27241107686765,0.2,1.69759964955037)); #245626=CARTESIAN_POINT('',(-2.339136,0.18,1.61492999999998)); #245627=CARTESIAN_POINT('',(-2.339136,0.2,1.61492999999998)); #245628=CARTESIAN_POINT('',(-2.339136,0.18,1.61492999999998)); #245629=CARTESIAN_POINT('Origin',(-2.25422099999999,0.2,1.73799999999998)); #245630=CARTESIAN_POINT('',(-2.25422099999999,0.2,1.73799999999998)); #245631=CARTESIAN_POINT('',(-2.29690962218074,0.2,1.67613002730041)); #245632=CARTESIAN_POINT('',(-2.25422099999999,0.18,1.73799999999998)); #245633=CARTESIAN_POINT('',(-2.25422099999999,0.2,1.73799999999998)); #245634=CARTESIAN_POINT('',(-2.25422099999999,0.18,1.73799999999998)); #245635=CARTESIAN_POINT('Origin',(-2.17086200000001,0.2,1.73799999999998)); #245636=CARTESIAN_POINT('',(-2.17086200000001,0.2,1.73799999999998)); #245637=CARTESIAN_POINT('',(-1.08543099999673,0.2,1.73799999999998)); #245638=CARTESIAN_POINT('',(-2.17086200000001,0.18,1.73799999999998)); #245639=CARTESIAN_POINT('',(-2.17086200000001,0.2,1.73799999999998)); #245640=CARTESIAN_POINT('',(-2.17086200000001,0.18,1.73799999999998)); #245641=CARTESIAN_POINT('Origin',(-2.15947791382371,0.2,1.63169036191665)); #245642=CARTESIAN_POINT('',(-2.096048,0.2,1.71775999999998)); #245643=CARTESIAN_POINT('Origin',(-2.15947791382371,0.2,1.63169036191665)); #245644=CARTESIAN_POINT('',(-2.096048,0.18,1.71775999999998)); #245645=CARTESIAN_POINT('',(-2.096048,0.2,1.71775999999998)); #245646=CARTESIAN_POINT('Origin',(-2.15947791382371,0.18,1.63169036191665)); #245647=CARTESIAN_POINT('Origin',(-2.14431137637859,0.2,1.66656611173453)); #245648=CARTESIAN_POINT('',(-2.073961,0.2,1.66556999999999)); #245649=CARTESIAN_POINT('Origin',(-2.14431137637859,0.2,1.66656611173453)); #245650=CARTESIAN_POINT('',(-2.073961,0.18,1.66556999999999)); #245651=CARTESIAN_POINT('',(-2.073961,0.2,1.66556999999999)); #245652=CARTESIAN_POINT('Origin',(-2.14431137637859,0.18,1.66656611173453)); #245653=CARTESIAN_POINT('Origin',(-2.14867573150761,0.2,1.66513788932539)); #245654=CARTESIAN_POINT('',(-2.10602699999999,0.2,1.60378999999999)); #245655=CARTESIAN_POINT('Origin',(-2.14867573150761,0.2,1.66513788932539)); #245656=CARTESIAN_POINT('',(-2.10602699999999,0.18,1.60378999999999)); #245657=CARTESIAN_POINT('',(-2.10602699999999,0.2,1.60378999999999)); #245658=CARTESIAN_POINT('Origin',(-2.14867573150761,0.18,1.66513788932539)); #245659=CARTESIAN_POINT('Origin',(-2.162182475931,0.2,1.72384737885723)); #245660=CARTESIAN_POINT('Origin',(-2.162182475931,0.2,1.72384737885723)); #245661=CARTESIAN_POINT('Origin',(-2.162182475931,0.18,1.72384737885723)); #245662=CARTESIAN_POINT('Origin',(-2.51676524669089,0.18,1.30730648978894)); #245663=CARTESIAN_POINT('Origin',(-3.754022,0.2,3.19109999999998)); #245664=CARTESIAN_POINT('',(-3.754022,0.2,3.19109999999998)); #245665=CARTESIAN_POINT('',(-3.754022,0.2,3.02683999999999)); #245666=CARTESIAN_POINT('',(-3.754022,0.2,1.59554999999672)); #245667=CARTESIAN_POINT('',(-3.754022,0.18,3.19109999999998)); #245668=CARTESIAN_POINT('',(-3.754022,0.2,3.19109999999998)); #245669=CARTESIAN_POINT('',(-3.754022,0.18,3.02683999999999)); #245670=CARTESIAN_POINT('',(-3.754022,0.18,3.19109999999998)); #245671=CARTESIAN_POINT('',(-3.754022,0.2,3.02683999999999)); #245672=CARTESIAN_POINT('Origin',(-3.7108323230682,0.2,3.19545627869479)); #245673=CARTESIAN_POINT('',(-3.745523,0.2,3.22154999999999)); #245674=CARTESIAN_POINT('Origin',(-3.7108323230682,0.2,3.19545627869479)); #245675=CARTESIAN_POINT('',(-3.745523,0.18,3.22154999999999)); #245676=CARTESIAN_POINT('',(-3.745523,0.2,3.22154999999999)); #245677=CARTESIAN_POINT('Origin',(-3.7108323230682,0.18,3.19545627869479)); #245678=CARTESIAN_POINT('Origin',(-3.72439667442875,0.2,3.20189409183335)); #245679=CARTESIAN_POINT('',(-3.72428299999999,0.2,3.23074999999999)); #245680=CARTESIAN_POINT('Origin',(-3.72439667442875,0.2,3.20189409183335)); #245681=CARTESIAN_POINT('',(-3.72428299999999,0.18,3.23074999999999)); #245682=CARTESIAN_POINT('',(-3.72428299999999,0.2,3.23074999999999)); #245683=CARTESIAN_POINT('Origin',(-3.72439667442875,0.18,3.20189409183335)); #245684=CARTESIAN_POINT('Origin',(-3.72369827232492,0.2,3.20218687116659)); #245685=CARTESIAN_POINT('',(-3.70269199999999,0.2,3.22154999999997)); #245686=CARTESIAN_POINT('Origin',(-3.72369827232492,0.2,3.20218687116659)); #245687=CARTESIAN_POINT('',(-3.70269199999999,0.18,3.22154999999997)); #245688=CARTESIAN_POINT('',(-3.70269199999999,0.2,3.22154999999997)); #245689=CARTESIAN_POINT('Origin',(-3.72369827232492,0.18,3.20218687116659)); #245690=CARTESIAN_POINT('Origin',(-3.73881089548846,0.2,3.19558011104524)); #245691=CARTESIAN_POINT('',(-3.694551,0.2,3.19109999999998)); #245692=CARTESIAN_POINT('Origin',(-3.73881089548846,0.2,3.19558011104524)); #245693=CARTESIAN_POINT('',(-3.694551,0.18,3.19109999999998)); #245694=CARTESIAN_POINT('',(-3.694551,0.2,3.19109999999998)); #245695=CARTESIAN_POINT('Origin',(-3.73881089548846,0.18,3.19558011104524)); #245696=CARTESIAN_POINT('Origin',(-3.694551,0.2,3.15711999999998)); #245697=CARTESIAN_POINT('',(-3.694551,0.2,3.15711999999998)); #245698=CARTESIAN_POINT('',(-3.694551,0.2,1.57855999999671)); #245699=CARTESIAN_POINT('',(-3.694551,0.18,3.15711999999998)); #245700=CARTESIAN_POINT('',(-3.694551,0.2,3.15711999999998)); #245701=CARTESIAN_POINT('',(-3.694551,0.18,3.15711999999998)); #245702=CARTESIAN_POINT('Origin',(-3.45716938391027,0.2,2.91571574013007)); #245703=CARTESIAN_POINT('',(-3.44674800000001,0.2,3.25411999999998)); #245704=CARTESIAN_POINT('Origin',(-3.45716938391027,0.2,2.91571574013007)); #245705=CARTESIAN_POINT('',(-3.44674800000001,0.18,3.25411999999998)); #245706=CARTESIAN_POINT('',(-3.44674800000001,0.2,3.25411999999998)); #245707=CARTESIAN_POINT('Origin',(-3.45716938391027,0.18,2.91571574013007)); #245708=CARTESIAN_POINT('Origin',(-3.43202624325487,0.2,2.92800810354716)); #245709=CARTESIAN_POINT('',(-3.227266,0.2,3.18224999999998)); #245710=CARTESIAN_POINT('Origin',(-3.43202624325487,0.2,2.92800810354716)); #245711=CARTESIAN_POINT('',(-3.227266,0.18,3.18224999999998)); #245712=CARTESIAN_POINT('',(-3.227266,0.2,3.18224999999998)); #245713=CARTESIAN_POINT('Origin',(-3.43202624325487,0.18,2.92800810354716)); #245714=CARTESIAN_POINT('Origin',(-3.379182878246,0.2,3.00510922239001)); #245715=CARTESIAN_POINT('',(-3.14584499999999,0.2,3.00842999999999)); #245716=CARTESIAN_POINT('Origin',(-3.379182878246,0.2,3.00510922239001)); #245717=CARTESIAN_POINT('',(-3.14584499999999,0.18,3.00842999999999)); #245718=CARTESIAN_POINT('',(-3.14584499999999,0.2,3.00842999999999)); #245719=CARTESIAN_POINT('Origin',(-3.379182878246,0.18,3.00510922239001)); #245720=CARTESIAN_POINT('Origin',(-3.35752359156899,0.2,3.00421449731029)); #245721=CARTESIAN_POINT('',(-3.177347,0.2,2.89303)); #245722=CARTESIAN_POINT('Origin',(-3.35752359156899,0.2,3.00421449731029)); #245723=CARTESIAN_POINT('',(-3.177347,0.18,2.89303)); #245724=CARTESIAN_POINT('',(-3.177347,0.2,2.89303)); #245725=CARTESIAN_POINT('Origin',(-3.35752359156899,0.18,3.00421449731029)); #245726=CARTESIAN_POINT('Origin',(-3.35283425496621,0.2,3.01178205220536)); #245727=CARTESIAN_POINT('',(-3.270456,0.2,2.81655999999998)); #245728=CARTESIAN_POINT('Origin',(-3.35283425496621,0.2,3.01178205220536)); #245729=CARTESIAN_POINT('',(-3.270456,0.18,2.81655999999998)); #245730=CARTESIAN_POINT('',(-3.270456,0.2,2.81655999999998)); #245731=CARTESIAN_POINT('Origin',(-3.35283425496621,0.18,3.01178205220536)); #245732=CARTESIAN_POINT('Origin',(-3.50992312702576,0.2,3.44205027692652)); #245733=CARTESIAN_POINT('',(-3.43754000000001,0.2,2.77621)); #245734=CARTESIAN_POINT('Origin',(-3.50992312702576,0.2,3.44205027692652)); #245735=CARTESIAN_POINT('',(-3.43754000000001,0.18,2.77621)); #245736=CARTESIAN_POINT('',(-3.43754000000001,0.2,2.77621)); #245737=CARTESIAN_POINT('Origin',(-3.50992312702576,0.18,3.44205027692652)); #245738=CARTESIAN_POINT('Origin',(-3.33460225429114,0.2,2.04452170002089)); #245739=CARTESIAN_POINT('',(-3.543741,0.2,2.75319999999999)); #245740=CARTESIAN_POINT('Origin',(-3.33460225429114,0.2,2.04452170002089)); #245741=CARTESIAN_POINT('',(-3.543741,0.18,2.75319999999999)); #245742=CARTESIAN_POINT('',(-3.543741,0.2,2.75319999999999)); #245743=CARTESIAN_POINT('Origin',(-3.33460225429114,0.18,2.04452170002089)); #245744=CARTESIAN_POINT('Origin',(-3.47069398260537,0.2,2.52161200864071)); #245745=CARTESIAN_POINT('',(-3.604631,0.2,2.72416999999999)); #245746=CARTESIAN_POINT('Origin',(-3.47069398260537,0.2,2.52161200864071)); #245747=CARTESIAN_POINT('',(-3.604631,0.18,2.72416999999999)); #245748=CARTESIAN_POINT('',(-3.604631,0.2,2.72416999999999)); #245749=CARTESIAN_POINT('Origin',(-3.47069398260537,0.18,2.52161200864071)); #245750=CARTESIAN_POINT('Origin',(-3.52758750611101,0.2,2.61014154309546)); #245751=CARTESIAN_POINT('',(-3.647821,0.2,2.67709)); #245752=CARTESIAN_POINT('Origin',(-3.52758750611101,0.2,2.61014154309546)); #245753=CARTESIAN_POINT('',(-3.647821,0.18,2.67709)); #245754=CARTESIAN_POINT('',(-3.647821,0.2,2.67709)); #245755=CARTESIAN_POINT('Origin',(-3.52758750611101,0.18,2.61014154309546)); #245756=CARTESIAN_POINT('Origin',(-3.53268913079266,0.2,2.6137249543215)); #245757=CARTESIAN_POINT('',(-3.664102,0.2,2.61265999999999)); #245758=CARTESIAN_POINT('Origin',(-3.53268913079266,0.2,2.6137249543215)); #245759=CARTESIAN_POINT('',(-3.664102,0.18,2.61265999999999)); #245760=CARTESIAN_POINT('',(-3.664102,0.2,2.61265999999999)); #245761=CARTESIAN_POINT('Origin',(-3.53268913079266,0.18,2.6137249543215)); #245762=CARTESIAN_POINT('Origin',(-3.50392289468505,0.2,2.61682978264661)); #245763=CARTESIAN_POINT('',(-3.60605000000001,0.2,2.49335999999998)); #245764=CARTESIAN_POINT('Origin',(-3.50392289468505,0.2,2.61682978264661)); #245765=CARTESIAN_POINT('',(-3.60605000000001,0.18,2.49335999999998)); #245766=CARTESIAN_POINT('',(-3.60605000000001,0.2,2.49335999999998)); #245767=CARTESIAN_POINT('Origin',(-3.50392289468505,0.18,2.61682978264661)); #245768=CARTESIAN_POINT('Origin',(-3.46027584174302,0.2,2.67681810343994)); #245769=CARTESIAN_POINT('',(-3.44957900000001,0.2,2.44273999999999)); #245770=CARTESIAN_POINT('Origin',(-3.46027584174302,0.2,2.67681810343994)); #245771=CARTESIAN_POINT('',(-3.44957900000001,0.18,2.44273999999999)); #245772=CARTESIAN_POINT('',(-3.44957900000001,0.2,2.44273999999999)); #245773=CARTESIAN_POINT('Origin',(-3.46027584174302,0.18,2.67681810343994)); #245774=CARTESIAN_POINT('Origin',(-3.44018992925899,0.2,2.67740930398942)); #245775=CARTESIAN_POINT('',(-3.298418,0.2,2.49016999999998)); #245776=CARTESIAN_POINT('Origin',(-3.44018992925899,0.2,2.67740930398942)); #245777=CARTESIAN_POINT('',(-3.298418,0.18,2.49016999999998)); #245778=CARTESIAN_POINT('',(-3.298418,0.2,2.49016999999998)); #245779=CARTESIAN_POINT('Origin',(-3.44018992925899,0.18,2.67740930398942)); #245780=CARTESIAN_POINT('Origin',(-3.39023732021261,0.2,2.6137619098273)); #245781=CARTESIAN_POINT('',(-3.237177,0.2,2.59708)); #245782=CARTESIAN_POINT('Origin',(-3.39023732021261,0.2,2.6137619098273)); #245783=CARTESIAN_POINT('',(-3.237177,0.18,2.59708)); #245784=CARTESIAN_POINT('',(-3.237177,0.2,2.59708)); #245785=CARTESIAN_POINT('Origin',(-3.39023732021261,0.18,2.6137619098273)); #245786=CARTESIAN_POINT('Origin',(-3.19646667022054,0.2,2.59925309024345)); #245787=CARTESIAN_POINT('',(-3.228326,0.2,2.62468999999999)); #245788=CARTESIAN_POINT('Origin',(-3.19646667022054,0.2,2.59925309024345)); #245789=CARTESIAN_POINT('',(-3.228326,0.18,2.62468999999999)); #245790=CARTESIAN_POINT('',(-3.228326,0.2,2.62468999999999)); #245791=CARTESIAN_POINT('Origin',(-3.19646667022054,0.18,2.59925309024345)); #245792=CARTESIAN_POINT('Origin',(-3.20792202575134,0.2,2.6044642991082)); #245793=CARTESIAN_POINT('',(-3.207437,0.2,2.63318999999999)); #245794=CARTESIAN_POINT('Origin',(-3.20792202575134,0.2,2.6044642991082)); #245795=CARTESIAN_POINT('',(-3.207437,0.18,2.63318999999999)); #245796=CARTESIAN_POINT('',(-3.207437,0.2,2.63318999999999)); #245797=CARTESIAN_POINT('Origin',(-3.20792202575134,0.18,2.6044642991082)); #245798=CARTESIAN_POINT('Origin',(-3.20685223531689,0.2,2.60462695801877)); #245799=CARTESIAN_POINT('',(-3.18584599999999,0.2,2.62398999999998)); #245800=CARTESIAN_POINT('Origin',(-3.20685223531689,0.2,2.60462695801877)); #245801=CARTESIAN_POINT('',(-3.18584599999999,0.18,2.62398999999998)); #245802=CARTESIAN_POINT('',(-3.18584599999999,0.2,2.62398999999998)); #245803=CARTESIAN_POINT('Origin',(-3.20685223531689,0.18,2.60462695801877)); #245804=CARTESIAN_POINT('Origin',(-3.22196481097578,0.2,2.59802013364029)); #245805=CARTESIAN_POINT('',(-3.17770500000001,0.2,2.59353999999998)); #245806=CARTESIAN_POINT('Origin',(-3.22196481097578,0.2,2.59802013364029)); #245807=CARTESIAN_POINT('',(-3.17770500000001,0.18,2.59353999999998)); #245808=CARTESIAN_POINT('',(-3.17770500000001,0.2,2.59353999999998)); #245809=CARTESIAN_POINT('Origin',(-3.22196481097578,0.18,2.59802013364029)); #245810=CARTESIAN_POINT('Origin',(-3.17770500000001,0.2,2.44202999999999)); #245811=CARTESIAN_POINT('',(-3.17770500000001,0.2,2.44202999999999)); #245812=CARTESIAN_POINT('',(-3.17770500000001,0.2,1.22101499999672)); #245813=CARTESIAN_POINT('',(-3.17770500000001,0.18,2.44202999999999)); #245814=CARTESIAN_POINT('',(-3.17770500000001,0.2,2.44202999999999)); #245815=CARTESIAN_POINT('',(-3.17770500000001,0.18,2.44202999999999)); #245816=CARTESIAN_POINT('Origin',(-3.22195161251563,0.2,2.43755486635969)); #245817=CARTESIAN_POINT('',(-3.18584599999999,0.2,2.41159)); #245818=CARTESIAN_POINT('Origin',(-3.22195161251563,0.2,2.43755486635969)); #245819=CARTESIAN_POINT('',(-3.18584599999999,0.18,2.41159)); #245820=CARTESIAN_POINT('',(-3.18584599999999,0.2,2.41159)); #245821=CARTESIAN_POINT('Origin',(-3.22195161251563,0.18,2.43755486635969)); #245822=CARTESIAN_POINT('Origin',(-3.20686333394219,0.2,2.43094804198114)); #245823=CARTESIAN_POINT('',(-3.207437,0.2,2.40238)); #245824=CARTESIAN_POINT('Origin',(-3.20686333394219,0.2,2.43094804198114)); #245825=CARTESIAN_POINT('',(-3.207437,0.18,2.40238)); #245826=CARTESIAN_POINT('',(-3.207437,0.2,2.40238)); #245827=CARTESIAN_POINT('Origin',(-3.20686333394219,0.18,2.43094804198114)); #245828=CARTESIAN_POINT('Origin',(-3.20801466605781,0.2,2.43095692088138)); #245829=CARTESIAN_POINT('',(-3.229036,0.2,2.41159)); #245830=CARTESIAN_POINT('Origin',(-3.20801466605781,0.2,2.43095692088138)); #245831=CARTESIAN_POINT('',(-3.229036,0.18,2.41159)); #245832=CARTESIAN_POINT('',(-3.229036,0.2,2.41159)); #245833=CARTESIAN_POINT('Origin',(-3.20801466605781,0.18,2.43095692088138)); #245834=CARTESIAN_POINT('Origin',(-3.1929300565831,0.2,2.43755495485733)); #245835=CARTESIAN_POINT('',(-3.237177,0.2,2.44202999999999)); #245836=CARTESIAN_POINT('Origin',(-3.1929300565831,0.2,2.43755495485733)); #245837=CARTESIAN_POINT('',(-3.237177,0.18,2.44202999999999)); #245838=CARTESIAN_POINT('',(-3.237177,0.2,2.44202999999999)); #245839=CARTESIAN_POINT('Origin',(-3.1929300565831,0.18,2.43755495485733)); #245840=CARTESIAN_POINT('Origin',(-3.237177,0.2,2.46184999999999)); #245841=CARTESIAN_POINT('',(-3.237177,0.2,2.46184999999999)); #245842=CARTESIAN_POINT('',(-3.237177,0.2,1.23092499999672)); #245843=CARTESIAN_POINT('',(-3.237177,0.18,2.46184999999999)); #245844=CARTESIAN_POINT('',(-3.237177,0.2,2.46184999999999)); #245845=CARTESIAN_POINT('',(-3.237177,0.18,2.46184999999999)); #245846=CARTESIAN_POINT('Origin',(-3.44909549012058,0.2,2.70827305028806)); #245847=CARTESIAN_POINT('',(-3.4517,0.2,2.38326999999996)); #245848=CARTESIAN_POINT('Origin',(-3.44909549012058,0.2,2.70827305028806)); #245849=CARTESIAN_POINT('',(-3.4517,0.18,2.38326999999996)); #245850=CARTESIAN_POINT('',(-3.4517,0.2,2.38326999999996)); #245851=CARTESIAN_POINT('Origin',(-3.44909549012058,0.18,2.70827305028806)); #245852=CARTESIAN_POINT('Origin',(-3.46268693551095,0.2,2.67372344103592)); #245853=CARTESIAN_POINT('',(-3.64888199999999,0.2,2.45052999999999)); #245854=CARTESIAN_POINT('Origin',(-3.46268693551095,0.2,2.67372344103592)); #245855=CARTESIAN_POINT('',(-3.64888199999999,0.18,2.45052999999999)); #245856=CARTESIAN_POINT('',(-3.64888199999999,0.2,2.45052999999999)); #245857=CARTESIAN_POINT('Origin',(-3.46268693551095,0.18,2.67372344103592)); #245858=CARTESIAN_POINT('Origin',(-3.50574178844779,0.2,2.6147777320219)); #245859=CARTESIAN_POINT('',(-3.723581,0.2,2.61123999999999)); #245860=CARTESIAN_POINT('Origin',(-3.50574178844779,0.2,2.6147777320219)); #245861=CARTESIAN_POINT('',(-3.723581,0.18,2.61123999999999)); #245862=CARTESIAN_POINT('',(-3.723581,0.2,2.61123999999999)); #245863=CARTESIAN_POINT('Origin',(-3.50574178844779,0.18,2.6147777320219)); #245864=CARTESIAN_POINT('Origin',(-3.5420652631096,0.2,2.61696195465728)); #245865=CARTESIAN_POINT('',(-3.65844099999999,0.2,2.75637999999999)); #245866=CARTESIAN_POINT('Origin',(-3.5420652631096,0.2,2.61696195465728)); #245867=CARTESIAN_POINT('',(-3.65844099999999,0.18,2.75637999999999)); #245868=CARTESIAN_POINT('',(-3.65844099999999,0.2,2.75637999999999)); #245869=CARTESIAN_POINT('Origin',(-3.5420652631096,0.18,2.61696195465728)); #245870=CARTESIAN_POINT('Origin',(-3.40295167273092,0.2,2.37531228034625)); #245871=CARTESIAN_POINT('',(-3.44532900000001,0.2,2.83213999999999)); #245872=CARTESIAN_POINT('Origin',(-3.40295167273092,0.2,2.37531228034625)); #245873=CARTESIAN_POINT('',(-3.44532900000001,0.18,2.83213999999999)); #245874=CARTESIAN_POINT('',(-3.44532900000001,0.2,2.83213999999999)); #245875=CARTESIAN_POINT('Origin',(-3.40295167273092,0.18,2.37531228034625)); #245876=CARTESIAN_POINT('Origin',(-3.51076923632219,0.2,3.4286314623975)); #245877=CARTESIAN_POINT('',(-3.303728,0.2,2.86540999999998)); #245878=CARTESIAN_POINT('Origin',(-3.51076923632219,0.2,3.4286314623975)); #245879=CARTESIAN_POINT('',(-3.303728,0.18,2.86540999999998)); #245880=CARTESIAN_POINT('',(-3.303728,0.2,2.86540999999998)); #245881=CARTESIAN_POINT('Origin',(-3.51076923632219,0.18,3.4286314623975)); #245882=CARTESIAN_POINT('Origin',(-3.36655312371652,0.2,3.02139678342563)); #245883=CARTESIAN_POINT('',(-3.230096,0.2,2.92311999999999)); #245884=CARTESIAN_POINT('Origin',(-3.36655312371652,0.2,3.02139678342563)); #245885=CARTESIAN_POINT('',(-3.230096,0.18,2.92311999999999)); #245886=CARTESIAN_POINT('',(-3.230096,0.2,2.92311999999999)); #245887=CARTESIAN_POINT('Origin',(-3.36655312371652,0.18,3.02139678342563)); #245888=CARTESIAN_POINT('Origin',(-3.35291047210393,0.2,3.00498867254159)); #245889=CARTESIAN_POINT('',(-3.205316,0.2,3.00630999999999)); #245890=CARTESIAN_POINT('Origin',(-3.35291047210393,0.2,3.00498867254159)); #245891=CARTESIAN_POINT('',(-3.205316,0.18,3.00630999999999)); #245892=CARTESIAN_POINT('',(-3.205316,0.2,3.00630999999999)); #245893=CARTESIAN_POINT('Origin',(-3.35291047210393,0.18,3.00498867254159)); #245894=CARTESIAN_POINT('Origin',(-3.38232304533718,0.2,3.00175165843287)); #245895=CARTESIAN_POINT('',(-3.27009699999999,0.2,3.13870999999999)); #245896=CARTESIAN_POINT('Origin',(-3.38232304533718,0.2,3.00175165843287)); #245897=CARTESIAN_POINT('',(-3.27009699999999,0.18,3.13870999999999)); #245898=CARTESIAN_POINT('',(-3.27009699999999,0.2,3.13870999999999)); #245899=CARTESIAN_POINT('Origin',(-3.38232304533718,0.18,3.00175165843287)); #245900=CARTESIAN_POINT('Origin',(-3.43360422270382,0.2,2.92921877798406)); #245901=CARTESIAN_POINT('',(-3.44674800000001,0.2,3.19464000000002)); #245902=CARTESIAN_POINT('Origin',(-3.43360422270382,0.2,2.92921877798406)); #245903=CARTESIAN_POINT('',(-3.44674800000001,0.18,3.19464000000002)); #245904=CARTESIAN_POINT('',(-3.44674800000001,0.2,3.19464000000002)); #245905=CARTESIAN_POINT('Origin',(-3.43360422270382,0.18,2.92921877798406)); #245906=CARTESIAN_POINT('Origin',(-3.46012939991992,0.2,2.91593686549652)); #245907=CARTESIAN_POINT('',(-3.624811,0.2,3.14117999999999)); #245908=CARTESIAN_POINT('Origin',(-3.46012939991992,0.2,2.91593686549652)); #245909=CARTESIAN_POINT('',(-3.624811,0.18,3.14117999999999)); #245910=CARTESIAN_POINT('',(-3.624811,0.2,3.14117999999999)); #245911=CARTESIAN_POINT('Origin',(-3.46012939991992,0.18,2.91593686549652)); #245912=CARTESIAN_POINT('Origin',(-3.52640448607393,0.2,3.00350820531913)); #245913=CARTESIAN_POINT('',(-3.694551,0.2,3.02258999999999)); #245914=CARTESIAN_POINT('Origin',(-3.52640448607393,0.2,3.00350820531913)); #245915=CARTESIAN_POINT('',(-3.694551,0.18,3.02258999999999)); #245916=CARTESIAN_POINT('',(-3.694551,0.2,3.02258999999999)); #245917=CARTESIAN_POINT('Origin',(-3.52640448607393,0.18,3.00350820531913)); #245918=CARTESIAN_POINT('Origin',(-3.7352606798173,0.2,3.02041554749669)); #245919=CARTESIAN_POINT('',(-3.70340100000001,0.2,2.99498)); #245920=CARTESIAN_POINT('Origin',(-3.7352606798173,0.2,3.02041554749669)); #245921=CARTESIAN_POINT('',(-3.70340100000001,0.18,2.99498)); #245922=CARTESIAN_POINT('',(-3.70340100000001,0.2,2.99498)); #245923=CARTESIAN_POINT('Origin',(-3.7352606798173,0.18,3.02041554749669)); #245924=CARTESIAN_POINT('Origin',(-3.72380145518147,0.2,3.01519745212932)); #245925=CARTESIAN_POINT('',(-3.72428299999999,0.2,2.98648)); #245926=CARTESIAN_POINT('Origin',(-3.72380145518147,0.2,3.01519745212932)); #245927=CARTESIAN_POINT('',(-3.72428299999999,0.18,2.98648)); #245928=CARTESIAN_POINT('',(-3.72428299999999,0.2,2.98648)); #245929=CARTESIAN_POINT('Origin',(-3.72380145518147,0.18,3.01519745212932)); #245930=CARTESIAN_POINT('Origin',(-3.72486062900951,0.2,3.01505700776586)); #245931=CARTESIAN_POINT('',(-3.745882,0.2,2.99569)); #245932=CARTESIAN_POINT('Origin',(-3.72486062900951,0.2,3.01505700776586)); #245933=CARTESIAN_POINT('',(-3.745882,0.18,2.99569)); #245934=CARTESIAN_POINT('',(-3.745882,0.2,2.99569)); #245935=CARTESIAN_POINT('Origin',(-3.72486062900951,0.18,3.01505700776586)); #245936=CARTESIAN_POINT('Origin',(-3.70883871036234,0.2,3.02200856910595)); #245937=CARTESIAN_POINT('Origin',(-3.70883871036234,0.2,3.02200856910595)); #245938=CARTESIAN_POINT('Origin',(-3.70883871036234,0.18,3.02200856910595)); #245939=CARTESIAN_POINT('Origin',(-3.45004130317117,0.18,2.81875719937092)); #245940=CARTESIAN_POINT('Origin',(2.77154516842059,0.2,1.42146924313957)); #245941=CARTESIAN_POINT('',(2.76790500000001,0.2,1.70362999999998)); #245942=CARTESIAN_POINT('',(2.905188,0.2,1.66999999999998)); #245943=CARTESIAN_POINT('Origin',(2.77154516842059,0.2,1.42146924313957)); #245944=CARTESIAN_POINT('',(2.76790500000001,0.18,1.70362999999998)); #245945=CARTESIAN_POINT('',(2.76790500000001,0.2,1.70362999999998)); #245946=CARTESIAN_POINT('',(2.905188,0.18,1.66999999999998)); #245947=CARTESIAN_POINT('Origin',(2.77154516842059,0.18,1.42146924313957)); #245948=CARTESIAN_POINT('',(2.905188,0.2,1.66999999999998)); #245949=CARTESIAN_POINT('Origin',(2.77320322313889,0.2,1.38849302914585)); #245950=CARTESIAN_POINT('',(2.5437,0.2,1.60451999999998)); #245951=CARTESIAN_POINT('Origin',(2.77320322313889,0.2,1.38849302914585)); #245952=CARTESIAN_POINT('',(2.5437,0.18,1.60451999999998)); #245953=CARTESIAN_POINT('',(2.5437,0.2,1.60451999999998)); #245954=CARTESIAN_POINT('Origin',(2.77320322313889,0.18,1.38849302914585)); #245955=CARTESIAN_POINT('Origin',(2.78296474254245,0.2,1.3689642429337)); #245956=CARTESIAN_POINT('',(2.447211,0.2,1.37084999999999)); #245957=CARTESIAN_POINT('Origin',(2.78296474254245,0.2,1.3689642429337)); #245958=CARTESIAN_POINT('',(2.447211,0.18,1.37084999999999)); #245959=CARTESIAN_POINT('',(2.447211,0.2,1.37084999999999)); #245960=CARTESIAN_POINT('Origin',(2.78296474254245,0.18,1.3689642429337)); #245961=CARTESIAN_POINT('Origin',(2.447211,0.2,1.26564999999999)); #245962=CARTESIAN_POINT('',(2.447211,0.2,1.26564999999999)); #245963=CARTESIAN_POINT('',(2.447211,0.2,0.632824999996721)); #245964=CARTESIAN_POINT('',(2.447211,0.18,1.26564999999999)); #245965=CARTESIAN_POINT('',(2.447211,0.2,1.26564999999999)); #245966=CARTESIAN_POINT('',(2.447211,0.18,1.26564999999999)); #245967=CARTESIAN_POINT('Origin',(2.75307416000073,0.2,1.26111141421454)); #245968=CARTESIAN_POINT('',(2.46707800000001,0.2,1.15258000000001)); #245969=CARTESIAN_POINT('Origin',(2.75307416000073,0.2,1.26111141421454)); #245970=CARTESIAN_POINT('',(2.46707800000001,0.18,1.15258000000001)); #245971=CARTESIAN_POINT('',(2.46707800000001,0.2,1.15258000000001)); #245972=CARTESIAN_POINT('Origin',(2.75307416000073,0.18,1.26111141421454)); #245973=CARTESIAN_POINT('Origin',(2.89860026569928,0.2,1.32789965563543)); #245974=CARTESIAN_POINT('',(2.541571,0.2,1.02876999999998)); #245975=CARTESIAN_POINT('Origin',(2.89860026569928,0.2,1.32789965563543)); #245976=CARTESIAN_POINT('',(2.541571,0.18,1.02876999999998)); #245977=CARTESIAN_POINT('',(2.541571,0.2,1.02876999999998)); #245978=CARTESIAN_POINT('Origin',(2.89860026569928,0.18,1.32789965563543)); #245979=CARTESIAN_POINT('Origin',(2.70555822458765,0.2,1.17162887434937)); #245980=CARTESIAN_POINT('',(2.613943,0.2,0.974380000000004)); #245981=CARTESIAN_POINT('Origin',(2.70555822458765,0.2,1.17162887434937)); #245982=CARTESIAN_POINT('',(2.613943,0.18,0.974380000000004)); #245983=CARTESIAN_POINT('',(2.613943,0.2,0.974380000000004)); #245984=CARTESIAN_POINT('Origin',(2.70555822458765,0.18,1.17162887434937)); #245985=CARTESIAN_POINT('Origin',(2.74355341537435,0.2,1.2318751198625)); #245986=CARTESIAN_POINT('',(2.74378100000001,0.2,0.943599999999982)); #245987=CARTESIAN_POINT('Origin',(2.74355341537435,0.2,1.2318751198625)); #245988=CARTESIAN_POINT('',(2.74378100000001,0.18,0.943599999999982)); #245989=CARTESIAN_POINT('',(2.74378100000001,0.2,0.943599999999982)); #245990=CARTESIAN_POINT('Origin',(2.74355341537435,0.18,1.2318751198625)); #245991=CARTESIAN_POINT('Origin',(2.74424060776171,0.2,1.24948833705277)); #245992=CARTESIAN_POINT('',(2.93109000000001,0.2,1.00729999999999)); #245993=CARTESIAN_POINT('Origin',(2.74424060776171,0.2,1.24948833705277)); #245994=CARTESIAN_POINT('',(2.93109000000001,0.18,1.00729999999999)); #245995=CARTESIAN_POINT('',(2.93109000000001,0.2,1.00729999999999)); #245996=CARTESIAN_POINT('Origin',(2.74424060776171,0.18,1.24948833705277)); #245997=CARTESIAN_POINT('Origin',(2.84719279078364,0.2,1.13095543540284)); #245998=CARTESIAN_POINT('',(2.99564999999999,0.2,1.11393)); #245999=CARTESIAN_POINT('Origin',(2.84719279078364,0.2,1.13095543540284)); #246000=CARTESIAN_POINT('',(2.99564999999999,0.18,1.11393)); #246001=CARTESIAN_POINT('',(2.99564999999999,0.2,1.11393)); #246002=CARTESIAN_POINT('Origin',(2.84719279078364,0.18,1.13095543540284)); #246003=CARTESIAN_POINT('Origin',(3.03948629621491,0.2,1.11448005452054)); #246004=CARTESIAN_POINT('',(3.00523200000001,0.2,1.14184)); #246005=CARTESIAN_POINT('Origin',(3.03948629621491,0.2,1.11448005452054)); #246006=CARTESIAN_POINT('',(3.00523200000001,0.18,1.14184)); #246007=CARTESIAN_POINT('',(3.00523200000001,0.2,1.14184)); #246008=CARTESIAN_POINT('Origin',(3.03948629621491,0.18,1.11448005452054)); #246009=CARTESIAN_POINT('Origin',(3.02533439659247,0.2,1.12258145777311)); #246010=CARTESIAN_POINT('',(3.02545,0.2,1.15041999999999)); #246011=CARTESIAN_POINT('Origin',(3.02533439659247,0.2,1.12258145777311)); #246012=CARTESIAN_POINT('',(3.02545,0.18,1.15041999999999)); #246013=CARTESIAN_POINT('',(3.02545,0.2,1.15041999999999)); #246014=CARTESIAN_POINT('Origin',(3.02533439659247,0.18,1.12258145777311)); #246015=CARTESIAN_POINT('Origin',(3.02600936094545,0.2,1.12188337152306)); #246016=CARTESIAN_POINT('',(3.047095,0.2,1.14111999999999)); #246017=CARTESIAN_POINT('Origin',(3.02600936094545,0.2,1.12188337152306)); #246018=CARTESIAN_POINT('',(3.047095,0.18,1.14111999999999)); #246019=CARTESIAN_POINT('',(3.047095,0.2,1.14111999999999)); #246020=CARTESIAN_POINT('Origin',(3.02600936094545,0.18,1.12188337152306)); #246021=CARTESIAN_POINT('Origin',(3.00935385450091,0.2,1.11454528110892)); #246022=CARTESIAN_POINT('',(3.05525100000001,0.2,1.10963999999999)); #246023=CARTESIAN_POINT('Origin',(3.00935385450091,0.2,1.11454528110892)); #246024=CARTESIAN_POINT('',(3.05525100000001,0.18,1.10963999999999)); #246025=CARTESIAN_POINT('',(3.05525100000001,0.2,1.10963999999999)); #246026=CARTESIAN_POINT('Origin',(3.00935385450091,0.18,1.11454528110892)); #246027=CARTESIAN_POINT('Origin',(3.05525100000001,0.2,0.942889999999998)); #246028=CARTESIAN_POINT('',(3.05525100000001,0.2,0.942889999999998)); #246029=CARTESIAN_POINT('',(3.05525100000001,0.2,0.471444999996723)); #246030=CARTESIAN_POINT('',(3.05525100000001,0.18,0.942889999999998)); #246031=CARTESIAN_POINT('',(3.05525100000001,0.2,0.942889999999998)); #246032=CARTESIAN_POINT('',(3.05525100000001,0.18,0.942889999999998)); #246033=CARTESIAN_POINT('Origin',(3.01028375926118,0.2,0.938334718891021)); #246034=CARTESIAN_POINT('',(3.047095,0.2,0.912109999999977)); #246035=CARTESIAN_POINT('Origin',(3.01028375926118,0.2,0.938334718891021)); #246036=CARTESIAN_POINT('',(3.047095,0.18,0.912109999999977)); #246037=CARTESIAN_POINT('',(3.047095,0.2,0.912109999999977)); #246038=CARTESIAN_POINT('Origin',(3.01028375926118,0.18,0.938334718891021)); #246039=CARTESIAN_POINT('Origin',(3.02600936042377,0.2,0.931346629691134)); #246040=CARTESIAN_POINT('',(3.02545,0.2,0.902809999999992)); #246041=CARTESIAN_POINT('Origin',(3.02600936042377,0.2,0.931346629691134)); #246042=CARTESIAN_POINT('',(3.02545,0.18,0.902809999999992)); #246043=CARTESIAN_POINT('',(3.02545,0.2,0.902809999999992)); #246044=CARTESIAN_POINT('Origin',(3.02600936042377,0.18,0.931346629691134)); #246045=CARTESIAN_POINT('Origin',(3.02489463905458,0.2,0.931337799970322)); #246046=CARTESIAN_POINT('',(3.00381300000001,0.2,0.912109999999977)); #246047=CARTESIAN_POINT('Origin',(3.02489463905458,0.2,0.931337799970322)); #246048=CARTESIAN_POINT('',(3.00381300000001,0.18,0.912109999999977)); #246049=CARTESIAN_POINT('',(3.00381300000001,0.2,0.912109999999977)); #246050=CARTESIAN_POINT('Origin',(3.02489463905458,0.18,0.931337799970322)); #246051=CARTESIAN_POINT('Origin',(3.0406207407388,0.2,0.938344017938597)); #246052=CARTESIAN_POINT('',(2.99565,0.2,0.942889999999998)); #246053=CARTESIAN_POINT('Origin',(3.0406207407388,0.2,0.938344017938597)); #246054=CARTESIAN_POINT('',(2.99565,0.18,0.942889999999998)); #246055=CARTESIAN_POINT('',(2.99565,0.2,0.942889999999998)); #246056=CARTESIAN_POINT('Origin',(3.0406207407388,0.18,0.938344017938597)); #246057=CARTESIAN_POINT('Origin',(2.99565,0.2,0.985109999999985)); #246058=CARTESIAN_POINT('',(2.99565,0.2,0.985109999999985)); #246059=CARTESIAN_POINT('',(2.99565,0.2,0.492554999996716)); #246060=CARTESIAN_POINT('',(2.99565,0.18,0.985109999999985)); #246061=CARTESIAN_POINT('',(2.99565,0.2,0.985109999999985)); #246062=CARTESIAN_POINT('',(2.99565,0.18,0.985109999999985)); #246063=CARTESIAN_POINT('Origin',(2.74186722138665,0.2,1.25119456996888)); #246064=CARTESIAN_POINT('',(2.741652,0.2,0.883489999999991)); #246065=CARTESIAN_POINT('Origin',(2.74186722138665,0.2,1.25119456996888)); #246066=CARTESIAN_POINT('',(2.741652,0.18,0.883489999999991)); #246067=CARTESIAN_POINT('',(2.741652,0.2,0.883489999999991)); #246068=CARTESIAN_POINT('Origin',(2.74186722138665,0.18,1.25119456996888)); #246069=CARTESIAN_POINT('Origin',(2.74167395197019,0.2,1.22604229116174)); #246070=CARTESIAN_POINT('',(2.56391800000001,0.2,0.93321999999997)); #246071=CARTESIAN_POINT('Origin',(2.74167395197019,0.2,1.22604229116174)); #246072=CARTESIAN_POINT('',(2.56391800000001,0.18,0.93321999999997)); #246073=CARTESIAN_POINT('',(2.56391800000001,0.2,0.93321999999997)); #246074=CARTESIAN_POINT('Origin',(2.74167395197019,0.18,1.22604229116174)); #246075=CARTESIAN_POINT('Origin',(2.74963895490212,0.2,1.23135596304309)); #246076=CARTESIAN_POINT('',(2.43479,0.2,1.07564)); #246077=CARTESIAN_POINT('Origin',(2.74963895490212,0.2,1.23135596304309)); #246078=CARTESIAN_POINT('',(2.43479,0.18,1.07564)); #246079=CARTESIAN_POINT('',(2.43479,0.2,1.07564)); #246080=CARTESIAN_POINT('Origin',(2.74963895490212,0.18,1.23135596304309)); #246081=CARTESIAN_POINT('Origin',(2.78931994043313,0.2,1.26468369413313)); #246082=CARTESIAN_POINT('',(2.38761,0.2,1.25705999999997)); #246083=CARTESIAN_POINT('Origin',(2.78931994043313,0.2,1.26468369413313)); #246084=CARTESIAN_POINT('',(2.38761,0.18,1.25705999999997)); #246085=CARTESIAN_POINT('',(2.38761,0.2,1.25705999999997)); #246086=CARTESIAN_POINT('Origin',(2.78931994043313,0.18,1.26468369413313)); #246087=CARTESIAN_POINT('Origin',(2.38761,0.2,1.37943999999999)); #246088=CARTESIAN_POINT('',(2.38761,0.2,1.37943999999999)); #246089=CARTESIAN_POINT('',(2.38761,0.2,0.68971999999672)); #246090=CARTESIAN_POINT('',(2.38761,0.18,1.37943999999999)); #246091=CARTESIAN_POINT('',(2.38761,0.2,1.37943999999999)); #246092=CARTESIAN_POINT('',(2.38761,0.18,1.37943999999999)); #246093=CARTESIAN_POINT('Origin',(2.73987307086302,0.2,1.38315429891399)); #246094=CARTESIAN_POINT('',(2.405348,0.2,1.49359)); #246095=CARTESIAN_POINT('Origin',(2.73987307086302,0.2,1.38315429891399)); #246096=CARTESIAN_POINT('',(2.405348,0.18,1.49359)); #246097=CARTESIAN_POINT('',(2.405348,0.2,1.49359)); #246098=CARTESIAN_POINT('Origin',(2.73987307086302,0.18,1.38315429891399)); #246099=CARTESIAN_POINT('Origin',(2.76606257058425,0.2,1.35795450330395)); #246100=CARTESIAN_POINT('',(2.471686,0.2,1.60665999999999)); #246101=CARTESIAN_POINT('Origin',(2.76606257058425,0.2,1.35795450330395)); #246102=CARTESIAN_POINT('',(2.471686,0.18,1.60665999999999)); #246103=CARTESIAN_POINT('',(2.471686,0.2,1.60665999999999)); #246104=CARTESIAN_POINT('Origin',(2.76606257058425,0.18,1.35795450330395)); #246105=CARTESIAN_POINT('Origin',(2.87058734139861,0.2,1.31280190259812)); #246106=CARTESIAN_POINT('',(2.553633,0.2,1.69360999999999)); #246107=CARTESIAN_POINT('Origin',(2.87058734139861,0.2,1.31280190259812)); #246108=CARTESIAN_POINT('',(2.553633,0.18,1.69360999999999)); #246109=CARTESIAN_POINT('',(2.553633,0.2,1.69360999999999)); #246110=CARTESIAN_POINT('Origin',(2.87058734139861,0.18,1.31280190259812)); #246111=CARTESIAN_POINT('Origin',(2.74696781499725,0.2,1.43317450561561)); #246112=CARTESIAN_POINT('',(2.638068,0.2,1.73869999999999)); #246113=CARTESIAN_POINT('Origin',(2.74696781499725,0.2,1.43317450561561)); #246114=CARTESIAN_POINT('',(2.638068,0.18,1.73869999999999)); #246115=CARTESIAN_POINT('',(2.638068,0.2,1.73869999999999)); #246116=CARTESIAN_POINT('Origin',(2.74696781499725,0.18,1.43317450561561)); #246117=CARTESIAN_POINT('Origin',(2.77266850056903,0.2,1.38982561737761)); #246118=CARTESIAN_POINT('',(2.76932400000001,0.2,1.76374999999997)); #246119=CARTESIAN_POINT('Origin',(2.77266850056903,0.2,1.38982561737761)); #246120=CARTESIAN_POINT('',(2.76932400000001,0.18,1.76374999999997)); #246121=CARTESIAN_POINT('',(2.76932400000001,0.2,1.76374999999997)); #246122=CARTESIAN_POINT('Origin',(2.77266850056903,0.18,1.38982561737761)); #246123=CARTESIAN_POINT('Origin',(2.7665783540211,0.2,1.40935073592013)); #246124=CARTESIAN_POINT('',(2.91654100000001,0.2,1.73046999999999)); #246125=CARTESIAN_POINT('Origin',(2.7665783540211,0.2,1.40935073592013)); #246126=CARTESIAN_POINT('',(2.91654100000001,0.18,1.73046999999999)); #246127=CARTESIAN_POINT('',(2.91654100000001,0.2,1.73046999999999)); #246128=CARTESIAN_POINT('Origin',(2.7665783540211,0.18,1.40935073592013)); #246129=CARTESIAN_POINT('Origin',(2.74829465982815,0.2,1.37227050572339)); #246130=CARTESIAN_POINT('',(3.047446,0.2,1.63134999999999)); #246131=CARTESIAN_POINT('Origin',(2.74829465982815,0.2,1.37227050572339)); #246132=CARTESIAN_POINT('',(3.047446,0.18,1.63134999999999)); #246133=CARTESIAN_POINT('',(3.047446,0.2,1.63134999999999)); #246134=CARTESIAN_POINT('Origin',(2.74829465982815,0.18,1.37227050572339)); #246135=CARTESIAN_POINT('Origin',(2.99781016516781,0.2,1.56677975802094)); #246136=CARTESIAN_POINT('',(3.07795600000001,0.2,1.58125999999999)); #246137=CARTESIAN_POINT('Origin',(2.99781016516781,0.2,1.56677975802094)); #246138=CARTESIAN_POINT('',(3.07795600000001,0.18,1.58125999999999)); #246139=CARTESIAN_POINT('',(3.07795600000001,0.2,1.58125999999999)); #246140=CARTESIAN_POINT('Origin',(2.99781016516781,0.18,1.56677975802094)); #246141=CARTESIAN_POINT('Origin',(3.04970190272141,0.2,1.58071451205783)); #246142=CARTESIAN_POINT('',(3.070151,0.2,1.56120999999999)); #246143=CARTESIAN_POINT('Origin',(3.04970190272141,0.2,1.58071451205783)); #246144=CARTESIAN_POINT('',(3.070151,0.18,1.56120999999999)); #246145=CARTESIAN_POINT('',(3.070151,0.2,1.56120999999999)); #246146=CARTESIAN_POINT('Origin',(3.04970190272141,0.18,1.58071451205783)); #246147=CARTESIAN_POINT('Origin',(3.05043233279304,0.2,1.58196760185977)); #246148=CARTESIAN_POINT('',(3.049574,0.2,1.55334999999997)); #246149=CARTESIAN_POINT('Origin',(3.05043233279304,0.2,1.58196760185977)); #246150=CARTESIAN_POINT('',(3.049574,0.18,1.55334999999997)); #246151=CARTESIAN_POINT('',(3.049574,0.2,1.55334999999997)); #246152=CARTESIAN_POINT('Origin',(3.05043233279304,0.18,1.58196760185977)); #246153=CARTESIAN_POINT('Origin',(3.05176010428615,0.2,1.58896988794163)); #246154=CARTESIAN_POINT('',(3.022612,0.2,1.56837999999999)); #246155=CARTESIAN_POINT('Origin',(3.05176010428615,0.2,1.58896988794163)); #246156=CARTESIAN_POINT('',(3.022612,0.18,1.56837999999999)); #246157=CARTESIAN_POINT('',(3.022612,0.2,1.56837999999999)); #246158=CARTESIAN_POINT('Origin',(3.05176010428615,0.18,1.58896988794163)); #246159=CARTESIAN_POINT('Origin',(2.6915507711784,0.2,1.3044848647397)); #246160=CARTESIAN_POINT('Origin',(2.6915507711784,0.2,1.3044848647397)); #246161=CARTESIAN_POINT('Origin',(2.6915507711784,0.18,1.3044848647397)); #246162=CARTESIAN_POINT('Origin',(2.73277584190388,0.18,1.32361996850715)); #246163=CARTESIAN_POINT('Origin',(5.98419,0.2,1.80883)); #246164=CARTESIAN_POINT('',(5.98419,0.2,1.80883)); #246165=CARTESIAN_POINT('',(6.46310300000001,0.2,0.772559999999984)); #246166=CARTESIAN_POINT('',(5.80201415205233,0.2,2.20302135824822)); #246167=CARTESIAN_POINT('',(5.98419,0.18,1.80883)); #246168=CARTESIAN_POINT('',(5.98419,0.2,1.80883)); #246169=CARTESIAN_POINT('',(6.46310300000001,0.18,0.772559999999984)); #246170=CARTESIAN_POINT('',(5.98419,0.18,1.80883)); #246171=CARTESIAN_POINT('',(6.46310300000001,0.2,0.772559999999984)); #246172=CARTESIAN_POINT('Origin',(6.03332123945256,0.2,1.83646369417511)); #246173=CARTESIAN_POINT('',(5.97709500000001,0.2,1.83244999999999)); #246174=CARTESIAN_POINT('Origin',(6.03332123945256,0.2,1.83646369417511)); #246175=CARTESIAN_POINT('',(5.97709500000001,0.18,1.83244999999999)); #246176=CARTESIAN_POINT('',(5.97709500000001,0.2,1.83244999999999)); #246177=CARTESIAN_POINT('Origin',(6.03332123945256,0.18,1.83646369417511)); #246178=CARTESIAN_POINT('Origin',(6.00542984033652,0.2,1.83152301590024)); #246179=CARTESIAN_POINT('',(5.98596,0.2,1.85212999999999)); #246180=CARTESIAN_POINT('Origin',(6.00542984033652,0.2,1.83152301590024)); #246181=CARTESIAN_POINT('',(5.98596,0.18,1.85212999999999)); #246182=CARTESIAN_POINT('',(5.98596,0.2,1.85212999999999)); #246183=CARTESIAN_POINT('Origin',(6.00542984033652,0.18,1.83152301590024)); #246184=CARTESIAN_POINT('Origin',(6.00716176067699,0.2,1.83149663626276)); #246185=CARTESIAN_POINT('',(6.006888,0.2,1.86107999999999)); #246186=CARTESIAN_POINT('Origin',(6.00716176067699,0.2,1.83149663626276)); #246187=CARTESIAN_POINT('',(6.006888,0.18,1.86107999999999)); #246188=CARTESIAN_POINT('',(6.006888,0.2,1.86107999999999)); #246189=CARTESIAN_POINT('Origin',(6.00716176067699,0.18,1.83149663626276)); #246190=CARTESIAN_POINT('Origin',(6.00191814584092,0.2,1.82357765643912)); #246191=CARTESIAN_POINT('',(6.03810699999999,0.2,1.8346)); #246192=CARTESIAN_POINT('Origin',(6.00191814584092,0.2,1.82357765643912)); #246193=CARTESIAN_POINT('',(6.03810699999999,0.18,1.8346)); #246194=CARTESIAN_POINT('',(6.03810699999999,0.2,1.8346)); #246195=CARTESIAN_POINT('Origin',(6.00191814584092,0.18,1.82357765643912)); #246196=CARTESIAN_POINT('Origin',(6.51773700000001,0.2,0.798319999999997)); #246197=CARTESIAN_POINT('',(6.51773700000001,0.2,0.798319999999997)); #246198=CARTESIAN_POINT('',(6.09494203022058,0.2,1.71180325017829)); #246199=CARTESIAN_POINT('',(6.51773700000001,0.18,0.798319999999997)); #246200=CARTESIAN_POINT('',(6.51773700000001,0.2,0.798319999999997)); #246201=CARTESIAN_POINT('',(6.51773700000001,0.18,0.798319999999997)); #246202=CARTESIAN_POINT('Origin',(6.46635259257285,0.2,0.7700248453264)); #246203=CARTESIAN_POINT('',(6.52482500000001,0.2,0.774709999999992)); #246204=CARTESIAN_POINT('Origin',(6.46635259257285,0.2,0.7700248453264)); #246205=CARTESIAN_POINT('',(6.52482500000001,0.18,0.774709999999992)); #246206=CARTESIAN_POINT('',(6.52482500000001,0.2,0.774709999999992)); #246207=CARTESIAN_POINT('Origin',(6.46635259257285,0.18,0.7700248453264)); #246208=CARTESIAN_POINT('Origin',(6.49647768545484,0.2,0.775633121521437)); #246209=CARTESIAN_POINT('',(6.51595900000001,0.2,0.755019999999984)); #246210=CARTESIAN_POINT('Origin',(6.49647768545484,0.2,0.775633121521437)); #246211=CARTESIAN_POINT('',(6.51595900000001,0.18,0.755019999999984)); #246212=CARTESIAN_POINT('',(6.51595900000001,0.2,0.755019999999984)); #246213=CARTESIAN_POINT('Origin',(6.49647768545484,0.18,0.775633121521437)); #246214=CARTESIAN_POINT('Origin',(6.49476973682099,0.2,0.775657163987429)); #246215=CARTESIAN_POINT('',(6.49503199999999,0.2,0.746079999999996)); #246216=CARTESIAN_POINT('Origin',(6.49476973682099,0.2,0.775657163987429)); #246217=CARTESIAN_POINT('',(6.49503199999999,0.18,0.746079999999996)); #246218=CARTESIAN_POINT('',(6.49503199999999,0.2,0.746079999999996)); #246219=CARTESIAN_POINT('Origin',(6.49476973682099,0.18,0.775657163987429)); #246220=CARTESIAN_POINT('Origin',(6.49440832342244,0.2,0.775405924563017)); #246221=CARTESIAN_POINT('',(6.47800300000001,0.2,0.751089999999994)); #246222=CARTESIAN_POINT('Origin',(6.49440832342244,0.2,0.775405924563017)); #246223=CARTESIAN_POINT('',(6.47800300000001,0.18,0.751089999999994)); #246224=CARTESIAN_POINT('',(6.47800300000001,0.2,0.751089999999994)); #246225=CARTESIAN_POINT('Origin',(6.49440832342244,0.18,0.775405924563017)); #246226=CARTESIAN_POINT('Origin',(6.51146231976614,0.2,0.790215724942502)); #246227=CARTESIAN_POINT('Origin',(6.51146231976614,0.2,0.790215724942502)); #246228=CARTESIAN_POINT('Origin',(6.51146231976614,0.18,0.790215724942502)); #246229=CARTESIAN_POINT('Origin',(6.25096,0.18,1.30357668443104)); #246230=CARTESIAN_POINT('Origin',(9.38494000000001,0.2,1.12036999999997)); #246231=CARTESIAN_POINT('',(9.38494000000001,0.2,1.12036999999997)); #246232=CARTESIAN_POINT('',(9.494917,0.2,1.12036999999997)); #246233=CARTESIAN_POINT('',(4.69247000000328,0.2,1.12036999999997)); #246234=CARTESIAN_POINT('',(9.38494000000001,0.18,1.12036999999997)); #246235=CARTESIAN_POINT('',(9.38494000000001,0.2,1.12036999999997)); #246236=CARTESIAN_POINT('',(9.494917,0.18,1.12036999999997)); #246237=CARTESIAN_POINT('',(9.38494000000001,0.18,1.12036999999997)); #246238=CARTESIAN_POINT('',(9.494917,0.2,1.12036999999997)); #246239=CARTESIAN_POINT('Origin',(9.3806040443227,0.2,1.16342598352437)); #246240=CARTESIAN_POINT('',(9.354437,0.2,1.12895999999999)); #246241=CARTESIAN_POINT('Origin',(9.3806040443227,0.2,1.16342598352437)); #246242=CARTESIAN_POINT('',(9.354437,0.18,1.12895999999999)); #246243=CARTESIAN_POINT('',(9.354437,0.2,1.12895999999999)); #246244=CARTESIAN_POINT('Origin',(9.3806040443227,0.18,1.16342598352437)); #246245=CARTESIAN_POINT('Origin',(9.37447692239725,0.2,1.15028596142555)); #246246=CARTESIAN_POINT('',(9.34521299999999,0.2,1.15041999999999)); #246247=CARTESIAN_POINT('Origin',(9.37447692239725,0.2,1.15028596142555)); #246248=CARTESIAN_POINT('',(9.34521299999999,0.18,1.15041999999999)); #246249=CARTESIAN_POINT('',(9.34521299999999,0.2,1.15041999999999)); #246250=CARTESIAN_POINT('Origin',(9.37447692239725,0.18,1.15028596142555)); #246251=CARTESIAN_POINT('Origin',(9.37531550130466,0.2,1.15091903857438)); #246252=CARTESIAN_POINT('',(9.354437,0.2,1.17260999999999)); #246253=CARTESIAN_POINT('Origin',(9.37531550130466,0.2,1.15091903857438)); #246254=CARTESIAN_POINT('',(9.354437,0.18,1.17260999999999)); #246255=CARTESIAN_POINT('',(9.354437,0.2,1.17260999999999)); #246256=CARTESIAN_POINT('Origin',(9.37531550130466,0.18,1.15091903857438)); #246257=CARTESIAN_POINT('Origin',(9.38060396020998,0.2,1.13814431515888)); #246258=CARTESIAN_POINT('',(9.38494000000001,0.2,1.18119999999999)); #246259=CARTESIAN_POINT('Origin',(9.38060396020998,0.2,1.13814431515888)); #246260=CARTESIAN_POINT('',(9.38494000000001,0.18,1.18119999999999)); #246261=CARTESIAN_POINT('',(9.38494000000001,0.2,1.18119999999999)); #246262=CARTESIAN_POINT('Origin',(9.38060396020998,0.18,1.13814431515888)); #246263=CARTESIAN_POINT('Origin',(9.43531600000001,0.2,1.18119999999999)); #246264=CARTESIAN_POINT('',(9.43531600000001,0.2,1.18119999999999)); #246265=CARTESIAN_POINT('',(4.71765800000328,0.2,1.18119999999999)); #246266=CARTESIAN_POINT('',(9.43531600000001,0.18,1.18119999999999)); #246267=CARTESIAN_POINT('',(9.43531600000001,0.2,1.18119999999999)); #246268=CARTESIAN_POINT('',(9.43531600000001,0.18,1.18119999999999)); #246269=CARTESIAN_POINT('Origin',(9.43531600000001,0.2,1.68001999999998)); #246270=CARTESIAN_POINT('',(9.43531600000001,0.2,1.68001999999998)); #246271=CARTESIAN_POINT('',(9.43531600000001,0.2,0.840009999996713)); #246272=CARTESIAN_POINT('',(9.43531600000001,0.18,1.68001999999998)); #246273=CARTESIAN_POINT('',(9.43531600000001,0.2,1.68001999999998)); #246274=CARTESIAN_POINT('',(9.43531600000001,0.18,1.68001999999998)); #246275=CARTESIAN_POINT('Origin',(9.38494000000001,0.2,1.68001999999998)); #246276=CARTESIAN_POINT('',(9.38494000000001,0.2,1.68001999999998)); #246277=CARTESIAN_POINT('',(4.69247000000328,0.2,1.68001999999998)); #246278=CARTESIAN_POINT('',(9.38494000000001,0.18,1.68001999999998)); #246279=CARTESIAN_POINT('',(9.38494000000001,0.2,1.68001999999998)); #246280=CARTESIAN_POINT('',(9.38494000000001,0.18,1.68001999999998)); #246281=CARTESIAN_POINT('Origin',(9.3804807085301,0.2,1.72413436048521)); #246282=CARTESIAN_POINT('',(9.354437,0.2,1.68824999999998)); #246283=CARTESIAN_POINT('Origin',(9.3804807085301,0.2,1.72413436048521)); #246284=CARTESIAN_POINT('',(9.354437,0.18,1.68824999999998)); #246285=CARTESIAN_POINT('',(9.354437,0.2,1.68824999999998)); #246286=CARTESIAN_POINT('Origin',(9.3804807085301,0.18,1.72413436048521)); #246287=CARTESIAN_POINT('Origin',(9.37419329386821,0.2,1.70946152508659)); #246288=CARTESIAN_POINT('',(9.34521299999999,0.2,1.71007999999999)); #246289=CARTESIAN_POINT('Origin',(9.37419329386821,0.2,1.70946152508659)); #246290=CARTESIAN_POINT('',(9.34521299999999,0.18,1.71007999999999)); #246291=CARTESIAN_POINT('',(9.34521299999999,0.2,1.71007999999999)); #246292=CARTESIAN_POINT('Origin',(9.37419329386821,0.18,1.70946152508659)); #246293=CARTESIAN_POINT('Origin',(9.37448840977958,0.2,1.71021903857442)); #246294=CARTESIAN_POINT('',(9.354437,0.2,1.73154999999998)); #246295=CARTESIAN_POINT('Origin',(9.37448840977958,0.2,1.71021903857442)); #246296=CARTESIAN_POINT('',(9.354437,0.18,1.73154999999998)); #246297=CARTESIAN_POINT('',(9.354437,0.2,1.73154999999998)); #246298=CARTESIAN_POINT('Origin',(9.37448840977958,0.18,1.71021903857442)); #246299=CARTESIAN_POINT('Origin',(9.3805913370534,0.2,1.69707901647559)); #246300=CARTESIAN_POINT('',(9.38494000000001,0.2,1.74012999999999)); #246301=CARTESIAN_POINT('Origin',(9.3805913370534,0.2,1.69707901647559)); #246302=CARTESIAN_POINT('',(9.38494000000001,0.18,1.74012999999999)); #246303=CARTESIAN_POINT('',(9.38494000000001,0.2,1.74012999999999)); #246304=CARTESIAN_POINT('Origin',(9.3805913370534,0.18,1.69707901647559)); #246305=CARTESIAN_POINT('Origin',(9.54529399999999,0.2,1.74012999999999)); #246306=CARTESIAN_POINT('',(9.54529399999999,0.2,1.74012999999999)); #246307=CARTESIAN_POINT('',(4.77264700000327,0.2,1.74012999999999)); #246308=CARTESIAN_POINT('',(9.54529399999999,0.18,1.74012999999999)); #246309=CARTESIAN_POINT('',(9.54529399999999,0.2,1.74012999999999)); #246310=CARTESIAN_POINT('',(9.54529399999999,0.18,1.74012999999999)); #246311=CARTESIAN_POINT('Origin',(9.54964390328107,0.2,1.69708342602357)); #246312=CARTESIAN_POINT('',(9.57579699999999,0.2,1.73155)); #246313=CARTESIAN_POINT('Origin',(9.54964390328107,0.2,1.69708342602357)); #246314=CARTESIAN_POINT('',(9.57579699999999,0.18,1.73155)); #246315=CARTESIAN_POINT('',(9.57579699999999,0.2,1.73155)); #246316=CARTESIAN_POINT('Origin',(9.54964390328107,0.18,1.69708342602357)); #246317=CARTESIAN_POINT('Origin',(9.55574509022047,0.2,1.71022018731268)); #246318=CARTESIAN_POINT('',(9.58502,0.2,1.71007999999999)); #246319=CARTESIAN_POINT('Origin',(9.55574509022047,0.2,1.71022018731268)); #246320=CARTESIAN_POINT('',(9.58502,0.18,1.71007999999999)); #246321=CARTESIAN_POINT('',(9.58502,0.2,1.71007999999999)); #246322=CARTESIAN_POINT('Origin',(9.55574509022047,0.18,1.71022018731268)); #246323=CARTESIAN_POINT('Origin',(9.55604020613202,0.2,1.709460408811)); #246324=CARTESIAN_POINT('',(9.57579700000001,0.2,1.68824999999998)); #246325=CARTESIAN_POINT('Origin',(9.55604020613202,0.2,1.709460408811)); #246326=CARTESIAN_POINT('',(9.57579700000001,0.18,1.68824999999998)); #246327=CARTESIAN_POINT('',(9.57579700000001,0.2,1.68824999999998)); #246328=CARTESIAN_POINT('Origin',(9.55604020613202,0.18,1.709460408811)); #246329=CARTESIAN_POINT('Origin',(9.54975454305219,0.2,1.72412972172278)); #246330=CARTESIAN_POINT('',(9.54529399999999,0.2,1.68001999999998)); #246331=CARTESIAN_POINT('Origin',(9.54975454305219,0.2,1.72412972172278)); #246332=CARTESIAN_POINT('',(9.54529399999999,0.18,1.68001999999998)); #246333=CARTESIAN_POINT('',(9.54529399999999,0.2,1.68001999999998)); #246334=CARTESIAN_POINT('Origin',(9.54975454305219,0.18,1.72412972172278)); #246335=CARTESIAN_POINT('Origin',(9.494917,0.2,1.68001999999998)); #246336=CARTESIAN_POINT('',(9.494917,0.2,1.68001999999998)); #246337=CARTESIAN_POINT('',(4.74745850000328,0.2,1.68001999999998)); #246338=CARTESIAN_POINT('',(9.494917,0.18,1.68001999999998)); #246339=CARTESIAN_POINT('',(9.494917,0.2,1.68001999999998)); #246340=CARTESIAN_POINT('',(9.494917,0.18,1.68001999999998)); #246341=CARTESIAN_POINT('Origin',(9.494917,0.2,1.28138999999999)); #246342=CARTESIAN_POINT('',(9.494917,0.2,1.28138999999999)); #246343=CARTESIAN_POINT('',(9.494917,0.2,0.64069499999672)); #246344=CARTESIAN_POINT('',(9.494917,0.18,1.28138999999999)); #246345=CARTESIAN_POINT('',(9.494917,0.2,1.28138999999999)); #246346=CARTESIAN_POINT('',(9.494917,0.18,1.28138999999999)); #246347=CARTESIAN_POINT('Origin',(9.79665256822549,0.2,1.46161592035236)); #246348=CARTESIAN_POINT('',(9.58573,0.2,1.18047999999998)); #246349=CARTESIAN_POINT('Origin',(9.79665256822549,0.2,1.46161592035236)); #246350=CARTESIAN_POINT('',(9.58573,0.18,1.18047999999998)); #246351=CARTESIAN_POINT('',(9.58573,0.2,1.18047999999998)); #246352=CARTESIAN_POINT('Origin',(9.79665256822549,0.18,1.46161592035236)); #246353=CARTESIAN_POINT('Origin',(9.65164960859888,0.2,1.27462820442426)); #246354=CARTESIAN_POINT('',(9.64887800000001,0.2,1.15973)); #246355=CARTESIAN_POINT('Origin',(9.65164960859888,0.2,1.27462820442426)); #246356=CARTESIAN_POINT('',(9.64887800000001,0.18,1.15973)); #246357=CARTESIAN_POINT('',(9.64887800000001,0.2,1.15973)); #246358=CARTESIAN_POINT('Origin',(9.65164960859888,0.18,1.27462820442426)); #246359=CARTESIAN_POINT('Origin',(9.64531781532959,0.2,1.24580049455369)); #246360=CARTESIAN_POINT('',(9.71166800000002,0.2,1.19085999999999)); #246361=CARTESIAN_POINT('Origin',(9.64531781532959,0.2,1.24580049455369)); #246362=CARTESIAN_POINT('',(9.71166800000002,0.18,1.19085999999999)); #246363=CARTESIAN_POINT('',(9.71166800000002,0.2,1.19085999999999)); #246364=CARTESIAN_POINT('Origin',(9.64531781532959,0.18,1.24580049455369)); #246365=CARTESIAN_POINT('Origin',(9.62264714366367,0.2,1.26691120459745)); #246366=CARTESIAN_POINT('',(9.73969100000001,0.2,1.26994999999999)); #246367=CARTESIAN_POINT('Origin',(9.62264714366367,0.2,1.26691120459745)); #246368=CARTESIAN_POINT('',(9.73969100000001,0.18,1.26994999999999)); #246369=CARTESIAN_POINT('',(9.73969100000001,0.2,1.26994999999999)); #246370=CARTESIAN_POINT('Origin',(9.62264714366367,0.18,1.26691120459745)); #246371=CARTESIAN_POINT('Origin',(9.73969100000001,0.2,1.74012999999999)); #246372=CARTESIAN_POINT('',(9.73969100000001,0.2,1.74012999999999)); #246373=CARTESIAN_POINT('',(9.73969100000001,0.2,0.870064999996717)); #246374=CARTESIAN_POINT('',(9.73969100000001,0.18,1.74012999999999)); #246375=CARTESIAN_POINT('',(9.73969100000001,0.2,1.74012999999999)); #246376=CARTESIAN_POINT('',(9.73969100000001,0.18,1.74012999999999)); #246377=CARTESIAN_POINT('Origin',(9.84966900000001,0.2,1.74012999999999)); #246378=CARTESIAN_POINT('',(9.84966900000001,0.2,1.74013)); #246379=CARTESIAN_POINT('',(4.92483450000328,0.2,1.74012999999999)); #246380=CARTESIAN_POINT('',(9.84966900000001,0.18,1.74013)); #246381=CARTESIAN_POINT('',(9.84966900000001,0.2,1.74013)); #246382=CARTESIAN_POINT('',(9.84966900000001,0.18,1.74012999999999)); #246383=CARTESIAN_POINT('Origin',(9.85402116294668,0.2,1.69707012138737)); #246384=CARTESIAN_POINT('',(9.880179,0.2,1.73154999999998)); #246385=CARTESIAN_POINT('Origin',(9.85402116294668,0.2,1.69707012138737)); #246386=CARTESIAN_POINT('',(9.880179,0.18,1.73154999999998)); #246387=CARTESIAN_POINT('',(9.880179,0.2,1.73154999999998)); #246388=CARTESIAN_POINT('Origin',(9.85402116294668,0.18,1.69707012138737)); #246389=CARTESIAN_POINT('Origin',(9.8601235902204,0.2,1.71022822848025)); #246390=CARTESIAN_POINT('',(9.88939500000002,0.2,1.71007999999999)); #246391=CARTESIAN_POINT('Origin',(9.8601235902204,0.2,1.71022822848025)); #246392=CARTESIAN_POINT('',(9.88939500000002,0.18,1.71007999999999)); #246393=CARTESIAN_POINT('',(9.88939500000002,0.2,1.71007999999999)); #246394=CARTESIAN_POINT('Origin',(9.8601235902204,0.18,1.71022822848025)); #246395=CARTESIAN_POINT('Origin',(9.86041870613188,0.2,1.70945259488265)); #246396=CARTESIAN_POINT('',(9.880179,0.2,1.68824999999998)); #246397=CARTESIAN_POINT('Origin',(9.86041870613188,0.2,1.70945259488265)); #246398=CARTESIAN_POINT('',(9.880179,0.18,1.68824999999998)); #246399=CARTESIAN_POINT('',(9.880179,0.2,1.68824999999998)); #246400=CARTESIAN_POINT('Origin',(9.86041870613188,0.18,1.70945259488265)); #246401=CARTESIAN_POINT('Origin',(9.85413179146987,0.2,1.72414353976359)); #246402=CARTESIAN_POINT('',(9.84966900000001,0.2,1.68001999999998)); #246403=CARTESIAN_POINT('Origin',(9.85413179146987,0.2,1.72414353976359)); #246404=CARTESIAN_POINT('',(9.84966900000001,0.18,1.68001999999998)); #246405=CARTESIAN_POINT('',(9.84966900000001,0.2,1.68001999999998)); #246406=CARTESIAN_POINT('Origin',(9.85413179146987,0.18,1.72414353976359)); #246407=CARTESIAN_POINT('Origin',(9.800002,0.2,1.68001999999998)); #246408=CARTESIAN_POINT('',(9.800002,0.2,1.68001999999998)); #246409=CARTESIAN_POINT('',(4.90000100000328,0.2,1.68001999999998)); #246410=CARTESIAN_POINT('',(9.800002,0.18,1.68001999999998)); #246411=CARTESIAN_POINT('',(9.800002,0.2,1.68001999999998)); #246412=CARTESIAN_POINT('',(9.800002,0.18,1.68001999999998)); #246413=CARTESIAN_POINT('Origin',(9.800002,0.2,1.28138999999999)); #246414=CARTESIAN_POINT('',(9.800002,0.2,1.28138999999999)); #246415=CARTESIAN_POINT('',(9.800002,0.2,0.64069499999672)); #246416=CARTESIAN_POINT('',(9.800002,0.18,1.28138999999999)); #246417=CARTESIAN_POINT('',(9.800002,0.2,1.28138999999999)); #246418=CARTESIAN_POINT('',(9.800002,0.18,1.28138999999999)); #246419=CARTESIAN_POINT('Origin',(10.0778463959588,0.2,1.43378731098904)); #246420=CARTESIAN_POINT('',(9.88229999999999,0.2,1.18441999999998)); #246421=CARTESIAN_POINT('Origin',(10.0778463959588,0.2,1.43378731098904)); #246422=CARTESIAN_POINT('',(9.88229999999999,0.18,1.18441999999998)); #246423=CARTESIAN_POINT('',(9.88229999999999,0.2,1.18441999999998)); #246424=CARTESIAN_POINT('Origin',(10.0778463959588,0.18,1.43378731098904)); #246425=CARTESIAN_POINT('Origin',(9.95670765843168,0.2,1.28356413645456)); #246426=CARTESIAN_POINT('',(9.951125,0.2,1.15973)); #246427=CARTESIAN_POINT('Origin',(9.95670765843168,0.2,1.28356413645456)); #246428=CARTESIAN_POINT('',(9.951125,0.18,1.15973)); #246429=CARTESIAN_POINT('',(9.951125,0.2,1.15973)); #246430=CARTESIAN_POINT('Origin',(9.95670765843168,0.18,1.28356413645456)); #246431=CARTESIAN_POINT('Origin',(9.94878915476703,0.2,1.24755056842602)); #246432=CARTESIAN_POINT('',(10.014983,0.2,1.18979)); #246433=CARTESIAN_POINT('Origin',(9.94878915476703,0.2,1.24755056842602)); #246434=CARTESIAN_POINT('',(10.014983,0.18,1.18979)); #246435=CARTESIAN_POINT('',(10.014983,0.2,1.18979)); #246436=CARTESIAN_POINT('Origin',(9.94878915476703,0.18,1.24755056842602)); #246437=CARTESIAN_POINT('Origin',(9.93161192236673,0.2,1.26317746387677)); #246438=CARTESIAN_POINT('',(10.042654,0.2,1.26564999999999)); #246439=CARTESIAN_POINT('Origin',(9.93161192236673,0.2,1.26317746387677)); #246440=CARTESIAN_POINT('',(10.042654,0.18,1.26564999999999)); #246441=CARTESIAN_POINT('',(10.042654,0.2,1.26564999999999)); #246442=CARTESIAN_POINT('Origin',(9.93161192236673,0.18,1.26317746387677)); #246443=CARTESIAN_POINT('Origin',(10.042654,0.2,1.74012999999999)); #246444=CARTESIAN_POINT('',(10.042654,0.2,1.74012999999999)); #246445=CARTESIAN_POINT('',(10.042654,0.2,0.870064999996717)); #246446=CARTESIAN_POINT('',(10.042654,0.18,1.74012999999999)); #246447=CARTESIAN_POINT('',(10.042654,0.2,1.74012999999999)); #246448=CARTESIAN_POINT('',(10.042654,0.18,1.74012999999999)); #246449=CARTESIAN_POINT('Origin',(10.152625,0.2,1.74012999999999)); #246450=CARTESIAN_POINT('',(10.152625,0.2,1.74012999999999)); #246451=CARTESIAN_POINT('',(5.07631250000327,0.2,1.74012999999999)); #246452=CARTESIAN_POINT('',(10.152625,0.18,1.74012999999999)); #246453=CARTESIAN_POINT('',(10.152625,0.2,1.74012999999999)); #246454=CARTESIAN_POINT('',(10.152625,0.18,1.74012999999999)); #246455=CARTESIAN_POINT('Origin',(10.1569766629466,0.2,1.69707139211409)); #246456=CARTESIAN_POINT('',(10.183134,0.2,1.73154999999998)); #246457=CARTESIAN_POINT('Origin',(10.1569766629466,0.2,1.69707139211409)); #246458=CARTESIAN_POINT('',(10.183134,0.18,1.73154999999998)); #246459=CARTESIAN_POINT('',(10.183134,0.2,1.73154999999998)); #246460=CARTESIAN_POINT('Origin',(10.1569766629466,0.18,1.69707139211409)); #246461=CARTESIAN_POINT('Origin',(10.1630825902204,0.2,1.71021903857442)); #246462=CARTESIAN_POINT('',(10.192358,0.2,1.71007999999999)); #246463=CARTESIAN_POINT('Origin',(10.1630825902204,0.2,1.71021903857442)); #246464=CARTESIAN_POINT('',(10.192358,0.18,1.71007999999999)); #246465=CARTESIAN_POINT('',(10.192358,0.2,1.71007999999999)); #246466=CARTESIAN_POINT('Origin',(10.1630825902204,0.18,1.71021903857442)); #246467=CARTESIAN_POINT('Origin',(10.1633777061319,0.2,1.70946152508652)); #246468=CARTESIAN_POINT('',(10.183134,0.2,1.68824999999998)); #246469=CARTESIAN_POINT('Origin',(10.1633777061319,0.2,1.70946152508652)); #246470=CARTESIAN_POINT('',(10.183134,0.18,1.68824999999998)); #246471=CARTESIAN_POINT('',(10.183134,0.2,1.68824999999998)); #246472=CARTESIAN_POINT('Origin',(10.1633777061319,0.18,1.70946152508652)); #246473=CARTESIAN_POINT('Origin',(10.1570872914699,0.2,1.72414222843807)); #246474=CARTESIAN_POINT('',(10.152625,0.2,1.68001999999998)); #246475=CARTESIAN_POINT('Origin',(10.1570872914699,0.2,1.72414222843807)); #246476=CARTESIAN_POINT('',(10.152625,0.18,1.68001999999998)); #246477=CARTESIAN_POINT('',(10.152625,0.2,1.68001999999998)); #246478=CARTESIAN_POINT('Origin',(10.1570872914699,0.18,1.72414222843807)); #246479=CARTESIAN_POINT('Origin',(10.102248,0.2,1.68001999999998)); #246480=CARTESIAN_POINT('',(10.102248,0.2,1.68001999999998)); #246481=CARTESIAN_POINT('',(5.05112400000328,0.2,1.68001999999998)); #246482=CARTESIAN_POINT('',(10.102248,0.18,1.68001999999998)); #246483=CARTESIAN_POINT('',(10.102248,0.2,1.68001999999998)); #246484=CARTESIAN_POINT('',(10.102248,0.18,1.68001999999998)); #246485=CARTESIAN_POINT('Origin',(10.102248,0.2,1.25991999999998)); #246486=CARTESIAN_POINT('',(10.102248,0.2,1.25991999999998)); #246487=CARTESIAN_POINT('',(10.102248,0.2,0.629959999996502)); #246488=CARTESIAN_POINT('',(10.102248,0.18,1.25991999999998)); #246489=CARTESIAN_POINT('',(10.102248,0.2,1.25991999999998)); #246490=CARTESIAN_POINT('',(10.102248,0.18,1.25991999999998)); #246491=CARTESIAN_POINT('Origin',(9.92550705916336,0.2,1.26478563393961)); #246492=CARTESIAN_POINT('',(10.051871,0.2,1.14111999999999)); #246493=CARTESIAN_POINT('Origin',(9.92550705916336,0.2,1.26478563393961)); #246494=CARTESIAN_POINT('',(10.051871,0.18,1.14111999999999)); #246495=CARTESIAN_POINT('',(10.051871,0.2,1.14111999999999)); #246496=CARTESIAN_POINT('Origin',(9.92550705916336,0.18,1.26478563393961)); #246497=CARTESIAN_POINT('Origin',(9.95277311551106,0.2,1.23614126608947)); #246498=CARTESIAN_POINT('',(9.94899600000001,0.2,1.09889999999998)); #246499=CARTESIAN_POINT('Origin',(9.95277311551106,0.2,1.23614126608947)); #246500=CARTESIAN_POINT('',(9.94899600000001,0.18,1.09889999999998)); #246501=CARTESIAN_POINT('',(9.94899600000001,0.2,1.09889999999998)); #246502=CARTESIAN_POINT('Origin',(9.95277311551106,0.18,1.23614126608947)); #246503=CARTESIAN_POINT('Origin',(9.95226357314748,0.2,1.25808271653357)); #246504=CARTESIAN_POINT('',(9.867049,0.2,1.12359)); #246505=CARTESIAN_POINT('Origin',(9.95226357314748,0.2,1.25808271653357)); #246506=CARTESIAN_POINT('',(9.867049,0.18,1.12359)); #246507=CARTESIAN_POINT('',(9.867049,0.2,1.12359)); #246508=CARTESIAN_POINT('Origin',(9.95226357314748,0.18,1.25808271653357)); #246509=CARTESIAN_POINT('Origin',(10.0346058726079,0.2,1.38477843961949)); #246510=CARTESIAN_POINT('',(9.78651999999999,0.2,1.19836999999999)); #246511=CARTESIAN_POINT('Origin',(10.0346058726079,0.2,1.38477843961949)); #246512=CARTESIAN_POINT('',(9.78651999999999,0.18,1.19836999999999)); #246513=CARTESIAN_POINT('',(9.78651999999999,0.2,1.19836999999999)); #246514=CARTESIAN_POINT('Origin',(10.0346058726079,0.18,1.38477843961949)); #246515=CARTESIAN_POINT('Origin',(9.61575315333197,0.2,1.27120828999054)); #246516=CARTESIAN_POINT('',(9.728339,0.2,1.12359)); #246517=CARTESIAN_POINT('Origin',(9.61575315333197,0.2,1.27120828999054)); #246518=CARTESIAN_POINT('',(9.728339,0.18,1.12359)); #246519=CARTESIAN_POINT('',(9.728339,0.2,1.12359)); #246520=CARTESIAN_POINT('Origin',(9.61575315333197,0.18,1.27120828999054)); #246521=CARTESIAN_POINT('Origin',(9.64919712221168,0.2,1.23802539188002)); #246522=CARTESIAN_POINT('',(9.647459,0.2,1.09889999999998)); #246523=CARTESIAN_POINT('Origin',(9.64919712221168,0.2,1.23802539188002)); #246524=CARTESIAN_POINT('',(9.647459,0.18,1.09889999999998)); #246525=CARTESIAN_POINT('',(9.647459,0.2,1.09889999999998)); #246526=CARTESIAN_POINT('Origin',(9.64919712221168,0.18,1.23802539188002)); #246527=CARTESIAN_POINT('Origin',(9.6651292562195,0.2,1.31416891988129)); #246528=CARTESIAN_POINT('',(9.494917,0.2,1.18119999999999)); #246529=CARTESIAN_POINT('Origin',(9.6651292562195,0.2,1.31416891988129)); #246530=CARTESIAN_POINT('',(9.494917,0.18,1.18119999999999)); #246531=CARTESIAN_POINT('',(9.494917,0.2,1.18119999999999)); #246532=CARTESIAN_POINT('Origin',(9.6651292562195,0.18,1.31416891988129)); #246533=CARTESIAN_POINT('Origin',(9.494917,0.2,1.12036999999997)); #246534=CARTESIAN_POINT('',(9.494917,0.2,0.56018499999671)); #246535=CARTESIAN_POINT('',(9.494917,0.18,1.12036999999997)); #246536=CARTESIAN_POINT('Origin',(9.76878549999999,0.18,1.41959870814183)); #246537=CARTESIAN_POINT('Origin',(2.98495113639853,0.2,2.85573841534942)); #246538=CARTESIAN_POINT('',(2.477354,0.2,2.85195999999999)); #246539=CARTESIAN_POINT('',(2.501074,0.2,3.00913999999999)); #246540=CARTESIAN_POINT('Origin',(2.98495113639853,0.2,2.85573841534942)); #246541=CARTESIAN_POINT('',(2.477354,0.18,2.85195999999999)); #246542=CARTESIAN_POINT('',(2.477354,0.2,2.85195999999999)); #246543=CARTESIAN_POINT('',(2.501074,0.18,3.00913999999999)); #246544=CARTESIAN_POINT('Origin',(2.98495113639853,0.18,2.85573841534942)); #246545=CARTESIAN_POINT('',(2.501074,0.2,3.00913999999999)); #246546=CARTESIAN_POINT('Origin',(2.477354,0.2,2.72098)); #246547=CARTESIAN_POINT('',(2.477354,0.2,2.72098)); #246548=CARTESIAN_POINT('',(2.477354,0.2,1.36048999999672)); #246549=CARTESIAN_POINT('',(2.477354,0.18,2.72098)); #246550=CARTESIAN_POINT('',(2.477354,0.2,2.72098)); #246551=CARTESIAN_POINT('',(2.477354,0.18,2.72098)); #246552=CARTESIAN_POINT('Origin',(2.96470013006636,0.2,2.71132782741008)); #246553=CARTESIAN_POINT('',(2.533995,0.2,2.48308999999999)); #246554=CARTESIAN_POINT('Origin',(2.96470013006636,0.2,2.71132782741008)); #246555=CARTESIAN_POINT('',(2.533995,0.18,2.48308999999999)); #246556=CARTESIAN_POINT('',(2.533995,0.2,2.48308999999999)); #246557=CARTESIAN_POINT('Origin',(2.96470013006636,0.18,2.71132782741008)); #246558=CARTESIAN_POINT('Origin',(2.69886308875676,0.2,2.56507711667837)); #246559=CARTESIAN_POINT('',(2.69046600000001,0.2,2.38113999999999)); #246560=CARTESIAN_POINT('Origin',(2.69886308875676,0.2,2.56507711667837)); #246561=CARTESIAN_POINT('',(2.69046600000001,0.18,2.38113999999999)); #246562=CARTESIAN_POINT('',(2.69046600000001,0.2,2.38113999999999)); #246563=CARTESIAN_POINT('Origin',(2.69886308875676,0.18,2.56507711667837)); #246564=CARTESIAN_POINT('Origin',(2.69192097065814,0.2,2.55391422481923)); #246565=CARTESIAN_POINT('',(2.78108800000001,0.2,2.40591999999998)); #246566=CARTESIAN_POINT('Origin',(2.69192097065814,0.2,2.55391422481923)); #246567=CARTESIAN_POINT('',(2.78108800000001,0.18,2.40591999999998)); #246568=CARTESIAN_POINT('',(2.78108800000001,0.2,2.40591999999998)); #246569=CARTESIAN_POINT('Origin',(2.69192097065814,0.18,2.55391422481923)); #246570=CARTESIAN_POINT('Origin',(2.69710735141078,0.2,2.54306959626229)); #246571=CARTESIAN_POINT('',(2.82710900000001,0.2,2.4484)); #246572=CARTESIAN_POINT('Origin',(2.69710735141078,0.2,2.54306959626229)); #246573=CARTESIAN_POINT('',(2.82710900000001,0.18,2.4484)); #246574=CARTESIAN_POINT('',(2.82710900000001,0.2,2.4484)); #246575=CARTESIAN_POINT('Origin',(2.69710735141078,0.18,2.54306959626229)); #246576=CARTESIAN_POINT('Origin',(2.45340460620841,0.2,2.69117442167463)); #246577=CARTESIAN_POINT('',(2.88056,0.2,2.56415999999998)); #246578=CARTESIAN_POINT('Origin',(2.45340460620841,0.2,2.69117442167463)); #246579=CARTESIAN_POINT('',(2.88056,0.18,2.56415999999998)); #246580=CARTESIAN_POINT('',(2.88056,0.2,2.56415999999998)); #246581=CARTESIAN_POINT('Origin',(2.45340460620841,0.18,2.69117442167463)); #246582=CARTESIAN_POINT('Origin',(2.40010158534643,0.2,2.71703622111712)); #246583=CARTESIAN_POINT('',(2.90428000000001,0.2,2.72098)); #246584=CARTESIAN_POINT('Origin',(2.40010158534643,0.2,2.71703622111712)); #246585=CARTESIAN_POINT('',(2.90428000000001,0.18,2.72098)); #246586=CARTESIAN_POINT('',(2.90428000000001,0.2,2.72098)); #246587=CARTESIAN_POINT('Origin',(2.40010158534643,0.18,2.71703622111712)); #246588=CARTESIAN_POINT('Origin',(2.90428000000001,0.2,2.85195999999999)); #246589=CARTESIAN_POINT('',(2.90428,0.2,2.85195999999999)); #246590=CARTESIAN_POINT('',(2.90428000000001,0.2,1.42597999999672)); #246591=CARTESIAN_POINT('',(2.90428,0.18,2.85195999999999)); #246592=CARTESIAN_POINT('',(2.90428,0.2,2.85195999999999)); #246593=CARTESIAN_POINT('',(2.90428000000001,0.18,2.85195999999999)); #246594=CARTESIAN_POINT('Origin',(2.41484373677912,0.2,2.86146041261446)); #246595=CARTESIAN_POINT('',(2.847639,0.2,3.09020999999998)); #246596=CARTESIAN_POINT('Origin',(2.41484373677912,0.2,2.86146041261446)); #246597=CARTESIAN_POINT('',(2.847639,0.18,3.09020999999998)); #246598=CARTESIAN_POINT('',(2.847639,0.2,3.09020999999998)); #246599=CARTESIAN_POINT('Origin',(2.41484373677912,0.18,2.86146041261446)); #246600=CARTESIAN_POINT('Origin',(2.68296601277927,0.2,3.00922850696912)); #246601=CARTESIAN_POINT('',(2.691878,0.2,3.19251999999999)); #246602=CARTESIAN_POINT('Origin',(2.68296601277927,0.2,3.00922850696912)); #246603=CARTESIAN_POINT('',(2.691878,0.18,3.19251999999999)); #246604=CARTESIAN_POINT('',(2.691878,0.2,3.19251999999999)); #246605=CARTESIAN_POINT('Origin',(2.68296601277927,0.18,3.00922850696912)); #246606=CARTESIAN_POINT('Origin',(2.69025928185213,0.2,3.0219512908544)); #246607=CARTESIAN_POINT('',(2.600546,0.2,3.16703)); #246608=CARTESIAN_POINT('Origin',(2.69025928185213,0.2,3.0219512908544)); #246609=CARTESIAN_POINT('',(2.600546,0.18,3.16703)); #246610=CARTESIAN_POINT('',(2.600546,0.2,3.16703)); #246611=CARTESIAN_POINT('Origin',(2.69025928185213,0.18,3.0219512908544)); #246612=CARTESIAN_POINT('Origin',(2.68160039381501,0.2,3.03366515560915)); #246613=CARTESIAN_POINT('',(2.55523500000001,0.2,3.12524999999999)); #246614=CARTESIAN_POINT('Origin',(2.68160039381501,0.2,3.03366515560915)); #246615=CARTESIAN_POINT('',(2.55523500000001,0.18,3.12524999999999)); #246616=CARTESIAN_POINT('',(2.55523500000001,0.2,3.12524999999999)); #246617=CARTESIAN_POINT('Origin',(2.68160039381501,0.18,3.03366515560915)); #246618=CARTESIAN_POINT('Origin',(2.91282567728885,0.2,2.88776019134318)); #246619=CARTESIAN_POINT('Origin',(2.91282567728885,0.2,2.88776019134318)); #246620=CARTESIAN_POINT('Origin',(2.91282567728885,0.18,2.88776019134318)); #246621=CARTESIAN_POINT('Origin',(2.963751,0.2,2.71319)); #246622=CARTESIAN_POINT('',(2.963751,0.2,2.71319)); #246623=CARTESIAN_POINT('',(2.963751,0.2,2.86045999999999)); #246624=CARTESIAN_POINT('',(2.963751,0.2,1.35659499999672)); #246625=CARTESIAN_POINT('',(2.963751,0.18,2.71319)); #246626=CARTESIAN_POINT('',(2.963751,0.2,2.71319)); #246627=CARTESIAN_POINT('',(2.963751,0.18,2.86045999999999)); #246628=CARTESIAN_POINT('',(2.963751,0.18,2.71319)); #246629=CARTESIAN_POINT('',(2.963751,0.2,2.86045999999999)); #246630=CARTESIAN_POINT('Origin',(2.38792306723933,0.2,2.70353374288868)); #246631=CARTESIAN_POINT('',(2.94818,0.2,2.57017999999999)); #246632=CARTESIAN_POINT('Origin',(2.38792306723933,0.2,2.70353374288868)); #246633=CARTESIAN_POINT('',(2.94818,0.18,2.57017999999999)); #246634=CARTESIAN_POINT('',(2.94818,0.2,2.57017999999999)); #246635=CARTESIAN_POINT('Origin',(2.38792306723933,0.18,2.70353374288868)); #246636=CARTESIAN_POINT('Origin',(2.39459685079795,0.2,2.72454749723266)); #246637=CARTESIAN_POINT('',(2.91277900000001,0.2,2.47601)); #246638=CARTESIAN_POINT('Origin',(2.39459685079795,0.2,2.72454749723266)); #246639=CARTESIAN_POINT('',(2.91277900000001,0.18,2.47601)); #246640=CARTESIAN_POINT('',(2.91277900000001,0.2,2.47601)); #246641=CARTESIAN_POINT('Origin',(2.39459685079795,0.18,2.72454749723266)); #246642=CARTESIAN_POINT('Origin',(2.62808901670041,0.2,2.59487486102685)); #246643=CARTESIAN_POINT('',(2.86746800000001,0.2,2.40025999999999)); #246644=CARTESIAN_POINT('Origin',(2.62808901670041,0.2,2.59487486102685)); #246645=CARTESIAN_POINT('',(2.86746800000001,0.18,2.40025999999999)); #246646=CARTESIAN_POINT('',(2.86746800000001,0.2,2.40025999999999)); #246647=CARTESIAN_POINT('Origin',(2.62808901670041,0.18,2.59487486102685)); #246648=CARTESIAN_POINT('Origin',(2.69441452338874,0.2,2.55102553033526)); #246649=CARTESIAN_POINT('',(2.78887800000001,0.2,2.34185)); #246650=CARTESIAN_POINT('Origin',(2.69441452338874,0.2,2.55102553033526)); #246651=CARTESIAN_POINT('',(2.78887800000001,0.18,2.34185)); #246652=CARTESIAN_POINT('',(2.78887800000001,0.2,2.34185)); #246653=CARTESIAN_POINT('Origin',(2.69441452338874,0.18,2.55102553033526)); #246654=CARTESIAN_POINT('Origin',(2.69102949876231,0.2,2.56052682722251)); #246655=CARTESIAN_POINT('',(2.68975699999999,0.2,2.32095999999999)); #246656=CARTESIAN_POINT('Origin',(2.69102949876231,0.2,2.56052682722251)); #246657=CARTESIAN_POINT('',(2.68975699999999,0.18,2.32095999999999)); #246658=CARTESIAN_POINT('',(2.68975699999999,0.2,2.32095999999999)); #246659=CARTESIAN_POINT('Origin',(2.69102949876231,0.18,2.56052682722251)); #246660=CARTESIAN_POINT('Origin',(2.69185217784101,0.2,2.55480800694578)); #246661=CARTESIAN_POINT('',(2.509215,0.2,2.40874999999999)); #246662=CARTESIAN_POINT('Origin',(2.69185217784101,0.2,2.55480800694578)); #246663=CARTESIAN_POINT('',(2.509215,0.18,2.40874999999999)); #246664=CARTESIAN_POINT('',(2.509215,0.2,2.40874999999999)); #246665=CARTESIAN_POINT('Origin',(2.69185217784101,0.18,2.55480800694578)); #246666=CARTESIAN_POINT('Origin',(2.89067681036064,0.2,2.6891083431082)); #246667=CARTESIAN_POINT('',(2.417883,0.2,2.71319)); #246668=CARTESIAN_POINT('Origin',(2.89067681036064,0.2,2.6891083431082)); #246669=CARTESIAN_POINT('',(2.417883,0.18,2.71319)); #246670=CARTESIAN_POINT('',(2.417883,0.2,2.71319)); #246671=CARTESIAN_POINT('Origin',(2.89067681036064,0.18,2.6891083431082)); #246672=CARTESIAN_POINT('Origin',(2.417883,0.2,2.86045999999999)); #246673=CARTESIAN_POINT('',(2.417883,0.2,2.86045999999999)); #246674=CARTESIAN_POINT('',(2.417883,0.2,1.43022999999672)); #246675=CARTESIAN_POINT('',(2.417883,0.18,2.86045999999999)); #246676=CARTESIAN_POINT('',(2.417883,0.2,2.86045999999999)); #246677=CARTESIAN_POINT('',(2.417883,0.18,2.86045999999999)); #246678=CARTESIAN_POINT('Origin',(2.96751099232117,0.2,2.86966603040906)); #246679=CARTESIAN_POINT('',(2.434164,0.2,3.00276999999998)); #246680=CARTESIAN_POINT('Origin',(2.96751099232117,0.2,2.86966603040906)); #246681=CARTESIAN_POINT('',(2.434164,0.18,3.00276999999998)); #246682=CARTESIAN_POINT('',(2.434164,0.2,3.00276999999998)); #246683=CARTESIAN_POINT('Origin',(2.96751099232117,0.18,2.86966603040906)); #246684=CARTESIAN_POINT('Origin',(2.9235546824742,0.2,2.87587283840281)); #246685=CARTESIAN_POINT('',(2.46921400000001,0.2,3.09763999999999)); #246686=CARTESIAN_POINT('Origin',(2.9235546824742,0.2,2.87587283840281)); #246687=CARTESIAN_POINT('',(2.46921400000001,0.18,3.09763999999999)); #246688=CARTESIAN_POINT('',(2.46921400000001,0.2,3.09763999999999)); #246689=CARTESIAN_POINT('Origin',(2.9235546824742,0.18,2.87587283840281)); #246690=CARTESIAN_POINT('Origin',(2.75847180153802,0.2,2.97537318730535)); #246691=CARTESIAN_POINT('',(2.514166,0.2,3.17269)); #246692=CARTESIAN_POINT('Origin',(2.75847180153802,0.2,2.97537318730535)); #246693=CARTESIAN_POINT('',(2.514166,0.18,3.17269)); #246694=CARTESIAN_POINT('',(2.514166,0.2,3.17269)); #246695=CARTESIAN_POINT('Origin',(2.75847180153802,0.18,2.97537318730535)); #246696=CARTESIAN_POINT('Origin',(2.68650182866718,0.2,3.02235071829941)); #246697=CARTESIAN_POINT('',(2.59311500000001,0.2,3.23110999999999)); #246698=CARTESIAN_POINT('Origin',(2.68650182866718,0.2,3.02235071829941)); #246699=CARTESIAN_POINT('',(2.59311500000001,0.18,3.23110999999999)); #246700=CARTESIAN_POINT('',(2.59311500000001,0.2,3.23110999999999)); #246701=CARTESIAN_POINT('Origin',(2.68650182866718,0.18,3.02235071829941)); #246702=CARTESIAN_POINT('Origin',(2.69109942484764,0.2,3.01165676883494)); #246703=CARTESIAN_POINT('',(2.691878,0.2,3.25199)); #246704=CARTESIAN_POINT('Origin',(2.69109942484764,0.2,3.01165676883494)); #246705=CARTESIAN_POINT('',(2.691878,0.18,3.25199)); #246706=CARTESIAN_POINT('',(2.691878,0.2,3.25199)); #246707=CARTESIAN_POINT('Origin',(2.69109942484764,0.18,3.01165676883494)); #246708=CARTESIAN_POINT('Origin',(2.68978288110734,0.2,3.01814211428276)); #246709=CARTESIAN_POINT('',(2.87242,0.2,3.16419999999999)); #246710=CARTESIAN_POINT('Origin',(2.68978288110734,0.2,3.01814211428276)); #246711=CARTESIAN_POINT('',(2.87242,0.18,3.16419999999999)); #246712=CARTESIAN_POINT('',(2.87242,0.2,3.16419999999999)); #246713=CARTESIAN_POINT('Origin',(2.68978288110734,0.18,3.01814211428276)); #246714=CARTESIAN_POINT('Origin',(2.49194004787395,0.2,2.88419313857863)); #246715=CARTESIAN_POINT('Origin',(2.49194004787395,0.2,2.88419313857863)); #246716=CARTESIAN_POINT('Origin',(2.49194004787395,0.18,2.88419313857863)); #246717=CARTESIAN_POINT('Origin',(2.69080881888674,0.18,2.78647394079965)); #246718=CARTESIAN_POINT('Origin',(5.03188180631806,0.2,2.71703195251966)); #246719=CARTESIAN_POINT('',(5.536032,0.2,2.72098)); #246720=CARTESIAN_POINT('',(5.512312,0.2,2.56415999999998)); #246721=CARTESIAN_POINT('Origin',(5.03188180631806,0.2,2.71703195251966)); #246722=CARTESIAN_POINT('',(5.536032,0.18,2.72098)); #246723=CARTESIAN_POINT('',(5.536032,0.2,2.72098)); #246724=CARTESIAN_POINT('',(5.512312,0.18,2.56415999999998)); #246725=CARTESIAN_POINT('Origin',(5.03188180631806,0.18,2.71703195251966)); #246726=CARTESIAN_POINT('',(5.512312,0.2,2.56415999999998)); #246727=CARTESIAN_POINT('Origin',(5.536032,0.2,2.85195999999999)); #246728=CARTESIAN_POINT('',(5.536032,0.2,2.85195999999999)); #246729=CARTESIAN_POINT('',(5.536032,0.2,1.42597999999672)); #246730=CARTESIAN_POINT('',(5.536032,0.18,2.85195999999999)); #246731=CARTESIAN_POINT('',(5.536032,0.2,2.85195999999999)); #246732=CARTESIAN_POINT('',(5.536032,0.18,2.85195999999999)); #246733=CARTESIAN_POINT('Origin',(5.04660083339514,0.2,2.8614616242721)); #246734=CARTESIAN_POINT('',(5.479391,0.2,3.09020999999998)); #246735=CARTESIAN_POINT('Origin',(5.04660083339514,0.2,2.8614616242721)); #246736=CARTESIAN_POINT('',(5.479391,0.18,3.09020999999998)); #246737=CARTESIAN_POINT('',(5.479391,0.2,3.09020999999998)); #246738=CARTESIAN_POINT('Origin',(5.04660083339514,0.18,2.8614616242721)); #246739=CARTESIAN_POINT('Origin',(5.31471748461534,0.2,3.00922770287039)); #246740=CARTESIAN_POINT('',(5.32363,0.2,3.19251999999999)); #246741=CARTESIAN_POINT('Origin',(5.31471748461534,0.2,3.00922770287039)); #246742=CARTESIAN_POINT('',(5.32363,0.18,3.19251999999999)); #246743=CARTESIAN_POINT('',(5.32363,0.2,3.19251999999999)); #246744=CARTESIAN_POINT('Origin',(5.31471748461534,0.18,3.00922770287039)); #246745=CARTESIAN_POINT('Origin',(5.32201062202716,0.2,3.02195365504165)); #246746=CARTESIAN_POINT('',(5.23229799999999,0.2,3.16703)); #246747=CARTESIAN_POINT('Origin',(5.32201062202716,0.2,3.02195365504165)); #246748=CARTESIAN_POINT('',(5.23229799999999,0.18,3.16703)); #246749=CARTESIAN_POINT('',(5.23229799999999,0.2,3.16703)); #246750=CARTESIAN_POINT('Origin',(5.32201062202716,0.18,3.02195365504165)); #246751=CARTESIAN_POINT('Origin',(5.31336074757505,0.2,3.03365609583841)); #246752=CARTESIAN_POINT('',(5.186987,0.2,3.12524999999999)); #246753=CARTESIAN_POINT('Origin',(5.31336074757505,0.2,3.03365609583841)); #246754=CARTESIAN_POINT('',(5.186987,0.18,3.12524999999999)); #246755=CARTESIAN_POINT('',(5.186987,0.2,3.12524999999999)); #246756=CARTESIAN_POINT('Origin',(5.31336074757505,0.18,3.03365609583841)); #246757=CARTESIAN_POINT('Origin',(5.54461744208187,0.2,2.88771681639227)); #246758=CARTESIAN_POINT('',(5.13281899999999,0.2,3.00914000000001)); #246759=CARTESIAN_POINT('Origin',(5.54461744208187,0.2,2.88771681639227)); #246760=CARTESIAN_POINT('',(5.13281899999999,0.18,3.00914000000001)); #246761=CARTESIAN_POINT('',(5.13281899999999,0.2,3.00914000000001)); #246762=CARTESIAN_POINT('Origin',(5.54461744208187,0.18,2.88771681639227)); #246763=CARTESIAN_POINT('Origin',(5.61667120086801,0.2,2.85576478290066)); #246764=CARTESIAN_POINT('',(5.10910600000001,0.2,2.85195999999999)); #246765=CARTESIAN_POINT('Origin',(5.61667120086801,0.2,2.85576478290066)); #246766=CARTESIAN_POINT('',(5.10910600000001,0.18,2.85195999999999)); #246767=CARTESIAN_POINT('',(5.10910600000001,0.2,2.85195999999999)); #246768=CARTESIAN_POINT('Origin',(5.61667120086801,0.18,2.85576478290066)); #246769=CARTESIAN_POINT('Origin',(5.10910600000001,0.2,2.72098)); #246770=CARTESIAN_POINT('',(5.10910600000001,0.2,2.72098)); #246771=CARTESIAN_POINT('',(5.10910600000001,0.2,1.36048999999672)); #246772=CARTESIAN_POINT('',(5.10910600000001,0.18,2.72098)); #246773=CARTESIAN_POINT('',(5.10910600000001,0.2,2.72098)); #246774=CARTESIAN_POINT('',(5.10910600000001,0.18,2.72098)); #246775=CARTESIAN_POINT('Origin',(5.59645722698806,0.2,2.71132904097406)); #246776=CARTESIAN_POINT('',(5.16574699999999,0.2,2.48308999999999)); #246777=CARTESIAN_POINT('Origin',(5.59645722698806,0.2,2.71132904097406)); #246778=CARTESIAN_POINT('',(5.16574699999999,0.18,2.48308999999999)); #246779=CARTESIAN_POINT('',(5.16574699999999,0.2,2.48308999999999)); #246780=CARTESIAN_POINT('Origin',(5.59645722698806,0.18,2.71132904097406)); #246781=CARTESIAN_POINT('Origin',(5.3306121201737,0.2,2.56507198396134)); #246782=CARTESIAN_POINT('',(5.322211,0.2,2.38113999999998)); #246783=CARTESIAN_POINT('Origin',(5.3306121201737,0.2,2.56507198396134)); #246784=CARTESIAN_POINT('',(5.322211,0.18,2.38113999999998)); #246785=CARTESIAN_POINT('',(5.322211,0.2,2.38113999999998)); #246786=CARTESIAN_POINT('Origin',(5.3306121201737,0.18,2.56507198396134)); #246787=CARTESIAN_POINT('Origin',(5.32366947065814,0.2,2.55392661352808)); #246788=CARTESIAN_POINT('',(5.41284,0.2,2.40591999999998)); #246789=CARTESIAN_POINT('Origin',(5.32366947065814,0.2,2.55392661352808)); #246790=CARTESIAN_POINT('',(5.41284,0.18,2.40591999999998)); #246791=CARTESIAN_POINT('',(5.41284,0.2,2.40591999999998)); #246792=CARTESIAN_POINT('Origin',(5.32366947065814,0.18,2.55392661352808)); #246793=CARTESIAN_POINT('Origin',(5.3288615251508,0.2,2.54306724132608)); #246794=CARTESIAN_POINT('',(5.45886100000001,0.2,2.44840000000002)); #246795=CARTESIAN_POINT('Origin',(5.3288615251508,0.2,2.54306724132608)); #246796=CARTESIAN_POINT('',(5.45886100000001,0.18,2.44840000000002)); #246797=CARTESIAN_POINT('',(5.45886100000001,0.2,2.44840000000002)); #246798=CARTESIAN_POINT('Origin',(5.3288615251508,0.18,2.54306724132608)); #246799=CARTESIAN_POINT('Origin',(5.0851533142464,0.2,2.69117594170455)); #246800=CARTESIAN_POINT('Origin',(5.0851533142464,0.2,2.69117594170455)); #246801=CARTESIAN_POINT('Origin',(5.0851533142464,0.18,2.69117594170455)); #246802=CARTESIAN_POINT('Origin',(5.52242790723253,0.2,2.68911894319473)); #246803=CARTESIAN_POINT('',(5.049628,0.2,2.71319)); #246804=CARTESIAN_POINT('',(5.140967,0.2,2.40874999999999)); #246805=CARTESIAN_POINT('Origin',(5.52242790723253,0.2,2.68911894319473)); #246806=CARTESIAN_POINT('',(5.049628,0.18,2.71319)); #246807=CARTESIAN_POINT('',(5.049628,0.2,2.71319)); #246808=CARTESIAN_POINT('',(5.140967,0.18,2.40874999999999)); #246809=CARTESIAN_POINT('Origin',(5.52242790723253,0.18,2.68911894319473)); #246810=CARTESIAN_POINT('',(5.140967,0.2,2.40874999999999)); #246811=CARTESIAN_POINT('Origin',(5.049628,0.2,2.86045999999999)); #246812=CARTESIAN_POINT('',(5.049628,0.2,2.86045999999999)); #246813=CARTESIAN_POINT('',(5.049628,0.2,1.43022999999672)); #246814=CARTESIAN_POINT('',(5.049628,0.18,2.86045999999999)); #246815=CARTESIAN_POINT('',(5.049628,0.2,2.86045999999999)); #246816=CARTESIAN_POINT('',(5.049628,0.18,2.86045999999999)); #246817=CARTESIAN_POINT('Origin',(5.59921354049512,0.2,2.86964465489712)); #246818=CARTESIAN_POINT('',(5.06591600000001,0.2,3.00276999999998)); #246819=CARTESIAN_POINT('Origin',(5.59921354049512,0.2,2.86964465489712)); #246820=CARTESIAN_POINT('',(5.06591600000001,0.18,3.00276999999998)); #246821=CARTESIAN_POINT('',(5.06591600000001,0.2,3.00276999999998)); #246822=CARTESIAN_POINT('Origin',(5.59921354049512,0.18,2.86964465489712)); #246823=CARTESIAN_POINT('Origin',(5.55532950019587,0.2,2.87590272328597)); #246824=CARTESIAN_POINT('',(5.100958,0.2,3.09763999999998)); #246825=CARTESIAN_POINT('Origin',(5.55532950019587,0.2,2.87590272328597)); #246826=CARTESIAN_POINT('',(5.100958,0.18,3.09763999999998)); #246827=CARTESIAN_POINT('',(5.100958,0.2,3.09763999999998)); #246828=CARTESIAN_POINT('Origin',(5.55532950019587,0.18,2.87590272328597)); #246829=CARTESIAN_POINT('Origin',(5.39021980153745,0.2,2.97534474953867)); #246830=CARTESIAN_POINT('',(5.14591800000001,0.2,3.17269)); #246831=CARTESIAN_POINT('Origin',(5.39021980153745,0.2,2.97534474953867)); #246832=CARTESIAN_POINT('',(5.14591800000001,0.18,3.17269)); #246833=CARTESIAN_POINT('',(5.14591800000001,0.2,3.17269)); #246834=CARTESIAN_POINT('Origin',(5.39021980153745,0.18,2.97534474953867)); #246835=CARTESIAN_POINT('Origin',(5.31825215701861,0.2,3.02236416738507)); #246836=CARTESIAN_POINT('',(5.22486,0.2,3.23110999999999)); #246837=CARTESIAN_POINT('Origin',(5.31825215701861,0.2,3.02236416738507)); #246838=CARTESIAN_POINT('',(5.22486,0.18,3.23110999999999)); #246839=CARTESIAN_POINT('',(5.22486,0.2,3.23110999999999)); #246840=CARTESIAN_POINT('Origin',(5.31825215701861,0.18,3.02236416738507)); #246841=CARTESIAN_POINT('Origin',(5.32284792484761,0.2,3.01164047475105)); #246842=CARTESIAN_POINT('',(5.32363,0.2,3.25199)); #246843=CARTESIAN_POINT('Origin',(5.32284792484761,0.2,3.01164047475105)); #246844=CARTESIAN_POINT('',(5.32363,0.18,3.25199)); #246845=CARTESIAN_POINT('',(5.32363,0.2,3.25199)); #246846=CARTESIAN_POINT('Origin',(5.32284792484761,0.18,3.01164047475105)); #246847=CARTESIAN_POINT('Origin',(5.32153371573011,0.2,3.01813971765974)); #246848=CARTESIAN_POINT('',(5.50417199999999,0.2,3.16419999999999)); #246849=CARTESIAN_POINT('Origin',(5.32153371573011,0.2,3.01813971765974)); #246850=CARTESIAN_POINT('',(5.50417199999999,0.18,3.16419999999999)); #246851=CARTESIAN_POINT('',(5.50417199999999,0.2,3.16419999999999)); #246852=CARTESIAN_POINT('Origin',(5.32153371573011,0.18,3.01813971765974)); #246853=CARTESIAN_POINT('Origin',(5.12368945697597,0.2,2.88419235952645)); #246854=CARTESIAN_POINT('',(5.59550300000001,0.2,2.86045999999999)); #246855=CARTESIAN_POINT('Origin',(5.12368945697597,0.2,2.88419235952645)); #246856=CARTESIAN_POINT('',(5.59550300000001,0.18,2.86045999999999)); #246857=CARTESIAN_POINT('',(5.59550300000001,0.2,2.86045999999999)); #246858=CARTESIAN_POINT('Origin',(5.12368945697597,0.18,2.88419235952645)); #246859=CARTESIAN_POINT('Origin',(5.59550300000001,0.2,2.71319)); #246860=CARTESIAN_POINT('',(5.59550300000001,0.2,2.71319)); #246861=CARTESIAN_POINT('',(5.59550300000001,0.2,1.35659499999672)); #246862=CARTESIAN_POINT('',(5.59550300000001,0.18,2.71319)); #246863=CARTESIAN_POINT('',(5.59550300000001,0.2,2.71319)); #246864=CARTESIAN_POINT('',(5.59550300000001,0.18,2.71319)); #246865=CARTESIAN_POINT('Origin',(5.01964979710449,0.2,2.70353649431362)); #246866=CARTESIAN_POINT('',(5.57993199999999,0.2,2.57017999999999)); #246867=CARTESIAN_POINT('Origin',(5.01964979710449,0.2,2.70353649431362)); #246868=CARTESIAN_POINT('',(5.57993199999999,0.18,2.57017999999999)); #246869=CARTESIAN_POINT('',(5.57993199999999,0.2,2.57017999999999)); #246870=CARTESIAN_POINT('Origin',(5.01964979710449,0.18,2.70353649431362)); #246871=CARTESIAN_POINT('Origin',(5.02627894791198,0.2,2.72457377558105)); #246872=CARTESIAN_POINT('',(5.544531,0.2,2.47601)); #246873=CARTESIAN_POINT('Origin',(5.02627894791198,0.2,2.72457377558105)); #246874=CARTESIAN_POINT('',(5.544531,0.18,2.47601)); #246875=CARTESIAN_POINT('',(5.544531,0.2,2.47601)); #246876=CARTESIAN_POINT('Origin',(5.02627894791198,0.18,2.72457377558105)); #246877=CARTESIAN_POINT('Origin',(5.25983751670051,0.2,2.5948990754345)); #246878=CARTESIAN_POINT('',(5.499213,0.2,2.40025999999999)); #246879=CARTESIAN_POINT('Origin',(5.25983751670051,0.2,2.5948990754345)); #246880=CARTESIAN_POINT('',(5.499213,0.18,2.40025999999999)); #246881=CARTESIAN_POINT('',(5.499213,0.2,2.40025999999999)); #246882=CARTESIAN_POINT('Origin',(5.25983751670051,0.18,2.5948990754345)); #246883=CARTESIAN_POINT('Origin',(5.32616114544862,0.2,2.55101202690827)); #246884=CARTESIAN_POINT('',(5.42063000000002,0.2,2.34185)); #246885=CARTESIAN_POINT('Origin',(5.32616114544862,0.2,2.55101202690827)); #246886=CARTESIAN_POINT('',(5.42063000000002,0.18,2.34185)); #246887=CARTESIAN_POINT('',(5.42063000000002,0.2,2.34185)); #246888=CARTESIAN_POINT('Origin',(5.32616114544862,0.18,2.55101202690827)); #246889=CARTESIAN_POINT('Origin',(5.32278081486606,0.2,2.56053007224317)); #246890=CARTESIAN_POINT('',(5.321509,0.2,2.32095999999999)); #246891=CARTESIAN_POINT('Origin',(5.32278081486606,0.2,2.56053007224317)); #246892=CARTESIAN_POINT('',(5.321509,0.18,2.32095999999999)); #246893=CARTESIAN_POINT('',(5.321509,0.2,2.32095999999999)); #246894=CARTESIAN_POINT('Origin',(5.32278081486606,0.18,2.56053007224317)); #246895=CARTESIAN_POINT('Origin',(5.32360534775424,0.2,2.5548104128972)); #246896=CARTESIAN_POINT('Origin',(5.32360534775424,0.2,2.5548104128972)); #246897=CARTESIAN_POINT('Origin',(5.32360534775424,0.18,2.5548104128972)); #246898=CARTESIAN_POINT('Origin',(5.32255757117317,0.18,2.78647394827715)); #246899=CARTESIAN_POINT('Origin',(6.83962963037708,0.2,2.69119102948418)); #246900=CARTESIAN_POINT('',(7.26681400000001,0.2,2.56415999999998)); #246901=CARTESIAN_POINT('',(7.21336200000001,0.2,2.4484)); #246902=CARTESIAN_POINT('Origin',(6.83962963037708,0.2,2.69119102948418)); #246903=CARTESIAN_POINT('',(7.26681400000001,0.18,2.56415999999998)); #246904=CARTESIAN_POINT('',(7.26681400000001,0.2,2.56415999999998)); #246905=CARTESIAN_POINT('',(7.21336200000001,0.18,2.4484)); #246906=CARTESIAN_POINT('Origin',(6.83962963037708,0.18,2.69119102948418)); #246907=CARTESIAN_POINT('',(7.21336200000001,0.2,2.4484)); #246908=CARTESIAN_POINT('Origin',(6.78638330631759,0.2,2.71702881331425)); #246909=CARTESIAN_POINT('',(7.29053299999999,0.2,2.72098)); #246910=CARTESIAN_POINT('Origin',(6.78638330631759,0.2,2.71702881331425)); #246911=CARTESIAN_POINT('',(7.29053299999999,0.18,2.72098)); #246912=CARTESIAN_POINT('',(7.29053299999999,0.2,2.72098)); #246913=CARTESIAN_POINT('Origin',(6.78638330631759,0.18,2.71702881331425)); #246914=CARTESIAN_POINT('Origin',(7.29053299999999,0.2,2.85195999999999)); #246915=CARTESIAN_POINT('',(7.29053299999999,0.2,2.85195999999999)); #246916=CARTESIAN_POINT('',(7.29053299999999,0.2,1.42597999999672)); #246917=CARTESIAN_POINT('',(7.29053299999999,0.18,2.85195999999999)); #246918=CARTESIAN_POINT('',(7.29053299999999,0.2,2.85195999999999)); #246919=CARTESIAN_POINT('',(7.29053299999999,0.18,2.85195999999999)); #246920=CARTESIAN_POINT('Origin',(6.80110233339511,0.2,2.8614635596789)); #246921=CARTESIAN_POINT('',(7.233893,0.2,3.09020999999998)); #246922=CARTESIAN_POINT('Origin',(6.80110233339511,0.2,2.8614635596789)); #246923=CARTESIAN_POINT('',(7.233893,0.18,3.09020999999998)); #246924=CARTESIAN_POINT('',(7.233893,0.2,3.09020999999998)); #246925=CARTESIAN_POINT('Origin',(6.80110233339511,0.18,2.8614635596789)); #246926=CARTESIAN_POINT('Origin',(7.06921898461535,0.2,3.00922685453676)); #246927=CARTESIAN_POINT('',(7.078131,0.2,3.19251999999999)); #246928=CARTESIAN_POINT('Origin',(7.06921898461535,0.2,3.00922685453676)); #246929=CARTESIAN_POINT('',(7.078131,0.18,3.19251999999999)); #246930=CARTESIAN_POINT('',(7.078131,0.2,3.19251999999999)); #246931=CARTESIAN_POINT('Origin',(7.06921898461535,0.18,3.00922685453676)); #246932=CARTESIAN_POINT('Origin',(7.07651212202722,0.2,3.02195538303764)); #246933=CARTESIAN_POINT('',(6.9868,0.2,3.16703)); #246934=CARTESIAN_POINT('Origin',(7.07651212202722,0.2,3.02195538303764)); #246935=CARTESIAN_POINT('',(6.9868,0.18,3.16703)); #246936=CARTESIAN_POINT('',(6.9868,0.2,3.16703)); #246937=CARTESIAN_POINT('Origin',(7.07651212202722,0.18,3.02195538303764)); #246938=CARTESIAN_POINT('Origin',(7.0678587475748,0.2,3.03363623595402)); #246939=CARTESIAN_POINT('',(6.941481,0.2,3.12524999999999)); #246940=CARTESIAN_POINT('Origin',(7.0678587475748,0.2,3.03363623595402)); #246941=CARTESIAN_POINT('',(6.941481,0.18,3.12524999999999)); #246942=CARTESIAN_POINT('',(6.941481,0.2,3.12524999999999)); #246943=CARTESIAN_POINT('Origin',(7.0678587475748,0.18,3.03363623595402)); #246944=CARTESIAN_POINT('Origin',(7.29908609609454,0.2,2.88775346550613)); #246945=CARTESIAN_POINT('',(6.88731999999999,0.2,3.00913999999999)); #246946=CARTESIAN_POINT('Origin',(7.29908609609454,0.2,2.88775346550613)); #246947=CARTESIAN_POINT('',(6.88731999999999,0.18,3.00913999999999)); #246948=CARTESIAN_POINT('',(6.88731999999999,0.2,3.00913999999999)); #246949=CARTESIAN_POINT('Origin',(7.29908609609454,0.18,2.88775346550613)); #246950=CARTESIAN_POINT('Origin',(7.37116870086802,0.2,2.85574270654925)); #246951=CARTESIAN_POINT('',(6.8636,0.2,2.85195999999999)); #246952=CARTESIAN_POINT('Origin',(7.37116870086802,0.2,2.85574270654925)); #246953=CARTESIAN_POINT('',(6.8636,0.18,2.85195999999999)); #246954=CARTESIAN_POINT('',(6.8636,0.2,2.85195999999999)); #246955=CARTESIAN_POINT('Origin',(7.37116870086802,0.18,2.85574270654925)); #246956=CARTESIAN_POINT('Origin',(6.8636,0.2,2.72098)); #246957=CARTESIAN_POINT('',(6.8636,0.2,2.72098)); #246958=CARTESIAN_POINT('',(6.8636,0.2,1.36048999999672)); #246959=CARTESIAN_POINT('',(6.8636,0.18,2.72098)); #246960=CARTESIAN_POINT('',(6.8636,0.2,2.72098)); #246961=CARTESIAN_POINT('',(6.8636,0.18,2.72098)); #246962=CARTESIAN_POINT('Origin',(7.35094107045518,0.2,2.71132662272963)); #246963=CARTESIAN_POINT('',(6.920241,0.2,2.48308999999999)); #246964=CARTESIAN_POINT('Origin',(7.35094107045518,0.2,2.71132662272963)); #246965=CARTESIAN_POINT('',(6.920241,0.18,2.48308999999999)); #246966=CARTESIAN_POINT('',(6.920241,0.2,2.48308999999999)); #246967=CARTESIAN_POINT('Origin',(7.35094107045518,0.18,2.71132662272963)); #246968=CARTESIAN_POINT('Origin',(7.08510962017376,0.2,2.56507793228746)); #246969=CARTESIAN_POINT('',(7.07671199999999,0.2,2.38113999999999)); #246970=CARTESIAN_POINT('Origin',(7.08510962017376,0.2,2.56507793228746)); #246971=CARTESIAN_POINT('',(7.07671199999999,0.18,2.38113999999999)); #246972=CARTESIAN_POINT('',(7.07671199999999,0.2,2.38113999999999)); #246973=CARTESIAN_POINT('Origin',(7.08510962017376,0.18,2.56507793228746)); #246974=CARTESIAN_POINT('Origin',(7.07817097065813,0.2,2.55392838334373)); #246975=CARTESIAN_POINT('',(7.167342,0.2,2.40591999999998)); #246976=CARTESIAN_POINT('Origin',(7.07817097065813,0.2,2.55392838334373)); #246977=CARTESIAN_POINT('',(7.167342,0.18,2.40591999999998)); #246978=CARTESIAN_POINT('',(7.167342,0.2,2.40591999999998)); #246979=CARTESIAN_POINT('Origin',(7.07817097065813,0.18,2.55392838334373)); #246980=CARTESIAN_POINT('Origin',(7.08336302515096,0.2,2.54306472275307)); #246981=CARTESIAN_POINT('Origin',(7.08336302515096,0.2,2.54306472275307)); #246982=CARTESIAN_POINT('Origin',(7.08336302515096,0.18,2.54306472275307)); #246983=CARTESIAN_POINT('Origin',(7.35000500000001,0.2,2.71319)); #246984=CARTESIAN_POINT('',(7.35000500000001,0.2,2.71319)); #246985=CARTESIAN_POINT('',(7.35000500000001,0.2,2.86045999999999)); #246986=CARTESIAN_POINT('',(7.35000500000001,0.2,1.35659499999672)); #246987=CARTESIAN_POINT('',(7.35000500000001,0.18,2.71319)); #246988=CARTESIAN_POINT('',(7.35000500000001,0.2,2.71319)); #246989=CARTESIAN_POINT('',(7.35000500000001,0.18,2.86045999999999)); #246990=CARTESIAN_POINT('',(7.35000500000001,0.18,2.71319)); #246991=CARTESIAN_POINT('',(7.35000500000001,0.2,2.86045999999999)); #246992=CARTESIAN_POINT('Origin',(6.77414729710459,0.2,2.70357224432637)); #246993=CARTESIAN_POINT('',(7.334425,0.2,2.57017999999999)); #246994=CARTESIAN_POINT('Origin',(6.77414729710459,0.2,2.70357224432637)); #246995=CARTESIAN_POINT('',(7.334425,0.18,2.57017999999999)); #246996=CARTESIAN_POINT('',(7.334425,0.2,2.57017999999999)); #246997=CARTESIAN_POINT('Origin',(6.77414729710459,0.18,2.70357224432637)); #246998=CARTESIAN_POINT('Origin',(6.78093894816649,0.2,2.72450549415858)); #246999=CARTESIAN_POINT('',(7.29902499999999,0.2,2.47601)); #247000=CARTESIAN_POINT('Origin',(6.78093894816649,0.2,2.72450549415858)); #247001=CARTESIAN_POINT('',(7.29902499999999,0.18,2.47601)); #247002=CARTESIAN_POINT('',(7.29902499999999,0.2,2.47601)); #247003=CARTESIAN_POINT('Origin',(6.78093894816649,0.18,2.72450549415858)); #247004=CARTESIAN_POINT('Origin',(7.01435606383151,0.2,2.59486227136932)); #247005=CARTESIAN_POINT('',(7.25371399999999,0.2,2.40025999999999)); #247006=CARTESIAN_POINT('Origin',(7.01435606383151,0.2,2.59486227136932)); #247007=CARTESIAN_POINT('',(7.25371399999999,0.18,2.40025999999999)); #247008=CARTESIAN_POINT('',(7.25371399999999,0.2,2.40025999999999)); #247009=CARTESIAN_POINT('Origin',(7.01435606383151,0.18,2.59486227136932)); #247010=CARTESIAN_POINT('Origin',(7.08066240127784,0.2,2.55102300365646)); #247011=CARTESIAN_POINT('',(7.17512400000001,0.2,2.34185)); #247012=CARTESIAN_POINT('Origin',(7.08066240127784,0.2,2.55102300365646)); #247013=CARTESIAN_POINT('',(7.17512400000001,0.18,2.34185)); #247014=CARTESIAN_POINT('',(7.17512400000001,0.2,2.34185)); #247015=CARTESIAN_POINT('Origin',(7.08066240127784,0.18,2.55102300365646)); #247016=CARTESIAN_POINT('Origin',(7.07727481486606,0.2,2.5605300722431)); #247017=CARTESIAN_POINT('',(7.076003,0.2,2.32095999999999)); #247018=CARTESIAN_POINT('Origin',(7.07727481486606,0.2,2.5605300722431)); #247019=CARTESIAN_POINT('',(7.076003,0.18,2.32095999999999)); #247020=CARTESIAN_POINT('',(7.076003,0.2,2.32095999999999)); #247021=CARTESIAN_POINT('Origin',(7.07727481486606,0.18,2.5605300722431)); #247022=CARTESIAN_POINT('Origin',(7.07809934775425,0.2,2.55481041289721)); #247023=CARTESIAN_POINT('',(6.89546099999998,0.2,2.40874999999999)); #247024=CARTESIAN_POINT('Origin',(7.07809934775425,0.2,2.55481041289721)); #247025=CARTESIAN_POINT('',(6.89546099999998,0.18,2.40874999999999)); #247026=CARTESIAN_POINT('',(6.89546099999998,0.2,2.40874999999999)); #247027=CARTESIAN_POINT('Origin',(7.07809934775425,0.18,2.55481041289721)); #247028=CARTESIAN_POINT('Origin',(7.2769254072326,0.2,2.68910912216976)); #247029=CARTESIAN_POINT('',(6.80412899999999,0.2,2.71319)); #247030=CARTESIAN_POINT('Origin',(7.2769254072326,0.2,2.68910912216976)); #247031=CARTESIAN_POINT('',(6.80412899999999,0.18,2.71319)); #247032=CARTESIAN_POINT('',(6.80412899999999,0.2,2.71319)); #247033=CARTESIAN_POINT('Origin',(7.2769254072326,0.18,2.68910912216976)); #247034=CARTESIAN_POINT('Origin',(6.80412899999999,0.2,2.86045999999999)); #247035=CARTESIAN_POINT('',(6.80412900000001,0.2,2.86045999999999)); #247036=CARTESIAN_POINT('',(6.80412899999999,0.2,1.43022999999672)); #247037=CARTESIAN_POINT('',(6.80412900000001,0.18,2.86045999999999)); #247038=CARTESIAN_POINT('',(6.80412900000001,0.2,2.86045999999999)); #247039=CARTESIAN_POINT('',(6.80412899999999,0.18,2.86045999999999)); #247040=CARTESIAN_POINT('Origin',(7.35371504049389,0.2,2.86964085023458)); #247041=CARTESIAN_POINT('',(6.82041800000001,0.2,3.00276999999998)); #247042=CARTESIAN_POINT('Origin',(7.35371504049389,0.2,2.86964085023458)); #247043=CARTESIAN_POINT('',(6.82041800000001,0.18,3.00276999999998)); #247044=CARTESIAN_POINT('',(6.82041800000001,0.2,3.00276999999998)); #247045=CARTESIAN_POINT('Origin',(7.35371504049389,0.18,2.86964085023458)); #247046=CARTESIAN_POINT('Origin',(7.30977786777626,0.2,2.87592253341824)); #247047=CARTESIAN_POINT('',(6.85546,0.2,3.09763999999998)); #247048=CARTESIAN_POINT('Origin',(7.30977786777626,0.2,2.87592253341824)); #247049=CARTESIAN_POINT('',(6.85546,0.18,3.09763999999998)); #247050=CARTESIAN_POINT('',(6.85546,0.2,3.09763999999998)); #247051=CARTESIAN_POINT('Origin',(7.30977786777626,0.18,2.87592253341824)); #247052=CARTESIAN_POINT('Origin',(7.14472180153773,0.2,2.97534474953853)); #247053=CARTESIAN_POINT('',(6.90041999999999,0.2,3.17269)); #247054=CARTESIAN_POINT('Origin',(7.14472180153773,0.2,2.97534474953853)); #247055=CARTESIAN_POINT('',(6.90041999999999,0.18,3.17269)); #247056=CARTESIAN_POINT('',(6.90041999999999,0.2,3.17269)); #247057=CARTESIAN_POINT('Origin',(7.14472180153773,0.18,2.97534474953853)); #247058=CARTESIAN_POINT('Origin',(7.07275365701876,0.2,3.02236644166006)); #247059=CARTESIAN_POINT('',(6.97936100000001,0.2,3.23110999999999)); #247060=CARTESIAN_POINT('Origin',(7.07275365701876,0.2,3.02236644166006)); #247061=CARTESIAN_POINT('',(6.97936100000001,0.18,3.23110999999999)); #247062=CARTESIAN_POINT('',(6.97936100000001,0.2,3.23110999999999)); #247063=CARTESIAN_POINT('Origin',(7.07275365701876,0.18,3.02236644166006)); #247064=CARTESIAN_POINT('Origin',(7.0773475579209,0.2,3.011646940812)); #247065=CARTESIAN_POINT('',(7.078131,0.2,3.25199)); #247066=CARTESIAN_POINT('Origin',(7.0773475579209,0.2,3.011646940812)); #247067=CARTESIAN_POINT('',(7.078131,0.18,3.25199)); #247068=CARTESIAN_POINT('',(7.078131,0.2,3.25199)); #247069=CARTESIAN_POINT('Origin',(7.0773475579209,0.18,3.011646940812)); #247070=CARTESIAN_POINT('Origin',(7.07603588110746,0.2,3.01814211428296)); #247071=CARTESIAN_POINT('',(7.258673,0.2,3.16419999999999)); #247072=CARTESIAN_POINT('Origin',(7.07603588110746,0.2,3.01814211428296)); #247073=CARTESIAN_POINT('',(7.258673,0.18,3.16419999999999)); #247074=CARTESIAN_POINT('',(7.258673,0.2,3.16419999999999)); #247075=CARTESIAN_POINT('Origin',(7.07603588110746,0.18,3.01814211428296)); #247076=CARTESIAN_POINT('Origin',(6.87819613874335,0.2,2.88419251463653)); #247077=CARTESIAN_POINT('Origin',(6.87819613874335,0.2,2.88419251463653)); #247078=CARTESIAN_POINT('Origin',(6.87819613874335,0.18,2.88419251463653)); #247079=CARTESIAN_POINT('Origin',(7.07705882602958,0.18,2.78647395052017)); #247080=CARTESIAN_POINT('Origin',(3.56750912202722,0.2,3.02195538303764)); #247081=CARTESIAN_POINT('',(3.477797,0.2,3.16703)); #247082=CARTESIAN_POINT('',(3.569128,0.2,3.19251999999999)); #247083=CARTESIAN_POINT('Origin',(3.56750912202722,0.2,3.02195538303764)); #247084=CARTESIAN_POINT('',(3.477797,0.18,3.16703)); #247085=CARTESIAN_POINT('',(3.477797,0.2,3.16703)); #247086=CARTESIAN_POINT('',(3.569128,0.18,3.19251999999999)); #247087=CARTESIAN_POINT('Origin',(3.56750912202722,0.18,3.02195538303764)); #247088=CARTESIAN_POINT('',(3.569128,0.2,3.19251999999999)); #247089=CARTESIAN_POINT('Origin',(3.55884608174484,0.2,3.03367091662421)); #247090=CARTESIAN_POINT('',(3.432486,0.2,3.12525000000001)); #247091=CARTESIAN_POINT('Origin',(3.55884608174484,0.2,3.03367091662421)); #247092=CARTESIAN_POINT('',(3.432486,0.18,3.12525000000001)); #247093=CARTESIAN_POINT('',(3.432486,0.2,3.12525000000001)); #247094=CARTESIAN_POINT('Origin',(3.55884608174484,0.18,3.03367091662421)); #247095=CARTESIAN_POINT('Origin',(3.79008423921042,0.2,2.88772829342621)); #247096=CARTESIAN_POINT('',(3.37831700000001,0.2,3.00913999999999)); #247097=CARTESIAN_POINT('Origin',(3.79008423921042,0.2,2.88772829342621)); #247098=CARTESIAN_POINT('',(3.37831700000001,0.18,3.00913999999999)); #247099=CARTESIAN_POINT('',(3.37831700000001,0.2,3.00913999999999)); #247100=CARTESIAN_POINT('Origin',(3.79008423921042,0.18,2.88772829342621)); #247101=CARTESIAN_POINT('Origin',(3.86219813639825,0.2,2.85576364691257)); #247102=CARTESIAN_POINT('',(3.354605,0.2,2.85195999999999)); #247103=CARTESIAN_POINT('Origin',(3.86219813639825,0.2,2.85576364691257)); #247104=CARTESIAN_POINT('',(3.354605,0.18,2.85195999999999)); #247105=CARTESIAN_POINT('',(3.354605,0.2,2.85195999999999)); #247106=CARTESIAN_POINT('Origin',(3.86219813639825,0.18,2.85576364691257)); #247107=CARTESIAN_POINT('Origin',(3.354605,0.2,2.72098)); #247108=CARTESIAN_POINT('',(3.354605,0.2,2.72098)); #247109=CARTESIAN_POINT('',(3.354605,0.2,1.36048999999672)); #247110=CARTESIAN_POINT('',(3.354605,0.18,2.72098)); #247111=CARTESIAN_POINT('',(3.354605,0.2,2.72098)); #247112=CARTESIAN_POINT('',(3.354605,0.18,2.72098)); #247113=CARTESIAN_POINT('Origin',(3.84195113006636,0.2,2.71132782741008)); #247114=CARTESIAN_POINT('',(3.411246,0.2,2.48308999999999)); #247115=CARTESIAN_POINT('Origin',(3.84195113006636,0.2,2.71132782741008)); #247116=CARTESIAN_POINT('',(3.411246,0.18,2.48308999999999)); #247117=CARTESIAN_POINT('',(3.411246,0.2,2.48308999999999)); #247118=CARTESIAN_POINT('Origin',(3.84195113006636,0.18,2.71132782741008)); #247119=CARTESIAN_POINT('Origin',(3.57611008875676,0.2,2.56507031863315)); #247120=CARTESIAN_POINT('',(3.567709,0.2,2.38113999999999)); #247121=CARTESIAN_POINT('Origin',(3.57611008875676,0.2,2.56507031863315)); #247122=CARTESIAN_POINT('',(3.567709,0.18,2.38113999999999)); #247123=CARTESIAN_POINT('',(3.567709,0.2,2.38113999999999)); #247124=CARTESIAN_POINT('Origin',(3.57611008875676,0.18,2.56507031863315)); #247125=CARTESIAN_POINT('Origin',(3.56916730620116,0.2,2.55393081351865)); #247126=CARTESIAN_POINT('',(3.65833900000002,0.2,2.40591999999998)); #247127=CARTESIAN_POINT('Origin',(3.56916730620116,0.2,2.55393081351865)); #247128=CARTESIAN_POINT('',(3.65833900000002,0.18,2.40591999999998)); #247129=CARTESIAN_POINT('',(3.65833900000002,0.2,2.40591999999998)); #247130=CARTESIAN_POINT('Origin',(3.56916730620116,0.18,2.55393081351865)); #247131=CARTESIAN_POINT('Origin',(3.57436002515074,0.2,2.54306472275326)); #247132=CARTESIAN_POINT('',(3.70435899999999,0.2,2.4484)); #247133=CARTESIAN_POINT('Origin',(3.57436002515074,0.2,2.54306472275326)); #247134=CARTESIAN_POINT('',(3.70435899999999,0.18,2.4484)); #247135=CARTESIAN_POINT('',(3.70435899999999,0.2,2.4484)); #247136=CARTESIAN_POINT('Origin',(3.57436002515074,0.18,2.54306472275326)); #247137=CARTESIAN_POINT('Origin',(3.33063921988781,0.2,2.69118521629718)); #247138=CARTESIAN_POINT('',(3.757811,0.2,2.56415999999998)); #247139=CARTESIAN_POINT('Origin',(3.33063921988781,0.2,2.69118521629718)); #247140=CARTESIAN_POINT('',(3.757811,0.18,2.56415999999998)); #247141=CARTESIAN_POINT('',(3.757811,0.2,2.56415999999998)); #247142=CARTESIAN_POINT('Origin',(3.33063921988781,0.18,2.69118521629718)); #247143=CARTESIAN_POINT('Origin',(3.27736669622929,0.2,2.71703408675835)); #247144=CARTESIAN_POINT('',(3.78153100000001,0.2,2.72098)); #247145=CARTESIAN_POINT('Origin',(3.27736669622929,0.2,2.71703408675835)); #247146=CARTESIAN_POINT('',(3.78153100000001,0.18,2.72098)); #247147=CARTESIAN_POINT('',(3.78153100000001,0.2,2.72098)); #247148=CARTESIAN_POINT('Origin',(3.27736669622929,0.18,2.71703408675835)); #247149=CARTESIAN_POINT('Origin',(3.78153100000001,0.2,2.85195999999999)); #247150=CARTESIAN_POINT('',(3.78153100000002,0.2,2.85195999999999)); #247151=CARTESIAN_POINT('',(3.78153100000001,0.2,1.42597999999672)); #247152=CARTESIAN_POINT('',(3.78153100000002,0.18,2.85195999999999)); #247153=CARTESIAN_POINT('',(3.78153100000002,0.2,2.85195999999999)); #247154=CARTESIAN_POINT('',(3.78153100000001,0.18,2.85195999999999)); #247155=CARTESIAN_POINT('Origin',(3.29209983339527,0.2,2.8614616242721)); #247156=CARTESIAN_POINT('',(3.72489,0.2,3.09020999999998)); #247157=CARTESIAN_POINT('Origin',(3.29209983339527,0.2,2.8614616242721)); #247158=CARTESIAN_POINT('',(3.72489,0.18,3.09020999999998)); #247159=CARTESIAN_POINT('',(3.72489,0.2,3.09020999999998)); #247160=CARTESIAN_POINT('Origin',(3.29209983339527,0.18,2.8614616242721)); #247161=CARTESIAN_POINT('Origin',(3.56021598461536,0.2,3.00922685453676)); #247162=CARTESIAN_POINT('Origin',(3.56021598461536,0.2,3.00922685453676)); #247163=CARTESIAN_POINT('Origin',(3.56021598461536,0.18,3.00922685453676)); #247164=CARTESIAN_POINT('Origin',(3.841002,0.2,2.71319)); #247165=CARTESIAN_POINT('',(3.841002,0.2,2.71319)); #247166=CARTESIAN_POINT('',(3.841002,0.2,2.86045999999999)); #247167=CARTESIAN_POINT('',(3.841002,0.2,1.35659499999672)); #247168=CARTESIAN_POINT('',(3.841002,0.18,2.71319)); #247169=CARTESIAN_POINT('',(3.841002,0.2,2.71319)); #247170=CARTESIAN_POINT('',(3.841002,0.18,2.86045999999999)); #247171=CARTESIAN_POINT('',(3.841002,0.18,2.71319)); #247172=CARTESIAN_POINT('',(3.841002,0.2,2.86045999999999)); #247173=CARTESIAN_POINT('Origin',(3.26514829710462,0.2,2.70354046653717)); #247174=CARTESIAN_POINT('',(3.82543000000001,0.2,2.57017999999999)); #247175=CARTESIAN_POINT('Origin',(3.26514829710462,0.2,2.70354046653717)); #247176=CARTESIAN_POINT('',(3.82543000000001,0.18,2.57017999999999)); #247177=CARTESIAN_POINT('',(3.82543000000001,0.2,2.57017999999999)); #247178=CARTESIAN_POINT('Origin',(3.26514829710462,0.18,2.70354046653717)); #247179=CARTESIAN_POINT('Origin',(3.27181240775495,0.2,2.72455494229028)); #247180=CARTESIAN_POINT('',(3.79003000000001,0.2,2.47601)); #247181=CARTESIAN_POINT('Origin',(3.27181240775495,0.2,2.72455494229028)); #247182=CARTESIAN_POINT('',(3.79003000000001,0.18,2.47601)); #247183=CARTESIAN_POINT('',(3.79003000000001,0.2,2.47601)); #247184=CARTESIAN_POINT('Origin',(3.27181240775495,0.18,2.72455494229028)); #247185=CARTESIAN_POINT('Origin',(3.50535054068208,0.2,2.59486856594924)); #247186=CARTESIAN_POINT('',(3.744719,0.2,2.40025999999999)); #247187=CARTESIAN_POINT('Origin',(3.50535054068208,0.2,2.59486856594924)); #247188=CARTESIAN_POINT('',(3.744719,0.18,2.40025999999999)); #247189=CARTESIAN_POINT('',(3.744719,0.2,2.40025999999999)); #247190=CARTESIAN_POINT('Origin',(3.50535054068208,0.18,2.59486856594924)); #247191=CARTESIAN_POINT('Origin',(3.57166740127779,0.2,2.55102300365655)); #247192=CARTESIAN_POINT('',(3.66612900000001,0.2,2.34185)); #247193=CARTESIAN_POINT('Origin',(3.57166740127779,0.2,2.55102300365655)); #247194=CARTESIAN_POINT('',(3.66612900000001,0.18,2.34185)); #247195=CARTESIAN_POINT('',(3.66612900000001,0.2,2.34185)); #247196=CARTESIAN_POINT('Origin',(3.57166740127779,0.18,2.55102300365655)); #247197=CARTESIAN_POINT('Origin',(3.56827931486607,0.2,2.56053238381245)); #247198=CARTESIAN_POINT('',(3.567007,0.2,2.32096)); #247199=CARTESIAN_POINT('Origin',(3.56827931486607,0.2,2.56053238381245)); #247200=CARTESIAN_POINT('',(3.567007,0.18,2.32096)); #247201=CARTESIAN_POINT('',(3.567007,0.2,2.32096)); #247202=CARTESIAN_POINT('Origin',(3.56827931486607,0.18,2.56053238381245)); #247203=CARTESIAN_POINT('Origin',(3.56910217784103,0.2,2.55480800694583)); #247204=CARTESIAN_POINT('',(3.38646499999999,0.2,2.40874999999999)); #247205=CARTESIAN_POINT('Origin',(3.56910217784103,0.2,2.55480800694583)); #247206=CARTESIAN_POINT('',(3.38646499999999,0.18,2.40874999999999)); #247207=CARTESIAN_POINT('',(3.38646499999999,0.2,2.40874999999999)); #247208=CARTESIAN_POINT('Origin',(3.56910217784103,0.18,2.55480800694583)); #247209=CARTESIAN_POINT('Origin',(3.76792331036061,0.2,2.68911816407346)); #247210=CARTESIAN_POINT('',(3.295126,0.2,2.71319)); #247211=CARTESIAN_POINT('Origin',(3.76792331036061,0.2,2.68911816407346)); #247212=CARTESIAN_POINT('',(3.295126,0.18,2.71319)); #247213=CARTESIAN_POINT('',(3.295126,0.2,2.71319)); #247214=CARTESIAN_POINT('Origin',(3.76792331036061,0.18,2.68911816407346)); #247215=CARTESIAN_POINT('Origin',(3.295126,0.2,2.86045999999999)); #247216=CARTESIAN_POINT('',(3.295126,0.2,2.86045999999999)); #247217=CARTESIAN_POINT('',(3.295126,0.2,1.43022999999672)); #247218=CARTESIAN_POINT('',(3.295126,0.18,2.86045999999999)); #247219=CARTESIAN_POINT('',(3.295126,0.2,2.86045999999999)); #247220=CARTESIAN_POINT('',(3.295126,0.18,2.86045999999999)); #247221=CARTESIAN_POINT('Origin',(3.84473501127238,0.2,2.86963822096746)); #247222=CARTESIAN_POINT('',(3.311415,0.2,3.00276999999998)); #247223=CARTESIAN_POINT('Origin',(3.84473501127238,0.2,2.86963822096746)); #247224=CARTESIAN_POINT('',(3.311415,0.18,3.00276999999998)); #247225=CARTESIAN_POINT('',(3.311415,0.2,3.00276999999998)); #247226=CARTESIAN_POINT('Origin',(3.84473501127238,0.18,2.86963822096746)); #247227=CARTESIAN_POINT('Origin',(3.80082850019586,0.2,2.87590272328597)); #247228=CARTESIAN_POINT('',(3.34645699999999,0.2,3.09763999999998)); #247229=CARTESIAN_POINT('Origin',(3.80082850019586,0.2,2.87590272328597)); #247230=CARTESIAN_POINT('',(3.34645699999999,0.18,3.09763999999998)); #247231=CARTESIAN_POINT('',(3.34645699999999,0.2,3.09763999999998)); #247232=CARTESIAN_POINT('Origin',(3.80082850019586,0.18,2.87590272328597)); #247233=CARTESIAN_POINT('Origin',(3.63572974235837,0.2,2.97533819525075)); #247234=CARTESIAN_POINT('',(3.391417,0.2,3.17269)); #247235=CARTESIAN_POINT('Origin',(3.63572974235837,0.2,2.97533819525075)); #247236=CARTESIAN_POINT('',(3.391417,0.18,3.17269)); #247237=CARTESIAN_POINT('',(3.391417,0.2,3.17269)); #247238=CARTESIAN_POINT('Origin',(3.63572974235837,0.18,2.97533819525075)); #247239=CARTESIAN_POINT('Origin',(3.56375065701863,0.2,3.02236644166024)); #247240=CARTESIAN_POINT('',(3.470358,0.2,3.23110999999999)); #247241=CARTESIAN_POINT('Origin',(3.56375065701863,0.2,3.02236644166024)); #247242=CARTESIAN_POINT('',(3.470358,0.18,3.23110999999999)); #247243=CARTESIAN_POINT('',(3.470358,0.2,3.23110999999999)); #247244=CARTESIAN_POINT('Origin',(3.56375065701863,0.18,3.02236644166024)); #247245=CARTESIAN_POINT('Origin',(3.56834660833901,0.2,3.01163724158796)); #247246=CARTESIAN_POINT('',(3.569128,0.2,3.25199)); #247247=CARTESIAN_POINT('Origin',(3.56834660833901,0.2,3.01163724158796)); #247248=CARTESIAN_POINT('',(3.569128,0.18,3.25199)); #247249=CARTESIAN_POINT('',(3.569128,0.2,3.25199)); #247250=CARTESIAN_POINT('Origin',(3.56834660833901,0.18,3.01163724158796)); #247251=CARTESIAN_POINT('Origin',(3.56703229615461,0.2,3.01814091131504)); #247252=CARTESIAN_POINT('',(3.74966999999999,0.2,3.16419999999999)); #247253=CARTESIAN_POINT('Origin',(3.56703229615461,0.2,3.01814091131504)); #247254=CARTESIAN_POINT('',(3.74966999999999,0.18,3.16419999999999)); #247255=CARTESIAN_POINT('',(3.74966999999999,0.2,3.16419999999999)); #247256=CARTESIAN_POINT('Origin',(3.56703229615461,0.18,3.01814091131504)); #247257=CARTESIAN_POINT('Origin',(3.36919054787394,0.2,2.88419173558443)); #247258=CARTESIAN_POINT('Origin',(3.36919054787394,0.2,2.88419173558443)); #247259=CARTESIAN_POINT('Origin',(3.36919054787394,0.18,2.88419173558443)); #247260=CARTESIAN_POINT('Origin',(3.56805603533343,0.18,2.78647394584596)); #247261=CARTESIAN_POINT('Origin',(0.112947927643408,0.2,2.57510506521527)); #247262=CARTESIAN_POINT('',(0.319257999999998,0.2,2.57300999999998)); #247263=CARTESIAN_POINT('',(0.305806999999998,0.2,2.64840999999999)); #247264=CARTESIAN_POINT('Origin',(0.112947927643408,0.2,2.57510506521527)); #247265=CARTESIAN_POINT('',(0.319257999999998,0.18,2.57300999999998)); #247266=CARTESIAN_POINT('',(0.319257999999998,0.2,2.57300999999998)); #247267=CARTESIAN_POINT('',(0.305806999999998,0.18,2.64840999999999)); #247268=CARTESIAN_POINT('Origin',(0.112947927643408,0.18,2.57510506521527)); #247269=CARTESIAN_POINT('',(0.305806999999998,0.2,2.64840999999999)); #247270=CARTESIAN_POINT('Origin',(0.0734223657396704,0.2,2.57973275394608)); #247271=CARTESIAN_POINT('',(0.239256000000001,0.2,2.39813)); #247272=CARTESIAN_POINT('Origin',(0.0734223657396704,0.2,2.57973275394608)); #247273=CARTESIAN_POINT('',(0.239256000000001,0.18,2.39813)); #247274=CARTESIAN_POINT('',(0.239256000000001,0.2,2.39813)); #247275=CARTESIAN_POINT('Origin',(0.0734223657396704,0.18,2.57973275394608)); #247276=CARTESIAN_POINT('Origin',(0.0480962525089801,0.2,2.59630830864165)); #247277=CARTESIAN_POINT('',(0.0480940000000007,0.2,2.32095999999999)); #247278=CARTESIAN_POINT('Origin',(0.0480962525089801,0.2,2.59630830864165)); #247279=CARTESIAN_POINT('',(0.0480940000000007,0.18,2.32095999999999)); #247280=CARTESIAN_POINT('',(0.0480940000000007,0.2,2.32095999999999)); #247281=CARTESIAN_POINT('Origin',(0.0480962525089801,0.18,2.59630830864165)); #247282=CARTESIAN_POINT('Origin',(0.0504917444779274,0.2,2.61772319190511)); #247283=CARTESIAN_POINT('',(-0.0860759999999949,0.2,2.35423999999998)); #247284=CARTESIAN_POINT('Origin',(0.0504917444779274,0.2,2.61772319190511)); #247285=CARTESIAN_POINT('',(-0.0860759999999949,0.18,2.35423999999998)); #247286=CARTESIAN_POINT('',(-0.0860759999999949,0.2,2.35423999999998)); #247287=CARTESIAN_POINT('Origin',(0.0504917444779274,0.18,2.61772319190511)); #247288=CARTESIAN_POINT('Origin',(0.0304636061496844,0.2,2.58860217472275)); #247289=CARTESIAN_POINT('',(-0.188736999999994,0.2,2.44556999999999)); #247290=CARTESIAN_POINT('Origin',(0.0304636061496844,0.2,2.58860217472275)); #247291=CARTESIAN_POINT('',(-0.188736999999994,0.18,2.44556999999999)); #247292=CARTESIAN_POINT('',(-0.188736999999994,0.2,2.44556999999999)); #247293=CARTESIAN_POINT('Origin',(0.0304636061496844,0.18,2.58860217472275)); #247294=CARTESIAN_POINT('Origin',(-0.0500949932833361,0.2,2.55508743211825)); #247295=CARTESIAN_POINT('',(-0.225907999999997,0.2,2.53760999999999)); #247296=CARTESIAN_POINT('Origin',(-0.0500949932833361,0.2,2.55508743211825)); #247297=CARTESIAN_POINT('',(-0.225907999999997,0.18,2.53760999999999)); #247298=CARTESIAN_POINT('',(-0.225907999999997,0.2,2.53760999999999)); #247299=CARTESIAN_POINT('Origin',(-0.0500949932833361,0.18,2.55508743211825)); #247300=CARTESIAN_POINT('Origin',(-0.198335312907085,0.2,2.53751801976769)); #247301=CARTESIAN_POINT('',(-0.217767000000002,0.2,2.55708)); #247302=CARTESIAN_POINT('Origin',(-0.198335312907085,0.2,2.53751801976769)); #247303=CARTESIAN_POINT('',(-0.217767000000002,0.18,2.55708)); #247304=CARTESIAN_POINT('',(-0.217767000000002,0.2,2.55708)); #247305=CARTESIAN_POINT('Origin',(-0.198335312907085,0.18,2.53751801976769)); #247306=CARTESIAN_POINT('Origin',(-0.197851574481644,0.2,2.53679160306691)); #247307=CARTESIAN_POINT('',(-0.197588,0.2,2.56521999999999)); #247308=CARTESIAN_POINT('Origin',(-0.197851574481644,0.2,2.53679160306691)); #247309=CARTESIAN_POINT('',(-0.197588,0.18,2.56521999999999)); #247310=CARTESIAN_POINT('',(-0.197588,0.2,2.56521999999999)); #247311=CARTESIAN_POINT('Origin',(-0.197851574481644,0.18,2.53679160306691)); #247312=CARTESIAN_POINT('Origin',(-0.197451251527321,0.2,2.53429539053172)); #247313=CARTESIAN_POINT('',(-0.177759000000002,0.2,2.55813999999999)); #247314=CARTESIAN_POINT('Origin',(-0.197451251527321,0.2,2.53429539053172)); #247315=CARTESIAN_POINT('',(-0.177759000000002,0.18,2.55813999999999)); #247316=CARTESIAN_POINT('',(-0.177759000000002,0.2,2.55813999999999)); #247317=CARTESIAN_POINT('Origin',(-0.197451251527321,0.18,2.53429539053172)); #247318=CARTESIAN_POINT('Origin',(-0.204241884073841,0.2,2.53178274811553)); #247319=CARTESIAN_POINT('',(-0.167138999999992,0.2,2.53618999999999)); #247320=CARTESIAN_POINT('Origin',(-0.204241884073841,0.2,2.53178274811553)); #247321=CARTESIAN_POINT('',(-0.167138999999992,0.18,2.53618999999999)); #247322=CARTESIAN_POINT('',(-0.167138999999992,0.2,2.53618999999999)); #247323=CARTESIAN_POINT('Origin',(-0.204241884073841,0.18,2.53178274811553)); #247324=CARTESIAN_POINT('Origin',(0.0303336766209483,0.2,2.5927650420809)); #247325=CARTESIAN_POINT('',(-0.0892579999999921,0.2,2.42574999999999)); #247326=CARTESIAN_POINT('Origin',(0.0303336766209483,0.2,2.5927650420809)); #247327=CARTESIAN_POINT('',(-0.0892579999999921,0.18,2.42574999999999)); #247328=CARTESIAN_POINT('',(-0.0892579999999921,0.2,2.42574999999999)); #247329=CARTESIAN_POINT('Origin',(0.0303336766209483,0.18,2.5927650420809)); #247330=CARTESIAN_POINT('Origin',(0.0473260802684106,0.2,2.61251277332475)); #247331=CARTESIAN_POINT('',(0.0488040000000023,0.2,2.38114000000001)); #247332=CARTESIAN_POINT('Origin',(0.0473260802684106,0.2,2.61251277332475)); #247333=CARTESIAN_POINT('',(0.0488040000000023,0.18,2.38114000000001)); #247334=CARTESIAN_POINT('',(0.0488040000000023,0.2,2.38114000000001)); #247335=CARTESIAN_POINT('Origin',(0.0473260802684106,0.18,2.61251277332475)); #247336=CARTESIAN_POINT('Origin',(0.0497732196645728,0.2,2.59614547526892)); #247337=CARTESIAN_POINT('',(0.197484999999995,0.2,2.43990999999998)); #247338=CARTESIAN_POINT('Origin',(0.0497732196645728,0.2,2.59614547526892)); #247339=CARTESIAN_POINT('',(0.197484999999995,0.18,2.43990999999998)); #247340=CARTESIAN_POINT('',(0.197484999999995,0.2,2.43990999999998)); #247341=CARTESIAN_POINT('Origin',(0.0497732196645728,0.18,2.59614547526892)); #247342=CARTESIAN_POINT('Origin',(0.0703361252259516,0.2,2.579463061138)); #247343=CARTESIAN_POINT('',(0.259077000000003,0.2,2.57512999999999)); #247344=CARTESIAN_POINT('Origin',(0.0703361252259516,0.2,2.579463061138)); #247345=CARTESIAN_POINT('',(0.259077000000003,0.18,2.57512999999999)); #247346=CARTESIAN_POINT('',(0.259077000000003,0.2,2.57512999999999)); #247347=CARTESIAN_POINT('Origin',(0.0703361252259516,0.18,2.579463061138)); #247348=CARTESIAN_POINT('Origin',(0.104809818767224,0.2,2.57427724152061)); #247349=CARTESIAN_POINT('',(0.248106000000003,0.2,2.63141999999998)); #247350=CARTESIAN_POINT('Origin',(0.104809818767224,0.2,2.57427724152061)); #247351=CARTESIAN_POINT('',(0.248106000000003,0.18,2.63141999999998)); #247352=CARTESIAN_POINT('',(0.248106000000003,0.2,2.63141999999998)); #247353=CARTESIAN_POINT('Origin',(0.104809818767224,0.18,2.57427724152061)); #247354=CARTESIAN_POINT('Origin',(0.0173326577955635,0.2,2.53157581180702)); #247355=CARTESIAN_POINT('',(0.207395999999997,0.2,2.6962)); #247356=CARTESIAN_POINT('Origin',(0.0173326577955635,0.2,2.53157581180702)); #247357=CARTESIAN_POINT('',(0.207395999999997,0.18,2.6962)); #247358=CARTESIAN_POINT('',(0.207395999999997,0.2,2.6962)); #247359=CARTESIAN_POINT('Origin',(0.0173326577955635,0.18,2.53157581180702)); #247360=CARTESIAN_POINT('Origin',(-0.850800055026202,0.2,1.79986332480615)); #247361=CARTESIAN_POINT('',(0.097655000000012,0.2,2.81160999999999)); #247362=CARTESIAN_POINT('Origin',(-0.850800055026202,0.2,1.79986332480615)); #247363=CARTESIAN_POINT('',(0.097655000000012,0.18,2.81160999999999)); #247364=CARTESIAN_POINT('',(0.097655000000012,0.2,2.81160999999999)); #247365=CARTESIAN_POINT('Origin',(-0.850800055026202,0.18,1.79986332480615)); #247366=CARTESIAN_POINT('Origin',(-7.42197933196673,0.2,-4.83683757789887)); #247367=CARTESIAN_POINT('',(-0.254938000000005,0.2,3.14295999999999)); #247368=CARTESIAN_POINT('Origin',(-7.42197933196673,0.2,-4.83683757789887)); #247369=CARTESIAN_POINT('',(-0.254938000000005,0.18,3.14295999999999)); #247370=CARTESIAN_POINT('',(-0.254938000000005,0.2,3.14295999999999)); #247371=CARTESIAN_POINT('Origin',(-7.42197933196673,0.18,-4.83683757789887)); #247372=CARTESIAN_POINT('Origin',(-0.254938000000005,0.2,3.23074999999999)); #247373=CARTESIAN_POINT('',(-0.254938000000005,0.2,3.23074999999999)); #247374=CARTESIAN_POINT('',(-0.254938000000005,0.2,1.61537499999672)); #247375=CARTESIAN_POINT('',(-0.254938000000005,0.18,3.23074999999999)); #247376=CARTESIAN_POINT('',(-0.254938000000005,0.2,3.23074999999999)); #247377=CARTESIAN_POINT('',(-0.254938000000005,0.18,3.23074999999999)); #247378=CARTESIAN_POINT('Origin',(0.319968000000008,0.2,3.23074999999999)); #247379=CARTESIAN_POINT('',(0.319968000000008,0.2,3.23074999999999)); #247380=CARTESIAN_POINT('',(0.159984000003279,0.2,3.23074999999999)); #247381=CARTESIAN_POINT('',(0.319968000000008,0.18,3.23074999999999)); #247382=CARTESIAN_POINT('',(0.319968000000008,0.2,3.23074999999999)); #247383=CARTESIAN_POINT('',(0.319968000000008,0.18,3.23074999999999)); #247384=CARTESIAN_POINT('Origin',(0.319968000000008,0.2,3.14790999999998)); #247385=CARTESIAN_POINT('',(0.319968000000008,0.2,3.14790999999998)); #247386=CARTESIAN_POINT('',(0.319968000000008,0.2,1.57395499999671)); #247387=CARTESIAN_POINT('',(0.319968000000008,0.18,3.14790999999998)); #247388=CARTESIAN_POINT('',(0.319968000000008,0.2,3.14790999999998)); #247389=CARTESIAN_POINT('',(0.319968000000008,0.18,3.14790999999998)); #247390=CARTESIAN_POINT('Origin',(0.276792541121615,0.2,3.14355832209289)); #247391=CARTESIAN_POINT('',(0.311468999999995,0.2,3.11746999999999)); #247392=CARTESIAN_POINT('Origin',(0.276792541121615,0.2,3.14355832209289)); #247393=CARTESIAN_POINT('',(0.311468999999995,0.18,3.11746999999999)); #247394=CARTESIAN_POINT('',(0.311468999999995,0.2,3.11746999999999)); #247395=CARTESIAN_POINT('Origin',(0.276792541121615,0.18,3.14355832209289)); #247396=CARTESIAN_POINT('Origin',(0.290330979395907,0.2,3.13712153150394)); #247397=CARTESIAN_POINT('',(0.290228000000008,0.2,3.10826)); #247398=CARTESIAN_POINT('Origin',(0.290330979395907,0.2,3.13712153150394)); #247399=CARTESIAN_POINT('',(0.290228000000008,0.18,3.10826)); #247400=CARTESIAN_POINT('',(0.290228000000008,0.2,3.10826)); #247401=CARTESIAN_POINT('Origin',(0.290330979395907,0.18,3.13712153150394)); #247402=CARTESIAN_POINT('Origin',(0.289774520055621,0.2,3.13792204940938)); #247403=CARTESIAN_POINT('',(0.268286,0.2,3.11746999999999)); #247404=CARTESIAN_POINT('Origin',(0.289774520055621,0.2,3.13792204940938)); #247405=CARTESIAN_POINT('',(0.268286,0.18,3.11746999999999)); #247406=CARTESIAN_POINT('',(0.268286,0.2,3.11746999999999)); #247407=CARTESIAN_POINT('Origin',(0.289774520055621,0.18,3.13792204940938)); #247408=CARTESIAN_POINT('Origin',(0.302962458878318,0.2,3.14355832209285)); #247409=CARTESIAN_POINT('',(0.259786999999996,0.2,3.14790999999998)); #247410=CARTESIAN_POINT('Origin',(0.302962458878318,0.2,3.14355832209285)); #247411=CARTESIAN_POINT('',(0.259786999999996,0.18,3.14790999999998)); #247412=CARTESIAN_POINT('',(0.259786999999996,0.2,3.14790999999998)); #247413=CARTESIAN_POINT('Origin',(0.302962458878318,0.18,3.14355832209285)); #247414=CARTESIAN_POINT('Origin',(0.259786999999996,0.2,3.17128)); #247415=CARTESIAN_POINT('',(0.259786999999996,0.2,3.17128)); #247416=CARTESIAN_POINT('',(0.259786999999996,0.2,1.58563999999673)); #247417=CARTESIAN_POINT('',(0.259786999999996,0.18,3.17128)); #247418=CARTESIAN_POINT('',(0.259786999999996,0.2,3.17128)); #247419=CARTESIAN_POINT('',(0.259786999999996,0.18,3.17128)); #247420=CARTESIAN_POINT('Origin',(-0.196878000000007,0.2,3.17128)); #247421=CARTESIAN_POINT('',(-0.196878000000007,0.2,3.17128)); #247422=CARTESIAN_POINT('',(-0.0984389999967283,0.2,3.17128)); #247423=CARTESIAN_POINT('',(-0.196878000000007,0.18,3.17128)); #247424=CARTESIAN_POINT('',(-0.196878000000007,0.2,3.17128)); #247425=CARTESIAN_POINT('',(-0.196878000000007,0.18,3.17128)); #247426=CARTESIAN_POINT('Origin',(-0.196878000000007,0.2,3.16703)); #247427=CARTESIAN_POINT('',(-0.196878000000007,0.2,3.16703)); #247428=CARTESIAN_POINT('',(-0.196878000000007,0.2,1.58351499999673)); #247429=CARTESIAN_POINT('',(-0.196878000000007,0.18,3.16703)); #247430=CARTESIAN_POINT('',(-0.196878000000007,0.2,3.16703)); #247431=CARTESIAN_POINT('',(-0.196878000000007,0.18,3.16703)); #247432=CARTESIAN_POINT('Origin',(-4.94980844017636,0.2,-2.23210130932657)); #247433=CARTESIAN_POINT('',(0.144384999999998,0.2,2.84629999999998)); #247434=CARTESIAN_POINT('Origin',(-4.94980844017636,0.2,-2.23210130932657)); #247435=CARTESIAN_POINT('',(0.144384999999998,0.18,2.84629999999998)); #247436=CARTESIAN_POINT('',(0.144384999999998,0.2,2.84629999999998)); #247437=CARTESIAN_POINT('Origin',(-4.94980844017636,0.18,-2.23210130932657)); #247438=CARTESIAN_POINT('Origin',(-0.782827118876641,0.2,1.85662403369554)); #247439=CARTESIAN_POINT('',(0.260138000000003,0.2,2.72345999999999)); #247440=CARTESIAN_POINT('Origin',(-0.782827118876641,0.2,1.85662403369554)); #247441=CARTESIAN_POINT('',(0.260138000000003,0.18,2.72345999999999)); #247442=CARTESIAN_POINT('',(0.260138000000003,0.2,2.72345999999999)); #247443=CARTESIAN_POINT('Origin',(-0.782827118876641,0.18,1.85662403369554)); #247444=CARTESIAN_POINT('Origin',(0.0313052910158884,0.2,2.53279189850639)); #247445=CARTESIAN_POINT('Origin',(0.0313052910158884,0.2,2.53279189850639)); #247446=CARTESIAN_POINT('Origin',(0.0313052910158884,0.18,2.53279189850639)); #247447=CARTESIAN_POINT('Origin',(0.0326243749181021,0.18,2.77585499999539)); #247448=CARTESIAN_POINT('Origin',(8.00029051559377,0.2,1.44931478132783)); #247449=CARTESIAN_POINT('',(7.99639,0.2,1.70148999999999)); #247450=CARTESIAN_POINT('',(8.169867,0.2,1.63599999999999)); #247451=CARTESIAN_POINT('Origin',(8.00029051559377,0.2,1.44931478132783)); #247452=CARTESIAN_POINT('',(7.99639,0.18,1.70148999999999)); #247453=CARTESIAN_POINT('',(7.99639,0.2,1.70148999999999)); #247454=CARTESIAN_POINT('',(8.169867,0.18,1.63599999999999)); #247455=CARTESIAN_POINT('Origin',(8.00029051559377,0.18,1.44931478132783)); #247456=CARTESIAN_POINT('',(8.169867,0.2,1.63599999999999)); #247457=CARTESIAN_POINT('Origin',(8.00369796406556,0.2,1.31066699385631)); #247458=CARTESIAN_POINT('',(7.763678,0.2,1.61918999999999)); #247459=CARTESIAN_POINT('Origin',(8.00369796406556,0.2,1.31066699385631)); #247460=CARTESIAN_POINT('',(7.763678,0.18,1.61918999999999)); #247461=CARTESIAN_POINT('',(7.763678,0.2,1.61918999999999)); #247462=CARTESIAN_POINT('Origin',(8.00369796406556,0.18,1.31066699385631)); #247463=CARTESIAN_POINT('Origin',(7.73490756464805,0.2,1.65187035434769)); #247464=CARTESIAN_POINT('',(7.738135,0.2,1.60844999999998)); #247465=CARTESIAN_POINT('Origin',(7.73490756464805,0.2,1.65187035434769)); #247466=CARTESIAN_POINT('',(7.738135,0.18,1.60844999999998)); #247467=CARTESIAN_POINT('',(7.738135,0.2,1.60844999999998)); #247468=CARTESIAN_POINT('Origin',(7.73490756464805,0.18,1.65187035434769)); #247469=CARTESIAN_POINT('Origin',(7.73838234634328,0.2,1.63547658780386)); #247470=CARTESIAN_POINT('',(7.718619,0.2,1.61703999999999)); #247471=CARTESIAN_POINT('Origin',(7.73838234634328,0.2,1.63547658780386)); #247472=CARTESIAN_POINT('',(7.718619,0.18,1.61703999999999)); #247473=CARTESIAN_POINT('',(7.718619,0.2,1.61703999999999)); #247474=CARTESIAN_POINT('Origin',(7.73838234634328,0.18,1.63547658780386)); #247475=CARTESIAN_POINT('Origin',(7.73963395618602,0.2,1.63727248256754)); #247476=CARTESIAN_POINT('',(7.71046299999999,0.2,1.63708)); #247477=CARTESIAN_POINT('Origin',(7.73963395618602,0.2,1.63727248256754)); #247478=CARTESIAN_POINT('',(7.71046299999999,0.18,1.63708)); #247479=CARTESIAN_POINT('',(7.71046299999999,0.2,1.63708)); #247480=CARTESIAN_POINT('Origin',(7.73963395618602,0.18,1.63727248256754)); #247481=CARTESIAN_POINT('Origin',(7.7467421348267,0.2,1.63570278432136)); #247482=CARTESIAN_POINT('',(7.721106,0.2,1.66141)); #247483=CARTESIAN_POINT('Origin',(7.7467421348267,0.2,1.63570278432136)); #247484=CARTESIAN_POINT('',(7.721106,0.18,1.66141)); #247485=CARTESIAN_POINT('',(7.721106,0.2,1.66141)); #247486=CARTESIAN_POINT('Origin',(7.7467421348267,0.18,1.63570278432136)); #247487=CARTESIAN_POINT('Origin',(7.93241960615732,0.2,1.43218644542284)); #247488=CARTESIAN_POINT('',(7.83604300000001,0.2,1.72867999999999)); #247489=CARTESIAN_POINT('Origin',(7.93241960615732,0.2,1.43218644542284)); #247490=CARTESIAN_POINT('',(7.83604300000001,0.18,1.72867999999999)); #247491=CARTESIAN_POINT('',(7.83604300000001,0.2,1.72867999999999)); #247492=CARTESIAN_POINT('Origin',(7.93241960615732,0.18,1.43218644542284)); #247493=CARTESIAN_POINT('Origin',(8.00097762101257,0.2,1.33251318176541)); #247494=CARTESIAN_POINT('',(7.99497100000001,0.2,1.76159999999999)); #247495=CARTESIAN_POINT('Origin',(8.00097762101257,0.2,1.33251318176541)); #247496=CARTESIAN_POINT('',(7.99497100000001,0.18,1.76159999999999)); #247497=CARTESIAN_POINT('',(7.99497100000001,0.2,1.76159999999999)); #247498=CARTESIAN_POINT('Origin',(8.00097762101257,0.18,1.33251318176541)); #247499=CARTESIAN_POINT('Origin',(8.0002705126718,0.2,1.44816748639732)); #247500=CARTESIAN_POINT('',(8.21243099999999,0.2,1.67894)); #247501=CARTESIAN_POINT('Origin',(8.0002705126718,0.2,1.44816748639732)); #247502=CARTESIAN_POINT('',(8.21243099999999,0.18,1.67894)); #247503=CARTESIAN_POINT('',(8.21243099999999,0.2,1.67894)); #247504=CARTESIAN_POINT('Origin',(8.0002705126718,0.18,1.44816748639732)); #247505=CARTESIAN_POINT('Origin',(8.0335973056206,0.2,1.4822787551841)); #247506=CARTESIAN_POINT('',(8.29934499999999,0.2,1.48821999999999)); #247507=CARTESIAN_POINT('Origin',(8.0335973056206,0.2,1.4822787551841)); #247508=CARTESIAN_POINT('',(8.29934499999999,0.18,1.48821999999999)); #247509=CARTESIAN_POINT('',(8.29934499999999,0.2,1.48821999999999)); #247510=CARTESIAN_POINT('Origin',(8.0335973056206,0.18,1.4822787551841)); #247511=CARTESIAN_POINT('Origin',(8.05537025209898,0.2,1.4920927850457)); #247512=CARTESIAN_POINT('',(8.256071,0.2,1.35331999999998)); #247513=CARTESIAN_POINT('Origin',(8.05537025209898,0.2,1.4920927850457)); #247514=CARTESIAN_POINT('',(8.256071,0.18,1.35331999999998)); #247515=CARTESIAN_POINT('',(8.256071,0.2,1.35331999999998)); #247516=CARTESIAN_POINT('Origin',(8.05537025209898,0.18,1.4920927850457)); #247517=CARTESIAN_POINT('Origin',(8.01496569999013,0.2,1.52191858627027)); #247518=CARTESIAN_POINT('',(8.13048399999999,0.2,1.25133999999999)); #247519=CARTESIAN_POINT('Origin',(8.01496569999013,0.2,1.52191858627027)); #247520=CARTESIAN_POINT('',(8.13048399999999,0.18,1.25133999999999)); #247521=CARTESIAN_POINT('',(8.13048399999999,0.2,1.25133999999999)); #247522=CARTESIAN_POINT('Origin',(8.01496569999013,0.18,1.52191858627027)); #247523=CARTESIAN_POINT('Origin',(8.02945294344631,0.2,1.02743904347699)); #247524=CARTESIAN_POINT('',(8.23265699999999,0.2,1.16544999999999)); #247525=CARTESIAN_POINT('Origin',(8.02945294344631,0.2,1.02743904347699)); #247526=CARTESIAN_POINT('',(8.23265699999999,0.18,1.16544999999999)); #247527=CARTESIAN_POINT('',(8.23265699999999,0.2,1.16544999999999)); #247528=CARTESIAN_POINT('Origin',(8.02945294344631,0.18,1.02743904347699)); #247529=CARTESIAN_POINT('Origin',(8.05756264541714,0.2,1.04974581850691)); #247530=CARTESIAN_POINT('',(8.26742399999998,0.2,1.05166999999998)); #247531=CARTESIAN_POINT('Origin',(8.05756264541714,0.2,1.04974581850691)); #247532=CARTESIAN_POINT('',(8.26742399999998,0.18,1.05166999999998)); #247533=CARTESIAN_POINT('',(8.26742399999998,0.2,1.05166999999998)); #247534=CARTESIAN_POINT('Origin',(8.05756264541714,0.18,1.04974581850691)); #247535=CARTESIAN_POINT('Origin',(8.04237726735532,0.2,1.05420686735634)); #247536=CARTESIAN_POINT('',(8.19505199999999,0.2,0.888849999999994)); #247537=CARTESIAN_POINT('Origin',(8.04237726735532,0.2,1.05420686735634)); #247538=CARTESIAN_POINT('',(8.19505199999999,0.18,0.888849999999994)); #247539=CARTESIAN_POINT('',(8.19505199999999,0.2,0.888849999999994)); #247540=CARTESIAN_POINT('Origin',(8.04237726735532,0.18,1.05420686735634)); #247541=CARTESIAN_POINT('Origin',(8.01651373220767,0.2,1.08757279466708)); #247542=CARTESIAN_POINT('',(8.009871,0.2,0.820509999999981)); #247543=CARTESIAN_POINT('Origin',(8.01651373220767,0.2,1.08757279466708)); #247544=CARTESIAN_POINT('',(8.009871,0.18,0.820509999999981)); #247545=CARTESIAN_POINT('',(8.009871,0.2,0.820509999999981)); #247546=CARTESIAN_POINT('Origin',(8.01651373220767,0.18,1.08757279466708)); #247547=CARTESIAN_POINT('Origin',(8.00784554683051,0.2,1.15734522403885)); #247548=CARTESIAN_POINT('',(7.81475699999999,0.2,0.881340000000002)); #247549=CARTESIAN_POINT('Origin',(8.00784554683051,0.2,1.15734522403885)); #247550=CARTESIAN_POINT('',(7.81475699999999,0.18,0.881340000000002)); #247551=CARTESIAN_POINT('',(7.81475699999999,0.2,0.881340000000002)); #247552=CARTESIAN_POINT('Origin',(8.00784554683051,0.18,1.15734522403885)); #247553=CARTESIAN_POINT('Origin',(7.85372071207381,0.2,0.977105358032944)); #247554=CARTESIAN_POINT('',(7.75303499999997,0.2,0.953619999999979)); #247555=CARTESIAN_POINT('Origin',(7.85372071207381,0.2,0.977105358032944)); #247556=CARTESIAN_POINT('',(7.75303499999997,0.18,0.953619999999979)); #247557=CARTESIAN_POINT('',(7.75303499999997,0.2,0.953619999999979)); #247558=CARTESIAN_POINT('Origin',(7.85372071207381,0.18,0.977105358032944)); #247559=CARTESIAN_POINT('Origin',(7.78049008522861,0.2,0.953423999103826)); #247560=CARTESIAN_POINT('',(7.76154899999999,0.2,0.973299999999995)); #247561=CARTESIAN_POINT('Origin',(7.78049008522861,0.2,0.953423999103826)); #247562=CARTESIAN_POINT('',(7.76154899999999,0.18,0.973299999999995)); #247563=CARTESIAN_POINT('',(7.76154899999999,0.2,0.973299999999995)); #247564=CARTESIAN_POINT('Origin',(7.78049008522861,0.18,0.953423999103826)); #247565=CARTESIAN_POINT('Origin',(7.78201160162829,0.2,0.951977166173457)); #247566=CARTESIAN_POINT('',(7.782118,0.2,0.981529999999999)); #247567=CARTESIAN_POINT('Origin',(7.78201160162829,0.2,0.951977166173457)); #247568=CARTESIAN_POINT('',(7.782118,0.18,0.981529999999999)); #247569=CARTESIAN_POINT('',(7.782118,0.2,0.981529999999999)); #247570=CARTESIAN_POINT('Origin',(7.78201160162829,0.18,0.951977166173457)); #247571=CARTESIAN_POINT('Origin',(7.78260505672589,0.2,0.952270330772205)); #247572=CARTESIAN_POINT('',(7.795958,0.2,0.978309999999993)); #247573=CARTESIAN_POINT('Origin',(7.78260505672589,0.2,0.952270330772205)); #247574=CARTESIAN_POINT('',(7.795958,0.18,0.978309999999993)); #247575=CARTESIAN_POINT('',(7.795958,0.2,0.978309999999993)); #247576=CARTESIAN_POINT('Origin',(7.78260505672589,0.18,0.952270330772205)); #247577=CARTESIAN_POINT('Origin',(7.76246721061558,0.2,0.927725141500222)); #247578=CARTESIAN_POINT('',(7.813338,0.2,0.960780000000003)); #247579=CARTESIAN_POINT('Origin',(7.76246721061558,0.2,0.927725141500222)); #247580=CARTESIAN_POINT('',(7.813338,0.18,0.960780000000003)); #247581=CARTESIAN_POINT('',(7.813338,0.2,0.960780000000003)); #247582=CARTESIAN_POINT('Origin',(7.76246721061558,0.18,0.927725141500222)); #247583=CARTESIAN_POINT('Origin',(7.97477992739878,0.2,1.10111206016541)); #247584=CARTESIAN_POINT('',(7.896353,0.2,0.90209999999999)); #247585=CARTESIAN_POINT('Origin',(7.97477992739878,0.2,1.10111206016541)); #247586=CARTESIAN_POINT('',(7.896353,0.18,0.90209999999999)); #247587=CARTESIAN_POINT('',(7.896353,0.2,0.90209999999999)); #247588=CARTESIAN_POINT('Origin',(7.97477992739878,0.18,1.10111206016541)); #247589=CARTESIAN_POINT('Origin',(8.00704332836113,0.2,1.17651528099218)); #247590=CARTESIAN_POINT('',(8.009871,0.2,0.88063)); #247591=CARTESIAN_POINT('Origin',(8.00704332836113,0.2,1.17651528099218)); #247592=CARTESIAN_POINT('',(8.009871,0.18,0.88063)); #247593=CARTESIAN_POINT('',(8.009871,0.2,0.88063)); #247594=CARTESIAN_POINT('Origin',(8.00704332836113,0.18,1.17651528099218)); #247595=CARTESIAN_POINT('Origin',(8.0163207090902,0.2,1.08591012571544)); #247596=CARTESIAN_POINT('',(8.15248000000001,0.2,0.932149999999989)); #247597=CARTESIAN_POINT('Origin',(8.0163207090902,0.2,1.08591012571544)); #247598=CARTESIAN_POINT('',(8.15248000000001,0.18,0.932149999999989)); #247599=CARTESIAN_POINT('',(8.15248000000001,0.2,0.932149999999989)); #247600=CARTESIAN_POINT('Origin',(8.0163207090902,0.18,1.08591012571544)); #247601=CARTESIAN_POINT('Origin',(8.04211833305048,0.2,1.05486596203802)); #247602=CARTESIAN_POINT('',(8.207114,0.2,1.05094999999999)); #247603=CARTESIAN_POINT('Origin',(8.04211833305048,0.2,1.05486596203802)); #247604=CARTESIAN_POINT('',(8.207114,0.18,1.05094999999999)); #247605=CARTESIAN_POINT('',(8.207114,0.2,1.05094999999999)); #247606=CARTESIAN_POINT('Origin',(8.04211833305048,0.18,1.05486596203802)); #247607=CARTESIAN_POINT('Origin',(8.0479843636816,0.2,1.04760193589001)); #247608=CARTESIAN_POINT('',(8.15496700000002,0.2,1.16544999999999)); #247609=CARTESIAN_POINT('Origin',(8.0479843636816,0.2,1.04760193589001)); #247610=CARTESIAN_POINT('',(8.15496700000002,0.18,1.16544999999999)); #247611=CARTESIAN_POINT('',(8.15496700000002,0.2,1.16544999999999)); #247612=CARTESIAN_POINT('Origin',(8.0479843636816,0.18,1.04760193589001)); #247613=CARTESIAN_POINT('Origin',(8.02680996879141,0.2,1.02405003118752)); #247614=CARTESIAN_POINT('',(8.02264300000002,0.2,1.21483999999999)); #247615=CARTESIAN_POINT('Origin',(8.02680996879141,0.2,1.02405003118752)); #247616=CARTESIAN_POINT('',(8.02264300000002,0.18,1.21483999999999)); #247617=CARTESIAN_POINT('',(8.02264300000002,0.2,1.21483999999999)); #247618=CARTESIAN_POINT('Origin',(8.02680996879141,0.18,1.02405003118752)); #247619=CARTESIAN_POINT('Origin',(7.97155600000001,0.2,1.21411999999998)); #247620=CARTESIAN_POINT('',(7.97155600000001,0.2,1.21411999999998)); #247621=CARTESIAN_POINT('',(3.97801557188335,0.2,1.15783661854695)); #247622=CARTESIAN_POINT('',(7.97155600000001,0.18,1.21411999999998)); #247623=CARTESIAN_POINT('',(7.97155600000001,0.2,1.21411999999998)); #247624=CARTESIAN_POINT('',(7.97155600000001,0.18,1.21411999999998)); #247625=CARTESIAN_POINT('Origin',(7.96886342199636,0.2,1.25127333094184)); #247626=CARTESIAN_POINT('',(7.94495200000001,0.2,1.22270999999998)); #247627=CARTESIAN_POINT('Origin',(7.96886342199636,0.2,1.25127333094184)); #247628=CARTESIAN_POINT('',(7.94495200000001,0.18,1.22270999999998)); #247629=CARTESIAN_POINT('',(7.94495200000001,0.2,1.22270999999998)); #247630=CARTESIAN_POINT('Origin',(7.96886342199636,0.18,1.25127333094184)); #247631=CARTESIAN_POINT('Origin',(7.96585834256596,0.2,1.24390745176278)); #247632=CARTESIAN_POINT('',(7.93608699999999,0.2,1.24418)); #247633=CARTESIAN_POINT('Origin',(7.96585834256596,0.2,1.24390745176278)); #247634=CARTESIAN_POINT('',(7.93608699999999,0.18,1.24418)); #247635=CARTESIAN_POINT('',(7.93608699999999,0.2,1.24418)); #247636=CARTESIAN_POINT('Origin',(7.96585834256596,0.18,1.24390745176278)); #247637=CARTESIAN_POINT('Origin',(7.96506311646852,0.2,1.24480748001032)); #247638=CARTESIAN_POINT('',(7.94530300000003,0.2,1.26600999999997)); #247639=CARTESIAN_POINT('Origin',(7.96506311646852,0.2,1.24480748001032)); #247640=CARTESIAN_POINT('',(7.94530300000003,0.18,1.26600999999997)); #247641=CARTESIAN_POINT('',(7.94530300000003,0.2,1.26600999999997)); #247642=CARTESIAN_POINT('Origin',(7.96506311646852,0.18,1.24480748001032)); #247643=CARTESIAN_POINT('Origin',(7.97133772040458,0.2,1.23010913995829)); #247644=CARTESIAN_POINT('',(7.975813,0.2,1.27422999999999)); #247645=CARTESIAN_POINT('Origin',(7.97133772040458,0.2,1.23010913995829)); #247646=CARTESIAN_POINT('',(7.975813,0.18,1.27422999999999)); #247647=CARTESIAN_POINT('',(7.975813,0.2,1.27422999999999)); #247648=CARTESIAN_POINT('Origin',(7.97133772040458,0.18,1.23010913995829)); #247649=CARTESIAN_POINT('Origin',(7.97325060132649,0.2,1.58719017853986)); #247650=CARTESIAN_POINT('',(8.10636700000001,0.2,1.30393999999999)); #247651=CARTESIAN_POINT('Origin',(7.97325060132649,0.2,1.58719017853986)); #247652=CARTESIAN_POINT('',(8.10636700000001,0.18,1.30393999999999)); #247653=CARTESIAN_POINT('',(8.10636700000001,0.2,1.30393999999999)); #247654=CARTESIAN_POINT('Origin',(7.97325060132649,0.18,1.58719017853986)); #247655=CARTESIAN_POINT('Origin',(8.01214163056343,0.2,1.51966724492455)); #247656=CARTESIAN_POINT('',(8.20534399999999,0.2,1.38516999999998)); #247657=CARTESIAN_POINT('Origin',(8.01214163056343,0.2,1.51966724492455)); #247658=CARTESIAN_POINT('',(8.20534399999999,0.18,1.38516999999998)); #247659=CARTESIAN_POINT('',(8.20534399999999,0.2,1.38516999999998)); #247660=CARTESIAN_POINT('Origin',(8.01214163056343,0.18,1.51966724492455)); #247661=CARTESIAN_POINT('Origin',(8.0490686276129,0.2,1.49451028750091)); #247662=CARTESIAN_POINT('',(8.239752,0.2,1.49036999999998)); #247663=CARTESIAN_POINT('Origin',(8.0490686276129,0.2,1.49451028750091)); #247664=CARTESIAN_POINT('',(8.239752,0.18,1.49036999999998)); #247665=CARTESIAN_POINT('',(8.239752,0.2,1.49036999999998)); #247666=CARTESIAN_POINT('Origin',(8.0490686276129,0.18,1.49451028750091)); #247667=CARTESIAN_POINT('Origin',(8.03679710483901,0.2,1.48255912459089)); #247668=CARTESIAN_POINT('Origin',(8.03679710483901,0.2,1.48255912459089)); #247669=CARTESIAN_POINT('Origin',(8.03679710483901,0.18,1.48255912459089)); #247670=CARTESIAN_POINT('Origin',(8.00490399999998,0.18,1.29103609914541)); #247671=CARTESIAN_POINT('Origin',(4.48473957841344,0.2,1.46516945076725)); #247672=CARTESIAN_POINT('',(4.482115,0.2,1.70148999999999)); #247673=CARTESIAN_POINT('',(4.654524,0.2,1.62956999999999)); #247674=CARTESIAN_POINT('Origin',(4.48473957841344,0.2,1.46516945076725)); #247675=CARTESIAN_POINT('',(4.482115,0.18,1.70148999999999)); #247676=CARTESIAN_POINT('',(4.482115,0.2,1.70148999999999)); #247677=CARTESIAN_POINT('',(4.654524,0.18,1.62956999999999)); #247678=CARTESIAN_POINT('Origin',(4.48473957841344,0.18,1.46516945076725)); #247679=CARTESIAN_POINT('',(4.654524,0.2,1.62956999999999)); #247680=CARTESIAN_POINT('Origin',(4.49255737822153,0.2,1.35689068401174)); #247681=CARTESIAN_POINT('',(4.248686,0.2,1.60057999999999)); #247682=CARTESIAN_POINT('Origin',(4.49255737822153,0.2,1.35689068401174)); #247683=CARTESIAN_POINT('',(4.248686,0.18,1.60057999999999)); #247684=CARTESIAN_POINT('',(4.248686,0.2,1.60057999999999)); #247685=CARTESIAN_POINT('Origin',(4.49255737822153,0.18,1.35689068401174)); #247686=CARTESIAN_POINT('Origin',(4.21985798204507,0.2,1.62598047561946)); #247687=CARTESIAN_POINT('',(4.223151,0.2,1.58769999999999)); #247688=CARTESIAN_POINT('Origin',(4.21985798204507,0.2,1.62598047561946)); #247689=CARTESIAN_POINT('',(4.223151,0.18,1.58769999999999)); #247690=CARTESIAN_POINT('',(4.223151,0.2,1.58769999999999)); #247691=CARTESIAN_POINT('Origin',(4.21985798204507,0.18,1.62598047561946)); #247692=CARTESIAN_POINT('Origin',(4.22364267521634,0.2,1.6153374447453)); #247693=CARTESIAN_POINT('',(4.203284,0.2,1.59663999999999)); #247694=CARTESIAN_POINT('Origin',(4.22364267521634,0.2,1.6153374447453)); #247695=CARTESIAN_POINT('',(4.203284,0.18,1.59663999999999)); #247696=CARTESIAN_POINT('',(4.203284,0.2,1.59663999999999)); #247697=CARTESIAN_POINT('Origin',(4.22364267521634,0.18,1.6153374447453)); #247698=CARTESIAN_POINT('Origin',(4.22632726486246,0.2,1.61820392408631)); #247699=CARTESIAN_POINT('',(4.19476899999998,0.2,1.61846999999998)); #247700=CARTESIAN_POINT('Origin',(4.22632726486246,0.2,1.61820392408631)); #247701=CARTESIAN_POINT('',(4.19476899999998,0.18,1.61846999999998)); #247702=CARTESIAN_POINT('',(4.19476899999998,0.2,1.61846999999998)); #247703=CARTESIAN_POINT('Origin',(4.22632726486246,0.18,1.61820392408631)); #247704=CARTESIAN_POINT('Origin',(4.25165198145483,0.2,1.60841844145155)); #247705=CARTESIAN_POINT('',(4.221732,0.2,1.65782999999999)); #247706=CARTESIAN_POINT('Origin',(4.25165198145483,0.2,1.60841844145155)); #247707=CARTESIAN_POINT('',(4.221732,0.18,1.65782999999999)); #247708=CARTESIAN_POINT('',(4.221732,0.2,1.65782999999999)); #247709=CARTESIAN_POINT('Origin',(4.25165198145483,0.18,1.60841844145155)); #247710=CARTESIAN_POINT('Origin',(4.51639075623913,0.2,1.31695272177891)); #247711=CARTESIAN_POINT('',(4.322478,0.2,1.72366999999998)); #247712=CARTESIAN_POINT('Origin',(4.51639075623913,0.2,1.31695272177891)); #247713=CARTESIAN_POINT('',(4.322478,0.18,1.72366999999998)); #247714=CARTESIAN_POINT('',(4.322478,0.2,1.72366999999998)); #247715=CARTESIAN_POINT('Origin',(4.51639075623913,0.18,1.31695272177891)); #247716=CARTESIAN_POINT('Origin',(4.48612520988254,0.2,1.38962899912574)); #247717=CARTESIAN_POINT('',(4.484953,0.2,1.76159999999999)); #247718=CARTESIAN_POINT('Origin',(4.48612520988254,0.2,1.38962899912574)); #247719=CARTESIAN_POINT('',(4.484953,0.18,1.76159999999999)); #247720=CARTESIAN_POINT('',(4.484953,0.2,1.76159999999999)); #247721=CARTESIAN_POINT('Origin',(4.48612520988254,0.18,1.38962899912574)); #247722=CARTESIAN_POINT('Origin',(4.48732194150253,0.2,1.46763609083996)); #247723=CARTESIAN_POINT('',(4.69780600000001,0.2,1.67285999999999)); #247724=CARTESIAN_POINT('Origin',(4.48732194150253,0.2,1.46763609083996)); #247725=CARTESIAN_POINT('',(4.69780600000001,0.18,1.67285999999999)); #247726=CARTESIAN_POINT('',(4.69780600000001,0.2,1.67285999999999)); #247727=CARTESIAN_POINT('Origin',(4.48732194150253,0.18,1.46763609083996)); #247728=CARTESIAN_POINT('Origin',(4.45207377108209,0.2,1.4510694417598)); #247729=CARTESIAN_POINT('',(4.782942,0.2,1.44098999999999)); #247730=CARTESIAN_POINT('Origin',(4.45207377108209,0.2,1.4510694417598)); #247731=CARTESIAN_POINT('',(4.782942,0.18,1.44098999999999)); #247732=CARTESIAN_POINT('',(4.782942,0.2,1.44098999999999)); #247733=CARTESIAN_POINT('Origin',(4.45207377108209,0.18,1.4510694417598)); #247734=CARTESIAN_POINT('Origin',(4.48370138917715,0.2,1.43103625913426)); #247735=CARTESIAN_POINT('',(4.70738100000001,0.2,1.23200999999998)); #247736=CARTESIAN_POINT('Origin',(4.48370138917715,0.2,1.43103625913426)); #247737=CARTESIAN_POINT('',(4.70738100000001,0.18,1.23200999999998)); #247738=CARTESIAN_POINT('',(4.70738100000001,0.2,1.23200999999998)); #247739=CARTESIAN_POINT('Origin',(4.48370138917715,0.18,1.43103625913426)); #247740=CARTESIAN_POINT('Origin',(4.52096121694553,0.2,1.41104606946104)); #247741=CARTESIAN_POINT('',(4.51972,0.2,1.15258000000001)); #247742=CARTESIAN_POINT('Origin',(4.52096121694553,0.2,1.41104606946104)); #247743=CARTESIAN_POINT('',(4.51972,0.18,1.15258000000001)); #247744=CARTESIAN_POINT('',(4.51972,0.2,1.15258000000001)); #247745=CARTESIAN_POINT('Origin',(4.52096121694553,0.18,1.41104606946104)); #247746=CARTESIAN_POINT('Origin',(4.52281912228793,0.2,1.56141070643823)); #247747=CARTESIAN_POINT('',(4.428191,0.2,1.16366999999999)); #247748=CARTESIAN_POINT('Origin',(4.52281912228793,0.2,1.56141070643823)); #247749=CARTESIAN_POINT('',(4.428191,0.18,1.16366999999999)); #247750=CARTESIAN_POINT('',(4.428191,0.2,1.16366999999999)); #247751=CARTESIAN_POINT('Origin',(4.52281912228793,0.18,1.56141070643823)); #247752=CARTESIAN_POINT('Origin',(4.56881294701078,0.2,1.75850165564892)); #247753=CARTESIAN_POINT('',(4.327444,0.2,1.19694999999998)); #247754=CARTESIAN_POINT('Origin',(4.56881294701078,0.2,1.75850165564892)); #247755=CARTESIAN_POINT('',(4.327444,0.18,1.19694999999998)); #247756=CARTESIAN_POINT('',(4.327444,0.2,1.19694999999998)); #247757=CARTESIAN_POINT('Origin',(4.56881294701078,0.18,1.75850165564892)); #247758=CARTESIAN_POINT('Origin',(4.327444,0.2,0.902809999999992)); #247759=CARTESIAN_POINT('',(4.327444,0.2,0.902809999999992)); #247760=CARTESIAN_POINT('',(4.327444,0.2,0.45140499999672)); #247761=CARTESIAN_POINT('',(4.327444,0.18,0.902809999999992)); #247762=CARTESIAN_POINT('',(4.327444,0.2,0.902809999999992)); #247763=CARTESIAN_POINT('',(4.327444,0.18,0.902809999999992)); #247764=CARTESIAN_POINT('Origin',(4.68361499999999,0.2,0.902809999999992)); #247765=CARTESIAN_POINT('',(4.68361499999999,0.2,0.902809999999992)); #247766=CARTESIAN_POINT('',(2.34180750000327,0.2,0.902809999999992)); #247767=CARTESIAN_POINT('',(4.68361499999999,0.18,0.902809999999992)); #247768=CARTESIAN_POINT('',(4.68361499999999,0.2,0.902809999999992)); #247769=CARTESIAN_POINT('',(4.68361499999999,0.18,0.902809999999992)); #247770=CARTESIAN_POINT('Origin',(4.68795445567736,0.2,0.85974512138721)); #247771=CARTESIAN_POINT('',(4.714125,0.2,0.89421999999999)); #247772=CARTESIAN_POINT('Origin',(4.68795445567736,0.2,0.85974512138721)); #247773=CARTESIAN_POINT('',(4.714125,0.18,0.89421999999999)); #247774=CARTESIAN_POINT('',(4.714125,0.2,0.89421999999999)); #247775=CARTESIAN_POINT('Origin',(4.68795445567736,0.18,0.85974512138721)); #247776=CARTESIAN_POINT('Origin',(4.69407341321928,0.2,0.872888962530727)); #247777=CARTESIAN_POINT('',(4.72334899999999,0.2,0.872749999999982)); #247778=CARTESIAN_POINT('Origin',(4.69407341321928,0.2,0.872888962530727)); #247779=CARTESIAN_POINT('',(4.72334899999999,0.18,0.872749999999982)); #247780=CARTESIAN_POINT('',(4.72334899999999,0.2,0.872749999999982)); #247781=CARTESIAN_POINT('Origin',(4.69407341321928,0.18,0.872888962530727)); #247782=CARTESIAN_POINT('Origin',(4.69325798607773,0.2,0.872255961425541)); #247783=CARTESIAN_POINT('',(4.714125,0.2,0.850569999999991)); #247784=CARTESIAN_POINT('Origin',(4.69325798607773,0.2,0.872255961425541)); #247785=CARTESIAN_POINT('',(4.714125,0.18,0.850569999999991)); #247786=CARTESIAN_POINT('',(4.714125,0.2,0.850569999999991)); #247787=CARTESIAN_POINT('Origin',(4.69325798607773,0.18,0.872255961425541)); #247788=CARTESIAN_POINT('Origin',(4.68795445567736,0.2,0.885044878612771)); #247789=CARTESIAN_POINT('',(4.68361499999999,0.2,0.841979999999989)); #247790=CARTESIAN_POINT('Origin',(4.68795445567736,0.2,0.885044878612771)); #247791=CARTESIAN_POINT('',(4.68361499999999,0.18,0.841979999999989)); #247792=CARTESIAN_POINT('',(4.68361499999999,0.2,0.841979999999989)); #247793=CARTESIAN_POINT('Origin',(4.68795445567736,0.18,0.885044878612771)); #247794=CARTESIAN_POINT('Origin',(4.26784399999999,0.2,0.841979999999989)); #247795=CARTESIAN_POINT('',(4.26784399999999,0.2,0.841979999999989)); #247796=CARTESIAN_POINT('',(2.13392200000327,0.2,0.841979999999989)); #247797=CARTESIAN_POINT('',(4.26784399999999,0.18,0.841979999999989)); #247798=CARTESIAN_POINT('',(4.26784399999999,0.2,0.841979999999989)); #247799=CARTESIAN_POINT('',(4.26784399999999,0.18,0.841979999999989)); #247800=CARTESIAN_POINT('Origin',(4.26784399999999,0.2,1.24059999999998)); #247801=CARTESIAN_POINT('',(4.26784399999999,0.2,1.24059999999998)); #247802=CARTESIAN_POINT('',(4.26784399999999,0.2,0.620299999996715)); #247803=CARTESIAN_POINT('',(4.26784399999999,0.18,1.24059999999998)); #247804=CARTESIAN_POINT('',(4.26784399999999,0.2,1.24059999999998)); #247805=CARTESIAN_POINT('',(4.26784399999999,0.18,1.24059999999998)); #247806=CARTESIAN_POINT('Origin',(4.30322013959008,0.2,1.24266947244713)); #247807=CARTESIAN_POINT('',(4.275648,0.2,1.26493)); #247808=CARTESIAN_POINT('Origin',(4.30322013959008,0.2,1.24266947244713)); #247809=CARTESIAN_POINT('',(4.275648,0.18,1.26493)); #247810=CARTESIAN_POINT('',(4.275648,0.2,1.26493)); #247811=CARTESIAN_POINT('Origin',(4.30322013959008,0.18,1.24266947244713)); #247812=CARTESIAN_POINT('Origin',(4.29564327348074,0.2,1.24597281397977)); #247813=CARTESIAN_POINT('',(4.296225,0.2,1.27351999999998)); #247814=CARTESIAN_POINT('Origin',(4.29564327348074,0.2,1.24597281397977)); #247815=CARTESIAN_POINT('',(4.296225,0.18,1.27351999999998)); #247816=CARTESIAN_POINT('',(4.296225,0.2,1.27351999999998)); #247817=CARTESIAN_POINT('Origin',(4.29564327348074,0.18,1.24597281397977)); #247818=CARTESIAN_POINT('Origin',(4.28812471410213,0.2,1.20068892585738)); #247819=CARTESIAN_POINT('',(4.32815400000001,0.2,1.26206999999997)); #247820=CARTESIAN_POINT('Origin',(4.28812471410213,0.2,1.20068892585738)); #247821=CARTESIAN_POINT('',(4.32815400000001,0.18,1.26206999999997)); #247822=CARTESIAN_POINT('',(4.32815400000001,0.2,1.26206999999997)); #247823=CARTESIAN_POINT('Origin',(4.28812471410213,0.18,1.20068892585738)); #247824=CARTESIAN_POINT('Origin',(4.5201944500818,0.2,1.6104227949719)); #247825=CARTESIAN_POINT('',(4.51404399999999,0.2,1.21269)); #247826=CARTESIAN_POINT('Origin',(4.5201944500818,0.2,1.6104227949719)); #247827=CARTESIAN_POINT('',(4.51404399999999,0.18,1.21269)); #247828=CARTESIAN_POINT('',(4.51404399999999,0.2,1.21269)); #247829=CARTESIAN_POINT('Origin',(4.5201944500818,0.18,1.6104227949719)); #247830=CARTESIAN_POINT('Origin',(4.516736901096,0.2,1.41815544010219)); #247831=CARTESIAN_POINT('',(4.66374800000001,0.2,1.27458999999998)); #247832=CARTESIAN_POINT('Origin',(4.516736901096,0.2,1.41815544010219)); #247833=CARTESIAN_POINT('',(4.66374800000001,0.18,1.27458999999998)); #247834=CARTESIAN_POINT('',(4.66374800000001,0.2,1.27458999999998)); #247835=CARTESIAN_POINT('Origin',(4.516736901096,0.18,1.41815544010219)); #247836=CARTESIAN_POINT('Origin',(4.48860954825405,0.2,1.43003049380704)); #247837=CARTESIAN_POINT('',(4.722639,0.2,1.43811999999999)); #247838=CARTESIAN_POINT('Origin',(4.48860954825405,0.2,1.43003049380704)); #247839=CARTESIAN_POINT('',(4.722639,0.18,1.43811999999999)); #247840=CARTESIAN_POINT('',(4.722639,0.2,1.43811999999999)); #247841=CARTESIAN_POINT('Origin',(4.48860954825405,0.18,1.43003049380704)); #247842=CARTESIAN_POINT('Origin',(4.44828468929035,0.2,1.44835104721082)); #247843=CARTESIAN_POINT('Origin',(4.44828468929035,0.2,1.44835104721082)); #247844=CARTESIAN_POINT('Origin',(4.44828468929035,0.18,1.44835104721082)); #247845=CARTESIAN_POINT('Origin',(4.48893768994339,0.18,1.30168573171424)); #247846=CARTESIAN_POINT('Origin',(-1.68276455840586,0.2,3.1543333784373)); #247847=CARTESIAN_POINT('',(-1.61471700000001,0.2,3.22438)); #247848=CARTESIAN_POINT('',(-1.682336,0.2,3.25198999999998)); #247849=CARTESIAN_POINT('Origin',(-1.68276455840586,0.2,3.1543333784373)); #247850=CARTESIAN_POINT('',(-1.61471700000001,0.18,3.22438)); #247851=CARTESIAN_POINT('',(-1.61471700000001,0.2,3.22438)); #247852=CARTESIAN_POINT('',(-1.682336,0.18,3.25198999999998)); #247853=CARTESIAN_POINT('Origin',(-1.68276455840586,0.18,3.1543333784373)); #247854=CARTESIAN_POINT('',(-1.682336,0.2,3.25198999999998)); #247855=CARTESIAN_POINT('Origin',(-1.68112225753666,0.2,3.15633414299164)); #247856=CARTESIAN_POINT('',(-1.586046,0.2,3.15569999999997)); #247857=CARTESIAN_POINT('Origin',(-1.68112225753666,0.2,3.15633414299164)); #247858=CARTESIAN_POINT('',(-1.586046,0.18,3.15569999999997)); #247859=CARTESIAN_POINT('',(-1.586046,0.2,3.15569999999997)); #247860=CARTESIAN_POINT('Origin',(-1.68112225753666,0.18,3.15633414299164)); #247861=CARTESIAN_POINT('Origin',(-1.6811102994494,0.2,3.15577361077207)); #247862=CARTESIAN_POINT('',(-1.61436600000001,0.2,3.08807999999999)); #247863=CARTESIAN_POINT('Origin',(-1.6811102994494,0.2,3.15577361077207)); #247864=CARTESIAN_POINT('',(-1.61436600000001,0.18,3.08807999999999)); #247865=CARTESIAN_POINT('',(-1.61436600000001,0.2,3.08807999999999)); #247866=CARTESIAN_POINT('Origin',(-1.6811102994494,0.18,3.15577361077207)); #247867=CARTESIAN_POINT('Origin',(-1.68240408033595,0.2,3.15688211267647)); #247868=CARTESIAN_POINT('',(-1.682336,0.2,3.06011999999999)); #247869=CARTESIAN_POINT('Origin',(-1.68240408033595,0.2,3.15688211267647)); #247870=CARTESIAN_POINT('',(-1.682336,0.18,3.06011999999999)); #247871=CARTESIAN_POINT('',(-1.682336,0.2,3.06011999999999)); #247872=CARTESIAN_POINT('Origin',(-1.68240408033595,0.18,3.15688211267647)); #247873=CARTESIAN_POINT('Origin',(-1.707826,0.2,3.06011999999999)); #247874=CARTESIAN_POINT('',(-1.707826,0.2,3.06011999999999)); #247875=CARTESIAN_POINT('',(-0.853912999996724,0.2,3.06011999999999)); #247876=CARTESIAN_POINT('',(-1.707826,0.18,3.06011999999999)); #247877=CARTESIAN_POINT('',(-1.707826,0.2,3.06011999999999)); #247878=CARTESIAN_POINT('',(-1.707826,0.18,3.06011999999999)); #247879=CARTESIAN_POINT('Origin',(-1.70739386167782,0.2,3.15776813684314)); #247880=CARTESIAN_POINT('',(-1.775438,0.2,3.08772999999999)); #247881=CARTESIAN_POINT('Origin',(-1.70739386167782,0.2,3.15776813684314)); #247882=CARTESIAN_POINT('',(-1.775438,0.18,3.08772999999999)); #247883=CARTESIAN_POINT('',(-1.775438,0.2,3.08772999999999)); #247884=CARTESIAN_POINT('Origin',(-1.70739386167782,0.18,3.15776813684314)); #247885=CARTESIAN_POINT('Origin',(-1.70903185998174,0.2,3.15577622540713)); #247886=CARTESIAN_POINT('',(-1.804109,0.2,3.15640999999998)); #247887=CARTESIAN_POINT('Origin',(-1.70903185998174,0.2,3.15577622540713)); #247888=CARTESIAN_POINT('',(-1.804109,0.18,3.15640999999998)); #247889=CARTESIAN_POINT('',(-1.804109,0.2,3.15640999999998)); #247890=CARTESIAN_POINT('Origin',(-1.70903185998174,0.18,3.15577622540713)); #247891=CARTESIAN_POINT('Origin',(-1.70905666510231,0.2,3.15633138922786)); #247892=CARTESIAN_POINT('',(-1.77578899999999,0.2,3.22401999999999)); #247893=CARTESIAN_POINT('Origin',(-1.70905666510231,0.2,3.15633138922786)); #247894=CARTESIAN_POINT('',(-1.77578899999999,0.18,3.22401999999999)); #247895=CARTESIAN_POINT('',(-1.77578899999999,0.2,3.22401999999999)); #247896=CARTESIAN_POINT('Origin',(-1.70905666510231,0.18,3.15633138922786)); #247897=CARTESIAN_POINT('Origin',(-1.70774224045077,0.2,3.15523141277286)); #247898=CARTESIAN_POINT('',(-1.707826,0.2,3.25199)); #247899=CARTESIAN_POINT('Origin',(-1.70774224045077,0.2,3.15523141277286)); #247900=CARTESIAN_POINT('',(-1.707826,0.18,3.25199)); #247901=CARTESIAN_POINT('',(-1.707826,0.2,3.25199)); #247902=CARTESIAN_POINT('Origin',(-1.70774224045077,0.18,3.15523141277286)); #247903=CARTESIAN_POINT('Origin',(-1.682336,0.2,3.25199)); #247904=CARTESIAN_POINT('',(-0.841167999996724,0.2,3.25199)); #247905=CARTESIAN_POINT('',(-1.682336,0.18,3.25199)); #247906=CARTESIAN_POINT('Origin',(-1.69507749876201,0.18,3.156055)); #247907=CARTESIAN_POINT('Origin',(-1.02285926897098,0.2,1.66607597299283)); #247908=CARTESIAN_POINT('',(-1.071107,0.2,1.71775999999998)); #247909=CARTESIAN_POINT('',(-1.09355300000001,0.2,1.66485999999999)); #247910=CARTESIAN_POINT('Origin',(-1.02285926897098,0.2,1.66607597299283)); #247911=CARTESIAN_POINT('',(-1.071107,0.18,1.71775999999998)); #247912=CARTESIAN_POINT('',(-1.071107,0.2,1.71775999999998)); #247913=CARTESIAN_POINT('',(-1.09355300000001,0.18,1.66485999999999)); #247914=CARTESIAN_POINT('Origin',(-1.02285926897098,0.18,1.66607597299283)); #247915=CARTESIAN_POINT('',(-1.09355300000001,0.2,1.66485999999999)); #247916=CARTESIAN_POINT('Origin',(-1.00719211699745,0.2,1.63008924812235)); #247917=CARTESIAN_POINT('',(-0.995943000000006,0.2,1.73799999999998)); #247918=CARTESIAN_POINT('Origin',(-1.00719211699745,0.2,1.63008924812235)); #247919=CARTESIAN_POINT('',(-0.995943000000006,0.18,1.73799999999998)); #247920=CARTESIAN_POINT('',(-0.995943000000006,0.2,1.73799999999998)); #247921=CARTESIAN_POINT('Origin',(-1.00719211699745,0.18,1.63008924812235)); #247922=CARTESIAN_POINT('Origin',(-0.554926,0.2,1.73799999999998)); #247923=CARTESIAN_POINT('',(-0.554926,0.2,1.73799999999996)); #247924=CARTESIAN_POINT('',(-0.277462999996725,0.2,1.73799999999998)); #247925=CARTESIAN_POINT('',(-0.554926,0.18,1.73799999999996)); #247926=CARTESIAN_POINT('',(-0.554926,0.2,1.73799999999996)); #247927=CARTESIAN_POINT('',(-0.554926,0.18,1.73799999999998)); #247928=CARTESIAN_POINT('Origin',(-0.54367606827177,0.2,1.63008911590181)); #247929=CARTESIAN_POINT('',(-0.479761000000005,0.2,1.71775999999998)); #247930=CARTESIAN_POINT('Origin',(-0.54367606827177,0.2,1.63008911590181)); #247931=CARTESIAN_POINT('',(-0.479761000000005,0.18,1.71775999999998)); #247932=CARTESIAN_POINT('',(-0.479761000000005,0.2,1.71775999999998)); #247933=CARTESIAN_POINT('Origin',(-0.54367606827177,0.18,1.63008911590181)); #247934=CARTESIAN_POINT('Origin',(-0.527209909633113,0.2,1.66643124480501)); #247935=CARTESIAN_POINT('',(-0.457314999999996,0.2,1.66556999999999)); #247936=CARTESIAN_POINT('Origin',(-0.527209909633113,0.2,1.66643124480501)); #247937=CARTESIAN_POINT('',(-0.457314999999996,0.18,1.66556999999999)); #247938=CARTESIAN_POINT('',(-0.457314999999996,0.2,1.66556999999999)); #247939=CARTESIAN_POINT('Origin',(-0.527209909633113,0.18,1.66643124480501)); #247940=CARTESIAN_POINT('Origin',(-0.528019332413967,0.2,1.66434875519492)); #247941=CARTESIAN_POINT('',(-0.479761000000005,0.2,1.61265999999999)); #247942=CARTESIAN_POINT('Origin',(-0.528019332413967,0.2,1.66434875519492)); #247943=CARTESIAN_POINT('',(-0.479761000000005,0.18,1.61265999999999)); #247944=CARTESIAN_POINT('',(-0.479761000000005,0.2,1.61265999999999)); #247945=CARTESIAN_POINT('Origin',(-0.528019332413967,0.18,1.66434875519492)); #247946=CARTESIAN_POINT('Origin',(-0.543663058109569,0.2,1.70033588409819)); #247947=CARTESIAN_POINT('',(-0.554926,0.2,1.59243)); #247948=CARTESIAN_POINT('Origin',(-0.543663058109569,0.2,1.70033588409819)); #247949=CARTESIAN_POINT('',(-0.554926,0.18,1.59243)); #247950=CARTESIAN_POINT('',(-0.554926,0.2,1.59243)); #247951=CARTESIAN_POINT('Origin',(-0.543663058109569,0.18,1.70033588409819)); #247952=CARTESIAN_POINT('Origin',(-0.702408999999991,0.2,1.59243)); #247953=CARTESIAN_POINT('',(-0.702408999999991,0.2,1.59243)); #247954=CARTESIAN_POINT('',(-0.35120449999672,0.2,1.59243)); #247955=CARTESIAN_POINT('',(-0.702408999999991,0.18,1.59243)); #247956=CARTESIAN_POINT('',(-0.702408999999991,0.2,1.59243)); #247957=CARTESIAN_POINT('',(-0.702408999999991,0.18,1.59243)); #247958=CARTESIAN_POINT('Origin',(-0.702408999999991,0.2,0.795629999999985)); #247959=CARTESIAN_POINT('',(-0.702408999999991,0.2,0.795629999999985)); #247960=CARTESIAN_POINT('',(-0.702408999999991,0.2,0.397814999996716)); #247961=CARTESIAN_POINT('',(-0.702408999999991,0.18,0.795629999999985)); #247962=CARTESIAN_POINT('',(-0.702408999999991,0.2,0.795629999999985)); #247963=CARTESIAN_POINT('',(-0.702408999999991,0.18,0.795629999999985)); #247964=CARTESIAN_POINT('Origin',(-1.01660300000001,0.2,0.876589999999986)); #247965=CARTESIAN_POINT('',(-1.01660300000001,0.2,0.876589999999986)); #247966=CARTESIAN_POINT('',(-0.43404365557544,0.2,0.726478907730207)); #247967=CARTESIAN_POINT('',(-1.01660300000001,0.18,0.876589999999986)); #247968=CARTESIAN_POINT('',(-1.01660300000001,0.2,0.876589999999986)); #247969=CARTESIAN_POINT('',(-1.01660300000001,0.18,0.876589999999986)); #247970=CARTESIAN_POINT('Origin',(-0.998416931471269,0.2,0.996711824791827)); #247971=CARTESIAN_POINT('',(-1.07930100000001,0.2,0.906059999999975)); #247972=CARTESIAN_POINT('Origin',(-0.998416931471269,0.2,0.996711824791827)); #247973=CARTESIAN_POINT('',(-1.07930100000001,0.18,0.906059999999975)); #247974=CARTESIAN_POINT('',(-1.07930100000001,0.2,0.906059999999975)); #247975=CARTESIAN_POINT('Origin',(-0.998416931471269,0.18,0.996711824791827)); #247976=CARTESIAN_POINT('Origin',(-1.02693338141896,0.2,0.948511419895368)); #247977=CARTESIAN_POINT('',(-1.094263,0.2,0.951859999999982)); #247978=CARTESIAN_POINT('Origin',(-1.02693338141896,0.2,0.948511419895368)); #247979=CARTESIAN_POINT('',(-1.094263,0.18,0.951859999999982)); #247980=CARTESIAN_POINT('',(-1.094263,0.2,0.951859999999982)); #247981=CARTESIAN_POINT('Origin',(-1.02693338141896,0.18,0.948511419895368)); #247982=CARTESIAN_POINT('Origin',(-1.0150409820719,0.2,0.952872234050073)); #247983=CARTESIAN_POINT('',(-1.073961,0.2,1.00584)); #247984=CARTESIAN_POINT('Origin',(-1.0150409820719,0.2,0.952872234050073)); #247985=CARTESIAN_POINT('',(-1.073961,0.18,1.00584)); #247986=CARTESIAN_POINT('',(-1.073961,0.2,1.00584)); #247987=CARTESIAN_POINT('Origin',(-1.0150409820719,0.18,0.952872234050073)); #247988=CARTESIAN_POINT('Origin',(-1.02479125590767,0.2,0.961949252436849)); #247989=CARTESIAN_POINT('',(-1.025865,0.2,1.02784999999999)); #247990=CARTESIAN_POINT('Origin',(-1.02479125590767,0.2,0.961949252436849)); #247991=CARTESIAN_POINT('',(-1.025865,0.18,1.02784999999999)); #247992=CARTESIAN_POINT('',(-1.025865,0.2,1.02784999999999)); #247993=CARTESIAN_POINT('Origin',(-1.02479125590767,0.18,0.961949252436849)); #247994=CARTESIAN_POINT('Origin',(-1.03426587356614,0.2,0.847480930154969)); #247995=CARTESIAN_POINT('',(-0.978845000000002,0.2,1.01932999999999)); #247996=CARTESIAN_POINT('Origin',(-1.03426587356614,0.2,0.847480930154969)); #247997=CARTESIAN_POINT('',(-0.978845000000002,0.18,1.01932999999999)); #247998=CARTESIAN_POINT('',(-0.978845000000002,0.2,1.01932999999999)); #247999=CARTESIAN_POINT('Origin',(-1.03426587356614,0.18,0.847480930154969)); #248000=CARTESIAN_POINT('Origin',(-0.848458999999995,0.2,0.985239999999994)); #248001=CARTESIAN_POINT('',(-0.848458999999995,0.2,0.985239999999994)); #248002=CARTESIAN_POINT('',(-0.330817002640594,0.2,0.849900195956778)); #248003=CARTESIAN_POINT('',(-0.848458999999995,0.18,0.985239999999994)); #248004=CARTESIAN_POINT('',(-0.848458999999995,0.2,0.985239999999994)); #248005=CARTESIAN_POINT('',(-0.848458999999995,0.18,0.985239999999994)); #248006=CARTESIAN_POINT('Origin',(-0.848458999999995,0.2,1.59243)); #248007=CARTESIAN_POINT('',(-0.848458999999995,0.2,1.59243)); #248008=CARTESIAN_POINT('',(-0.848458999999995,0.2,0.796214999996724)); #248009=CARTESIAN_POINT('',(-0.848458999999995,0.18,1.59243)); #248010=CARTESIAN_POINT('',(-0.848458999999995,0.2,1.59243)); #248011=CARTESIAN_POINT('',(-0.848458999999995,0.18,1.59243)); #248012=CARTESIAN_POINT('Origin',(-0.995943000000006,0.2,1.59243)); #248013=CARTESIAN_POINT('',(-0.995943000000006,0.2,1.59243)); #248014=CARTESIAN_POINT('',(-0.497971499996728,0.2,1.59243)); #248015=CARTESIAN_POINT('',(-0.995943000000006,0.18,1.59243)); #248016=CARTESIAN_POINT('',(-0.995943000000006,0.2,1.59243)); #248017=CARTESIAN_POINT('',(-0.995943000000006,0.18,1.59243)); #248018=CARTESIAN_POINT('Origin',(-1.00720512731515,0.2,1.70033575187759)); #248019=CARTESIAN_POINT('',(-1.07110699999999,0.2,1.61265999999999)); #248020=CARTESIAN_POINT('Origin',(-1.00720512731515,0.2,1.70033575187759)); #248021=CARTESIAN_POINT('',(-1.07110699999999,0.18,1.61265999999999)); #248022=CARTESIAN_POINT('',(-1.07110699999999,0.2,1.61265999999999)); #248023=CARTESIAN_POINT('Origin',(-1.00720512731515,0.18,1.70033575187759)); #248024=CARTESIAN_POINT('Origin',(-1.02364621626257,0.2,1.6639940270071)); #248025=CARTESIAN_POINT('Origin',(-1.02364621626257,0.2,1.6639940270071)); #248026=CARTESIAN_POINT('Origin',(-1.02364621626257,0.18,1.6639940270071)); #248027=CARTESIAN_POINT('Origin',(-0.775825335854243,0.18,1.26710741475359)); #248028=CARTESIAN_POINT('Origin',(-4.922243,0.2,-0.116000000000014)); #248029=CARTESIAN_POINT('',(-4.922243,0.2,-0.116000000000014)); #248030=CARTESIAN_POINT('',(-5.538232,0.2,-0.116000000000014)); #248031=CARTESIAN_POINT('',(-2.46112149999673,0.2,-0.116000000000014)); #248032=CARTESIAN_POINT('',(-4.922243,0.18,-0.116000000000014)); #248033=CARTESIAN_POINT('',(-4.922243,0.2,-0.116000000000014)); #248034=CARTESIAN_POINT('',(-5.538232,0.18,-0.116000000000014)); #248035=CARTESIAN_POINT('',(-5.230241,0.18,-0.116000000000014)); #248036=CARTESIAN_POINT('',(-5.538232,0.2,-0.116000000000014)); #248037=CARTESIAN_POINT('Origin',(-4.922243,0.2,-0.423989999999996)); #248038=CARTESIAN_POINT('',(-4.922243,0.2,-0.423989999999996)); #248039=CARTESIAN_POINT('',(-4.922243,0.2,-0.211995000003274)); #248040=CARTESIAN_POINT('',(-4.922243,0.18,-0.423989999999996)); #248041=CARTESIAN_POINT('',(-4.922243,0.2,-0.423989999999996)); #248042=CARTESIAN_POINT('',(-4.922243,0.18,-0.423989999999996)); #248043=CARTESIAN_POINT('Origin',(-4.614252,0.2,-0.423989999999996)); #248044=CARTESIAN_POINT('',(-4.614252,0.2,-0.423989999999996)); #248045=CARTESIAN_POINT('',(-2.30712599999672,0.2,-0.423989999999996)); #248046=CARTESIAN_POINT('',(-4.614252,0.18,-0.423989999999996)); #248047=CARTESIAN_POINT('',(-4.614252,0.2,-0.423989999999996)); #248048=CARTESIAN_POINT('',(-4.614252,0.18,-0.423989999999996)); #248049=CARTESIAN_POINT('Origin',(-4.614252,0.2,-0.731989999999989)); #248050=CARTESIAN_POINT('',(-4.614252,0.2,-0.731989999999989)); #248051=CARTESIAN_POINT('',(-4.614252,0.2,-0.365995000003271)); #248052=CARTESIAN_POINT('',(-4.614252,0.18,-0.731989999999989)); #248053=CARTESIAN_POINT('',(-4.614252,0.2,-0.731989999999989)); #248054=CARTESIAN_POINT('',(-4.614252,0.18,-0.731989999999989)); #248055=CARTESIAN_POINT('Origin',(-5.230241,0.2,-0.731989999999989)); #248056=CARTESIAN_POINT('',(-5.230241,0.2,-0.731989999999989)); #248057=CARTESIAN_POINT('',(-2.61512049999672,0.2,-0.731989999999989)); #248058=CARTESIAN_POINT('',(-5.230241,0.18,-0.731989999999989)); #248059=CARTESIAN_POINT('',(-5.230241,0.2,-0.731989999999989)); #248060=CARTESIAN_POINT('',(-4.922243,0.18,-0.731989999999989)); #248061=CARTESIAN_POINT('Origin',(-5.230241,0.2,-0.423989999999996)); #248062=CARTESIAN_POINT('',(-5.230241,0.2,-0.423989999999996)); #248063=CARTESIAN_POINT('',(-5.230241,0.2,-0.211995000003274)); #248064=CARTESIAN_POINT('',(-5.230241,0.18,-0.423989999999996)); #248065=CARTESIAN_POINT('',(-5.230241,0.2,-0.423989999999996)); #248066=CARTESIAN_POINT('',(-5.230241,0.18,-0.423989999999996)); #248067=CARTESIAN_POINT('Origin',(-5.538232,0.2,-0.423989999999996)); #248068=CARTESIAN_POINT('',(-5.538232,0.2,-0.423989999999996)); #248069=CARTESIAN_POINT('',(-2.76911599999673,0.2,-0.423989999999996)); #248070=CARTESIAN_POINT('',(-5.538232,0.18,-0.423989999999996)); #248071=CARTESIAN_POINT('',(-5.538232,0.2,-0.423989999999996)); #248072=CARTESIAN_POINT('',(-5.538232,0.18,-0.423989999999996)); #248073=CARTESIAN_POINT('Origin',(-5.538232,0.2,-0.116000000000014)); #248074=CARTESIAN_POINT('',(-5.538232,0.2,-0.0580000000032829)); #248075=CARTESIAN_POINT('',(-5.538232,0.18,-0.116000000000014)); #248076=CARTESIAN_POINT('',(-5.538232,0.2,-0.423989999999996)); #248077=CARTESIAN_POINT('Origin',(-5.076242,0.18,-0.423995000000001)); #248078=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,-0.423989999999996)); #248079=CARTESIAN_POINT('',(-6.46222099999999,0.2,-0.423989999999996)); #248080=CARTESIAN_POINT('',(-6.46222099999999,0.2,-0.731989999999989)); #248081=CARTESIAN_POINT('',(-6.46222099999999,0.2,-0.211995000003274)); #248082=CARTESIAN_POINT('',(-6.46222099999999,0.18,-0.423989999999996)); #248083=CARTESIAN_POINT('',(-6.46222099999999,0.2,-0.423989999999996)); #248084=CARTESIAN_POINT('',(-6.46222099999999,0.18,-0.731989999999989)); #248085=CARTESIAN_POINT('',(-6.46222099999999,0.18,-0.423989999999996)); #248086=CARTESIAN_POINT('',(-6.46222099999999,0.2,-0.731989999999989)); #248087=CARTESIAN_POINT('Origin',(-6.770212,0.2,-0.423989999999996)); #248088=CARTESIAN_POINT('',(-6.770212,0.2,-0.423989999999996)); #248089=CARTESIAN_POINT('',(-3.38510599999673,0.2,-0.423989999999996)); #248090=CARTESIAN_POINT('',(-6.770212,0.18,-0.423989999999996)); #248091=CARTESIAN_POINT('',(-6.770212,0.2,-0.423989999999996)); #248092=CARTESIAN_POINT('',(-6.770212,0.18,-0.423989999999996)); #248093=CARTESIAN_POINT('Origin',(-6.770212,0.2,-0.116000000000014)); #248094=CARTESIAN_POINT('',(-6.770212,0.2,-0.116000000000014)); #248095=CARTESIAN_POINT('',(-6.770212,0.2,-0.0580000000032829)); #248096=CARTESIAN_POINT('',(-6.770212,0.18,-0.116000000000014)); #248097=CARTESIAN_POINT('',(-6.770212,0.2,-0.116000000000014)); #248098=CARTESIAN_POINT('',(-6.770212,0.18,-0.116000000000014)); #248099=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,-0.116000000000014)); #248100=CARTESIAN_POINT('',(-6.15422199999999,0.2,-0.116000000000014)); #248101=CARTESIAN_POINT('',(-3.07711099999672,0.2,-0.116000000000014)); #248102=CARTESIAN_POINT('',(-6.15422199999999,0.18,-0.116000000000014)); #248103=CARTESIAN_POINT('',(-6.15422199999999,0.2,-0.116000000000014)); #248104=CARTESIAN_POINT('',(-6.46222099999999,0.18,-0.116000000000014)); #248105=CARTESIAN_POINT('Origin',(-6.15422199999999,0.2,-0.423989999999996)); #248106=CARTESIAN_POINT('',(-6.15422199999999,0.2,-0.423989999999996)); #248107=CARTESIAN_POINT('',(-6.15422199999999,0.2,-0.211995000003274)); #248108=CARTESIAN_POINT('',(-6.15422199999999,0.18,-0.423989999999996)); #248109=CARTESIAN_POINT('',(-6.15422199999999,0.2,-0.423989999999996)); #248110=CARTESIAN_POINT('',(-6.15422199999999,0.18,-0.423989999999996)); #248111=CARTESIAN_POINT('Origin',(-5.538232,0.2,-0.423989999999996)); #248112=CARTESIAN_POINT('',(-2.76911599999673,0.2,-0.423989999999996)); #248113=CARTESIAN_POINT('',(-5.84623100000001,0.18,-0.423989999999996)); #248114=CARTESIAN_POINT('Origin',(-5.538232,0.2,-0.731989999999989)); #248115=CARTESIAN_POINT('',(-5.538232,0.2,-0.731989999999989)); #248116=CARTESIAN_POINT('',(-5.538232,0.2,-0.365995000003271)); #248117=CARTESIAN_POINT('',(-5.538232,0.18,-0.731989999999989)); #248118=CARTESIAN_POINT('',(-5.538232,0.2,-0.731989999999989)); #248119=CARTESIAN_POINT('',(-5.538232,0.18,-0.731989999999989)); #248120=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,-0.731989999999989)); #248121=CARTESIAN_POINT('',(-3.23111049999672,0.2,-0.731989999999989)); #248122=CARTESIAN_POINT('',(-5.84623100000001,0.18,-0.731989999999989)); #248123=CARTESIAN_POINT('Origin',(-6.154222,0.18,-0.423995000000001)); #248124=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,-2.88796000000001)); #248125=CARTESIAN_POINT('',(-6.46222099999999,0.2,-2.88796000000001)); #248126=CARTESIAN_POINT('',(-6.46222099999999,0.2,-1.34798000000002)); #248127=CARTESIAN_POINT('',(-6.46222099999999,0.2,-1.44398000000328)); #248128=CARTESIAN_POINT('',(-6.46222099999999,0.18,-2.88796000000001)); #248129=CARTESIAN_POINT('',(-6.46222099999999,0.2,-2.88796000000001)); #248130=CARTESIAN_POINT('',(-6.46222099999999,0.18,-1.34798000000002)); #248131=CARTESIAN_POINT('',(-6.46222099999999,0.18,-2.88796000000001)); #248132=CARTESIAN_POINT('',(-6.46222099999999,0.2,-1.34798000000002)); #248133=CARTESIAN_POINT('Origin',(-4.922243,0.2,-2.88796000000001)); #248134=CARTESIAN_POINT('',(-4.922243,0.2,-2.88796000000001)); #248135=CARTESIAN_POINT('',(-2.46112149999673,0.2,-2.88796000000001)); #248136=CARTESIAN_POINT('',(-4.922243,0.18,-2.88796000000001)); #248137=CARTESIAN_POINT('',(-4.922243,0.2,-2.88796000000001)); #248138=CARTESIAN_POINT('',(-4.922243,0.18,-2.88796000000001)); #248139=CARTESIAN_POINT('Origin',(-4.922243,0.2,-1.34798000000002)); #248140=CARTESIAN_POINT('',(-4.922243,0.2,-1.34798000000002)); #248141=CARTESIAN_POINT('',(-4.922243,0.2,-0.673990000003285)); #248142=CARTESIAN_POINT('',(-4.922243,0.18,-1.34798000000002)); #248143=CARTESIAN_POINT('',(-4.922243,0.2,-1.34798000000002)); #248144=CARTESIAN_POINT('',(-4.922243,0.18,-1.34798000000002)); #248145=CARTESIAN_POINT('Origin',(-6.46222099999999,0.2,-1.34798000000002)); #248146=CARTESIAN_POINT('',(-3.23111049999672,0.2,-1.34798000000002)); #248147=CARTESIAN_POINT('',(-6.46222099999999,0.18,-1.34798000000002)); #248148=CARTESIAN_POINT('Origin',(-4.614252,0.2,-3.19595000000001)); #248149=CARTESIAN_POINT('',(-4.614252,0.2,-3.19595000000001)); #248150=CARTESIAN_POINT('',(-4.614252,0.2,-1.03999)); #248151=CARTESIAN_POINT('',(-4.614252,0.2,-1.59797500000328)); #248152=CARTESIAN_POINT('',(-4.614252,0.18,-3.19595000000001)); #248153=CARTESIAN_POINT('',(-4.614252,0.2,-3.19595000000001)); #248154=CARTESIAN_POINT('',(-4.614252,0.18,-1.03999)); #248155=CARTESIAN_POINT('',(-4.614252,0.18,-1.34798000000002)); #248156=CARTESIAN_POINT('',(-4.614252,0.2,-1.03999)); #248157=CARTESIAN_POINT('Origin',(-6.770212,0.2,-3.19595000000001)); #248158=CARTESIAN_POINT('',(-6.770212,0.2,-3.19595000000001)); #248159=CARTESIAN_POINT('',(-3.38510599999673,0.2,-3.19595000000001)); #248160=CARTESIAN_POINT('',(-6.770212,0.18,-3.19595000000001)); #248161=CARTESIAN_POINT('',(-6.770212,0.2,-3.19595000000001)); #248162=CARTESIAN_POINT('',(-4.922243,0.18,-3.19595000000001)); #248163=CARTESIAN_POINT('Origin',(-6.770212,0.2,-1.03999)); #248164=CARTESIAN_POINT('',(-6.770212,0.2,-1.03999)); #248165=CARTESIAN_POINT('',(-6.770212,0.2,-0.519995000003276)); #248166=CARTESIAN_POINT('',(-6.770212,0.18,-1.03999)); #248167=CARTESIAN_POINT('',(-6.770212,0.2,-1.03999)); #248168=CARTESIAN_POINT('',(-6.770212,0.18,-2.88796000000001)); #248169=CARTESIAN_POINT('Origin',(-4.614252,0.2,-1.03999)); #248170=CARTESIAN_POINT('',(-2.30712599999672,0.2,-1.03999)); #248171=CARTESIAN_POINT('',(-6.15422199999999,0.18,-1.03999)); #248172=CARTESIAN_POINT('Origin',(-5.69223200000001,0.18,-2.11797000000001)); #248173=CARTESIAN_POINT('Origin',(-10.466161,0.2,-2.57996000000002)); #248174=CARTESIAN_POINT('',(-10.466161,0.2,-2.57996000000002)); #248175=CARTESIAN_POINT('',(-9.542177,0.2,-2.57996000000002)); #248176=CARTESIAN_POINT('',(-5.23308049999672,0.2,-2.57996000000002)); #248177=CARTESIAN_POINT('',(-10.466161,0.18,-2.57996000000002)); #248178=CARTESIAN_POINT('',(-10.466161,0.2,-2.57996000000002)); #248179=CARTESIAN_POINT('',(-9.542177,0.18,-2.57996000000002)); #248180=CARTESIAN_POINT('',(-9.850168,0.18,-2.57996000000002)); #248181=CARTESIAN_POINT('',(-9.542177,0.2,-2.57996000000002)); #248182=CARTESIAN_POINT('Origin',(-10.466161,0.2,-1.65598000000001)); #248183=CARTESIAN_POINT('',(-10.466161,0.2,-1.65598000000001)); #248184=CARTESIAN_POINT('',(-10.466161,0.2,-0.827990000003281)); #248185=CARTESIAN_POINT('',(-10.466161,0.18,-1.65598000000001)); #248186=CARTESIAN_POINT('',(-10.466161,0.2,-1.65598000000001)); #248187=CARTESIAN_POINT('',(-10.466161,0.18,-2.27197)); #248188=CARTESIAN_POINT('Origin',(-9.542177,0.2,-1.65598000000001)); #248189=CARTESIAN_POINT('',(-9.542177,0.2,-1.65598000000001)); #248190=CARTESIAN_POINT('',(-4.77108849999673,0.2,-1.65598000000001)); #248191=CARTESIAN_POINT('',(-9.542177,0.18,-1.65598000000001)); #248192=CARTESIAN_POINT('',(-9.542177,0.2,-1.65598000000001)); #248193=CARTESIAN_POINT('',(-10.158167,0.18,-1.65598000000001)); #248194=CARTESIAN_POINT('Origin',(-9.542177,0.2,-2.57996000000002)); #248195=CARTESIAN_POINT('',(-9.542177,0.2,-1.28998000000329)); #248196=CARTESIAN_POINT('',(-9.542177,0.18,-1.96397000000001)); #248197=CARTESIAN_POINT('Origin',(-10.004169,0.18,-2.11797000000001)); #248198=CARTESIAN_POINT('Origin',(-9.542177,0.2,1.73196999999998)); #248199=CARTESIAN_POINT('',(-9.542177,0.2,1.73196999999998)); #248200=CARTESIAN_POINT('',(-9.542177,0.2,2.65595999999999)); #248201=CARTESIAN_POINT('',(-9.542177,0.2,0.865984999996715)); #248202=CARTESIAN_POINT('',(-9.542177,0.18,1.73196999999998)); #248203=CARTESIAN_POINT('',(-9.542177,0.2,1.73196999999998)); #248204=CARTESIAN_POINT('',(-9.542177,0.18,2.65595999999999)); #248205=CARTESIAN_POINT('',(-9.542177,0.18,2.34795999999999)); #248206=CARTESIAN_POINT('',(-9.542177,0.2,2.65595999999999)); #248207=CARTESIAN_POINT('Origin',(-10.466161,0.2,1.73196999999998)); #248208=CARTESIAN_POINT('',(-10.466161,0.2,1.73196999999998)); #248209=CARTESIAN_POINT('',(-5.23308049999672,0.2,1.73196999999998)); #248210=CARTESIAN_POINT('',(-10.466161,0.18,1.73196999999998)); #248211=CARTESIAN_POINT('',(-10.466161,0.2,1.73196999999998)); #248212=CARTESIAN_POINT('',(-9.850168,0.18,1.73196999999998)); #248213=CARTESIAN_POINT('Origin',(-10.466161,0.2,2.65595999999999)); #248214=CARTESIAN_POINT('',(-10.466161,0.2,2.65595999999999)); #248215=CARTESIAN_POINT('',(-10.466161,0.2,1.32797999999672)); #248216=CARTESIAN_POINT('',(-10.466161,0.18,2.65595999999999)); #248217=CARTESIAN_POINT('',(-10.466161,0.2,2.65595999999999)); #248218=CARTESIAN_POINT('',(-10.466161,0.18,2.03996999999998)); #248219=CARTESIAN_POINT('Origin',(-9.542177,0.2,2.65595999999999)); #248220=CARTESIAN_POINT('',(-4.77108849999673,0.2,2.65595999999999)); #248221=CARTESIAN_POINT('',(-10.158167,0.18,2.65595999999999)); #248222=CARTESIAN_POINT('Origin',(-10.004169,0.18,2.19396499999998)); #248223=CARTESIAN_POINT('Origin',(7.91997400000001,0.2,-2.07802000000001)); #248224=CARTESIAN_POINT('',(7.91997400000001,0.2,-2.07802000000001)); #248225=CARTESIAN_POINT('',(7.91942400000001,0.2,-2.07393000000001)); #248226=CARTESIAN_POINT('',(7.71239769250219,0.2,-0.534407095146126)); #248227=CARTESIAN_POINT('',(7.91997400000001,0.18,-2.07802000000001)); #248228=CARTESIAN_POINT('',(7.91997400000001,0.2,-2.07802000000001)); #248229=CARTESIAN_POINT('',(7.91942400000001,0.18,-2.07393000000001)); #248230=CARTESIAN_POINT('',(7.91942400000001,0.18,-2.07393000000001)); #248231=CARTESIAN_POINT('',(7.91942400000001,0.2,-2.07393000000001)); #248232=CARTESIAN_POINT('Origin',(6.72077868326304,0.2,-2.21673031769296)); #248233=CARTESIAN_POINT('',(7.91458000000003,0.2,-2.39603000000001)); #248234=CARTESIAN_POINT('Origin',(6.72077868326304,0.2,-2.21673031769296)); #248235=CARTESIAN_POINT('',(7.91458000000003,0.18,-2.39603000000001)); #248236=CARTESIAN_POINT('',(7.91458000000003,0.2,-2.39603000000001)); #248237=CARTESIAN_POINT('Origin',(6.72077868326304,0.18,-2.21673031769296)); #248238=CARTESIAN_POINT('Origin',(7.91232900000002,0.2,-2.39978000000002)); #248239=CARTESIAN_POINT('',(7.91232900000002,0.2,-2.39978000000002)); #248240=CARTESIAN_POINT('',(7.39389843482057,0.2,-3.26344708993086)); #248241=CARTESIAN_POINT('',(7.91232900000002,0.18,-2.39978000000002)); #248242=CARTESIAN_POINT('',(7.91232900000002,0.2,-2.39978000000002)); #248243=CARTESIAN_POINT('',(7.91458000000001,0.18,-2.39603000000001)); #248244=CARTESIAN_POINT('Origin',(7.90969700000001,0.2,-2.40313000000002)); #248245=CARTESIAN_POINT('',(7.90969700000001,0.2,-2.40313000000002)); #248246=CARTESIAN_POINT('',(6.98393700798013,0.2,-3.58143394120581)); #248247=CARTESIAN_POINT('',(7.90969700000001,0.18,-2.40313000000002)); #248248=CARTESIAN_POINT('',(7.90969700000001,0.2,-2.40313000000002)); #248249=CARTESIAN_POINT('',(7.91232900000002,0.18,-2.39978000000002)); #248250=CARTESIAN_POINT('Origin',(7.906676,0.2,-2.4061)); #248251=CARTESIAN_POINT('',(7.906676,0.2,-2.4061)); #248252=CARTESIAN_POINT('',(6.49779340943203,0.2,-3.79119807810269)); #248253=CARTESIAN_POINT('',(7.906676,0.18,-2.4061)); #248254=CARTESIAN_POINT('',(7.906676,0.2,-2.4061)); #248255=CARTESIAN_POINT('',(7.90969700000001,0.18,-2.40313000000002)); #248256=CARTESIAN_POINT('Origin',(7.903265,0.2,-2.40868000000003)); #248257=CARTESIAN_POINT('',(7.903265,0.2,-2.40868000000003)); #248258=CARTESIAN_POINT('',(5.96910823193521,0.2,-3.87163058975438)); #248259=CARTESIAN_POINT('',(7.903265,0.18,-2.40868000000003)); #248260=CARTESIAN_POINT('',(7.903265,0.2,-2.40868000000003)); #248261=CARTESIAN_POINT('',(7.906676,0.18,-2.4061)); #248262=CARTESIAN_POINT('Origin',(7.89946599999999,0.2,-2.41087)); #248263=CARTESIAN_POINT('',(7.89946599999999,0.2,-2.41087)); #248264=CARTESIAN_POINT('',(5.45647055631226,0.2,-3.81917745501844)); #248265=CARTESIAN_POINT('',(7.89946599999999,0.18,-2.41087)); #248266=CARTESIAN_POINT('',(7.89946599999999,0.2,-2.41087)); #248267=CARTESIAN_POINT('',(7.903265,0.18,-2.40868000000003)); #248268=CARTESIAN_POINT('Origin',(7.895285,0.2,-2.41267000000001)); #248269=CARTESIAN_POINT('',(7.895285,0.2,-2.41267000000001)); #248270=CARTESIAN_POINT('',(5.00305729114261,0.2,-3.65782902319104)); #248271=CARTESIAN_POINT('',(7.895285,0.18,-2.41267000000001)); #248272=CARTESIAN_POINT('',(7.895285,0.2,-2.41267000000001)); #248273=CARTESIAN_POINT('',(7.89946599999999,0.18,-2.41087)); #248274=CARTESIAN_POINT('Origin',(7.89070700000001,0.2,-2.41408000000002)); #248275=CARTESIAN_POINT('',(7.89070700000001,0.2,-2.41408000000002)); #248276=CARTESIAN_POINT('',(4.6267380976645,0.2,-3.41936531068864)); #248277=CARTESIAN_POINT('',(7.89070700000001,0.18,-2.41408000000002)); #248278=CARTESIAN_POINT('',(7.89070700000001,0.2,-2.41408000000002)); #248279=CARTESIAN_POINT('',(7.895285,0.18,-2.41267000000001)); #248280=CARTESIAN_POINT('Origin',(7.88574100000001,0.2,-2.41511000000003)); #248281=CARTESIAN_POINT('',(7.88574100000001,0.2,-2.41511000000003)); #248282=CARTESIAN_POINT('',(4.34562255790541,0.2,-3.14936734905274)); #248283=CARTESIAN_POINT('',(7.88574100000001,0.18,-2.41511000000003)); #248284=CARTESIAN_POINT('',(7.88574100000001,0.2,-2.41511000000003)); #248285=CARTESIAN_POINT('',(7.89070700000001,0.18,-2.41408000000002)); #248286=CARTESIAN_POINT('Origin',(7.85534450806257,0.2,-2.17689421391777)); #248287=CARTESIAN_POINT('',(7.839079,0.2,-2.41648999999999)); #248288=CARTESIAN_POINT('Origin',(7.85534450806257,0.2,-2.17689421391777)); #248289=CARTESIAN_POINT('',(7.839079,0.18,-2.41648999999999)); #248290=CARTESIAN_POINT('',(7.839079,0.2,-2.41648999999999)); #248291=CARTESIAN_POINT('Origin',(7.85534450806257,0.18,-2.17689421391777)); #248292=CARTESIAN_POINT('Origin',(7.81611500000001,0.2,-2.41721000000002)); #248293=CARTESIAN_POINT('',(7.81611500000001,0.2,-2.41721000000002)); #248294=CARTESIAN_POINT('',(3.9497521816685,0.2,-2.53843370794788)); #248295=CARTESIAN_POINT('',(7.81611500000001,0.18,-2.41721000000002)); #248296=CARTESIAN_POINT('',(7.81611500000001,0.2,-2.41721000000002)); #248297=CARTESIAN_POINT('',(7.839079,0.18,-2.41648999999999)); #248298=CARTESIAN_POINT('Origin',(7.794348,0.2,-2.41803000000001)); #248299=CARTESIAN_POINT('',(7.794348,0.2,-2.41803000000001)); #248300=CARTESIAN_POINT('',(3.94817797672184,0.2,-2.5629217820117)); #248301=CARTESIAN_POINT('',(7.794348,0.18,-2.41803000000001)); #248302=CARTESIAN_POINT('',(7.794348,0.2,-2.41803000000001)); #248303=CARTESIAN_POINT('',(7.81611500000001,0.18,-2.41721000000002)); #248304=CARTESIAN_POINT('Origin',(7.75348153569733,0.2,-2.26370292861564)); #248305=CARTESIAN_POINT('',(7.665549,0.2,-2.39695000000001)); #248306=CARTESIAN_POINT('Origin',(7.75348153569733,0.2,-2.26370292861564)); #248307=CARTESIAN_POINT('',(7.665549,0.18,-2.39695000000001)); #248308=CARTESIAN_POINT('',(7.665549,0.2,-2.39695000000001)); #248309=CARTESIAN_POINT('Origin',(7.75348153569733,0.18,-2.26370292861564)); #248310=CARTESIAN_POINT('Origin',(7.75591666780442,0.2,-2.3464847324132)); #248311=CARTESIAN_POINT('',(7.655798,0.2,-2.32023000000002)); #248312=CARTESIAN_POINT('Origin',(7.75591666780442,0.2,-2.3464847324132)); #248313=CARTESIAN_POINT('',(7.655798,0.18,-2.32023000000002)); #248314=CARTESIAN_POINT('',(7.655798,0.2,-2.32023000000002)); #248315=CARTESIAN_POINT('Origin',(7.75591666780442,0.18,-2.3464847324132)); #248316=CARTESIAN_POINT('Origin',(7.655798,0.2,-2.11182000000001)); #248317=CARTESIAN_POINT('',(7.655798,0.2,-2.11182000000001)); #248318=CARTESIAN_POINT('',(7.655798,0.2,-1.05591000000328)); #248319=CARTESIAN_POINT('',(7.655798,0.18,-2.11182000000001)); #248320=CARTESIAN_POINT('',(7.655798,0.2,-2.11182000000001)); #248321=CARTESIAN_POINT('',(7.655798,0.18,-2.32023000000002)); #248322=CARTESIAN_POINT('Origin',(7.75977481027748,0.2,-2.10415492857086)); #248323=CARTESIAN_POINT('',(7.6612,0.2,-2.07020000000002)); #248324=CARTESIAN_POINT('Origin',(7.75977481027748,0.2,-2.10415492857086)); #248325=CARTESIAN_POINT('',(7.6612,0.18,-2.07020000000002)); #248326=CARTESIAN_POINT('',(7.6612,0.2,-2.07020000000002)); #248327=CARTESIAN_POINT('Origin',(7.75977481027748,0.18,-2.10415492857086)); #248328=CARTESIAN_POINT('Origin',(7.663535,0.2,-2.06512)); #248329=CARTESIAN_POINT('',(7.663535,0.2,-2.06512)); #248330=CARTESIAN_POINT('',(7.38701489236113,0.2,-2.66671406715586)); #248331=CARTESIAN_POINT('',(7.663535,0.18,-2.06512)); #248332=CARTESIAN_POINT('',(7.663535,0.2,-2.06512)); #248333=CARTESIAN_POINT('',(7.6612,0.18,-2.07020000000002)); #248334=CARTESIAN_POINT('Origin',(7.66629599999999,0.2,-2.06057000000001)); #248335=CARTESIAN_POINT('',(7.66629599999999,0.2,-2.06057000000001)); #248336=CARTESIAN_POINT('',(7.0916380185165,0.2,-3.00757971233369)); #248337=CARTESIAN_POINT('',(7.66629599999999,0.18,-2.06057000000001)); #248338=CARTESIAN_POINT('',(7.66629599999999,0.2,-2.06057000000001)); #248339=CARTESIAN_POINT('',(7.663535,0.18,-2.06512)); #248340=CARTESIAN_POINT('Origin',(7.66948500000001,0.2,-2.05653000000002)); #248341=CARTESIAN_POINT('',(7.66948500000001,0.2,-2.05653000000002)); #248342=CARTESIAN_POINT('',(6.69744787475702,0.2,-3.28795991093053)); #248343=CARTESIAN_POINT('',(7.66948500000001,0.18,-2.05653000000002)); #248344=CARTESIAN_POINT('',(7.66948500000001,0.2,-2.05653000000002)); #248345=CARTESIAN_POINT('',(7.66629599999999,0.18,-2.06057000000001)); #248346=CARTESIAN_POINT('Origin',(7.67310200000002,0.2,-2.05296000000002)); #248347=CARTESIAN_POINT('',(7.67310200000002,0.2,-2.05296000000002)); #248348=CARTESIAN_POINT('',(6.24293422341567,0.2,-3.46454389891706)); #248349=CARTESIAN_POINT('',(7.67310200000002,0.18,-2.05296000000002)); #248350=CARTESIAN_POINT('',(7.67310200000002,0.2,-2.05296000000002)); #248351=CARTESIAN_POINT('',(7.66948500000001,0.18,-2.05653000000002)); #248352=CARTESIAN_POINT('Origin',(7.677168,0.2,-2.04983000000002)); #248353=CARTESIAN_POINT('',(7.677168,0.2,-2.04983000000002)); #248354=CARTESIAN_POINT('',(5.76229526364504,0.2,-3.52389583001093)); #248355=CARTESIAN_POINT('',(7.677168,0.18,-2.04983000000002)); #248356=CARTESIAN_POINT('',(7.677168,0.2,-2.04983000000002)); #248357=CARTESIAN_POINT('',(7.67310200000002,0.18,-2.05296000000002)); #248358=CARTESIAN_POINT('Origin',(7.681677,0.2,-2.04713000000002)); #248359=CARTESIAN_POINT('',(7.681677,0.2,-2.04713000000002)); #248360=CARTESIAN_POINT('',(5.30569427608428,0.2,-3.46987414605779)); #248361=CARTESIAN_POINT('',(7.681677,0.18,-2.04713000000002)); #248362=CARTESIAN_POINT('',(7.681677,0.2,-2.04713000000002)); #248363=CARTESIAN_POINT('',(7.677168,0.18,-2.04983000000002)); #248364=CARTESIAN_POINT('Origin',(7.686629,0.2,-2.04481000000003)); #248365=CARTESIAN_POINT('',(7.686629,0.2,-2.04481000000003)); #248366=CARTESIAN_POINT('',(4.92783615063534,0.2,-3.33729776463955)); #248367=CARTESIAN_POINT('',(7.686629,0.18,-2.04481000000003)); #248368=CARTESIAN_POINT('',(7.686629,0.2,-2.04481000000003)); #248369=CARTESIAN_POINT('',(7.681677,0.18,-2.04713000000002)); #248370=CARTESIAN_POINT('Origin',(7.69203800000001,0.2,-2.04287000000001)); #248371=CARTESIAN_POINT('',(7.69203800000001,0.2,-2.04287000000001)); #248372=CARTESIAN_POINT('',(4.60896849319822,0.2,-3.14864830343071)); #248373=CARTESIAN_POINT('',(7.69203800000001,0.18,-2.04287000000001)); #248374=CARTESIAN_POINT('',(7.69203800000001,0.2,-2.04287000000001)); #248375=CARTESIAN_POINT('',(7.686629,0.18,-2.04481000000003)); #248376=CARTESIAN_POINT('Origin',(7.78315203805223,0.2,-2.2785570295359)); #248377=CARTESIAN_POINT('',(7.91406899999999,0.2,-2.06243000000002)); #248378=CARTESIAN_POINT('Origin',(7.78315203805223,0.2,-2.2785570295359)); #248379=CARTESIAN_POINT('',(7.91406899999999,0.18,-2.06243000000002)); #248380=CARTESIAN_POINT('',(7.91406899999999,0.2,-2.06243000000002)); #248381=CARTESIAN_POINT('Origin',(7.78315203805223,0.18,-2.2785570295359)); #248382=CARTESIAN_POINT('Origin',(7.916418,0.2,-2.06611000000002)); #248383=CARTESIAN_POINT('',(7.916418,0.2,-2.06611000000002)); #248384=CARTESIAN_POINT('',(6.30202434402241,0.2,0.463038000843446)); #248385=CARTESIAN_POINT('',(7.916418,0.18,-2.06611000000002)); #248386=CARTESIAN_POINT('',(7.916418,0.2,-2.06611000000002)); #248387=CARTESIAN_POINT('',(7.91406899999999,0.18,-2.06243000000002)); #248388=CARTESIAN_POINT('Origin',(7.918219,0.2,-2.06995000000001)); #248389=CARTESIAN_POINT('',(7.918219,0.2,-2.06995000000001)); #248390=CARTESIAN_POINT('',(6.80646906528505,0.2,0.300466296111837)); #248391=CARTESIAN_POINT('',(7.918219,0.18,-2.06995000000001)); #248392=CARTESIAN_POINT('',(7.918219,0.2,-2.06995000000001)); #248393=CARTESIAN_POINT('',(7.916418,0.18,-2.06611000000002)); #248394=CARTESIAN_POINT('Origin',(7.91942400000001,0.2,-2.07393000000001)); #248395=CARTESIAN_POINT('',(7.29933854290437,0.2,-0.0258469135124481)); #248396=CARTESIAN_POINT('',(7.918219,0.18,-2.06995000000001)); #248397=CARTESIAN_POINT('Origin',(7.79019119120902,0.18,-2.22461015487974)); #248398=CARTESIAN_POINT('Origin',(2.78252299999999,0.2,-1.82888000000002)); #248399=CARTESIAN_POINT('',(2.78252299999999,0.2,-1.82888000000002)); #248400=CARTESIAN_POINT('',(2.78346099999999,0.2,-1.83545000000001)); #248401=CARTESIAN_POINT('',(2.62678419280856,0.2,-0.738044218290096)); #248402=CARTESIAN_POINT('',(2.78252299999999,0.18,-1.82888000000002)); #248403=CARTESIAN_POINT('',(2.78252299999999,0.2,-1.82888000000002)); #248404=CARTESIAN_POINT('',(2.78346099999999,0.18,-1.83545000000001)); #248405=CARTESIAN_POINT('',(2.78346099999999,0.18,-1.83545000000001)); #248406=CARTESIAN_POINT('',(2.78346099999999,0.2,-1.83545000000001)); #248407=CARTESIAN_POINT('Origin',(2.78220200000001,0.2,-1.82170000000001)); #248408=CARTESIAN_POINT('',(2.78220200000001,0.2,-1.82170000000001)); #248409=CARTESIAN_POINT('',(2.73878645155813,0.2,-0.850598324525726)); #248410=CARTESIAN_POINT('',(2.78220200000001,0.18,-1.82170000000001)); #248411=CARTESIAN_POINT('',(2.78220200000001,0.2,-1.82170000000001)); #248412=CARTESIAN_POINT('',(2.78252299999999,0.18,-1.82888000000002)); #248413=CARTESIAN_POINT('Origin',(2.782278,0.2,-0.358820000000009)); #248414=CARTESIAN_POINT('',(2.782278,0.2,-0.358820000000009)); #248415=CARTESIAN_POINT('',(2.78228731700975,0.2,-0.179482273376407)); #248416=CARTESIAN_POINT('',(2.782278,0.18,-0.358820000000009)); #248417=CARTESIAN_POINT('',(2.782278,0.2,-0.358820000000009)); #248418=CARTESIAN_POINT('',(2.78220200000001,0.18,-1.82170000000001)); #248419=CARTESIAN_POINT('Origin',(2.78409399999999,0.2,-0.346650000000004)); #248420=CARTESIAN_POINT('',(2.78409399999999,0.2,-0.346650000000004)); #248421=CARTESIAN_POINT('',(2.77907333066292,0.2,-0.380296225678652)); #248422=CARTESIAN_POINT('',(2.78409399999999,0.18,-0.346650000000004)); #248423=CARTESIAN_POINT('',(2.78409399999999,0.2,-0.346650000000004)); #248424=CARTESIAN_POINT('',(2.782278,0.18,-0.358820000000009)); #248425=CARTESIAN_POINT('Origin',(2.788199,0.2,-0.33648000000003)); #248426=CARTESIAN_POINT('',(2.788199,0.2,-0.33648000000003)); #248427=CARTESIAN_POINT('',(2.65128216002655,0.2,-0.675686884902125)); #248428=CARTESIAN_POINT('',(2.788199,0.18,-0.33648000000003)); #248429=CARTESIAN_POINT('',(2.788199,0.2,-0.33648000000003)); #248430=CARTESIAN_POINT('',(2.78409399999999,0.18,-0.346650000000004)); #248431=CARTESIAN_POINT('Origin',(2.79443199999999,0.2,-0.328150000000011)); #248432=CARTESIAN_POINT('',(2.79443199999999,0.2,-0.328150000000011)); #248433=CARTESIAN_POINT('',(2.37163388231944,0.2,-0.893192246156268)); #248434=CARTESIAN_POINT('',(2.79443199999999,0.18,-0.328150000000011)); #248435=CARTESIAN_POINT('',(2.79443199999999,0.2,-0.328150000000011)); #248436=CARTESIAN_POINT('',(2.788199,0.18,-0.33648000000003)); #248437=CARTESIAN_POINT('Origin',(2.80263399999999,0.2,-0.321500000000015)); #248438=CARTESIAN_POINT('',(2.80263399999999,0.2,-0.321500000000015)); #248439=CARTESIAN_POINT('',(2.03576144716284,0.2,-0.943263286560181)); #248440=CARTESIAN_POINT('',(2.80263399999999,0.18,-0.321500000000015)); #248441=CARTESIAN_POINT('',(2.80263399999999,0.2,-0.321500000000015)); #248442=CARTESIAN_POINT('',(2.79443199999999,0.18,-0.328150000000011)); #248443=CARTESIAN_POINT('Origin',(2.812651,0.2,-0.316330000000029)); #248444=CARTESIAN_POINT('',(2.812651,0.2,-0.316330000000029)); #248445=CARTESIAN_POINT('',(1.76660611278283,0.2,-0.856217398112637)); #248446=CARTESIAN_POINT('',(2.812651,0.18,-0.316330000000029)); #248447=CARTESIAN_POINT('',(2.812651,0.2,-0.316330000000029)); #248448=CARTESIAN_POINT('',(2.80263399999999,0.18,-0.321500000000015)); #248449=CARTESIAN_POINT('Origin',(2.90030736083504,0.2,-0.538500154395312)); #248450=CARTESIAN_POINT('',(3.03960300000001,0.2,-0.344490000000004)); #248451=CARTESIAN_POINT('Origin',(2.90030736083504,0.2,-0.538500154395312)); #248452=CARTESIAN_POINT('',(3.03960300000001,0.18,-0.344490000000004)); #248453=CARTESIAN_POINT('',(3.03960300000001,0.2,-0.344490000000004)); #248454=CARTESIAN_POINT('Origin',(2.90030736083504,0.18,-0.538500154395312)); #248455=CARTESIAN_POINT('Origin',(2.60760542935729,0.2,-0.451386901605986)); #248456=CARTESIAN_POINT('',(3.046309,0.2,-0.526140000000002)); #248457=CARTESIAN_POINT('Origin',(2.60760542935729,0.2,-0.451386901605986)); #248458=CARTESIAN_POINT('',(3.046309,0.18,-0.526140000000002)); #248459=CARTESIAN_POINT('',(3.046309,0.2,-0.526140000000002)); #248460=CARTESIAN_POINT('Origin',(2.60760542935729,0.18,-0.451386901605986)); #248461=CARTESIAN_POINT('Origin',(3.047301,0.2,-1.34530000000002)); #248462=CARTESIAN_POINT('',(3.047301,0.2,-1.34530000000002)); #248463=CARTESIAN_POINT('',(3.04648418986121,0.2,-0.67080585353577)); #248464=CARTESIAN_POINT('',(3.047301,0.18,-1.34530000000002)); #248465=CARTESIAN_POINT('',(3.047301,0.2,-1.34530000000002)); #248466=CARTESIAN_POINT('',(3.046309,0.18,-0.526140000000002)); #248467=CARTESIAN_POINT('Origin',(3.28625116635687,0.2,-1.37905812282915)); #248468=CARTESIAN_POINT('',(3.10745100000001,0.2,-1.54113000000001)); #248469=CARTESIAN_POINT('Origin',(3.28625116635687,0.2,-1.37905812282915)); #248470=CARTESIAN_POINT('',(3.10745100000001,0.18,-1.54113000000001)); #248471=CARTESIAN_POINT('',(3.10745100000001,0.2,-1.54113000000001)); #248472=CARTESIAN_POINT('Origin',(3.28625116635687,0.18,-1.37905812282915)); #248473=CARTESIAN_POINT('Origin',(3.28348704216075,0.2,-1.37599865315323)); #248474=CARTESIAN_POINT('',(3.29778100000001,0.2,-1.61694000000001)); #248475=CARTESIAN_POINT('Origin',(3.28348704216075,0.2,-1.37599865315323)); #248476=CARTESIAN_POINT('',(3.29778100000001,0.18,-1.61694000000001)); #248477=CARTESIAN_POINT('',(3.29778100000001,0.2,-1.61694000000001)); #248478=CARTESIAN_POINT('Origin',(3.28348704216075,0.18,-1.37599865315323)); #248479=CARTESIAN_POINT('Origin',(3.70317683064755,0.2,5.78950292970983)); #248480=CARTESIAN_POINT('',(3.76486100000001,0.2,-1.62777000000002)); #248481=CARTESIAN_POINT('Origin',(3.70317683064755,0.2,5.78950292970983)); #248482=CARTESIAN_POINT('',(3.76486100000001,0.18,-1.62777000000002)); #248483=CARTESIAN_POINT('',(3.76486100000001,0.2,-1.62777000000002)); #248484=CARTESIAN_POINT('Origin',(3.70317683064755,0.18,5.78950292970983)); #248485=CARTESIAN_POINT('Origin',(3.774557,0.2,-1.63183000000002)); #248486=CARTESIAN_POINT('',(3.774557,0.2,-1.63183000000002)); #248487=CARTESIAN_POINT('',(1.87813816875626,0.2,-0.837743731966593)); #248488=CARTESIAN_POINT('',(3.774557,0.18,-1.63183000000002)); #248489=CARTESIAN_POINT('',(3.774557,0.2,-1.63183000000002)); #248490=CARTESIAN_POINT('',(3.764861,0.18,-1.62777000000002)); #248491=CARTESIAN_POINT('Origin',(3.782385,0.2,-1.63725000000001)); #248492=CARTESIAN_POINT('',(3.782385,0.2,-1.63725000000001)); #248493=CARTESIAN_POINT('',(2.12090112843959,0.2,-0.486861320408676)); #248494=CARTESIAN_POINT('',(3.782385,0.18,-1.63725000000001)); #248495=CARTESIAN_POINT('',(3.782385,0.2,-1.63725000000001)); #248496=CARTESIAN_POINT('',(3.774557,0.18,-1.63183000000002)); #248497=CARTESIAN_POINT('Origin',(3.78851200000001,0.2,-1.64409000000001)); #248498=CARTESIAN_POINT('',(3.78851200000001,0.2,-1.64409000000001)); #248499=CARTESIAN_POINT('',(2.53668249670019,0.2,-0.24658489104615)); #248500=CARTESIAN_POINT('',(3.78851200000001,0.18,-1.64409000000001)); #248501=CARTESIAN_POINT('',(3.78851200000001,0.2,-1.64409000000001)); #248502=CARTESIAN_POINT('',(3.782385,0.18,-1.63725000000001)); #248503=CARTESIAN_POINT('Origin',(3.79314300000001,0.2,-1.65238000000002)); #248504=CARTESIAN_POINT('',(3.79314300000001,0.2,-1.65238000000002)); #248505=CARTESIAN_POINT('',(2.99030147595452,0.2,-0.21520525710534)); #248506=CARTESIAN_POINT('',(3.79314300000001,0.18,-1.65238000000002)); #248507=CARTESIAN_POINT('',(3.79314300000001,0.2,-1.65238000000002)); #248508=CARTESIAN_POINT('',(3.78851200000001,0.18,-1.64409000000001)); #248509=CARTESIAN_POINT('Origin',(3.796469,0.2,-1.66221000000002)); #248510=CARTESIAN_POINT('',(3.796469,0.2,-1.66221000000002)); #248511=CARTESIAN_POINT('',(3.34915822996663,0.2,-0.340182077739972)); #248512=CARTESIAN_POINT('',(3.796469,0.18,-1.66221000000002)); #248513=CARTESIAN_POINT('',(3.796469,0.2,-1.66221000000002)); #248514=CARTESIAN_POINT('',(3.79314300000001,0.18,-1.65238000000002)); #248515=CARTESIAN_POINT('Origin',(3.60163735009596,0.2,-1.73867464671549)); #248516=CARTESIAN_POINT('',(3.76505099999999,0.2,-1.86945000000003)); #248517=CARTESIAN_POINT('Origin',(3.60163735009596,0.2,-1.73867464671549)); #248518=CARTESIAN_POINT('',(3.76505099999999,0.18,-1.86945000000003)); #248519=CARTESIAN_POINT('',(3.76505099999999,0.2,-1.86945000000003)); #248520=CARTESIAN_POINT('Origin',(3.60163735009596,0.18,-1.73867464671549)); #248521=CARTESIAN_POINT('Origin',(3.75243199999999,0.2,-1.87336000000002)); #248522=CARTESIAN_POINT('',(3.75243199999999,0.2,-1.87336000000002)); #248523=CARTESIAN_POINT('',(2.30537440332153,0.2,-2.32173112314708)); #248524=CARTESIAN_POINT('',(3.75243199999999,0.18,-1.87336000000002)); #248525=CARTESIAN_POINT('',(3.75243199999999,0.2,-1.87336000000002)); #248526=CARTESIAN_POINT('',(3.76505099999999,0.18,-1.86945000000003)); #248527=CARTESIAN_POINT('Origin',(3.583738204853,0.2,-0.739640563881405)); #248528=CARTESIAN_POINT('',(3.154166,0.2,-1.80230000000002)); #248529=CARTESIAN_POINT('Origin',(3.583738204853,0.2,-0.739640563881405)); #248530=CARTESIAN_POINT('',(3.154166,0.18,-1.80230000000002)); #248531=CARTESIAN_POINT('',(3.154166,0.2,-1.80230000000002)); #248532=CARTESIAN_POINT('Origin',(3.583738204853,0.18,-0.739640563881405)); #248533=CARTESIAN_POINT('Origin',(3.40277284710298,0.2,-1.4564812043918)); #248534=CARTESIAN_POINT('',(3.04650000000001,0.2,-1.68986000000002)); #248535=CARTESIAN_POINT('Origin',(3.40277284710298,0.2,-1.4564812043918)); #248536=CARTESIAN_POINT('',(3.04650000000001,0.18,-1.68986000000002)); #248537=CARTESIAN_POINT('',(3.04650000000001,0.2,-1.68986000000002)); #248538=CARTESIAN_POINT('Origin',(3.40277284710298,0.18,-1.4564812043918)); #248539=CARTESIAN_POINT('Origin',(5.2751850185472,0.2,-1.69843987599286)); #248540=CARTESIAN_POINT('',(3.04739200000001,0.2,-1.76207000000002)); #248541=CARTESIAN_POINT('Origin',(5.2751850185472,0.2,-1.69843987599286)); #248542=CARTESIAN_POINT('',(3.04739200000001,0.18,-1.76207000000002)); #248543=CARTESIAN_POINT('',(3.04739200000001,0.2,-1.76207000000002)); #248544=CARTESIAN_POINT('Origin',(5.2751850185472,0.18,-1.69843987599286)); #248545=CARTESIAN_POINT('Origin',(3.047446,0.2,-1.77845000000001)); #248546=CARTESIAN_POINT('',(3.047446,0.2,-1.77845000000001)); #248547=CARTESIAN_POINT('',(3.044497960845,0.2,-0.884211456177115)); #248548=CARTESIAN_POINT('',(3.047446,0.18,-1.77845000000001)); #248549=CARTESIAN_POINT('',(3.047446,0.2,-1.77845000000001)); #248550=CARTESIAN_POINT('',(3.04739200000001,0.18,-1.76207000000002)); #248551=CARTESIAN_POINT('Origin',(2.88949316756629,0.2,-1.79061614147498)); #248552=CARTESIAN_POINT('',(3.03539900000001,0.2,-1.85233)); #248553=CARTESIAN_POINT('Origin',(2.88949316756629,0.2,-1.79061614147498)); #248554=CARTESIAN_POINT('',(3.03539900000001,0.18,-1.85233)); #248555=CARTESIAN_POINT('',(3.03539900000001,0.2,-1.85233)); #248556=CARTESIAN_POINT('Origin',(2.88949316756629,0.18,-1.79061614147498)); #248557=CARTESIAN_POINT('Origin',(3.02897499999999,0.2,-1.85971000000002)); #248558=CARTESIAN_POINT('',(3.02897499999999,0.2,-1.85971000000002)); #248559=CARTESIAN_POINT('',(2.83660578416635,0.2,-2.08070701320883)); #248560=CARTESIAN_POINT('',(3.02897499999999,0.18,-1.85971000000002)); #248561=CARTESIAN_POINT('',(3.02897499999999,0.2,-1.85971000000002)); #248562=CARTESIAN_POINT('',(3.03539900000001,0.18,-1.85233)); #248563=CARTESIAN_POINT('Origin',(3.021094,0.2,-1.86548000000002)); #248564=CARTESIAN_POINT('',(3.021094,0.2,-1.86548000000002)); #248565=CARTESIAN_POINT('',(2.48226971390947,0.2,-2.25997513142291)); #248566=CARTESIAN_POINT('',(3.021094,0.18,-1.86548000000002)); #248567=CARTESIAN_POINT('',(3.021094,0.2,-1.86548000000002)); #248568=CARTESIAN_POINT('',(3.02897499999999,0.18,-1.85971000000002)); #248569=CARTESIAN_POINT('Origin',(3.01174799999999,0.2,-1.86982)); #248570=CARTESIAN_POINT('',(3.01174799999999,0.2,-1.86982)); #248571=CARTESIAN_POINT('',(2.1301295390401,0.2,-2.27921697416536)); #248572=CARTESIAN_POINT('',(3.01174799999999,0.18,-1.86982)); #248573=CARTESIAN_POINT('',(3.01174799999999,0.2,-1.86982)); #248574=CARTESIAN_POINT('',(3.021094,0.18,-1.86548000000002)); #248575=CARTESIAN_POINT('Origin',(2.91705281816168,0.2,-1.62977450388405)); #248576=CARTESIAN_POINT('',(2.79302099999999,0.2,-1.85606000000002)); #248577=CARTESIAN_POINT('Origin',(2.91705281816168,0.2,-1.62977450388405)); #248578=CARTESIAN_POINT('',(2.79302099999999,0.18,-1.85606000000002)); #248579=CARTESIAN_POINT('',(2.79302099999999,0.2,-1.85606000000002)); #248580=CARTESIAN_POINT('Origin',(2.91705281816168,0.18,-1.62977450388405)); #248581=CARTESIAN_POINT('Origin',(2.78980100000001,0.2,-1.85172)); #248582=CARTESIAN_POINT('',(2.78980100000001,0.2,-1.85172)); #248583=CARTESIAN_POINT('',(1.8515187553804,0.2,-0.587078713759501)); #248584=CARTESIAN_POINT('',(2.78980100000001,0.18,-1.85172)); #248585=CARTESIAN_POINT('',(2.78980100000001,0.2,-1.85172)); #248586=CARTESIAN_POINT('',(2.79302099999999,0.18,-1.85606000000002)); #248587=CARTESIAN_POINT('Origin',(2.787123,0.2,-1.84685000000002)); #248588=CARTESIAN_POINT('',(2.787123,0.2,-1.84685000000002)); #248589=CARTESIAN_POINT('',(2.07367617177592,0.2,-0.549431757494399)); #248590=CARTESIAN_POINT('',(2.787123,0.18,-1.84685000000002)); #248591=CARTESIAN_POINT('',(2.787123,0.2,-1.84685000000002)); #248592=CARTESIAN_POINT('',(2.78980100000001,0.18,-1.85172)); #248593=CARTESIAN_POINT('Origin',(2.785002,0.2,-1.84144)); #248594=CARTESIAN_POINT('',(2.785002,0.2,-1.84144)); #248595=CARTESIAN_POINT('',(2.28660433081788,0.2,-0.570185219105583)); #248596=CARTESIAN_POINT('',(2.785002,0.18,-1.84144)); #248597=CARTESIAN_POINT('',(2.785002,0.2,-1.84144)); #248598=CARTESIAN_POINT('',(2.787123,0.18,-1.84685000000002)); #248599=CARTESIAN_POINT('Origin',(2.78346099999999,0.2,-1.83545000000001)); #248600=CARTESIAN_POINT('',(2.47562864871191,0.2,-0.638879082279215)); #248601=CARTESIAN_POINT('',(2.785002,0.18,-1.84144)); #248602=CARTESIAN_POINT('Origin',(3.29656934537911,0.18,-1.09374296146761)); #248603=CARTESIAN_POINT('Origin',(34.7954799368744,0.2,23.6791118310875)); #248604=CARTESIAN_POINT('',(-2.99273100000002,0.2,-0.51937999999998)); #248605=CARTESIAN_POINT('',(-2.54609099999588,0.2,-1.20307000000638)); #248606=CARTESIAN_POINT('Origin',(34.7954799368744,0.2,23.6791118310875)); #248607=CARTESIAN_POINT('',(-2.99273100000002,0.18,-0.51937999999998)); #248608=CARTESIAN_POINT('',(-2.99273100000002,0.2,-0.51937999999998)); #248609=CARTESIAN_POINT('',(-2.54609099999588,0.18,-1.20307000000638)); #248610=CARTESIAN_POINT('Origin',(34.7954799368744,0.18,23.6791118310875)); #248611=CARTESIAN_POINT('',(-2.54609099999588,0.2,-1.20307000000638)); #248612=CARTESIAN_POINT('Origin',(-3.058481,0.2,-0.418920000000007)); #248613=CARTESIAN_POINT('',(-3.058481,0.2,-0.418920000000007)); #248614=CARTESIAN_POINT('',(-2.69584706011781,0.2,-0.972991568069587)); #248615=CARTESIAN_POINT('',(-3.058481,0.18,-0.418920000000007)); #248616=CARTESIAN_POINT('',(-3.058481,0.2,-0.418920000000007)); #248617=CARTESIAN_POINT('',(-2.99273100000002,0.18,-0.519380000000016)); #248618=CARTESIAN_POINT('Origin',(-3.623064,0.2,0.445769999999985)); #248619=CARTESIAN_POINT('',(-3.623064,0.2,0.445769999999985)); #248620=CARTESIAN_POINT('',(-2.97957604372454,0.2,-0.539767291969176)); #248621=CARTESIAN_POINT('',(-3.623064,0.18,0.445769999999985)); #248622=CARTESIAN_POINT('',(-3.623064,0.2,0.445769999999985)); #248623=CARTESIAN_POINT('',(-3.058481,0.18,-0.418920000000007)); #248624=CARTESIAN_POINT('Origin',(-3.630197,0.2,0.456699999999977)); #248625=CARTESIAN_POINT('',(-3.630197,0.2,0.456699999999977)); #248626=CARTESIAN_POINT('',(-2.98353920803307,0.2,-0.534183172045483)); #248627=CARTESIAN_POINT('',(-3.630197,0.18,0.456699999999977)); #248628=CARTESIAN_POINT('',(-3.630197,0.2,0.456699999999977)); #248629=CARTESIAN_POINT('',(-3.623064,0.18,0.445769999999985)); #248630=CARTESIAN_POINT('Origin',(-2.63305799999999,0.2,0.456029999999998)); #248631=CARTESIAN_POINT('',(-2.63305799999999,0.2,0.456029999999998)); #248632=CARTESIAN_POINT('',(-1.31637638607253,0.2,0.455145292169593)); #248633=CARTESIAN_POINT('',(-2.63305799999999,0.18,0.456029999999998)); #248634=CARTESIAN_POINT('',(-2.63305799999999,0.2,0.456029999999998)); #248635=CARTESIAN_POINT('',(-3.630197,0.18,0.456699999999977)); #248636=CARTESIAN_POINT('Origin',(-2.7055556162739,0.2,0.139925539632255)); #248637=CARTESIAN_POINT('',(-2.55159100000001,0.2,0.425359999999984)); #248638=CARTESIAN_POINT('Origin',(-2.7055556162739,0.2,0.139925539632255)); #248639=CARTESIAN_POINT('',(-2.55159100000001,0.18,0.425359999999984)); #248640=CARTESIAN_POINT('',(-2.55159100000001,0.2,0.425359999999984)); #248641=CARTESIAN_POINT('Origin',(-2.7055556162739,0.18,0.139925539632255)); #248642=CARTESIAN_POINT('Origin',(-29.50775864328,0.2,-62.1278252228878)); #248643=CARTESIAN_POINT('',(-2.03623400000022,0.2,0.200750000000056)); #248644=CARTESIAN_POINT('Origin',(-29.50775864328,0.2,-62.1278252228878)); #248645=CARTESIAN_POINT('',(-2.03623400000022,0.18,0.200750000000056)); #248646=CARTESIAN_POINT('',(-2.03623400000022,0.2,0.200750000000056)); #248647=CARTESIAN_POINT('Origin',(-29.50775864328,0.18,-62.1278252228878)); #248648=CARTESIAN_POINT('Origin',(-1.958215,0.2,0.167000000000002)); #248649=CARTESIAN_POINT('',(-1.958215,0.2,0.167000000000002)); #248650=CARTESIAN_POINT('',(-1.10302031675786,0.2,-0.202946045955597)); #248651=CARTESIAN_POINT('',(-1.958215,0.18,0.167000000000002)); #248652=CARTESIAN_POINT('',(-1.958215,0.2,0.167000000000002)); #248653=CARTESIAN_POINT('',(-2.036234,0.18,0.200749999999985)); #248654=CARTESIAN_POINT('Origin',(-1.84235500000001,0.2,0.117069999999977)); #248655=CARTESIAN_POINT('',(-1.84235500000001,0.2,0.117069999999977)); #248656=CARTESIAN_POINT('',(-1.04418670572087,0.2,-0.226901542666852)); #248657=CARTESIAN_POINT('',(-1.84235500000001,0.18,0.117069999999977)); #248658=CARTESIAN_POINT('',(-1.84235500000001,0.2,0.117069999999977)); #248659=CARTESIAN_POINT('',(-1.958215,0.18,0.167000000000002)); #248660=CARTESIAN_POINT('Origin',(-5.61349498691558,0.2,-8.51747211708357)); #248661=CARTESIAN_POINT('',(-1.75023799999997,0.2,0.0762499999999733)); #248662=CARTESIAN_POINT('Origin',(-5.61349498691558,0.2,-8.51747211708357)); #248663=CARTESIAN_POINT('',(-1.75023799999997,0.18,0.0762499999999733)); #248664=CARTESIAN_POINT('',(-1.75023799999997,0.2,0.0762499999999733)); #248665=CARTESIAN_POINT('Origin',(-5.61349498691558,0.18,-8.51747211708357)); #248666=CARTESIAN_POINT('Origin',(-1.71082500000001,0.2,0.0591399999999886)); #248667=CARTESIAN_POINT('',(-1.71082500000001,0.2,0.0591399999999886)); #248668=CARTESIAN_POINT('',(-0.980258666575438,0.2,-0.258013983835256)); #248669=CARTESIAN_POINT('',(-1.71082500000001,0.18,0.0591399999999886)); #248670=CARTESIAN_POINT('',(-1.71082500000001,0.2,0.0591399999999886)); #248671=CARTESIAN_POINT('',(-1.750238,0.18,0.076249999999991)); #248672=CARTESIAN_POINT('Origin',(1.69574742805144,0.2,8.06564288974149)); #248673=CARTESIAN_POINT('',(-1.613924,0.2,0.0185999999999886)); #248674=CARTESIAN_POINT('Origin',(1.69574742805144,0.2,8.06564288974149)); #248675=CARTESIAN_POINT('',(-1.613924,0.18,0.0185999999999886)); #248676=CARTESIAN_POINT('',(-1.613924,0.2,0.0185999999999886)); #248677=CARTESIAN_POINT('Origin',(1.69574742805144,0.18,8.06564288974149)); #248678=CARTESIAN_POINT('Origin',(9.18570490020207,0.2,23.8453988835428)); #248679=CARTESIAN_POINT('',(-0.857141000000006,0.2,-0.310129999999997)); #248680=CARTESIAN_POINT('Origin',(9.18570490020207,0.2,23.8453988835428)); #248681=CARTESIAN_POINT('',(-0.857141000000006,0.18,-0.310129999999997)); #248682=CARTESIAN_POINT('',(-0.857141000000006,0.2,-0.310129999999997)); #248683=CARTESIAN_POINT('Origin',(9.18570490020207,0.18,23.8453988835428)); #248684=CARTESIAN_POINT('Origin',(-0.848694999999999,0.2,-0.315610000000017)); #248685=CARTESIAN_POINT('',(-0.848694999999999,0.2,-0.315610000000017)); #248686=CARTESIAN_POINT('',(-0.622119136287064,0.2,-0.46261872994916)); #248687=CARTESIAN_POINT('',(-0.848694999999999,0.18,-0.315610000000017)); #248688=CARTESIAN_POINT('',(-0.848694999999999,0.2,-0.315610000000017)); #248689=CARTESIAN_POINT('',(-0.857141000000006,0.18,-0.310129999999997)); #248690=CARTESIAN_POINT('Origin',(-0.843874000000007,0.2,-0.324190000000009)); #248691=CARTESIAN_POINT('',(-0.843874000000007,0.2,-0.324190000000009)); #248692=CARTESIAN_POINT('',(-0.811850458587415,0.2,-0.381182737050451)); #248693=CARTESIAN_POINT('',(-0.843874000000007,0.18,-0.324190000000009)); #248694=CARTESIAN_POINT('',(-0.843874000000007,0.2,-0.324190000000009)); #248695=CARTESIAN_POINT('',(-0.848694999999999,0.18,-0.315610000000017)); #248696=CARTESIAN_POINT('Origin',(-1.55854559131741,0.2,-0.388954035160261)); #248697=CARTESIAN_POINT('',(-0.847771999999996,0.2,-0.487700000000011)); #248698=CARTESIAN_POINT('Origin',(-1.55854559131741,0.2,-0.388954035160261)); #248699=CARTESIAN_POINT('',(-0.847771999999996,0.18,-0.487700000000011)); #248700=CARTESIAN_POINT('',(-0.847771999999996,0.2,-0.487700000000011)); #248701=CARTESIAN_POINT('Origin',(-1.55854559131741,0.18,-0.388954035160261)); #248702=CARTESIAN_POINT('Origin',(-1.09911179508472,0.2,14.3766627988974)); #248703=CARTESIAN_POINT('',(-1.41398,0.2,-0.486490000000011)); #248704=CARTESIAN_POINT('Origin',(-1.09911179508472,0.2,14.3766627988974)); #248705=CARTESIAN_POINT('',(-1.41398,0.18,-0.486490000000011)); #248706=CARTESIAN_POINT('',(-1.41398,0.2,-0.486490000000011)); #248707=CARTESIAN_POINT('Origin',(-1.09911179508472,0.18,14.3766627988974)); #248708=CARTESIAN_POINT('Origin',(6.26275781709267,0.2,22.375501708987)); #248709=CARTESIAN_POINT('',(-2.18634900000004,0.2,-0.212450000000004)); #248710=CARTESIAN_POINT('Origin',(6.26275781709267,0.2,22.375501708987)); #248711=CARTESIAN_POINT('',(-2.18634900000004,0.18,-0.212450000000004)); #248712=CARTESIAN_POINT('',(-2.18634900000004,0.2,-0.212450000000004)); #248713=CARTESIAN_POINT('Origin',(6.26275781709267,0.18,22.375501708987)); #248714=CARTESIAN_POINT('Origin',(-2.187051,0.2,-0.218680000000013)); #248715=CARTESIAN_POINT('',(-2.18705100000038,0.2,-0.218680000000226)); #248716=CARTESIAN_POINT('',(-2.16117465755098,0.2,0.0109633240112035)); #248717=CARTESIAN_POINT('',(-2.18705100000038,0.18,-0.218680000000226)); #248718=CARTESIAN_POINT('',(-2.18705100000038,0.2,-0.218680000000226)); #248719=CARTESIAN_POINT('',(-2.186349,0.18,-0.212450000000022)); #248720=CARTESIAN_POINT('Origin',(1487.02615425341,0.2,960.941711705419)); #248721=CARTESIAN_POINT('',(-1.14231300004871,0.2,-1.83545999992557)); #248722=CARTESIAN_POINT('Origin',(1487.02615425341,0.2,960.941711705419)); #248723=CARTESIAN_POINT('',(-1.14231300004871,0.18,-1.83545999992557)); #248724=CARTESIAN_POINT('',(-1.14231300004871,0.2,-1.83545999992557)); #248725=CARTESIAN_POINT('Origin',(1487.02615425341,0.18,960.941711705419)); #248726=CARTESIAN_POINT('Origin',(-1.14064200000001,0.2,-1.84090000000001)); #248727=CARTESIAN_POINT('',(-1.14064200000001,0.2,-1.84090000000001)); #248728=CARTESIAN_POINT('',(-1.34982717226799,0.2,-1.15989022314198)); #248729=CARTESIAN_POINT('',(-1.14064200000001,0.18,-1.84090000000001)); #248730=CARTESIAN_POINT('',(-1.14064200000001,0.2,-1.84090000000001)); #248731=CARTESIAN_POINT('',(-1.14231300000001,0.18,-1.83546000000003)); #248732=CARTESIAN_POINT('Origin',(-1.133417,0.2,-1.83428000000003)); #248733=CARTESIAN_POINT('',(-1.13341700000002,0.2,-1.83428000000001)); #248734=CARTESIAN_POINT('',(-0.368523832589843,0.2,-1.133436710278)); #248735=CARTESIAN_POINT('',(-1.13341700000002,0.18,-1.83428000000001)); #248736=CARTESIAN_POINT('',(-1.13341700000002,0.2,-1.83428000000001)); #248737=CARTESIAN_POINT('',(-1.14064200000001,0.18,-1.84090000000001)); #248738=CARTESIAN_POINT('Origin',(-75.1494897675998,0.2,47.7056672322948)); #248739=CARTESIAN_POINT('',(-0.135232999999984,0.2,-0.309400000000029)); #248740=CARTESIAN_POINT('Origin',(-75.1494897675998,0.2,47.7056672322948)); #248741=CARTESIAN_POINT('',(-0.135232999999984,0.18,-0.309400000000029)); #248742=CARTESIAN_POINT('',(-0.135232999999984,0.2,-0.309400000000029)); #248743=CARTESIAN_POINT('Origin',(-75.1494897675998,0.18,47.7056672322948)); #248744=CARTESIAN_POINT('Origin',(0.863829000000003,0.2,-0.309400000000011)); #248745=CARTESIAN_POINT('',(0.863829000000003,0.2,-0.309400000000011)); #248746=CARTESIAN_POINT('',(0.431914500003279,0.2,-0.309400000000018)); #248747=CARTESIAN_POINT('',(0.863829000000003,0.18,-0.309400000000011)); #248748=CARTESIAN_POINT('',(0.863829000000003,0.2,-0.309400000000011)); #248749=CARTESIAN_POINT('',(-0.135232999999984,0.18,-0.309400000000029)); #248750=CARTESIAN_POINT('Origin',(0.866430999999999,0.2,-0.312020000000004)); #248751=CARTESIAN_POINT('',(0.866430999999999,0.2,-0.312020000000004)); #248752=CARTESIAN_POINT('',(0.573313360287638,0.2,-0.0168746441023992)); #248753=CARTESIAN_POINT('',(0.866430999999999,0.18,-0.312020000000004)); #248754=CARTESIAN_POINT('',(0.866430999999999,0.2,-0.312020000000004)); #248755=CARTESIAN_POINT('',(0.863829000000003,0.18,-0.309400000000011)); #248756=CARTESIAN_POINT('Origin',(0.551469661044681,0.2,-0.180205197658285)); #248757=CARTESIAN_POINT('',(0.815283000000004,0.2,-0.396950000000018)); #248758=CARTESIAN_POINT('Origin',(0.551469661044681,0.2,-0.180205197658285)); #248759=CARTESIAN_POINT('',(0.815283000000004,0.18,-0.396950000000018)); #248760=CARTESIAN_POINT('',(0.815283000000004,0.2,-0.396950000000018)); #248761=CARTESIAN_POINT('Origin',(0.551469661044681,0.18,-0.180205197658285)); #248762=CARTESIAN_POINT('Origin',(0.106611999999995,0.2,-1.48271000000003)); #248763=CARTESIAN_POINT('',(0.106611999999995,0.2,-1.48271000000003)); #248764=CARTESIAN_POINT('',(0.430010662561289,0.2,-0.987228553937542)); #248765=CARTESIAN_POINT('',(0.106611999999995,0.18,-1.48271000000003)); #248766=CARTESIAN_POINT('',(0.106611999999995,0.2,-1.48271000000003)); #248767=CARTESIAN_POINT('',(0.815283000000004,0.18,-0.396950000000018)); #248768=CARTESIAN_POINT('Origin',(0.0160660000000057,0.2,-1.62426000000002)); #248769=CARTESIAN_POINT('',(0.0160660000000057,0.2,-1.62426000000004)); #248770=CARTESIAN_POINT('',(0.382385978060726,0.2,-1.05159425115968)); #248771=CARTESIAN_POINT('',(0.0160660000000057,0.18,-1.62426000000004)); #248772=CARTESIAN_POINT('',(0.0160660000000057,0.2,-1.62426000000004)); #248773=CARTESIAN_POINT('',(0.106611999999995,0.18,-1.48271000000003)); #248774=CARTESIAN_POINT('Origin',(-108.271395308057,0.2,69.4204766224763)); #248775=CARTESIAN_POINT('',(-0.282098000001456,0.2,-2.07666000000222)); #248776=CARTESIAN_POINT('Origin',(-108.271395308057,0.2,69.4204766224763)); #248777=CARTESIAN_POINT('',(-0.282098000001456,0.18,-2.07666000000222)); #248778=CARTESIAN_POINT('',(-0.282098000001456,0.2,-2.07666000000222)); #248779=CARTESIAN_POINT('Origin',(-108.271395308057,0.18,69.4204766224763)); #248780=CARTESIAN_POINT('Origin',(-0.340005000000012,0.2,-2.16660000000001)); #248781=CARTESIAN_POINT('',(-0.340005000000012,0.2,-2.16660000000001)); #248782=CARTESIAN_POINT('',(0.202891391359829,0.2,-1.32338412905365)); #248783=CARTESIAN_POINT('',(-0.340005000000012,0.18,-2.16660000000001)); #248784=CARTESIAN_POINT('',(-0.340005000000012,0.2,-2.16660000000001)); #248785=CARTESIAN_POINT('',(-0.282098,0.18,-2.07666000000001)); #248786=CARTESIAN_POINT('Origin',(-0.395806999999992,0.2,-2.25517)); #248787=CARTESIAN_POINT('',(-0.395806999999992,0.2,-2.25517)); #248788=CARTESIAN_POINT('',(0.168978047450379,0.2,-1.3587323875006)); #248789=CARTESIAN_POINT('',(-0.395806999999992,0.18,-2.25517)); #248790=CARTESIAN_POINT('',(-0.395806999999992,0.2,-2.25517)); #248791=CARTESIAN_POINT('',(-0.340005000000012,0.18,-2.16660000000001)); #248792=CARTESIAN_POINT('Origin',(-155.669266747319,0.2,98.7055206800914)); #248793=CARTESIAN_POINT('',(-1.09768100000001,0.2,-3.32650000000001)); #248794=CARTESIAN_POINT('Origin',(-155.669266747319,0.2,98.7055206800914)); #248795=CARTESIAN_POINT('',(-1.09768100000001,0.18,-3.32650000000001)); #248796=CARTESIAN_POINT('',(-1.09768100000001,0.2,-3.32650000000001)); #248797=CARTESIAN_POINT('Origin',(-155.669266747319,0.18,98.7055206800914)); #248798=CARTESIAN_POINT('Origin',(-1.117464,0.2,-3.35997000000001)); #248799=CARTESIAN_POINT('',(-1.117464,0.2,-3.35997000000001)); #248800=CARTESIAN_POINT('',(-0.236911918507347,0.2,-1.87020211001531)); #248801=CARTESIAN_POINT('',(-1.117464,0.18,-3.35997000000001)); #248802=CARTESIAN_POINT('',(-1.117464,0.2,-3.35997000000001)); #248803=CARTESIAN_POINT('',(-1.09768100000001,0.18,-3.32650000000001)); #248804=CARTESIAN_POINT('Origin',(-1.125269,0.2,-3.36340000000002)); #248805=CARTESIAN_POINT('',(-1.125269,0.2,-3.36340000000002)); #248806=CARTESIAN_POINT('',(-0.0342888902694347,0.2,-2.8839558262155)); #248807=CARTESIAN_POINT('',(-1.125269,0.18,-3.36340000000002)); #248808=CARTESIAN_POINT('',(-1.125269,0.2,-3.36340000000002)); #248809=CARTESIAN_POINT('',(-1.117464,0.18,-3.35997000000001)); #248810=CARTESIAN_POINT('Origin',(-0.66986424021219,0.2,-2.9626790153231)); #248811=CARTESIAN_POINT('',(-1.198305,0.2,-3.26054000000003)); #248812=CARTESIAN_POINT('Origin',(-0.66986424021219,0.2,-2.9626790153231)); #248813=CARTESIAN_POINT('',(-1.198305,0.18,-3.26054000000003)); #248814=CARTESIAN_POINT('',(-1.198305,0.2,-3.26054000000003)); #248815=CARTESIAN_POINT('Origin',(-0.66986424021219,0.18,-2.9626790153231)); #248816=CARTESIAN_POINT('Origin',(78.1473036512536,0.2,49.6761350951307)); #248817=CARTESIAN_POINT('',(-2.12841200000002,0.2,-1.83917000000001)); #248818=CARTESIAN_POINT('Origin',(78.1473036512536,0.2,49.6761350951307)); #248819=CARTESIAN_POINT('',(-2.12841200000002,0.18,-1.83917000000001)); #248820=CARTESIAN_POINT('',(-2.12841200000002,0.2,-1.83917000000001)); #248821=CARTESIAN_POINT('Origin',(78.1473036512536,0.18,49.6761350951307)); #248822=CARTESIAN_POINT('Origin',(-2.149019,0.2,-1.80809)); #248823=CARTESIAN_POINT('',(-2.149019,0.2,-1.80809)); #248824=CARTESIAN_POINT('',(-2.23726837736339,0.2,-1.67499005102838)); #248825=CARTESIAN_POINT('',(-2.149019,0.18,-1.80809)); #248826=CARTESIAN_POINT('',(-2.149019,0.2,-1.80809)); #248827=CARTESIAN_POINT('',(-2.12841200000002,0.18,-1.83917000000001)); #248828=CARTESIAN_POINT('Origin',(-2.18917999999999,0.2,-1.74910000000001)); #248829=CARTESIAN_POINT('',(-2.18918000000001,0.2,-1.74910000000002)); #248830=CARTESIAN_POINT('',(-2.2493488425964,0.2,-1.66072172195011)); #248831=CARTESIAN_POINT('',(-2.18918000000001,0.18,-1.74910000000002)); #248832=CARTESIAN_POINT('',(-2.18918000000001,0.2,-1.74910000000002)); #248833=CARTESIAN_POINT('',(-2.149019,0.18,-1.80809)); #248834=CARTESIAN_POINT('Origin',(141.544573123035,0.2,92.5917323211317)); #248835=CARTESIAN_POINT('Origin',(141.544573123035,0.2,92.5917323211317)); #248836=CARTESIAN_POINT('Origin',(141.544573123035,0.18,92.5917323211317)); #248837=CARTESIAN_POINT('Origin',(-1.381883,0.18,-1.45335000000003)); #248838=CARTESIAN_POINT('Origin',(4.68960328502528,0.2,-4.49151136578148)); #248839=CARTESIAN_POINT('',(4.33692800000002,0.2,-0.570410000000017)); #248840=CARTESIAN_POINT('',(5.020712,0.2,-0.568530000000003)); #248841=CARTESIAN_POINT('Origin',(4.68960328502528,0.2,-4.49151136578148)); #248842=CARTESIAN_POINT('',(4.33692800000002,0.18,-0.570410000000017)); #248843=CARTESIAN_POINT('',(4.33692800000002,0.2,-0.570410000000017)); #248844=CARTESIAN_POINT('',(5.020712,0.18,-0.568530000000003)); #248845=CARTESIAN_POINT('Origin',(4.68960328502528,0.18,-4.49151136578148)); #248846=CARTESIAN_POINT('',(5.020712,0.2,-0.568530000000003)); #248847=CARTESIAN_POINT('Origin',(4.3537200201456,0.2,-0.768463143764464)); #248848=CARTESIAN_POINT('',(4.154974,0.2,-0.765810000000009)); #248849=CARTESIAN_POINT('Origin',(4.3537200201456,0.2,-0.768463143764464)); #248850=CARTESIAN_POINT('',(4.154974,0.18,-0.765810000000009)); #248851=CARTESIAN_POINT('',(4.154974,0.2,-0.765810000000009)); #248852=CARTESIAN_POINT('Origin',(4.3537200201456,0.18,-0.768463143764464)); #248853=CARTESIAN_POINT('Origin',(4.90340953099824,0.2,-0.859098763394233)); #248854=CARTESIAN_POINT('',(4.149199,0.2,-0.864100000000008)); #248855=CARTESIAN_POINT('Origin',(4.90340953099824,0.2,-0.859098763394233)); #248856=CARTESIAN_POINT('',(4.149199,0.18,-0.864100000000008)); #248857=CARTESIAN_POINT('',(4.149199,0.2,-0.864100000000008)); #248858=CARTESIAN_POINT('Origin',(4.90340953099824,0.18,-0.859098763394233)); #248859=CARTESIAN_POINT('Origin',(4.149199,0.2,-1.26391000000002)); #248860=CARTESIAN_POINT('',(4.149199,0.2,-1.26391000000002)); #248861=CARTESIAN_POINT('',(4.149199,0.2,-0.631955000003285)); #248862=CARTESIAN_POINT('',(4.149199,0.18,-1.26391000000002)); #248863=CARTESIAN_POINT('',(4.149199,0.2,-1.26391000000002)); #248864=CARTESIAN_POINT('',(4.149199,0.18,-0.864100000000008)); #248865=CARTESIAN_POINT('Origin',(4.44028692187555,0.2,-1.34989684152043)); #248866=CARTESIAN_POINT('',(4.248808,0.2,-1.5854)); #248867=CARTESIAN_POINT('Origin',(4.44028692187555,0.2,-1.34989684152043)); #248868=CARTESIAN_POINT('',(4.248808,0.18,-1.5854)); #248869=CARTESIAN_POINT('',(4.248808,0.2,-1.5854)); #248870=CARTESIAN_POINT('Origin',(4.44028692187555,0.18,-1.34989684152043)); #248871=CARTESIAN_POINT('Origin',(4.56317464813403,0.2,-0.617740615486646)); #248872=CARTESIAN_POINT('',(4.700529,0.2,-1.62587)); #248873=CARTESIAN_POINT('Origin',(4.56317464813403,0.2,-0.617740615486646)); #248874=CARTESIAN_POINT('',(4.700529,0.18,-1.62587)); #248875=CARTESIAN_POINT('',(4.700529,0.2,-1.62587)); #248876=CARTESIAN_POINT('Origin',(4.56317464813403,0.18,-0.617740615486646)); #248877=CARTESIAN_POINT('Origin',(4.8252931935096,0.2,-0.747243577984467)); #248878=CARTESIAN_POINT('',(5.147231,0.2,-1.57423000000001)); #248879=CARTESIAN_POINT('Origin',(4.8252931935096,0.2,-0.747243577984467)); #248880=CARTESIAN_POINT('',(5.147231,0.18,-1.57423000000001)); #248881=CARTESIAN_POINT('',(5.147231,0.2,-1.57423000000001)); #248882=CARTESIAN_POINT('Origin',(4.8252931935096,0.18,-0.747243577984467)); #248883=CARTESIAN_POINT('Origin',(4.96655963148894,0.2,-1.38570312013126)); #248884=CARTESIAN_POINT('',(5.22559999999999,0.2,-1.35280000000002)); #248885=CARTESIAN_POINT('Origin',(4.96655963148894,0.2,-1.38570312013126)); #248886=CARTESIAN_POINT('',(5.22559999999999,0.18,-1.35280000000002)); #248887=CARTESIAN_POINT('',(5.22559999999999,0.2,-1.35280000000002)); #248888=CARTESIAN_POINT('Origin',(4.96655963148894,0.18,-1.38570312013126)); #248889=CARTESIAN_POINT('Origin',(1.21818864504964,0.2,-1.08947553055938)); #248890=CARTESIAN_POINT('',(5.22081600000001,0.2,-0.761360000000018)); #248891=CARTESIAN_POINT('Origin',(1.21818864504964,0.2,-1.08947553055938)); #248892=CARTESIAN_POINT('',(5.22081600000001,0.18,-0.761360000000018)); #248893=CARTESIAN_POINT('',(5.22081600000001,0.2,-0.761360000000018)); #248894=CARTESIAN_POINT('Origin',(1.21818864504964,0.18,-1.08947553055938)); #248895=CARTESIAN_POINT('Origin',(5.02062400405237,0.2,-0.768862511534021)); #248896=CARTESIAN_POINT('Origin',(5.02062400405237,0.2,-0.768862511534021)); #248897=CARTESIAN_POINT('Origin',(5.02062400405237,0.18,-0.768862511534021)); #248898=CARTESIAN_POINT('Origin',(3.885031,0.2,-0.831910000000029)); #248899=CARTESIAN_POINT('',(3.885031,0.2,-0.831910000000029)); #248900=CARTESIAN_POINT('',(3.885001,0.2,-1.35280000000002)); #248901=CARTESIAN_POINT('',(3.88505494995807,0.2,-0.416066878103286)); #248902=CARTESIAN_POINT('',(3.885031,0.18,-0.831910000000029)); #248903=CARTESIAN_POINT('',(3.885031,0.2,-0.831910000000029)); #248904=CARTESIAN_POINT('',(3.885001,0.18,-1.35280000000002)); #248905=CARTESIAN_POINT('',(3.885001,0.18,-1.35280000000002)); #248906=CARTESIAN_POINT('',(3.885001,0.2,-1.35280000000002)); #248907=CARTESIAN_POINT('Origin',(4.35251776421711,0.2,-0.783103784335761)); #248908=CARTESIAN_POINT('',(3.99465,0.2,-0.478380000000023)); #248909=CARTESIAN_POINT('Origin',(4.35251776421711,0.2,-0.783103784335761)); #248910=CARTESIAN_POINT('',(3.99465,0.18,-0.478380000000023)); #248911=CARTESIAN_POINT('',(3.99465,0.2,-0.478380000000023)); #248912=CARTESIAN_POINT('Origin',(4.35251776421711,0.18,-0.783103784335761)); #248913=CARTESIAN_POINT('Origin',(4.35013052997029,0.2,-0.785872441033568)); #248914=CARTESIAN_POINT('',(4.32746700000001,0.2,-0.316400000000012)); #248915=CARTESIAN_POINT('Origin',(4.35013052997029,0.2,-0.785872441033568)); #248916=CARTESIAN_POINT('',(4.32746700000001,0.18,-0.316400000000012)); #248917=CARTESIAN_POINT('',(4.32746700000001,0.2,-0.316400000000012)); #248918=CARTESIAN_POINT('Origin',(4.35013052997029,0.18,-0.785872441033568)); #248919=CARTESIAN_POINT('Origin',(4.67290180989749,0.2,-3.66818780862786)); #248920=CARTESIAN_POINT('',(5.13814399999999,0.2,-0.330919999999999)); #248921=CARTESIAN_POINT('Origin',(4.67290180989749,0.2,-3.66818780862786)); #248922=CARTESIAN_POINT('',(5.13814399999999,0.18,-0.330919999999999)); #248923=CARTESIAN_POINT('',(5.13814399999999,0.2,-0.330919999999999)); #248924=CARTESIAN_POINT('Origin',(4.67290180989749,0.18,-3.66818780862786)); #248925=CARTESIAN_POINT('Origin',(5.04558110519765,0.2,-0.769393757715466)); #248926=CARTESIAN_POINT('',(5.49139999999997,0.2,-0.81491999999999)); #248927=CARTESIAN_POINT('Origin',(5.04558110519765,0.2,-0.769393757715466)); #248928=CARTESIAN_POINT('',(5.49139999999997,0.18,-0.81491999999999)); #248929=CARTESIAN_POINT('',(5.49139999999997,0.2,-0.81491999999999)); #248930=CARTESIAN_POINT('Origin',(5.04558110519765,0.18,-0.769393757715466)); #248931=CARTESIAN_POINT('Origin',(3.42822636099128,0.2,-1.08918001285467)); #248932=CARTESIAN_POINT('',(5.439627,0.2,-1.62413000000003)); #248933=CARTESIAN_POINT('Origin',(3.42822636099128,0.2,-1.08918001285467)); #248934=CARTESIAN_POINT('',(5.439627,0.18,-1.62413000000003)); #248935=CARTESIAN_POINT('',(5.439627,0.2,-1.62413000000003)); #248936=CARTESIAN_POINT('Origin',(3.42822636099128,0.18,-1.08918001285467)); #248937=CARTESIAN_POINT('Origin',(5.03079703136538,0.2,-1.42273202402752)); #248938=CARTESIAN_POINT('',(4.96485000000001,0.2,-1.87368000000003)); #248939=CARTESIAN_POINT('Origin',(5.03079703136538,0.2,-1.42273202402752)); #248940=CARTESIAN_POINT('',(4.96485000000001,0.18,-1.87368000000003)); #248941=CARTESIAN_POINT('',(4.96485000000001,0.2,-1.87368000000003)); #248942=CARTESIAN_POINT('Origin',(5.03079703136538,0.18,-1.42273202402752)); #248943=CARTESIAN_POINT('Origin',(4.68829279485689,0.2,1.94319528494505)); #248944=CARTESIAN_POINT('',(4.29994,0.2,-1.86393000000001)); #248945=CARTESIAN_POINT('Origin',(4.68829279485689,0.2,1.94319528494505)); #248946=CARTESIAN_POINT('',(4.29994,0.18,-1.86393000000001)); #248947=CARTESIAN_POINT('',(4.29994,0.2,-1.86393000000001)); #248948=CARTESIAN_POINT('Origin',(4.68829279485689,0.18,1.94319528494505)); #248949=CARTESIAN_POINT('Origin',(4.34090789673642,0.2,-1.40735293840228)); #248950=CARTESIAN_POINT('',(3.99188799999999,0.2,-1.70454999999999)); #248951=CARTESIAN_POINT('Origin',(4.34090789673642,0.2,-1.40735293840228)); #248952=CARTESIAN_POINT('',(3.99188799999999,0.18,-1.70454999999999)); #248953=CARTESIAN_POINT('',(3.99188799999999,0.2,-1.70454999999999)); #248954=CARTESIAN_POINT('Origin',(4.34090789673642,0.18,-1.40735293840228)); #248955=CARTESIAN_POINT('Origin',(4.34249520585242,0.2,-1.40917782335535)); #248956=CARTESIAN_POINT('',(3.88536700000001,0.2,-1.37448000000003)); #248957=CARTESIAN_POINT('Origin',(4.34249520585242,0.2,-1.40917782335535)); #248958=CARTESIAN_POINT('',(3.88536700000001,0.18,-1.37448000000003)); #248959=CARTESIAN_POINT('',(3.88536700000001,0.2,-1.37448000000003)); #248960=CARTESIAN_POINT('Origin',(4.34249520585242,0.18,-1.40917782335535)); #248961=CARTESIAN_POINT('Origin',(3.885001,0.2,-1.35280000000002)); #248962=CARTESIAN_POINT('',(3.87303187024698,0.2,-0.643808926131716)); #248963=CARTESIAN_POINT('',(3.88536700000001,0.18,-1.37448000000003)); #248964=CARTESIAN_POINT('Origin',(4.69601960554607,0.18,-1.09116642162292)); #248965=CARTESIAN_POINT('Origin',(8.34270300000001,0.2,-0.90344)); #248966=CARTESIAN_POINT('',(8.34270300000001,0.2,-0.90344)); #248967=CARTESIAN_POINT('',(8.34270300000001,0.2,-1.62311000000001)); #248968=CARTESIAN_POINT('',(8.34270300000001,0.2,-0.451720000003276)); #248969=CARTESIAN_POINT('',(8.34270300000001,0.18,-0.90344)); #248970=CARTESIAN_POINT('',(8.34270300000001,0.2,-0.90344)); #248971=CARTESIAN_POINT('',(8.34270300000001,0.18,-1.62311000000001)); #248972=CARTESIAN_POINT('',(8.34270300000001,0.18,-1.62311000000003)); #248973=CARTESIAN_POINT('',(8.34270300000001,0.2,-1.62311000000001)); #248974=CARTESIAN_POINT('Origin',(8.83698002469983,0.2,-0.80810114383798)); #248975=CARTESIAN_POINT('',(8.573248,0.2,-0.379330000000007)); #248976=CARTESIAN_POINT('Origin',(8.83698002469983,0.2,-0.80810114383798)); #248977=CARTESIAN_POINT('',(8.573248,0.18,-0.379330000000007)); #248978=CARTESIAN_POINT('',(8.573248,0.2,-0.379330000000007)); #248979=CARTESIAN_POINT('Origin',(8.83698002469983,0.18,-0.80810114383798)); #248980=CARTESIAN_POINT('Origin',(9.01216186516337,0.2,-1.6182556535173)); #248981=CARTESIAN_POINT('',(9.29225,0.2,-0.334070000000004)); #248982=CARTESIAN_POINT('Origin',(9.01216186516337,0.2,-1.6182556535173)); #248983=CARTESIAN_POINT('',(9.29225,0.18,-0.334070000000004)); #248984=CARTESIAN_POINT('',(9.29225,0.2,-0.334070000000004)); #248985=CARTESIAN_POINT('Origin',(9.01216186516337,0.18,-1.6182556535173)); #248986=CARTESIAN_POINT('Origin',(9.29643899999999,0.2,-0.343650000000011)); #248987=CARTESIAN_POINT('',(9.29643899999999,0.2,-0.343650000000011)); #248988=CARTESIAN_POINT('',(8.48727536891205,0.2,1.50686028547007)); #248989=CARTESIAN_POINT('',(9.29643899999999,0.18,-0.343650000000011)); #248990=CARTESIAN_POINT('',(9.29643899999999,0.2,-0.343650000000011)); #248991=CARTESIAN_POINT('',(9.29225,0.18,-0.334070000000004)); #248992=CARTESIAN_POINT('Origin',(9.07374560657922,0.2,-0.42067280984897)); #248993=CARTESIAN_POINT('',(9.271231,0.2,-0.549220000000012)); #248994=CARTESIAN_POINT('Origin',(9.07374560657922,0.2,-0.42067280984897)); #248995=CARTESIAN_POINT('',(9.271231,0.18,-0.549220000000012)); #248996=CARTESIAN_POINT('',(9.271231,0.2,-0.549220000000012)); #248997=CARTESIAN_POINT('Origin',(9.07374560657922,0.18,-0.42067280984897)); #248998=CARTESIAN_POINT('Origin',(8.84341206689046,0.2,3.39386113541577)); #248999=CARTESIAN_POINT('',(8.79620200000001,0.2,-0.57208000000001)); #249000=CARTESIAN_POINT('Origin',(8.84341206689046,0.2,3.39386113541577)); #249001=CARTESIAN_POINT('',(8.79620200000001,0.18,-0.57208000000001)); #249002=CARTESIAN_POINT('',(8.79620200000001,0.2,-0.57208000000001)); #249003=CARTESIAN_POINT('Origin',(8.84341206689046,0.18,3.39386113541577)); #249004=CARTESIAN_POINT('Origin',(8.76449399999999,0.2,-0.580580000000008)); #249005=CARTESIAN_POINT('',(8.76449399999999,0.2,-0.580580000000008)); #249006=CARTESIAN_POINT('',(4.74865235281124,0.2,-1.65711128551416)); #249007=CARTESIAN_POINT('',(8.76449399999999,0.18,-0.580580000000008)); #249008=CARTESIAN_POINT('',(8.76449399999999,0.2,-0.580580000000008)); #249009=CARTESIAN_POINT('',(8.79620200000001,0.18,-0.57208000000001)); #249010=CARTESIAN_POINT('Origin',(8.88805835727859,0.2,-0.844342652474914)); #249011=CARTESIAN_POINT('',(8.60687099999999,0.2,-0.920319999999997)); #249012=CARTESIAN_POINT('Origin',(8.88805835727859,0.2,-0.844342652474914)); #249013=CARTESIAN_POINT('',(8.60687099999999,0.18,-0.920319999999997)); #249014=CARTESIAN_POINT('',(8.60687099999999,0.2,-0.920319999999997)); #249015=CARTESIAN_POINT('Origin',(8.88805835727859,0.18,-0.844342652474914)); #249016=CARTESIAN_POINT('Origin',(8.606802,0.2,-1.62259000000001)); #249017=CARTESIAN_POINT('',(8.606802,0.2,-1.62259000000001)); #249018=CARTESIAN_POINT('',(8.60688167046832,0.2,-0.811717829066482)); #249019=CARTESIAN_POINT('',(8.606802,0.18,-1.62259000000001)); #249020=CARTESIAN_POINT('',(8.606802,0.2,-1.62259000000001)); #249021=CARTESIAN_POINT('',(8.60687099999999,0.18,-0.920319999999997)); #249022=CARTESIAN_POINT('Origin',(8.606963,0.2,-1.62360000000001)); #249023=CARTESIAN_POINT('',(8.606963,0.2,-1.62360000000001)); #249024=CARTESIAN_POINT('',(8.37412126742002,0.2,-0.162915839069691)); #249025=CARTESIAN_POINT('',(8.606963,0.18,-1.62360000000001)); #249026=CARTESIAN_POINT('',(8.606963,0.2,-1.62360000000001)); #249027=CARTESIAN_POINT('',(8.606802,0.18,-1.62259000000001)); #249028=CARTESIAN_POINT('Origin',(9.227499,0.2,-1.62360000000001)); #249029=CARTESIAN_POINT('',(9.227499,0.2,-1.62360000000001)); #249030=CARTESIAN_POINT('',(4.61374950000328,0.2,-1.62360000000001)); #249031=CARTESIAN_POINT('',(9.227499,0.18,-1.62360000000001)); #249032=CARTESIAN_POINT('',(9.227499,0.2,-1.62360000000001)); #249033=CARTESIAN_POINT('',(8.606963,0.18,-1.62360000000001)); #249034=CARTESIAN_POINT('Origin',(9.23313061202889,0.2,-1.72758982484689)); #249035=CARTESIAN_POINT('',(9.268423,0.2,-1.62961000000001)); #249036=CARTESIAN_POINT('Origin',(9.23313061202889,0.2,-1.72758982484689)); #249037=CARTESIAN_POINT('',(9.268423,0.18,-1.62961000000001)); #249038=CARTESIAN_POINT('',(9.268423,0.2,-1.62961000000001)); #249039=CARTESIAN_POINT('Origin',(9.23313061202889,0.18,-1.72758982484689)); #249040=CARTESIAN_POINT('Origin',(9.27809799999999,0.2,-1.63459)); #249041=CARTESIAN_POINT('',(9.27809799999999,0.2,-1.63459)); #249042=CARTESIAN_POINT('',(5.27813617294696,0.2,0.424305079965964)); #249043=CARTESIAN_POINT('',(9.27809799999999,0.18,-1.63459)); #249044=CARTESIAN_POINT('',(9.27809799999999,0.2,-1.63459)); #249045=CARTESIAN_POINT('',(9.268423,0.18,-1.62961000000001)); #249046=CARTESIAN_POINT('Origin',(9.285796,0.2,-1.64113000000002)); #249047=CARTESIAN_POINT('',(9.285796,0.2,-1.64113000000002)); #249048=CARTESIAN_POINT('',(6.18432363473386,0.2,0.99379196270565)); #249049=CARTESIAN_POINT('',(9.285796,0.18,-1.64113000000002)); #249050=CARTESIAN_POINT('',(9.285796,0.2,-1.64113000000002)); #249051=CARTESIAN_POINT('',(9.27809799999999,0.18,-1.63459)); #249052=CARTESIAN_POINT('Origin',(9.29173100000001,0.2,-1.64924000000001)); #249053=CARTESIAN_POINT('',(9.29173100000001,0.2,-1.64924000000001)); #249054=CARTESIAN_POINT('',(7.2784070254979,0.2,1.10190699800598)); #249055=CARTESIAN_POINT('',(9.29173100000001,0.18,-1.64924000000001)); #249056=CARTESIAN_POINT('',(9.29173100000001,0.2,-1.64924000000001)); #249057=CARTESIAN_POINT('',(9.285796,0.18,-1.64113000000002)); #249058=CARTESIAN_POINT('Origin',(9.296111,0.2,-1.65896000000002)); #249059=CARTESIAN_POINT('',(9.296111,0.2,-1.65896000000002)); #249060=CARTESIAN_POINT('',(8.20090565874962,0.2,0.771495688809622)); #249061=CARTESIAN_POINT('',(9.296111,0.18,-1.65896000000002)); #249062=CARTESIAN_POINT('',(9.296111,0.2,-1.65896000000002)); #249063=CARTESIAN_POINT('',(9.29173100000001,0.18,-1.64924000000001)); #249064=CARTESIAN_POINT('Origin',(9.00655640173608,0.2,-1.7403420454966)); #249065=CARTESIAN_POINT('',(9.28092799999999,0.2,-1.86357000000001)); #249066=CARTESIAN_POINT('Origin',(9.00655640173608,0.2,-1.7403420454966)); #249067=CARTESIAN_POINT('',(9.28092799999999,0.18,-1.86357000000001)); #249068=CARTESIAN_POINT('',(9.28092799999999,0.2,-1.86357000000001)); #249069=CARTESIAN_POINT('Origin',(9.00655640173608,0.18,-1.7403420454966)); #249070=CARTESIAN_POINT('Origin',(9.27097900000001,0.2,-1.86874)); #249071=CARTESIAN_POINT('',(9.27097900000001,0.2,-1.86874)); #249072=CARTESIAN_POINT('',(6.00340013339959,0.2,-3.56673806415747)); #249073=CARTESIAN_POINT('',(9.27097900000001,0.18,-1.86874)); #249074=CARTESIAN_POINT('',(9.27097900000001,0.2,-1.86874)); #249075=CARTESIAN_POINT('',(9.28092799999999,0.18,-1.86357000000001)); #249076=CARTESIAN_POINT('Origin',(9.25932199999999,0.2,-1.87218000000001)); #249077=CARTESIAN_POINT('',(9.25932199999999,0.2,-1.87218000000001)); #249078=CARTESIAN_POINT('',(5.25464955716283,0.2,-3.05396546825253)); #249079=CARTESIAN_POINT('',(9.25932199999999,0.18,-1.87218000000001)); #249080=CARTESIAN_POINT('',(9.25932199999999,0.2,-1.87218000000001)); #249081=CARTESIAN_POINT('',(9.27097900000001,0.18,-1.86874)); #249082=CARTESIAN_POINT('Origin',(8.90245340154794,0.2,6.6256759199735)); #249083=CARTESIAN_POINT('',(8.606802,0.2,-1.87453000000001)); #249084=CARTESIAN_POINT('Origin',(8.90245340154794,0.2,6.6256759199735)); #249085=CARTESIAN_POINT('',(8.606802,0.18,-1.87453000000001)); #249086=CARTESIAN_POINT('',(8.606802,0.2,-1.87453000000001)); #249087=CARTESIAN_POINT('Origin',(8.90245340154794,0.18,6.6256759199735)); #249088=CARTESIAN_POINT('Origin',(6.74426910146328,0.2,-2.05274921225637)); #249089=CARTESIAN_POINT('',(8.60600899999999,0.2,-2.23907000000001)); #249090=CARTESIAN_POINT('Origin',(6.74426910146328,0.2,-2.05274921225637)); #249091=CARTESIAN_POINT('',(8.60600899999999,0.18,-2.23907000000001)); #249092=CARTESIAN_POINT('',(8.60600899999999,0.2,-2.23907000000001)); #249093=CARTESIAN_POINT('Origin',(6.74426910146328,0.18,-2.05274921225637)); #249094=CARTESIAN_POINT('Origin',(8.603049,0.2,-2.24733000000002)); #249095=CARTESIAN_POINT('',(8.603049,0.2,-2.24733000000002)); #249096=CARTESIAN_POINT('',(8.47036704883075,0.2,-2.61758436373821)); #249097=CARTESIAN_POINT('',(8.603049,0.18,-2.24733000000002)); #249098=CARTESIAN_POINT('',(8.603049,0.2,-2.24733000000002)); #249099=CARTESIAN_POINT('',(8.60600899999999,0.18,-2.23907000000001)); #249100=CARTESIAN_POINT('Origin',(8.59814300000001,0.2,-2.25415000000002)); #249101=CARTESIAN_POINT('',(8.59814300000001,0.2,-2.25415000000002)); #249102=CARTESIAN_POINT('',(7.66640903848806,0.2,-3.54938555187881)); #249103=CARTESIAN_POINT('',(8.59814300000001,0.18,-2.25415000000002)); #249104=CARTESIAN_POINT('',(8.59814300000001,0.2,-2.25415000000002)); #249105=CARTESIAN_POINT('',(8.603049,0.18,-2.24733000000002)); #249106=CARTESIAN_POINT('Origin',(8.591551,0.2,-2.25967000000001)); #249107=CARTESIAN_POINT('',(8.591551,0.2,-2.25967000000001)); #249108=CARTESIAN_POINT('',(6.62254681473707,0.2,-3.90847204833313)); #249109=CARTESIAN_POINT('',(8.591551,0.18,-2.25967000000001)); #249110=CARTESIAN_POINT('',(8.591551,0.2,-2.25967000000001)); #249111=CARTESIAN_POINT('',(8.59814300000001,0.18,-2.25415000000002)); #249112=CARTESIAN_POINT('Origin',(8.583525,0.2,-2.26400000000002)); #249113=CARTESIAN_POINT('',(8.583525,0.2,-2.26400000000002)); #249114=CARTESIAN_POINT('',(5.73233145002654,0.2,-3.8022093286086)); #249115=CARTESIAN_POINT('',(8.583525,0.18,-2.26400000000002)); #249116=CARTESIAN_POINT('',(8.583525,0.2,-2.26400000000002)); #249117=CARTESIAN_POINT('',(8.591551,0.18,-2.25967000000001)); #249118=CARTESIAN_POINT('Origin',(8.52285082893048,0.2,-2.17165019626242)); #249119=CARTESIAN_POINT('',(8.49332299999999,0.2,-2.27813000000001)); #249120=CARTESIAN_POINT('Origin',(8.52285082893048,0.2,-2.17165019626242)); #249121=CARTESIAN_POINT('',(8.49332299999999,0.18,-2.27813000000001)); #249122=CARTESIAN_POINT('',(8.49332299999999,0.2,-2.27813000000001)); #249123=CARTESIAN_POINT('Origin',(8.52285082893048,0.18,-2.17165019626242)); #249124=CARTESIAN_POINT('Origin',(8.5231997238951,0.2,-2.17100493650182)); #249125=CARTESIAN_POINT('',(8.42316999999999,0.2,-2.21961000000002)); #249126=CARTESIAN_POINT('Origin',(8.5231997238951,0.2,-2.17100493650182)); #249127=CARTESIAN_POINT('',(8.42316999999999,0.18,-2.21961000000002)); #249128=CARTESIAN_POINT('',(8.42316999999999,0.2,-2.21961000000002)); #249129=CARTESIAN_POINT('Origin',(8.5231997238951,0.18,-2.17100493650182)); #249130=CARTESIAN_POINT('Origin',(12.2600887592734,0.2,-1.99594793682332)); #249131=CARTESIAN_POINT('',(8.416998,0.2,-2.04716999999999)); #249132=CARTESIAN_POINT('Origin',(12.2600887592734,0.2,-1.99594793682332)); #249133=CARTESIAN_POINT('',(8.416998,0.18,-2.04716999999999)); #249134=CARTESIAN_POINT('',(8.416998,0.2,-2.04716999999999)); #249135=CARTESIAN_POINT('Origin',(12.2600887592734,0.18,-1.99594793682332)); #249136=CARTESIAN_POINT('Origin',(8.41379399999998,0.2,-2.01975000000001)); #249137=CARTESIAN_POINT('',(8.41379399999998,0.2,-2.01975000000001)); #249138=CARTESIAN_POINT('',(8.24071459607941,0.2,-0.538527385930046)); #249139=CARTESIAN_POINT('',(8.41379399999998,0.18,-2.01975000000001)); #249140=CARTESIAN_POINT('',(8.41379399999998,0.2,-2.01975000000001)); #249141=CARTESIAN_POINT('',(8.416998,0.18,-2.04717)); #249142=CARTESIAN_POINT('Origin',(8.26764453944409,0.2,-2.02001977541835)); #249143=CARTESIAN_POINT('',(8.36758299999999,0.2,-1.91338000000002)); #249144=CARTESIAN_POINT('Origin',(8.26764453944409,0.2,-2.02001977541835)); #249145=CARTESIAN_POINT('',(8.36758299999999,0.18,-1.91338000000002)); #249146=CARTESIAN_POINT('',(8.36758299999999,0.2,-1.91338000000002)); #249147=CARTESIAN_POINT('Origin',(8.26764453944409,0.18,-2.02001977541835)); #249148=CARTESIAN_POINT('Origin',(8.2693985782173,0.2,-2.02179619489511)); #249149=CARTESIAN_POINT('',(8.25778799999999,0.2,-1.87599000000001)); #249150=CARTESIAN_POINT('Origin',(8.2693985782173,0.2,-2.02179619489511)); #249151=CARTESIAN_POINT('',(8.25778799999999,0.18,-1.87599000000001)); #249152=CARTESIAN_POINT('',(8.25778799999999,0.2,-1.87599000000001)); #249153=CARTESIAN_POINT('Origin',(8.2693985782173,0.18,-2.02179619489511)); #249154=CARTESIAN_POINT('Origin',(8.19444674438025,0.2,-3.01673824173317)); #249155=CARTESIAN_POINT('',(8.18116600000001,0.2,-1.87431000000002)); #249156=CARTESIAN_POINT('Origin',(8.19444674438025,0.2,-3.01673824173317)); #249157=CARTESIAN_POINT('',(8.18116600000001,0.18,-1.87431000000002)); #249158=CARTESIAN_POINT('',(8.18116600000001,0.2,-1.87431000000002)); #249159=CARTESIAN_POINT('Origin',(8.19444674438025,0.18,-3.01673824173317)); #249160=CARTESIAN_POINT('Origin',(8.16960799999999,0.2,-1.87337000000001)); #249161=CARTESIAN_POINT('',(8.16960799999999,0.2,-1.87337000000001)); #249162=CARTESIAN_POINT('',(4.03596594674305,0.2,-1.53718523359693)); #249163=CARTESIAN_POINT('',(8.16960799999999,0.18,-1.87337000000001)); #249164=CARTESIAN_POINT('',(8.16960799999999,0.2,-1.87337000000001)); #249165=CARTESIAN_POINT('',(8.18116600000001,0.18,-1.87431000000002)); #249166=CARTESIAN_POINT('Origin',(8.158827,0.2,-1.87169000000001)); #249167=CARTESIAN_POINT('',(8.158827,0.2,-1.87169000000001)); #249168=CARTESIAN_POINT('',(4.03374987099612,0.2,-1.22888046686315)); #249169=CARTESIAN_POINT('',(8.158827,0.18,-1.87169000000001)); #249170=CARTESIAN_POINT('',(8.158827,0.2,-1.87169000000001)); #249171=CARTESIAN_POINT('',(8.16960799999999,0.18,-1.87337000000001)); #249172=CARTESIAN_POINT('Origin',(8.14896999999999,0.2,-1.86905000000001)); #249173=CARTESIAN_POINT('',(8.14896999999999,0.2,-1.86905000000001)); #249174=CARTESIAN_POINT('',(4.11365594607647,0.2,-0.788271963850056)); #249175=CARTESIAN_POINT('',(8.14896999999999,0.18,-1.86905000000001)); #249176=CARTESIAN_POINT('',(8.14896999999999,0.2,-1.86905000000001)); #249177=CARTESIAN_POINT('',(8.158827,0.18,-1.87169000000001)); #249178=CARTESIAN_POINT('Origin',(8.14019599999999,0.2,-1.86527000000002)); #249179=CARTESIAN_POINT('',(8.14019599999999,0.2,-1.86527000000002)); #249180=CARTESIAN_POINT('',(4.36836946644638,0.2,-0.240298003554674)); #249181=CARTESIAN_POINT('',(8.14019599999999,0.18,-1.86527000000002)); #249182=CARTESIAN_POINT('',(8.14019599999999,0.2,-1.86527000000002)); #249183=CARTESIAN_POINT('',(8.14896999999999,0.18,-1.86905000000001)); #249184=CARTESIAN_POINT('Origin',(8.13265800000001,0.2,-1.86011000000002)); #249185=CARTESIAN_POINT('',(8.13265800000001,0.2,-1.86011000000002)); #249186=CARTESIAN_POINT('',(4.93026560317604,0.2,0.332029130754478)); #249187=CARTESIAN_POINT('',(8.13265800000001,0.18,-1.86011000000002)); #249188=CARTESIAN_POINT('',(8.13265800000001,0.2,-1.86011000000002)); #249189=CARTESIAN_POINT('',(8.14019599999999,0.18,-1.86527000000002)); #249190=CARTESIAN_POINT('Origin',(8.126524,0.2,-1.85339000000001)); #249191=CARTESIAN_POINT('',(8.126524,0.2,-1.85339000000001)); #249192=CARTESIAN_POINT('',(5.81832304061101,0.2,0.675320539144682)); #249193=CARTESIAN_POINT('',(8.126524,0.18,-1.85339000000001)); #249194=CARTESIAN_POINT('',(8.126524,0.2,-1.85339000000001)); #249195=CARTESIAN_POINT('',(8.13265800000001,0.18,-1.86011000000002)); #249196=CARTESIAN_POINT('Origin',(8.12195399999999,0.2,-1.84488000000002)); #249197=CARTESIAN_POINT('',(8.12195399999999,0.2,-1.84488000000002)); #249198=CARTESIAN_POINT('',(6.82848166887577,0.2,0.563752283990151)); #249199=CARTESIAN_POINT('',(8.12195399999999,0.18,-1.84488000000002)); #249200=CARTESIAN_POINT('',(8.12195399999999,0.2,-1.84488000000002)); #249201=CARTESIAN_POINT('',(8.126524,0.18,-1.85339000000001)); #249202=CARTESIAN_POINT('Origin',(8.119086,0.2,-1.83439)); #249203=CARTESIAN_POINT('',(8.119086,0.2,-1.83439)); #249204=CARTESIAN_POINT('',(7.6034195112756,0.2,0.0517123245245076)); #249205=CARTESIAN_POINT('',(8.119086,0.18,-1.83439)); #249206=CARTESIAN_POINT('',(8.119086,0.2,-1.83439)); #249207=CARTESIAN_POINT('',(8.12195399999999,0.18,-1.84488000000002)); #249208=CARTESIAN_POINT('Origin',(8.11810200000001,0.2,-1.82170000000001)); #249209=CARTESIAN_POINT('',(8.11810200000001,0.2,-1.82170000000001)); #249210=CARTESIAN_POINT('',(8.0236357252734,0.2,-0.603430664335587)); #249211=CARTESIAN_POINT('',(8.11810200000001,0.18,-1.82170000000001)); #249212=CARTESIAN_POINT('',(8.11810200000001,0.2,-1.82170000000001)); #249213=CARTESIAN_POINT('',(8.119086,0.18,-1.83439)); #249214=CARTESIAN_POINT('Origin',(8.117171,0.2,-1.69478000000002)); #249215=CARTESIAN_POINT('',(8.117171,0.2,-1.69478000000002)); #249216=CARTESIAN_POINT('',(8.11073708092018,0.2,-0.817666133616047)); #249217=CARTESIAN_POINT('',(8.117171,0.18,-1.69478000000002)); #249218=CARTESIAN_POINT('',(8.117171,0.2,-1.69478000000002)); #249219=CARTESIAN_POINT('',(8.11810200000001,0.18,-1.82170000000001)); #249220=CARTESIAN_POINT('Origin',(8.26781334011405,0.2,-1.69661654330678)); #249221=CARTESIAN_POINT('',(8.12189300000001,0.2,-1.65915000000002)); #249222=CARTESIAN_POINT('Origin',(8.26781334011405,0.2,-1.69661654330678)); #249223=CARTESIAN_POINT('',(8.12189300000001,0.18,-1.65915000000002)); #249224=CARTESIAN_POINT('',(8.12189300000001,0.2,-1.65915000000002)); #249225=CARTESIAN_POINT('Origin',(8.26781334011405,0.18,-1.69661654330678)); #249226=CARTESIAN_POINT('Origin',(8.127272,0.2,-1.64682000000001)); #249227=CARTESIAN_POINT('',(8.127272,0.2,-1.64682000000001)); #249228=CARTESIAN_POINT('',(7.77932868663878,0.2,-2.44439223531358)); #249229=CARTESIAN_POINT('',(8.127272,0.18,-1.64682000000001)); #249230=CARTESIAN_POINT('',(8.127272,0.2,-1.64682000000001)); #249231=CARTESIAN_POINT('',(8.12189300000001,0.18,-1.65915000000002)); #249232=CARTESIAN_POINT('Origin',(8.135313,0.2,-1.63755)); #249233=CARTESIAN_POINT('',(8.135313,0.2,-1.63755)); #249234=CARTESIAN_POINT('',(6.79410235296716,0.2,-3.18375354408744)); #249235=CARTESIAN_POINT('',(8.135313,0.18,-1.63755)); #249236=CARTESIAN_POINT('',(8.135313,0.2,-1.63755)); #249237=CARTESIAN_POINT('',(8.127272,0.18,-1.64682000000001)); #249238=CARTESIAN_POINT('Origin',(8.14650600000001,0.2,-1.63096000000001)); #249239=CARTESIAN_POINT('',(8.14650600000001,0.2,-1.63096000000001)); #249240=CARTESIAN_POINT('',(5.47828573645402,0.2,-3.20190358409032)); #249241=CARTESIAN_POINT('',(8.14650600000001,0.18,-1.63096000000001)); #249242=CARTESIAN_POINT('',(8.14650600000001,0.2,-1.63096000000001)); #249243=CARTESIAN_POINT('',(8.135313,0.18,-1.63755)); #249244=CARTESIAN_POINT('Origin',(8.26475500676195,0.2,-2.13066162104155)); #249245=CARTESIAN_POINT('Origin',(8.26475500676195,0.2,-2.13066162104155)); #249246=CARTESIAN_POINT('Origin',(8.26475500676195,0.18,-2.13066162104155)); #249247=CARTESIAN_POINT('Origin',(8.71327687218931,0.18,-1.29301440216629)); #249248=CARTESIAN_POINT('Origin',(-3.354295,0.2,1.02287999999998)); #249249=CARTESIAN_POINT('',(-3.354295,0.2,1.02287999999998)); #249250=CARTESIAN_POINT('',(-3.354295,0.2,1.59243)); #249251=CARTESIAN_POINT('',(-3.354295,0.2,0.511439999996714)); #249252=CARTESIAN_POINT('',(-3.354295,0.18,1.02287999999998)); #249253=CARTESIAN_POINT('',(-3.354295,0.2,1.02287999999998)); #249254=CARTESIAN_POINT('',(-3.354295,0.18,1.59243)); #249255=CARTESIAN_POINT('',(-3.354295,0.18,1.02287999999998)); #249256=CARTESIAN_POINT('',(-3.354295,0.2,1.59243)); #249257=CARTESIAN_POINT('Origin',(-3.19399399999999,0.2,1.02287999999998)); #249258=CARTESIAN_POINT('',(-3.19399399999999,0.2,1.02287999999998)); #249259=CARTESIAN_POINT('',(-1.59699699999672,0.2,1.02287999999998)); #249260=CARTESIAN_POINT('',(-3.19399399999999,0.18,1.02287999999998)); #249261=CARTESIAN_POINT('',(-3.19399399999999,0.2,1.02287999999998)); #249262=CARTESIAN_POINT('',(-3.19399399999999,0.18,1.02287999999998)); #249263=CARTESIAN_POINT('Origin',(-3.19399399999999,0.2,1.16064999999999)); #249264=CARTESIAN_POINT('',(-3.19399399999999,0.2,1.16064999999999)); #249265=CARTESIAN_POINT('',(-3.19399399999999,0.2,0.580324999996719)); #249266=CARTESIAN_POINT('',(-3.19399399999999,0.18,1.16064999999999)); #249267=CARTESIAN_POINT('',(-3.19399399999999,0.2,1.16064999999999)); #249268=CARTESIAN_POINT('',(-3.19399399999999,0.18,1.16064999999999)); #249269=CARTESIAN_POINT('Origin',(-3.08683587837258,0.2,1.17181466428681)); #249270=CARTESIAN_POINT('',(-3.173685,0.2,1.23556999999998)); #249271=CARTESIAN_POINT('Origin',(-3.08683587837258,0.2,1.17181466428681)); #249272=CARTESIAN_POINT('',(-3.173685,0.18,1.23556999999998)); #249273=CARTESIAN_POINT('',(-3.173685,0.2,1.23556999999998)); #249274=CARTESIAN_POINT('Origin',(-3.08683587837258,0.18,1.17181466428681)); #249275=CARTESIAN_POINT('Origin',(-3.12224597393498,0.2,1.18763288630801)); #249276=CARTESIAN_POINT('',(-3.12132399999999,0.2,1.25793999999999)); #249277=CARTESIAN_POINT('Origin',(-3.12224597393498,0.2,1.18763288630801)); #249278=CARTESIAN_POINT('',(-3.12132399999999,0.18,1.25793999999999)); #249279=CARTESIAN_POINT('',(-3.12132399999999,0.2,1.25793999999999)); #249280=CARTESIAN_POINT('Origin',(-3.12224597393498,0.18,1.18763288630801)); #249281=CARTESIAN_POINT('Origin',(-3.12004002606502,0.2,1.18681539981399)); #249282=CARTESIAN_POINT('',(-3.06823899999999,0.2,1.23556999999998)); #249283=CARTESIAN_POINT('Origin',(-3.12004002606502,0.2,1.18681539981399)); #249284=CARTESIAN_POINT('',(-3.06823899999999,0.18,1.23556999999998)); #249285=CARTESIAN_POINT('',(-3.06823899999999,0.2,1.23556999999998)); #249286=CARTESIAN_POINT('Origin',(-3.12004002606502,0.18,1.18681539981399)); #249287=CARTESIAN_POINT('Origin',(-3.1550916216274,0.2,1.17182372762572)); #249288=CARTESIAN_POINT('',(-3.04793699999999,0.2,1.16064999999999)); #249289=CARTESIAN_POINT('Origin',(-3.1550916216274,0.2,1.17182372762572)); #249290=CARTESIAN_POINT('',(-3.04793699999999,0.18,1.16064999999999)); #249291=CARTESIAN_POINT('',(-3.04793699999999,0.2,1.16064999999999)); #249292=CARTESIAN_POINT('Origin',(-3.1550916216274,0.18,1.17182372762572)); #249293=CARTESIAN_POINT('Origin',(-3.04793699999999,0.2,0.877299999999988)); #249294=CARTESIAN_POINT('',(-3.04793699999999,0.2,0.877299999999988)); #249295=CARTESIAN_POINT('',(-3.04793699999999,0.2,0.438649999996718)); #249296=CARTESIAN_POINT('',(-3.04793699999999,0.18,0.877299999999988)); #249297=CARTESIAN_POINT('',(-3.04793699999999,0.2,0.877299999999988)); #249298=CARTESIAN_POINT('',(-3.04793699999999,0.18,0.877299999999988)); #249299=CARTESIAN_POINT('Origin',(-3.804575,0.2,0.876589999999986)); #249300=CARTESIAN_POINT('',(-3.804575,0.2,0.876589999999986)); #249301=CARTESIAN_POINT('',(-1.90270045381165,0.2,0.878374645930797)); #249302=CARTESIAN_POINT('',(-3.804575,0.18,0.876589999999986)); #249303=CARTESIAN_POINT('',(-3.804575,0.2,0.876589999999986)); #249304=CARTESIAN_POINT('',(-3.804575,0.18,0.876589999999986)); #249305=CARTESIAN_POINT('Origin',(-3.80457500000001,0.2,1.16064999999999)); #249306=CARTESIAN_POINT('',(-3.80457500000001,0.2,1.16064999999999)); #249307=CARTESIAN_POINT('',(-3.80457499999999,0.2,0.580324999996659)); #249308=CARTESIAN_POINT('',(-3.80457500000001,0.18,1.16064999999999)); #249309=CARTESIAN_POINT('',(-3.80457500000001,0.2,1.16064999999999)); #249310=CARTESIAN_POINT('',(-3.80457500000001,0.18,1.16064999999999)); #249311=CARTESIAN_POINT('Origin',(-3.69742057922565,0.2,1.17182378205335)); #249312=CARTESIAN_POINT('',(-3.784273,0.2,1.23556999999998)); #249313=CARTESIAN_POINT('Origin',(-3.69742057922565,0.2,1.17182378205335)); #249314=CARTESIAN_POINT('',(-3.784273,0.18,1.23556999999998)); #249315=CARTESIAN_POINT('',(-3.784273,0.2,1.23556999999998)); #249316=CARTESIAN_POINT('Origin',(-3.69742057922565,0.18,1.17182378205335)); #249317=CARTESIAN_POINT('Origin',(-3.73283050136258,0.2,1.18762504664083)); #249318=CARTESIAN_POINT('',(-3.73190500000001,0.2,1.25793999999999)); #249319=CARTESIAN_POINT('Origin',(-3.73283050136258,0.2,1.18762504664083)); #249320=CARTESIAN_POINT('',(-3.73190500000001,0.18,1.25793999999999)); #249321=CARTESIAN_POINT('',(-3.73190500000001,0.2,1.25793999999999)); #249322=CARTESIAN_POINT('Origin',(-3.73283050136258,0.18,1.18762504664083)); #249323=CARTESIAN_POINT('Origin',(-3.73062448949493,0.2,1.18682339045993)); #249324=CARTESIAN_POINT('',(-3.678827,0.2,1.23556999999996)); #249325=CARTESIAN_POINT('Origin',(-3.73062448949493,0.2,1.18682339045993)); #249326=CARTESIAN_POINT('',(-3.678827,0.18,1.23556999999996)); #249327=CARTESIAN_POINT('',(-3.678827,0.2,1.23556999999996)); #249328=CARTESIAN_POINT('Origin',(-3.73062448949493,0.18,1.18682339045993)); #249329=CARTESIAN_POINT('Origin',(-3.76567945982895,0.2,1.17182377147024)); #249330=CARTESIAN_POINT('',(-3.658525,0.2,1.16064999999999)); #249331=CARTESIAN_POINT('Origin',(-3.76567945982895,0.2,1.17182377147024)); #249332=CARTESIAN_POINT('',(-3.658525,0.18,1.16064999999999)); #249333=CARTESIAN_POINT('',(-3.658525,0.2,1.16064999999999)); #249334=CARTESIAN_POINT('Origin',(-3.76567945982895,0.18,1.17182377147024)); #249335=CARTESIAN_POINT('Origin',(-3.658525,0.2,1.02287999999998)); #249336=CARTESIAN_POINT('',(-3.658525,0.2,1.02287999999998)); #249337=CARTESIAN_POINT('',(-3.658525,0.2,0.511439999996714)); #249338=CARTESIAN_POINT('',(-3.658525,0.18,1.02287999999998)); #249339=CARTESIAN_POINT('',(-3.658525,0.2,1.02287999999998)); #249340=CARTESIAN_POINT('',(-3.658525,0.18,1.02287999999998)); #249341=CARTESIAN_POINT('Origin',(-3.500352,0.2,1.02287999999998)); #249342=CARTESIAN_POINT('',(-3.500352,0.2,1.02287999999998)); #249343=CARTESIAN_POINT('',(-1.75017599999672,0.2,1.02287999999998)); #249344=CARTESIAN_POINT('',(-3.500352,0.18,1.02287999999998)); #249345=CARTESIAN_POINT('',(-3.500352,0.2,1.02287999999998)); #249346=CARTESIAN_POINT('',(-3.500352,0.18,1.02287999999998)); #249347=CARTESIAN_POINT('Origin',(-3.500352,0.2,1.59243)); #249348=CARTESIAN_POINT('',(-3.500352,0.2,1.59243)); #249349=CARTESIAN_POINT('',(-3.500352,0.2,0.796214999996724)); #249350=CARTESIAN_POINT('',(-3.500352,0.18,1.59243)); #249351=CARTESIAN_POINT('',(-3.500352,0.2,1.59243)); #249352=CARTESIAN_POINT('',(-3.500352,0.18,1.59243)); #249353=CARTESIAN_POINT('Origin',(-3.597963,0.2,1.59243)); #249354=CARTESIAN_POINT('',(-3.597963,0.2,1.59243)); #249355=CARTESIAN_POINT('',(-1.79898149999673,0.2,1.59243)); #249356=CARTESIAN_POINT('',(-3.597963,0.18,1.59243)); #249357=CARTESIAN_POINT('',(-3.597963,0.2,1.59243)); #249358=CARTESIAN_POINT('',(-3.597963,0.18,1.59243)); #249359=CARTESIAN_POINT('Origin',(-3.60922576560752,0.2,1.7003365390811)); #249360=CARTESIAN_POINT('',(-3.67312800000001,0.2,1.61265999999999)); #249361=CARTESIAN_POINT('Origin',(-3.60922576560752,0.2,1.7003365390811)); #249362=CARTESIAN_POINT('',(-3.67312800000001,0.18,1.61265999999999)); #249363=CARTESIAN_POINT('',(-3.67312800000001,0.2,1.61265999999999)); #249364=CARTESIAN_POINT('Origin',(-3.60922576560752,0.18,1.7003365390811)); #249365=CARTESIAN_POINT('Origin',(-3.62566710944947,0.2,1.66399273373385)); #249366=CARTESIAN_POINT('',(-3.695573,0.2,1.66485999999999)); #249367=CARTESIAN_POINT('Origin',(-3.62566710944947,0.2,1.66399273373385)); #249368=CARTESIAN_POINT('',(-3.695573,0.18,1.66485999999999)); #249369=CARTESIAN_POINT('',(-3.695573,0.2,1.66485999999999)); #249370=CARTESIAN_POINT('Origin',(-3.62566710944947,0.18,1.66399273373385)); #249371=CARTESIAN_POINT('Origin',(-3.62488016743057,0.2,1.66607726626612)); #249372=CARTESIAN_POINT('',(-3.673128,0.2,1.71775999999998)); #249373=CARTESIAN_POINT('Origin',(-3.62488016743057,0.2,1.66607726626612)); #249374=CARTESIAN_POINT('',(-3.673128,0.18,1.71775999999998)); #249375=CARTESIAN_POINT('',(-3.673128,0.2,1.71775999999998)); #249376=CARTESIAN_POINT('Origin',(-3.62488016743057,0.18,1.66607726626612)); #249377=CARTESIAN_POINT('Origin',(-3.60921275535819,0.2,1.63008846091891)); #249378=CARTESIAN_POINT('',(-3.597963,0.2,1.73799999999998)); #249379=CARTESIAN_POINT('Origin',(-3.60921275535819,0.2,1.63008846091891)); #249380=CARTESIAN_POINT('',(-3.597963,0.18,1.73799999999998)); #249381=CARTESIAN_POINT('',(-3.597963,0.2,1.73799999999998)); #249382=CARTESIAN_POINT('Origin',(-3.60921275535819,0.18,1.63008846091891)); #249383=CARTESIAN_POINT('Origin',(-3.255975,0.2,1.73799999999998)); #249384=CARTESIAN_POINT('',(-3.255975,0.2,1.73799999999998)); #249385=CARTESIAN_POINT('',(-1.62798749999672,0.2,1.73799999999998)); #249386=CARTESIAN_POINT('',(-3.255975,0.18,1.73799999999998)); #249387=CARTESIAN_POINT('',(-3.255975,0.2,1.73799999999998)); #249388=CARTESIAN_POINT('',(-3.255975,0.18,1.73799999999998)); #249389=CARTESIAN_POINT('Origin',(-3.24472572487609,0.2,1.63008983534651)); #249390=CARTESIAN_POINT('',(-3.180811,0.2,1.71775999999998)); #249391=CARTESIAN_POINT('Origin',(-3.24472572487609,0.2,1.63008983534651)); #249392=CARTESIAN_POINT('',(-3.180811,0.18,1.71775999999998)); #249393=CARTESIAN_POINT('',(-3.180811,0.2,1.71775999999998)); #249394=CARTESIAN_POINT('Origin',(-3.24472572487609,0.18,1.63008983534651)); #249395=CARTESIAN_POINT('Origin',(-3.22826356989991,0.2,1.66643904528671)); #249396=CARTESIAN_POINT('',(-3.15837199999999,0.2,1.66556999999999)); #249397=CARTESIAN_POINT('Origin',(-3.22826356989991,0.2,1.66643904528671)); #249398=CARTESIAN_POINT('',(-3.15837199999999,0.18,1.66556999999999)); #249399=CARTESIAN_POINT('',(-3.15837199999999,0.2,1.66556999999999)); #249400=CARTESIAN_POINT('Origin',(-3.22826356989991,0.18,1.66643904528671)); #249401=CARTESIAN_POINT('Origin',(-3.22907299489188,0.2,1.66434095471327)); #249402=CARTESIAN_POINT('',(-3.180811,0.2,1.61265999999999)); #249403=CARTESIAN_POINT('Origin',(-3.22907299489188,0.2,1.66434095471327)); #249404=CARTESIAN_POINT('',(-3.180811,0.18,1.61265999999999)); #249405=CARTESIAN_POINT('',(-3.180811,0.2,1.61265999999999)); #249406=CARTESIAN_POINT('Origin',(-3.22907299489188,0.18,1.66434095471327)); #249407=CARTESIAN_POINT('Origin',(-3.24471271463652,0.2,1.70033516465351)); #249408=CARTESIAN_POINT('',(-3.255975,0.2,1.59243)); #249409=CARTESIAN_POINT('Origin',(-3.24471271463652,0.2,1.70033516465351)); #249410=CARTESIAN_POINT('',(-3.255975,0.18,1.59243)); #249411=CARTESIAN_POINT('',(-3.255975,0.2,1.59243)); #249412=CARTESIAN_POINT('Origin',(-3.24471271463652,0.18,1.70033516465351)); #249413=CARTESIAN_POINT('Origin',(-3.354295,0.2,1.59243)); #249414=CARTESIAN_POINT('',(-1.67714749999673,0.2,1.59243)); #249415=CARTESIAN_POINT('',(-3.354295,0.18,1.59243)); #249416=CARTESIAN_POINT('Origin',(-3.42625600336407,0.18,1.30758740384451)); #249417=CARTESIAN_POINT('Origin',(-0.174291431728228,0.2,1.63009041691797)); #249418=CARTESIAN_POINT('',(-0.163042000000013,0.2,1.73799999999998)); #249419=CARTESIAN_POINT('',(-0.238206000000014,0.2,1.71775999999998)); #249420=CARTESIAN_POINT('Origin',(-0.174291431728228,0.2,1.63009041691797)); #249421=CARTESIAN_POINT('',(-0.163042000000013,0.18,1.73799999999998)); #249422=CARTESIAN_POINT('',(-0.163042000000013,0.2,1.73799999999998)); #249423=CARTESIAN_POINT('',(-0.238206000000014,0.18,1.71775999999998)); #249424=CARTESIAN_POINT('Origin',(-0.174291431728228,0.18,1.63009041691797)); #249425=CARTESIAN_POINT('',(-0.238206000000014,0.2,1.71775999999998)); #249426=CARTESIAN_POINT('Origin',(0.155431999999998,0.2,1.738)); #249427=CARTESIAN_POINT('',(0.155431999999998,0.2,1.738)); #249428=CARTESIAN_POINT('',(0.0777160000032255,0.2,1.738)); #249429=CARTESIAN_POINT('',(0.155431999999998,0.18,1.738)); #249430=CARTESIAN_POINT('',(0.155431999999998,0.2,1.738)); #249431=CARTESIAN_POINT('',(0.155431999999998,0.18,1.738)); #249432=CARTESIAN_POINT('Origin',(0.166681616997462,0.2,1.63008794709064)); #249433=CARTESIAN_POINT('',(0.230597000000001,0.2,1.71775999999998)); #249434=CARTESIAN_POINT('Origin',(0.166681616997462,0.2,1.63008794709064)); #249435=CARTESIAN_POINT('',(0.230597000000001,0.18,1.71775999999998)); #249436=CARTESIAN_POINT('',(0.230597000000001,0.2,1.71775999999998)); #249437=CARTESIAN_POINT('Origin',(0.166681616997462,0.18,1.63008794709064)); #249438=CARTESIAN_POINT('Origin',(0.183148090366938,0.2,1.66643124480505)); #249439=CARTESIAN_POINT('',(0.253043000000002,0.2,1.66556999999999)); #249440=CARTESIAN_POINT('Origin',(0.183148090366938,0.2,1.66643124480505)); #249441=CARTESIAN_POINT('',(0.253043000000002,0.18,1.66556999999999)); #249442=CARTESIAN_POINT('',(0.253043000000002,0.2,1.66556999999999)); #249443=CARTESIAN_POINT('Origin',(0.183148090366938,0.18,1.66643124480505)); #249444=CARTESIAN_POINT('Origin',(0.182338667585986,0.2,1.66434875519494)); #249445=CARTESIAN_POINT('',(0.230597000000001,0.2,1.61265999999999)); #249446=CARTESIAN_POINT('Origin',(0.182338667585986,0.2,1.66434875519494)); #249447=CARTESIAN_POINT('',(0.230597000000001,0.18,1.61265999999999)); #249448=CARTESIAN_POINT('',(0.230597000000001,0.2,1.61265999999999)); #249449=CARTESIAN_POINT('Origin',(0.182338667585986,0.18,1.66434875519494)); #249450=CARTESIAN_POINT('Origin',(0.166694627315156,0.2,1.70033705290939)); #249451=CARTESIAN_POINT('',(0.155431999999998,0.2,1.59243)); #249452=CARTESIAN_POINT('Origin',(0.166694627315156,0.2,1.70033705290939)); #249453=CARTESIAN_POINT('',(0.155431999999998,0.18,1.59243)); #249454=CARTESIAN_POINT('',(0.155431999999998,0.2,1.59243)); #249455=CARTESIAN_POINT('Origin',(0.166694627315156,0.18,1.70033705290939)); #249456=CARTESIAN_POINT('Origin',(0.00581999999999638,0.2,1.59243)); #249457=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.59243)); #249458=CARTESIAN_POINT('',(0.00291000000327335,0.2,1.59243)); #249459=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.59243)); #249460=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.59243)); #249461=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.59243)); #249462=CARTESIAN_POINT('Origin',(0.00581999999999638,0.2,1.37936999999997)); #249463=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.37936999999997)); #249464=CARTESIAN_POINT('',(0.00581999999999638,0.2,0.689684999996711)); #249465=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.37936999999997)); #249466=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.37936999999997)); #249467=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.37936999999997)); #249468=CARTESIAN_POINT('Origin',(0.134062000000004,0.2,1.37936999999997)); #249469=CARTESIAN_POINT('',(0.134062000000004,0.2,1.37936999999997)); #249470=CARTESIAN_POINT('',(0.067031000003277,0.2,1.37936999999997)); #249471=CARTESIAN_POINT('',(0.134062000000004,0.18,1.37936999999997)); #249472=CARTESIAN_POINT('',(0.134062000000004,0.2,1.37936999999997)); #249473=CARTESIAN_POINT('',(0.134062000000004,0.18,1.37936999999997)); #249474=CARTESIAN_POINT('Origin',(0.263298946527435,0.2,1.39837031734452)); #249475=CARTESIAN_POINT('',(0.144751000000012,0.2,1.45322999999999)); #249476=CARTESIAN_POINT('Origin',(0.263298946527435,0.2,1.39837031734452)); #249477=CARTESIAN_POINT('',(0.144751000000012,0.18,1.45322999999999)); #249478=CARTESIAN_POINT('',(0.144751000000012,0.2,1.45322999999999)); #249479=CARTESIAN_POINT('Origin',(0.263298946527435,0.18,1.39837031734452)); #249480=CARTESIAN_POINT('Origin',(0.207394618899936,0.2,1.41425379417997)); #249481=CARTESIAN_POINT('',(0.206732000000001,0.2,1.48802999999999)); #249482=CARTESIAN_POINT('Origin',(0.207394618899936,0.2,1.41425379417997)); #249483=CARTESIAN_POINT('',(0.206732000000001,0.18,1.48802999999999)); #249484=CARTESIAN_POINT('',(0.206732000000001,0.2,1.48802999999999)); #249485=CARTESIAN_POINT('Origin',(0.207394618899936,0.18,1.41425379417997)); #249486=CARTESIAN_POINT('Origin',(0.20801262413281,0.2,1.41691366006802)); #249487=CARTESIAN_POINT('',(0.259809999999998,0.2,1.46565999999998)); #249488=CARTESIAN_POINT('Origin',(0.20801262413281,0.2,1.41691366006802)); #249489=CARTESIAN_POINT('',(0.259809999999998,0.18,1.46565999999998)); #249490=CARTESIAN_POINT('',(0.259809999999998,0.2,1.46565999999998)); #249491=CARTESIAN_POINT('Origin',(0.20801262413281,0.18,1.41691366006802)); #249492=CARTESIAN_POINT('Origin',(0.172960560353923,0.2,1.40190457807964)); #249493=CARTESIAN_POINT('',(0.280118999999992,0.2,1.39073999999999)); #249494=CARTESIAN_POINT('Origin',(0.172960560353923,0.2,1.40190457807964)); #249495=CARTESIAN_POINT('',(0.280118999999992,0.18,1.39073999999999)); #249496=CARTESIAN_POINT('',(0.280118999999992,0.2,1.39073999999999)); #249497=CARTESIAN_POINT('Origin',(0.172960560353923,0.18,1.40190457807964)); #249498=CARTESIAN_POINT('Origin',(0.280119000000001,0.2,1.22313999999999)); #249499=CARTESIAN_POINT('',(0.280119000000001,0.2,1.22313999999999)); #249500=CARTESIAN_POINT('',(0.280119000000033,0.2,0.611569999996728)); #249501=CARTESIAN_POINT('',(0.280119000000001,0.18,1.22313999999999)); #249502=CARTESIAN_POINT('',(0.280119000000001,0.2,1.22313999999999)); #249503=CARTESIAN_POINT('',(0.280119000000001,0.18,1.22313999999999)); #249504=CARTESIAN_POINT('Origin',(0.17296056035387,0.2,1.21197542192034)); #249505=CARTESIAN_POINT('',(0.259809999999998,0.2,1.14821999999998)); #249506=CARTESIAN_POINT('Origin',(0.17296056035387,0.2,1.21197542192034)); #249507=CARTESIAN_POINT('',(0.259809999999998,0.18,1.14821999999998)); #249508=CARTESIAN_POINT('',(0.259809999999998,0.2,1.14821999999998)); #249509=CARTESIAN_POINT('Origin',(0.17296056035387,0.18,1.21197542192034)); #249510=CARTESIAN_POINT('Origin',(0.208367624132837,0.2,1.19616466595492)); #249511=CARTESIAN_POINT('',(0.207442000000011,0.2,1.12584999999999)); #249512=CARTESIAN_POINT('Origin',(0.208367624132837,0.2,1.19616466595492)); #249513=CARTESIAN_POINT('',(0.207442000000011,0.18,1.12584999999999)); #249514=CARTESIAN_POINT('',(0.207442000000011,0.2,1.12584999999999)); #249515=CARTESIAN_POINT('Origin',(0.208367624132837,0.18,1.19616466595492)); #249516=CARTESIAN_POINT('Origin',(0.207749662513814,0.2,1.20027208078024)); #249517=CARTESIAN_POINT('',(0.144751000000012,0.2,1.16064999999999)); #249518=CARTESIAN_POINT('Origin',(0.207749662513814,0.2,1.20027208078024)); #249519=CARTESIAN_POINT('',(0.144751000000012,0.18,1.16064999999999)); #249520=CARTESIAN_POINT('',(0.144751000000012,0.2,1.16064999999999)); #249521=CARTESIAN_POINT('Origin',(0.207749662513814,0.18,1.20027208078024)); #249522=CARTESIAN_POINT('Origin',(0.262107995579006,0.2,1.21515468265544)); #249523=CARTESIAN_POINT('',(0.134061999999995,0.2,1.23379999999999)); #249524=CARTESIAN_POINT('Origin',(0.262107995579006,0.2,1.21515468265544)); #249525=CARTESIAN_POINT('',(0.134061999999995,0.18,1.23379999999999)); #249526=CARTESIAN_POINT('',(0.134061999999995,0.2,1.23379999999999)); #249527=CARTESIAN_POINT('Origin',(0.262107995579006,0.18,1.21515468265544)); #249528=CARTESIAN_POINT('Origin',(0.00581999999999638,0.2,1.23379999999999)); #249529=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.23379999999999)); #249530=CARTESIAN_POINT('',(0.00291000000327335,0.2,1.23379999999999)); #249531=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.23379999999999)); #249532=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.23379999999999)); #249533=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.23379999999999)); #249534=CARTESIAN_POINT('Origin',(0.00581999999999638,0.2,1.02287999999998)); #249535=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.02287999999998)); #249536=CARTESIAN_POINT('',(0.00581999999999638,0.2,0.511439999996714)); #249537=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.02287999999998)); #249538=CARTESIAN_POINT('',(0.00581999999999638,0.2,1.02287999999998)); #249539=CARTESIAN_POINT('',(0.00581999999999638,0.18,1.02287999999998)); #249540=CARTESIAN_POINT('Origin',(0.378438999999995,0.2,1.02287999999998)); #249541=CARTESIAN_POINT('',(0.378438999999995,0.2,1.02287999999998)); #249542=CARTESIAN_POINT('',(0.189219500003273,0.2,1.02287999999998)); #249543=CARTESIAN_POINT('',(0.378438999999995,0.18,1.02287999999998)); #249544=CARTESIAN_POINT('',(0.378438999999995,0.2,1.02287999999998)); #249545=CARTESIAN_POINT('',(0.378438999999995,0.18,1.02287999999998)); #249546=CARTESIAN_POINT('Origin',(0.378438999999995,0.2,1.09956999999998)); #249547=CARTESIAN_POINT('',(0.378439000000004,0.2,1.09956999999998)); #249548=CARTESIAN_POINT('',(0.378438999999995,0.2,0.549784999996713)); #249549=CARTESIAN_POINT('',(0.378439000000004,0.18,1.09956999999998)); #249550=CARTESIAN_POINT('',(0.378439000000004,0.2,1.09956999999998)); #249551=CARTESIAN_POINT('',(0.378438999999995,0.18,1.09956999999998)); #249552=CARTESIAN_POINT('Origin',(0.484007587255668,0.2,1.11087715560593)); #249553=CARTESIAN_POINT('',(0.398741000000014,0.2,1.17414)); #249554=CARTESIAN_POINT('Origin',(0.484007587255668,0.2,1.11087715560593)); #249555=CARTESIAN_POINT('',(0.398741000000014,0.18,1.17414)); #249556=CARTESIAN_POINT('',(0.398741000000014,0.2,1.17414)); #249557=CARTESIAN_POINT('Origin',(0.484007587255668,0.18,1.11087715560593)); #249558=CARTESIAN_POINT('Origin',(0.450046744092321,0.2,1.12537328284295)); #249559=CARTESIAN_POINT('',(0.451109000000001,0.2,1.19615)); #249560=CARTESIAN_POINT('Origin',(0.450046744092321,0.2,1.12537328284295)); #249561=CARTESIAN_POINT('',(0.451109000000001,0.18,1.19615)); #249562=CARTESIAN_POINT('',(0.451109000000001,0.2,1.19615)); #249563=CARTESIAN_POINT('Origin',(0.450046744092321,0.18,1.12537328284295)); #249564=CARTESIAN_POINT('Origin',(0.452400915315598,0.2,1.12503866006804)); #249565=CARTESIAN_POINT('',(0.504186999999998,0.2,1.17379)); #249566=CARTESIAN_POINT('Origin',(0.452400915315598,0.2,1.12503866006804)); #249567=CARTESIAN_POINT('',(0.504186999999998,0.18,1.17379)); #249568=CARTESIAN_POINT('',(0.504186999999998,0.2,1.17379)); #249569=CARTESIAN_POINT('Origin',(0.452400915315598,0.18,1.12503866006804)); #249570=CARTESIAN_POINT('Origin',(0.418246417544035,0.2,1.11038526772301)); #249571=CARTESIAN_POINT('',(0.524495999999992,0.2,1.09956999999998)); #249572=CARTESIAN_POINT('Origin',(0.418246417544035,0.2,1.11038526772301)); #249573=CARTESIAN_POINT('',(0.524495999999992,0.18,1.09956999999998)); #249574=CARTESIAN_POINT('',(0.524495999999992,0.2,1.09956999999998)); #249575=CARTESIAN_POINT('Origin',(0.418246417544035,0.18,1.11038526772301)); #249576=CARTESIAN_POINT('Origin',(0.524495999999992,0.2,0.877299999999988)); #249577=CARTESIAN_POINT('',(0.524495999999992,0.2,0.877299999999988)); #249578=CARTESIAN_POINT('',(0.524495999999992,0.2,0.438649999996718)); #249579=CARTESIAN_POINT('',(0.524495999999992,0.18,0.877299999999988)); #249580=CARTESIAN_POINT('',(0.524495999999992,0.2,0.877299999999988)); #249581=CARTESIAN_POINT('',(0.524495999999992,0.18,0.877299999999988)); #249582=CARTESIAN_POINT('Origin',(-0.163042000000013,0.2,0.876589999999986)); #249583=CARTESIAN_POINT('',(-0.163042000000013,0.2,0.876589999999986)); #249584=CARTESIAN_POINT('',(-0.0819737006313766,0.2,0.876673716816441)); #249585=CARTESIAN_POINT('',(-0.163042000000013,0.18,0.876589999999986)); #249586=CARTESIAN_POINT('',(-0.163042000000013,0.2,0.876589999999986)); #249587=CARTESIAN_POINT('',(-0.163042000000013,0.18,0.876589999999986)); #249588=CARTESIAN_POINT('Origin',(-0.174291518392042,0.2,0.984499261244114)); #249589=CARTESIAN_POINT('',(-0.238206000000014,0.2,0.896829999999991)); #249590=CARTESIAN_POINT('Origin',(-0.174291518392042,0.2,0.984499261244114)); #249591=CARTESIAN_POINT('',(-0.238206000000014,0.18,0.896829999999991)); #249592=CARTESIAN_POINT('',(-0.238206000000014,0.2,0.896829999999991)); #249593=CARTESIAN_POINT('Origin',(-0.174291518392042,0.18,0.984499261244114)); #249594=CARTESIAN_POINT('Origin',(-0.189955584275516,0.2,0.948505811700198)); #249595=CARTESIAN_POINT('',(-0.260645000000004,0.2,0.949729999999995)); #249596=CARTESIAN_POINT('Origin',(-0.189955584275516,0.2,0.948505811700198)); #249597=CARTESIAN_POINT('',(-0.260645000000004,0.18,0.949729999999995)); #249598=CARTESIAN_POINT('',(-0.260645000000004,0.2,0.949729999999995)); #249599=CARTESIAN_POINT('Origin',(-0.189955584275516,0.18,0.948505811700198)); #249600=CARTESIAN_POINT('Origin',(-0.189944342325479,0.2,0.95095918829978)); #249601=CARTESIAN_POINT('',(-0.238206000000014,0.2,1.00263999999999)); #249602=CARTESIAN_POINT('Origin',(-0.189944342325479,0.2,0.95095918829978)); #249603=CARTESIAN_POINT('',(-0.238206000000014,0.18,1.00263999999999)); #249604=CARTESIAN_POINT('',(-0.238206000000014,0.2,1.00263999999999)); #249605=CARTESIAN_POINT('Origin',(-0.189944342325479,0.18,0.95095918829978)); #249606=CARTESIAN_POINT('Origin',(-0.174291518392033,0.2,0.914970738755727)); #249607=CARTESIAN_POINT('',(-0.163042000000013,0.2,1.02287999999998)); #249608=CARTESIAN_POINT('Origin',(-0.174291518392033,0.2,0.914970738755727)); #249609=CARTESIAN_POINT('',(-0.163042000000013,0.18,1.02287999999998)); #249610=CARTESIAN_POINT('',(-0.163042000000013,0.2,1.02287999999998)); #249611=CARTESIAN_POINT('Origin',(-0.174291518392033,0.18,0.914970738755727)); #249612=CARTESIAN_POINT('Origin',(-0.140237000000001,0.2,1.02287999999998)); #249613=CARTESIAN_POINT('',(-0.140237000000001,0.2,1.02287999999998)); #249614=CARTESIAN_POINT('',(-0.0701184999967253,0.2,1.02287999999998)); #249615=CARTESIAN_POINT('',(-0.140237000000001,0.18,1.02287999999998)); #249616=CARTESIAN_POINT('',(-0.140237000000001,0.2,1.02287999999998)); #249617=CARTESIAN_POINT('',(-0.140237000000001,0.18,1.02287999999998)); #249618=CARTESIAN_POINT('Origin',(-0.140237000000001,0.2,1.59243)); #249619=CARTESIAN_POINT('',(-0.140237000000001,0.2,1.59243)); #249620=CARTESIAN_POINT('',(-0.140237000000001,0.2,0.796214999996724)); #249621=CARTESIAN_POINT('',(-0.140237000000001,0.18,1.59243)); #249622=CARTESIAN_POINT('',(-0.140237000000001,0.2,1.59243)); #249623=CARTESIAN_POINT('',(-0.140237000000001,0.18,1.59243)); #249624=CARTESIAN_POINT('Origin',(-0.163042000000013,0.2,1.59243)); #249625=CARTESIAN_POINT('',(-0.163042000000013,0.2,1.59243)); #249626=CARTESIAN_POINT('',(-0.0815209999967315,0.2,1.59243)); #249627=CARTESIAN_POINT('',(-0.163042000000013,0.18,1.59243)); #249628=CARTESIAN_POINT('',(-0.163042000000013,0.2,1.59243)); #249629=CARTESIAN_POINT('',(-0.163042000000013,0.18,1.59243)); #249630=CARTESIAN_POINT('Origin',(-0.17381437158682,0.2,1.70101908744815)); #249631=CARTESIAN_POINT('',(-0.237847999999987,0.2,1.61266000000001)); #249632=CARTESIAN_POINT('Origin',(-0.17381437158682,0.2,1.70101908744815)); #249633=CARTESIAN_POINT('',(-0.237847999999987,0.18,1.61266000000001)); #249634=CARTESIAN_POINT('',(-0.237847999999987,0.2,1.61266000000001)); #249635=CARTESIAN_POINT('Origin',(-0.17381437158682,0.18,1.70101908744815)); #249636=CARTESIAN_POINT('Origin',(-0.191175819598985,0.2,1.66412086783723)); #249637=CARTESIAN_POINT('',(-0.260645000000004,0.2,1.66485999999999)); #249638=CARTESIAN_POINT('Origin',(-0.191175819598985,0.2,1.66412086783723)); #249639=CARTESIAN_POINT('',(-0.260645000000004,0.18,1.66485999999999)); #249640=CARTESIAN_POINT('',(-0.260645000000004,0.2,1.66485999999999)); #249641=CARTESIAN_POINT('Origin',(-0.191175819598985,0.18,1.66412086783723)); #249642=CARTESIAN_POINT('Origin',(-0.189955409569027,0.2,1.66608411419316)); #249643=CARTESIAN_POINT('Origin',(-0.189955409569027,0.2,1.66608411419316)); #249644=CARTESIAN_POINT('Origin',(-0.189955409569027,0.18,1.66608411419316)); #249645=CARTESIAN_POINT('Origin',(0.132194673182005,0.18,1.30729499758846)); #249646=CARTESIAN_POINT('Origin',(-1.70738154099348,0.2,2.71526294114215)); #249647=CARTESIAN_POINT('',(-1.775438,0.2,2.64523000000001)); #249648=CARTESIAN_POINT('',(-1.707826,0.2,2.61760999999998)); #249649=CARTESIAN_POINT('Origin',(-1.70738154099348,0.2,2.71526294114215)); #249650=CARTESIAN_POINT('',(-1.775438,0.18,2.64523000000001)); #249651=CARTESIAN_POINT('',(-1.775438,0.2,2.64523000000001)); #249652=CARTESIAN_POINT('',(-1.707826,0.18,2.61760999999998)); #249653=CARTESIAN_POINT('Origin',(-1.70738154099348,0.18,2.71526294114215)); #249654=CARTESIAN_POINT('',(-1.707826,0.2,2.61760999999998)); #249655=CARTESIAN_POINT('Origin',(-1.70820910803158,0.2,2.71362614992977)); #249656=CARTESIAN_POINT('',(-1.804109,0.2,2.71460999999999)); #249657=CARTESIAN_POINT('Origin',(-1.70820910803158,0.2,2.71362614992977)); #249658=CARTESIAN_POINT('',(-1.804109,0.18,2.71460999999999)); #249659=CARTESIAN_POINT('',(-1.804109,0.2,2.71460999999999)); #249660=CARTESIAN_POINT('Origin',(-1.70820910803158,0.18,2.71362614992977)); #249661=CARTESIAN_POINT('Origin',(-1.70875881791685,0.2,2.7140546601755)); #249662=CARTESIAN_POINT('',(-1.77578899999999,0.2,2.78186999999999)); #249663=CARTESIAN_POINT('Origin',(-1.70875881791685,0.2,2.7140546601755)); #249664=CARTESIAN_POINT('',(-1.77578899999999,0.18,2.78186999999999)); #249665=CARTESIAN_POINT('',(-1.77578899999999,0.2,2.78186999999999)); #249666=CARTESIAN_POINT('Origin',(-1.70875881791685,0.18,2.7140546601755)); #249667=CARTESIAN_POINT('Origin',(-1.70762234991669,0.2,2.71399167531383)); #249668=CARTESIAN_POINT('',(-1.707826,0.2,2.81018999999999)); #249669=CARTESIAN_POINT('Origin',(-1.70762234991669,0.2,2.71399167531383)); #249670=CARTESIAN_POINT('',(-1.707826,0.18,2.81018999999999)); #249671=CARTESIAN_POINT('',(-1.707826,0.2,2.81018999999999)); #249672=CARTESIAN_POINT('Origin',(-1.70762234991669,0.18,2.71399167531383)); #249673=CARTESIAN_POINT('Origin',(-1.682336,0.2,2.81018999999999)); #249674=CARTESIAN_POINT('',(-1.682336,0.2,2.81018999999999)); #249675=CARTESIAN_POINT('',(-0.841167999996724,0.2,2.81018999999999)); #249676=CARTESIAN_POINT('',(-1.682336,0.18,2.81018999999999)); #249677=CARTESIAN_POINT('',(-1.682336,0.2,2.81018999999999)); #249678=CARTESIAN_POINT('',(-1.682336,0.18,2.81018999999999)); #249679=CARTESIAN_POINT('Origin',(-1.68276459836399,0.2,2.7125332805768)); #249680=CARTESIAN_POINT('',(-1.61471700000001,0.2,2.78257999999999)); #249681=CARTESIAN_POINT('Origin',(-1.68276459836399,0.2,2.7125332805768)); #249682=CARTESIAN_POINT('',(-1.61471700000001,0.18,2.78257999999999)); #249683=CARTESIAN_POINT('',(-1.61471700000001,0.2,2.78257999999999)); #249684=CARTESIAN_POINT('Origin',(-1.68276459836399,0.18,2.7125332805768)); #249685=CARTESIAN_POINT('Origin',(-1.68195703055206,0.2,2.71417910525351)); #249686=CARTESIAN_POINT('',(-1.586046,0.2,2.71319)); #249687=CARTESIAN_POINT('Origin',(-1.68195703055206,0.2,2.71417910525351)); #249688=CARTESIAN_POINT('',(-1.586046,0.18,2.71319)); #249689=CARTESIAN_POINT('',(-1.586046,0.2,2.71319)); #249690=CARTESIAN_POINT('Origin',(-1.68195703055206,0.18,2.71417910525351)); #249691=CARTESIAN_POINT('Origin',(-1.68139618208316,0.2,2.71374533982449)); #249692=CARTESIAN_POINT('',(-1.61436600000001,0.2,2.64593)); #249693=CARTESIAN_POINT('Origin',(-1.68139618208316,0.2,2.71374533982449)); #249694=CARTESIAN_POINT('',(-1.61436600000001,0.18,2.64593)); #249695=CARTESIAN_POINT('',(-1.61436600000001,0.2,2.64593)); #249696=CARTESIAN_POINT('Origin',(-1.68139618208316,0.18,2.71374533982449)); #249697=CARTESIAN_POINT('Origin',(-1.68253618728733,0.2,2.71381686369764)); #249698=CARTESIAN_POINT('',(-1.682336,0.2,2.61760999999998)); #249699=CARTESIAN_POINT('Origin',(-1.68253618728733,0.2,2.71381686369764)); #249700=CARTESIAN_POINT('',(-1.682336,0.18,2.61760999999998)); #249701=CARTESIAN_POINT('',(-1.682336,0.2,2.61760999999998)); #249702=CARTESIAN_POINT('Origin',(-1.68253618728733,0.18,2.71381686369764)); #249703=CARTESIAN_POINT('Origin',(-1.707826,0.2,2.61760999999998)); #249704=CARTESIAN_POINT('',(-0.853912999996724,0.2,2.61760999999998)); #249705=CARTESIAN_POINT('',(-1.707826,0.18,2.61760999999998)); #249706=CARTESIAN_POINT('Origin',(-1.69507747326878,0.18,2.71389999999998)); #249707=CARTESIAN_POINT('Origin',(5.37470099999999,0.2,1.68001999999998)); #249708=CARTESIAN_POINT('',(5.37470099999999,0.2,1.68001999999998)); #249709=CARTESIAN_POINT('',(5.647856,0.2,0.962919999999983)); #249710=CARTESIAN_POINT('',(5.31361144632337,0.2,1.84039531416779)); #249711=CARTESIAN_POINT('',(5.37470099999999,0.18,1.68001999999998)); #249712=CARTESIAN_POINT('',(5.37470099999999,0.2,1.68001999999998)); #249713=CARTESIAN_POINT('',(5.647856,0.18,0.962919999999983)); #249714=CARTESIAN_POINT('',(5.37470099999999,0.18,1.68001999999998)); #249715=CARTESIAN_POINT('',(5.647856,0.2,0.962919999999983)); #249716=CARTESIAN_POINT('Origin',(5.370444,0.2,1.68001999999998)); #249717=CARTESIAN_POINT('',(5.370444,0.2,1.68001999999998)); #249718=CARTESIAN_POINT('',(2.68522200000328,0.2,1.68001999999998)); #249719=CARTESIAN_POINT('',(5.370444,0.18,1.68001999999998)); #249720=CARTESIAN_POINT('',(5.370444,0.2,1.68001999999998)); #249721=CARTESIAN_POINT('',(5.370444,0.18,1.68001999999998)); #249722=CARTESIAN_POINT('Origin',(5.09373299999999,0.2,0.962919999999983)); #249723=CARTESIAN_POINT('',(5.09373299999999,0.2,0.962919999999983)); #249724=CARTESIAN_POINT('',(4.60194876113105,0.2,-0.311544974984393)); #249725=CARTESIAN_POINT('',(5.09373299999999,0.18,0.962919999999983)); #249726=CARTESIAN_POINT('',(5.09373299999999,0.2,0.962919999999983)); #249727=CARTESIAN_POINT('',(5.09373299999999,0.18,0.962919999999983)); #249728=CARTESIAN_POINT('Origin',(5.207968,0.2,0.962919999999983)); #249729=CARTESIAN_POINT('',(5.207968,0.2,0.962919999999983)); #249730=CARTESIAN_POINT('',(2.60398400000328,0.2,0.962919999999983)); #249731=CARTESIAN_POINT('',(5.207968,0.18,0.962919999999983)); #249732=CARTESIAN_POINT('',(5.207968,0.2,0.962919999999983)); #249733=CARTESIAN_POINT('',(5.207968,0.18,0.962919999999983)); #249734=CARTESIAN_POINT('Origin',(5.21239216156183,0.2,0.910444751853987)); #249735=CARTESIAN_POINT('',(5.231024,0.2,0.959699999999977)); #249736=CARTESIAN_POINT('Origin',(5.21239216156183,0.2,0.910444751853987)); #249737=CARTESIAN_POINT('',(5.231024,0.18,0.959699999999977)); #249738=CARTESIAN_POINT('',(5.231024,0.2,0.959699999999977)); #249739=CARTESIAN_POINT('Origin',(5.21239216156183,0.18,0.910444751853987)); #249740=CARTESIAN_POINT('Origin',(5.21752900294955,0.2,0.933231807690653)); #249741=CARTESIAN_POINT('',(5.24272800000001,0.2,0.948969999999996)); #249742=CARTESIAN_POINT('Origin',(5.21752900294955,0.2,0.933231807690653)); #249743=CARTESIAN_POINT('',(5.24272800000001,0.18,0.948969999999996)); #249744=CARTESIAN_POINT('',(5.24272800000001,0.2,0.948969999999996)); #249745=CARTESIAN_POINT('Origin',(5.21752900294955,0.18,0.933231807690653)); #249746=CARTESIAN_POINT('Origin',(5.21841484982305,0.2,0.932654932850507)); #249747=CARTESIAN_POINT('',(5.24769399999999,0.2,0.932859999999991)); #249748=CARTESIAN_POINT('Origin',(5.21841484982305,0.2,0.932654932850507)); #249749=CARTESIAN_POINT('',(5.24769399999999,0.18,0.932859999999991)); #249750=CARTESIAN_POINT('',(5.24769399999999,0.2,0.932859999999991)); #249751=CARTESIAN_POINT('Origin',(5.21841484982305,0.18,0.932654932850507)); #249752=CARTESIAN_POINT('Origin',(5.21689853862108,0.2,0.93297464288586)); #249753=CARTESIAN_POINT('',(5.243086,0.2,0.916769999999989)); #249754=CARTESIAN_POINT('Origin',(5.21689853862108,0.2,0.93297464288586)); #249755=CARTESIAN_POINT('',(5.243086,0.18,0.916769999999989)); #249756=CARTESIAN_POINT('',(5.243086,0.2,0.916769999999989)); #249757=CARTESIAN_POINT('Origin',(5.21689853862108,0.18,0.93297464288586)); #249758=CARTESIAN_POINT('Origin',(5.21923615420691,0.2,0.930609450413673)); #249759=CARTESIAN_POINT('',(5.231734,0.2,0.90602999999998)); #249760=CARTESIAN_POINT('Origin',(5.21923615420691,0.2,0.930609450413673)); #249761=CARTESIAN_POINT('',(5.231734,0.18,0.90602999999998)); #249762=CARTESIAN_POINT('',(5.231734,0.2,0.90602999999998)); #249763=CARTESIAN_POINT('Origin',(5.21923615420691,0.18,0.930609450413673)); #249764=CARTESIAN_POINT('Origin',(5.21274698189867,0.2,0.956852948508313)); #249765=CARTESIAN_POINT('',(5.207968,0.2,0.902809999999992)); #249766=CARTESIAN_POINT('Origin',(5.21274698189867,0.2,0.956852948508313)); #249767=CARTESIAN_POINT('',(5.207968,0.18,0.902809999999992)); #249768=CARTESIAN_POINT('',(5.207968,0.2,0.902809999999992)); #249769=CARTESIAN_POINT('Origin',(5.21274698189867,0.18,0.956852948508313)); #249770=CARTESIAN_POINT('Origin',(4.98589200000001,0.2,0.902810000000009)); #249771=CARTESIAN_POINT('',(4.98589200000001,0.2,0.902810000000009)); #249772=CARTESIAN_POINT('',(2.49294600000331,0.2,0.902810000000209)); #249773=CARTESIAN_POINT('',(4.98589200000001,0.18,0.902810000000009)); #249774=CARTESIAN_POINT('',(4.98589200000001,0.2,0.902810000000009)); #249775=CARTESIAN_POINT('',(4.98589200000001,0.18,0.902810000000009)); #249776=CARTESIAN_POINT('Origin',(4.98162668667423,0.2,0.958537640055237)); #249777=CARTESIAN_POINT('',(4.96247700000001,0.2,0.90602999999998)); #249778=CARTESIAN_POINT('Origin',(4.98162668667423,0.2,0.958537640055237)); #249779=CARTESIAN_POINT('',(4.96247700000001,0.18,0.90602999999998)); #249780=CARTESIAN_POINT('',(4.96247700000001,0.2,0.90602999999998)); #249781=CARTESIAN_POINT('Origin',(4.98162668667423,0.18,0.958537640055237)); #249782=CARTESIAN_POINT('Origin',(4.97416502335613,0.2,0.930512201288298)); #249783=CARTESIAN_POINT('',(4.950774,0.2,0.916769999999989)); #249784=CARTESIAN_POINT('Origin',(4.97416502335613,0.2,0.930512201288298)); #249785=CARTESIAN_POINT('',(4.950774,0.18,0.916769999999989)); #249786=CARTESIAN_POINT('',(4.950774,0.2,0.916769999999989)); #249787=CARTESIAN_POINT('Origin',(4.97416502335613,0.18,0.930512201288298)); #249788=CARTESIAN_POINT('Origin',(4.97695557367773,0.2,0.932988267377048)); #249789=CARTESIAN_POINT('',(4.94615799999999,0.2,0.932859999999991)); #249790=CARTESIAN_POINT('Origin',(4.97695557367773,0.2,0.932988267377048)); #249791=CARTESIAN_POINT('',(4.94615799999999,0.18,0.932859999999991)); #249792=CARTESIAN_POINT('',(4.94615799999999,0.2,0.932859999999991)); #249793=CARTESIAN_POINT('Origin',(4.97695557367773,0.18,0.932988267377048)); #249794=CARTESIAN_POINT('Origin',(4.97699069536305,0.2,0.932741816027534)); #249795=CARTESIAN_POINT('',(4.950774,0.2,0.948969999999996)); #249796=CARTESIAN_POINT('Origin',(4.97699069536305,0.2,0.932741816027534)); #249797=CARTESIAN_POINT('',(4.950774,0.18,0.948969999999996)); #249798=CARTESIAN_POINT('',(4.950774,0.2,0.948969999999996)); #249799=CARTESIAN_POINT('Origin',(4.97699069536305,0.18,0.932741816027534)); #249800=CARTESIAN_POINT('Origin',(4.97414819394561,0.2,0.935223342288349)); #249801=CARTESIAN_POINT('',(4.96247700000001,0.2,0.959699999999977)); #249802=CARTESIAN_POINT('Origin',(4.97414819394561,0.2,0.935223342288349)); #249803=CARTESIAN_POINT('',(4.96247700000001,0.18,0.959699999999977)); #249804=CARTESIAN_POINT('',(4.96247700000001,0.2,0.959699999999977)); #249805=CARTESIAN_POINT('Origin',(4.97414819394561,0.18,0.935223342288349)); #249806=CARTESIAN_POINT('Origin',(4.98162649655241,0.2,0.907193742461399)); #249807=CARTESIAN_POINT('',(4.98589200000001,0.2,0.962919999999983)); #249808=CARTESIAN_POINT('Origin',(4.98162649655241,0.2,0.907193742461399)); #249809=CARTESIAN_POINT('',(4.98589200000001,0.18,0.962919999999983)); #249810=CARTESIAN_POINT('',(4.98589200000001,0.2,0.962919999999983)); #249811=CARTESIAN_POINT('Origin',(4.98162649655241,0.18,0.907193742461399)); #249812=CARTESIAN_POINT('Origin',(5.03201100000001,0.2,0.962919999999983)); #249813=CARTESIAN_POINT('',(5.03201100000001,0.2,0.962919999999983)); #249814=CARTESIAN_POINT('',(2.51600550000328,0.2,0.962919999999983)); #249815=CARTESIAN_POINT('',(5.03201100000001,0.18,0.962919999999983)); #249816=CARTESIAN_POINT('',(5.03201100000001,0.2,0.962919999999983)); #249817=CARTESIAN_POINT('',(5.03201100000001,0.18,0.962919999999983)); #249818=CARTESIAN_POINT('Origin',(5.33212900000001,0.2,1.74012999999999)); #249819=CARTESIAN_POINT('',(5.33212900000001,0.2,1.74012999999999)); #249820=CARTESIAN_POINT('',(4.69379931199013,0.2,0.087059485008671)); #249821=CARTESIAN_POINT('',(5.33212900000001,0.18,1.74012999999999)); #249822=CARTESIAN_POINT('',(5.33212900000001,0.2,1.74012999999999)); #249823=CARTESIAN_POINT('',(5.33212900000001,0.18,1.74012999999999)); #249824=CARTESIAN_POINT('Origin',(5.41513699999999,0.2,1.74012999999999)); #249825=CARTESIAN_POINT('',(5.41513699999999,0.2,1.74012999999999)); #249826=CARTESIAN_POINT('',(2.70756850000327,0.2,1.74012999999999)); #249827=CARTESIAN_POINT('',(5.41513699999999,0.18,1.74012999999999)); #249828=CARTESIAN_POINT('',(5.41513699999999,0.2,1.74012999999999)); #249829=CARTESIAN_POINT('',(5.41513699999999,0.18,1.74012999999999)); #249830=CARTESIAN_POINT('Origin',(5.710295,0.2,0.962919999999983)); #249831=CARTESIAN_POINT('',(5.710295,0.2,0.962919999999983)); #249832=CARTESIAN_POINT('',(5.51021700185735,0.2,1.48976535379846)); #249833=CARTESIAN_POINT('',(5.710295,0.18,0.962919999999983)); #249834=CARTESIAN_POINT('',(5.710295,0.2,0.962919999999983)); #249835=CARTESIAN_POINT('',(5.710295,0.18,0.962919999999983)); #249836=CARTESIAN_POINT('Origin',(5.755698,0.2,0.962919999999983)); #249837=CARTESIAN_POINT('',(5.755698,0.2,0.962919999999983)); #249838=CARTESIAN_POINT('',(2.87784900000328,0.2,0.962919999999983)); #249839=CARTESIAN_POINT('',(5.755698,0.18,0.962919999999983)); #249840=CARTESIAN_POINT('',(5.755698,0.2,0.962919999999983)); #249841=CARTESIAN_POINT('',(5.755698,0.18,0.962919999999983)); #249842=CARTESIAN_POINT('Origin',(5.76048100263736,0.2,0.908865452546053)); #249843=CARTESIAN_POINT('',(5.77947099999998,0.2,0.959699999999977)); #249844=CARTESIAN_POINT('Origin',(5.76048100263736,0.2,0.908865452546053)); #249845=CARTESIAN_POINT('',(5.77947099999998,0.18,0.959699999999977)); #249846=CARTESIAN_POINT('',(5.77947099999998,0.2,0.959699999999977)); #249847=CARTESIAN_POINT('Origin',(5.76048100263736,0.18,0.908865452546053)); #249848=CARTESIAN_POINT('Origin',(5.76597752691811,0.2,0.933235818268603)); #249849=CARTESIAN_POINT('',(5.79117399999999,0.2,0.948969999999996)); #249850=CARTESIAN_POINT('Origin',(5.76597752691811,0.2,0.933235818268603)); #249851=CARTESIAN_POINT('',(5.79117399999999,0.18,0.948969999999996)); #249852=CARTESIAN_POINT('',(5.79117399999999,0.2,0.948969999999996)); #249853=CARTESIAN_POINT('Origin',(5.76597752691811,0.18,0.933235818268603)); #249854=CARTESIAN_POINT('Origin',(5.76686457657578,0.2,0.932654264391797)); #249855=CARTESIAN_POINT('',(5.796141,0.2,0.932859999999991)); #249856=CARTESIAN_POINT('Origin',(5.76686457657578,0.2,0.932654264391797)); #249857=CARTESIAN_POINT('',(5.796141,0.18,0.932859999999991)); #249858=CARTESIAN_POINT('',(5.796141,0.2,0.932859999999991)); #249859=CARTESIAN_POINT('Origin',(5.76686457657578,0.18,0.932654264391797)); #249860=CARTESIAN_POINT('Origin',(5.76534742632211,0.2,0.932974102268957)); #249861=CARTESIAN_POINT('',(5.791533,0.2,0.916769999999989)); #249862=CARTESIAN_POINT('Origin',(5.76534742632211,0.2,0.932974102268957)); #249863=CARTESIAN_POINT('',(5.791533,0.18,0.916769999999989)); #249864=CARTESIAN_POINT('',(5.791533,0.2,0.916769999999989)); #249865=CARTESIAN_POINT('Origin',(5.76534742632211,0.18,0.932974102268957)); #249866=CARTESIAN_POINT('Origin',(5.7681396569314,0.2,0.930523433908377)); #249867=CARTESIAN_POINT('',(5.77982199999999,0.2,0.90602999999998)); #249868=CARTESIAN_POINT('Origin',(5.7681396569314,0.2,0.930523433908377)); #249869=CARTESIAN_POINT('',(5.77982199999999,0.18,0.90602999999998)); #249870=CARTESIAN_POINT('',(5.77982199999999,0.2,0.90602999999998)); #249871=CARTESIAN_POINT('Origin',(5.7681396569314,0.18,0.930523433908377)); #249872=CARTESIAN_POINT('Origin',(5.76031815582319,0.2,0.960173741901187)); #249873=CARTESIAN_POINT('',(5.755698,0.2,0.902809999999992)); #249874=CARTESIAN_POINT('Origin',(5.76031815582319,0.2,0.960173741901187)); #249875=CARTESIAN_POINT('',(5.755698,0.18,0.902809999999992)); #249876=CARTESIAN_POINT('',(5.755698,0.2,0.902809999999992)); #249877=CARTESIAN_POINT('Origin',(5.76031815582319,0.18,0.960173741901187)); #249878=CARTESIAN_POINT('Origin',(5.534338,0.2,0.902809999999992)); #249879=CARTESIAN_POINT('',(5.534338,0.2,0.902810000000009)); #249880=CARTESIAN_POINT('',(2.76716900000327,0.2,0.902809999999992)); #249881=CARTESIAN_POINT('',(5.534338,0.18,0.902810000000009)); #249882=CARTESIAN_POINT('',(5.534338,0.2,0.902810000000009)); #249883=CARTESIAN_POINT('',(5.534338,0.18,0.902809999999992)); #249884=CARTESIAN_POINT('Origin',(5.52955517700917,0.2,0.956865873770045)); #249885=CARTESIAN_POINT('',(5.51056500000001,0.2,0.90602999999998)); #249886=CARTESIAN_POINT('Origin',(5.52955517700917,0.2,0.956865873770045)); #249887=CARTESIAN_POINT('',(5.51056500000001,0.18,0.90602999999998)); #249888=CARTESIAN_POINT('',(5.51056500000001,0.2,0.90602999999998)); #249889=CARTESIAN_POINT('Origin',(5.52955517700917,0.18,0.956865873770045)); #249890=CARTESIAN_POINT('Origin',(5.52407712058842,0.2,0.932499855842277)); #249891=CARTESIAN_POINT('',(5.498862,0.2,0.916769999999989)); #249892=CARTESIAN_POINT('Origin',(5.52407712058842,0.2,0.932499855842277)); #249893=CARTESIAN_POINT('',(5.498862,0.18,0.916769999999989)); #249894=CARTESIAN_POINT('',(5.498862,0.2,0.916769999999989)); #249895=CARTESIAN_POINT('Origin',(5.52407712058842,0.18,0.932499855842277)); #249896=CARTESIAN_POINT('Origin',(5.52313842885047,0.2,0.933075818309526)); #249897=CARTESIAN_POINT('',(5.49389499999999,0.2,0.932859999999991)); #249898=CARTESIAN_POINT('Origin',(5.52313842885047,0.2,0.933075818309526)); #249899=CARTESIAN_POINT('',(5.49389499999999,0.18,0.932859999999991)); #249900=CARTESIAN_POINT('',(5.49389499999999,0.2,0.932859999999991)); #249901=CARTESIAN_POINT('Origin',(5.52313842885047,0.18,0.933075818309526)); #249902=CARTESIAN_POINT('Origin',(5.52472369536304,0.2,0.9327559809911)); #249903=CARTESIAN_POINT('',(5.49850300000001,0.2,0.948969999999996)); #249904=CARTESIAN_POINT('Origin',(5.52472369536304,0.2,0.9327559809911)); #249905=CARTESIAN_POINT('',(5.49850300000001,0.18,0.948969999999996)); #249906=CARTESIAN_POINT('',(5.49850300000001,0.2,0.948969999999996)); #249907=CARTESIAN_POINT('Origin',(5.52472369536304,0.18,0.9327559809911)); #249908=CARTESIAN_POINT('Origin',(5.52187951531173,0.2,0.935212109942594)); #249909=CARTESIAN_POINT('',(5.510214,0.2,0.959699999999977)); #249910=CARTESIAN_POINT('Origin',(5.52187951531173,0.2,0.935212109942594)); #249911=CARTESIAN_POINT('',(5.510214,0.18,0.959699999999977)); #249912=CARTESIAN_POINT('',(5.510214,0.2,0.959699999999977)); #249913=CARTESIAN_POINT('Origin',(5.52187951531173,0.18,0.935212109942594)); #249914=CARTESIAN_POINT('Origin',(5.52971833835126,0.2,0.905552555780886)); #249915=CARTESIAN_POINT('',(5.534338,0.2,0.962919999999983)); #249916=CARTESIAN_POINT('Origin',(5.52971833835126,0.2,0.905552555780886)); #249917=CARTESIAN_POINT('',(5.534338,0.18,0.962919999999983)); #249918=CARTESIAN_POINT('',(5.534338,0.2,0.962919999999983)); #249919=CARTESIAN_POINT('Origin',(5.52971833835126,0.18,0.905552555780886)); #249920=CARTESIAN_POINT('Origin',(5.647856,0.2,0.962919999999983)); #249921=CARTESIAN_POINT('',(2.82392800000327,0.2,0.962919999999983)); #249922=CARTESIAN_POINT('',(5.647856,0.18,0.962919999999983)); #249923=CARTESIAN_POINT('Origin',(5.3711495,0.18,1.32136441110598)); #249924=CARTESIAN_POINT('Origin',(8.58747946371083,0.2,1.22082429796523)); #249925=CARTESIAN_POINT('',(9.10111099999999,0.2,1.22485999999999)); #249926=CARTESIAN_POINT('',(9.07734499999999,0.2,1.06634)); #249927=CARTESIAN_POINT('Origin',(8.58747946371083,0.2,1.22082429796523)); #249928=CARTESIAN_POINT('',(9.10111099999999,0.18,1.22485999999999)); #249929=CARTESIAN_POINT('',(9.10111099999999,0.2,1.22485999999999)); #249930=CARTESIAN_POINT('',(9.07734499999999,0.18,1.06634)); #249931=CARTESIAN_POINT('Origin',(8.58747946371083,0.18,1.22082429796523)); #249932=CARTESIAN_POINT('',(9.07734499999999,0.2,1.06634)); #249933=CARTESIAN_POINT('Origin',(9.10111099999999,0.2,1.35725999999998)); #249934=CARTESIAN_POINT('',(9.10111099999999,0.2,1.35725999999998)); #249935=CARTESIAN_POINT('',(9.10111099999999,0.2,0.678629999996715)); #249936=CARTESIAN_POINT('',(9.10111099999999,0.18,1.35725999999998)); #249937=CARTESIAN_POINT('',(9.10111099999999,0.2,1.35725999999998)); #249938=CARTESIAN_POINT('',(9.10111099999999,0.18,1.35725999999998)); #249939=CARTESIAN_POINT('Origin',(8.60312657225745,0.2,1.36698628572722)); #249940=CARTESIAN_POINT('',(9.044356,0.2,1.59806999999997)); #249941=CARTESIAN_POINT('Origin',(8.60312657225745,0.2,1.36698628572722)); #249942=CARTESIAN_POINT('',(9.044356,0.18,1.59806999999997)); #249943=CARTESIAN_POINT('',(9.044356,0.2,1.59806999999997)); #249944=CARTESIAN_POINT('Origin',(8.60312657225745,0.18,1.36698628572722)); #249945=CARTESIAN_POINT('Origin',(8.87894169224155,0.2,1.51791502951056)); #249946=CARTESIAN_POINT('',(8.88826600000001,0.2,1.70148999999999)); #249947=CARTESIAN_POINT('Origin',(8.87894169224155,0.2,1.51791502951056)); #249948=CARTESIAN_POINT('',(8.88826600000001,0.18,1.70148999999999)); #249949=CARTESIAN_POINT('',(8.88826600000001,0.2,1.70148999999999)); #249950=CARTESIAN_POINT('Origin',(8.87894169224155,0.18,1.51791502951056)); #249951=CARTESIAN_POINT('Origin',(8.88670759157468,0.2,1.53159183248626)); #249952=CARTESIAN_POINT('',(8.79673600000001,0.2,1.67571999999998)); #249953=CARTESIAN_POINT('Origin',(8.88670759157468,0.2,1.53159183248626)); #249954=CARTESIAN_POINT('',(8.79673600000001,0.18,1.67571999999998)); #249955=CARTESIAN_POINT('',(8.79673600000001,0.2,1.67571999999998)); #249956=CARTESIAN_POINT('Origin',(8.88670759157468,0.18,1.53159183248626)); #249957=CARTESIAN_POINT('Origin',(8.87878459158899,0.2,1.54196573289139)); #249958=CARTESIAN_POINT('',(8.751334,0.2,1.63349999999998)); #249959=CARTESIAN_POINT('Origin',(8.87878459158899,0.2,1.54196573289139)); #249960=CARTESIAN_POINT('',(8.751334,0.18,1.63349999999998)); #249961=CARTESIAN_POINT('',(8.751334,0.2,1.63349999999998)); #249962=CARTESIAN_POINT('Origin',(8.87878459158899,0.18,1.54196573289139)); #249963=CARTESIAN_POINT('Origin',(9.11507699375586,0.2,1.39402944150085)); #249964=CARTESIAN_POINT('',(8.69705000000001,0.2,1.51612999999999)); #249965=CARTESIAN_POINT('Origin',(9.11507699375586,0.2,1.39402944150085)); #249966=CARTESIAN_POINT('',(8.69705000000001,0.18,1.51612999999999)); #249967=CARTESIAN_POINT('',(8.69705000000001,0.2,1.51612999999999)); #249968=CARTESIAN_POINT('Origin',(9.11507699375586,0.18,1.39402944150085)); #249969=CARTESIAN_POINT('Origin',(9.19037245267691,0.2,1.36112254641928)); #249970=CARTESIAN_POINT('',(8.673285,0.2,1.35725999999998)); #249971=CARTESIAN_POINT('Origin',(9.19037245267691,0.2,1.36112254641928)); #249972=CARTESIAN_POINT('',(8.673285,0.18,1.35725999999998)); #249973=CARTESIAN_POINT('',(8.673285,0.2,1.35725999999998)); #249974=CARTESIAN_POINT('Origin',(9.19037245267691,0.18,1.36112254641928)); #249975=CARTESIAN_POINT('Origin',(8.673285,0.2,1.22485999999999)); #249976=CARTESIAN_POINT('',(8.673285,0.2,1.22485999999999)); #249977=CARTESIAN_POINT('',(8.673285,0.2,0.612429999996717)); #249978=CARTESIAN_POINT('',(8.673285,0.18,1.22485999999999)); #249979=CARTESIAN_POINT('',(8.673285,0.2,1.22485999999999)); #249980=CARTESIAN_POINT('',(8.673285,0.18,1.22485999999999)); #249981=CARTESIAN_POINT('Origin',(9.16919350382179,0.2,1.21498485910067)); #249982=CARTESIAN_POINT('',(8.73004800000001,0.2,0.984389999999991)); #249983=CARTESIAN_POINT('Origin',(9.16919350382179,0.2,1.21498485910067)); #249984=CARTESIAN_POINT('',(8.73004800000001,0.18,0.984389999999991)); #249985=CARTESIAN_POINT('',(8.73004800000001,0.2,0.984389999999991)); #249986=CARTESIAN_POINT('Origin',(9.16919350382179,0.18,1.21498485910067)); #249987=CARTESIAN_POINT('Origin',(8.89564919144614,0.2,1.06554950283417)); #249988=CARTESIAN_POINT('',(8.886847,0.2,0.881340000000002)); #249989=CARTESIAN_POINT('Origin',(8.89564919144614,0.2,1.06554950283417)); #249990=CARTESIAN_POINT('',(8.886847,0.18,0.881340000000002)); #249991=CARTESIAN_POINT('',(8.886847,0.2,0.881340000000002)); #249992=CARTESIAN_POINT('Origin',(8.89564919144614,0.18,1.06554950283417)); #249993=CARTESIAN_POINT('Origin',(8.88824395118777,0.2,1.05341147330478)); #249994=CARTESIAN_POINT('',(8.97766,0.2,0.906389999999995)); #249995=CARTESIAN_POINT('Origin',(8.88824395118777,0.2,1.05341147330478)); #249996=CARTESIAN_POINT('',(8.97766,0.18,0.906389999999995)); #249997=CARTESIAN_POINT('',(8.97766,0.2,0.906389999999995)); #249998=CARTESIAN_POINT('Origin',(8.88824395118777,0.18,1.05341147330478)); #249999=CARTESIAN_POINT('Origin',(8.8926258254319,0.2,1.0439562314254)); #250000=CARTESIAN_POINT('',(9.023779,0.2,0.949329999999975)); #250001=CARTESIAN_POINT('Origin',(8.8926258254319,0.2,1.0439562314254)); #250002=CARTESIAN_POINT('',(9.023779,0.18,0.949329999999975)); #250003=CARTESIAN_POINT('',(9.023779,0.2,0.949329999999975)); #250004=CARTESIAN_POINT('Origin',(8.8926258254319,0.18,1.0439562314254)); #250005=CARTESIAN_POINT('Origin',(8.64365450271257,0.2,1.19411315571055)); #250006=CARTESIAN_POINT('Origin',(8.64365450271257,0.2,1.19411315571055)); #250007=CARTESIAN_POINT('Origin',(8.64365450271257,0.18,1.19411315571055)); #250008=CARTESIAN_POINT('Origin',(8.68130429065766,0.2,1.39007958759155)); #250009=CARTESIAN_POINT('',(9.160712,0.2,1.36583999999999)); #250010=CARTESIAN_POINT('',(9.069189,0.2,1.67285999999999)); #250011=CARTESIAN_POINT('Origin',(8.68130429065766,0.2,1.39007958759155)); #250012=CARTESIAN_POINT('',(9.160712,0.18,1.36583999999999)); #250013=CARTESIAN_POINT('',(9.160712,0.2,1.36583999999999)); #250014=CARTESIAN_POINT('',(9.069189,0.18,1.67285999999999)); #250015=CARTESIAN_POINT('Origin',(8.68130429065766,0.18,1.39007958759155)); #250016=CARTESIAN_POINT('',(9.069189,0.2,1.67285999999999)); #250017=CARTESIAN_POINT('Origin',(9.160712,0.2,1.21697999999999)); #250018=CARTESIAN_POINT('',(9.160712,0.2,1.21697999999999)); #250019=CARTESIAN_POINT('',(9.160712,0.2,0.608489999996717)); #250020=CARTESIAN_POINT('',(9.160712,0.18,1.21697999999999)); #250021=CARTESIAN_POINT('',(9.160712,0.2,1.21697999999999)); #250022=CARTESIAN_POINT('',(9.160712,0.18,1.21697999999999)); #250023=CARTESIAN_POINT('Origin',(8.57385199153726,0.2,1.20722800693208)); #250024=CARTESIAN_POINT('',(9.145102,0.2,1.07241999999998)); #250025=CARTESIAN_POINT('Origin',(8.57385199153726,0.2,1.20722800693208)); #250026=CARTESIAN_POINT('',(9.145102,0.18,1.07241999999998)); #250027=CARTESIAN_POINT('',(9.145102,0.2,1.07241999999998)); #250028=CARTESIAN_POINT('Origin',(8.57385199153726,0.18,1.20722800693208)); #250029=CARTESIAN_POINT('Origin',(8.58208607168492,0.2,1.22807445602364)); #250030=CARTESIAN_POINT('',(9.10962500000001,0.2,0.977239999999995)); #250031=CARTESIAN_POINT('Origin',(8.58208607168492,0.2,1.22807445602364)); #250032=CARTESIAN_POINT('',(9.10962500000001,0.18,0.977239999999995)); #250033=CARTESIAN_POINT('',(9.10962500000001,0.2,0.977239999999995)); #250034=CARTESIAN_POINT('Origin',(8.58208607168492,0.18,1.22807445602364)); #250035=CARTESIAN_POINT('Origin',(8.82110262553421,0.2,1.09654757173539)); #250036=CARTESIAN_POINT('',(9.064223,0.2,0.900659999999984)); #250037=CARTESIAN_POINT('Origin',(8.82110262553421,0.2,1.09654757173539)); #250038=CARTESIAN_POINT('',(9.064223,0.18,0.900659999999984)); #250039=CARTESIAN_POINT('',(9.064223,0.2,0.900659999999984)); #250040=CARTESIAN_POINT('Origin',(8.82110262553421,0.18,1.09654757173539)); #250041=CARTESIAN_POINT('Origin',(8.88997240653922,0.2,1.05105721629192)); #250042=CARTESIAN_POINT('',(8.985464,0.2,0.841619999999956)); #250043=CARTESIAN_POINT('Origin',(8.88997240653922,0.2,1.05105721629192)); #250044=CARTESIAN_POINT('',(8.985464,0.18,0.841619999999956)); #250045=CARTESIAN_POINT('',(8.985464,0.2,0.841619999999956)); #250046=CARTESIAN_POINT('Origin',(8.88997240653922,0.18,1.05105721629192)); #250047=CARTESIAN_POINT('Origin',(8.88738558984667,0.2,1.05886735816204)); #250048=CARTESIAN_POINT('',(8.886137,0.2,0.820509999999981)); #250049=CARTESIAN_POINT('Origin',(8.88738558984667,0.2,1.05886735816204)); #250050=CARTESIAN_POINT('',(8.886137,0.18,0.820509999999981)); #250051=CARTESIAN_POINT('',(8.886137,0.2,0.820509999999981)); #250052=CARTESIAN_POINT('Origin',(8.88738558984667,0.18,1.05886735816204)); #250053=CARTESIAN_POINT('Origin',(8.88853421200074,0.2,1.05420022482878)); #250054=CARTESIAN_POINT('',(8.705214,0.2,0.909249999999986)); #250055=CARTESIAN_POINT('Origin',(8.88853421200074,0.2,1.05420022482878)); #250056=CARTESIAN_POINT('',(8.705214,0.18,0.909249999999986)); #250057=CARTESIAN_POINT('',(8.705214,0.2,0.909249999999986)); #250058=CARTESIAN_POINT('Origin',(8.88853421200074,0.18,1.05420022482878)); #250059=CARTESIAN_POINT('Origin',(9.09409830757178,0.2,1.19239537930016)); #250060=CARTESIAN_POINT('',(8.61368400000001,0.2,1.21697999999999)); #250061=CARTESIAN_POINT('Origin',(9.09409830757178,0.2,1.19239537930016)); #250062=CARTESIAN_POINT('',(8.61368400000001,0.18,1.21697999999999)); #250063=CARTESIAN_POINT('',(8.61368400000001,0.2,1.21697999999999)); #250064=CARTESIAN_POINT('Origin',(9.09409830757178,0.18,1.19239537930016)); #250065=CARTESIAN_POINT('Origin',(8.61368400000001,0.2,1.36583999999999)); #250066=CARTESIAN_POINT('',(8.61368400000001,0.2,1.36583999999999)); #250067=CARTESIAN_POINT('',(8.61368400000001,0.2,0.68291999999672)); #250068=CARTESIAN_POINT('',(8.61368400000001,0.18,1.36583999999999)); #250069=CARTESIAN_POINT('',(8.61368400000001,0.2,1.36583999999999)); #250070=CARTESIAN_POINT('',(8.61368400000001,0.18,1.36583999999999)); #250071=CARTESIAN_POINT('Origin',(9.17375845870372,0.2,1.37515325018365)); #250072=CARTESIAN_POINT('',(8.630003,0.2,1.50969)); #250073=CARTESIAN_POINT('Origin',(9.17375845870372,0.2,1.37515325018365)); #250074=CARTESIAN_POINT('',(8.630003,0.18,1.50969)); #250075=CARTESIAN_POINT('',(8.630003,0.2,1.50969)); #250076=CARTESIAN_POINT('Origin',(9.17375845870372,0.18,1.37515325018365)); #250077=CARTESIAN_POINT('Origin',(9.12779504643058,0.2,1.38178156283063)); #250078=CARTESIAN_POINT('',(8.66512099999999,0.2,1.60558999999999)); #250079=CARTESIAN_POINT('Origin',(9.12779504643058,0.2,1.38178156283063)); #250080=CARTESIAN_POINT('',(8.66512099999999,0.18,1.60558999999999)); #250081=CARTESIAN_POINT('',(8.66512099999999,0.2,1.60558999999999)); #250082=CARTESIAN_POINT('Origin',(9.12779504643058,0.18,1.38178156283063)); #250083=CARTESIAN_POINT('Origin',(8.95825857428375,0.2,1.482782097321)); #250084=CARTESIAN_POINT('',(8.710181,0.2,1.68145000000001)); #250085=CARTESIAN_POINT('Origin',(8.95825857428375,0.2,1.482782097321)); #250086=CARTESIAN_POINT('',(8.710181,0.18,1.68145000000001)); #250087=CARTESIAN_POINT('',(8.710181,0.2,1.68145000000001)); #250088=CARTESIAN_POINT('Origin',(8.95825857428375,0.18,1.482782097321)); #250089=CARTESIAN_POINT('Origin',(8.88367957093211,0.2,1.53149538097276)); #250090=CARTESIAN_POINT('',(8.78929,0.2,1.74049)); #250091=CARTESIAN_POINT('Origin',(8.88367957093211,0.2,1.53149538097276)); #250092=CARTESIAN_POINT('',(8.78929,0.18,1.74049)); #250093=CARTESIAN_POINT('',(8.78929,0.2,1.74049)); #250094=CARTESIAN_POINT('Origin',(8.88367957093211,0.18,1.53149538097276)); #250095=CARTESIAN_POINT('Origin',(8.88753149502667,0.2,1.52246016230409)); #250096=CARTESIAN_POINT('',(8.88826600000001,0.2,1.76159999999999)); #250097=CARTESIAN_POINT('Origin',(8.88753149502667,0.2,1.52246016230409)); #250098=CARTESIAN_POINT('',(8.88826600000001,0.18,1.76159999999999)); #250099=CARTESIAN_POINT('',(8.88826600000001,0.2,1.76159999999999)); #250100=CARTESIAN_POINT('Origin',(8.88753149502667,0.18,1.52246016230409)); #250101=CARTESIAN_POINT('Origin',(8.88586826579348,0.2,1.5279087104987)); #250102=CARTESIAN_POINT('Origin',(8.88586826579348,0.2,1.5279087104987)); #250103=CARTESIAN_POINT('Origin',(8.88586826579348,0.18,1.5279087104987)); #250104=CARTESIAN_POINT('Origin',(8.88718988568189,0.18,1.29105392888256)); #250105=CARTESIAN_POINT('Origin',(0.67300911592616,0.2,2.53178274811553)); #250106=CARTESIAN_POINT('',(0.710112000000009,0.2,2.53618999999999)); #250107=CARTESIAN_POINT('',(0.699491999999999,0.2,2.55814000000001)); #250108=CARTESIAN_POINT('Origin',(0.67300911592616,0.2,2.53178274811553)); #250109=CARTESIAN_POINT('',(0.710112000000009,0.18,2.53618999999999)); #250110=CARTESIAN_POINT('',(0.710112000000009,0.2,2.53618999999999)); #250111=CARTESIAN_POINT('',(0.699491999999999,0.18,2.55814000000001)); #250112=CARTESIAN_POINT('Origin',(0.67300911592616,0.18,2.53178274811553)); #250113=CARTESIAN_POINT('',(0.699491999999999,0.2,2.55814000000001)); #250114=CARTESIAN_POINT('Origin',(0.907584676620949,0.2,2.5927650420809)); #250115=CARTESIAN_POINT('',(0.787993000000009,0.2,2.42574999999999)); #250116=CARTESIAN_POINT('Origin',(0.907584676620949,0.2,2.5927650420809)); #250117=CARTESIAN_POINT('',(0.787993000000009,0.18,2.42574999999999)); #250118=CARTESIAN_POINT('',(0.787993000000009,0.2,2.42574999999999)); #250119=CARTESIAN_POINT('Origin',(0.907584676620949,0.18,2.5927650420809)); #250120=CARTESIAN_POINT('Origin',(0.924576580268397,0.2,2.61251125902117)); #250121=CARTESIAN_POINT('',(0.926054000000001,0.2,2.38114000000001)); #250122=CARTESIAN_POINT('Origin',(0.924576580268397,0.2,2.61251125902117)); #250123=CARTESIAN_POINT('',(0.926054000000001,0.18,2.38114000000001)); #250124=CARTESIAN_POINT('',(0.926054000000001,0.2,2.38114000000001)); #250125=CARTESIAN_POINT('Origin',(0.924576580268397,0.18,2.61251125902117)); #250126=CARTESIAN_POINT('Origin',(0.927024334558189,0.2,2.59614516809975)); #250127=CARTESIAN_POINT('',(1.074736,0.2,2.43990999999998)); #250128=CARTESIAN_POINT('Origin',(0.927024334558189,0.2,2.59614516809975)); #250129=CARTESIAN_POINT('',(1.074736,0.18,2.43990999999998)); #250130=CARTESIAN_POINT('',(1.074736,0.2,2.43990999999998)); #250131=CARTESIAN_POINT('Origin',(0.927024334558189,0.18,2.59614516809975)); #250132=CARTESIAN_POINT('Origin',(0.947588390520444,0.2,2.57946248480302)); #250133=CARTESIAN_POINT('',(1.136328,0.2,2.57512999999999)); #250134=CARTESIAN_POINT('Origin',(0.947588390520444,0.2,2.57946248480302)); #250135=CARTESIAN_POINT('',(1.136328,0.18,2.57512999999999)); #250136=CARTESIAN_POINT('',(1.136328,0.2,2.57512999999999)); #250137=CARTESIAN_POINT('Origin',(0.947588390520444,0.18,2.57946248480302)); #250138=CARTESIAN_POINT('Origin',(0.982060818767216,0.2,2.57427724152056)); #250139=CARTESIAN_POINT('',(1.12535699999999,0.2,2.63141999999998)); #250140=CARTESIAN_POINT('Origin',(0.982060818767216,0.2,2.57427724152056)); #250141=CARTESIAN_POINT('',(1.12535699999999,0.18,2.63141999999998)); #250142=CARTESIAN_POINT('',(1.12535699999999,0.2,2.63141999999998)); #250143=CARTESIAN_POINT('Origin',(0.982060818767216,0.18,2.57427724152056)); #250144=CARTESIAN_POINT('Origin',(0.894583657795591,0.2,2.53157581180709)); #250145=CARTESIAN_POINT('',(1.084647,0.2,2.6962)); #250146=CARTESIAN_POINT('Origin',(0.894583657795591,0.2,2.53157581180709)); #250147=CARTESIAN_POINT('',(1.084647,0.18,2.6962)); #250148=CARTESIAN_POINT('',(1.084647,0.2,2.6962)); #250149=CARTESIAN_POINT('Origin',(0.894583657795591,0.18,2.53157581180709)); #250150=CARTESIAN_POINT('Origin',(0.0264504449760672,0.2,1.79985463123264)); #250151=CARTESIAN_POINT('',(0.974905000000001,0.2,2.81160999999999)); #250152=CARTESIAN_POINT('Origin',(0.0264504449760672,0.2,1.79985463123264)); #250153=CARTESIAN_POINT('',(0.974905000000001,0.18,2.81160999999999)); #250154=CARTESIAN_POINT('',(0.974905000000001,0.2,2.81160999999999)); #250155=CARTESIAN_POINT('Origin',(0.0264504449760672,0.18,1.79985463123264)); #250156=CARTESIAN_POINT('Origin',(-6.54489880357938,0.2,-4.83699628407946)); #250157=CARTESIAN_POINT('',(0.622312999999997,0.2,3.14295999999999)); #250158=CARTESIAN_POINT('Origin',(-6.54489880357938,0.2,-4.83699628407946)); #250159=CARTESIAN_POINT('',(0.622312999999997,0.18,3.14295999999999)); #250160=CARTESIAN_POINT('',(0.622312999999997,0.2,3.14295999999999)); #250161=CARTESIAN_POINT('Origin',(-6.54489880357938,0.18,-4.83699628407946)); #250162=CARTESIAN_POINT('Origin',(0.622312999999997,0.2,3.23074999999999)); #250163=CARTESIAN_POINT('',(0.622312999999997,0.2,3.23074999999999)); #250164=CARTESIAN_POINT('',(0.622312999999997,0.2,1.61537499999672)); #250165=CARTESIAN_POINT('',(0.622312999999997,0.18,3.23074999999999)); #250166=CARTESIAN_POINT('',(0.622312999999997,0.2,3.23074999999999)); #250167=CARTESIAN_POINT('',(0.622312999999997,0.18,3.23074999999999)); #250168=CARTESIAN_POINT('Origin',(1.197218,0.2,3.23074999999999)); #250169=CARTESIAN_POINT('',(1.197218,0.2,3.23074999999999)); #250170=CARTESIAN_POINT('',(0.598609000003274,0.2,3.23074999999999)); #250171=CARTESIAN_POINT('',(1.197218,0.18,3.23074999999999)); #250172=CARTESIAN_POINT('',(1.197218,0.2,3.23074999999999)); #250173=CARTESIAN_POINT('',(1.197218,0.18,3.23074999999999)); #250174=CARTESIAN_POINT('Origin',(1.19721799999999,0.2,3.14790999999998)); #250175=CARTESIAN_POINT('',(1.19721799999999,0.2,3.14790999999998)); #250176=CARTESIAN_POINT('',(1.19721799999982,0.2,1.57395499999665)); #250177=CARTESIAN_POINT('',(1.19721799999999,0.18,3.14790999999998)); #250178=CARTESIAN_POINT('',(1.19721799999999,0.2,3.14790999999998)); #250179=CARTESIAN_POINT('',(1.19721799999999,0.18,3.14790999999998)); #250180=CARTESIAN_POINT('Origin',(1.15404254112163,0.2,3.14355832209285)); #250181=CARTESIAN_POINT('',(1.18871900000001,0.2,3.11746999999999)); #250182=CARTESIAN_POINT('Origin',(1.15404254112163,0.2,3.14355832209285)); #250183=CARTESIAN_POINT('',(1.18871900000001,0.18,3.11746999999999)); #250184=CARTESIAN_POINT('',(1.18871900000001,0.2,3.11746999999999)); #250185=CARTESIAN_POINT('Origin',(1.15404254112163,0.18,3.14355832209285)); #250186=CARTESIAN_POINT('Origin',(1.16758147994443,0.2,3.13712038827147)); #250187=CARTESIAN_POINT('',(1.16747900000001,0.2,3.10825999999999)); #250188=CARTESIAN_POINT('Origin',(1.16758147994443,0.2,3.13712038827147)); #250189=CARTESIAN_POINT('',(1.16747900000001,0.18,3.10825999999999)); #250190=CARTESIAN_POINT('',(1.16747900000001,0.2,3.10825999999999)); #250191=CARTESIAN_POINT('Origin',(1.16758147994443,0.18,3.13712038827147)); #250192=CARTESIAN_POINT('Origin',(1.16702612068518,0.2,3.13792348035548)); #250193=CARTESIAN_POINT('',(1.145537,0.2,3.11746999999999)); #250194=CARTESIAN_POINT('Origin',(1.16702612068518,0.2,3.13792348035548)); #250195=CARTESIAN_POINT('',(1.145537,0.18,3.11746999999999)); #250196=CARTESIAN_POINT('',(1.145537,0.2,3.11746999999999)); #250197=CARTESIAN_POINT('Origin',(1.16702612068518,0.18,3.13792348035548)); #250198=CARTESIAN_POINT('Origin',(1.18021586634715,0.2,3.14355899427017)); #250199=CARTESIAN_POINT('',(1.137038,0.2,3.14790999999998)); #250200=CARTESIAN_POINT('Origin',(1.18021586634715,0.2,3.14355899427017)); #250201=CARTESIAN_POINT('',(1.137038,0.18,3.14790999999998)); #250202=CARTESIAN_POINT('',(1.137038,0.2,3.14790999999998)); #250203=CARTESIAN_POINT('Origin',(1.18021586634715,0.18,3.14355899427017)); #250204=CARTESIAN_POINT('Origin',(1.137038,0.2,3.17128)); #250205=CARTESIAN_POINT('',(1.137038,0.2,3.17128)); #250206=CARTESIAN_POINT('',(1.137038,0.2,1.58563999999673)); #250207=CARTESIAN_POINT('',(1.137038,0.18,3.17128)); #250208=CARTESIAN_POINT('',(1.137038,0.2,3.17128)); #250209=CARTESIAN_POINT('',(1.137038,0.18,3.17128)); #250210=CARTESIAN_POINT('Origin',(0.680372999999994,0.2,3.17128)); #250211=CARTESIAN_POINT('',(0.680372999999994,0.2,3.17128)); #250212=CARTESIAN_POINT('',(0.340186500003272,0.2,3.17128)); #250213=CARTESIAN_POINT('',(0.680372999999994,0.18,3.17128)); #250214=CARTESIAN_POINT('',(0.680372999999994,0.2,3.17128)); #250215=CARTESIAN_POINT('',(0.680372999999994,0.18,3.17128)); #250216=CARTESIAN_POINT('Origin',(0.680372999999994,0.2,3.16703000000002)); #250217=CARTESIAN_POINT('',(0.680372999999985,0.2,3.16703000000002)); #250218=CARTESIAN_POINT('',(0.680372999999994,0.2,1.58351499999673)); #250219=CARTESIAN_POINT('',(0.680372999999985,0.18,3.16703000000002)); #250220=CARTESIAN_POINT('',(0.680372999999985,0.2,3.16703000000002)); #250221=CARTESIAN_POINT('',(0.680372999999994,0.18,3.16703)); #250222=CARTESIAN_POINT('Origin',(-4.07249349378494,0.2,-2.23201738619379)); #250223=CARTESIAN_POINT('',(1.021635,0.2,2.84629999999998)); #250224=CARTESIAN_POINT('Origin',(-4.07249349378494,0.2,-2.23201738619379)); #250225=CARTESIAN_POINT('',(1.021635,0.18,2.84629999999998)); #250226=CARTESIAN_POINT('',(1.021635,0.2,2.84629999999998)); #250227=CARTESIAN_POINT('Origin',(-4.07249349378494,0.18,-2.23201738619379)); #250228=CARTESIAN_POINT('Origin',(0.0944573209224497,0.2,1.85664799640216)); #250229=CARTESIAN_POINT('',(1.137389,0.2,2.72345999999999)); #250230=CARTESIAN_POINT('Origin',(0.0944573209224497,0.2,1.85664799640216)); #250231=CARTESIAN_POINT('',(1.137389,0.18,2.72345999999999)); #250232=CARTESIAN_POINT('',(1.137389,0.2,2.72345999999999)); #250233=CARTESIAN_POINT('Origin',(0.0944573209224497,0.18,1.85664799640216)); #250234=CARTESIAN_POINT('Origin',(0.90855629101589,0.2,2.53279189850639)); #250235=CARTESIAN_POINT('',(1.183058,0.2,2.64840999999999)); #250236=CARTESIAN_POINT('Origin',(0.90855629101589,0.2,2.53279189850639)); #250237=CARTESIAN_POINT('',(1.183058,0.18,2.64840999999999)); #250238=CARTESIAN_POINT('',(1.183058,0.2,2.64840999999999)); #250239=CARTESIAN_POINT('Origin',(0.90855629101589,0.18,2.53279189850639)); #250240=CARTESIAN_POINT('Origin',(0.990198927643409,0.2,2.57510506521527)); #250241=CARTESIAN_POINT('',(1.19650900000001,0.2,2.57300999999998)); #250242=CARTESIAN_POINT('Origin',(0.990198927643409,0.2,2.57510506521527)); #250243=CARTESIAN_POINT('',(1.19650900000001,0.18,2.57300999999998)); #250244=CARTESIAN_POINT('',(1.19650900000001,0.2,2.57300999999998)); #250245=CARTESIAN_POINT('Origin',(0.990198927643409,0.18,2.57510506521527)); #250246=CARTESIAN_POINT('Origin',(0.95067209156408,0.2,2.57973333684065)); #250247=CARTESIAN_POINT('',(1.11650699999999,0.2,2.39813)); #250248=CARTESIAN_POINT('Origin',(0.95067209156408,0.2,2.57973333684065)); #250249=CARTESIAN_POINT('',(1.11650699999999,0.18,2.39813)); #250250=CARTESIAN_POINT('',(1.11650699999999,0.2,2.39813)); #250251=CARTESIAN_POINT('Origin',(0.95067209156408,0.18,2.57973333684065)); #250252=CARTESIAN_POINT('Origin',(0.925347252508972,0.2,2.59630830864163)); #250253=CARTESIAN_POINT('',(0.925345000000002,0.2,2.32095999999999)); #250254=CARTESIAN_POINT('Origin',(0.925347252508972,0.2,2.59630830864163)); #250255=CARTESIAN_POINT('',(0.925345000000002,0.18,2.32095999999999)); #250256=CARTESIAN_POINT('',(0.925345000000002,0.2,2.32095999999999)); #250257=CARTESIAN_POINT('Origin',(0.925347252508972,0.18,2.59630830864163)); #250258=CARTESIAN_POINT('Origin',(0.927742583343738,0.2,2.61772664589285)); #250259=CARTESIAN_POINT('',(0.791173999999994,0.2,2.35423999999998)); #250260=CARTESIAN_POINT('Origin',(0.927742583343738,0.2,2.61772664589285)); #250261=CARTESIAN_POINT('',(0.791173999999994,0.18,2.35423999999998)); #250262=CARTESIAN_POINT('',(0.791173999999994,0.2,2.35423999999998)); #250263=CARTESIAN_POINT('Origin',(0.927742583343738,0.18,2.61772664589285)); #250264=CARTESIAN_POINT('Origin',(0.907713606149674,0.2,2.58860217472275)); #250265=CARTESIAN_POINT('',(0.688512999999995,0.2,2.44556999999999)); #250266=CARTESIAN_POINT('Origin',(0.907713606149674,0.2,2.58860217472275)); #250267=CARTESIAN_POINT('',(0.688512999999995,0.18,2.44556999999999)); #250268=CARTESIAN_POINT('',(0.688512999999995,0.2,2.44556999999999)); #250269=CARTESIAN_POINT('Origin',(0.907713606149674,0.18,2.58860217472275)); #250270=CARTESIAN_POINT('Origin',(0.827155506716579,0.2,2.55508572386628)); #250271=CARTESIAN_POINT('',(0.651343000000004,0.2,2.53760999999999)); #250272=CARTESIAN_POINT('Origin',(0.827155506716579,0.2,2.55508572386628)); #250273=CARTESIAN_POINT('',(0.651343000000004,0.18,2.53760999999999)); #250274=CARTESIAN_POINT('',(0.651343000000004,0.2,2.53760999999999)); #250275=CARTESIAN_POINT('Origin',(0.827155506716579,0.18,2.55508572386628)); #250276=CARTESIAN_POINT('Origin',(0.678914456874651,0.2,2.53751953215412)); #250277=CARTESIAN_POINT('',(0.659482999999979,0.2,2.55708)); #250278=CARTESIAN_POINT('Origin',(0.678914456874651,0.2,2.53751953215412)); #250279=CARTESIAN_POINT('',(0.659482999999979,0.18,2.55708)); #250280=CARTESIAN_POINT('',(0.659482999999979,0.2,2.55708)); #250281=CARTESIAN_POINT('Origin',(0.678914456874651,0.18,2.53751953215412)); #250282=CARTESIAN_POINT('Origin',(0.679399230832534,0.2,2.53678963904161)); #250283=CARTESIAN_POINT('',(0.679663000000001,0.2,2.56521999999999)); #250284=CARTESIAN_POINT('Origin',(0.679399230832534,0.2,2.53678963904161)); #250285=CARTESIAN_POINT('',(0.679663000000001,0.18,2.56521999999999)); #250286=CARTESIAN_POINT('',(0.679663000000001,0.2,2.56521999999999)); #250287=CARTESIAN_POINT('Origin',(0.679399230832534,0.18,2.53678963904161)); #250288=CARTESIAN_POINT('Origin',(0.679800064368745,0.2,2.5342962752638)); #250289=CARTESIAN_POINT('Origin',(0.679800064368745,0.2,2.5342962752638)); #250290=CARTESIAN_POINT('Origin',(0.679800064368745,0.18,2.5342962752638)); #250291=CARTESIAN_POINT('Origin',(0.909874874918106,0.18,2.77585499999539)); #250292=CARTESIAN_POINT('Origin',(4.43611074757507,0.2,3.03365609583834)); #250293=CARTESIAN_POINT('',(4.30973699999999,0.2,3.12524999999999)); #250294=CARTESIAN_POINT('',(4.355048,0.2,3.16703)); #250295=CARTESIAN_POINT('Origin',(4.43611074757507,0.2,3.03365609583834)); #250296=CARTESIAN_POINT('',(4.30973699999999,0.18,3.12524999999999)); #250297=CARTESIAN_POINT('',(4.30973699999999,0.2,3.12524999999999)); #250298=CARTESIAN_POINT('',(4.355048,0.18,3.16703)); #250299=CARTESIAN_POINT('Origin',(4.43611074757507,0.18,3.03365609583834)); #250300=CARTESIAN_POINT('',(4.355048,0.2,3.16703)); #250301=CARTESIAN_POINT('Origin',(4.66734209609482,0.2,2.88775346550608)); #250302=CARTESIAN_POINT('',(4.25557599999999,0.2,3.00913999999997)); #250303=CARTESIAN_POINT('Origin',(4.66734209609482,0.2,2.88775346550608)); #250304=CARTESIAN_POINT('',(4.25557599999999,0.18,3.00913999999997)); #250305=CARTESIAN_POINT('',(4.25557599999999,0.2,3.00913999999997)); #250306=CARTESIAN_POINT('Origin',(4.66734209609482,0.18,2.88775346550608)); #250307=CARTESIAN_POINT('Origin',(4.73942470086768,0.2,2.85574270654926)); #250308=CARTESIAN_POINT('',(4.231856,0.2,2.85195999999999)); #250309=CARTESIAN_POINT('Origin',(4.73942470086768,0.2,2.85574270654926)); #250310=CARTESIAN_POINT('',(4.231856,0.18,2.85195999999999)); #250311=CARTESIAN_POINT('',(4.231856,0.2,2.85195999999999)); #250312=CARTESIAN_POINT('Origin',(4.73942470086768,0.18,2.85574270654926)); #250313=CARTESIAN_POINT('Origin',(4.23185599999999,0.2,2.72098)); #250314=CARTESIAN_POINT('',(4.23185599999999,0.2,2.72098)); #250315=CARTESIAN_POINT('',(4.2318559999999,0.2,1.36048999999658)); #250316=CARTESIAN_POINT('',(4.23185599999999,0.18,2.72098)); #250317=CARTESIAN_POINT('',(4.23185599999999,0.2,2.72098)); #250318=CARTESIAN_POINT('',(4.23185599999999,0.18,2.72098)); #250319=CARTESIAN_POINT('Origin',(4.71919657045528,0.2,2.71132469317996)); #250320=CARTESIAN_POINT('',(4.28849599999999,0.2,2.48308999999999)); #250321=CARTESIAN_POINT('Origin',(4.71919657045528,0.2,2.71132469317996)); #250322=CARTESIAN_POINT('',(4.28849599999999,0.18,2.48308999999999)); #250323=CARTESIAN_POINT('',(4.28849599999999,0.2,2.48308999999999)); #250324=CARTESIAN_POINT('Origin',(4.71919657045528,0.18,2.71132469317996)); #250325=CARTESIAN_POINT('Origin',(4.4533651201737,0.2,2.56507878204827)); #250326=CARTESIAN_POINT('',(4.44496800000001,0.2,2.38113999999999)); #250327=CARTESIAN_POINT('Origin',(4.4533651201737,0.2,2.56507878204827)); #250328=CARTESIAN_POINT('',(4.44496800000001,0.18,2.38113999999999)); #250329=CARTESIAN_POINT('',(4.44496800000001,0.2,2.38113999999999)); #250330=CARTESIAN_POINT('Origin',(4.4533651201737,0.18,2.56507878204827)); #250331=CARTESIAN_POINT('Origin',(4.44642297065815,0.2,2.55391422481923)); #250332=CARTESIAN_POINT('',(4.53559,0.2,2.40591999999998)); #250333=CARTESIAN_POINT('Origin',(4.44642297065815,0.2,2.55391422481923)); #250334=CARTESIAN_POINT('',(4.53559,0.18,2.40591999999998)); #250335=CARTESIAN_POINT('',(4.53559,0.2,2.40591999999998)); #250336=CARTESIAN_POINT('Origin',(4.44642297065815,0.18,2.55391422481923)); #250337=CARTESIAN_POINT('Origin',(4.45161102515074,0.2,2.54306472275326)); #250338=CARTESIAN_POINT('',(4.58161,0.2,2.44840000000002)); #250339=CARTESIAN_POINT('Origin',(4.45161102515074,0.2,2.54306472275326)); #250340=CARTESIAN_POINT('',(4.58161,0.18,2.44840000000002)); #250341=CARTESIAN_POINT('',(4.58161,0.2,2.44840000000002)); #250342=CARTESIAN_POINT('Origin',(4.45161102515074,0.18,2.54306472275326)); #250343=CARTESIAN_POINT('Origin',(4.20787762474927,0.2,2.69119103208283)); #250344=CARTESIAN_POINT('',(4.635062,0.2,2.56415999999998)); #250345=CARTESIAN_POINT('Origin',(4.20787762474927,0.2,2.69119103208283)); #250346=CARTESIAN_POINT('',(4.635062,0.18,2.56415999999998)); #250347=CARTESIAN_POINT('',(4.635062,0.2,2.56415999999998)); #250348=CARTESIAN_POINT('Origin',(4.20787762474927,0.18,2.69119103208283)); #250349=CARTESIAN_POINT('Origin',(4.15463130631827,0.2,2.71702881331422)); #250350=CARTESIAN_POINT('',(4.658781,0.2,2.72098)); #250351=CARTESIAN_POINT('Origin',(4.15463130631827,0.2,2.71702881331422)); #250352=CARTESIAN_POINT('',(4.658781,0.18,2.72098)); #250353=CARTESIAN_POINT('',(4.658781,0.2,2.72098)); #250354=CARTESIAN_POINT('Origin',(4.15463130631827,0.18,2.71702881331422)); #250355=CARTESIAN_POINT('Origin',(4.658781,0.2,2.85195999999999)); #250356=CARTESIAN_POINT('',(4.658781,0.2,2.85195999999999)); #250357=CARTESIAN_POINT('',(4.658781,0.2,1.42597999999672)); #250358=CARTESIAN_POINT('',(4.658781,0.18,2.85195999999999)); #250359=CARTESIAN_POINT('',(4.658781,0.2,2.85195999999999)); #250360=CARTESIAN_POINT('',(4.658781,0.18,2.85195999999999)); #250361=CARTESIAN_POINT('Origin',(4.16935033339525,0.2,2.8614635596789)); #250362=CARTESIAN_POINT('',(4.602141,0.2,3.09020999999998)); #250363=CARTESIAN_POINT('Origin',(4.16935033339525,0.2,2.8614635596789)); #250364=CARTESIAN_POINT('',(4.602141,0.18,3.09020999999998)); #250365=CARTESIAN_POINT('',(4.602141,0.2,3.09020999999998)); #250366=CARTESIAN_POINT('Origin',(4.16935033339525,0.18,2.8614635596789)); #250367=CARTESIAN_POINT('Origin',(4.43746698461536,0.2,3.00922685453676)); #250368=CARTESIAN_POINT('',(4.446379,0.2,3.19251999999999)); #250369=CARTESIAN_POINT('Origin',(4.43746698461536,0.2,3.00922685453676)); #250370=CARTESIAN_POINT('',(4.446379,0.18,3.19251999999999)); #250371=CARTESIAN_POINT('',(4.446379,0.2,3.19251999999999)); #250372=CARTESIAN_POINT('Origin',(4.43746698461536,0.18,3.00922685453676)); #250373=CARTESIAN_POINT('Origin',(4.44476012202722,0.2,3.02195538303764)); #250374=CARTESIAN_POINT('Origin',(4.44476012202722,0.2,3.02195538303764)); #250375=CARTESIAN_POINT('Origin',(4.44476012202722,0.18,3.02195538303764)); #250376=CARTESIAN_POINT('Origin',(4.44428388110734,0.2,3.01814211428276)); #250377=CARTESIAN_POINT('',(4.62692099999999,0.2,3.16419999999999)); #250378=CARTESIAN_POINT('',(4.446379,0.2,3.25199)); #250379=CARTESIAN_POINT('Origin',(4.44428388110734,0.2,3.01814211428276)); #250380=CARTESIAN_POINT('',(4.62692099999999,0.18,3.16419999999999)); #250381=CARTESIAN_POINT('',(4.62692099999999,0.2,3.16419999999999)); #250382=CARTESIAN_POINT('',(4.446379,0.18,3.25199)); #250383=CARTESIAN_POINT('Origin',(4.44428388110734,0.18,3.01814211428276)); #250384=CARTESIAN_POINT('',(4.446379,0.2,3.25199)); #250385=CARTESIAN_POINT('Origin',(4.2464441387432,0.2,2.88419251463649)); #250386=CARTESIAN_POINT('',(4.718253,0.2,2.86045999999999)); #250387=CARTESIAN_POINT('Origin',(4.2464441387432,0.2,2.88419251463649)); #250388=CARTESIAN_POINT('',(4.718253,0.18,2.86045999999999)); #250389=CARTESIAN_POINT('',(4.718253,0.2,2.86045999999999)); #250390=CARTESIAN_POINT('Origin',(4.2464441387432,0.18,2.88419251463649)); #250391=CARTESIAN_POINT('Origin',(4.718253,0.2,2.71319)); #250392=CARTESIAN_POINT('',(4.718253,0.2,2.71319)); #250393=CARTESIAN_POINT('',(4.718253,0.2,1.35659499999672)); #250394=CARTESIAN_POINT('',(4.718253,0.18,2.71319)); #250395=CARTESIAN_POINT('',(4.718253,0.2,2.71319)); #250396=CARTESIAN_POINT('',(4.718253,0.18,2.71319)); #250397=CARTESIAN_POINT('Origin',(4.14239929710462,0.2,2.70354046653717)); #250398=CARTESIAN_POINT('',(4.70268100000001,0.2,2.57017999999999)); #250399=CARTESIAN_POINT('Origin',(4.14239929710462,0.2,2.70354046653717)); #250400=CARTESIAN_POINT('',(4.70268100000001,0.18,2.57017999999999)); #250401=CARTESIAN_POINT('',(4.70268100000001,0.2,2.57017999999999)); #250402=CARTESIAN_POINT('Origin',(4.14239929710462,0.18,2.70354046653717)); #250403=CARTESIAN_POINT('Origin',(4.14919494816552,0.2,2.7245054941589)); #250404=CARTESIAN_POINT('',(4.66728100000001,0.2,2.47601)); #250405=CARTESIAN_POINT('Origin',(4.14919494816552,0.2,2.7245054941589)); #250406=CARTESIAN_POINT('',(4.66728100000001,0.18,2.47601)); #250407=CARTESIAN_POINT('',(4.66728100000001,0.2,2.47601)); #250408=CARTESIAN_POINT('Origin',(4.14919494816552,0.18,2.7245054941589)); #250409=CARTESIAN_POINT('Origin',(4.38261206383083,0.2,2.5948622713698)); #250410=CARTESIAN_POINT('',(4.62197,0.2,2.40025999999999)); #250411=CARTESIAN_POINT('Origin',(4.38261206383083,0.2,2.5948622713698)); #250412=CARTESIAN_POINT('',(4.62197,0.18,2.40025999999999)); #250413=CARTESIAN_POINT('',(4.62197,0.2,2.40025999999999)); #250414=CARTESIAN_POINT('Origin',(4.38261206383083,0.18,2.5948622713698)); #250415=CARTESIAN_POINT('Origin',(4.44891790127782,0.2,2.55102529361711)); #250416=CARTESIAN_POINT('',(4.54337900000001,0.2,2.34185)); #250417=CARTESIAN_POINT('Origin',(4.44891790127782,0.2,2.55102529361711)); #250418=CARTESIAN_POINT('',(4.54337900000001,0.18,2.34185)); #250419=CARTESIAN_POINT('',(4.54337900000001,0.2,2.34185)); #250420=CARTESIAN_POINT('Origin',(4.44891790127782,0.18,2.55102529361711)); #250421=CARTESIAN_POINT('Origin',(4.44552981486606,0.2,2.56053007224317)); #250422=CARTESIAN_POINT('',(4.444258,0.2,2.32095999999999)); #250423=CARTESIAN_POINT('Origin',(4.44552981486606,0.2,2.56053007224317)); #250424=CARTESIAN_POINT('',(4.444258,0.18,2.32095999999999)); #250425=CARTESIAN_POINT('',(4.444258,0.2,2.32095999999999)); #250426=CARTESIAN_POINT('Origin',(4.44552981486606,0.18,2.56053007224317)); #250427=CARTESIAN_POINT('Origin',(4.44635434775424,0.2,2.5548104128972)); #250428=CARTESIAN_POINT('',(4.263716,0.2,2.40874999999999)); #250429=CARTESIAN_POINT('Origin',(4.44635434775424,0.2,2.5548104128972)); #250430=CARTESIAN_POINT('',(4.263716,0.18,2.40874999999999)); #250431=CARTESIAN_POINT('',(4.263716,0.2,2.40874999999999)); #250432=CARTESIAN_POINT('Origin',(4.44635434775424,0.18,2.5548104128972)); #250433=CARTESIAN_POINT('Origin',(4.64518090723248,0.2,2.68910771916616)); #250434=CARTESIAN_POINT('',(4.172385,0.2,2.71319)); #250435=CARTESIAN_POINT('Origin',(4.64518090723248,0.2,2.68910771916616)); #250436=CARTESIAN_POINT('',(4.172385,0.18,2.71319)); #250437=CARTESIAN_POINT('',(4.172385,0.2,2.71319)); #250438=CARTESIAN_POINT('Origin',(4.64518090723248,0.18,2.68910771916616)); #250439=CARTESIAN_POINT('Origin',(4.172385,0.2,2.86045999999999)); #250440=CARTESIAN_POINT('',(4.172385,0.2,2.86045999999999)); #250441=CARTESIAN_POINT('',(4.172385,0.2,1.43022999999672)); #250442=CARTESIAN_POINT('',(4.172385,0.18,2.86045999999999)); #250443=CARTESIAN_POINT('',(4.172385,0.2,2.86045999999999)); #250444=CARTESIAN_POINT('',(4.172385,0.18,2.86045999999999)); #250445=CARTESIAN_POINT('Origin',(4.72196704049436,0.2,2.8696712875357)); #250446=CARTESIAN_POINT('',(4.188666,0.2,3.00276999999998)); #250447=CARTESIAN_POINT('Origin',(4.72196704049436,0.2,2.8696712875357)); #250448=CARTESIAN_POINT('',(4.188666,0.18,3.00276999999998)); #250449=CARTESIAN_POINT('',(4.188666,0.2,3.00276999999998)); #250450=CARTESIAN_POINT('Origin',(4.72196704049436,0.18,2.8696712875357)); #250451=CARTESIAN_POINT('Origin',(4.67802936777701,0.2,2.87588771870222)); #250452=CARTESIAN_POINT('',(4.22371500000001,0.2,3.09763999999998)); #250453=CARTESIAN_POINT('Origin',(4.67802936777701,0.2,2.87588771870222)); #250454=CARTESIAN_POINT('',(4.22371500000001,0.18,3.09763999999998)); #250455=CARTESIAN_POINT('',(4.22371500000001,0.2,3.09763999999998)); #250456=CARTESIAN_POINT('Origin',(4.67802936777701,0.18,2.87588771870222)); #250457=CARTESIAN_POINT('Origin',(4.51297330153767,0.2,2.97536963258469)); #250458=CARTESIAN_POINT('',(4.268668,0.2,3.17269)); #250459=CARTESIAN_POINT('Origin',(4.51297330153767,0.2,2.97536963258469)); #250460=CARTESIAN_POINT('',(4.268668,0.18,3.17269)); #250461=CARTESIAN_POINT('',(4.268668,0.2,3.17269)); #250462=CARTESIAN_POINT('Origin',(4.51297330153767,0.18,2.97536963258469)); #250463=CARTESIAN_POINT('Origin',(4.44100565701883,0.2,3.02234824745836)); #250464=CARTESIAN_POINT('',(4.34761700000001,0.2,3.23110999999999)); #250465=CARTESIAN_POINT('Origin',(4.44100565701883,0.2,3.02234824745836)); #250466=CARTESIAN_POINT('',(4.34761700000001,0.18,3.23110999999999)); #250467=CARTESIAN_POINT('',(4.34761700000001,0.2,3.23110999999999)); #250468=CARTESIAN_POINT('Origin',(4.44100565701883,0.18,3.02234824745836)); #250469=CARTESIAN_POINT('Origin',(4.44559955792085,0.2,3.01166556209882)); #250470=CARTESIAN_POINT('Origin',(4.44559955792085,0.2,3.01166556209882)); #250471=CARTESIAN_POINT('Origin',(4.44559955792085,0.18,3.01166556209882)); #250472=CARTESIAN_POINT('Origin',(4.4453107900216,0.18,2.78647394406645)); #250473=CARTESIAN_POINT('Origin',(7.70953072820926,0.2,3.20111325774109)); #250474=CARTESIAN_POINT('',(7.689879,0.2,3.22225)); #250475=CARTESIAN_POINT('',(7.68066999999999,0.2,3.20100999999998)); #250476=CARTESIAN_POINT('Origin',(7.70953072820926,0.2,3.20111325774109)); #250477=CARTESIAN_POINT('',(7.689879,0.18,3.22225)); #250478=CARTESIAN_POINT('',(7.689879,0.2,3.22225)); #250479=CARTESIAN_POINT('',(7.68066999999999,0.18,3.20100999999998)); #250480=CARTESIAN_POINT('Origin',(7.70953072820926,0.18,3.20111325774109)); #250481=CARTESIAN_POINT('',(7.68066999999999,0.2,3.20100999999998)); #250482=CARTESIAN_POINT('Origin',(7.71596936580136,0.2,3.187571813546)); #250483=CARTESIAN_POINT('',(7.72032,0.2,3.23074999999999)); #250484=CARTESIAN_POINT('Origin',(7.71596936580136,0.2,3.187571813546)); #250485=CARTESIAN_POINT('',(7.72032,0.18,3.23074999999999)); #250486=CARTESIAN_POINT('',(7.72032,0.2,3.23074999999999)); #250487=CARTESIAN_POINT('Origin',(7.71596936580136,0.18,3.187571813546)); #250488=CARTESIAN_POINT('Origin',(8.18760500000001,0.2,3.23075000000001)); #250489=CARTESIAN_POINT('',(8.18760500000001,0.2,3.23075000000001)); #250490=CARTESIAN_POINT('',(4.09380250000322,0.2,3.23074999999986)); #250491=CARTESIAN_POINT('',(8.18760500000001,0.18,3.23075000000001)); #250492=CARTESIAN_POINT('',(8.18760500000001,0.2,3.23075000000001)); #250493=CARTESIAN_POINT('',(8.18760500000001,0.18,3.23075000000001)); #250494=CARTESIAN_POINT('Origin',(8.19195963419868,0.2,3.18756158308419)); #250495=CARTESIAN_POINT('',(8.218054,0.2,3.22225)); #250496=CARTESIAN_POINT('Origin',(8.19195963419868,0.2,3.18756158308419)); #250497=CARTESIAN_POINT('',(8.218054,0.18,3.22225)); #250498=CARTESIAN_POINT('',(8.218054,0.2,3.22225)); #250499=CARTESIAN_POINT('Origin',(8.19195963419868,0.18,3.18756158308419)); #250500=CARTESIAN_POINT('Origin',(8.1983982717908,0.2,3.20112239379691)); #250501=CARTESIAN_POINT('',(8.22725500000002,0.2,3.20100999999998)); #250502=CARTESIAN_POINT('Origin',(8.1983982717908,0.2,3.20112239379691)); #250503=CARTESIAN_POINT('',(8.22725500000002,0.18,3.20100999999998)); #250504=CARTESIAN_POINT('',(8.22725500000002,0.2,3.20100999999998)); #250505=CARTESIAN_POINT('Origin',(8.1983982717908,0.18,3.20112239379691)); #250506=CARTESIAN_POINT('Origin',(8.19869208470439,0.2,3.20042705109472)); #250507=CARTESIAN_POINT('',(8.218054,0.2,3.17941999999999)); #250508=CARTESIAN_POINT('Origin',(8.19869208470439,0.2,3.20042705109472)); #250509=CARTESIAN_POINT('',(8.218054,0.18,3.17941999999999)); #250510=CARTESIAN_POINT('',(8.218054,0.2,3.17941999999999)); #250511=CARTESIAN_POINT('Origin',(8.19869208470439,0.18,3.20042705109472)); #250512=CARTESIAN_POINT('Origin',(8.19208610974695,0.2,3.21553740661123)); #250513=CARTESIAN_POINT('',(8.18760500000001,0.2,3.17128)); #250514=CARTESIAN_POINT('Origin',(8.19208610974695,0.2,3.21553740661123)); #250515=CARTESIAN_POINT('',(8.18760500000001,0.18,3.17128)); #250516=CARTESIAN_POINT('',(8.18760500000001,0.2,3.17128)); #250517=CARTESIAN_POINT('Origin',(8.19208610974695,0.18,3.21553740661123)); #250518=CARTESIAN_POINT('Origin',(7.983702,0.2,3.17128)); #250519=CARTESIAN_POINT('',(7.983702,0.2,3.17128)); #250520=CARTESIAN_POINT('',(3.99185100000327,0.2,3.17128)); #250521=CARTESIAN_POINT('',(7.983702,0.18,3.17128)); #250522=CARTESIAN_POINT('',(7.983702,0.2,3.17128)); #250523=CARTESIAN_POINT('',(7.983702,0.18,3.17128)); #250524=CARTESIAN_POINT('Origin',(7.983702,0.2,2.33016999999998)); #250525=CARTESIAN_POINT('',(7.983702,0.2,2.33016999999998)); #250526=CARTESIAN_POINT('',(7.983702,0.2,1.16508499999672)); #250527=CARTESIAN_POINT('',(7.983702,0.18,2.33016999999998)); #250528=CARTESIAN_POINT('',(7.983702,0.2,2.33016999999998)); #250529=CARTESIAN_POINT('',(7.983702,0.18,2.33016999999998)); #250530=CARTESIAN_POINT('Origin',(7.711829,0.2,2.41583)); #250531=CARTESIAN_POINT('',(7.711829,0.2,2.41583)); #250532=CARTESIAN_POINT('',(4.55034175161536,0.2,3.41193111227188)); #250533=CARTESIAN_POINT('',(7.711829,0.18,2.41583)); #250534=CARTESIAN_POINT('',(7.711829,0.2,2.41583)); #250535=CARTESIAN_POINT('',(7.711829,0.18,2.41583)); #250536=CARTESIAN_POINT('Origin',(7.72707989874983,0.2,2.4741301771915)); #250537=CARTESIAN_POINT('',(7.68846000000001,0.2,2.42786999999998)); #250538=CARTESIAN_POINT('Origin',(7.72707989874983,0.2,2.4741301771915)); #250539=CARTESIAN_POINT('',(7.68846000000001,0.18,2.42786999999998)); #250540=CARTESIAN_POINT('',(7.68846000000001,0.2,2.42786999999998)); #250541=CARTESIAN_POINT('Origin',(7.72707989874983,0.18,2.4741301771915)); #250542=CARTESIAN_POINT('Origin',(7.71405813438096,0.2,2.44818857676171)); #250543=CARTESIAN_POINT('',(7.68138,0.2,2.44769)); #250544=CARTESIAN_POINT('Origin',(7.71405813438096,0.2,2.44818857676171)); #250545=CARTESIAN_POINT('',(7.68138,0.18,2.44769)); #250546=CARTESIAN_POINT('',(7.68138,0.2,2.44769)); #250547=CARTESIAN_POINT('Origin',(7.71405813438096,0.18,2.44818857676171)); #250548=CARTESIAN_POINT('Origin',(7.71102056018646,0.2,2.44745248646957)); #250549=CARTESIAN_POINT('',(7.69023000000002,0.2,2.46858)); #250550=CARTESIAN_POINT('Origin',(7.71102056018646,0.2,2.44745248646957)); #250551=CARTESIAN_POINT('',(7.69023000000002,0.18,2.46858)); #250552=CARTESIAN_POINT('',(7.69023000000002,0.2,2.46858)); #250553=CARTESIAN_POINT('Origin',(7.71102056018646,0.18,2.44745248646957)); #250554=CARTESIAN_POINT('Origin',(7.71064827679749,0.2,2.44950179104558)); #250555=CARTESIAN_POINT('',(7.70970000000001,0.2,2.47743)); #250556=CARTESIAN_POINT('Origin',(7.71064827679749,0.2,2.44950179104558)); #250557=CARTESIAN_POINT('',(7.70970000000001,0.18,2.47743)); #250558=CARTESIAN_POINT('',(7.70970000000001,0.2,2.47743)); #250559=CARTESIAN_POINT('Origin',(7.71064827679749,0.18,2.44950179104558)); #250560=CARTESIAN_POINT('Origin',(7.70548770852122,0.2,2.40536534427038)); #250561=CARTESIAN_POINT('',(7.73023100000001,0.2,2.47318)); #250562=CARTESIAN_POINT('Origin',(7.70548770852122,0.2,2.40536534427038)); #250563=CARTESIAN_POINT('',(7.73023100000001,0.18,2.47318)); #250564=CARTESIAN_POINT('',(7.73023100000001,0.2,2.47318)); #250565=CARTESIAN_POINT('Origin',(7.70548770852122,0.18,2.40536534427038)); #250566=CARTESIAN_POINT('Origin',(7.924231,0.2,2.41228999999999)); #250567=CARTESIAN_POINT('',(7.924231,0.2,2.41228999999999)); #250568=CARTESIAN_POINT('',(4.66204715695339,0.2,3.43617852682036)); #250569=CARTESIAN_POINT('',(7.924231,0.18,2.41228999999999)); #250570=CARTESIAN_POINT('',(7.924231,0.2,2.41228999999999)); #250571=CARTESIAN_POINT('',(7.924231,0.18,2.41228999999999)); #250572=CARTESIAN_POINT('Origin',(7.924231,0.2,3.17128)); #250573=CARTESIAN_POINT('',(7.924231,0.2,3.17128)); #250574=CARTESIAN_POINT('',(7.924231,0.2,1.58563999999673)); #250575=CARTESIAN_POINT('',(7.924231,0.18,3.17128)); #250576=CARTESIAN_POINT('',(7.924231,0.2,3.17128)); #250577=CARTESIAN_POINT('',(7.924231,0.18,3.17128)); #250578=CARTESIAN_POINT('Origin',(7.72032,0.2,3.17128)); #250579=CARTESIAN_POINT('',(7.72032,0.2,3.17128)); #250580=CARTESIAN_POINT('',(3.86016000000328,0.2,3.17128)); #250581=CARTESIAN_POINT('',(7.72032,0.18,3.17128)); #250582=CARTESIAN_POINT('',(7.72032,0.2,3.17128)); #250583=CARTESIAN_POINT('',(7.72032,0.18,3.17128)); #250584=CARTESIAN_POINT('Origin',(7.715842890253,0.2,3.21552684799654)); #250585=CARTESIAN_POINT('',(7.68987899999998,0.2,3.17941999999999)); #250586=CARTESIAN_POINT('Origin',(7.715842890253,0.2,3.21552684799654)); #250587=CARTESIAN_POINT('',(7.68987899999998,0.18,3.17941999999999)); #250588=CARTESIAN_POINT('',(7.68987899999998,0.2,3.17941999999999)); #250589=CARTESIAN_POINT('Origin',(7.715842890253,0.18,3.21552684799654)); #250590=CARTESIAN_POINT('Origin',(7.70923691529556,0.2,3.20043593017399)); #250591=CARTESIAN_POINT('Origin',(7.70923691529556,0.2,3.20043593017399)); #250592=CARTESIAN_POINT('Origin',(7.70923691529556,0.18,3.20043593017399)); #250593=CARTESIAN_POINT('Origin',(7.95396259030315,0.18,2.78056949050503)); #250594=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250595=CARTESIAN_POINT('',(12.2500000000066,0.2,-2.99999999999775)); #250596=CARTESIAN_POINT('',(11.4999999999977,0.2,-3.75000000000656)); #250597=CARTESIAN_POINT('Origin',(11.4999999999977,0.2,-2.99999999999775)); #250598=CARTESIAN_POINT('',(-11.4999999999937,0.2,-3.75000000000655)); #250599=CARTESIAN_POINT('',(-11.4999999999937,0.2,-3.75000000000655)); #250600=CARTESIAN_POINT('',(-12.2499999999935,0.2,-3.00000000000682)); #250601=CARTESIAN_POINT('Origin',(-11.4999999999937,0.2,-3.00000000000682)); #250602=CARTESIAN_POINT('',(-12.2499999999935,0.2,2.99999999999775)); #250603=CARTESIAN_POINT('',(-12.2499999999935,0.2,2.99999999999775)); #250604=CARTESIAN_POINT('',(-11.4999999999977,0.2,3.74999999999346)); #250605=CARTESIAN_POINT('Origin',(-11.4999999999977,0.2,2.99999999999775)); #250606=CARTESIAN_POINT('',(11.4999999999977,0.2,3.74999999999344)); #250607=CARTESIAN_POINT('',(11.4999999999977,0.2,3.74999999999344)); #250608=CARTESIAN_POINT('',(12.2500000000066,0.2,2.99999999998463)); #250609=CARTESIAN_POINT('Origin',(11.4999999999977,0.2,2.99999999998463)); #250610=CARTESIAN_POINT('',(12.2500000000066,0.2,-2.99999999999775)); #250611=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250612=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250613=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250614=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250615=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250616=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250617=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250618=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250619=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250620=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250621=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250622=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250623=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250624=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250625=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250626=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250627=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250628=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250629=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250630=CARTESIAN_POINT('Origin',(12.2500000000066,0.,2.99999999998463)); #250631=CARTESIAN_POINT('',(12.2500000000066,0.,-2.99999999999775)); #250632=CARTESIAN_POINT('',(12.2500000000066,0.,2.99999999998463)); #250633=CARTESIAN_POINT('',(12.2500000000066,0.,-2.99999999999775)); #250634=CARTESIAN_POINT('',(12.2500000000066,0.,-2.99999999999775)); #250635=CARTESIAN_POINT('',(12.2500000000066,0.,2.99999999998463)); #250636=CARTESIAN_POINT('Origin',(11.4999999999977,0.,2.99999999998463)); #250637=CARTESIAN_POINT('',(11.4999999999977,0.,3.74999999999344)); #250638=CARTESIAN_POINT('Origin',(11.4999999999977,0.,2.99999999998463)); #250639=CARTESIAN_POINT('',(11.4999999999977,0.,3.74999999999344)); #250640=CARTESIAN_POINT('Origin',(-11.4999999999977,0.,3.74999999999346)); #250641=CARTESIAN_POINT('',(-11.4999999999977,0.,3.74999999999346)); #250642=CARTESIAN_POINT('',(11.4999999999977,0.,3.74999999999344)); #250643=CARTESIAN_POINT('',(-11.4999999999977,0.,3.74999999999346)); #250644=CARTESIAN_POINT('Origin',(-11.4999999999977,0.,2.99999999999775)); #250645=CARTESIAN_POINT('',(-12.2499999999935,0.,2.99999999999775)); #250646=CARTESIAN_POINT('Origin',(-11.4999999999977,0.,2.99999999999775)); #250647=CARTESIAN_POINT('',(-12.2499999999935,0.,2.99999999999775)); #250648=CARTESIAN_POINT('Origin',(-12.2499999999935,0.,-3.00000000000682)); #250649=CARTESIAN_POINT('',(-12.2499999999935,0.,-3.00000000000682)); #250650=CARTESIAN_POINT('',(-12.2499999999935,0.,2.99999999999775)); #250651=CARTESIAN_POINT('',(-12.2499999999935,0.,-3.00000000000682)); #250652=CARTESIAN_POINT('Origin',(-11.4999999999937,0.,-3.00000000000682)); #250653=CARTESIAN_POINT('',(-11.4999999999937,0.,-3.75000000000655)); #250654=CARTESIAN_POINT('Origin',(-11.4999999999937,0.,-3.00000000000682)); #250655=CARTESIAN_POINT('',(-11.4999999999937,0.,-3.75000000000655)); #250656=CARTESIAN_POINT('Origin',(11.4999999999977,0.,-3.75000000000656)); #250657=CARTESIAN_POINT('',(11.4999999999977,0.,-3.75000000000656)); #250658=CARTESIAN_POINT('',(-11.4999999999937,0.,-3.75000000000655)); #250659=CARTESIAN_POINT('',(11.4999999999977,0.,-3.75000000000656)); #250660=CARTESIAN_POINT('Origin',(11.4999999999977,0.,-2.99999999999775)); #250661=CARTESIAN_POINT('Origin',(11.4999999999977,0.,-2.99999999999775)); #250662=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.2,-6.55198117982536E-12)); #250663=CARTESIAN_POINT('Origin',(6.55031584528842E-12,0.,-6.55198117982536E-12)); #250664=CARTESIAN_POINT('',(0.,0.,0.)); #250665=CARTESIAN_POINT('',(0.,0.,0.)); #250666=CARTESIAN_POINT('',(0.,0.,0.)); #250667=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #250668=CARTESIAN_POINT('',(16.65,19.,0.7)); #250669=CARTESIAN_POINT('',(16.65,18.65,0.7)); #250670=CARTESIAN_POINT('',(16.65,19.,0.7)); #250671=CARTESIAN_POINT('',(16.35,19.,0.7)); #250672=CARTESIAN_POINT('',(0.,19.,0.7)); #250673=CARTESIAN_POINT('',(16.35,18.65,0.7)); #250674=CARTESIAN_POINT('',(16.35,19.,0.7)); #250675=CARTESIAN_POINT('',(15.65,18.65,0.7)); #250676=CARTESIAN_POINT('',(15.65,18.65,0.7)); #250677=CARTESIAN_POINT('',(15.65,19.,0.7)); #250678=CARTESIAN_POINT('',(15.65,19.,0.7)); #250679=CARTESIAN_POINT('',(15.35,19.,0.7)); #250680=CARTESIAN_POINT('',(0.,19.,0.7)); #250681=CARTESIAN_POINT('',(15.35,18.65,0.7)); #250682=CARTESIAN_POINT('',(15.35,19.,0.7)); #250683=CARTESIAN_POINT('',(14.65,18.65,0.7)); #250684=CARTESIAN_POINT('',(14.65,18.65,0.7)); #250685=CARTESIAN_POINT('',(14.65,19.,0.7)); #250686=CARTESIAN_POINT('',(14.65,19.,0.7)); #250687=CARTESIAN_POINT('',(14.35,19.,0.7)); #250688=CARTESIAN_POINT('',(0.,19.,0.7)); #250689=CARTESIAN_POINT('',(14.35,18.65,0.7)); #250690=CARTESIAN_POINT('',(14.35,19.,0.7)); #250691=CARTESIAN_POINT('',(13.65,18.65,0.7)); #250692=CARTESIAN_POINT('',(13.65,18.65,0.7)); #250693=CARTESIAN_POINT('',(13.65,19.,0.7)); #250694=CARTESIAN_POINT('',(13.65,19.,0.7)); #250695=CARTESIAN_POINT('',(13.35,19.,0.7)); #250696=CARTESIAN_POINT('',(0.,19.,0.7)); #250697=CARTESIAN_POINT('',(13.35,18.65,0.7)); #250698=CARTESIAN_POINT('',(13.35,19.,0.7)); #250699=CARTESIAN_POINT('',(12.65,18.65,0.7)); #250700=CARTESIAN_POINT('',(12.65,18.65,0.7)); #250701=CARTESIAN_POINT('',(12.65,19.,0.7)); #250702=CARTESIAN_POINT('',(12.65,19.,0.7)); #250703=CARTESIAN_POINT('',(11.35,19.,0.7)); #250704=CARTESIAN_POINT('',(0.,19.,0.7)); #250705=CARTESIAN_POINT('',(11.35,18.65,0.7)); #250706=CARTESIAN_POINT('',(11.35,19.,0.7)); #250707=CARTESIAN_POINT('',(10.65,18.65,0.7)); #250708=CARTESIAN_POINT('',(10.65,18.65,0.7)); #250709=CARTESIAN_POINT('',(10.65,19.,0.7)); #250710=CARTESIAN_POINT('',(10.65,19.,0.7)); #250711=CARTESIAN_POINT('',(10.35,19.,0.7)); #250712=CARTESIAN_POINT('',(0.,19.,0.7)); #250713=CARTESIAN_POINT('',(10.35,18.65,0.7)); #250714=CARTESIAN_POINT('',(10.35,19.,0.7)); #250715=CARTESIAN_POINT('',(9.65,18.65,0.7)); #250716=CARTESIAN_POINT('',(9.65,18.65,0.7)); #250717=CARTESIAN_POINT('',(9.65,19.,0.7)); #250718=CARTESIAN_POINT('',(9.65,19.,0.7)); #250719=CARTESIAN_POINT('',(9.35,19.,0.7)); #250720=CARTESIAN_POINT('',(0.,19.,0.7)); #250721=CARTESIAN_POINT('',(9.35,18.65,0.7)); #250722=CARTESIAN_POINT('',(9.35,19.,0.7)); #250723=CARTESIAN_POINT('',(8.65,18.65,0.7)); #250724=CARTESIAN_POINT('',(8.65,18.65,0.7)); #250725=CARTESIAN_POINT('',(8.65,19.,0.7)); #250726=CARTESIAN_POINT('',(8.65,19.,0.7)); #250727=CARTESIAN_POINT('',(8.35,19.,0.7)); #250728=CARTESIAN_POINT('',(0.,19.,0.7)); #250729=CARTESIAN_POINT('',(8.35,18.65,0.7)); #250730=CARTESIAN_POINT('',(8.35,19.,0.7)); #250731=CARTESIAN_POINT('',(7.65,18.65,0.7)); #250732=CARTESIAN_POINT('',(7.65,18.65,0.7)); #250733=CARTESIAN_POINT('',(7.65,19.,0.7)); #250734=CARTESIAN_POINT('',(7.65,19.,0.7)); #250735=CARTESIAN_POINT('',(7.35,19.,0.7)); #250736=CARTESIAN_POINT('',(0.,19.,0.7)); #250737=CARTESIAN_POINT('',(7.35,18.65,0.7)); #250738=CARTESIAN_POINT('',(7.35,19.,0.7)); #250739=CARTESIAN_POINT('',(6.65,18.65,0.7)); #250740=CARTESIAN_POINT('',(6.65,18.65,0.7)); #250741=CARTESIAN_POINT('',(6.65,19.,0.7)); #250742=CARTESIAN_POINT('',(6.65,19.,0.7)); #250743=CARTESIAN_POINT('',(6.35,19.,0.7)); #250744=CARTESIAN_POINT('',(0.,19.,0.7)); #250745=CARTESIAN_POINT('',(6.35,18.65,0.7)); #250746=CARTESIAN_POINT('',(6.35,19.,0.7)); #250747=CARTESIAN_POINT('',(5.65,18.65,0.7)); #250748=CARTESIAN_POINT('',(5.65,18.65,0.7)); #250749=CARTESIAN_POINT('',(5.65,19.,0.7)); #250750=CARTESIAN_POINT('',(5.65,19.,0.7)); #250751=CARTESIAN_POINT('',(5.35,19.,0.7)); #250752=CARTESIAN_POINT('',(0.,19.,0.7)); #250753=CARTESIAN_POINT('',(5.35,18.65,0.7)); #250754=CARTESIAN_POINT('',(5.35,19.,0.7)); #250755=CARTESIAN_POINT('',(4.65,18.65,0.7)); #250756=CARTESIAN_POINT('',(4.65,18.65,0.7)); #250757=CARTESIAN_POINT('',(4.65,19.,0.7)); #250758=CARTESIAN_POINT('',(4.65,19.,0.7)); #250759=CARTESIAN_POINT('',(4.35,19.,0.7)); #250760=CARTESIAN_POINT('',(0.,19.,0.7)); #250761=CARTESIAN_POINT('',(4.35,18.65,0.7)); #250762=CARTESIAN_POINT('',(4.35,19.,0.7)); #250763=CARTESIAN_POINT('',(3.65,18.65,0.7)); #250764=CARTESIAN_POINT('',(3.65,18.65,0.7)); #250765=CARTESIAN_POINT('',(3.65,19.,0.7)); #250766=CARTESIAN_POINT('',(3.65,19.,0.7)); #250767=CARTESIAN_POINT('',(3.35,19.,0.7)); #250768=CARTESIAN_POINT('',(0.,19.,0.7)); #250769=CARTESIAN_POINT('',(3.35,18.65,0.7)); #250770=CARTESIAN_POINT('',(3.35,19.,0.7)); #250771=CARTESIAN_POINT('',(2.65,18.65,0.7)); #250772=CARTESIAN_POINT('',(2.65,18.65,0.7)); #250773=CARTESIAN_POINT('',(2.65,19.,0.7)); #250774=CARTESIAN_POINT('',(2.65,19.,0.7)); #250775=CARTESIAN_POINT('',(2.35,19.,0.7)); #250776=CARTESIAN_POINT('',(0.,19.,0.7)); #250777=CARTESIAN_POINT('',(2.35,18.65,0.7)); #250778=CARTESIAN_POINT('',(2.35,19.,0.7)); #250779=CARTESIAN_POINT('',(1.65,18.65,0.7)); #250780=CARTESIAN_POINT('',(1.65,18.65,0.7)); #250781=CARTESIAN_POINT('',(1.65,19.,0.7)); #250782=CARTESIAN_POINT('',(1.65,19.,0.7)); #250783=CARTESIAN_POINT('',(1.35,19.,0.7)); #250784=CARTESIAN_POINT('',(0.,19.,0.7)); #250785=CARTESIAN_POINT('',(1.35,18.65,0.7)); #250786=CARTESIAN_POINT('',(1.35,19.,0.7)); #250787=CARTESIAN_POINT('',(0.649999999999998,18.65,0.7)); #250788=CARTESIAN_POINT('',(0.649999999999998,18.65,0.7)); #250789=CARTESIAN_POINT('',(0.649999999999998,19.,0.7)); #250790=CARTESIAN_POINT('',(0.649999999999998,19.,0.7)); #250791=CARTESIAN_POINT('',(0.,19.,0.7)); #250792=CARTESIAN_POINT('',(0.,19.,0.7)); #250793=CARTESIAN_POINT('',(0.,0.,0.7)); #250794=CARTESIAN_POINT('',(0.,0.,0.7)); #250795=CARTESIAN_POINT('',(0.65,0.,0.7)); #250796=CARTESIAN_POINT('',(0.,0.,0.7)); #250797=CARTESIAN_POINT('',(0.65,0.35,0.7)); #250798=CARTESIAN_POINT('',(0.65,0.,0.7)); #250799=CARTESIAN_POINT('',(1.35,0.35,0.7)); #250800=CARTESIAN_POINT('',(0.65,0.35,0.7)); #250801=CARTESIAN_POINT('',(1.35,0.,0.7)); #250802=CARTESIAN_POINT('',(1.35,0.,0.7)); #250803=CARTESIAN_POINT('',(1.65,0.,0.7)); #250804=CARTESIAN_POINT('',(0.,0.,0.7)); #250805=CARTESIAN_POINT('',(1.65,0.35,0.7)); #250806=CARTESIAN_POINT('',(1.65,0.,0.7)); #250807=CARTESIAN_POINT('',(2.35,0.35,0.7)); #250808=CARTESIAN_POINT('',(1.65,0.35,0.7)); #250809=CARTESIAN_POINT('',(2.35,0.,0.7)); #250810=CARTESIAN_POINT('',(2.35,0.,0.7)); #250811=CARTESIAN_POINT('',(2.65,0.,0.7)); #250812=CARTESIAN_POINT('',(0.,0.,0.7)); #250813=CARTESIAN_POINT('',(2.65,0.35,0.7)); #250814=CARTESIAN_POINT('',(2.65,0.,0.7)); #250815=CARTESIAN_POINT('',(3.35,0.35,0.7)); #250816=CARTESIAN_POINT('',(2.65,0.35,0.7)); #250817=CARTESIAN_POINT('',(3.35,0.,0.7)); #250818=CARTESIAN_POINT('',(3.35,0.,0.7)); #250819=CARTESIAN_POINT('',(3.65,0.,0.7)); #250820=CARTESIAN_POINT('',(0.,0.,0.7)); #250821=CARTESIAN_POINT('',(3.65,0.35,0.7)); #250822=CARTESIAN_POINT('',(3.65,0.,0.7)); #250823=CARTESIAN_POINT('',(4.35,0.35,0.7)); #250824=CARTESIAN_POINT('',(3.65,0.35,0.7)); #250825=CARTESIAN_POINT('',(4.35,0.,0.7)); #250826=CARTESIAN_POINT('',(4.35,0.,0.7)); #250827=CARTESIAN_POINT('',(4.65,0.,0.7)); #250828=CARTESIAN_POINT('',(0.,0.,0.7)); #250829=CARTESIAN_POINT('',(4.65,0.35,0.7)); #250830=CARTESIAN_POINT('',(4.65,0.,0.7)); #250831=CARTESIAN_POINT('',(5.35,0.35,0.7)); #250832=CARTESIAN_POINT('',(4.65,0.35,0.7)); #250833=CARTESIAN_POINT('',(5.35,0.,0.7)); #250834=CARTESIAN_POINT('',(5.35,0.,0.7)); #250835=CARTESIAN_POINT('',(5.65,0.,0.7)); #250836=CARTESIAN_POINT('',(0.,0.,0.7)); #250837=CARTESIAN_POINT('',(5.65,0.35,0.7)); #250838=CARTESIAN_POINT('',(5.65,0.,0.7)); #250839=CARTESIAN_POINT('',(6.35,0.35,0.7)); #250840=CARTESIAN_POINT('',(5.65,0.35,0.7)); #250841=CARTESIAN_POINT('',(6.35,0.,0.7)); #250842=CARTESIAN_POINT('',(6.35,0.,0.7)); #250843=CARTESIAN_POINT('',(6.65,0.,0.7)); #250844=CARTESIAN_POINT('',(0.,0.,0.7)); #250845=CARTESIAN_POINT('',(6.65,0.35,0.7)); #250846=CARTESIAN_POINT('',(6.65,0.,0.7)); #250847=CARTESIAN_POINT('',(7.35,0.35,0.7)); #250848=CARTESIAN_POINT('',(6.65,0.35,0.7)); #250849=CARTESIAN_POINT('',(7.35,0.,0.7)); #250850=CARTESIAN_POINT('',(7.35,0.,0.7)); #250851=CARTESIAN_POINT('',(7.65,0.,0.7)); #250852=CARTESIAN_POINT('',(0.,0.,0.7)); #250853=CARTESIAN_POINT('',(7.65,0.35,0.7)); #250854=CARTESIAN_POINT('',(7.65,0.,0.7)); #250855=CARTESIAN_POINT('',(8.35,0.35,0.7)); #250856=CARTESIAN_POINT('',(7.65,0.35,0.7)); #250857=CARTESIAN_POINT('',(8.35,0.,0.7)); #250858=CARTESIAN_POINT('',(8.35,0.,0.7)); #250859=CARTESIAN_POINT('',(8.65,0.,0.7)); #250860=CARTESIAN_POINT('',(0.,0.,0.7)); #250861=CARTESIAN_POINT('',(8.65,0.35,0.7)); #250862=CARTESIAN_POINT('',(8.65,0.,0.7)); #250863=CARTESIAN_POINT('',(9.35,0.35,0.7)); #250864=CARTESIAN_POINT('',(8.65,0.35,0.7)); #250865=CARTESIAN_POINT('',(9.35,0.,0.7)); #250866=CARTESIAN_POINT('',(9.35,0.,0.7)); #250867=CARTESIAN_POINT('',(9.65,0.,0.7)); #250868=CARTESIAN_POINT('',(0.,0.,0.7)); #250869=CARTESIAN_POINT('',(9.65,0.35,0.7)); #250870=CARTESIAN_POINT('',(9.65,0.,0.7)); #250871=CARTESIAN_POINT('',(10.35,0.35,0.7)); #250872=CARTESIAN_POINT('',(9.65,0.35,0.7)); #250873=CARTESIAN_POINT('',(10.35,0.,0.7)); #250874=CARTESIAN_POINT('',(10.35,0.,0.7)); #250875=CARTESIAN_POINT('',(10.65,0.,0.7)); #250876=CARTESIAN_POINT('',(0.,0.,0.7)); #250877=CARTESIAN_POINT('',(10.65,0.35,0.7)); #250878=CARTESIAN_POINT('',(10.65,0.,0.7)); #250879=CARTESIAN_POINT('',(11.35,0.35,0.7)); #250880=CARTESIAN_POINT('',(10.65,0.35,0.7)); #250881=CARTESIAN_POINT('',(11.35,0.,0.7)); #250882=CARTESIAN_POINT('',(11.35,0.,0.7)); #250883=CARTESIAN_POINT('',(12.65,0.,0.7)); #250884=CARTESIAN_POINT('',(0.,0.,0.7)); #250885=CARTESIAN_POINT('',(12.65,0.35,0.7)); #250886=CARTESIAN_POINT('',(12.65,0.,0.7)); #250887=CARTESIAN_POINT('',(13.35,0.35,0.7)); #250888=CARTESIAN_POINT('',(12.65,0.35,0.7)); #250889=CARTESIAN_POINT('',(13.35,0.,0.7)); #250890=CARTESIAN_POINT('',(13.35,0.,0.7)); #250891=CARTESIAN_POINT('',(13.65,0.,0.7)); #250892=CARTESIAN_POINT('',(0.,0.,0.7)); #250893=CARTESIAN_POINT('',(13.65,0.35,0.7)); #250894=CARTESIAN_POINT('',(13.65,0.,0.7)); #250895=CARTESIAN_POINT('',(14.35,0.35,0.7)); #250896=CARTESIAN_POINT('',(13.65,0.35,0.7)); #250897=CARTESIAN_POINT('',(14.35,0.,0.7)); #250898=CARTESIAN_POINT('',(14.35,0.,0.7)); #250899=CARTESIAN_POINT('',(14.65,0.,0.7)); #250900=CARTESIAN_POINT('',(0.,0.,0.7)); #250901=CARTESIAN_POINT('',(14.65,0.35,0.7)); #250902=CARTESIAN_POINT('',(14.65,0.,0.7)); #250903=CARTESIAN_POINT('',(15.35,0.35,0.7)); #250904=CARTESIAN_POINT('',(14.65,0.35,0.7)); #250905=CARTESIAN_POINT('',(15.35,0.,0.7)); #250906=CARTESIAN_POINT('',(15.35,0.,0.7)); #250907=CARTESIAN_POINT('',(15.65,0.,0.7)); #250908=CARTESIAN_POINT('',(0.,0.,0.7)); #250909=CARTESIAN_POINT('',(15.65,0.35,0.7)); #250910=CARTESIAN_POINT('',(15.65,0.,0.7)); #250911=CARTESIAN_POINT('',(16.35,0.35,0.7)); #250912=CARTESIAN_POINT('',(15.65,0.35,0.7)); #250913=CARTESIAN_POINT('',(16.35,0.,0.7)); #250914=CARTESIAN_POINT('',(16.35,0.,0.7)); #250915=CARTESIAN_POINT('',(16.65,0.,0.7)); #250916=CARTESIAN_POINT('',(0.,0.,0.7)); #250917=CARTESIAN_POINT('',(16.65,0.35,0.7)); #250918=CARTESIAN_POINT('',(16.65,0.,0.7)); #250919=CARTESIAN_POINT('',(17.35,0.35,0.7)); #250920=CARTESIAN_POINT('',(16.65,0.35,0.7)); #250921=CARTESIAN_POINT('',(17.35,0.,0.7)); #250922=CARTESIAN_POINT('',(17.35,0.,0.7)); #250923=CARTESIAN_POINT('',(18.,0.,0.7)); #250924=CARTESIAN_POINT('',(0.,0.,0.7)); #250925=CARTESIAN_POINT('',(18.,19.,0.7)); #250926=CARTESIAN_POINT('',(18.,0.,0.7)); #250927=CARTESIAN_POINT('',(17.35,19.,0.7)); #250928=CARTESIAN_POINT('',(0.,19.,0.7)); #250929=CARTESIAN_POINT('',(17.35,18.65,0.7)); #250930=CARTESIAN_POINT('',(17.35,19.,0.7)); #250931=CARTESIAN_POINT('',(16.65,18.65,0.7)); #250932=CARTESIAN_POINT('',(0.15,18.35,0.7)); #250933=CARTESIAN_POINT('',(0.150000000000002,0.65,0.7)); #250934=CARTESIAN_POINT('',(0.15,18.35,0.7)); #250935=CARTESIAN_POINT('',(17.85,18.35,0.7)); #250936=CARTESIAN_POINT('',(0.15,18.35,0.7)); #250937=CARTESIAN_POINT('',(17.85,0.65,0.7)); #250938=CARTESIAN_POINT('',(17.85,18.35,0.7)); #250939=CARTESIAN_POINT('',(0.150000000000002,0.65,0.7)); #250940=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #250941=CARTESIAN_POINT('',(6.75,-4.18242972581688E-14,-0.001)); #250942=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.001)); #250943=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.001)); #250944=CARTESIAN_POINT('',(6.75,0.,0.701)); #250945=CARTESIAN_POINT('',(6.75,0.,-0.00100000000000002)); #250946=CARTESIAN_POINT('',(6.65,0.,0.701)); #250947=CARTESIAN_POINT('',(6.65,0.,0.701)); #250948=CARTESIAN_POINT('',(6.65,0.,0.701)); #250949=CARTESIAN_POINT('',(6.35,0.,0.701)); #250950=CARTESIAN_POINT('',(6.35,0.,0.701)); #250951=CARTESIAN_POINT('',(6.25,0.,0.701)); #250952=CARTESIAN_POINT('',(5.65,0.,0.701)); #250953=CARTESIAN_POINT('',(6.25,-3.49025669204399E-14,-0.00100000000000002)); #250954=CARTESIAN_POINT('',(6.25,0.,-0.00100000000000002)); #250955=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.001)); #250956=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.001)); #250957=CARTESIAN_POINT('',(6.35,0.,0.)); #250958=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.001)); #250959=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,0.)); #250960=CARTESIAN_POINT('',(0.,0.,0.)); #250961=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.001)); #250962=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #250963=CARTESIAN_POINT('',(5.75,-3.48413345804825E-14,-0.001)); #250964=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.001)); #250965=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.001)); #250966=CARTESIAN_POINT('',(5.75,0.,0.701)); #250967=CARTESIAN_POINT('',(5.75,0.,-0.00100000000000002)); #250968=CARTESIAN_POINT('',(5.65,0.,0.701)); #250969=CARTESIAN_POINT('',(5.65,0.,0.701)); #250970=CARTESIAN_POINT('',(5.65,0.,0.701)); #250971=CARTESIAN_POINT('',(5.35,0.,0.701)); #250972=CARTESIAN_POINT('',(5.35,0.,0.701)); #250973=CARTESIAN_POINT('',(5.25,0.,0.701)); #250974=CARTESIAN_POINT('',(4.65,0.,0.701)); #250975=CARTESIAN_POINT('',(5.25,-2.79196042427536E-14,-0.00100000000000002)); #250976=CARTESIAN_POINT('',(5.25,0.,-0.00100000000000002)); #250977=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.001)); #250978=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.001)); #250979=CARTESIAN_POINT('',(5.35,0.,0.)); #250980=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.001)); #250981=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,0.)); #250982=CARTESIAN_POINT('',(0.,0.,0.)); #250983=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.001)); #250984=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #250985=CARTESIAN_POINT('',(8.75,-5.57902226135413E-14,-0.001)); #250986=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.001)); #250987=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.001)); #250988=CARTESIAN_POINT('',(8.75,0.,0.701)); #250989=CARTESIAN_POINT('',(8.75,0.,-0.00100000000000002)); #250990=CARTESIAN_POINT('',(8.65,0.,0.701)); #250991=CARTESIAN_POINT('',(8.65,0.,0.701)); #250992=CARTESIAN_POINT('',(8.65,0.,0.701)); #250993=CARTESIAN_POINT('',(8.35,0.,0.701)); #250994=CARTESIAN_POINT('',(8.35,0.,0.701)); #250995=CARTESIAN_POINT('',(8.25,0.,0.701)); #250996=CARTESIAN_POINT('',(7.65,0.,0.701)); #250997=CARTESIAN_POINT('',(8.25,-4.88684922758121E-14,-0.00100000000000002)); #250998=CARTESIAN_POINT('',(8.25,0.,-0.00100000000000002)); #250999=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.001)); #251000=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.001)); #251001=CARTESIAN_POINT('',(8.35,0.,0.)); #251002=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.001)); #251003=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,0.)); #251004=CARTESIAN_POINT('',(0.,0.,0.)); #251005=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.001)); #251006=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251007=CARTESIAN_POINT('',(7.25,0.,0.701)); #251008=CARTESIAN_POINT('',(7.35,0.,0.701)); #251009=CARTESIAN_POINT('',(6.65,0.,0.701)); #251010=CARTESIAN_POINT('',(7.25,-4.18855295981262E-14,-0.00100000000000002)); #251011=CARTESIAN_POINT('',(7.25,0.,-0.00100000000000002)); #251012=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.001)); #251013=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.001)); #251014=CARTESIAN_POINT('',(7.35,0.,0.)); #251015=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.001)); #251016=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,0.)); #251017=CARTESIAN_POINT('',(0.,0.,0.)); #251018=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.001)); #251019=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.001)); #251020=CARTESIAN_POINT('',(7.75,-4.8807259935855E-14,-0.001)); #251021=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.001)); #251022=CARTESIAN_POINT('',(7.75,0.,0.701)); #251023=CARTESIAN_POINT('',(7.75,0.,-0.00100000000000002)); #251024=CARTESIAN_POINT('',(7.65,0.,0.701)); #251025=CARTESIAN_POINT('',(7.65,0.,0.701)); #251026=CARTESIAN_POINT('',(7.65,0.,0.701)); #251027=CARTESIAN_POINT('',(7.35,0.,0.701)); #251028=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251029=CARTESIAN_POINT('',(2.75,-1.38924465474237E-14,-0.001)); #251030=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.001)); #251031=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.001)); #251032=CARTESIAN_POINT('',(2.75,0.,0.701)); #251033=CARTESIAN_POINT('',(2.75,0.,-0.00100000000000002)); #251034=CARTESIAN_POINT('',(2.65,0.,0.701)); #251035=CARTESIAN_POINT('',(2.65,0.,0.701)); #251036=CARTESIAN_POINT('',(2.65,0.,0.701)); #251037=CARTESIAN_POINT('',(2.35,0.,0.701)); #251038=CARTESIAN_POINT('',(2.35,0.,0.701)); #251039=CARTESIAN_POINT('',(2.25,0.,0.701)); #251040=CARTESIAN_POINT('',(1.65,0.,0.701)); #251041=CARTESIAN_POINT('',(2.25,-6.9707162096948E-15,-0.00100000000000002)); #251042=CARTESIAN_POINT('',(2.25,0.,-0.00100000000000002)); #251043=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.001)); #251044=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.001)); #251045=CARTESIAN_POINT('',(2.35,0.,0.)); #251046=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.001)); #251047=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,0.)); #251048=CARTESIAN_POINT('',(0.,0.,0.)); #251049=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.001)); #251050=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251051=CARTESIAN_POINT('',(1.75,-6.90948386973742E-15,-0.001)); #251052=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.001)); #251053=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.001)); #251054=CARTESIAN_POINT('',(1.75,0.,0.701)); #251055=CARTESIAN_POINT('',(1.75,0.,-0.00100000000000002)); #251056=CARTESIAN_POINT('',(1.65,0.,0.701)); #251057=CARTESIAN_POINT('',(1.65,0.,0.701)); #251058=CARTESIAN_POINT('',(1.65,0.,0.701)); #251059=CARTESIAN_POINT('',(1.35,0.,0.701)); #251060=CARTESIAN_POINT('',(1.35,0.,0.701)); #251061=CARTESIAN_POINT('',(1.25,0.,0.701)); #251062=CARTESIAN_POINT('',(0.65,0.,0.701)); #251063=CARTESIAN_POINT('',(1.25,1.22464679914736E-17,-0.00100000000000002)); #251064=CARTESIAN_POINT('',(1.25,0.,-0.00100000000000002)); #251065=CARTESIAN_POINT('',(1.35,0.,-0.001)); #251066=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.001)); #251067=CARTESIAN_POINT('',(1.35,0.,0.)); #251068=CARTESIAN_POINT('',(1.35,0.,-0.001)); #251069=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,0.)); #251070=CARTESIAN_POINT('',(0.,0.,0.)); #251071=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.001)); #251072=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251073=CARTESIAN_POINT('',(4.75,-2.78583719027962E-14,-0.001)); #251074=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.001)); #251075=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.001)); #251076=CARTESIAN_POINT('',(4.75,0.,0.701)); #251077=CARTESIAN_POINT('',(4.75,0.,-0.00100000000000002)); #251078=CARTESIAN_POINT('',(4.65,0.,0.701)); #251079=CARTESIAN_POINT('',(4.65,0.,0.701)); #251080=CARTESIAN_POINT('',(4.65,0.,0.701)); #251081=CARTESIAN_POINT('',(4.35,0.,0.701)); #251082=CARTESIAN_POINT('',(4.35,0.,0.701)); #251083=CARTESIAN_POINT('',(4.25,0.,0.701)); #251084=CARTESIAN_POINT('',(3.65,0.,0.701)); #251085=CARTESIAN_POINT('',(4.25,-2.09366415650674E-14,-0.00100000000000002)); #251086=CARTESIAN_POINT('',(4.25,0.,-0.00100000000000002)); #251087=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.001)); #251088=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.001)); #251089=CARTESIAN_POINT('',(4.35,0.,0.)); #251090=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.001)); #251091=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,0.)); #251092=CARTESIAN_POINT('',(0.,0.,0.)); #251093=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.001)); #251094=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251095=CARTESIAN_POINT('',(3.25,0.,0.701)); #251096=CARTESIAN_POINT('',(3.35,0.,0.701)); #251097=CARTESIAN_POINT('',(2.65,0.,0.701)); #251098=CARTESIAN_POINT('',(3.25,-1.39536788873811E-14,-0.00100000000000002)); #251099=CARTESIAN_POINT('',(3.25,0.,-0.00100000000000002)); #251100=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.001)); #251101=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.001)); #251102=CARTESIAN_POINT('',(3.35,0.,0.)); #251103=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.001)); #251104=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,0.)); #251105=CARTESIAN_POINT('',(0.,0.,0.)); #251106=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.001)); #251107=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.001)); #251108=CARTESIAN_POINT('',(3.75,-2.087540922511E-14,-0.001)); #251109=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.001)); #251110=CARTESIAN_POINT('',(3.75,0.,0.701)); #251111=CARTESIAN_POINT('',(3.75,0.,-0.00100000000000002)); #251112=CARTESIAN_POINT('',(3.65,0.,0.701)); #251113=CARTESIAN_POINT('',(3.65,0.,0.701)); #251114=CARTESIAN_POINT('',(3.65,0.,0.701)); #251115=CARTESIAN_POINT('',(3.35,0.,0.701)); #251116=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251117=CARTESIAN_POINT('',(15.75,-1.04670961357345E-13,-0.001)); #251118=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.001)); #251119=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.001)); #251120=CARTESIAN_POINT('',(15.75,0.,0.701)); #251121=CARTESIAN_POINT('',(15.75,0.,-0.00100000000000002)); #251122=CARTESIAN_POINT('',(15.65,0.,0.701)); #251123=CARTESIAN_POINT('',(15.65,0.,0.701)); #251124=CARTESIAN_POINT('',(15.65,0.,0.701)); #251125=CARTESIAN_POINT('',(15.35,0.,0.701)); #251126=CARTESIAN_POINT('',(15.35,0.,0.701)); #251127=CARTESIAN_POINT('',(15.25,0.,0.701)); #251128=CARTESIAN_POINT('',(14.65,0.,0.701)); #251129=CARTESIAN_POINT('',(15.25,-9.77492310196157E-14,-0.00100000000000002)); #251130=CARTESIAN_POINT('',(15.25,0.,-0.00100000000000002)); #251131=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.001)); #251132=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.001)); #251133=CARTESIAN_POINT('',(15.35,0.,0.)); #251134=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.001)); #251135=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,0.)); #251136=CARTESIAN_POINT('',(0.,0.,0.)); #251137=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.001)); #251138=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251139=CARTESIAN_POINT('',(14.75,-9.76879986796589E-14,-0.001)); #251140=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.001)); #251141=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.001)); #251142=CARTESIAN_POINT('',(14.75,0.,0.701)); #251143=CARTESIAN_POINT('',(14.75,0.,-0.00100000000000002)); #251144=CARTESIAN_POINT('',(14.65,0.,0.701)); #251145=CARTESIAN_POINT('',(14.65,0.,0.701)); #251146=CARTESIAN_POINT('',(14.65,0.,0.701)); #251147=CARTESIAN_POINT('',(14.35,0.,0.701)); #251148=CARTESIAN_POINT('',(14.35,0.,0.701)); #251149=CARTESIAN_POINT('',(14.25,0.,0.701)); #251150=CARTESIAN_POINT('',(13.65,0.,0.701)); #251151=CARTESIAN_POINT('',(14.25,-9.07662683419295E-14,-0.00100000000000002)); #251152=CARTESIAN_POINT('',(14.25,0.,-0.00100000000000002)); #251153=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.001)); #251154=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.001)); #251155=CARTESIAN_POINT('',(14.35,0.,0.)); #251156=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.001)); #251157=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,0.)); #251158=CARTESIAN_POINT('',(0.,0.,0.)); #251159=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.001)); #251160=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251161=CARTESIAN_POINT('',(16.25,0.,0.701)); #251162=CARTESIAN_POINT('',(16.35,0.,0.701)); #251163=CARTESIAN_POINT('',(15.65,0.,0.701)); #251164=CARTESIAN_POINT('',(16.25,-1.04732193697302E-13,-0.00100000000000002)); #251165=CARTESIAN_POINT('',(16.25,0.,-0.00100000000000002)); #251166=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.001)); #251167=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.001)); #251168=CARTESIAN_POINT('',(16.35,0.,0.)); #251169=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.001)); #251170=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,0.)); #251171=CARTESIAN_POINT('',(0.,0.,0.)); #251172=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.001)); #251173=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.001)); #251174=CARTESIAN_POINT('',(16.75,-1.11653924035031E-13,-0.001)); #251175=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.001)); #251176=CARTESIAN_POINT('',(16.75,0.,0.701)); #251177=CARTESIAN_POINT('',(16.75,0.,-0.00100000000000002)); #251178=CARTESIAN_POINT('',(16.65,0.,0.701)); #251179=CARTESIAN_POINT('',(16.65,0.,0.701)); #251180=CARTESIAN_POINT('',(16.65,0.,0.701)); #251181=CARTESIAN_POINT('',(16.35,0.,0.701)); #251182=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251183=CARTESIAN_POINT('',(10.75,-6.97561479689138E-14,-0.001)); #251184=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.001)); #251185=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.001)); #251186=CARTESIAN_POINT('',(10.75,0.,0.701)); #251187=CARTESIAN_POINT('',(10.75,0.,-0.00100000000000002)); #251188=CARTESIAN_POINT('',(10.65,0.,0.701)); #251189=CARTESIAN_POINT('',(10.65,0.,0.701)); #251190=CARTESIAN_POINT('',(10.65,0.,0.701)); #251191=CARTESIAN_POINT('',(10.35,0.,0.701)); #251192=CARTESIAN_POINT('',(10.35,0.,0.701)); #251193=CARTESIAN_POINT('',(10.25,0.,0.701)); #251194=CARTESIAN_POINT('',(9.65,0.,0.701)); #251195=CARTESIAN_POINT('',(10.25,-6.28344176311846E-14,-0.00100000000000002)); #251196=CARTESIAN_POINT('',(10.25,0.,-0.00100000000000002)); #251197=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.001)); #251198=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.001)); #251199=CARTESIAN_POINT('',(10.35,0.,0.)); #251200=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.001)); #251201=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,0.)); #251202=CARTESIAN_POINT('',(0.,0.,0.)); #251203=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.001)); #251204=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251205=CARTESIAN_POINT('',(9.25,0.,0.701)); #251206=CARTESIAN_POINT('',(9.35,0.,0.701)); #251207=CARTESIAN_POINT('',(8.65,0.,0.701)); #251208=CARTESIAN_POINT('',(9.25,-5.58514549534983E-14,-0.00100000000000002)); #251209=CARTESIAN_POINT('',(9.25,0.,-0.00100000000000002)); #251210=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.001)); #251211=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.001)); #251212=CARTESIAN_POINT('',(9.35,0.,0.)); #251213=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.001)); #251214=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,0.)); #251215=CARTESIAN_POINT('',(0.,0.,0.)); #251216=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.001)); #251217=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.001)); #251218=CARTESIAN_POINT('',(9.75,-6.27731852912275E-14,-0.001)); #251219=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.001)); #251220=CARTESIAN_POINT('',(9.75,0.,0.701)); #251221=CARTESIAN_POINT('',(9.75,0.,-0.00100000000000002)); #251222=CARTESIAN_POINT('',(9.65,0.,0.701)); #251223=CARTESIAN_POINT('',(9.65,0.,0.701)); #251224=CARTESIAN_POINT('',(9.65,0.,0.701)); #251225=CARTESIAN_POINT('',(9.35,0.,0.701)); #251226=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251227=CARTESIAN_POINT('',(13.75,-9.07050360019726E-14,-0.001)); #251228=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.001)); #251229=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.001)); #251230=CARTESIAN_POINT('',(13.75,0.,0.701)); #251231=CARTESIAN_POINT('',(13.75,0.,-0.00100000000000002)); #251232=CARTESIAN_POINT('',(13.65,0.,0.701)); #251233=CARTESIAN_POINT('',(13.65,0.,0.701)); #251234=CARTESIAN_POINT('',(13.65,0.,0.701)); #251235=CARTESIAN_POINT('',(13.35,0.,0.701)); #251236=CARTESIAN_POINT('',(13.35,0.,0.701)); #251237=CARTESIAN_POINT('',(13.25,0.,0.701)); #251238=CARTESIAN_POINT('',(12.65,0.,0.701)); #251239=CARTESIAN_POINT('',(13.25,-8.37833056642433E-14,-0.00100000000000002)); #251240=CARTESIAN_POINT('',(13.25,0.,-0.00100000000000002)); #251241=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.001)); #251242=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.001)); #251243=CARTESIAN_POINT('',(13.35,0.,0.)); #251244=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.001)); #251245=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,0.)); #251246=CARTESIAN_POINT('',(0.,0.,0.)); #251247=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.001)); #251248=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251249=CARTESIAN_POINT('',(11.25,0.,0.701)); #251250=CARTESIAN_POINT('',(11.35,0.,0.701)); #251251=CARTESIAN_POINT('',(10.65,0.,0.701)); #251252=CARTESIAN_POINT('',(11.25,-6.98173803088708E-14,-0.00100000000000002)); #251253=CARTESIAN_POINT('',(11.25,0.,-0.00100000000000002)); #251254=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.001)); #251255=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.001)); #251256=CARTESIAN_POINT('',(11.35,0.,0.)); #251257=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.001)); #251258=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,0.)); #251259=CARTESIAN_POINT('',(0.,0.,0.)); #251260=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.001)); #251261=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.001)); #251262=CARTESIAN_POINT('',(12.75,-8.37220733242864E-14,-0.001)); #251263=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.001)); #251264=CARTESIAN_POINT('',(12.75,0.,0.701)); #251265=CARTESIAN_POINT('',(12.75,0.,-0.00100000000000002)); #251266=CARTESIAN_POINT('',(12.65,0.,0.701)); #251267=CARTESIAN_POINT('',(12.65,0.,0.701)); #251268=CARTESIAN_POINT('',(12.65,0.,0.701)); #251269=CARTESIAN_POINT('',(11.35,0.,0.701)); #251270=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251271=CARTESIAN_POINT('',(0.75,7.34788079488412E-17,-0.001)); #251272=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.001)); #251273=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.001)); #251274=CARTESIAN_POINT('',(0.75,0.,0.701)); #251275=CARTESIAN_POINT('',(0.75,0.,-0.00100000000000002)); #251276=CARTESIAN_POINT('',(0.65,0.,0.701)); #251277=CARTESIAN_POINT('',(0.65,0.,0.701)); #251278=CARTESIAN_POINT('',(0.65,0.,0.701)); #251279=CARTESIAN_POINT('',(0.,0.,0.)); #251280=CARTESIAN_POINT('',(0.,0.,0.7)); #251281=CARTESIAN_POINT('',(0.65,0.,0.)); #251282=CARTESIAN_POINT('',(0.,0.,0.)); #251283=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.001)); #251284=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251285=CARTESIAN_POINT('',(6.25,19.,-0.001)); #251286=CARTESIAN_POINT('',(6.35,19.,-0.001)); #251287=CARTESIAN_POINT('',(5.65,19.,-0.001)); #251288=CARTESIAN_POINT('',(6.25,19.,0.701)); #251289=CARTESIAN_POINT('',(6.25,19.,-0.00100000000000002)); #251290=CARTESIAN_POINT('',(6.35,19.,0.701)); #251291=CARTESIAN_POINT('',(5.65,19.,0.701)); #251292=CARTESIAN_POINT('',(6.35,19.,0.701)); #251293=CARTESIAN_POINT('',(6.65,19.,0.701)); #251294=CARTESIAN_POINT('',(6.65,19.,0.701)); #251295=CARTESIAN_POINT('',(6.75,19.,0.701)); #251296=CARTESIAN_POINT('',(6.65,19.,0.701)); #251297=CARTESIAN_POINT('',(6.75,19.,-0.00100000000000002)); #251298=CARTESIAN_POINT('',(6.75,19.,-0.00100000000000002)); #251299=CARTESIAN_POINT('',(6.65,19.,-0.001)); #251300=CARTESIAN_POINT('',(6.65,19.,-0.001)); #251301=CARTESIAN_POINT('',(6.65,19.,0.)); #251302=CARTESIAN_POINT('',(6.65,19.,-0.001)); #251303=CARTESIAN_POINT('',(6.35,19.,0.)); #251304=CARTESIAN_POINT('',(0.,19.,0.)); #251305=CARTESIAN_POINT('',(6.35,19.,-0.001)); #251306=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251307=CARTESIAN_POINT('',(5.75,19.,0.701)); #251308=CARTESIAN_POINT('',(5.65,19.,0.701)); #251309=CARTESIAN_POINT('',(5.65,19.,0.701)); #251310=CARTESIAN_POINT('',(5.75,19.,-0.00100000000000002)); #251311=CARTESIAN_POINT('',(5.75,19.,-0.00100000000000002)); #251312=CARTESIAN_POINT('',(5.65,19.,-0.001)); #251313=CARTESIAN_POINT('',(5.65,19.,-0.001)); #251314=CARTESIAN_POINT('',(5.65,19.,0.)); #251315=CARTESIAN_POINT('',(5.65,19.,-0.001)); #251316=CARTESIAN_POINT('',(5.35,19.,0.)); #251317=CARTESIAN_POINT('',(0.,19.,0.)); #251318=CARTESIAN_POINT('',(5.35,19.,-0.001)); #251319=CARTESIAN_POINT('',(5.35,19.,-0.001)); #251320=CARTESIAN_POINT('',(5.25,19.,-0.001)); #251321=CARTESIAN_POINT('',(4.65,19.,-0.001)); #251322=CARTESIAN_POINT('',(5.25,19.,0.701)); #251323=CARTESIAN_POINT('',(5.25,19.,-0.00100000000000002)); #251324=CARTESIAN_POINT('',(5.35,19.,0.701)); #251325=CARTESIAN_POINT('',(4.65,19.,0.701)); #251326=CARTESIAN_POINT('',(5.35,19.,0.701)); #251327=CARTESIAN_POINT('',(5.65,19.,0.701)); #251328=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251329=CARTESIAN_POINT('',(8.25,19.,-0.001)); #251330=CARTESIAN_POINT('',(8.35,19.,-0.001)); #251331=CARTESIAN_POINT('',(7.65,19.,-0.001)); #251332=CARTESIAN_POINT('',(8.25,19.,0.701)); #251333=CARTESIAN_POINT('',(8.25,19.,-0.00100000000000002)); #251334=CARTESIAN_POINT('',(8.35,19.,0.701)); #251335=CARTESIAN_POINT('',(7.65,19.,0.701)); #251336=CARTESIAN_POINT('',(8.35,19.,0.701)); #251337=CARTESIAN_POINT('',(8.65,19.,0.701)); #251338=CARTESIAN_POINT('',(8.65,19.,0.701)); #251339=CARTESIAN_POINT('',(8.75,19.,0.701)); #251340=CARTESIAN_POINT('',(8.65,19.,0.701)); #251341=CARTESIAN_POINT('',(8.75,18.9999999999999,-0.00100000000000002)); #251342=CARTESIAN_POINT('',(8.75,19.,-0.00100000000000002)); #251343=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.001)); #251344=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.001)); #251345=CARTESIAN_POINT('',(8.65,19.,0.)); #251346=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.001)); #251347=CARTESIAN_POINT('',(8.35,19.,0.)); #251348=CARTESIAN_POINT('',(0.,19.,0.)); #251349=CARTESIAN_POINT('',(8.35,19.,-0.001)); #251350=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251351=CARTESIAN_POINT('',(7.75,19.,0.701)); #251352=CARTESIAN_POINT('',(7.65,19.,0.701)); #251353=CARTESIAN_POINT('',(7.65,19.,0.701)); #251354=CARTESIAN_POINT('',(7.75,19.,-0.00100000000000002)); #251355=CARTESIAN_POINT('',(7.75,19.,-0.00100000000000002)); #251356=CARTESIAN_POINT('',(7.65,19.,-0.001)); #251357=CARTESIAN_POINT('',(7.65,19.,-0.001)); #251358=CARTESIAN_POINT('',(7.65,19.,0.)); #251359=CARTESIAN_POINT('',(7.65,19.,-0.001)); #251360=CARTESIAN_POINT('',(7.35,19.,0.)); #251361=CARTESIAN_POINT('',(0.,19.,0.)); #251362=CARTESIAN_POINT('',(7.35,19.,-0.001)); #251363=CARTESIAN_POINT('',(7.35,19.,-0.001)); #251364=CARTESIAN_POINT('',(7.25,19.,-0.001)); #251365=CARTESIAN_POINT('',(6.65,19.,-0.001)); #251366=CARTESIAN_POINT('',(7.25,19.,0.701)); #251367=CARTESIAN_POINT('',(7.25,19.,-0.00100000000000002)); #251368=CARTESIAN_POINT('',(7.35,19.,0.701)); #251369=CARTESIAN_POINT('',(6.65,19.,0.701)); #251370=CARTESIAN_POINT('',(7.35,19.,0.701)); #251371=CARTESIAN_POINT('',(7.65,19.,0.701)); #251372=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251373=CARTESIAN_POINT('',(2.25,19.,-0.001)); #251374=CARTESIAN_POINT('',(2.35,19.,-0.001)); #251375=CARTESIAN_POINT('',(1.65,19.,-0.001)); #251376=CARTESIAN_POINT('',(2.25,19.,0.701)); #251377=CARTESIAN_POINT('',(2.25,19.,-0.00100000000000002)); #251378=CARTESIAN_POINT('',(2.35,19.,0.701)); #251379=CARTESIAN_POINT('',(1.65,19.,0.701)); #251380=CARTESIAN_POINT('',(2.35,19.,0.701)); #251381=CARTESIAN_POINT('',(2.65,19.,0.701)); #251382=CARTESIAN_POINT('',(2.65,19.,0.701)); #251383=CARTESIAN_POINT('',(2.75,19.,0.701)); #251384=CARTESIAN_POINT('',(2.65,19.,0.701)); #251385=CARTESIAN_POINT('',(2.75,19.,-0.00100000000000002)); #251386=CARTESIAN_POINT('',(2.75,19.,-0.00100000000000002)); #251387=CARTESIAN_POINT('',(2.65,19.,-0.001)); #251388=CARTESIAN_POINT('',(2.65,19.,-0.001)); #251389=CARTESIAN_POINT('',(2.65,19.,0.)); #251390=CARTESIAN_POINT('',(2.65,19.,-0.001)); #251391=CARTESIAN_POINT('',(2.35,19.,0.)); #251392=CARTESIAN_POINT('',(0.,19.,0.)); #251393=CARTESIAN_POINT('',(2.35,19.,-0.001)); #251394=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251395=CARTESIAN_POINT('',(1.75,19.,0.701)); #251396=CARTESIAN_POINT('',(1.65,19.,0.701)); #251397=CARTESIAN_POINT('',(1.65,19.,0.701)); #251398=CARTESIAN_POINT('',(1.75,19.,-0.00100000000000002)); #251399=CARTESIAN_POINT('',(1.75,19.,-0.00100000000000002)); #251400=CARTESIAN_POINT('',(1.65,19.,-0.001)); #251401=CARTESIAN_POINT('',(1.65,19.,-0.001)); #251402=CARTESIAN_POINT('',(1.65,19.,0.)); #251403=CARTESIAN_POINT('',(1.65,19.,-0.001)); #251404=CARTESIAN_POINT('',(1.35,19.,0.)); #251405=CARTESIAN_POINT('',(0.,19.,0.)); #251406=CARTESIAN_POINT('',(1.35,19.,-0.001)); #251407=CARTESIAN_POINT('',(1.35,19.,-0.001)); #251408=CARTESIAN_POINT('',(1.25,19.,-0.001)); #251409=CARTESIAN_POINT('',(0.650000000000001,19.,-0.001)); #251410=CARTESIAN_POINT('',(1.25,19.,0.701)); #251411=CARTESIAN_POINT('',(1.25,19.,-0.00100000000000002)); #251412=CARTESIAN_POINT('',(1.35,19.,0.701)); #251413=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #251414=CARTESIAN_POINT('',(1.35,19.,0.701)); #251415=CARTESIAN_POINT('',(1.65,19.,0.701)); #251416=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251417=CARTESIAN_POINT('',(4.75,19.,0.701)); #251418=CARTESIAN_POINT('',(4.65,19.,0.701)); #251419=CARTESIAN_POINT('',(4.65,19.,0.701)); #251420=CARTESIAN_POINT('',(4.75,19.,-0.00100000000000002)); #251421=CARTESIAN_POINT('',(4.75,19.,-0.00100000000000002)); #251422=CARTESIAN_POINT('',(4.65,19.,-0.001)); #251423=CARTESIAN_POINT('',(4.65,19.,-0.001)); #251424=CARTESIAN_POINT('',(4.65,19.,0.)); #251425=CARTESIAN_POINT('',(4.65,19.,-0.001)); #251426=CARTESIAN_POINT('',(4.35,19.,0.)); #251427=CARTESIAN_POINT('',(0.,19.,0.)); #251428=CARTESIAN_POINT('',(4.35,19.,-0.001)); #251429=CARTESIAN_POINT('',(4.35,19.,-0.001)); #251430=CARTESIAN_POINT('',(4.25,19.,-0.001)); #251431=CARTESIAN_POINT('',(3.65,19.,-0.001)); #251432=CARTESIAN_POINT('',(4.25,19.,0.701)); #251433=CARTESIAN_POINT('',(4.25,19.,-0.00100000000000002)); #251434=CARTESIAN_POINT('',(4.35,19.,0.701)); #251435=CARTESIAN_POINT('',(3.65,19.,0.701)); #251436=CARTESIAN_POINT('',(4.35,19.,0.701)); #251437=CARTESIAN_POINT('',(4.65,19.,0.701)); #251438=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251439=CARTESIAN_POINT('',(3.75,19.,0.701)); #251440=CARTESIAN_POINT('',(3.65,19.,0.701)); #251441=CARTESIAN_POINT('',(3.65,19.,0.701)); #251442=CARTESIAN_POINT('',(3.75,19.,-0.00100000000000002)); #251443=CARTESIAN_POINT('',(3.75,19.,-0.00100000000000002)); #251444=CARTESIAN_POINT('',(3.65,19.,-0.001)); #251445=CARTESIAN_POINT('',(3.65,19.,-0.001)); #251446=CARTESIAN_POINT('',(3.65,19.,0.)); #251447=CARTESIAN_POINT('',(3.65,19.,-0.001)); #251448=CARTESIAN_POINT('',(3.35,19.,0.)); #251449=CARTESIAN_POINT('',(0.,19.,0.)); #251450=CARTESIAN_POINT('',(3.35,19.,-0.001)); #251451=CARTESIAN_POINT('',(3.35,19.,-0.001)); #251452=CARTESIAN_POINT('',(3.25,19.,-0.001)); #251453=CARTESIAN_POINT('',(2.65,19.,-0.001)); #251454=CARTESIAN_POINT('',(3.25,19.,0.701)); #251455=CARTESIAN_POINT('',(3.25,19.,-0.00100000000000002)); #251456=CARTESIAN_POINT('',(3.35,19.,0.701)); #251457=CARTESIAN_POINT('',(2.65,19.,0.701)); #251458=CARTESIAN_POINT('',(3.35,19.,0.701)); #251459=CARTESIAN_POINT('',(3.65,19.,0.701)); #251460=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251461=CARTESIAN_POINT('',(15.25,18.9999999999999,-0.001)); #251462=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.001)); #251463=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.001)); #251464=CARTESIAN_POINT('',(15.25,19.,0.701)); #251465=CARTESIAN_POINT('',(15.25,19.,-0.00100000000000002)); #251466=CARTESIAN_POINT('',(15.35,19.,0.701)); #251467=CARTESIAN_POINT('',(14.65,19.,0.701)); #251468=CARTESIAN_POINT('',(15.35,19.,0.701)); #251469=CARTESIAN_POINT('',(15.65,19.,0.701)); #251470=CARTESIAN_POINT('',(15.65,19.,0.701)); #251471=CARTESIAN_POINT('',(15.75,19.,0.701)); #251472=CARTESIAN_POINT('',(15.65,19.,0.701)); #251473=CARTESIAN_POINT('',(15.75,18.9999999999999,-0.00100000000000002)); #251474=CARTESIAN_POINT('',(15.75,19.,-0.00100000000000002)); #251475=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.001)); #251476=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.001)); #251477=CARTESIAN_POINT('',(15.65,19.,0.)); #251478=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.001)); #251479=CARTESIAN_POINT('',(15.35,18.9999999999999,0.)); #251480=CARTESIAN_POINT('',(0.,19.,0.)); #251481=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.001)); #251482=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251483=CARTESIAN_POINT('',(14.75,19.,0.701)); #251484=CARTESIAN_POINT('',(14.65,19.,0.701)); #251485=CARTESIAN_POINT('',(14.65,19.,0.701)); #251486=CARTESIAN_POINT('',(14.75,18.9999999999999,-0.00100000000000002)); #251487=CARTESIAN_POINT('',(14.75,19.,-0.00100000000000002)); #251488=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.001)); #251489=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.001)); #251490=CARTESIAN_POINT('',(14.65,19.,0.)); #251491=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.001)); #251492=CARTESIAN_POINT('',(14.35,18.9999999999999,0.)); #251493=CARTESIAN_POINT('',(0.,19.,0.)); #251494=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.001)); #251495=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.001)); #251496=CARTESIAN_POINT('',(14.25,18.9999999999999,-0.001)); #251497=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.001)); #251498=CARTESIAN_POINT('',(14.25,19.,0.701)); #251499=CARTESIAN_POINT('',(14.25,19.,-0.00100000000000002)); #251500=CARTESIAN_POINT('',(14.35,19.,0.701)); #251501=CARTESIAN_POINT('',(13.65,19.,0.701)); #251502=CARTESIAN_POINT('',(14.35,19.,0.701)); #251503=CARTESIAN_POINT('',(14.65,19.,0.701)); #251504=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251505=CARTESIAN_POINT('',(17.25,18.9999999999999,-0.001)); #251506=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.001)); #251507=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.001)); #251508=CARTESIAN_POINT('',(17.25,19.,0.701)); #251509=CARTESIAN_POINT('',(17.25,19.,-0.00100000000000002)); #251510=CARTESIAN_POINT('',(17.35,19.,0.701)); #251511=CARTESIAN_POINT('',(16.65,19.,0.701)); #251512=CARTESIAN_POINT('',(17.35,19.,0.701)); #251513=CARTESIAN_POINT('',(18.,19.,0.)); #251514=CARTESIAN_POINT('',(18.,19.,0.7)); #251515=CARTESIAN_POINT('',(17.35,18.9999999999999,0.)); #251516=CARTESIAN_POINT('',(0.,19.,0.)); #251517=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.001)); #251518=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251519=CARTESIAN_POINT('',(16.75,19.,0.701)); #251520=CARTESIAN_POINT('',(16.65,19.,0.701)); #251521=CARTESIAN_POINT('',(16.65,19.,0.701)); #251522=CARTESIAN_POINT('',(16.75,18.9999999999999,-0.00100000000000002)); #251523=CARTESIAN_POINT('',(16.75,19.,-0.00100000000000002)); #251524=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.001)); #251525=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.001)); #251526=CARTESIAN_POINT('',(16.65,19.,0.)); #251527=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.001)); #251528=CARTESIAN_POINT('',(16.35,18.9999999999999,0.)); #251529=CARTESIAN_POINT('',(0.,19.,0.)); #251530=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.001)); #251531=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.001)); #251532=CARTESIAN_POINT('',(16.25,18.9999999999999,-0.001)); #251533=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.001)); #251534=CARTESIAN_POINT('',(16.25,19.,0.701)); #251535=CARTESIAN_POINT('',(16.25,19.,-0.00100000000000002)); #251536=CARTESIAN_POINT('',(16.35,19.,0.701)); #251537=CARTESIAN_POINT('',(15.65,19.,0.701)); #251538=CARTESIAN_POINT('',(16.35,19.,0.701)); #251539=CARTESIAN_POINT('',(16.65,19.,0.701)); #251540=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251541=CARTESIAN_POINT('',(10.25,18.9999999999999,-0.001)); #251542=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.001)); #251543=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.001)); #251544=CARTESIAN_POINT('',(10.25,19.,0.701)); #251545=CARTESIAN_POINT('',(10.25,19.,-0.00100000000000002)); #251546=CARTESIAN_POINT('',(10.35,19.,0.701)); #251547=CARTESIAN_POINT('',(9.65,19.,0.701)); #251548=CARTESIAN_POINT('',(10.35,19.,0.701)); #251549=CARTESIAN_POINT('',(10.65,19.,0.701)); #251550=CARTESIAN_POINT('',(10.65,19.,0.701)); #251551=CARTESIAN_POINT('',(10.75,19.,0.701)); #251552=CARTESIAN_POINT('',(10.65,19.,0.701)); #251553=CARTESIAN_POINT('',(10.75,18.9999999999999,-0.00100000000000002)); #251554=CARTESIAN_POINT('',(10.75,19.,-0.00100000000000002)); #251555=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.001)); #251556=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.001)); #251557=CARTESIAN_POINT('',(10.65,19.,0.)); #251558=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.001)); #251559=CARTESIAN_POINT('',(10.35,18.9999999999999,0.)); #251560=CARTESIAN_POINT('',(0.,19.,0.)); #251561=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.001)); #251562=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251563=CARTESIAN_POINT('',(9.75,19.,0.701)); #251564=CARTESIAN_POINT('',(9.65,19.,0.701)); #251565=CARTESIAN_POINT('',(9.65,19.,0.701)); #251566=CARTESIAN_POINT('',(9.75,18.9999999999999,-0.00100000000000002)); #251567=CARTESIAN_POINT('',(9.75,19.,-0.00100000000000002)); #251568=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.001)); #251569=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.001)); #251570=CARTESIAN_POINT('',(9.65,19.,0.)); #251571=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.001)); #251572=CARTESIAN_POINT('',(9.35,18.9999999999999,0.)); #251573=CARTESIAN_POINT('',(0.,19.,0.)); #251574=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.001)); #251575=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.001)); #251576=CARTESIAN_POINT('',(9.25,18.9999999999999,-0.001)); #251577=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.001)); #251578=CARTESIAN_POINT('',(9.25,19.,0.701)); #251579=CARTESIAN_POINT('',(9.25,19.,-0.00100000000000002)); #251580=CARTESIAN_POINT('',(9.35,19.,0.701)); #251581=CARTESIAN_POINT('',(8.65,19.,0.701)); #251582=CARTESIAN_POINT('',(9.35,19.,0.701)); #251583=CARTESIAN_POINT('',(9.65,19.,0.701)); #251584=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251585=CARTESIAN_POINT('',(13.75,19.,0.701)); #251586=CARTESIAN_POINT('',(13.65,19.,0.701)); #251587=CARTESIAN_POINT('',(13.65,19.,0.701)); #251588=CARTESIAN_POINT('',(13.75,18.9999999999999,-0.00100000000000002)); #251589=CARTESIAN_POINT('',(13.75,19.,-0.00100000000000002)); #251590=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.001)); #251591=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.001)); #251592=CARTESIAN_POINT('',(13.65,19.,0.)); #251593=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.001)); #251594=CARTESIAN_POINT('',(13.35,18.9999999999999,0.)); #251595=CARTESIAN_POINT('',(0.,19.,0.)); #251596=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.001)); #251597=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.001)); #251598=CARTESIAN_POINT('',(13.25,18.9999999999999,-0.001)); #251599=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.001)); #251600=CARTESIAN_POINT('',(13.25,19.,0.701)); #251601=CARTESIAN_POINT('',(13.25,19.,-0.00100000000000002)); #251602=CARTESIAN_POINT('',(13.35,19.,0.701)); #251603=CARTESIAN_POINT('',(12.65,19.,0.701)); #251604=CARTESIAN_POINT('',(13.35,19.,0.701)); #251605=CARTESIAN_POINT('',(13.65,19.,0.701)); #251606=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251607=CARTESIAN_POINT('',(12.75,19.,0.701)); #251608=CARTESIAN_POINT('',(12.65,19.,0.701)); #251609=CARTESIAN_POINT('',(12.65,19.,0.701)); #251610=CARTESIAN_POINT('',(12.75,18.9999999999999,-0.00100000000000002)); #251611=CARTESIAN_POINT('',(12.75,19.,-0.00100000000000002)); #251612=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.001)); #251613=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.001)); #251614=CARTESIAN_POINT('',(12.65,19.,0.)); #251615=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.001)); #251616=CARTESIAN_POINT('',(11.35,18.9999999999999,0.)); #251617=CARTESIAN_POINT('',(0.,19.,0.)); #251618=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.001)); #251619=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.001)); #251620=CARTESIAN_POINT('',(11.25,18.9999999999999,-0.001)); #251621=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.001)); #251622=CARTESIAN_POINT('',(11.25,19.,0.701)); #251623=CARTESIAN_POINT('',(11.25,19.,-0.00100000000000002)); #251624=CARTESIAN_POINT('',(11.35,19.,0.701)); #251625=CARTESIAN_POINT('',(10.65,19.,0.701)); #251626=CARTESIAN_POINT('',(11.35,19.,0.701)); #251627=CARTESIAN_POINT('',(12.65,19.,0.701)); #251628=CARTESIAN_POINT('Origin',(0.,0.,0.)); #251629=CARTESIAN_POINT('',(17.35,18.1999999999999,0.)); #251630=CARTESIAN_POINT('',(16.65,18.1999999999999,0.)); #251631=CARTESIAN_POINT('',(16.65,18.1999999999999,0.)); #251632=CARTESIAN_POINT('',(17.35,18.9999999999999,0.)); #251633=CARTESIAN_POINT('',(18.,0.,0.)); #251634=CARTESIAN_POINT('',(18.,0.,0.)); #251635=CARTESIAN_POINT('',(17.35,0.,0.)); #251636=CARTESIAN_POINT('',(0.,0.,0.)); #251637=CARTESIAN_POINT('',(17.35,0.799999999999888,0.)); #251638=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,0.)); #251639=CARTESIAN_POINT('',(16.65,0.799999999999888,0.)); #251640=CARTESIAN_POINT('',(16.65,0.799999999999888,0.)); #251641=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,0.)); #251642=CARTESIAN_POINT('',(16.35,0.799999999999895,0.)); #251643=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,0.)); #251644=CARTESIAN_POINT('',(15.65,0.799999999999895,0.)); #251645=CARTESIAN_POINT('',(15.65,0.799999999999895,0.)); #251646=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,0.)); #251647=CARTESIAN_POINT('',(15.35,0.799999999999902,0.)); #251648=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,0.)); #251649=CARTESIAN_POINT('',(14.65,0.799999999999902,0.)); #251650=CARTESIAN_POINT('',(14.65,0.799999999999902,0.)); #251651=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,0.)); #251652=CARTESIAN_POINT('',(14.35,0.799999999999909,0.)); #251653=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,0.)); #251654=CARTESIAN_POINT('',(13.65,0.79999999999991,0.)); #251655=CARTESIAN_POINT('',(13.65,0.79999999999991,0.)); #251656=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,0.)); #251657=CARTESIAN_POINT('',(13.35,0.799999999999916,0.)); #251658=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,0.)); #251659=CARTESIAN_POINT('',(12.65,0.799999999999916,0.)); #251660=CARTESIAN_POINT('',(12.65,0.799999999999916,0.)); #251661=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,0.)); #251662=CARTESIAN_POINT('',(11.35,0.79999999999993,0.)); #251663=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,0.)); #251664=CARTESIAN_POINT('',(10.65,0.79999999999993,0.)); #251665=CARTESIAN_POINT('',(10.65,0.79999999999993,0.)); #251666=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,0.)); #251667=CARTESIAN_POINT('',(10.35,0.799999999999937,0.)); #251668=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,0.)); #251669=CARTESIAN_POINT('',(9.65,0.799999999999937,0.)); #251670=CARTESIAN_POINT('',(9.65,0.799999999999937,0.)); #251671=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,0.)); #251672=CARTESIAN_POINT('',(9.35,0.799999999999944,0.)); #251673=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,0.)); #251674=CARTESIAN_POINT('',(8.65,0.799999999999944,0.)); #251675=CARTESIAN_POINT('',(8.65,0.799999999999944,0.)); #251676=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,0.)); #251677=CARTESIAN_POINT('',(8.35,0.799999999999951,0.)); #251678=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,0.)); #251679=CARTESIAN_POINT('',(7.65,0.799999999999951,0.)); #251680=CARTESIAN_POINT('',(7.65,0.799999999999951,0.)); #251681=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,0.)); #251682=CARTESIAN_POINT('',(7.35,0.799999999999958,0.)); #251683=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,0.)); #251684=CARTESIAN_POINT('',(6.65,0.799999999999958,0.)); #251685=CARTESIAN_POINT('',(6.65,0.799999999999958,0.)); #251686=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,0.)); #251687=CARTESIAN_POINT('',(6.35,0.799999999999965,0.)); #251688=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,0.)); #251689=CARTESIAN_POINT('',(5.65,0.799999999999965,0.)); #251690=CARTESIAN_POINT('',(5.65,0.799999999999965,0.)); #251691=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,0.)); #251692=CARTESIAN_POINT('',(5.35,0.799999999999972,0.)); #251693=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,0.)); #251694=CARTESIAN_POINT('',(4.65,0.799999999999972,0.)); #251695=CARTESIAN_POINT('',(4.65,0.799999999999972,0.)); #251696=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,0.)); #251697=CARTESIAN_POINT('',(4.35,0.799999999999979,0.)); #251698=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,0.)); #251699=CARTESIAN_POINT('',(3.65,0.799999999999979,0.)); #251700=CARTESIAN_POINT('',(3.65,0.799999999999979,0.)); #251701=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,0.)); #251702=CARTESIAN_POINT('',(3.35,0.799999999999986,0.)); #251703=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,0.)); #251704=CARTESIAN_POINT('',(2.65,0.799999999999986,0.)); #251705=CARTESIAN_POINT('',(2.65,0.799999999999986,0.)); #251706=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,0.)); #251707=CARTESIAN_POINT('',(2.35,0.799999999999993,0.)); #251708=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,0.)); #251709=CARTESIAN_POINT('',(1.65,0.799999999999993,0.)); #251710=CARTESIAN_POINT('',(1.65,0.799999999999993,0.)); #251711=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,0.)); #251712=CARTESIAN_POINT('',(1.35,0.8,0.)); #251713=CARTESIAN_POINT('',(1.35,0.,0.)); #251714=CARTESIAN_POINT('',(0.65,0.8,0.)); #251715=CARTESIAN_POINT('',(0.65,0.8,0.)); #251716=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,0.)); #251717=CARTESIAN_POINT('',(0.,19.,0.)); #251718=CARTESIAN_POINT('',(0.,0.,0.)); #251719=CARTESIAN_POINT('',(0.650000000000001,19.,0.)); #251720=CARTESIAN_POINT('',(0.,19.,0.)); #251721=CARTESIAN_POINT('',(0.650000000000001,18.2,0.)); #251722=CARTESIAN_POINT('',(0.650000000000001,19.,0.)); #251723=CARTESIAN_POINT('',(1.35,18.2,0.)); #251724=CARTESIAN_POINT('',(0.650000000000001,18.2,0.)); #251725=CARTESIAN_POINT('',(1.35,19.,0.)); #251726=CARTESIAN_POINT('',(1.65,18.2,0.)); #251727=CARTESIAN_POINT('',(1.65,19.,0.)); #251728=CARTESIAN_POINT('',(2.35,18.2,0.)); #251729=CARTESIAN_POINT('',(1.65,18.2,0.)); #251730=CARTESIAN_POINT('',(2.35,19.,0.)); #251731=CARTESIAN_POINT('',(2.65,18.2,0.)); #251732=CARTESIAN_POINT('',(2.65,19.,0.)); #251733=CARTESIAN_POINT('',(3.35,18.2,0.)); #251734=CARTESIAN_POINT('',(2.65,18.2,0.)); #251735=CARTESIAN_POINT('',(3.35,19.,0.)); #251736=CARTESIAN_POINT('',(3.65,18.2,0.)); #251737=CARTESIAN_POINT('',(3.65,19.,0.)); #251738=CARTESIAN_POINT('',(4.35,18.2,0.)); #251739=CARTESIAN_POINT('',(3.65,18.2,0.)); #251740=CARTESIAN_POINT('',(4.35,19.,0.)); #251741=CARTESIAN_POINT('',(4.65,18.2,0.)); #251742=CARTESIAN_POINT('',(4.65,19.,0.)); #251743=CARTESIAN_POINT('',(5.35,18.2,0.)); #251744=CARTESIAN_POINT('',(4.65,18.2,0.)); #251745=CARTESIAN_POINT('',(5.35,19.,0.)); #251746=CARTESIAN_POINT('',(5.65,18.2,0.)); #251747=CARTESIAN_POINT('',(5.65,19.,0.)); #251748=CARTESIAN_POINT('',(6.35,18.2,0.)); #251749=CARTESIAN_POINT('',(5.65,18.2,0.)); #251750=CARTESIAN_POINT('',(6.35,19.,0.)); #251751=CARTESIAN_POINT('',(6.65,18.2,0.)); #251752=CARTESIAN_POINT('',(6.65,19.,0.)); #251753=CARTESIAN_POINT('',(7.35,18.2,0.)); #251754=CARTESIAN_POINT('',(6.65,18.2,0.)); #251755=CARTESIAN_POINT('',(7.35,19.,0.)); #251756=CARTESIAN_POINT('',(7.65,18.2,0.)); #251757=CARTESIAN_POINT('',(7.65,19.,0.)); #251758=CARTESIAN_POINT('',(8.35,18.2,0.)); #251759=CARTESIAN_POINT('',(7.65,18.2,0.)); #251760=CARTESIAN_POINT('',(8.35,19.,0.)); #251761=CARTESIAN_POINT('',(8.65,18.1999999999999,0.)); #251762=CARTESIAN_POINT('',(8.65,18.9999999999999,0.)); #251763=CARTESIAN_POINT('',(9.35,18.1999999999999,0.)); #251764=CARTESIAN_POINT('',(8.65,18.1999999999999,0.)); #251765=CARTESIAN_POINT('',(9.35,18.9999999999999,0.)); #251766=CARTESIAN_POINT('',(9.65,18.1999999999999,0.)); #251767=CARTESIAN_POINT('',(9.65,18.9999999999999,0.)); #251768=CARTESIAN_POINT('',(10.35,18.1999999999999,0.)); #251769=CARTESIAN_POINT('',(9.65,18.1999999999999,0.)); #251770=CARTESIAN_POINT('',(10.35,18.9999999999999,0.)); #251771=CARTESIAN_POINT('',(10.65,18.1999999999999,0.)); #251772=CARTESIAN_POINT('',(10.65,18.9999999999999,0.)); #251773=CARTESIAN_POINT('',(11.35,18.1999999999999,0.)); #251774=CARTESIAN_POINT('',(10.65,18.1999999999999,0.)); #251775=CARTESIAN_POINT('',(11.35,18.9999999999999,0.)); #251776=CARTESIAN_POINT('',(12.65,18.1999999999999,0.)); #251777=CARTESIAN_POINT('',(12.65,18.9999999999999,0.)); #251778=CARTESIAN_POINT('',(13.35,18.1999999999999,0.)); #251779=CARTESIAN_POINT('',(12.65,18.1999999999999,0.)); #251780=CARTESIAN_POINT('',(13.35,18.9999999999999,0.)); #251781=CARTESIAN_POINT('',(13.65,18.1999999999999,0.)); #251782=CARTESIAN_POINT('',(13.65,18.9999999999999,0.)); #251783=CARTESIAN_POINT('',(14.35,18.1999999999999,0.)); #251784=CARTESIAN_POINT('',(13.65,18.1999999999999,0.)); #251785=CARTESIAN_POINT('',(14.35,18.9999999999999,0.)); #251786=CARTESIAN_POINT('',(14.65,18.1999999999999,0.)); #251787=CARTESIAN_POINT('',(14.65,18.9999999999999,0.)); #251788=CARTESIAN_POINT('',(15.35,18.1999999999999,0.)); #251789=CARTESIAN_POINT('',(14.65,18.1999999999999,0.)); #251790=CARTESIAN_POINT('',(15.35,18.9999999999999,0.)); #251791=CARTESIAN_POINT('',(15.65,18.1999999999999,0.)); #251792=CARTESIAN_POINT('',(15.65,18.9999999999999,0.)); #251793=CARTESIAN_POINT('',(16.35,18.1999999999999,0.)); #251794=CARTESIAN_POINT('',(15.65,18.1999999999999,0.)); #251795=CARTESIAN_POINT('',(16.35,18.9999999999999,0.)); #251796=CARTESIAN_POINT('',(16.65,18.9999999999999,0.)); #251797=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251798=CARTESIAN_POINT('',(0.,19.,0.7)); #251799=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #251800=CARTESIAN_POINT('',(17.25,0.,0.701)); #251801=CARTESIAN_POINT('',(17.35,0.,0.701)); #251802=CARTESIAN_POINT('',(16.65,0.,0.701)); #251803=CARTESIAN_POINT('',(17.25,-1.11715156374988E-13,-0.00100000000000002)); #251804=CARTESIAN_POINT('',(17.25,0.,-0.00100000000000002)); #251805=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.001)); #251806=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.001)); #251807=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.001)); #251808=CARTESIAN_POINT('',(18.,0.,0.7)); #251809=CARTESIAN_POINT('',(17.35,0.,0.701)); #251810=CARTESIAN_POINT('Origin',(18.,0.,0.7)); #251811=CARTESIAN_POINT('Origin',(0.,19.,0.7)); #251812=CARTESIAN_POINT('',(0.75,19.,0.701)); #251813=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #251814=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #251815=CARTESIAN_POINT('',(0.75,19.,-0.00100000000000002)); #251816=CARTESIAN_POINT('',(0.75,19.,-0.00100000000000002)); #251817=CARTESIAN_POINT('',(0.650000000000001,19.,-0.001)); #251818=CARTESIAN_POINT('',(0.650000000000001,19.,-0.001)); #251819=CARTESIAN_POINT('',(0.650000000000001,19.,-0.001)); #251820=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #251821=CARTESIAN_POINT('Origin',(0.65,0.,0.701)); #251822=CARTESIAN_POINT('',(0.65,0.35,0.701)); #251823=CARTESIAN_POINT('',(0.65,0.,0.701)); #251824=CARTESIAN_POINT('',(0.65,0.35,0.701)); #251825=CARTESIAN_POINT('Origin',(1.35,0.,0.701)); #251826=CARTESIAN_POINT('',(1.35,0.35,0.701)); #251827=CARTESIAN_POINT('',(1.35,0.35,0.701)); #251828=CARTESIAN_POINT('',(1.35,0.,0.701)); #251829=CARTESIAN_POINT('Origin',(0.65,0.35,0.701)); #251830=CARTESIAN_POINT('',(0.65,0.35,0.701)); #251831=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251832=CARTESIAN_POINT('Origin',(1.,0.,0.701)); #251833=CARTESIAN_POINT('Origin',(0.649999999999998,19.,0.701)); #251834=CARTESIAN_POINT('',(0.649999999999998,18.65,0.701)); #251835=CARTESIAN_POINT('',(0.649999999999998,18.65,0.701)); #251836=CARTESIAN_POINT('',(0.649999999999998,19.,0.701)); #251837=CARTESIAN_POINT('Origin',(0.649999999999998,18.65,0.701)); #251838=CARTESIAN_POINT('',(1.35,18.65,0.701)); #251839=CARTESIAN_POINT('',(1.35,18.65,0.701)); #251840=CARTESIAN_POINT('',(0.649999999999998,18.65,0.701)); #251841=CARTESIAN_POINT('Origin',(1.35,19.,0.701)); #251842=CARTESIAN_POINT('',(1.35,19.,0.701)); #251843=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251844=CARTESIAN_POINT('Origin',(1.,19.,0.701)); #251845=CARTESIAN_POINT('Origin',(2.35,0.,0.701)); #251846=CARTESIAN_POINT('',(2.35,0.35,0.701)); #251847=CARTESIAN_POINT('',(2.35,0.35,0.701)); #251848=CARTESIAN_POINT('',(2.35,0.,0.701)); #251849=CARTESIAN_POINT('Origin',(1.65,0.35,0.701)); #251850=CARTESIAN_POINT('',(1.65,0.35,0.701)); #251851=CARTESIAN_POINT('',(1.65,0.35,0.701)); #251852=CARTESIAN_POINT('',(1.65,0.35,0.701)); #251853=CARTESIAN_POINT('Origin',(1.65,0.,0.701)); #251854=CARTESIAN_POINT('',(1.65,0.,0.701)); #251855=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251856=CARTESIAN_POINT('Origin',(2.,0.,0.701)); #251857=CARTESIAN_POINT('Origin',(1.65,19.,0.701)); #251858=CARTESIAN_POINT('',(1.65,18.65,0.701)); #251859=CARTESIAN_POINT('',(1.65,18.65,0.701)); #251860=CARTESIAN_POINT('',(1.65,19.,0.701)); #251861=CARTESIAN_POINT('Origin',(1.65,18.65,0.701)); #251862=CARTESIAN_POINT('',(2.35,18.65,0.701)); #251863=CARTESIAN_POINT('',(2.35,18.65,0.701)); #251864=CARTESIAN_POINT('',(1.65,18.65,0.701)); #251865=CARTESIAN_POINT('Origin',(2.35,19.,0.701)); #251866=CARTESIAN_POINT('',(2.35,19.,0.701)); #251867=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251868=CARTESIAN_POINT('Origin',(2.,19.,0.701)); #251869=CARTESIAN_POINT('Origin',(3.35,0.,0.701)); #251870=CARTESIAN_POINT('',(3.35,0.35,0.701)); #251871=CARTESIAN_POINT('',(3.35,0.35,0.701)); #251872=CARTESIAN_POINT('',(3.35,0.,0.701)); #251873=CARTESIAN_POINT('Origin',(2.65,0.35,0.701)); #251874=CARTESIAN_POINT('',(2.65,0.35,0.701)); #251875=CARTESIAN_POINT('',(2.65,0.35,0.701)); #251876=CARTESIAN_POINT('',(2.65,0.35,0.701)); #251877=CARTESIAN_POINT('Origin',(2.65,0.,0.701)); #251878=CARTESIAN_POINT('',(2.65,0.,0.701)); #251879=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251880=CARTESIAN_POINT('Origin',(3.,0.,0.701)); #251881=CARTESIAN_POINT('Origin',(2.65,19.,0.701)); #251882=CARTESIAN_POINT('',(2.65,18.65,0.701)); #251883=CARTESIAN_POINT('',(2.65,18.65,0.701)); #251884=CARTESIAN_POINT('',(2.65,19.,0.701)); #251885=CARTESIAN_POINT('Origin',(2.65,18.65,0.701)); #251886=CARTESIAN_POINT('',(3.35,18.65,0.701)); #251887=CARTESIAN_POINT('',(3.35,18.65,0.701)); #251888=CARTESIAN_POINT('',(2.65,18.65,0.701)); #251889=CARTESIAN_POINT('Origin',(3.35,19.,0.701)); #251890=CARTESIAN_POINT('',(3.35,19.,0.701)); #251891=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251892=CARTESIAN_POINT('Origin',(3.,19.,0.701)); #251893=CARTESIAN_POINT('Origin',(4.35,0.,0.701)); #251894=CARTESIAN_POINT('',(4.35,0.35,0.701)); #251895=CARTESIAN_POINT('',(4.35,0.35,0.701)); #251896=CARTESIAN_POINT('',(4.35,0.,0.701)); #251897=CARTESIAN_POINT('Origin',(3.65,0.35,0.701)); #251898=CARTESIAN_POINT('',(3.65,0.35,0.701)); #251899=CARTESIAN_POINT('',(3.65,0.35,0.701)); #251900=CARTESIAN_POINT('',(3.65,0.35,0.701)); #251901=CARTESIAN_POINT('Origin',(3.65,0.,0.701)); #251902=CARTESIAN_POINT('',(3.65,0.,0.701)); #251903=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251904=CARTESIAN_POINT('Origin',(4.,0.,0.701)); #251905=CARTESIAN_POINT('Origin',(3.65,19.,0.701)); #251906=CARTESIAN_POINT('',(3.65,18.65,0.701)); #251907=CARTESIAN_POINT('',(3.65,18.65,0.701)); #251908=CARTESIAN_POINT('',(3.65,19.,0.701)); #251909=CARTESIAN_POINT('Origin',(3.65,18.65,0.701)); #251910=CARTESIAN_POINT('',(4.35,18.65,0.701)); #251911=CARTESIAN_POINT('',(4.35,18.65,0.701)); #251912=CARTESIAN_POINT('',(3.65,18.65,0.701)); #251913=CARTESIAN_POINT('Origin',(4.35,19.,0.701)); #251914=CARTESIAN_POINT('',(4.35,19.,0.701)); #251915=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251916=CARTESIAN_POINT('Origin',(4.,19.,0.701)); #251917=CARTESIAN_POINT('Origin',(5.35,0.,0.701)); #251918=CARTESIAN_POINT('',(5.35,0.35,0.701)); #251919=CARTESIAN_POINT('',(5.35,0.35,0.701)); #251920=CARTESIAN_POINT('',(5.35,0.,0.701)); #251921=CARTESIAN_POINT('Origin',(4.65,0.35,0.701)); #251922=CARTESIAN_POINT('',(4.65,0.35,0.701)); #251923=CARTESIAN_POINT('',(4.65,0.35,0.701)); #251924=CARTESIAN_POINT('',(4.65,0.35,0.701)); #251925=CARTESIAN_POINT('Origin',(4.65,0.,0.701)); #251926=CARTESIAN_POINT('',(4.65,0.,0.701)); #251927=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251928=CARTESIAN_POINT('Origin',(5.,0.,0.701)); #251929=CARTESIAN_POINT('Origin',(4.65,19.,0.701)); #251930=CARTESIAN_POINT('',(4.65,18.65,0.701)); #251931=CARTESIAN_POINT('',(4.65,18.65,0.701)); #251932=CARTESIAN_POINT('',(4.65,19.,0.701)); #251933=CARTESIAN_POINT('Origin',(4.65,18.65,0.701)); #251934=CARTESIAN_POINT('',(5.35,18.65,0.701)); #251935=CARTESIAN_POINT('',(5.35,18.65,0.701)); #251936=CARTESIAN_POINT('',(4.65,18.65,0.701)); #251937=CARTESIAN_POINT('Origin',(5.35,19.,0.701)); #251938=CARTESIAN_POINT('',(5.35,19.,0.701)); #251939=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251940=CARTESIAN_POINT('Origin',(5.,19.,0.701)); #251941=CARTESIAN_POINT('Origin',(6.35,0.,0.701)); #251942=CARTESIAN_POINT('',(6.35,0.35,0.701)); #251943=CARTESIAN_POINT('',(6.35,0.35,0.701)); #251944=CARTESIAN_POINT('',(6.35,0.,0.701)); #251945=CARTESIAN_POINT('Origin',(5.65,0.35,0.701)); #251946=CARTESIAN_POINT('',(5.65,0.35,0.701)); #251947=CARTESIAN_POINT('',(5.65,0.35,0.701)); #251948=CARTESIAN_POINT('',(5.65,0.35,0.701)); #251949=CARTESIAN_POINT('Origin',(5.65,0.,0.701)); #251950=CARTESIAN_POINT('',(5.65,0.,0.701)); #251951=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251952=CARTESIAN_POINT('Origin',(6.,0.,0.701)); #251953=CARTESIAN_POINT('Origin',(5.65,19.,0.701)); #251954=CARTESIAN_POINT('',(5.65,18.65,0.701)); #251955=CARTESIAN_POINT('',(5.65,18.65,0.701)); #251956=CARTESIAN_POINT('',(5.65,19.,0.701)); #251957=CARTESIAN_POINT('Origin',(5.65,18.65,0.701)); #251958=CARTESIAN_POINT('',(6.35,18.65,0.701)); #251959=CARTESIAN_POINT('',(6.35,18.65,0.701)); #251960=CARTESIAN_POINT('',(5.65,18.65,0.701)); #251961=CARTESIAN_POINT('Origin',(6.35,19.,0.701)); #251962=CARTESIAN_POINT('',(6.35,19.,0.701)); #251963=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251964=CARTESIAN_POINT('Origin',(6.,19.,0.701)); #251965=CARTESIAN_POINT('Origin',(7.35,0.,0.701)); #251966=CARTESIAN_POINT('',(7.35,0.35,0.701)); #251967=CARTESIAN_POINT('',(7.35,0.35,0.701)); #251968=CARTESIAN_POINT('',(7.35,0.,0.701)); #251969=CARTESIAN_POINT('Origin',(6.65,0.35,0.701)); #251970=CARTESIAN_POINT('',(6.65,0.35,0.701)); #251971=CARTESIAN_POINT('',(6.65,0.35,0.701)); #251972=CARTESIAN_POINT('',(6.65,0.35,0.701)); #251973=CARTESIAN_POINT('Origin',(6.65,0.,0.701)); #251974=CARTESIAN_POINT('',(6.65,0.,0.701)); #251975=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251976=CARTESIAN_POINT('Origin',(7.,0.,0.701)); #251977=CARTESIAN_POINT('Origin',(6.65,19.,0.701)); #251978=CARTESIAN_POINT('',(6.65,18.65,0.701)); #251979=CARTESIAN_POINT('',(6.65,18.65,0.701)); #251980=CARTESIAN_POINT('',(6.65,19.,0.701)); #251981=CARTESIAN_POINT('Origin',(6.65,18.65,0.701)); #251982=CARTESIAN_POINT('',(7.35,18.65,0.701)); #251983=CARTESIAN_POINT('',(7.35,18.65,0.701)); #251984=CARTESIAN_POINT('',(6.65,18.65,0.701)); #251985=CARTESIAN_POINT('Origin',(7.35,19.,0.701)); #251986=CARTESIAN_POINT('',(7.35,19.,0.701)); #251987=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #251988=CARTESIAN_POINT('Origin',(7.,19.,0.701)); #251989=CARTESIAN_POINT('Origin',(8.35,0.,0.701)); #251990=CARTESIAN_POINT('',(8.35,0.35,0.701)); #251991=CARTESIAN_POINT('',(8.35,0.35,0.701)); #251992=CARTESIAN_POINT('',(8.35,0.,0.701)); #251993=CARTESIAN_POINT('Origin',(7.65,0.35,0.701)); #251994=CARTESIAN_POINT('',(7.65,0.35,0.701)); #251995=CARTESIAN_POINT('',(7.65,0.35,0.701)); #251996=CARTESIAN_POINT('',(7.65,0.35,0.701)); #251997=CARTESIAN_POINT('Origin',(7.65,0.,0.701)); #251998=CARTESIAN_POINT('',(7.65,0.,0.701)); #251999=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252000=CARTESIAN_POINT('Origin',(8.,0.,0.701)); #252001=CARTESIAN_POINT('Origin',(7.65,19.,0.701)); #252002=CARTESIAN_POINT('',(7.65,18.65,0.701)); #252003=CARTESIAN_POINT('',(7.65,18.65,0.701)); #252004=CARTESIAN_POINT('',(7.65,19.,0.701)); #252005=CARTESIAN_POINT('Origin',(7.65,18.65,0.701)); #252006=CARTESIAN_POINT('',(8.35,18.65,0.701)); #252007=CARTESIAN_POINT('',(8.35,18.65,0.701)); #252008=CARTESIAN_POINT('',(7.65,18.65,0.701)); #252009=CARTESIAN_POINT('Origin',(8.35,19.,0.701)); #252010=CARTESIAN_POINT('',(8.35,19.,0.701)); #252011=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252012=CARTESIAN_POINT('Origin',(8.,19.,0.701)); #252013=CARTESIAN_POINT('Origin',(9.35,0.,0.701)); #252014=CARTESIAN_POINT('',(9.35,0.35,0.701)); #252015=CARTESIAN_POINT('',(9.35,0.35,0.701)); #252016=CARTESIAN_POINT('',(9.35,0.,0.701)); #252017=CARTESIAN_POINT('Origin',(8.65,0.35,0.701)); #252018=CARTESIAN_POINT('',(8.65,0.35,0.701)); #252019=CARTESIAN_POINT('',(8.65,0.35,0.701)); #252020=CARTESIAN_POINT('',(8.65,0.35,0.701)); #252021=CARTESIAN_POINT('Origin',(8.65,0.,0.701)); #252022=CARTESIAN_POINT('',(8.65,0.,0.701)); #252023=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252024=CARTESIAN_POINT('Origin',(9.,0.,0.701)); #252025=CARTESIAN_POINT('Origin',(8.65,19.,0.701)); #252026=CARTESIAN_POINT('',(8.65,18.65,0.701)); #252027=CARTESIAN_POINT('',(8.65,18.65,0.701)); #252028=CARTESIAN_POINT('',(8.65,19.,0.701)); #252029=CARTESIAN_POINT('Origin',(8.65,18.65,0.701)); #252030=CARTESIAN_POINT('',(9.35,18.65,0.701)); #252031=CARTESIAN_POINT('',(9.35,18.65,0.701)); #252032=CARTESIAN_POINT('',(8.65,18.65,0.701)); #252033=CARTESIAN_POINT('Origin',(9.35,19.,0.701)); #252034=CARTESIAN_POINT('',(9.35,19.,0.701)); #252035=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252036=CARTESIAN_POINT('Origin',(9.,19.,0.701)); #252037=CARTESIAN_POINT('Origin',(10.35,0.,0.701)); #252038=CARTESIAN_POINT('',(10.35,0.35,0.701)); #252039=CARTESIAN_POINT('',(10.35,0.35,0.701)); #252040=CARTESIAN_POINT('',(10.35,0.,0.701)); #252041=CARTESIAN_POINT('Origin',(9.65,0.35,0.701)); #252042=CARTESIAN_POINT('',(9.65,0.35,0.701)); #252043=CARTESIAN_POINT('',(9.65,0.35,0.701)); #252044=CARTESIAN_POINT('',(9.65,0.35,0.701)); #252045=CARTESIAN_POINT('Origin',(9.65,0.,0.701)); #252046=CARTESIAN_POINT('',(9.65,0.,0.701)); #252047=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252048=CARTESIAN_POINT('Origin',(10.,0.,0.701)); #252049=CARTESIAN_POINT('Origin',(9.65,19.,0.701)); #252050=CARTESIAN_POINT('',(9.65,18.65,0.701)); #252051=CARTESIAN_POINT('',(9.65,18.65,0.701)); #252052=CARTESIAN_POINT('',(9.65,19.,0.701)); #252053=CARTESIAN_POINT('Origin',(9.65,18.65,0.701)); #252054=CARTESIAN_POINT('',(10.35,18.65,0.701)); #252055=CARTESIAN_POINT('',(10.35,18.65,0.701)); #252056=CARTESIAN_POINT('',(9.65,18.65,0.701)); #252057=CARTESIAN_POINT('Origin',(10.35,19.,0.701)); #252058=CARTESIAN_POINT('',(10.35,19.,0.701)); #252059=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252060=CARTESIAN_POINT('Origin',(10.,19.,0.701)); #252061=CARTESIAN_POINT('Origin',(11.35,0.,0.701)); #252062=CARTESIAN_POINT('',(11.35,0.35,0.701)); #252063=CARTESIAN_POINT('',(11.35,0.35,0.701)); #252064=CARTESIAN_POINT('',(11.35,0.,0.701)); #252065=CARTESIAN_POINT('Origin',(10.65,0.35,0.701)); #252066=CARTESIAN_POINT('',(10.65,0.35,0.701)); #252067=CARTESIAN_POINT('',(10.65,0.35,0.701)); #252068=CARTESIAN_POINT('',(10.65,0.35,0.701)); #252069=CARTESIAN_POINT('Origin',(10.65,0.,0.701)); #252070=CARTESIAN_POINT('',(10.65,0.,0.701)); #252071=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252072=CARTESIAN_POINT('Origin',(11.,0.,0.701)); #252073=CARTESIAN_POINT('Origin',(10.65,19.,0.701)); #252074=CARTESIAN_POINT('',(10.65,18.65,0.701)); #252075=CARTESIAN_POINT('',(10.65,18.65,0.701)); #252076=CARTESIAN_POINT('',(10.65,19.,0.701)); #252077=CARTESIAN_POINT('Origin',(10.65,18.65,0.701)); #252078=CARTESIAN_POINT('',(11.35,18.65,0.701)); #252079=CARTESIAN_POINT('',(11.35,18.65,0.701)); #252080=CARTESIAN_POINT('',(10.65,18.65,0.701)); #252081=CARTESIAN_POINT('Origin',(11.35,19.,0.701)); #252082=CARTESIAN_POINT('',(11.35,19.,0.701)); #252083=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252084=CARTESIAN_POINT('Origin',(11.,19.,0.701)); #252085=CARTESIAN_POINT('Origin',(13.35,0.,0.701)); #252086=CARTESIAN_POINT('',(13.35,0.35,0.701)); #252087=CARTESIAN_POINT('',(13.35,0.35,0.701)); #252088=CARTESIAN_POINT('',(13.35,0.,0.701)); #252089=CARTESIAN_POINT('Origin',(12.65,0.35,0.701)); #252090=CARTESIAN_POINT('',(12.65,0.35,0.701)); #252091=CARTESIAN_POINT('',(12.65,0.35,0.701)); #252092=CARTESIAN_POINT('',(12.65,0.35,0.701)); #252093=CARTESIAN_POINT('Origin',(12.65,0.,0.701)); #252094=CARTESIAN_POINT('',(12.65,0.,0.701)); #252095=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252096=CARTESIAN_POINT('Origin',(13.,0.,0.701)); #252097=CARTESIAN_POINT('Origin',(12.65,19.,0.701)); #252098=CARTESIAN_POINT('',(12.65,18.65,0.701)); #252099=CARTESIAN_POINT('',(12.65,18.65,0.701)); #252100=CARTESIAN_POINT('',(12.65,19.,0.701)); #252101=CARTESIAN_POINT('Origin',(12.65,18.65,0.701)); #252102=CARTESIAN_POINT('',(13.35,18.65,0.701)); #252103=CARTESIAN_POINT('',(13.35,18.65,0.701)); #252104=CARTESIAN_POINT('',(12.65,18.65,0.701)); #252105=CARTESIAN_POINT('Origin',(13.35,19.,0.701)); #252106=CARTESIAN_POINT('',(13.35,19.,0.701)); #252107=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252108=CARTESIAN_POINT('Origin',(13.,19.,0.701)); #252109=CARTESIAN_POINT('Origin',(14.35,0.,0.701)); #252110=CARTESIAN_POINT('',(14.35,0.35,0.701)); #252111=CARTESIAN_POINT('',(14.35,0.35,0.701)); #252112=CARTESIAN_POINT('',(14.35,0.,0.701)); #252113=CARTESIAN_POINT('Origin',(13.65,0.35,0.701)); #252114=CARTESIAN_POINT('',(13.65,0.35,0.701)); #252115=CARTESIAN_POINT('',(13.65,0.35,0.701)); #252116=CARTESIAN_POINT('',(13.65,0.35,0.701)); #252117=CARTESIAN_POINT('Origin',(13.65,0.,0.701)); #252118=CARTESIAN_POINT('',(13.65,0.,0.701)); #252119=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252120=CARTESIAN_POINT('Origin',(14.,0.,0.701)); #252121=CARTESIAN_POINT('Origin',(13.65,19.,0.701)); #252122=CARTESIAN_POINT('',(13.65,18.65,0.701)); #252123=CARTESIAN_POINT('',(13.65,18.65,0.701)); #252124=CARTESIAN_POINT('',(13.65,19.,0.701)); #252125=CARTESIAN_POINT('Origin',(13.65,18.65,0.701)); #252126=CARTESIAN_POINT('',(14.35,18.65,0.701)); #252127=CARTESIAN_POINT('',(14.35,18.65,0.701)); #252128=CARTESIAN_POINT('',(13.65,18.65,0.701)); #252129=CARTESIAN_POINT('Origin',(14.35,19.,0.701)); #252130=CARTESIAN_POINT('',(14.35,19.,0.701)); #252131=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252132=CARTESIAN_POINT('Origin',(14.,19.,0.701)); #252133=CARTESIAN_POINT('Origin',(15.35,0.,0.701)); #252134=CARTESIAN_POINT('',(15.35,0.35,0.701)); #252135=CARTESIAN_POINT('',(15.35,0.35,0.701)); #252136=CARTESIAN_POINT('',(15.35,0.,0.701)); #252137=CARTESIAN_POINT('Origin',(14.65,0.35,0.701)); #252138=CARTESIAN_POINT('',(14.65,0.35,0.701)); #252139=CARTESIAN_POINT('',(14.65,0.35,0.701)); #252140=CARTESIAN_POINT('',(14.65,0.35,0.701)); #252141=CARTESIAN_POINT('Origin',(14.65,0.,0.701)); #252142=CARTESIAN_POINT('',(14.65,0.,0.701)); #252143=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252144=CARTESIAN_POINT('Origin',(15.,0.,0.701)); #252145=CARTESIAN_POINT('Origin',(14.65,19.,0.701)); #252146=CARTESIAN_POINT('',(14.65,18.65,0.701)); #252147=CARTESIAN_POINT('',(14.65,18.65,0.701)); #252148=CARTESIAN_POINT('',(14.65,19.,0.701)); #252149=CARTESIAN_POINT('Origin',(14.65,18.65,0.701)); #252150=CARTESIAN_POINT('',(15.35,18.65,0.701)); #252151=CARTESIAN_POINT('',(15.35,18.65,0.701)); #252152=CARTESIAN_POINT('',(14.65,18.65,0.701)); #252153=CARTESIAN_POINT('Origin',(15.35,19.,0.701)); #252154=CARTESIAN_POINT('',(15.35,19.,0.701)); #252155=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252156=CARTESIAN_POINT('Origin',(15.,19.,0.701)); #252157=CARTESIAN_POINT('Origin',(16.35,0.,0.701)); #252158=CARTESIAN_POINT('',(16.35,0.35,0.701)); #252159=CARTESIAN_POINT('',(16.35,0.35,0.701)); #252160=CARTESIAN_POINT('',(16.35,0.,0.701)); #252161=CARTESIAN_POINT('Origin',(15.65,0.35,0.701)); #252162=CARTESIAN_POINT('',(15.65,0.35,0.701)); #252163=CARTESIAN_POINT('',(15.65,0.35,0.701)); #252164=CARTESIAN_POINT('',(15.65,0.35,0.701)); #252165=CARTESIAN_POINT('Origin',(15.65,0.,0.701)); #252166=CARTESIAN_POINT('',(15.65,0.,0.701)); #252167=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252168=CARTESIAN_POINT('Origin',(16.,0.,0.701)); #252169=CARTESIAN_POINT('Origin',(15.65,19.,0.701)); #252170=CARTESIAN_POINT('',(15.65,18.65,0.701)); #252171=CARTESIAN_POINT('',(15.65,18.65,0.701)); #252172=CARTESIAN_POINT('',(15.65,19.,0.701)); #252173=CARTESIAN_POINT('Origin',(15.65,18.65,0.701)); #252174=CARTESIAN_POINT('',(16.35,18.65,0.701)); #252175=CARTESIAN_POINT('',(16.35,18.65,0.701)); #252176=CARTESIAN_POINT('',(15.65,18.65,0.701)); #252177=CARTESIAN_POINT('Origin',(16.35,19.,0.701)); #252178=CARTESIAN_POINT('',(16.35,19.,0.701)); #252179=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252180=CARTESIAN_POINT('Origin',(16.,19.,0.701)); #252181=CARTESIAN_POINT('Origin',(17.35,0.,0.701)); #252182=CARTESIAN_POINT('',(17.35,0.35,0.701)); #252183=CARTESIAN_POINT('',(17.35,0.35,0.701)); #252184=CARTESIAN_POINT('',(17.35,0.,0.701)); #252185=CARTESIAN_POINT('Origin',(16.65,0.35,0.701)); #252186=CARTESIAN_POINT('',(16.65,0.35,0.701)); #252187=CARTESIAN_POINT('',(16.65,0.35,0.701)); #252188=CARTESIAN_POINT('',(16.65,0.35,0.701)); #252189=CARTESIAN_POINT('Origin',(16.65,0.,0.701)); #252190=CARTESIAN_POINT('',(16.65,0.,0.701)); #252191=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252192=CARTESIAN_POINT('Origin',(17.,0.,0.701)); #252193=CARTESIAN_POINT('Origin',(16.65,19.,0.701)); #252194=CARTESIAN_POINT('',(16.65,18.65,0.701)); #252195=CARTESIAN_POINT('',(16.65,18.65,0.701)); #252196=CARTESIAN_POINT('',(16.65,19.,0.701)); #252197=CARTESIAN_POINT('Origin',(16.65,18.65,0.701)); #252198=CARTESIAN_POINT('',(17.35,18.65,0.701)); #252199=CARTESIAN_POINT('',(17.35,18.65,0.701)); #252200=CARTESIAN_POINT('',(16.65,18.65,0.701)); #252201=CARTESIAN_POINT('Origin',(17.35,19.,0.701)); #252202=CARTESIAN_POINT('',(17.35,19.,0.701)); #252203=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252204=CARTESIAN_POINT('Origin',(17.,19.,0.701)); #252205=CARTESIAN_POINT('Origin',(0.65,8.57252759403148E-17,-0.001)); #252206=CARTESIAN_POINT('',(0.65,0.8,-0.001)); #252207=CARTESIAN_POINT('',(0.65,0.8,-0.001)); #252208=CARTESIAN_POINT('',(0.65,8.57252759403148E-17,-0.001)); #252209=CARTESIAN_POINT('Origin',(0.65,0.8,-0.001)); #252210=CARTESIAN_POINT('',(1.35,0.8,-0.001)); #252211=CARTESIAN_POINT('',(1.35,0.8,-0.001)); #252212=CARTESIAN_POINT('',(0.65,0.8,-0.001)); #252213=CARTESIAN_POINT('Origin',(1.35,0.,-0.001)); #252214=CARTESIAN_POINT('',(1.35,0.,-0.001)); #252215=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252216=CARTESIAN_POINT('Origin',(1.,0.,-0.00100000000000002)); #252217=CARTESIAN_POINT('Origin',(0.650000000000001,19.,-0.001)); #252218=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.001)); #252219=CARTESIAN_POINT('',(0.650000000000001,19.,-0.001)); #252220=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.001)); #252221=CARTESIAN_POINT('Origin',(1.35,19.,-0.001)); #252222=CARTESIAN_POINT('',(1.35,18.2,-0.001)); #252223=CARTESIAN_POINT('',(1.35,18.2,-0.001)); #252224=CARTESIAN_POINT('',(1.35,19.,-0.001)); #252225=CARTESIAN_POINT('Origin',(0.650000000000001,18.2,-0.001)); #252226=CARTESIAN_POINT('',(0.650000000000001,18.2,-0.001)); #252227=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252228=CARTESIAN_POINT('Origin',(1.,19.,-0.001)); #252229=CARTESIAN_POINT('Origin',(1.65,-6.89723740174595E-15,-0.001)); #252230=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.001)); #252231=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.001)); #252232=CARTESIAN_POINT('',(1.65,-6.89723740174595E-15,-0.001)); #252233=CARTESIAN_POINT('Origin',(1.65,0.799999999999993,-0.001)); #252234=CARTESIAN_POINT('',(2.35,0.799999999999993,-0.001)); #252235=CARTESIAN_POINT('',(2.35,0.799999999999993,-0.001)); #252236=CARTESIAN_POINT('',(1.65,0.799999999999993,-0.001)); #252237=CARTESIAN_POINT('Origin',(2.35,-6.98296267768627E-15,-0.001)); #252238=CARTESIAN_POINT('',(2.35,-6.98296267768627E-15,-0.001)); #252239=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252240=CARTESIAN_POINT('Origin',(2.,0.,-0.00100000000000002)); #252241=CARTESIAN_POINT('Origin',(2.35,19.,-0.001)); #252242=CARTESIAN_POINT('',(2.35,18.2,-0.001)); #252243=CARTESIAN_POINT('',(2.35,18.2,-0.001)); #252244=CARTESIAN_POINT('',(2.35,19.,-0.001)); #252245=CARTESIAN_POINT('Origin',(1.65,18.2,-0.001)); #252246=CARTESIAN_POINT('',(1.65,18.2,-0.001)); #252247=CARTESIAN_POINT('',(1.65,18.2,-0.001)); #252248=CARTESIAN_POINT('',(1.65,18.2,-0.001)); #252249=CARTESIAN_POINT('Origin',(1.65,19.,-0.001)); #252250=CARTESIAN_POINT('',(1.65,19.,-0.001)); #252251=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252252=CARTESIAN_POINT('Origin',(2.,19.,-0.001)); #252253=CARTESIAN_POINT('Origin',(2.65,-1.38802000794322E-14,-0.001)); #252254=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.001)); #252255=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.001)); #252256=CARTESIAN_POINT('',(2.65,-1.38802000794322E-14,-0.001)); #252257=CARTESIAN_POINT('Origin',(2.65,0.799999999999986,-0.001)); #252258=CARTESIAN_POINT('',(3.35,0.799999999999986,-0.001)); #252259=CARTESIAN_POINT('',(3.35,0.799999999999986,-0.001)); #252260=CARTESIAN_POINT('',(2.65,0.799999999999986,-0.001)); #252261=CARTESIAN_POINT('Origin',(3.35,-1.39659253553725E-14,-0.001)); #252262=CARTESIAN_POINT('',(3.35,-1.39659253553725E-14,-0.001)); #252263=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252264=CARTESIAN_POINT('Origin',(3.,0.,-0.00100000000000002)); #252265=CARTESIAN_POINT('Origin',(3.35,19.,-0.001)); #252266=CARTESIAN_POINT('',(3.35,18.2,-0.001)); #252267=CARTESIAN_POINT('',(3.35,18.2,-0.001)); #252268=CARTESIAN_POINT('',(3.35,19.,-0.001)); #252269=CARTESIAN_POINT('Origin',(2.65,18.2,-0.001)); #252270=CARTESIAN_POINT('',(2.65,18.2,-0.001)); #252271=CARTESIAN_POINT('',(2.65,18.2,-0.001)); #252272=CARTESIAN_POINT('',(2.65,18.2,-0.001)); #252273=CARTESIAN_POINT('Origin',(2.65,19.,-0.001)); #252274=CARTESIAN_POINT('',(2.65,19.,-0.001)); #252275=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252276=CARTESIAN_POINT('Origin',(3.,19.,-0.001)); #252277=CARTESIAN_POINT('Origin',(3.65,-2.08631627571185E-14,-0.001)); #252278=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.001)); #252279=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.001)); #252280=CARTESIAN_POINT('',(3.65,-2.08631627571185E-14,-0.001)); #252281=CARTESIAN_POINT('Origin',(3.65,0.799999999999979,-0.001)); #252282=CARTESIAN_POINT('',(4.35,0.799999999999979,-0.001)); #252283=CARTESIAN_POINT('',(4.35,0.799999999999979,-0.001)); #252284=CARTESIAN_POINT('',(3.65,0.799999999999979,-0.001)); #252285=CARTESIAN_POINT('Origin',(4.35,-2.09488880330588E-14,-0.001)); #252286=CARTESIAN_POINT('',(4.35,-2.09488880330588E-14,-0.001)); #252287=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252288=CARTESIAN_POINT('Origin',(4.,0.,-0.00100000000000002)); #252289=CARTESIAN_POINT('Origin',(4.35,19.,-0.001)); #252290=CARTESIAN_POINT('',(4.35,18.2,-0.001)); #252291=CARTESIAN_POINT('',(4.35,18.2,-0.001)); #252292=CARTESIAN_POINT('',(4.35,19.,-0.001)); #252293=CARTESIAN_POINT('Origin',(3.65,18.2,-0.001)); #252294=CARTESIAN_POINT('',(3.65,18.2,-0.001)); #252295=CARTESIAN_POINT('',(3.65,18.2,-0.001)); #252296=CARTESIAN_POINT('',(3.65,18.2,-0.001)); #252297=CARTESIAN_POINT('Origin',(3.65,19.,-0.001)); #252298=CARTESIAN_POINT('',(3.65,19.,-0.001)); #252299=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252300=CARTESIAN_POINT('Origin',(4.,19.,-0.001)); #252301=CARTESIAN_POINT('Origin',(4.65,-2.78461254348048E-14,-0.001)); #252302=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.001)); #252303=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.001)); #252304=CARTESIAN_POINT('',(4.65,-2.78461254348048E-14,-0.001)); #252305=CARTESIAN_POINT('Origin',(4.65,0.799999999999972,-0.001)); #252306=CARTESIAN_POINT('',(5.35,0.799999999999972,-0.001)); #252307=CARTESIAN_POINT('',(5.35,0.799999999999972,-0.001)); #252308=CARTESIAN_POINT('',(4.65,0.799999999999972,-0.001)); #252309=CARTESIAN_POINT('Origin',(5.35,-2.79318507107451E-14,-0.001)); #252310=CARTESIAN_POINT('',(5.35,-2.79318507107451E-14,-0.001)); #252311=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252312=CARTESIAN_POINT('Origin',(5.,0.,-0.00100000000000002)); #252313=CARTESIAN_POINT('Origin',(5.35,19.,-0.001)); #252314=CARTESIAN_POINT('',(5.35,18.2,-0.001)); #252315=CARTESIAN_POINT('',(5.35,18.2,-0.001)); #252316=CARTESIAN_POINT('',(5.35,19.,-0.001)); #252317=CARTESIAN_POINT('Origin',(4.65,18.2,-0.001)); #252318=CARTESIAN_POINT('',(4.65,18.2,-0.001)); #252319=CARTESIAN_POINT('',(4.65,18.2,-0.001)); #252320=CARTESIAN_POINT('',(4.65,18.2,-0.001)); #252321=CARTESIAN_POINT('Origin',(4.65,19.,-0.001)); #252322=CARTESIAN_POINT('',(4.65,19.,-0.001)); #252323=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252324=CARTESIAN_POINT('Origin',(5.,19.,-0.001)); #252325=CARTESIAN_POINT('Origin',(5.65,-3.4829088112491E-14,-0.001)); #252326=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.001)); #252327=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.001)); #252328=CARTESIAN_POINT('',(5.65,-3.4829088112491E-14,-0.001)); #252329=CARTESIAN_POINT('Origin',(5.65,0.799999999999965,-0.001)); #252330=CARTESIAN_POINT('',(6.35,0.799999999999965,-0.001)); #252331=CARTESIAN_POINT('',(6.35,0.799999999999965,-0.001)); #252332=CARTESIAN_POINT('',(5.65,0.799999999999965,-0.001)); #252333=CARTESIAN_POINT('Origin',(6.35,-3.49148133884313E-14,-0.001)); #252334=CARTESIAN_POINT('',(6.35,-3.49148133884313E-14,-0.001)); #252335=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252336=CARTESIAN_POINT('Origin',(6.,0.,-0.00100000000000002)); #252337=CARTESIAN_POINT('Origin',(6.35,19.,-0.001)); #252338=CARTESIAN_POINT('',(6.35,18.2,-0.001)); #252339=CARTESIAN_POINT('',(6.35,18.2,-0.001)); #252340=CARTESIAN_POINT('',(6.35,19.,-0.001)); #252341=CARTESIAN_POINT('Origin',(5.65,18.2,-0.001)); #252342=CARTESIAN_POINT('',(5.65,18.2,-0.001)); #252343=CARTESIAN_POINT('',(5.65,18.2,-0.001)); #252344=CARTESIAN_POINT('',(5.65,18.2,-0.001)); #252345=CARTESIAN_POINT('Origin',(5.65,19.,-0.001)); #252346=CARTESIAN_POINT('',(5.65,19.,-0.001)); #252347=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252348=CARTESIAN_POINT('Origin',(6.,19.,-0.001)); #252349=CARTESIAN_POINT('Origin',(6.65,-4.18120507901773E-14,-0.001)); #252350=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.001)); #252351=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.001)); #252352=CARTESIAN_POINT('',(6.65,-4.18120507901773E-14,-0.001)); #252353=CARTESIAN_POINT('Origin',(6.65,0.799999999999958,-0.001)); #252354=CARTESIAN_POINT('',(7.35,0.799999999999958,-0.001)); #252355=CARTESIAN_POINT('',(7.35,0.799999999999958,-0.001)); #252356=CARTESIAN_POINT('',(6.65,0.799999999999958,-0.001)); #252357=CARTESIAN_POINT('Origin',(7.35,-4.18977760661176E-14,-0.001)); #252358=CARTESIAN_POINT('',(7.35,-4.18977760661176E-14,-0.001)); #252359=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252360=CARTESIAN_POINT('Origin',(7.,0.,-0.00100000000000002)); #252361=CARTESIAN_POINT('Origin',(7.35,19.,-0.001)); #252362=CARTESIAN_POINT('',(7.35,18.2,-0.001)); #252363=CARTESIAN_POINT('',(7.35,18.2,-0.001)); #252364=CARTESIAN_POINT('',(7.35,19.,-0.001)); #252365=CARTESIAN_POINT('Origin',(6.65,18.2,-0.001)); #252366=CARTESIAN_POINT('',(6.65,18.2,-0.001)); #252367=CARTESIAN_POINT('',(6.65,18.2,-0.001)); #252368=CARTESIAN_POINT('',(6.65,18.2,-0.001)); #252369=CARTESIAN_POINT('Origin',(6.65,19.,-0.001)); #252370=CARTESIAN_POINT('',(6.65,19.,-0.001)); #252371=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252372=CARTESIAN_POINT('Origin',(7.,19.,-0.001)); #252373=CARTESIAN_POINT('Origin',(7.65,-4.87950134678636E-14,-0.001)); #252374=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.001)); #252375=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.001)); #252376=CARTESIAN_POINT('',(7.65,-4.87950134678636E-14,-0.001)); #252377=CARTESIAN_POINT('Origin',(7.65,0.799999999999951,-0.001)); #252378=CARTESIAN_POINT('',(8.35,0.799999999999951,-0.001)); #252379=CARTESIAN_POINT('',(8.35,0.799999999999951,-0.001)); #252380=CARTESIAN_POINT('',(7.65,0.799999999999951,-0.001)); #252381=CARTESIAN_POINT('Origin',(8.35,-4.88807387438039E-14,-0.001)); #252382=CARTESIAN_POINT('',(8.35,-4.88807387438039E-14,-0.001)); #252383=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252384=CARTESIAN_POINT('Origin',(8.,0.,-0.00100000000000002)); #252385=CARTESIAN_POINT('Origin',(8.35,19.,-0.001)); #252386=CARTESIAN_POINT('',(8.35,18.2,-0.001)); #252387=CARTESIAN_POINT('',(8.35,18.2,-0.001)); #252388=CARTESIAN_POINT('',(8.35,19.,-0.001)); #252389=CARTESIAN_POINT('Origin',(7.65,18.2,-0.001)); #252390=CARTESIAN_POINT('',(7.65,18.2,-0.001)); #252391=CARTESIAN_POINT('',(7.65,18.2,-0.001)); #252392=CARTESIAN_POINT('',(7.65,18.2,-0.001)); #252393=CARTESIAN_POINT('Origin',(7.65,19.,-0.001)); #252394=CARTESIAN_POINT('',(7.65,19.,-0.001)); #252395=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252396=CARTESIAN_POINT('Origin',(8.,19.,-0.001)); #252397=CARTESIAN_POINT('Origin',(8.65,-5.57779761455498E-14,-0.001)); #252398=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.001)); #252399=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.001)); #252400=CARTESIAN_POINT('',(8.65,-5.57779761455498E-14,-0.001)); #252401=CARTESIAN_POINT('Origin',(8.65,0.799999999999944,-0.001)); #252402=CARTESIAN_POINT('',(9.35,0.799999999999944,-0.001)); #252403=CARTESIAN_POINT('',(9.35,0.799999999999944,-0.001)); #252404=CARTESIAN_POINT('',(8.65,0.799999999999944,-0.001)); #252405=CARTESIAN_POINT('Origin',(9.35,-5.58637014214901E-14,-0.001)); #252406=CARTESIAN_POINT('',(9.35,-5.58637014214901E-14,-0.001)); #252407=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252408=CARTESIAN_POINT('Origin',(9.,0.,-0.00100000000000002)); #252409=CARTESIAN_POINT('Origin',(9.35,18.9999999999999,-0.001)); #252410=CARTESIAN_POINT('',(9.35,18.1999999999999,-0.001)); #252411=CARTESIAN_POINT('',(9.35,18.1999999999999,-0.001)); #252412=CARTESIAN_POINT('',(9.35,18.9999999999999,-0.001)); #252413=CARTESIAN_POINT('Origin',(8.65,18.1999999999999,-0.001)); #252414=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.001)); #252415=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.001)); #252416=CARTESIAN_POINT('',(8.65,18.1999999999999,-0.001)); #252417=CARTESIAN_POINT('Origin',(8.65,18.9999999999999,-0.001)); #252418=CARTESIAN_POINT('',(8.65,18.9999999999999,-0.001)); #252419=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252420=CARTESIAN_POINT('Origin',(9.,19.,-0.001)); #252421=CARTESIAN_POINT('Origin',(9.65,-6.27609388232361E-14,-0.001)); #252422=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.001)); #252423=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.001)); #252424=CARTESIAN_POINT('',(9.65,-6.27609388232361E-14,-0.001)); #252425=CARTESIAN_POINT('Origin',(9.65,0.799999999999937,-0.001)); #252426=CARTESIAN_POINT('',(10.35,0.799999999999937,-0.001)); #252427=CARTESIAN_POINT('',(10.35,0.799999999999937,-0.001)); #252428=CARTESIAN_POINT('',(9.65,0.799999999999937,-0.001)); #252429=CARTESIAN_POINT('Origin',(10.35,-6.28466640991764E-14,-0.001)); #252430=CARTESIAN_POINT('',(10.35,-6.28466640991764E-14,-0.001)); #252431=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252432=CARTESIAN_POINT('Origin',(10.,0.,-0.00100000000000002)); #252433=CARTESIAN_POINT('Origin',(10.35,18.9999999999999,-0.001)); #252434=CARTESIAN_POINT('',(10.35,18.1999999999999,-0.001)); #252435=CARTESIAN_POINT('',(10.35,18.1999999999999,-0.001)); #252436=CARTESIAN_POINT('',(10.35,18.9999999999999,-0.001)); #252437=CARTESIAN_POINT('Origin',(9.65,18.1999999999999,-0.001)); #252438=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.001)); #252439=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.001)); #252440=CARTESIAN_POINT('',(9.65,18.1999999999999,-0.001)); #252441=CARTESIAN_POINT('Origin',(9.65,18.9999999999999,-0.001)); #252442=CARTESIAN_POINT('',(9.65,18.9999999999999,-0.001)); #252443=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252444=CARTESIAN_POINT('Origin',(10.,19.,-0.001)); #252445=CARTESIAN_POINT('Origin',(10.65,-6.97439015009224E-14,-0.001)); #252446=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.001)); #252447=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.001)); #252448=CARTESIAN_POINT('',(10.65,-6.97439015009224E-14,-0.001)); #252449=CARTESIAN_POINT('Origin',(10.65,0.79999999999993,-0.001)); #252450=CARTESIAN_POINT('',(11.35,0.79999999999993,-0.001)); #252451=CARTESIAN_POINT('',(11.35,0.79999999999993,-0.001)); #252452=CARTESIAN_POINT('',(10.65,0.79999999999993,-0.001)); #252453=CARTESIAN_POINT('Origin',(11.35,-6.98296267768627E-14,-0.001)); #252454=CARTESIAN_POINT('',(11.35,-6.98296267768627E-14,-0.001)); #252455=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252456=CARTESIAN_POINT('Origin',(11.,0.,-0.00100000000000002)); #252457=CARTESIAN_POINT('Origin',(11.35,18.9999999999999,-0.001)); #252458=CARTESIAN_POINT('',(11.35,18.1999999999999,-0.001)); #252459=CARTESIAN_POINT('',(11.35,18.1999999999999,-0.001)); #252460=CARTESIAN_POINT('',(11.35,18.9999999999999,-0.001)); #252461=CARTESIAN_POINT('Origin',(10.65,18.1999999999999,-0.001)); #252462=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.001)); #252463=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.001)); #252464=CARTESIAN_POINT('',(10.65,18.1999999999999,-0.001)); #252465=CARTESIAN_POINT('Origin',(10.65,18.9999999999999,-0.001)); #252466=CARTESIAN_POINT('',(10.65,18.9999999999999,-0.001)); #252467=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252468=CARTESIAN_POINT('Origin',(11.,19.,-0.001)); #252469=CARTESIAN_POINT('Origin',(12.65,-8.37098268562949E-14,-0.001)); #252470=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.001)); #252471=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.001)); #252472=CARTESIAN_POINT('',(12.65,-8.37098268562949E-14,-0.001)); #252473=CARTESIAN_POINT('Origin',(12.65,0.799999999999916,-0.001)); #252474=CARTESIAN_POINT('',(13.35,0.799999999999916,-0.001)); #252475=CARTESIAN_POINT('',(13.35,0.799999999999916,-0.001)); #252476=CARTESIAN_POINT('',(12.65,0.799999999999916,-0.001)); #252477=CARTESIAN_POINT('Origin',(13.35,-8.37955521322352E-14,-0.001)); #252478=CARTESIAN_POINT('',(13.35,-8.37955521322352E-14,-0.001)); #252479=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252480=CARTESIAN_POINT('Origin',(13.,0.,-0.00100000000000002)); #252481=CARTESIAN_POINT('Origin',(13.35,18.9999999999999,-0.001)); #252482=CARTESIAN_POINT('',(13.35,18.1999999999999,-0.001)); #252483=CARTESIAN_POINT('',(13.35,18.1999999999999,-0.001)); #252484=CARTESIAN_POINT('',(13.35,18.9999999999999,-0.001)); #252485=CARTESIAN_POINT('Origin',(12.65,18.1999999999999,-0.001)); #252486=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.001)); #252487=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.001)); #252488=CARTESIAN_POINT('',(12.65,18.1999999999999,-0.001)); #252489=CARTESIAN_POINT('Origin',(12.65,18.9999999999999,-0.001)); #252490=CARTESIAN_POINT('',(12.65,18.9999999999999,-0.001)); #252491=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252492=CARTESIAN_POINT('Origin',(13.,19.,-0.001)); #252493=CARTESIAN_POINT('Origin',(13.65,-9.06927895339811E-14,-0.001)); #252494=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.001)); #252495=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.001)); #252496=CARTESIAN_POINT('',(13.65,-9.06927895339811E-14,-0.001)); #252497=CARTESIAN_POINT('Origin',(13.65,0.79999999999991,-0.001)); #252498=CARTESIAN_POINT('',(14.35,0.799999999999909,-0.001)); #252499=CARTESIAN_POINT('',(14.35,0.799999999999909,-0.001)); #252500=CARTESIAN_POINT('',(13.65,0.79999999999991,-0.001)); #252501=CARTESIAN_POINT('Origin',(14.35,-9.07785148099215E-14,-0.001)); #252502=CARTESIAN_POINT('',(14.35,-9.07785148099215E-14,-0.001)); #252503=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252504=CARTESIAN_POINT('Origin',(14.,0.,-0.00100000000000002)); #252505=CARTESIAN_POINT('Origin',(14.35,18.9999999999999,-0.001)); #252506=CARTESIAN_POINT('',(14.35,18.1999999999999,-0.001)); #252507=CARTESIAN_POINT('',(14.35,18.1999999999999,-0.001)); #252508=CARTESIAN_POINT('',(14.35,18.9999999999999,-0.001)); #252509=CARTESIAN_POINT('Origin',(13.65,18.1999999999999,-0.001)); #252510=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.001)); #252511=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.001)); #252512=CARTESIAN_POINT('',(13.65,18.1999999999999,-0.001)); #252513=CARTESIAN_POINT('Origin',(13.65,18.9999999999999,-0.001)); #252514=CARTESIAN_POINT('',(13.65,18.9999999999999,-0.001)); #252515=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252516=CARTESIAN_POINT('Origin',(14.,19.,-0.001)); #252517=CARTESIAN_POINT('Origin',(14.65,-9.76757522116674E-14,-0.001)); #252518=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.001)); #252519=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.001)); #252520=CARTESIAN_POINT('',(14.65,-9.76757522116674E-14,-0.001)); #252521=CARTESIAN_POINT('Origin',(14.65,0.799999999999902,-0.001)); #252522=CARTESIAN_POINT('',(15.35,0.799999999999902,-0.001)); #252523=CARTESIAN_POINT('',(15.35,0.799999999999902,-0.001)); #252524=CARTESIAN_POINT('',(14.65,0.799999999999902,-0.001)); #252525=CARTESIAN_POINT('Origin',(15.35,-9.77614774876077E-14,-0.001)); #252526=CARTESIAN_POINT('',(15.35,-9.77614774876077E-14,-0.001)); #252527=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252528=CARTESIAN_POINT('Origin',(15.,0.,-0.00100000000000002)); #252529=CARTESIAN_POINT('Origin',(15.35,18.9999999999999,-0.001)); #252530=CARTESIAN_POINT('',(15.35,18.1999999999999,-0.001)); #252531=CARTESIAN_POINT('',(15.35,18.1999999999999,-0.001)); #252532=CARTESIAN_POINT('',(15.35,18.9999999999999,-0.001)); #252533=CARTESIAN_POINT('Origin',(14.65,18.1999999999999,-0.001)); #252534=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.001)); #252535=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.001)); #252536=CARTESIAN_POINT('',(14.65,18.1999999999999,-0.001)); #252537=CARTESIAN_POINT('Origin',(14.65,18.9999999999999,-0.001)); #252538=CARTESIAN_POINT('',(14.65,18.9999999999999,-0.001)); #252539=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252540=CARTESIAN_POINT('Origin',(15.,19.,-0.001)); #252541=CARTESIAN_POINT('Origin',(15.65,-1.04658714889354E-13,-0.001)); #252542=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.001)); #252543=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.001)); #252544=CARTESIAN_POINT('',(15.65,-1.04658714889354E-13,-0.001)); #252545=CARTESIAN_POINT('Origin',(15.65,0.799999999999895,-0.001)); #252546=CARTESIAN_POINT('',(16.35,0.799999999999895,-0.001)); #252547=CARTESIAN_POINT('',(16.35,0.799999999999895,-0.001)); #252548=CARTESIAN_POINT('',(15.65,0.799999999999895,-0.001)); #252549=CARTESIAN_POINT('Origin',(16.35,-1.04744440165294E-13,-0.001)); #252550=CARTESIAN_POINT('',(16.35,-1.04744440165294E-13,-0.001)); #252551=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252552=CARTESIAN_POINT('Origin',(16.,0.,-0.00100000000000002)); #252553=CARTESIAN_POINT('Origin',(16.35,18.9999999999999,-0.001)); #252554=CARTESIAN_POINT('',(16.35,18.1999999999999,-0.001)); #252555=CARTESIAN_POINT('',(16.35,18.1999999999999,-0.001)); #252556=CARTESIAN_POINT('',(16.35,18.9999999999999,-0.001)); #252557=CARTESIAN_POINT('Origin',(15.65,18.1999999999999,-0.001)); #252558=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.001)); #252559=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.001)); #252560=CARTESIAN_POINT('',(15.65,18.1999999999999,-0.001)); #252561=CARTESIAN_POINT('Origin',(15.65,18.9999999999999,-0.001)); #252562=CARTESIAN_POINT('',(15.65,18.9999999999999,-0.001)); #252563=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252564=CARTESIAN_POINT('Origin',(16.,19.,-0.001)); #252565=CARTESIAN_POINT('Origin',(16.65,-1.1164167756704E-13,-0.001)); #252566=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.001)); #252567=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.001)); #252568=CARTESIAN_POINT('',(16.65,-1.1164167756704E-13,-0.001)); #252569=CARTESIAN_POINT('Origin',(16.65,0.799999999999888,-0.001)); #252570=CARTESIAN_POINT('',(17.35,0.799999999999888,-0.001)); #252571=CARTESIAN_POINT('',(17.35,0.799999999999888,-0.001)); #252572=CARTESIAN_POINT('',(16.65,0.799999999999888,-0.001)); #252573=CARTESIAN_POINT('Origin',(17.35,-1.1172740284298E-13,-0.001)); #252574=CARTESIAN_POINT('',(17.35,-1.1172740284298E-13,-0.001)); #252575=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252576=CARTESIAN_POINT('Origin',(17.,0.,-0.00100000000000002)); #252577=CARTESIAN_POINT('Origin',(17.35,18.9999999999999,-0.001)); #252578=CARTESIAN_POINT('',(17.35,18.1999999999999,-0.001)); #252579=CARTESIAN_POINT('',(17.35,18.1999999999999,-0.001)); #252580=CARTESIAN_POINT('',(17.35,18.9999999999999,-0.001)); #252581=CARTESIAN_POINT('Origin',(16.65,18.1999999999999,-0.001)); #252582=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.001)); #252583=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.001)); #252584=CARTESIAN_POINT('',(16.65,18.1999999999999,-0.001)); #252585=CARTESIAN_POINT('Origin',(16.65,18.9999999999999,-0.001)); #252586=CARTESIAN_POINT('',(16.65,18.9999999999999,-0.001)); #252587=CARTESIAN_POINT('Origin',(0.,0.,-0.001)); #252588=CARTESIAN_POINT('Origin',(17.,19.,-0.001)); #252589=CARTESIAN_POINT('Origin',(17.,19.,-0.00100000000000002)); #252590=CARTESIAN_POINT('Origin',(17.,0.,-0.00100000000000002)); #252591=CARTESIAN_POINT('Origin',(16.,19.,-0.00100000000000002)); #252592=CARTESIAN_POINT('Origin',(16.,0.,-0.00100000000000002)); #252593=CARTESIAN_POINT('Origin',(15.,19.,-0.00100000000000002)); #252594=CARTESIAN_POINT('Origin',(15.,0.,-0.00100000000000002)); #252595=CARTESIAN_POINT('Origin',(14.,19.,-0.00100000000000002)); #252596=CARTESIAN_POINT('Origin',(14.,0.,-0.00100000000000002)); #252597=CARTESIAN_POINT('Origin',(13.,19.,-0.00100000000000002)); #252598=CARTESIAN_POINT('Origin',(13.,0.,-0.00100000000000002)); #252599=CARTESIAN_POINT('Origin',(11.,19.,-0.00100000000000002)); #252600=CARTESIAN_POINT('Origin',(11.,0.,-0.00100000000000002)); #252601=CARTESIAN_POINT('Origin',(10.,19.,-0.00100000000000002)); #252602=CARTESIAN_POINT('Origin',(10.,0.,-0.00100000000000002)); #252603=CARTESIAN_POINT('Origin',(9.,19.,-0.00100000000000002)); #252604=CARTESIAN_POINT('Origin',(9.,0.,-0.00100000000000002)); #252605=CARTESIAN_POINT('Origin',(8.,19.,-0.00100000000000002)); #252606=CARTESIAN_POINT('Origin',(8.,0.,-0.00100000000000002)); #252607=CARTESIAN_POINT('Origin',(7.,19.,-0.00100000000000002)); #252608=CARTESIAN_POINT('Origin',(7.,0.,-0.00100000000000002)); #252609=CARTESIAN_POINT('Origin',(6.,19.,-0.00100000000000002)); #252610=CARTESIAN_POINT('Origin',(6.,0.,-0.00100000000000002)); #252611=CARTESIAN_POINT('Origin',(5.,19.,-0.00100000000000002)); #252612=CARTESIAN_POINT('Origin',(5.,0.,-0.00100000000000002)); #252613=CARTESIAN_POINT('Origin',(4.,19.,-0.00100000000000002)); #252614=CARTESIAN_POINT('Origin',(4.,0.,-0.00100000000000002)); #252615=CARTESIAN_POINT('Origin',(3.,19.,-0.00100000000000002)); #252616=CARTESIAN_POINT('Origin',(3.,0.,-0.00100000000000002)); #252617=CARTESIAN_POINT('Origin',(2.,19.,-0.00100000000000002)); #252618=CARTESIAN_POINT('Origin',(2.,0.,-0.00100000000000002)); #252619=CARTESIAN_POINT('Origin',(1.,19.,-0.00100000000000002)); #252620=CARTESIAN_POINT('Origin',(1.,0.,-0.00100000000000002)); #252621=CARTESIAN_POINT('Origin',(0.,0.,0.701)); #252622=CARTESIAN_POINT('',(0.15,18.35,0.701)); #252623=CARTESIAN_POINT('',(0.150000000000002,0.65,0.701)); #252624=CARTESIAN_POINT('',(0.15,18.35,0.701)); #252625=CARTESIAN_POINT('',(17.85,0.65,0.701)); #252626=CARTESIAN_POINT('',(0.150000000000002,0.65,0.701)); #252627=CARTESIAN_POINT('',(17.85,18.35,0.701)); #252628=CARTESIAN_POINT('',(17.85,18.35,0.701)); #252629=CARTESIAN_POINT('',(0.15,18.35,0.701)); #252630=CARTESIAN_POINT('',(0.750000000000002,1.25,0.701)); #252631=CARTESIAN_POINT('',(17.25,1.25,0.701)); #252632=CARTESIAN_POINT('',(0.750000000000002,1.25,0.701)); #252633=CARTESIAN_POINT('',(0.75,17.75,0.701)); #252634=CARTESIAN_POINT('',(0.75,17.75,0.701)); #252635=CARTESIAN_POINT('',(17.25,17.75,0.701)); #252636=CARTESIAN_POINT('',(0.75,17.75,0.701)); #252637=CARTESIAN_POINT('',(17.25,17.75,0.701)); #252638=CARTESIAN_POINT('Origin',(0.15,18.35,0.701)); #252639=CARTESIAN_POINT('',(0.150000000000002,0.65,0.701)); #252640=CARTESIAN_POINT('',(0.15,18.35,0.701)); #252641=CARTESIAN_POINT('Origin',(0.150000000000002,0.65,0.701)); #252642=CARTESIAN_POINT('',(17.85,0.65,0.701)); #252643=CARTESIAN_POINT('Origin',(17.85,18.35,0.701)); #252644=CARTESIAN_POINT('',(17.85,18.35,0.701)); #252645=CARTESIAN_POINT('Origin',(0.15,18.35,0.701)); #252646=CARTESIAN_POINT('Origin',(0.75,17.75,0.701)); #252647=CARTESIAN_POINT('',(0.75,17.75,0.7)); #252648=CARTESIAN_POINT('',(0.750000000000002,1.25,0.7)); #252649=CARTESIAN_POINT('',(0.75,17.75,0.7)); #252650=CARTESIAN_POINT('',(0.75,17.75,0.701)); #252651=CARTESIAN_POINT('',(0.750000000000002,1.25,0.701)); #252652=CARTESIAN_POINT('Origin',(0.750000000000002,1.25,0.701)); #252653=CARTESIAN_POINT('',(17.25,1.25,0.7)); #252654=CARTESIAN_POINT('',(0.750000000000002,1.25,0.7)); #252655=CARTESIAN_POINT('',(17.25,1.25,0.701)); #252656=CARTESIAN_POINT('Origin',(17.25,17.75,0.701)); #252657=CARTESIAN_POINT('',(17.25,17.75,0.7)); #252658=CARTESIAN_POINT('',(17.25,17.75,0.7)); #252659=CARTESIAN_POINT('',(17.25,17.75,0.701)); #252660=CARTESIAN_POINT('Origin',(0.75,17.75,0.701)); #252661=CARTESIAN_POINT('',(0.75,17.75,0.7)); #252662=CARTESIAN_POINT('Origin',(0.,0.,0.7)); #252663=CARTESIAN_POINT('',(0.,0.,0.)); #252664=CARTESIAN_POINT('Origin',(15.5967319151432,11.0363457289577,0.)); #252665=CARTESIAN_POINT('',(15.5967319151432,11.0363457289577,0.)); #252666=CARTESIAN_POINT('',(14.9882125659819,11.03607299,0.)); #252667=CARTESIAN_POINT('',(7.79589427424939,11.0328493860225,0.)); #252668=CARTESIAN_POINT('',(15.5967319151432,11.0363457289577,0.01)); #252669=CARTESIAN_POINT('',(15.5967319151432,11.0363457289577,0.)); #252670=CARTESIAN_POINT('',(14.9882125659819,11.03607299,0.01)); #252671=CARTESIAN_POINT('',(14.9882125659819,11.03607299,0.01)); #252672=CARTESIAN_POINT('',(14.9882125659819,11.03607299,0.)); #252673=CARTESIAN_POINT('Origin',(15.5854087921859,11.2468648849005,0.)); #252674=CARTESIAN_POINT('',(15.7945069543999,11.2199485944593,0.)); #252675=CARTESIAN_POINT('Origin',(15.5854087921859,11.2468648849005,0.)); #252676=CARTESIAN_POINT('',(15.7945069543999,11.2199485944593,0.01)); #252677=CARTESIAN_POINT('',(15.7945069543999,11.2199485944593,0.)); #252678=CARTESIAN_POINT('Origin',(15.5854087921859,11.2468648849005,0.01)); #252679=CARTESIAN_POINT('Origin',(15.796140728858,11.2890401429062,0.)); #252680=CARTESIAN_POINT('',(15.796140728858,11.2890401429062,0.)); #252681=CARTESIAN_POINT('',(15.658328282014,5.46101700257183,0.)); #252682=CARTESIAN_POINT('',(15.796140728858,11.2890401429062,0.01)); #252683=CARTESIAN_POINT('',(15.796140728858,11.2890401429062,0.)); #252684=CARTESIAN_POINT('',(15.7945069543999,11.2199485944593,0.01)); #252685=CARTESIAN_POINT('Origin',(-460.812720210215,13.5051938629788,0.)); #252686=CARTESIAN_POINT('',(15.7959881977583,15.7539118610745,0.)); #252687=CARTESIAN_POINT('Origin',(-460.812720210215,13.5051938629788,0.)); #252688=CARTESIAN_POINT('',(15.7959881977583,15.7539118610745,0.01)); #252689=CARTESIAN_POINT('',(15.7959881977583,15.7539118610745,0.)); #252690=CARTESIAN_POINT('Origin',(-460.812720210215,13.5051938629788,0.01)); #252691=CARTESIAN_POINT('Origin',(15.585473095128,15.745400327693,0.)); #252692=CARTESIAN_POINT('',(15.5913827995691,15.95600453,0.)); #252693=CARTESIAN_POINT('Origin',(15.585473095128,15.745400327693,0.)); #252694=CARTESIAN_POINT('',(15.5913827995691,15.95600453,0.01)); #252695=CARTESIAN_POINT('',(15.5913827995691,15.95600453,0.)); #252696=CARTESIAN_POINT('Origin',(15.585473095128,15.745400327693,0.01)); #252697=CARTESIAN_POINT('Origin',(11.0807984111307,15.95600453,0.)); #252698=CARTESIAN_POINT('',(11.0807984111307,15.95600453,0.)); #252699=CARTESIAN_POINT('',(5.54039920556535,15.95600453,0.)); #252700=CARTESIAN_POINT('',(11.0807984111307,15.95600453,0.01)); #252701=CARTESIAN_POINT('',(11.0807984111307,15.95600453,0.)); #252702=CARTESIAN_POINT('',(15.5913827995691,15.95600453,0.01)); #252703=CARTESIAN_POINT('Origin',(11.0867636689348,15.7454438059999,0.)); #252704=CARTESIAN_POINT('',(10.8762888847001,15.7539154052279,0.)); #252705=CARTESIAN_POINT('Origin',(11.0867636689348,15.7454438059999,0.)); #252706=CARTESIAN_POINT('',(10.8762888847001,15.7539154052279,0.01)); #252707=CARTESIAN_POINT('',(10.8762888847001,15.7539154052279,0.)); #252708=CARTESIAN_POINT('Origin',(11.0867636689348,15.7454438059999,0.01)); #252709=CARTESIAN_POINT('Origin',(488.274786813081,13.5051665803728,0.)); #252710=CARTESIAN_POINT('',(10.8761525264463,11.2855547207212,0.)); #252711=CARTESIAN_POINT('Origin',(488.274786813081,13.5051665803728,0.)); #252712=CARTESIAN_POINT('',(10.8761525264463,11.2855547207212,0.01)); #252713=CARTESIAN_POINT('',(10.8761525264463,11.2855547207212,0.)); #252714=CARTESIAN_POINT('Origin',(488.274786813081,13.5051665803728,0.01)); #252715=CARTESIAN_POINT('Origin',(11.0894654387263,11.2517430542059,0.)); #252716=CARTESIAN_POINT('',(10.9251859293831,11.1115365274398,0.)); #252717=CARTESIAN_POINT('Origin',(11.0894654387263,11.2517430542059,0.)); #252718=CARTESIAN_POINT('',(10.9251859293831,11.1115365274398,0.01)); #252719=CARTESIAN_POINT('',(10.9251859293831,11.1115365274398,0.)); #252720=CARTESIAN_POINT('Origin',(11.0894654387263,11.2517430542059,0.01)); #252721=CARTESIAN_POINT('Origin',(11.0892262767503,11.2520444233626,0.)); #252722=CARTESIAN_POINT('',(11.0863900200069,11.03607299,0.)); #252723=CARTESIAN_POINT('Origin',(11.0892262767503,11.2520444233626,0.)); #252724=CARTESIAN_POINT('',(11.0863900200069,11.03607299,0.01)); #252725=CARTESIAN_POINT('',(11.0863900200069,11.03607299,0.)); #252726=CARTESIAN_POINT('Origin',(11.0892262767503,11.2520444233626,0.01)); #252727=CARTESIAN_POINT('Origin',(14.9882125659819,11.03607299,0.)); #252728=CARTESIAN_POINT('',(7.49410628299094,11.03607299,0.)); #252729=CARTESIAN_POINT('',(11.0863900200069,11.03607299,0.01)); #252730=CARTESIAN_POINT('Origin',(11.0892262767503,11.2520444233626,0.)); #252731=CARTESIAN_POINT('',(10.89478353,11.08554239,0.)); #252732=CARTESIAN_POINT('',(11.08613853,10.99607299,0.)); #252733=CARTESIAN_POINT('Origin',(11.0892262767503,11.2520444233626,0.)); #252734=CARTESIAN_POINT('',(10.89478353,11.08554239,0.01)); #252735=CARTESIAN_POINT('',(10.89478353,11.08554239,0.)); #252736=CARTESIAN_POINT('',(11.08613853,10.99607299,0.01)); #252737=CARTESIAN_POINT('Origin',(11.0892262767503,11.2520444233626,0.01)); #252738=CARTESIAN_POINT('',(11.08613853,10.99607299,0.)); #252739=CARTESIAN_POINT('Origin',(11.0894654387263,11.2517430542059,0.)); #252740=CARTESIAN_POINT('',(10.83613853,11.28847429001,0.)); #252741=CARTESIAN_POINT('Origin',(11.0894654387263,11.2517430542059,0.)); #252742=CARTESIAN_POINT('',(10.83613853,11.28847429001,0.01)); #252743=CARTESIAN_POINT('',(10.83613853,11.28847429001,0.)); #252744=CARTESIAN_POINT('Origin',(11.0894654387263,11.2517430542059,0.01)); #252745=CARTESIAN_POINT('Origin',(488.274786813081,13.5051665803728,0.)); #252746=CARTESIAN_POINT('',(10.83629253,15.75478339,0.)); #252747=CARTESIAN_POINT('Origin',(488.274786813081,13.5051665803728,0.)); #252748=CARTESIAN_POINT('',(10.83629253,15.75478339,0.01)); #252749=CARTESIAN_POINT('',(10.83629253,15.75478339,0.)); #252750=CARTESIAN_POINT('Origin',(488.274786813081,13.5051665803728,0.01)); #252751=CARTESIAN_POINT('Origin',(11.0867636689348,15.7454438059999,0.)); #252752=CARTESIAN_POINT('',(11.08025653,15.99600453,0.)); #252753=CARTESIAN_POINT('Origin',(11.0867636689348,15.7454438059999,0.)); #252754=CARTESIAN_POINT('',(11.08025653,15.99600453,0.01)); #252755=CARTESIAN_POINT('',(11.08025653,15.99600453,0.)); #252756=CARTESIAN_POINT('Origin',(11.0867636689348,15.7454438059999,0.01)); #252757=CARTESIAN_POINT('Origin',(15.59191953,15.99600453,0.)); #252758=CARTESIAN_POINT('',(15.59191953,15.99600453,0.)); #252759=CARTESIAN_POINT('',(7.79595976499999,15.99600453,0.)); #252760=CARTESIAN_POINT('',(15.59191953,15.99600453,0.01)); #252761=CARTESIAN_POINT('',(15.59191953,15.99600453,0.)); #252762=CARTESIAN_POINT('',(15.59191953,15.99600453,0.01)); #252763=CARTESIAN_POINT('Origin',(15.585473095128,15.745400327693,0.)); #252764=CARTESIAN_POINT('',(15.8359845299999,15.7547834900059,0.)); #252765=CARTESIAN_POINT('Origin',(15.585473095128,15.745400327693,0.)); #252766=CARTESIAN_POINT('',(15.8359845299999,15.7547834900059,0.01)); #252767=CARTESIAN_POINT('',(15.8359845299999,15.7547834900059,0.)); #252768=CARTESIAN_POINT('Origin',(15.585473095128,15.745400327693,0.01)); #252769=CARTESIAN_POINT('Origin',(-460.812720210215,13.5051938629788,0.)); #252770=CARTESIAN_POINT('',(15.8361385299999,11.28847429,0.)); #252771=CARTESIAN_POINT('Origin',(-460.812720210215,13.5051938629788,0.)); #252772=CARTESIAN_POINT('',(15.8361385299999,11.28847429,0.01)); #252773=CARTESIAN_POINT('',(15.8361385299999,11.28847429,0.)); #252774=CARTESIAN_POINT('Origin',(-460.812720210215,13.5051938629788,0.01)); #252775=CARTESIAN_POINT('Origin',(15.83444853,11.21700499,0.)); #252776=CARTESIAN_POINT('',(15.83444853,11.21700499,0.)); #252777=CARTESIAN_POINT('',(15.6974765933569,5.42452662795664,0.)); #252778=CARTESIAN_POINT('',(15.83444853,11.21700499,0.01)); #252779=CARTESIAN_POINT('',(15.83444853,11.21700499,0.)); #252780=CARTESIAN_POINT('',(15.83444853,11.21700499,0.01)); #252781=CARTESIAN_POINT('Origin',(15.5854087921859,11.2468648849005,0.)); #252782=CARTESIAN_POINT('',(15.59776953,10.99634619,0.)); #252783=CARTESIAN_POINT('Origin',(15.5854087921859,11.2468648849005,0.)); #252784=CARTESIAN_POINT('',(15.59776953,10.99634619,0.01)); #252785=CARTESIAN_POINT('',(15.59776953,10.99634619,0.)); #252786=CARTESIAN_POINT('Origin',(15.5854087921859,11.2468648849005,0.01)); #252787=CARTESIAN_POINT('Origin',(14.98822153,10.99607299,0.)); #252788=CARTESIAN_POINT('',(14.98822153,10.99607299,0.)); #252789=CARTESIAN_POINT('',(7.49164804569612,10.9927130185524,0.)); #252790=CARTESIAN_POINT('',(14.98822153,10.99607299,0.01)); #252791=CARTESIAN_POINT('',(14.98822153,10.99607299,0.)); #252792=CARTESIAN_POINT('',(14.98822153,10.99607299,0.01)); #252793=CARTESIAN_POINT('Origin',(11.08613853,10.99607299,0.)); #252794=CARTESIAN_POINT('',(5.54306926499999,10.99607299,0.)); #252795=CARTESIAN_POINT('',(11.08613853,10.99607299,0.01)); #252796=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #252797=CARTESIAN_POINT('Origin',(0.,0.,0.)); #252798=CARTESIAN_POINT('',(0.499999999999999,0.499999999999999,0.)); #252799=CARTESIAN_POINT('',(0.4,0.499999999999999,0.)); #252800=CARTESIAN_POINT('',(0.,0.499999999999999,0.)); #252801=CARTESIAN_POINT('',(0.4,16.7,-1.62630325872826E-16)); #252802=CARTESIAN_POINT('',(0.4,16.7,0.)); #252803=CARTESIAN_POINT('',(0.499999999999998,16.7,0.)); #252804=CARTESIAN_POINT('',(0.,16.7,0.)); #252805=CARTESIAN_POINT('',(0.499999999999998,16.8,0.)); #252806=CARTESIAN_POINT('',(0.499999999999998,0.,0.)); #252807=CARTESIAN_POINT('',(16.7,16.8,-3.41523684332934E-15)); #252808=CARTESIAN_POINT('',(16.7,16.8,0.)); #252809=CARTESIAN_POINT('',(16.7,16.7,0.)); #252810=CARTESIAN_POINT('',(16.7,0.,0.)); #252811=CARTESIAN_POINT('',(16.8,16.7,0.)); #252812=CARTESIAN_POINT('',(0.,16.7,0.)); #252813=CARTESIAN_POINT('',(16.8,0.499999999999997,-3.41523684332934E-15)); #252814=CARTESIAN_POINT('',(16.8,0.499999999999999,0.)); #252815=CARTESIAN_POINT('',(16.7,0.499999999999999,0.)); #252816=CARTESIAN_POINT('',(0.,0.499999999999999,0.)); #252817=CARTESIAN_POINT('',(16.7,0.4,0.)); #252818=CARTESIAN_POINT('',(16.7,0.,0.)); #252819=CARTESIAN_POINT('',(0.499999999999997,0.4,-1.62630325872826E-16)); #252820=CARTESIAN_POINT('',(0.499999999999999,0.4,0.)); #252821=CARTESIAN_POINT('',(0.499999999999999,0.,0.)); #252822=CARTESIAN_POINT('',(15.6,5.1,0.)); #252823=CARTESIAN_POINT('Origin',(15.2,5.1,0.)); #252824=CARTESIAN_POINT('',(4.05,6.1,0.)); #252825=CARTESIAN_POINT('Origin',(3.65,6.1,0.)); #252826=CARTESIAN_POINT('',(4.05,4.1,0.)); #252827=CARTESIAN_POINT('Origin',(3.65,4.1,0.)); #252828=CARTESIAN_POINT('',(7.35,6.1,0.)); #252829=CARTESIAN_POINT('Origin',(6.95,6.1,0.)); #252830=CARTESIAN_POINT('',(9.,5.1,0.)); #252831=CARTESIAN_POINT('Origin',(8.6,5.1,0.)); #252832=CARTESIAN_POINT('',(5.7,5.1,0.)); #252833=CARTESIAN_POINT('Origin',(5.3,5.1,0.)); #252834=CARTESIAN_POINT('',(2.4,5.1,2.77555756156289E-16)); #252835=CARTESIAN_POINT('Origin',(2.,5.1,0.)); #252836=CARTESIAN_POINT('',(12.3,5.1,0.)); #252837=CARTESIAN_POINT('Origin',(11.9,5.1,0.)); #252838=CARTESIAN_POINT('',(13.95,4.1,0.)); #252839=CARTESIAN_POINT('Origin',(13.55,4.1,0.)); #252840=CARTESIAN_POINT('',(7.35,4.1,0.)); #252841=CARTESIAN_POINT('Origin',(6.95,4.1,0.)); #252842=CARTESIAN_POINT('',(10.65,6.1,0.)); #252843=CARTESIAN_POINT('Origin',(10.25,6.1,0.)); #252844=CARTESIAN_POINT('',(10.65,4.1,0.)); #252845=CARTESIAN_POINT('Origin',(10.25,4.1,0.)); #252846=CARTESIAN_POINT('',(13.95,6.1,0.)); #252847=CARTESIAN_POINT('Origin',(13.55,6.1,0.)); #252848=CARTESIAN_POINT('',(1.65,15.95,-2.77555756156289E-16)); #252849=CARTESIAN_POINT('Origin',(1.25,15.95,0.)); #252850=CARTESIAN_POINT('',(4.51478572999999,2.38694929,0.)); #252851=CARTESIAN_POINT('',(4.51478572999999,2.28928729,0.)); #252852=CARTESIAN_POINT('',(4.51478572999999,1.193474645,0.)); #252853=CARTESIAN_POINT('',(4.56486943,2.23920329,0.)); #252854=CARTESIAN_POINT('Origin',(4.56486958020289,2.2892871402029,0.)); #252855=CARTESIAN_POINT('',(5.14083103000001,2.23920329,0.)); #252856=CARTESIAN_POINT('',(2.282434715,2.23920329,0.)); #252857=CARTESIAN_POINT('',(5.19091413,2.28928729,0.)); #252858=CARTESIAN_POINT('Origin',(5.14083057979711,2.2892868402029,0.)); #252859=CARTESIAN_POINT('',(5.19091413,2.38694929,0.)); #252860=CARTESIAN_POINT('',(5.19091413,1.144643645,0.)); #252861=CARTESIAN_POINT('',(5.14083103000001,2.43703429,0.)); #252862=CARTESIAN_POINT('Origin',(5.14083007979711,2.38695023979711,0.)); #252863=CARTESIAN_POINT('',(4.56486943,2.43703429,0.)); #252864=CARTESIAN_POINT('',(2.570415515,2.43703429,0.)); #252865=CARTESIAN_POINT('Origin',(4.56487008020289,2.38694993979711,0.)); #252866=CARTESIAN_POINT('',(9.32030823,2.90406329,0.)); #252867=CARTESIAN_POINT('',(9.23939172999999,2.97144129,0.)); #252868=CARTESIAN_POINT('',(7.28231411442953,4.60107153329918,0.)); #252869=CARTESIAN_POINT('',(9.13687662999999,3.01956829,0.)); #252870=CARTESIAN_POINT('',(6.02550983283497,4.48023847270619,0.)); #252871=CARTESIAN_POINT('',(9.01276312999999,3.04844529,0.)); #252872=CARTESIAN_POINT('',(5.13628014151476,3.95037134364035,0.)); #252873=CARTESIAN_POINT('',(8.86705112999999,3.05807029,0.)); #252874=CARTESIAN_POINT('',(4.62620371538066,3.33819928669012,0.)); #252875=CARTESIAN_POINT('',(8.30861902999999,3.05807029,0.)); #252876=CARTESIAN_POINT('',(4.43352556499999,3.05807029,0.)); #252877=CARTESIAN_POINT('',(8.16290702999999,3.04844529,0.)); #252878=CARTESIAN_POINT('',(4.07179551486176,2.77820709181999,0.)); #252879=CARTESIAN_POINT('',(8.03879352999998,3.01956829,0.)); #252880=CARTESIAN_POINT('',(3.95462752076446,2.06932144458279,0.)); #252881=CARTESIAN_POINT('',(7.93627862999998,2.97144129,0.)); #252882=CARTESIAN_POINT('',(4.16448922401458,1.20072397240176,0.)); #252883=CARTESIAN_POINT('',(7.85536242999998,2.90406329,0.)); #252884=CARTESIAN_POINT('',(4.86236040704804,0.411824525667667,0.)); #252885=CARTESIAN_POINT('',(7.79400992999999,2.81790429,0.)); #252886=CARTESIAN_POINT('',(5.84777935393101,0.0847592640674671,0.)); #252887=CARTESIAN_POINT('',(7.75018682999999,2.71343229,0.)); #252888=CARTESIAN_POINT('',(6.70832281409804,0.229682453285535,0.)); #252889=CARTESIAN_POINT('',(7.72389302999999,2.59064929,0.)); #252890=CARTESIAN_POINT('',(7.3024699455617,0.622748470164806,0.)); #252891=CARTESIAN_POINT('',(7.71512843,2.44955429,0.)); #252892=CARTESIAN_POINT('',(7.62889397751449,1.06132777955513,0.)); #252893=CARTESIAN_POINT('',(7.71512843,1.90113929,0.)); #252894=CARTESIAN_POINT('',(7.71512843,1.224777145,0.)); #252895=CARTESIAN_POINT('',(7.72389302999999,1.75894829,0.)); #252896=CARTESIAN_POINT('',(7.7588982888422,1.19104641655086,0.)); #252897=CARTESIAN_POINT('',(7.75018682999999,1.63538329,0.)); #252898=CARTESIAN_POINT('',(7.73563482526338,1.70376893472532,0.)); #252899=CARTESIAN_POINT('',(7.79400992999999,1.53044229,0.)); #252900=CARTESIAN_POINT('',(7.46552567735529,2.31704720742462,0.)); #252901=CARTESIAN_POINT('',(7.85536242999999,1.44412629,0.)); #252902=CARTESIAN_POINT('',(6.84734532899619,2.86229187559544,0.)); #252903=CARTESIAN_POINT('',(7.93627862999999,1.37674829,0.)); #252904=CARTESIAN_POINT('',(5.89097972929031,3.07984543657906,0.)); #252905=CARTESIAN_POINT('',(8.03879352999999,1.32862129,0.)); #252906=CARTESIAN_POINT('',(4.94956532238544,2.77890106345589,0.)); #252907=CARTESIAN_POINT('',(8.16290703,1.29974429,0.)); #252908=CARTESIAN_POINT('',(4.3724322742176,2.18165914634298,0.)); #252909=CARTESIAN_POINT('',(8.30861902999999,1.29011929,0.)); #252910=CARTESIAN_POINT('',(4.14192537020784,1.56535006354994,0.)); #252911=CARTESIAN_POINT('',(8.86705113,1.29011929,0.)); #252912=CARTESIAN_POINT('',(4.15430951499999,1.29011929,0.)); #252913=CARTESIAN_POINT('',(9.01276312999999,1.29974429,0.)); #252914=CARTESIAN_POINT('',(4.4103618600346,0.995732868679751,0.)); #252915=CARTESIAN_POINT('',(9.13687662999999,1.32862129,0.)); #252916=CARTESIAN_POINT('',(4.59436188806159,0.271732247285397,0.)); #252917=CARTESIAN_POINT('',(9.23939172999999,1.37674829,0.)); #252918=CARTESIAN_POINT('',(5.13791977677086,-0.548739181533817,0.)); #252919=CARTESIAN_POINT('',(9.32030823,1.44412629,0.)); #252920=CARTESIAN_POINT('',(6.17277915991599,-1.17677567293862,0.)); #252921=CARTESIAN_POINT('',(9.38166053,1.53044229,0.)); #252922=CARTESIAN_POINT('',(7.41518367157274,-1.23617634551991,0.)); #252923=CARTESIAN_POINT('',(9.42548362999998,1.63538329,0.)); #252924=CARTESIAN_POINT('',(8.41300472605715,-0.789149631191729,0.)); #252925=CARTESIAN_POINT('',(9.45177752999999,1.75894829,0.)); #252926=CARTESIAN_POINT('',(9.05486655732672,-0.106286777387152,0.)); #252927=CARTESIAN_POINT('',(9.46054222999999,1.90113929,0.)); #252928=CARTESIAN_POINT('',(9.37988346135842,0.592600381434098,0.)); #252929=CARTESIAN_POINT('',(9.46054273,1.92117229,0.)); #252930=CARTESIAN_POINT('',(9.46051850195874,0.950451583617326,0.)); #252931=CARTESIAN_POINT('',(9.41045852999999,1.97125629,0.)); #252932=CARTESIAN_POINT('Origin',(9.4104586297971,1.92117218979711,0.)); #252933=CARTESIAN_POINT('',(9.26521583,1.97125629,0.)); #252934=CARTESIAN_POINT('',(4.70522926500001,1.97125629000007,0.)); #252935=CARTESIAN_POINT('',(9.21513172999999,1.93118929,0.)); #252936=CARTESIAN_POINT('Origin',(9.26020728016232,1.9261807397971,0.)); #252937=CARTESIAN_POINT('',(9.21513172999999,1.89362629,0.)); #252938=CARTESIAN_POINT('',(9.21513172999999,0.965594645,0.)); #252939=CARTESIAN_POINT('',(9.19572483,1.71645529,0.)); #252940=CARTESIAN_POINT('',(9.05802121461692,0.459320598677487,0.)); #252941=CARTESIAN_POINT('',(9.13750262999999,1.60564529,0.)); #252942=CARTESIAN_POINT('',(7.84762854713895,-0.849276494505558,0.)); #252943=CARTESIAN_POINT('',(9.03107502999999,1.54742329,0.)); #252944=CARTESIAN_POINT('',(5.28308080293395,-0.502944470695831,0.)); #252945=CARTESIAN_POINT('',(8.86705113,1.52801629,0.)); #252946=CARTESIAN_POINT('',(4.48759834535928,1.00984765609046,0.)); #252947=CARTESIAN_POINT('',(8.30861902999999,1.52801629,0.)); #252948=CARTESIAN_POINT('',(4.433525565,1.52801629,0.)); #252949=CARTESIAN_POINT('',(8.14459512999999,1.54742329,0.)); #252950=CARTESIAN_POINT('',(4.30081153634876,2.00221255060155,0.)); #252951=CARTESIAN_POINT('',(8.03816753,1.60564529,0.)); #252952=CARTESIAN_POINT('',(5.33607338011773,3.08384573419348,0.)); #252953=CARTESIAN_POINT('',(7.97994532999999,1.71645529000001,0.)); #252954=CARTESIAN_POINT('',(7.49922638265378,2.63137204950818,0.)); #252955=CARTESIAN_POINT('',(7.96053792999999,1.89362629,0.)); #252956=CARTESIAN_POINT('',(8.02553283992849,1.30028492603877,0.)); #252957=CARTESIAN_POINT('',(7.96053792999999,2.45706629,0.)); #252958=CARTESIAN_POINT('',(7.96053792999999,0.946813144999999,0.)); #252959=CARTESIAN_POINT('',(7.97994532999999,2.63235929,0.)); #252960=CARTESIAN_POINT('',(7.77797130643817,0.808074094032558,0.)); #252961=CARTESIAN_POINT('',(8.03816752999999,2.74254329,0.)); #252962=CARTESIAN_POINT('',(6.56537192811741,-0.0446841008893203,0.)); #252963=CARTESIAN_POINT('',(8.14459512999999,2.80076629,0.)); #252964=CARTESIAN_POINT('',(4.36747801703494,0.734431150730118,0.)); #252965=CARTESIAN_POINT('',(8.30861902999999,2.82017329,0.)); #252966=CARTESIAN_POINT('',(3.96511613115481,2.3062581791053,0.)); #252967=CARTESIAN_POINT('',(8.86705112999999,2.82017329,0.)); #252968=CARTESIAN_POINT('',(4.15430951499999,2.82017329,0.)); #252969=CARTESIAN_POINT('',(9.03107502999999,2.80076629,0.)); #252970=CARTESIAN_POINT('',(4.65926985055323,3.31803007361639,0.)); #252971=CARTESIAN_POINT('',(9.13750262999999,2.74254329,0.)); #252972=CARTESIAN_POINT('',(6.14530086492043,4.37947735003917,0.)); #252973=CARTESIAN_POINT('',(9.19572483,2.63235929,0.)); #252974=CARTESIAN_POINT('',(8.70671063447285,3.55780594299429,0.)); #252975=CARTESIAN_POINT('',(9.21513223,2.45706729,0.)); #252976=CARTESIAN_POINT('',(9.28400373822906,1.83500434388213,0.)); #252977=CARTESIAN_POINT('',(9.21513172999999,2.40197529,0.)); #252978=CARTESIAN_POINT('',(9.2151210797835,1.22849182808523,0.)); #252979=CARTESIAN_POINT('',(9.26521583,2.35189129,0.)); #252980=CARTESIAN_POINT('Origin',(9.26521578020289,2.4019753402029,0.)); #252981=CARTESIAN_POINT('',(9.41045852999999,2.35189129,0.)); #252982=CARTESIAN_POINT('',(4.632607915,2.35189129,0.)); #252983=CARTESIAN_POINT('',(9.46054273,2.39947029,0.)); #252984=CARTESIAN_POINT('Origin',(9.41171112980724,2.4007228902029,0.)); #252985=CARTESIAN_POINT('',(9.46054273,2.44955429,0.)); #252986=CARTESIAN_POINT('',(9.46054273,1.199735145,0.)); #252987=CARTESIAN_POINT('',(9.45177752999999,2.59064929,0.)); #252988=CARTESIAN_POINT('',(9.51815165207788,1.52221311574529,0.)); #252989=CARTESIAN_POINT('',(9.42548362999998,2.71343229,0.)); #252990=CARTESIAN_POINT('',(9.50978140928913,2.31979215922982,0.)); #252991=CARTESIAN_POINT('',(9.38166053,2.81790429,0.)); #252992=CARTESIAN_POINT('',(9.20427240965263,3.24078837873247,0.)); #252993=CARTESIAN_POINT('',(8.46912413267847,4.09940838123738,0.)); #252994=CARTESIAN_POINT('',(14.78951253,8.95798939,0.)); #252995=CARTESIAN_POINT('',(14.74542153,9.10306429,0.)); #252996=CARTESIAN_POINT('Origin',(14.9238327740522,9.07804934059112,0.)); #252997=CARTESIAN_POINT('',(14.78209853,9.21863529,0.)); #252998=CARTESIAN_POINT('Origin',(14.8924201895228,9.12001888371022,0.)); #252999=CARTESIAN_POINT('',(14.89356853,9.26637809000001,0.)); #253000=CARTESIAN_POINT('Origin',(14.8910295341443,9.11830453633558,0.)); #253001=CARTESIAN_POINT('',(14.91681953,9.27059619,0.)); #253002=CARTESIAN_POINT('',(6.87030951006742,7.81083171040539,0.)); #253003=CARTESIAN_POINT('',(15.78214353,9.42033259,0.)); #253004=CARTESIAN_POINT('Origin',(14.8163949544397,12.4261622557838,0.)); #253005=CARTESIAN_POINT('',(15.95517653,9.88140359000001,0.)); #253006=CARTESIAN_POINT('Origin',(15.5469386177974,9.77160528908139,0.)); #253007=CARTESIAN_POINT('',(15.81173753,10.13309549,0.)); #253008=CARTESIAN_POINT('Origin',(15.5678310131849,9.82737454113851,0.)); #253009=CARTESIAN_POINT('',(15.53358453,10.21408199,0.)); #253010=CARTESIAN_POINT('Origin',(15.5710687464905,9.82466393852078,0.)); #253011=CARTESIAN_POINT('',(14.57848153,10.22317289,0.)); #253012=CARTESIAN_POINT('Origin',(14.9874463688866,3.0128143973494,0.)); #253013=CARTESIAN_POINT('',(14.55091753,10.22015449,0.)); #253014=CARTESIAN_POINT('Origin',(14.5768437219478,10.1107630450059,0.)); #253015=CARTESIAN_POINT('',(14.53924953,10.21606419,0.)); #253016=CARTESIAN_POINT('',(6.47636848916145,7.38956416245863,0.)); #253017=CARTESIAN_POINT('',(14.52961853,10.21034689,0.)); #253018=CARTESIAN_POINT('',(6.92174604301359,5.69404646742253,0.)); #253019=CARTESIAN_POINT('',(14.50339653,10.11514349,0.)); #253020=CARTESIAN_POINT('Origin',(14.6045614099801,10.1384923918768,0.)); #253021=CARTESIAN_POINT('',(14.50462753,10.04247299,0.)); #253022=CARTESIAN_POINT('',(14.5869643084471,5.18182728885242,0.)); #253023=CARTESIAN_POINT('',(14.50890853,10.00921699,0.)); #253024=CARTESIAN_POINT('Origin',(14.6201898000332,10.0404456213902,0.)); #253025=CARTESIAN_POINT('',(14.51406653,9.99652879000001,0.)); #253026=CARTESIAN_POINT('',(15.2260146093819,8.24520276812726,0.)); #253027=CARTESIAN_POINT('',(14.52106553,9.98616949,0.)); #253028=CARTESIAN_POINT('',(14.5582429640997,9.93114274431228,0.)); #253029=CARTESIAN_POINT('',(14.52977653,9.97791499,0.)); #253030=CARTESIAN_POINT('',(13.1885072738632,11.2488950238405,0.)); #253031=CARTESIAN_POINT('',(14.54007253,9.97154259,0.)); #253032=CARTESIAN_POINT('',(11.5095770993755,11.8471767277361,0.)); #253033=CARTESIAN_POINT('',(14.55182353,9.96682849,0.)); #253034=CARTESIAN_POINT('',(10.0006974780105,11.7925848785331,0.)); #253035=CARTESIAN_POINT('',(14.56490353,9.96354929,0.)); #253036=CARTESIAN_POINT('',(8.88165303758494,11.3883593064173,0.)); #253037=CARTESIAN_POINT('',(14.57918053,9.96148129,0.)); #253038=CARTESIAN_POINT('',(8.13887837346424,10.8943484791648,0.)); #253039=CARTESIAN_POINT('',(15.47729953,9.96053429000001,0.)); #253040=CARTESIAN_POINT('Origin',(15.0017500048619,-15.1616900844038,0.)); #253041=CARTESIAN_POINT('',(15.62551753,9.91502499000001,0.)); #253042=CARTESIAN_POINT('Origin',(15.501755081779,9.77606467649991,0.)); #253043=CARTESIAN_POINT('',(15.68761853,9.77294609,0.)); #253044=CARTESIAN_POINT('Origin',(15.5014620786101,9.77619057053402,0.)); #253045=CARTESIAN_POINT('',(15.65928553,9.65896009,0.)); #253046=CARTESIAN_POINT('Origin',(15.5348784957546,9.75039770552977,0.)); #253047=CARTESIAN_POINT('',(15.55846553,9.59868879000001,0.)); #253048=CARTESIAN_POINT('Origin',(15.535556641804,9.75147004923553,0.)); #253049=CARTESIAN_POINT('',(15.52962653,9.59218758999953,0.)); #253050=CARTESIAN_POINT('',(7.12585014418895,7.69771721850896,0.)); #253051=CARTESIAN_POINT('',(14.8347135299999,9.51279369,0.)); #253052=CARTESIAN_POINT('Origin',(339.973446603487,-2833.25634451626,0.)); #253053=CARTESIAN_POINT('',(14.55686253,9.36699839000001,0.)); #253054=CARTESIAN_POINT('Origin',(14.8661446885883,9.1152369601434,0.)); #253055=CARTESIAN_POINT('',(14.47318653,9.06457639,0.)); #253056=CARTESIAN_POINT('Origin',(14.8684502205858,9.11799937164997,0.)); #253057=CARTESIAN_POINT('',(14.60364753,8.75228039,0.)); #253058=CARTESIAN_POINT('Origin',(14.8929883555517,9.05654981871763,0.)); #253059=CARTESIAN_POINT('',(14.92335353,8.64122509000001,0.)); #253060=CARTESIAN_POINT('Origin',(14.8895738518466,9.05969262161109,0.)); #253061=CARTESIAN_POINT('',(15.82923553,8.63753429000001,0.)); #253062=CARTESIAN_POINT('Origin',(15.4202017890908,19.4161206891687,0.)); #253063=CARTESIAN_POINT('',(15.83632353,8.63851849,0.)); #253064=CARTESIAN_POINT('',(7.47599300413112,7.47764979593578,0.)); #253065=CARTESIAN_POINT('',(15.84895253,8.64255539,0.)); #253066=CARTESIAN_POINT('',(7.39955069748626,5.94167723197946,0.)); #253067=CARTESIAN_POINT('',(15.87011353,8.87129469,0.)); #253068=CARTESIAN_POINT('Origin',(15.6728261350309,8.77419756205651,0.)); #253069=CARTESIAN_POINT('',(15.86537053,8.87814399000001,0.)); #253070=CARTESIAN_POINT('',(15.3743566903811,9.58721021216012,0.)); #253071=CARTESIAN_POINT('',(15.85976353,8.88317269000001,0.)); #253072=CARTESIAN_POINT('',(13.6754223383513,10.8422232607934,0.)); #253073=CARTESIAN_POINT('',(15.82482453,8.88893049000001,0.)); #253074=CARTESIAN_POINT('Origin',(15.8220128962881,8.76298331205377,0.)); #253075=CARTESIAN_POINT('',(15.75495353,8.89237039000001,0.)); #253076=CARTESIAN_POINT('',(8.1498255756276,9.26678723891095,0.)); #253077=CARTESIAN_POINT('',(14.92954053,8.89988249000001,0.)); #253078=CARTESIAN_POINT('Origin',(15.5823973567512,35.2833153292127,0.)); #253079=CARTESIAN_POINT('Origin',(14.9220821769441,9.07968468292537,0.)); #253080=CARTESIAN_POINT('',(10.78959353,8.09471629,0.)); #253081=CARTESIAN_POINT('',(11.00252453,8.09064789,0.)); #253082=CARTESIAN_POINT('Origin',(10.9027816540491,8.44452926859601,0.)); #253083=CARTESIAN_POINT('',(11.01478553,8.09482879,0.)); #253084=CARTESIAN_POINT('',(4.83855771923968,5.98878598321327,0.)); #253085=CARTESIAN_POINT('',(11.02396153,8.10108109,0.)); #253086=CARTESIAN_POINT('',(5.3702063984177,4.24875183878225,0.)); #253087=CARTESIAN_POINT('',(11.03048553,8.10977289,0.)); #253088=CARTESIAN_POINT('',(7.09295336428209,2.86387434953766,0.)); #253089=CARTESIAN_POINT('',(11.03479353,8.12127319,0.)); #253090=CARTESIAN_POINT('',(9.01976027839871,2.74209795707467,0.)); #253091=CARTESIAN_POINT('',(11.03850653,8.15417879,0.)); #253092=CARTESIAN_POINT('Origin',(10.8798522470794,8.15541872825684,0.)); #253093=CARTESIAN_POINT('',(11.03858053,8.20274949,0.)); #253094=CARTESIAN_POINT('',(11.032282074312,4.06869000747094,0.)); #253095=CARTESIAN_POINT('',(11.03832753,8.82457319,0.)); #253096=CARTESIAN_POINT('Origin',(64.2850744785156,8.53532567182514,0.)); #253097=CARTESIAN_POINT('',(11.19555253,8.68422909,0.)); #253098=CARTESIAN_POINT('Origin',(11.3249192037875,8.98739651065501,0.)); #253099=CARTESIAN_POINT('',(11.79263753,8.63708609,0.)); #253100=CARTESIAN_POINT('Origin',(11.5944125405651,9.93121909002723,0.)); #253101=CARTESIAN_POINT('',(12.33550753,8.87457339,0.)); #253102=CARTESIAN_POINT('Origin',(11.8804913775428,9.17547618102826,0.)); #253103=CARTESIAN_POINT('',(12.39726553,9.60835999,0.)); #253104=CARTESIAN_POINT('Origin',(10.6884259449628,9.38268961477231,0.)); #253105=CARTESIAN_POINT('',(12.33851453,9.98006699,0.)); #253106=CARTESIAN_POINT('Origin',(11.6281853780426,9.67729777948836,0.)); #253107=CARTESIAN_POINT('',(11.76926753,10.22326389,0.)); #253108=CARTESIAN_POINT('Origin',(11.8718453115433,9.67555400891551,0.)); #253109=CARTESIAN_POINT('',(11.53920353,10.22457429,0.)); #253110=CARTESIAN_POINT('Origin',(11.607489280512,2.01678451873113,0.)); #253111=CARTESIAN_POINT('',(11.10728053,10.12135339,0.)); #253112=CARTESIAN_POINT('Origin',(11.452588496043,9.63171963797596,0.)); #253113=CARTESIAN_POINT('',(11.09040453,10.10334369,0.)); #253114=CARTESIAN_POINT('',(5.98575028282395,4.65576774811027,0.)); #253115=CARTESIAN_POINT('',(11.03832753,10.03577349,0.)); #253116=CARTESIAN_POINT('Origin',(9.51063661101087,11.2670327273075,0.)); #253117=CARTESIAN_POINT('',(11.03366753,10.18263259,0.)); #253118=CARTESIAN_POINT('Origin',(10.5674513742104,10.0943355575901,0.)); #253119=CARTESIAN_POINT('',(11.02869053,10.19347969,0.)); #253120=CARTESIAN_POINT('',(12.0040030740496,8.06783920445461,0.)); #253121=CARTESIAN_POINT('',(10.79966853,10.21555829,0.)); #253122=CARTESIAN_POINT('Origin',(10.8890741612066,9.94410022378273,0.)); #253123=CARTESIAN_POINT('',(10.79015453,10.21033549,0.)); #253124=CARTESIAN_POINT('',(4.49563264515517,6.75489835523199,0.)); #253125=CARTESIAN_POINT('',(10.78235753,10.20363059,0.)); #253126=CARTESIAN_POINT('',(5.16482324040216,5.37292574732497,0.)); #253127=CARTESIAN_POINT('',(10.77643153,10.19527599,0.)); #253128=CARTESIAN_POINT('',(6.57033308142277,4.26542953396686,0.)); #253129=CARTESIAN_POINT('',(10.77252753,10.18510269,0.)); #253130=CARTESIAN_POINT('',(8.37968051388619,3.94966453706265,0.)); #253131=CARTESIAN_POINT('',(10.77080153,10.17294329,0.)); #253132=CARTESIAN_POINT('',(9.95754358150941,4.44366826168215,0.)); #253133=CARTESIAN_POINT('',(10.77072753,8.13537329,0.)); #253134=CARTESIAN_POINT('',(10.7706167935862,5.08627606595921,0.)); #253135=CARTESIAN_POINT('',(10.77091553,8.12960169,0.)); #253136=CARTESIAN_POINT('',(10.8973771142441,4.24723105377329,0.)); #253137=CARTESIAN_POINT('',(10.77148253,8.12420869,0.)); #253138=CARTESIAN_POINT('',(11.1347232956712,4.66925728035836,0.)); #253139=CARTESIAN_POINT('',(10.77242553,8.11919519,0.)); #253140=CARTESIAN_POINT('',(11.3253954833087,5.17930668425538,0.)); #253141=CARTESIAN_POINT('',(10.77374653,8.11456219,0.)); #253142=CARTESIAN_POINT('',(11.4379377386337,5.78511641437789,0.)); #253143=CARTESIAN_POINT('',(10.77544453,8.11030689,0.)); #253144=CARTESIAN_POINT('',(11.430436095606,6.46885482337873,0.)); #253145=CARTESIAN_POINT('',(10.77751953,8.10643119,0.)); #253146=CARTESIAN_POINT('',(11.2625612411333,7.20046677562018,0.)); #253147=CARTESIAN_POINT('',(10.77997253,8.10293499,0.)); #253148=CARTESIAN_POINT('',(10.9055747523461,7.92391726078351,0.)); #253149=CARTESIAN_POINT('',(10.78280153,8.09981649000001,0.)); #253150=CARTESIAN_POINT('',(10.3628788639976,8.56271109372018,0.)); #253151=CARTESIAN_POINT('',(10.78600853,8.09707759,0.)); #253152=CARTESIAN_POINT('',(9.66527734999317,9.0542246523396,0.)); #253153=CARTESIAN_POINT('',(8.88454121724072,9.3495001187622,0.)); #253154=CARTESIAN_POINT('',(4.05874662999998,9.74064269000001,0.)); #253155=CARTESIAN_POINT('',(4.05869822999999,9.74137329000001,0.)); #253156=CARTESIAN_POINT('',(4.37111333234909,5.02545440935304,0.)); #253157=CARTESIAN_POINT('',(3.48342753,9.74137329000001,0.)); #253158=CARTESIAN_POINT('',(2.02934911499999,9.74137329000001,0.)); #253159=CARTESIAN_POINT('',(3.48403672999999,9.73636839000001,0.)); #253160=CARTESIAN_POINT('',(4.04220703248613,5.15070432737813,0.)); #253161=CARTESIAN_POINT('',(3.48498822999999,9.73160529000001,0.)); #253162=CARTESIAN_POINT('',(4.35236054715113,5.38963883301223,0.)); #253163=CARTESIAN_POINT('',(3.48625132999998,9.72706199000001,0.)); #253164=CARTESIAN_POINT('',(4.61567545095164,5.66458664460518,0.)); #253165=CARTESIAN_POINT('',(3.48779563,9.72271459,0.)); #253166=CARTESIAN_POINT('',(4.82500910356404,5.95828937799557,0.)); #253167=CARTESIAN_POINT('',(3.76252582999999,9.29789799,0.)); #253168=CARTESIAN_POINT('Origin',(-26.8189462302535,-10.1779911871038,0.)); #253169=CARTESIAN_POINT('',(3.76454142999999,9.29297319,0.)); #253170=CARTESIAN_POINT('',(5.12232757505233,5.97543736586234,0.)); #253171=CARTESIAN_POINT('',(4.05661772999998,9.73446249000001,0.)); #253172=CARTESIAN_POINT('Origin',(1.40420416638597,11.1718618929122,0.)); #253173=CARTESIAN_POINT('',(4.05786802999999,9.73778219,0.)); #253174=CARTESIAN_POINT('',(2.19922574898959,4.80285874746868,0.)); #253175=CARTESIAN_POINT('',(2.51640428978737,4.71916503891815,0.)); #253176=CARTESIAN_POINT('',(5.91929913,9.28743619,0.)); #253177=CARTESIAN_POINT('',(5.92042352999999,9.22981759000001,0.)); #253178=CARTESIAN_POINT('Origin',(8.30200771067246,9.30511336121638,0.)); #253179=CARTESIAN_POINT('',(6.18697213,8.68864559,0.)); #253180=CARTESIAN_POINT('Origin',(6.44444855301123,9.15169176563296,0.)); #253181=CARTESIAN_POINT('',(6.87811562999999,8.63708799000001,0.)); #253182=CARTESIAN_POINT('Origin',(6.65920938877528,10.3608520155389,0.)); #253183=CARTESIAN_POINT('',(7.42238952999998,8.87830949,0.)); #253184=CARTESIAN_POINT('Origin',(6.97975184265907,9.14240367411831,0.)); #253185=CARTESIAN_POINT('',(7.48250772999999,9.53236169000001,0.)); #253186=CARTESIAN_POINT('Origin',(6.15382816495573,9.32470025973375,0.)); #253187=CARTESIAN_POINT('',(7.47843122999999,9.54209919000001,0.)); #253188=CARTESIAN_POINT('',(8.62236103461443,6.80960404160244,0.)); #253189=CARTESIAN_POINT('',(7.47262672999999,9.55043669000001,0.)); #253190=CARTESIAN_POINT('',(8.49496544266958,8.08196412270226,0.)); #253191=CARTESIAN_POINT('',(7.46521612999999,9.55725069,0.)); #253192=CARTESIAN_POINT('',(7.82726283119175,9.22435102979459,0.)); #253193=CARTESIAN_POINT('',(7.45632312999999,9.56241679,0.)); #253194=CARTESIAN_POINT('',(6.74996716983175,9.97275138190665,0.)); #253195=CARTESIAN_POINT('',(7.44606972999999,9.56581139,0.)); #253196=CARTESIAN_POINT('',(5.52298801373479,10.2024873408102,0.)); #253197=CARTESIAN_POINT('',(7.44047112999999,9.56680509,0.)); #253198=CARTESIAN_POINT('',(4.65973450472717,10.0603602617455,0.)); #253199=CARTESIAN_POINT('',(7.43457892999999,9.56730959,0.)); #253200=CARTESIAN_POINT('',(4.15389261396693,9.84820742891112,0.)); #253201=CARTESIAN_POINT('',(6.19455332999999,9.56737299000001,0.)); #253202=CARTESIAN_POINT('',(3.71753405330759,9.56749963498391,0.)); #253203=CARTESIAN_POINT('',(6.19790982999999,9.75553629000001,0.)); #253204=CARTESIAN_POINT('Origin',(7.51498125688037,9.637930481514,0.)); #253205=CARTESIAN_POINT('',(6.26943782999999,9.90906879,0.)); #253206=CARTESIAN_POINT('Origin',(6.41449617627937,9.7480606968419,0.)); #253207=CARTESIAN_POINT('',(6.43011142999998,9.96267149,0.)); #253208=CARTESIAN_POINT('Origin',(6.41291289713091,9.74661376527098,0.)); #253209=CARTESIAN_POINT('',(6.47723202999999,9.96455399000001,0.)); #253210=CARTESIAN_POINT('',(3.0214883220789,9.82649467984294,0.)); #253211=CARTESIAN_POINT('',(7.20980362999999,9.96217319000001,0.)); #253212=CARTESIAN_POINT('Origin',(6.94452973492461,41.0447029757775,0.)); #253213=CARTESIAN_POINT('',(7.33086112999999,9.97348419,0.)); #253214=CARTESIAN_POINT('Origin',(7.23871556609299,10.3062118965728,0.)); #253215=CARTESIAN_POINT('',(7.33942842999999,9.97791499,0.)); #253216=CARTESIAN_POINT('',(2.40415760326793,7.42551248523208,0.)); #253217=CARTESIAN_POINT('',(7.35336922999998,10.18653559,0.)); #253218=CARTESIAN_POINT('Origin',(7.14526142119479,10.0956660354905,0.)); #253219=CARTESIAN_POINT('',(7.34863562999998,10.19733499,0.)); #253220=CARTESIAN_POINT('',(8.63352144184128,7.26595172221147,0.)); #253221=CARTESIAN_POINT('',(7.34234572999998,10.20638339,0.)); #253222=CARTESIAN_POINT('',(8.54116714890616,8.48180657211345,0.)); #253223=CARTESIAN_POINT('',(7.33430482999998,10.21358649,0.)); #253224=CARTESIAN_POINT('',(7.84182963951974,9.75894186620752,0.)); #253225=CARTESIAN_POINT('',(7.32431792999999,10.21884889,0.)); #253226=CARTESIAN_POINT('',(6.57022686600047,10.6162023044924,0.)); #253227=CARTESIAN_POINT('',(7.31219052999998,10.22207609,0.)); #253228=CARTESIAN_POINT('',(5.1740841450647,10.7910436284217,0.)); #253229=CARTESIAN_POINT('',(7.29772762999999,10.22317329,0.)); #253230=CARTESIAN_POINT('',(4.06253672894974,10.4686048048857,0.)); #253231=CARTESIAN_POINT('',(6.52664042999999,10.22317329,0.)); #253232=CARTESIAN_POINT('',(3.64886381499999,10.22317329,0.)); #253233=CARTESIAN_POINT('',(6.02483133,10.04935619,0.)); #253234=CARTESIAN_POINT('Origin',(6.44686502953001,9.64221573958849,0.)); #253235=CARTESIAN_POINT('',(5.91867682999999,9.44176839,0.)); #253236=CARTESIAN_POINT('Origin',(6.89581265904786,9.58411570330169,0.)); #253237=CARTESIAN_POINT('',(5.93766400756974,4.73289343232205,0.)); #253238=CARTESIAN_POINT('',(12.67782753,9.97802659,0.)); #253239=CARTESIAN_POINT('',(12.67782753,8.69747279,0.)); #253240=CARTESIAN_POINT('',(12.67782753,4.989013295,0.)); #253241=CARTESIAN_POINT('',(12.67812453,8.69055669,0.)); #253242=CARTESIAN_POINT('',(12.8525645361868,4.628453906174,0.)); #253243=CARTESIAN_POINT('',(12.67900253,8.68397729,0.)); #253244=CARTESIAN_POINT('',(13.1369312872115,5.25243234103538,0.)); #253245=CARTESIAN_POINT('',(12.68044453,8.67775079,0.)); #253246=CARTESIAN_POINT('',(13.3106732148529,5.95644780370871,0.)); #253247=CARTESIAN_POINT('',(12.68243253,8.67189809,0.)); #253248=CARTESIAN_POINT('',(13.3459397521044,6.71852348294906,0.)); #253249=CARTESIAN_POINT('',(12.68494653,8.66643539,0.)); #253250=CARTESIAN_POINT('',(13.220821723252,7.50202591578346,0.)); #253251=CARTESIAN_POINT('',(12.68796753,8.66138189000001,0.)); #253252=CARTESIAN_POINT('',(12.9235030354097,8.26738034197519,0.)); #253253=CARTESIAN_POINT('',(12.69147953,8.65675559,0.)); #253254=CARTESIAN_POINT('',(12.4542635309268,8.96923633502169,0.)); #253255=CARTESIAN_POINT('',(12.69546353,8.65257569,0.)); #253256=CARTESIAN_POINT('',(11.8324916980383,9.55798130255434,0.)); #253257=CARTESIAN_POINT('',(12.91667153,8.64529439000001,0.)); #253258=CARTESIAN_POINT('Origin',(12.8139691530286,8.88898863302893,0.)); #253259=CARTESIAN_POINT('',(12.92788853,8.65037179,0.)); #253260=CARTESIAN_POINT('',(5.93266183279145,5.48396686600963,0.)); #253261=CARTESIAN_POINT('',(12.93666353,8.65680429,0.)); #253262=CARTESIAN_POINT('',(6.66096667993073,4.05641454777582,0.)); #253263=CARTESIAN_POINT('',(12.94261953,8.66473689,0.)); #253264=CARTESIAN_POINT('',(8.52651133892822,2.78306801214221,0.)); #253265=CARTESIAN_POINT('',(12.9453795299999,8.67431369,0.)); #253266=CARTESIAN_POINT('',(11.2935263686547,2.94262261905689,0.)); #253267=CARTESIAN_POINT('',(12.94550053,10.17176269,0.)); #253268=CARTESIAN_POINT('Origin',(-257.28289860287,9.44487374431612,0.)); #253269=CARTESIAN_POINT('',(12.94497253,10.17900959,0.)); #253270=CARTESIAN_POINT('',(13.2799163020243,5.58184288270511,0.)); #253271=CARTESIAN_POINT('',(12.94379553,10.18562189,0.)); #253272=CARTESIAN_POINT('',(13.6243100752317,6.36254089811293,0.)); #253273=CARTESIAN_POINT('',(12.93957953,10.19702649,0.)); #253274=CARTESIAN_POINT('',(13.8220160195321,7.80996880771424,0.)); #253275=CARTESIAN_POINT('',(12.71515053,10.21587579,0.)); #253276=CARTESIAN_POINT('Origin',(12.8100983690231,10.0008658155385,0.)); #253277=CARTESIAN_POINT('',(12.70371653,10.21055809,0.)); #253278=CARTESIAN_POINT('',(5.53502829111253,6.87656006237337,0.)); #253279=CARTESIAN_POINT('',(12.69409253,10.20364109,0.)); #253280=CARTESIAN_POINT('',(6.09592187335334,5.46137732940206,0.)); #253281=CARTESIAN_POINT('',(12.68650053,10.19493219,0.)); #253282=CARTESIAN_POINT('',(7.4263514299178,4.16093423191336,0.)); #253283=CARTESIAN_POINT('',(12.68115653,10.18424099,0.)); #253284=CARTESIAN_POINT('',(9.37983783118095,3.57962675482424,0.)); #253285=CARTESIAN_POINT('Origin',(13.1449842584659,10.0736191662465,0.)); #253286=CARTESIAN_POINT('',(13.78110153,3.31812829,0.)); #253287=CARTESIAN_POINT('',(13.78773353,3.30416229,0.)); #253288=CARTESIAN_POINT('',(13.1560682093897,4.63435497209354,0.)); #253289=CARTESIAN_POINT('',(13.54999953,3.16808342335154,0.)); #253290=CARTESIAN_POINT('',(7.88288791656172,-0.0757694774832651,0.)); #253291=CARTESIAN_POINT('',(13.54999953,2.90834978570738,0.)); #253292=CARTESIAN_POINT('',(13.54999953,1.58404171167577,0.)); #253293=CARTESIAN_POINT('',(14.02802353,2.97337129,0.)); #253294=CARTESIAN_POINT('',(6.70386679346408,1.97712907478798,0.)); #253295=CARTESIAN_POINT('',(14.50670153,2.39880529,0.)); #253296=CARTESIAN_POINT('',(11.885450457113,5.54514112315794,0.)); #253297=CARTESIAN_POINT('',(14.50806953,2.39659829,0.)); #253298=CARTESIAN_POINT('',(13.0305098922682,4.78035130204427,0.)); #253299=CARTESIAN_POINT('',(14.51099753,2.38985729,0.)); #253300=CARTESIAN_POINT('',(13.7945808236393,4.0392305883559,0.)); #253301=CARTESIAN_POINT('',(14.57754253,2.31248129,0.)); #253302=CARTESIAN_POINT('Origin',(16.4045794746379,3.95107484202436,0.)); #253303=CARTESIAN_POINT('',(14.58592753,2.29852929,0.)); #253304=CARTESIAN_POINT('',(13.15398595508,4.68117077518491,0.)); #253305=CARTESIAN_POINT('',(14.59099853,2.28376329,0.)); #253306=CARTESIAN_POINT('',(14.1695834682895,3.51086145628193,0.)); #253307=CARTESIAN_POINT('',(14.59179953,2.26798929,0.)); #253308=CARTESIAN_POINT('',(14.6300702000882,1.51432942736336,0.)); #253309=CARTESIAN_POINT('',(14.58737953,2.25101529,0.)); #253310=CARTESIAN_POINT('',(13.8519608191745,-0.573192220760846,0.)); #253311=CARTESIAN_POINT('',(14.58291253,2.24201829,0.)); #253312=CARTESIAN_POINT('',(12.6966713997317,-1.55706643106679,0.)); #253313=CARTESIAN_POINT('',(14.43500653,2.13154729,0.)); #253314=CARTESIAN_POINT('Origin',(14.2954120908096,2.47269445496999,0.)); #253315=CARTESIAN_POINT('',(14.19818553,1.99066729,0.)); #253316=CARTESIAN_POINT('',(8.63574829950609,-1.31831357331879,0.)); #253317=CARTESIAN_POINT('',(13.28474853,1.65465329,0.)); #253318=CARTESIAN_POINT('',(7.62273358381599,-0.428157336378239,0.)); #253319=CARTESIAN_POINT('',(13.28466853,1.65443129,0.)); #253320=CARTESIAN_POINT('',(12.2574423647708,-1.19612131872757,0.)); #253321=CARTESIAN_POINT('',(13.44476753,1.50860429,0.)); #253322=CARTESIAN_POINT('Origin',(13.8365103659648,2.09948415559508,0.)); #253323=CARTESIAN_POINT('',(13.74518553,1.48750729,0.)); #253324=CARTESIAN_POINT('Origin',(13.6310397813437,2.01159088229607,0.)); #253325=CARTESIAN_POINT('',(13.95615653,1.51404429,0.)); #253326=CARTESIAN_POINT('',(6.88754051420416,0.62491799675977,0.)); #253327=CARTESIAN_POINT('',(14.07622153,1.39388029,0.)); #253328=CARTESIAN_POINT('',(10.8485040543195,4.62425919099255,0.)); #253329=CARTESIAN_POINT('',(14.14549553,1.34311129,0.)); #253330=CARTESIAN_POINT('Origin',(14.1632621672451,1.44000024284553,0.)); #253331=CARTESIAN_POINT('',(14.22837153,1.36540029,0.)); #253332=CARTESIAN_POINT('Origin',(14.16407806251,1.43923805585745,0.)); #253333=CARTESIAN_POINT('',(14.23487053,1.38079129,0.)); #253334=CARTESIAN_POINT('',(12.9071839895561,-1.7634499077184,0.)); #253335=CARTESIAN_POINT('',(14.14561253,1.55928729,0.)); #253336=CARTESIAN_POINT('Origin',(14.0612699561239,1.40554627760176,0.)); #253337=CARTESIAN_POINT('',(14.48363353,1.60794429,0.)); #253338=CARTESIAN_POINT('Origin',(15.1932937505904,-4.52052453194096,0.)); #253339=CARTESIAN_POINT('',(14.48490953,1.60769029,0.)); #253340=CARTESIAN_POINT('',(7.67177309402777,2.96391023885445,0.)); #253341=CARTESIAN_POINT('',(14.60452353,1.48807629,0.)); #253342=CARTESIAN_POINT('',(11.2656047200001,4.82699509999998,0.)); #253343=CARTESIAN_POINT('',(14.67859853,1.43634329,0.)); #253344=CARTESIAN_POINT('Origin',(14.6978170764174,1.54276121053181,0.)); #253345=CARTESIAN_POINT('',(14.76584053,1.45994929,0.)); #253346=CARTESIAN_POINT('Origin',(14.6964837886864,1.54325929278242,0.)); #253347=CARTESIAN_POINT('',(14.77326353,1.47247829,0.)); #253348=CARTESIAN_POINT('',(12.5275217025354,-2.31802411553971,0.)); #253349=CARTESIAN_POINT('',(14.77824953,1.48670429,0.)); #253350=CARTESIAN_POINT('',(13.7353479908728,-1.488890835474,0.)); #253351=CARTESIAN_POINT('',(14.78062153,1.50231429,0.)); #253352=CARTESIAN_POINT('',(14.5010793978443,-0.33733692541003,0.)); #253353=CARTESIAN_POINT('',(14.69845653,1.62884329,0.)); #253354=CARTESIAN_POINT('Origin',(14.6416397524996,1.50200526823171,0.)); #253355=CARTESIAN_POINT('',(15.20217453,1.70326429,0.)); #253356=CARTESIAN_POINT('',(7.38846610697779,0.548840595492879,0.)); #253357=CARTESIAN_POINT('',(15.65692853,1.29727329,0.)); #253358=CARTESIAN_POINT('',(11.395482611981,5.10176690567451,0.)); #253359=CARTESIAN_POINT('',(15.94271553,1.22425829,0.)); #253360=CARTESIAN_POINT('Origin',(15.8452312402755,1.43850135087129,0.)); #253361=CARTESIAN_POINT('',(15.95103753,1.23374329,0.)); #253362=CARTESIAN_POINT('',(12.1719812452396,-3.07343633760889,0.)); #253363=CARTESIAN_POINT('',(15.95757753,1.24512529,0.)); #253364=CARTESIAN_POINT('',(13.7049770631514,-2.67522616469073,0.)); #253365=CARTESIAN_POINT('',(15.96217553,1.25848829,0.)); #253366=CARTESIAN_POINT('',(14.9213994094101,-1.76628145759593,0.)); #253367=CARTESIAN_POINT('',(15.87118453,1.51152129,0.)); #253368=CARTESIAN_POINT('Origin',(15.5760703331794,1.26252109450021,0.)); #253369=CARTESIAN_POINT('',(15.47902653,1.94918029,0.)); #253370=CARTESIAN_POINT('',(12.7128278418624,5.03633330396786,0.)); #253371=CARTESIAN_POINT('',(15.46445853,1.96568729,0.)); #253372=CARTESIAN_POINT('',(12.5738379329647,5.24104932976348,0.)); #253373=CARTESIAN_POINT('',(15.53607053,2.46632929,0.)); #253374=CARTESIAN_POINT('',(15.1716575412519,-0.0812946768326223,0.)); #253375=CARTESIAN_POINT('',(15.58040353,2.42190029000001,0.)); #253376=CARTESIAN_POINT('',(12.277035238882,5.73242179330644,0.)); #253377=CARTESIAN_POINT('',(15.71876753,2.40760229,0.)); #253378=CARTESIAN_POINT('Origin',(15.6571484122409,2.48793849369134,0.)); #253379=CARTESIAN_POINT('',(15.73042453,2.42088529,0.)); #253380=CARTESIAN_POINT('',(11.702505564423,-2.16887602249682,0.)); #253381=CARTESIAN_POINT('',(15.73842253,2.43684429,0.)); #253382=CARTESIAN_POINT('',(13.6666960870701,-1.69702446502745,0.)); #253383=CARTESIAN_POINT('',(15.74211253,2.45518829,0.)); #253384=CARTESIAN_POINT('',(15.1968281344672,-0.255569691477324,0.)); #253385=CARTESIAN_POINT('',(15.74085353,2.47562329,0.)); #253386=CARTESIAN_POINT('',(15.7876946873733,1.71533810261213,0.)); #253387=CARTESIAN_POINT('',(15.55940053,2.68404429,0.)); #253388=CARTESIAN_POINT('Origin',(15.2853770768253,2.26227954520988,0.)); #253389=CARTESIAN_POINT('',(15.60496853,3.01863729,0.)); #253390=CARTESIAN_POINT('',(15.2382929003085,0.326241333070953,0.)); #253391=CARTESIAN_POINT('',(15.60540253,3.01869729,0.)); #253392=CARTESIAN_POINT('',(7.74406611624924,1.93187658762555,0.)); #253393=CARTESIAN_POINT('',(15.67706353,2.94703629,0.)); #253394=CARTESIAN_POINT('',(12.45872622,6.1653736,0.)); #253395=CARTESIAN_POINT('',(15.74669153,2.91129529,0.)); #253396=CARTESIAN_POINT('Origin',(15.7583344941911,3.01966984704097,0.)); #253397=CARTESIAN_POINT('',(15.76357653,2.91211829,0.)); #253398=CARTESIAN_POINT('',(7.82122412548439,2.52499622728723,0.)); #253399=CARTESIAN_POINT('',(15.77916453,2.91651929000001,0.)); #253400=CARTESIAN_POINT('',(8.08293237549817,0.743622336446683,0.)); #253401=CARTESIAN_POINT('',(15.79306153,2.92417829,0.)); #253402=CARTESIAN_POINT('',(9.11121914782816,-0.758359725762001,0.)); #253403=CARTESIAN_POINT('',(15.80487153,2.93477229000001,0.)); #253404=CARTESIAN_POINT('',(10.6907126697996,-1.65281441321218,0.)); #253405=CARTESIAN_POINT('',(15.81420353,2.94798429000001,0.)); #253406=CARTESIAN_POINT('',(12.4831193563916,-1.76807701537266,0.)); #253407=CARTESIAN_POINT('',(15.82066153,2.96348929,0.)); #253408=CARTESIAN_POINT('',(14.1220865544103,-1.11461616006409,0.)); #253409=CARTESIAN_POINT('',(15.82385153,2.98096929,0.)); #253410=CARTESIAN_POINT('',(15.3040112760726,0.132440249671983,0.)); #253411=CARTESIAN_POINT('',(15.77261453,3.09118629,0.)); #253412=CARTESIAN_POINT('Origin',(15.6771618976113,2.97979498779892,0.)); #253413=CARTESIAN_POINT('',(15.62943153,3.23446929000001,0.)); #253414=CARTESIAN_POINT('',(12.6050102458147,6.26100285098068,0.)); #253415=CARTESIAN_POINT('',(15.63975553,3.27152729,0.)); #253416=CARTESIAN_POINT('',(14.6484982289991,-0.286590983970101,0.)); #253417=CARTESIAN_POINT('',(15.68747253,3.69395929,0.)); #253418=CARTESIAN_POINT('Origin',(14.8883063274968,3.57032036190824,0.)); #253419=CARTESIAN_POINT('',(15.50867853,3.87822329,0.)); #253420=CARTESIAN_POINT('Origin',(15.0595248218208,3.26352781955434,0.)); #253421=CARTESIAN_POINT('',(15.50834253,3.87824929,0.)); #253422=CARTESIAN_POINT('',(7.94965160141301,4.46314799274786,0.)); #253423=CARTESIAN_POINT('',(15.15658753,2.95308229,0.)); #253424=CARTESIAN_POINT('',(13.8848402133087,-0.391798805482261,0.)); #253425=CARTESIAN_POINT('',(14.87050853,2.57253429,0.)); #253426=CARTESIAN_POINT('Origin',(14.2479058140329,3.3383839322361,0.)); #253427=CARTESIAN_POINT('',(14.85646953,2.57220629,0.)); #253428=CARTESIAN_POINT('',(7.40927531186826,2.39821386151137,0.)); #253429=CARTESIAN_POINT('',(14.84049653,2.57561929,0.)); #253430=CARTESIAN_POINT('',(8.01537847656831,4.03396324018879,0.)); #253431=CARTESIAN_POINT('',(14.68695753,2.69453729,0.)); #253432=CARTESIAN_POINT('Origin',(15.0721001795556,3.0332291587467,0.)); #253433=CARTESIAN_POINT('',(14.19432853,3.13967729,0.)); #253434=CARTESIAN_POINT('',(11.314480800507,5.74191024483322,0.)); #253435=CARTESIAN_POINT('',(14.26690353,3.68654529,0.)); #253436=CARTESIAN_POINT('',(13.8667684436546,0.67144264584511,0.)); #253437=CARTESIAN_POINT('',(14.26638553,3.68811629,0.)); #253438=CARTESIAN_POINT('',(14.1155854182465,4.1454656636813,0.)); #253439=CARTESIAN_POINT('',(14.12186753,3.83253429000001,0.)); #253440=CARTESIAN_POINT('',(11.619349219898,6.33332096922538,0.)); #253441=CARTESIAN_POINT('',(13.8599395300002,3.37636729000032,0.)); #253442=CARTESIAN_POINT('Origin',(100.053508895207,-45.8121139726803,0.)); #253443=CARTESIAN_POINT('',(13.76570853,3.42352929,0.)); #253444=CARTESIAN_POINT('Origin',(13.8997654328854,3.57365965371018,0.)); #253445=CARTESIAN_POINT('',(13.76462753,3.42407329,0.)); #253446=CARTESIAN_POINT('',(8.96105007146923,5.84141476775761,0.)); #253447=CARTESIAN_POINT('',(13.74073253,3.40006229,0.)); #253448=CARTESIAN_POINT('',(9.48412715895963,-0.877207078655229,0.)); #253449=CARTESIAN_POINT('',(13.0726956473974,4.75592777933982,0.)); #253450=CARTESIAN_POINT('',(5.66420462999999,3.00798729000001,0.)); #253451=CARTESIAN_POINT('',(5.61412092999999,3.05807029,0.)); #253452=CARTESIAN_POINT('Origin',(5.6141212797971,3.00798693979711,0.)); #253453=CARTESIAN_POINT('',(5.46887872999998,3.05807029000001,0.)); #253454=CARTESIAN_POINT('',(2.80706046499999,3.05807029000001,0.)); #253455=CARTESIAN_POINT('',(5.41879462999998,3.00798729000001,0.)); #253456=CARTESIAN_POINT('Origin',(5.46887818020287,3.00798673979711,0.)); #253457=CARTESIAN_POINT('',(5.41879463000001,1.34020229000001,0.)); #253458=CARTESIAN_POINT('',(5.41879463,1.503993645,0.)); #253459=CARTESIAN_POINT('',(5.46887872999999,1.29011929000001,0.)); #253460=CARTESIAN_POINT('Origin',(5.46887818020288,1.3402028402029,0.)); #253461=CARTESIAN_POINT('',(5.64917942999999,1.29011929000001,0.)); #253462=CARTESIAN_POINT('',(2.734439365,1.29011929000001,0.)); #253463=CARTESIAN_POINT('',(5.70928002999999,1.32267329,0.)); #253464=CARTESIAN_POINT('Origin',(5.65010105214416,1.36017312284214,0.)); #253465=CARTESIAN_POINT('',(6.42547513,2.46958829,0.)); #253466=CARTESIAN_POINT('',(4.61130733803457,-0.435620434720384,0.)); #253467=CARTESIAN_POINT('',(7.14167122999999,1.32267329,0.)); #253468=CARTESIAN_POINT('',(6.07890501639922,3.02458497574076,0.)); #253469=CARTESIAN_POINT('',(7.20177122999999,1.29011929,0.)); #253470=CARTESIAN_POINT('Origin',(7.20084963496548,1.36017208217381,0.)); #253471=CARTESIAN_POINT('',(7.37956812999998,1.29011929,0.)); #253472=CARTESIAN_POINT('',(3.600885615,1.29011929000001,0.)); #253473=CARTESIAN_POINT('',(7.42965173,1.34020229,0.)); #253474=CARTESIAN_POINT('Origin',(7.3795684297971,1.3402025902029,0.)); #253475=CARTESIAN_POINT('',(7.42965172999999,3.00798729,0.)); #253476=CARTESIAN_POINT('',(7.42965173,0.670101145000002,0.)); #253477=CARTESIAN_POINT('',(7.37956812999998,3.05807029,0.)); #253478=CARTESIAN_POINT('Origin',(7.3795684297971,3.0079869897971,0.)); #253479=CARTESIAN_POINT('',(7.23432592999999,3.05807029,0.)); #253480=CARTESIAN_POINT('',(3.68978406499999,3.05807029,0.)); #253481=CARTESIAN_POINT('',(7.18424223,3.00798729,0.)); #253482=CARTESIAN_POINT('Origin',(7.23432558020288,3.00798693979711,0.)); #253483=CARTESIAN_POINT('',(7.18424223,1.69579629000001,0.)); #253484=CARTESIAN_POINT('',(7.18424222999999,1.503993645,0.)); #253485=CARTESIAN_POINT('',(6.53565882999998,2.71499729000001,0.)); #253486=CARTESIAN_POINT('',(6.53291221581904,2.71931339170696,0.)); #253487=CARTESIAN_POINT('',(6.47555922999998,2.74755228999999,0.)); #253488=CARTESIAN_POINT('Origin',(6.47647956242396,2.67749904588903,0.)); #253489=CARTESIAN_POINT('',(6.37288763,2.74755229000001,0.)); #253490=CARTESIAN_POINT('',(3.23777961499999,2.74755229000001,0.)); #253491=CARTESIAN_POINT('',(6.31278702999999,2.71499729000001,0.)); #253492=CARTESIAN_POINT('Origin',(6.37196706919609,2.67749764966735,0.)); #253493=CARTESIAN_POINT('',(5.66420463,1.69329229,0.)); #253494=CARTESIAN_POINT('',(4.79195094539125,0.319240279286823,0.)); #253495=CARTESIAN_POINT('',(5.66420463,0.846646145000002,0.)); #253496=CARTESIAN_POINT('',(4.52981093,1.29011929,0.)); #253497=CARTESIAN_POINT('',(4.57989453,1.34020229,0.)); #253498=CARTESIAN_POINT('Origin',(4.52981122979711,1.3402025902029,0.)); #253499=CARTESIAN_POINT('',(4.57989453,1.47793329,0.)); #253500=CARTESIAN_POINT('',(4.57989453,0.670101145000002,0.)); #253501=CARTESIAN_POINT('',(4.52981093,1.52801629,0.)); #253502=CARTESIAN_POINT('Origin',(4.52981122979711,1.47793298979711,0.)); #253503=CARTESIAN_POINT('',(3.92630343,1.52801629,0.)); #253504=CARTESIAN_POINT('',(2.264905465,1.52801629,0.)); #253505=CARTESIAN_POINT('',(3.92630343,3.00798729,0.)); #253506=CARTESIAN_POINT('',(3.92630343,0.764008145000001,0.)); #253507=CARTESIAN_POINT('',(3.87621972999999,3.05807029,0.)); #253508=CARTESIAN_POINT('Origin',(3.87622007979711,3.00798693979711,0.)); #253509=CARTESIAN_POINT('',(3.72847322999998,3.05807029,0.)); #253510=CARTESIAN_POINT('',(1.938109865,3.05807029,0.)); #253511=CARTESIAN_POINT('',(3.67838952999999,3.00798729,0.)); #253512=CARTESIAN_POINT('Origin',(3.72847288020288,3.00798693979711,0.)); #253513=CARTESIAN_POINT('',(3.67838952999999,1.52801629,0.)); #253514=CARTESIAN_POINT('',(3.67838952999999,1.503993645,0.)); #253515=CARTESIAN_POINT('',(3.07488202999999,1.52801629,0.)); #253516=CARTESIAN_POINT('',(1.83919476499999,1.52801629,0.)); #253517=CARTESIAN_POINT('',(3.02479843,1.47793329,0.)); #253518=CARTESIAN_POINT('Origin',(3.07488173020288,1.47793298979711,0.)); #253519=CARTESIAN_POINT('',(3.02479843,1.34020229,0.)); #253520=CARTESIAN_POINT('',(3.02479843,0.738966645,0.)); #253521=CARTESIAN_POINT('',(3.07488202999999,1.29011929,0.)); #253522=CARTESIAN_POINT('Origin',(3.07488173020288,1.3402025902029,0.)); #253523=CARTESIAN_POINT('',(1.537441015,1.29011929000001,0.)); #253524=CARTESIAN_POINT('',(10.14338523,1.40030329,0.)); #253525=CARTESIAN_POINT('',(10.14338493,1.34020229,0.)); #253526=CARTESIAN_POINT('',(10.1433817349984,0.700126329168787,0.)); #253527=CARTESIAN_POINT('',(10.19346903,1.29011929,0.)); #253528=CARTESIAN_POINT('Origin',(10.1934684802029,1.3402028402029,0.)); #253529=CARTESIAN_POINT('',(10.33119873,1.29011929,0.)); #253530=CARTESIAN_POINT('',(5.096734515,1.29011929000009,0.)); #253531=CARTESIAN_POINT('',(10.38128283,1.34020229,0.)); #253532=CARTESIAN_POINT('Origin',(10.3311992797971,1.3402028402029,0.)); #253533=CARTESIAN_POINT('',(10.38128283,3.00798729,0.)); #253534=CARTESIAN_POINT('',(10.38128283,0.670101145000001,0.)); #253535=CARTESIAN_POINT('',(10.33119873,3.05807029000001,0.)); #253536=CARTESIAN_POINT('Origin',(10.3311992797971,3.0079867397971,0.)); #253537=CARTESIAN_POINT('',(10.18345163,3.05807029,0.)); #253538=CARTESIAN_POINT('',(5.16559936499999,3.05807029,0.)); #253539=CARTESIAN_POINT('',(10.13336853,3.00798729,0.)); #253540=CARTESIAN_POINT('Origin',(10.1834515802029,3.00798723979711,0.)); #253541=CARTESIAN_POINT('',(10.13336853,1.77342629,0.)); #253542=CARTESIAN_POINT('',(10.13336853,1.503993645,0.)); #253543=CARTESIAN_POINT('',(9.82535463,1.77342629,0.)); #253544=CARTESIAN_POINT('',(5.06668426499999,1.77342629,0.)); #253545=CARTESIAN_POINT('',(9.77527042999998,1.72334229,0.)); #253546=CARTESIAN_POINT('Origin',(9.82535453020288,1.7233421897971,0.)); #253547=CARTESIAN_POINT('',(9.77527042999998,1.60814929,0.)); #253548=CARTESIAN_POINT('',(9.77527042999998,0.861671145,0.)); #253549=CARTESIAN_POINT('',(9.82535463,1.55806629,0.)); #253550=CARTESIAN_POINT('Origin',(9.8253540302029,1.6081498902029,0.)); #253551=CARTESIAN_POINT('',(9.99063012999999,1.55806629,0.)); #253552=CARTESIAN_POINT('',(4.912677315,1.55806629,0.)); #253553=CARTESIAN_POINT('',(10.07702443,1.55180629,0.)); #253554=CARTESIAN_POINT('',(5.07755739680763,1.91406009178756,0.)); #253555=CARTESIAN_POINT('',(10.12084763,1.53302429,0.)); #253556=CARTESIAN_POINT('',(6.10133146298229,3.25573172918579,0.)); #253557=CARTESIAN_POINT('',(10.13775083,1.48732329,0.)); #253558=CARTESIAN_POINT('',(9.76127646753608,2.50519297378568,0.)); #253559=CARTESIAN_POINT('',(10.1645388942937,1.07359736446403,0.)); #253560=CARTESIAN_POINT('',(14.30257453,8.63961339,0.)); #253561=CARTESIAN_POINT('',(14.31439153,8.64309239,0.)); #253562=CARTESIAN_POINT('',(6.5513471467644,6.35760272178419,0.)); #253563=CARTESIAN_POINT('',(14.33988553,8.85563779000001,0.)); #253564=CARTESIAN_POINT('Origin',(14.1116911849241,8.77520716710618,0.)); #253565=CARTESIAN_POINT('',(14.33544253,8.86549349000001,0.)); #253566=CARTESIAN_POINT('',(14.7878232501173,7.86199840486339,0.)); #253567=CARTESIAN_POINT('',(14.32942453,8.87371269000001,0.)); #253568=CARTESIAN_POINT('',(13.9467916018787,9.39630101881579,0.)); #253569=CARTESIAN_POINT('',(14.32161953,8.88032979,0.)); #253570=CARTESIAN_POINT('',(12.3494419251708,10.5523472696791,0.)); #253571=CARTESIAN_POINT('',(14.31181553,8.88537859,0.)); #253572=CARTESIAN_POINT('',(10.4690653775144,10.8642930096133,0.)); #253573=CARTESIAN_POINT('',(14.27032253,8.89147349000001,0.)); #253574=CARTESIAN_POINT('Origin',(14.2759522073765,8.78551338825572,0.)); #253575=CARTESIAN_POINT('',(13.64159153,8.89147299,0.)); #253576=CARTESIAN_POINT('',(7.13515772952049,8.89146781574116,0.)); #253577=CARTESIAN_POINT('',(13.64142753,8.89249389,0.)); #253578=CARTESIAN_POINT('',(14.1662100464679,5.6257227249362,0.)); #253579=CARTESIAN_POINT('',(13.64149853,9.60415339000001,0.)); #253580=CARTESIAN_POINT('',(13.6409838742291,4.44556650838982,0.)); #253581=CARTESIAN_POINT('',(13.80121953,9.94836829,0.)); #253582=CARTESIAN_POINT('Origin',(13.9264179321474,9.68111036014023,0.)); #253583=CARTESIAN_POINT('',(13.83334853,9.95697999,0.)); #253584=CARTESIAN_POINT('',(6.11924825302271,7.88933028551868,0.)); #253585=CARTESIAN_POINT('',(14.31467453,9.98011229,0.)); #253586=CARTESIAN_POINT('Origin',(13.8805788663859,13.9934018718855,0.)); #253587=CARTESIAN_POINT('',(14.34022553,10.18847249,0.)); #253588=CARTESIAN_POINT('Origin',(14.1144473753422,10.1104126895062,0.)); #253589=CARTESIAN_POINT('',(14.33597653,10.19818039,0.)); #253590=CARTESIAN_POINT('',(15.0586954664748,8.54694876763913,0.)); #253591=CARTESIAN_POINT('',(13.60738653,10.15231719,0.)); #253592=CARTESIAN_POINT('Origin',(14.05215073508,8.89690235692897,0.)); #253593=CARTESIAN_POINT('',(13.37372753,9.62117929,0.)); #253594=CARTESIAN_POINT('Origin',(13.8746633389323,9.71777160316272,0.)); #253595=CARTESIAN_POINT('',(13.37372753,8.89196889,0.)); #253596=CARTESIAN_POINT('',(13.37372753,4.810589645,0.)); #253597=CARTESIAN_POINT('',(13.17500553,8.88400429000001,0.)); #253598=CARTESIAN_POINT('Origin',(13.2948206488956,8.37764289224128,0.)); #253599=CARTESIAN_POINT('',(13.16366653,8.87731619000001,0.)); #253600=CARTESIAN_POINT('',(6.34398900893717,4.85485519444575,0.)); #253601=CARTESIAN_POINT('',(13.15552253,8.86793539,0.)); #253602=CARTESIAN_POINT('',(8.13762499928755,3.0879878020595,0.)); #253603=CARTESIAN_POINT('',(13.15007553,8.85543129,0.)); #253604=CARTESIAN_POINT('',(10.4829523633828,2.73279960509982,0.)); #253605=CARTESIAN_POINT('',(13.14528853,8.81933659,0.)); #253606=CARTESIAN_POINT('Origin',(13.2955735608624,8.81777006986843,0.)); #253607=CARTESIAN_POINT('',(13.14622753,8.69077319,0.)); #253608=CARTESIAN_POINT('',(13.1771434993583,4.45790616659558,0.)); #253609=CARTESIAN_POINT('',(13.14722953,8.67791099,0.)); #253610=CARTESIAN_POINT('',(13.4430523568006,4.88057330247977,0.)); #253611=CARTESIAN_POINT('',(13.15012853,8.66727179,0.)); #253612=CARTESIAN_POINT('',(13.7934687075932,6.30624218757072,0.)); #253613=CARTESIAN_POINT('',(13.15476653,8.65864679,0.)); #253614=CARTESIAN_POINT('',(13.4829783846948,8.04829162683762,0.)); #253615=CARTESIAN_POINT('',(13.16097953,8.65182419000001,0.)); #253616=CARTESIAN_POINT('',(12.3282046558737,9.56630831300102,0.)); #253617=CARTESIAN_POINT('',(13.16861153,8.64659519000001,0.)); #253618=CARTESIAN_POINT('',(10.6997155213426,10.3381383280076,0.)); #253619=CARTESIAN_POINT('',(13.17750153,8.64274899,0.)); #253620=CARTESIAN_POINT('',(9.19797674393861,10.3644642017162,0.)); #253621=CARTESIAN_POINT('',(13.19841953,8.63836499,0.)); #253622=CARTESIAN_POINT('Origin',(13.2087711473914,8.73985363566472,0.)); #253623=CARTESIAN_POINT('',(13.21012553,8.63740659,0.)); #253624=CARTESIAN_POINT('',(6.99441834767505,9.14630234974298,0.)); #253625=CARTESIAN_POINT('',(13.28778553,8.63569949,0.)); #253626=CARTESIAN_POINT('Origin',(13.2232834012725,7.4686674346016,0.)); #253627=CARTESIAN_POINT('',(13.39902653,8.59783289,0.)); #253628=CARTESIAN_POINT('Origin',(13.2995635599281,8.48796983085331,0.)); #253629=CARTESIAN_POINT('',(13.44589153,8.49009059,0.)); #253630=CARTESIAN_POINT('Origin',(13.2978760953806,8.48977151730254,0.)); #253631=CARTESIAN_POINT('',(13.44913253,8.46230429,0.)); #253632=CARTESIAN_POINT('',(13.8441509576994,5.07566419818462,0.)); #253633=CARTESIAN_POINT('',(13.45537553,8.28755689,0.)); #253634=CARTESIAN_POINT('Origin',(17.3246849002056,8.51327648769404,0.)); #253635=CARTESIAN_POINT('',(13.52129853,8.22959059,0.)); #253636=CARTESIAN_POINT('Origin',(13.5539009176509,8.33313720473059,0.)); #253637=CARTESIAN_POINT('',(13.60850653,8.23921889,0.)); #253638=CARTESIAN_POINT('Origin',(13.5540670568523,8.3325466697554,0.)); #253639=CARTESIAN_POINT('',(13.61783053,8.24254719000001,0.)); #253640=CARTESIAN_POINT('',(6.26893013842191,5.61927979689319,0.)); #253641=CARTESIAN_POINT('',(13.62596453,8.24693799,0.)); #253642=CARTESIAN_POINT('',(6.62258334086364,4.46645530924954,0.)); #253643=CARTESIAN_POINT('',(13.63264853,8.25252459,0.)); #253644=CARTESIAN_POINT('',(7.58598540454986,3.19862150551719,0.)); #253645=CARTESIAN_POINT('',(13.63762053,8.25943489,0.)); #253646=CARTESIAN_POINT('',(9.3514000815765,2.30226088261235,0.)); #253647=CARTESIAN_POINT('',(13.64062253,8.26779959,0.)); #253648=CARTESIAN_POINT('',(11.5465679052137,2.43297656536177,0.)); #253649=CARTESIAN_POINT('',(13.64142753,8.63723969,0.)); #253650=CARTESIAN_POINT('Origin',(11.7516430368169,8.45663655233442,0.)); #253651=CARTESIAN_POINT('Origin',(13.9409479534203,17.2876443945915,0.)); #253652=CARTESIAN_POINT('',(8.85695842999999,9.69373869,0.)); #253653=CARTESIAN_POINT('',(8.85692782999999,9.16587329000001,0.)); #253654=CARTESIAN_POINT('',(8.85667744538351,4.84661264534541,0.)); #253655=CARTESIAN_POINT('',(8.85729602999999,9.14390969000001,0.)); #253656=CARTESIAN_POINT('',(8.93249085145223,4.65844260568058,0.)); #253657=CARTESIAN_POINT('',(8.96515752999998,8.80944839,0.)); #253658=CARTESIAN_POINT('Origin',(9.32028103679746,9.10859626246956,0.)); #253659=CARTESIAN_POINT('',(9.27728553,8.64796849,0.)); #253660=CARTESIAN_POINT('Origin',(9.31872857683607,9.11047409327854,0.)); #253661=CARTESIAN_POINT('',(9.95113372999999,8.63810559000001,0.)); #253662=CARTESIAN_POINT('Origin',(9.67084569657264,12.512498508236,0.)); #253663=CARTESIAN_POINT('',(10.43219253,8.89091889,0.)); #253664=CARTESIAN_POINT('Origin',(10.0179388662016,9.09507865353028,0.)); #253665=CARTESIAN_POINT('',(10.48455653,9.71093719,0.)); #253666=CARTESIAN_POINT('Origin',(8.39331070736954,9.4327970507382,0.)); #253667=CARTESIAN_POINT('',(10.12660503,10.20135659,0.)); #253668=CARTESIAN_POINT('Origin',(10.0328003080349,9.75704754949551,0.)); #253669=CARTESIAN_POINT('',(9.30516812999999,10.21607659,0.)); #253670=CARTESIAN_POINT('Origin',(9.65516745483764,6.82033819706027,0.)); #253671=CARTESIAN_POINT('',(8.96799282999999,10.05193209,0.)); #253672=CARTESIAN_POINT('Origin',(9.32817693127276,9.7404389696292,0.)); #253673=CARTESIAN_POINT('Origin',(9.33059186955012,9.74322583887844,0.)); #253674=CARTESIAN_POINT('',(1.73313482999998,10.24677009,0.)); #253675=CARTESIAN_POINT('',(1.89758802999989,9.99511739000009,0.)); #253676=CARTESIAN_POINT('',(3.8199706794902,7.05341249050681,0.)); #253677=CARTESIAN_POINT('',(2.34030042999996,9.31759848999999,0.)); #253678=CARTESIAN_POINT('Origin',(35.3799291712299,31.3901434767514,0.)); #253679=CARTESIAN_POINT('',(2.70195602999999,8.76431199,0.)); #253680=CARTESIAN_POINT('Origin',(140.826460818128,99.4442057085349,0.)); #253681=CARTESIAN_POINT('',(2.74270463,8.70453379,0.)); #253682=CARTESIAN_POINT('',(4.31283846306877,6.40114731046631,0.)); #253683=CARTESIAN_POINT('',(2.76356363000043,8.67301958999935,0.)); #253684=CARTESIAN_POINT('',(4.3280868837786,6.30930614619868,0.)); #253685=CARTESIAN_POINT('',(3.70596933000001,7.23276439000001,0.)); #253686=CARTESIAN_POINT('Origin',(82.1036135095093,59.5593371484953,0.)); #253687=CARTESIAN_POINT('',(3.78000022999998,7.12852499000001,0.)); #253688=CARTESIAN_POINT('Origin',(4.24226945232216,7.53523703846148,0.)); #253689=CARTESIAN_POINT('',(3.78790852999999,7.13199249,0.)); #253690=CARTESIAN_POINT('',(0.883964742653869,5.85871946260012,0.)); #253691=CARTESIAN_POINT('',(3.80795522999732,7.16591758999588,0.)); #253692=CARTESIAN_POINT('',(1.73590913126904,3.659386784228,0.)); #253693=CARTESIAN_POINT('',(4.51913352999999,8.25152698999998,0.)); #253694=CARTESIAN_POINT('Origin',(-152.634095643415,110.426218173754,0.)); #253695=CARTESIAN_POINT('',(4.57567262999999,8.34126589000001,0.)); #253696=CARTESIAN_POINT('',(2.01630156848761,4.27903030874663,0.)); #253697=CARTESIAN_POINT('',(4.63435843000065,8.432398990001,0.)); #253698=CARTESIAN_POINT('',(2.00659116578896,4.3517427347204,0.)); #253699=CARTESIAN_POINT('',(4.93648962999998,8.89080778999999,0.)); #253700=CARTESIAN_POINT('Origin',(-99.0746487567744,77.1144088840383,0.)); #253701=CARTESIAN_POINT('',(5.02821922999998,9.03424469,0.)); #253702=CARTESIAN_POINT('',(2.20234682264063,4.61544881705233,0.)); #253703=CARTESIAN_POINT('',(5.20298292999999,9.30193249000001,0.)); #253704=CARTESIAN_POINT('',(2.20914050675073,4.71622566514886,0.)); #253705=CARTESIAN_POINT('',(5.74634412999997,10.13440579,0.)); #253706=CARTESIAN_POINT('',(2.29699008569398,4.84971644499004,0.)); #253707=CARTESIAN_POINT('',(5.79626322999999,10.21472999,0.)); #253708=CARTESIAN_POINT('Origin',(5.42658792010749,10.3887984766681,0.)); #253709=CARTESIAN_POINT('',(5.79814572999999,10.22051829,0.)); #253710=CARTESIAN_POINT('',(4.01688799818843,4.74351742466618,0.)); #253711=CARTESIAN_POINT('',(5.79551222999998,10.22317289,0.)); #253712=CARTESIAN_POINT('',(6.91522489201292,9.0944889209189,0.)); #253713=CARTESIAN_POINT('',(4.78311203000001,10.22317329,0.)); #253714=CARTESIAN_POINT('',(2.89775813459167,10.2231740349046,0.)); #253715=CARTESIAN_POINT('',(3.77170852999998,8.67799679,0.)); #253716=CARTESIAN_POINT('Origin',(-70.3303114434661,58.2854662837542,0.)); #253717=CARTESIAN_POINT('',(3.76438192999998,8.67128009,0.)); #253718=CARTESIAN_POINT('',(0.585702454807921,5.75720856555412,0.)); #253719=CARTESIAN_POINT('',(3.76268412999999,8.67680279,0.)); #253720=CARTESIAN_POINT('',(4.81964002613114,5.23867678716287,0.)); #253721=CARTESIAN_POINT('',(3.76023293000006,8.68291299000001,0.)); #253722=CARTESIAN_POINT('',(5.00103651066119,5.58991444285312,0.)); #253723=CARTESIAN_POINT('',(2.70402483000006,10.31506939,0.)); #253724=CARTESIAN_POINT('Origin',(322.579570857539,216.156487328824,0.)); #253725=CARTESIAN_POINT('',(2.70474622999989,10.32140129,0.)); #253726=CARTESIAN_POINT('',(2.10662639600226,5.07156073365672,0.)); #253727=CARTESIAN_POINT('',(3.48743942999998,10.04370279,0.)); #253728=CARTESIAN_POINT('Origin',(11.3392598105094,33.4159175140995,0.)); #253729=CARTESIAN_POINT('',(4.06119822999998,10.04247349,0.)); #253730=CARTESIAN_POINT('Origin',(3.80657078249903,25.0962416122943,0.)); #253731=CARTESIAN_POINT('',(4.06509783,10.20815199,0.)); #253732=CARTESIAN_POINT('Origin',(3.34437930990247,10.1422305084545,0.)); #253733=CARTESIAN_POINT('',(4.06020262999998,10.21682999,0.)); #253734=CARTESIAN_POINT('',(5.75862929187457,7.20593225922636,0.)); #253735=CARTESIAN_POINT('',(4.05163862999998,10.22236839,0.)); #253736=CARTESIAN_POINT('',(4.95818606612053,9.63609768469823,0.)); #253737=CARTESIAN_POINT('',(3.28484103000009,10.55546259,0.)); #253738=CARTESIAN_POINT('Origin',(13.3446383944322,32.6644135198369,0.)); #253739=CARTESIAN_POINT('',(3.18665692999999,10.59654449,0.)); #253740=CARTESIAN_POINT('Origin',(6.73111936411416,18.9297991368663,0.)); #253741=CARTESIAN_POINT('',(3.14671182999989,10.6138831900001,0.)); #253742=CARTESIAN_POINT('',(3.78111193773117,10.3385134162877,0.)); #253743=CARTESIAN_POINT('',(3.05335192999999,10.65523929,0.)); #253744=CARTESIAN_POINT('Origin',(-0.880407498574991,1.64886330572153,0.)); #253745=CARTESIAN_POINT('',(2.93595653,10.70585939,0.)); #253746=CARTESIAN_POINT('',(3.70310279787106,10.3750711240691,0.)); #253747=CARTESIAN_POINT('',(2.85691073,10.74005619,0.)); #253748=CARTESIAN_POINT('',(3.65010331165807,10.3969051550753,0.)); #253749=CARTESIAN_POINT('',(2.33463762999999,10.96763819,0.)); #253750=CARTESIAN_POINT('Origin',(-25.3331656814302,-53.2396839378691,0.)); #253751=CARTESIAN_POINT('',(2.25217352999999,10.99870609,0.)); #253752=CARTESIAN_POINT('Origin',(2.18055649448099,10.6836347308688,0.)); #253753=CARTESIAN_POINT('',(1.24172758999999,10.99937319,0.)); #253754=CARTESIAN_POINT('',(1.12971794666439,10.9994471391645,0.)); #253755=CARTESIAN_POINT('',(1.24895584999998,10.98830289,0.)); #253756=CARTESIAN_POINT('',(3.57377790280646,7.42776721872795,0.)); #253757=CARTESIAN_POINT('',(3.57739408719987,7.42223869271104,0.)); #253758=CARTESIAN_POINT('',(7.73965172999999,8.68348809,0.)); #253759=CARTESIAN_POINT('',(7.74060912999999,8.67681999000001,0.)); #253760=CARTESIAN_POINT('',(8.27227913712464,4.97384445782102,0.)); #253761=CARTESIAN_POINT('',(7.74217842999999,8.67074799000001,0.)); #253762=CARTESIAN_POINT('',(8.54932669201447,5.54769679077238,0.)); #253763=CARTESIAN_POINT('',(7.74433712999999,8.66525379,0.)); #253764=CARTESIAN_POINT('',(8.70009422560215,6.23271539436169,0.)); #253765=CARTESIAN_POINT('',(7.74706362999999,8.66031859,0.)); #253766=CARTESIAN_POINT('',(8.67274616331536,6.98475341911685,0.)); #253767=CARTESIAN_POINT('',(7.75033573,8.65592119,0.)); #253768=CARTESIAN_POINT('',(8.44048535396274,7.72842387744423,0.)); #253769=CARTESIAN_POINT('',(7.97201542999999,8.64199469,0.)); #253770=CARTESIAN_POINT('Origin',(7.87606652853134,8.8859895705708,0.)); #253771=CARTESIAN_POINT('',(7.98148302999999,8.64639969,0.)); #253772=CARTESIAN_POINT('',(3.04266456440096,6.34851053742041,0.)); #253773=CARTESIAN_POINT('',(7.98946572999998,8.65224759000001,0.)); #253774=CARTESIAN_POINT('',(3.32346539817998,5.23406785877136,0.)); #253775=CARTESIAN_POINT('',(8.00821922999999,8.73455639,0.)); #253776=CARTESIAN_POINT('Origin',(7.90388237318902,8.71503800416956,0.)); #253777=CARTESIAN_POINT('',(8.00816153,8.75113979,0.)); #253778=CARTESIAN_POINT('',(8.02336600595395,4.38126272735021,0.)); #253779=CARTESIAN_POINT('',(8.00723032999999,8.82430999000001,0.)); #253780=CARTESIAN_POINT('Origin',(10.2363860543484,8.81608829810599,0.)); #253781=CARTESIAN_POINT('',(8.11634062999999,8.71047519000001,0.)); #253782=CARTESIAN_POINT('Origin',(8.36991091425733,9.06272985566437,0.)); #253783=CARTESIAN_POINT('',(8.72252082999998,8.63842129,0.)); #253784=CARTESIAN_POINT('Origin',(8.55166293281295,9.78690076717207,0.)); #253785=CARTESIAN_POINT('',(8.73529622999999,8.64238659,0.)); #253786=CARTESIAN_POINT('',(3.52168419257986,7.02415656886846,0.)); #253787=CARTESIAN_POINT('',(8.76713942999999,8.85234039000001,0.)); #253788=CARTESIAN_POINT('Origin',(8.56996485983347,8.77485370251155,0.)); #253789=CARTESIAN_POINT('',(8.76376532999998,8.86229109,0.)); #253790=CARTESIAN_POINT('',(9.66117290899218,6.21570871358402,0.)); #253791=CARTESIAN_POINT('',(8.75906942999999,8.87070249,0.)); #253792=CARTESIAN_POINT('',(9.60855633961272,7.34908273840337,0.)); #253793=CARTESIAN_POINT('',(8.75285622999999,8.87762709,0.)); #253794=CARTESIAN_POINT('',(9.01046524682419,8.59052231341121,0.)); #253795=CARTESIAN_POINT('',(8.74493022999999,8.88311979000001,0.)); #253796=CARTESIAN_POINT('',(7.8743937727059,9.48639957791076,0.)); #253797=CARTESIAN_POINT('',(8.73509692999998,8.88723349000001,0.)); #253798=CARTESIAN_POINT('',(6.60506517181361,9.7783190506621,0.)); #253799=CARTESIAN_POINT('',(8.26180842999999,8.89827029000001,0.)); #253800=CARTESIAN_POINT('Origin',(8.6745949759601,16.4462205622174,0.)); #253801=CARTESIAN_POINT('',(8.06892012999999,8.97508399,0.)); #253802=CARTESIAN_POINT('Origin',(8.2472601261327,9.14232731096689,0.)); #253803=CARTESIAN_POINT('',(8.00802752999998,9.17357319,0.)); #253804=CARTESIAN_POINT('Origin',(8.25008463297333,9.13924664086189,0.)); #253805=CARTESIAN_POINT('',(8.00703432999999,10.00347059,0.)); #253806=CARTESIAN_POINT('',(8.01351113630083,4.59158505898983,0.)); #253807=CARTESIAN_POINT('',(8.00024032999999,10.18760079,0.)); #253808=CARTESIAN_POINT('Origin',(7.5626505625237,10.0792642467591,0.)); #253809=CARTESIAN_POINT('',(7.77019072999999,10.21609179,0.)); #253810=CARTESIAN_POINT('Origin',(7.85911080674424,9.99106459953304,0.)); #253811=CARTESIAN_POINT('',(7.76004032999999,10.21085379,0.)); #253812=CARTESIAN_POINT('',(2.62049032676085,7.55864669304342,0.)); #253813=CARTESIAN_POINT('',(7.75172472999999,10.20409889,0.)); #253814=CARTESIAN_POINT('',(2.92393874523018,6.28240814627518,0.)); #253815=CARTESIAN_POINT('',(7.74540612999998,10.19565359,0.)); #253816=CARTESIAN_POINT('',(3.91344076969308,5.0739340544403,0.)); #253817=CARTESIAN_POINT('',(7.74124572999999,10.18534439,0.)); #253818=CARTESIAN_POINT('',(5.43386361865195,4.46780192717286,0.)); #253819=CARTESIAN_POINT('',(7.73940612999999,10.17299859,0.)); #253820=CARTESIAN_POINT('',(6.91481659046196,4.63906863934827,0.)); #253821=CARTESIAN_POINT('',(7.73932742999999,8.69077319,0.)); #253822=CARTESIAN_POINT('',(7.73913604714788,5.08629384421249,0.)); #253823=CARTESIAN_POINT('',(7.92472892077877,4.52589992305827,0.)); #253824=CARTESIAN_POINT('',(12.91076053,8.08937569,0.)); #253825=CARTESIAN_POINT('',(12.91580153,8.09041519000001,0.)); #253826=CARTESIAN_POINT('',(5.91864876686621,6.64753871761089,0.)); #253827=CARTESIAN_POINT('',(12.92044753,8.09184949,0.)); #253828=CARTESIAN_POINT('',(5.87966390627742,5.91823865240217,0.)); #253829=CARTESIAN_POINT('',(12.92469753,8.09367679,0.)); #253830=CARTESIAN_POINT('',(5.99998076577699,5.1163744504127,0.)); #253831=CARTESIAN_POINT('',(12.92855353,8.09589879000001,0.)); #253832=CARTESIAN_POINT('',(6.32264428589217,4.28927785109322,0.)); #253833=CARTESIAN_POINT('',(12.93201453,8.09851379,0.)); #253834=CARTESIAN_POINT('',(6.86647979535534,3.51562637853321,0.)); #253835=CARTESIAN_POINT('',(12.93508053,8.10152359000001,0.)); #253836=CARTESIAN_POINT('',(7.61492464417439,2.8788865433009,0.)); #253837=CARTESIAN_POINT('',(12.93775253,8.10492819,0.)); #253838=CARTESIAN_POINT('',(8.50252457950619,2.45366431266336,0.)); #253839=CARTESIAN_POINT('',(12.94003153,8.10872769,0.)); #253840=CARTESIAN_POINT('',(9.43858277957306,2.27118730944972,0.)); #253841=CARTESIAN_POINT('',(12.94549853,8.43097519,0.)); #253842=CARTESIAN_POINT('Origin',(11.7297109478228,8.29043117038508,0.)); #253843=CARTESIAN_POINT('',(12.94494053,8.43512269,0.)); #253844=CARTESIAN_POINT('',(13.3874838990215,5.14578824015853,0.)); #253845=CARTESIAN_POINT('',(12.94372053,8.43915669,0.)); #253846=CARTESIAN_POINT('',(13.5711817819357,6.36442005858878,0.)); #253847=CARTESIAN_POINT('',(12.94189353,8.44305339000001,0.)); #253848=CARTESIAN_POINT('',(13.3992663781974,7.46754995399847,0.)); #253849=CARTESIAN_POINT('',(12.93951453,8.44678799,0.)); #253850=CARTESIAN_POINT('',(12.9869489955145,8.37232445283716,0.)); #253851=CARTESIAN_POINT('',(12.93663553,8.45033379,0.)); #253852=CARTESIAN_POINT('',(12.4356361937402,9.06736867937435,0.)); #253853=CARTESIAN_POINT('',(12.72045853,8.46829719,0.)); #253854=CARTESIAN_POINT('Origin',(12.8087123162858,8.22061853360008,0.)); #253855=CARTESIAN_POINT('',(12.71452253,8.46666449,0.)); #253856=CARTESIAN_POINT('',(5.72486094938735,6.54415430424353,0.)); #253857=CARTESIAN_POINT('',(12.70904753,8.46468949,0.)); #253858=CARTESIAN_POINT('',(5.73799973192305,5.95001927972685,0.)); #253859=CARTESIAN_POINT('',(12.70403053,8.46234339,0.)); #253860=CARTESIAN_POINT('',(5.87073891594721,5.26689083753707,0.)); #253861=CARTESIAN_POINT('',(12.69946553,8.45960069,0.)); #253862=CARTESIAN_POINT('',(6.16889286440033,4.5359639649301,0.)); #253863=CARTESIAN_POINT('',(12.69535153,8.45643159,0.)); #253864=CARTESIAN_POINT('',(6.66954772205429,3.81462924490121,0.)); #253865=CARTESIAN_POINT('',(12.69168053,8.45281139000001,0.)); #253866=CARTESIAN_POINT('',(7.36338695769428,3.19825176307279,0.)); #253867=CARTESIAN_POINT('',(12.68845153,8.44871259,0.)); #253868=CARTESIAN_POINT('',(8.20705505143222,2.76015641584666,0.)); #253869=CARTESIAN_POINT('',(12.68565853,8.44410629,0.)); #253870=CARTESIAN_POINT('',(9.11014404849334,2.54725976073876,0.)); #253871=CARTESIAN_POINT('',(12.68329953,8.43896599000001,0.)); #253872=CARTESIAN_POINT('',(9.98168372341584,2.55210048320316,0.)); #253873=CARTESIAN_POINT('',(12.67782753,8.39677449000001,0.)); #253874=CARTESIAN_POINT('Origin',(12.7807969397577,8.40487053109669,0.)); #253875=CARTESIAN_POINT('',(12.67782753,8.18560619,0.)); #253876=CARTESIAN_POINT('',(12.67782753,4.198387245,0.)); #253877=CARTESIAN_POINT('',(12.68770153,8.10786169000001,0.)); #253878=CARTESIAN_POINT('Origin',(12.7803490494532,8.15912773692559,0.)); #253879=CARTESIAN_POINT('',(12.81813253,8.08643459,0.)); #253880=CARTESIAN_POINT('Origin',(12.776754157347,8.24224945361705,0.)); #253881=CARTESIAN_POINT('',(12.84018753,8.08725469,0.)); #253882=CARTESIAN_POINT('',(6.26777900914586,7.84286420131528,0.)); #253883=CARTESIAN_POINT('',(12.86345153,8.08798329000001,0.)); #253884=CARTESIAN_POINT('',(6.29986748251231,7.8824198728307,0.)); #253885=CARTESIAN_POINT('Origin',(12.8802113271474,8.32293796260208,0.)); #253886=CARTESIAN_POINT('',(10.78195053,1.65072029,0.)); #253887=CARTESIAN_POINT('',(11.51567653,1.65072029,0.)); #253888=CARTESIAN_POINT('',(5.390975265,1.65072029,0.)); #253889=CARTESIAN_POINT('',(11.74261753,1.67513629,0.)); #253890=CARTESIAN_POINT('',(5.73594065133174,1.02889333403538,0.)); #253891=CARTESIAN_POINT('',(11.89255553,1.74838429,0.)); #253892=CARTESIAN_POINT('',(6.67220977661591,-0.80186905300766,0.)); #253893=CARTESIAN_POINT('',(11.97613253,1.87609729,0.)); #253894=CARTESIAN_POINT('',(9.7090456489077,-1.58821067564759,0.)); #253895=CARTESIAN_POINT('',(12.00399153,2.06391029,0.)); #253896=CARTESIAN_POINT('',(11.7110657558288,0.089134870048542,0.)); #253897=CARTESIAN_POINT('',(12.00399153,3.00798729,0.)); #253898=CARTESIAN_POINT('',(12.00399153,1.031955145,0.)); #253899=CARTESIAN_POINT('',(11.95390653,3.05807029,0.)); #253900=CARTESIAN_POINT('Origin',(11.9539075297971,3.0079862897971,0.)); #253901=CARTESIAN_POINT('',(11.81868153,3.05807029,0.)); #253902=CARTESIAN_POINT('',(5.97695326499999,3.05807029,0.)); #253903=CARTESIAN_POINT('',(11.76859853,3.00798729,0.)); #253904=CARTESIAN_POINT('Origin',(11.8186815302029,3.00798728979711,0.)); #253905=CARTESIAN_POINT('',(11.76859853,2.84271029,0.)); #253906=CARTESIAN_POINT('',(11.76859853,1.503993645,0.)); #253907=CARTESIAN_POINT('',(11.62460853,3.00798729,0.)); #253908=CARTESIAN_POINT('Origin',(11.4594910022246,2.71877538369193,0.)); #253909=CARTESIAN_POINT('',(11.33287053,3.05807029,0.)); #253910=CARTESIAN_POINT('Origin',(11.3785736427064,2.44955344652503,0.)); #253911=CARTESIAN_POINT('',(11.14505753,3.05807029,0.)); #253912=CARTESIAN_POINT('',(5.666435265,3.05807029,0.)); #253913=CARTESIAN_POINT('',(10.91498553,3.03381129,0.)); #253914=CARTESIAN_POINT('',(5.47435160155854,2.46014592233224,0.)); #253915=CARTESIAN_POINT('',(10.75064853,2.96103329,0.)); #253916=CARTESIAN_POINT('',(5.79070928609374,0.76448316256052,0.)); #253917=CARTESIAN_POINT('',(10.65204653,2.83973729,0.)); #253918=CARTESIAN_POINT('',(7.88723062930486,-0.561422011948271,0.)); #253919=CARTESIAN_POINT('',(10.61917953,2.66992229,0.)); #253920=CARTESIAN_POINT('',(10.1948503783296,0.477527033636004,0.)); #253921=CARTESIAN_POINT('',(10.64359553,2.49024729,0.)); #253922=CARTESIAN_POINT('',(10.701028619486,2.06760269819931,0.)); #253923=CARTESIAN_POINT('',(10.71684253,2.36691629,0.)); #253924=CARTESIAN_POINT('',(9.80259602812459,3.90629585944017,0.)); #253925=CARTESIAN_POINT('',(10.85332053,2.28866129,0.)); #253926=CARTESIAN_POINT('',(7.1949227461286,4.38634676734326,0.)); #253927=CARTESIAN_POINT('',(11.06742753,2.24421129,0.)); #253928=CARTESIAN_POINT('',(5.87864161546736,3.32143685432528,0.)); #253929=CARTESIAN_POINT('',(11.68846453,2.17659829,0.)); #253930=CARTESIAN_POINT('',(5.71926997411204,2.82647124685644,0.)); #253931=CARTESIAN_POINT('',(11.76859853,2.07643129,0.)); #253932=CARTESIAN_POINT('Origin',(11.6717978313801,2.08112760454765,0.)); #253933=CARTESIAN_POINT('',(11.75576453,1.97595129,0.)); #253934=CARTESIAN_POINT('',(11.5436626715573,0.315362639827195,0.)); #253935=CARTESIAN_POINT('',(11.71726253,1.91491229,0.)); #253936=CARTESIAN_POINT('',(9.63692532506492,-1.38314242539174,0.)); #253937=CARTESIAN_POINT('',(11.63994653,1.88392229,0.)); #253938=CARTESIAN_POINT('',(6.33893638832393,-0.24084236790454,0.)); #253939=CARTESIAN_POINT('',(11.51066753,1.87359329,0.)); #253940=CARTESIAN_POINT('',(5.78210709294201,1.41589868566077,0.)); #253941=CARTESIAN_POINT('',(10.78195053,1.87359329,0.)); #253942=CARTESIAN_POINT('',(5.75533376499999,1.87359329,0.)); #253943=CARTESIAN_POINT('',(10.73186753,1.82350929,0.)); #253944=CARTESIAN_POINT('Origin',(10.7819510302029,1.8235097897971,0.)); #253945=CARTESIAN_POINT('',(10.73186753,1.70080529,0.)); #253946=CARTESIAN_POINT('',(10.73186753,0.911754645000001,0.)); #253947=CARTESIAN_POINT('Origin',(10.7819515302029,1.70080429020289,0.)); #253948=CARTESIAN_POINT('',(2.78599502999998,1.47793329,0.)); #253949=CARTESIAN_POINT('',(2.73591132999999,1.52801629,0.)); #253950=CARTESIAN_POINT('Origin',(2.73591167979709,1.47793293979711,0.)); #253951=CARTESIAN_POINT('',(2.13240382999998,1.52801629,0.)); #253952=CARTESIAN_POINT('',(1.367955665,1.52801629,0.)); #253953=CARTESIAN_POINT('',(2.13240382999998,3.00798729,0.)); #253954=CARTESIAN_POINT('',(2.13240382999998,0.764008145000002,0.)); #253955=CARTESIAN_POINT('',(2.08232023,3.05807028999999,0.)); #253956=CARTESIAN_POINT('Origin',(2.08232052979709,3.00798698979711,0.)); #253957=CARTESIAN_POINT('',(1.93457352999999,3.05807029,0.)); #253958=CARTESIAN_POINT('',(1.041160115,3.05807029,0.)); #253959=CARTESIAN_POINT('',(1.88448993000001,3.00798729,0.)); #253960=CARTESIAN_POINT('Origin',(1.93457323020289,3.00798698979711,0.)); #253961=CARTESIAN_POINT('',(1.88448992999999,1.52801629,0.)); #253962=CARTESIAN_POINT('',(1.88448992999999,1.503993645,0.)); #253963=CARTESIAN_POINT('',(1.2809825,1.52801629,0.)); #253964=CARTESIAN_POINT('',(0.942244964999994,1.52801629,0.)); #253965=CARTESIAN_POINT('',(1.23089885999998,1.47793329,0.)); #253966=CARTESIAN_POINT('Origin',(1.28098218020289,1.47793296979711,0.)); #253967=CARTESIAN_POINT('',(1.23089885999998,1.34020229,0.)); #253968=CARTESIAN_POINT('',(1.23089885999998,0.738966645,0.)); #253969=CARTESIAN_POINT('',(1.2809825,1.29011928999999,0.)); #253970=CARTESIAN_POINT('Origin',(1.28098218020289,1.3402026102029,0.)); #253971=CARTESIAN_POINT('',(2.73591132999999,1.29011929,0.)); #253972=CARTESIAN_POINT('',(0.640491249999999,1.29011929,0.)); #253973=CARTESIAN_POINT('',(2.78599502999998,1.34020229,0.)); #253974=CARTESIAN_POINT('Origin',(2.73591167979709,1.3402026402029,0.)); #253975=CARTESIAN_POINT('',(2.78599502999998,0.670101145000001,0.)); #253976=CARTESIAN_POINT('Origin',(2.73591167979709,1.47793293979711,0.)); #253977=CARTESIAN_POINT('',(2.78599502999998,1.47793329,0.01)); #253978=CARTESIAN_POINT('',(2.78599502999998,1.47793329,0.)); #253979=CARTESIAN_POINT('',(2.73591132999999,1.52801629,0.01)); #253980=CARTESIAN_POINT('Origin',(2.73591167979709,1.47793293979711,0.01)); #253981=CARTESIAN_POINT('',(2.73591132999999,1.52801629,0.)); #253982=CARTESIAN_POINT('Origin',(2.78599502999998,1.34020229,0.)); #253983=CARTESIAN_POINT('',(2.78599502999998,1.34020229,0.01)); #253984=CARTESIAN_POINT('',(2.78599502999998,1.34020229,0.)); #253985=CARTESIAN_POINT('',(2.78599502999998,1.34020229,0.01)); #253986=CARTESIAN_POINT('Origin',(2.73591167979709,1.3402026402029,0.)); #253987=CARTESIAN_POINT('',(2.73591132999999,1.29011929,0.01)); #253988=CARTESIAN_POINT('',(2.73591132999999,1.29011929,0.)); #253989=CARTESIAN_POINT('Origin',(2.73591167979709,1.3402026402029,0.01)); #253990=CARTESIAN_POINT('Origin',(1.2809825,1.29011929,0.)); #253991=CARTESIAN_POINT('',(1.2809825,1.29011928999999,0.01)); #253992=CARTESIAN_POINT('',(1.2809825,1.29011928999999,0.)); #253993=CARTESIAN_POINT('',(1.2809825,1.29011929,0.01)); #253994=CARTESIAN_POINT('Origin',(1.28098218020289,1.3402026102029,0.)); #253995=CARTESIAN_POINT('',(1.23089885999998,1.34020229,0.01)); #253996=CARTESIAN_POINT('',(1.23089885999998,1.34020229,0.)); #253997=CARTESIAN_POINT('Origin',(1.28098218020289,1.3402026102029,0.01)); #253998=CARTESIAN_POINT('Origin',(1.23089885999998,1.47793329,0.)); #253999=CARTESIAN_POINT('',(1.23089885999998,1.47793329,0.01)); #254000=CARTESIAN_POINT('',(1.23089885999998,1.47793329,0.)); #254001=CARTESIAN_POINT('',(1.23089885999998,1.47793329,0.01)); #254002=CARTESIAN_POINT('Origin',(1.28098218020289,1.47793296979711,0.)); #254003=CARTESIAN_POINT('',(1.2809825,1.52801629,0.01)); #254004=CARTESIAN_POINT('',(1.2809825,1.52801629,0.)); #254005=CARTESIAN_POINT('Origin',(1.28098218020289,1.47793296979711,0.01)); #254006=CARTESIAN_POINT('Origin',(1.88448992999999,1.52801629,0.)); #254007=CARTESIAN_POINT('',(1.88448992999999,1.52801629,0.01)); #254008=CARTESIAN_POINT('',(1.88448992999999,1.52801629,0.)); #254009=CARTESIAN_POINT('',(1.88448992999999,1.52801629,0.01)); #254010=CARTESIAN_POINT('Origin',(1.88448992999999,3.00798729,0.)); #254011=CARTESIAN_POINT('',(1.88448993000001,3.00798729,0.01)); #254012=CARTESIAN_POINT('',(1.88448993000001,3.00798729,0.)); #254013=CARTESIAN_POINT('',(1.88448992999999,3.00798729,0.01)); #254014=CARTESIAN_POINT('Origin',(1.93457323020289,3.00798698979711,0.)); #254015=CARTESIAN_POINT('',(1.93457352999999,3.05807029,0.01)); #254016=CARTESIAN_POINT('',(1.93457352999999,3.05807029,0.)); #254017=CARTESIAN_POINT('Origin',(1.93457323020289,3.00798698979711,0.01)); #254018=CARTESIAN_POINT('Origin',(2.08232023,3.05807029,0.)); #254019=CARTESIAN_POINT('',(2.08232023,3.05807028999999,0.01)); #254020=CARTESIAN_POINT('',(2.08232023,3.05807028999999,0.)); #254021=CARTESIAN_POINT('',(2.08232023,3.05807029,0.01)); #254022=CARTESIAN_POINT('Origin',(2.08232052979709,3.00798698979711,0.)); #254023=CARTESIAN_POINT('',(2.13240382999998,3.00798729,0.01)); #254024=CARTESIAN_POINT('',(2.13240382999998,3.00798729,0.)); #254025=CARTESIAN_POINT('Origin',(2.08232052979709,3.00798698979711,0.01)); #254026=CARTESIAN_POINT('Origin',(2.13240382999998,1.52801629,0.)); #254027=CARTESIAN_POINT('',(2.13240382999998,1.52801629,0.01)); #254028=CARTESIAN_POINT('',(2.13240382999998,1.52801629,0.)); #254029=CARTESIAN_POINT('',(2.13240382999998,1.52801629,0.01)); #254030=CARTESIAN_POINT('Origin',(2.73591132999999,1.52801629,0.)); #254031=CARTESIAN_POINT('',(2.73591132999999,1.52801629,0.01)); #254032=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254033=CARTESIAN_POINT('Origin',(10.98165953,2.46896229,0.)); #254034=CARTESIAN_POINT('',(10.98165953,2.46896229,0.)); #254035=CARTESIAN_POINT('',(10.90716053,2.50464629,0.)); #254036=CARTESIAN_POINT('',(6.99644792801055,4.37782403049839,0.)); #254037=CARTESIAN_POINT('',(10.98165953,2.46896229,0.01)); #254038=CARTESIAN_POINT('',(10.98165953,2.46896229,0.)); #254039=CARTESIAN_POINT('',(10.90716053,2.50464629,0.01)); #254040=CARTESIAN_POINT('',(10.98165953,2.46896229,0.01)); #254041=CARTESIAN_POINT('',(10.90716053,2.50464629,0.)); #254042=CARTESIAN_POINT('Origin',(11.10498953,2.44705029,0.)); #254043=CARTESIAN_POINT('',(11.10498953,2.44705029,0.)); #254044=CARTESIAN_POINT('',(5.93313545562115,3.36593187986277,0.)); #254045=CARTESIAN_POINT('',(11.10498953,2.44705029,0.01)); #254046=CARTESIAN_POINT('',(11.10498953,2.44705029,0.)); #254047=CARTESIAN_POINT('',(11.10498953,2.44705029,0.01)); #254048=CARTESIAN_POINT('Origin',(11.76859853,2.37693329,0.)); #254049=CARTESIAN_POINT('',(11.76859853,2.37693329,0.)); #254050=CARTESIAN_POINT('',(6.07345371353221,2.9786829183147,0.)); #254051=CARTESIAN_POINT('',(11.76859853,2.37693329,0.01)); #254052=CARTESIAN_POINT('',(11.76859853,2.37693329,0.)); #254053=CARTESIAN_POINT('',(11.76859853,2.37693329,0.01)); #254054=CARTESIAN_POINT('Origin',(11.76859853,2.51466329,0.)); #254055=CARTESIAN_POINT('',(11.76859853,2.51466329,0.)); #254056=CARTESIAN_POINT('',(11.76859853,1.257331645,0.)); #254057=CARTESIAN_POINT('',(11.76859853,2.51466329,0.01)); #254058=CARTESIAN_POINT('',(11.76859853,2.51466329,0.)); #254059=CARTESIAN_POINT('',(11.76859853,2.51466329,0.01)); #254060=CARTESIAN_POINT('Origin',(11.75012953,2.66804429,0.)); #254061=CARTESIAN_POINT('',(11.75012953,2.66804429,0.)); #254062=CARTESIAN_POINT('',(11.8245005826258,2.05040898636723,0.)); #254063=CARTESIAN_POINT('',(11.75012953,2.66804429,0.01)); #254064=CARTESIAN_POINT('',(11.75012953,2.66804429,0.)); #254065=CARTESIAN_POINT('',(11.75012953,2.66804429,0.01)); #254066=CARTESIAN_POINT('Origin',(11.69472553,2.76758529,0.)); #254067=CARTESIAN_POINT('',(11.69472553,2.76758529,0.)); #254068=CARTESIAN_POINT('',(10.8997264407413,4.19591184302676,0.)); #254069=CARTESIAN_POINT('',(11.69472553,2.76758529,0.01)); #254070=CARTESIAN_POINT('',(11.69472553,2.76758529,0.)); #254071=CARTESIAN_POINT('',(11.69472553,2.76758529,0.01)); #254072=CARTESIAN_POINT('Origin',(11.59111453,2.82205129,0.)); #254073=CARTESIAN_POINT('',(11.59111453,2.82205129,0.)); #254074=CARTESIAN_POINT('',(7.63149261745359,4.90353652891048,0.)); #254075=CARTESIAN_POINT('',(11.59111453,2.82205129,0.01)); #254076=CARTESIAN_POINT('',(11.59111453,2.82205129,0.)); #254077=CARTESIAN_POINT('',(11.59111453,2.82205129,0.01)); #254078=CARTESIAN_POINT('Origin',(11.42802953,2.84020629,0.)); #254079=CARTESIAN_POINT('',(11.42802953,2.84020629,0.)); #254080=CARTESIAN_POINT('',(5.94011380058635,3.45113378221274,0.)); #254081=CARTESIAN_POINT('',(11.42802953,2.84020629,0.01)); #254082=CARTESIAN_POINT('',(11.42802953,2.84020629,0.)); #254083=CARTESIAN_POINT('',(11.42802953,2.84020629,0.01)); #254084=CARTESIAN_POINT('Origin',(11.14505753,2.84020629,0.)); #254085=CARTESIAN_POINT('',(11.14505753,2.84020629,0.)); #254086=CARTESIAN_POINT('',(5.572528765,2.84020629,0.)); #254087=CARTESIAN_POINT('',(11.14505753,2.84020629,0.01)); #254088=CARTESIAN_POINT('',(11.14505753,2.84020629,0.)); #254089=CARTESIAN_POINT('',(11.14505753,2.84020629,0.01)); #254090=CARTESIAN_POINT('Origin',(11.01906553,2.82831229,0.)); #254091=CARTESIAN_POINT('',(11.01906553,2.82831229,0.)); #254092=CARTESIAN_POINT('',(5.42587831999127,2.30029964891298,0.)); #254093=CARTESIAN_POINT('',(11.01906553,2.82831229,0.01)); #254094=CARTESIAN_POINT('',(11.01906553,2.82831229,0.)); #254095=CARTESIAN_POINT('',(11.01906553,2.82831229,0.01)); #254096=CARTESIAN_POINT('Origin',(10.92907153,2.79262729,0.)); #254097=CARTESIAN_POINT('',(10.92907153,2.79262729,0.)); #254098=CARTESIAN_POINT('',(5.7285544989247,0.73048481101323,0.)); #254099=CARTESIAN_POINT('',(10.92907153,2.79262729,0.01)); #254100=CARTESIAN_POINT('',(10.92907153,2.79262729,0.)); #254101=CARTESIAN_POINT('',(10.92907153,2.79262729,0.01)); #254102=CARTESIAN_POINT('Origin',(10.87507553,2.73315329,0.)); #254103=CARTESIAN_POINT('',(10.87507553,2.73315329,0.)); #254104=CARTESIAN_POINT('',(7.73809399998399,-0.722081162851889,0.)); #254105=CARTESIAN_POINT('',(10.87507553,2.73315329,0.01)); #254106=CARTESIAN_POINT('',(10.87507553,2.73315329,0.)); #254107=CARTESIAN_POINT('',(10.87507553,2.73315329,0.01)); #254108=CARTESIAN_POINT('Origin',(10.85707653,2.64988929,0.)); #254109=CARTESIAN_POINT('',(10.85707653,2.64988929,0.)); #254110=CARTESIAN_POINT('',(10.3411088414162,0.263004817404497,0.)); #254111=CARTESIAN_POINT('',(10.85707653,2.64988929,0.01)); #254112=CARTESIAN_POINT('',(10.85707653,2.64988929,0.)); #254113=CARTESIAN_POINT('',(10.85707653,2.64988929,0.01)); #254114=CARTESIAN_POINT('Origin',(11.0391577082365,2.63141945447126,0.)); #254115=CARTESIAN_POINT('Origin',(11.0391577082365,2.63141945447126,0.)); #254116=CARTESIAN_POINT('Origin',(11.0391577082365,2.63141945447126,0.01)); #254117=CARTESIAN_POINT('Origin',(10.78195053,1.65072029,0.)); #254118=CARTESIAN_POINT('',(10.78195053,1.65072029,0.01)); #254119=CARTESIAN_POINT('',(10.78195053,1.65072029,0.)); #254120=CARTESIAN_POINT('',(11.51567653,1.65072029,0.01)); #254121=CARTESIAN_POINT('',(10.78195053,1.65072029,0.01)); #254122=CARTESIAN_POINT('',(11.51567653,1.65072029,0.)); #254123=CARTESIAN_POINT('Origin',(10.7819515302029,1.70080429020289,0.)); #254124=CARTESIAN_POINT('',(10.73186753,1.70080529,0.01)); #254125=CARTESIAN_POINT('',(10.73186753,1.70080529,0.)); #254126=CARTESIAN_POINT('Origin',(10.7819515302029,1.70080429020289,0.01)); #254127=CARTESIAN_POINT('Origin',(10.73186753,1.82350929,0.)); #254128=CARTESIAN_POINT('',(10.73186753,1.82350929,0.01)); #254129=CARTESIAN_POINT('',(10.73186753,1.82350929,0.)); #254130=CARTESIAN_POINT('',(10.73186753,1.82350929,0.01)); #254131=CARTESIAN_POINT('Origin',(10.7819510302029,1.8235097897971,0.)); #254132=CARTESIAN_POINT('',(10.78195053,1.87359329,0.01)); #254133=CARTESIAN_POINT('',(10.78195053,1.87359329,0.)); #254134=CARTESIAN_POINT('Origin',(10.7819510302029,1.8235097897971,0.01)); #254135=CARTESIAN_POINT('Origin',(11.51066753,1.87359329,0.)); #254136=CARTESIAN_POINT('',(11.51066753,1.87359329,0.01)); #254137=CARTESIAN_POINT('',(11.51066753,1.87359329,0.)); #254138=CARTESIAN_POINT('',(11.51066753,1.87359329,0.01)); #254139=CARTESIAN_POINT('Origin',(11.63994653,1.88392229,0.)); #254140=CARTESIAN_POINT('',(11.63994653,1.88392229,0.01)); #254141=CARTESIAN_POINT('',(11.63994653,1.88392229,0.)); #254142=CARTESIAN_POINT('',(11.63994653,1.88392229,0.01)); #254143=CARTESIAN_POINT('Origin',(11.71726253,1.91491229,0.)); #254144=CARTESIAN_POINT('',(11.71726253,1.91491229,0.01)); #254145=CARTESIAN_POINT('',(11.71726253,1.91491229,0.)); #254146=CARTESIAN_POINT('',(11.71726253,1.91491229,0.01)); #254147=CARTESIAN_POINT('Origin',(11.75576453,1.97595129,0.)); #254148=CARTESIAN_POINT('',(11.75576453,1.97595129,0.01)); #254149=CARTESIAN_POINT('',(11.75576453,1.97595129,0.)); #254150=CARTESIAN_POINT('',(11.75576453,1.97595129,0.01)); #254151=CARTESIAN_POINT('Origin',(11.76859853,2.07643129,0.)); #254152=CARTESIAN_POINT('',(11.76859853,2.07643129,0.01)); #254153=CARTESIAN_POINT('',(11.76859853,2.07643129,0.)); #254154=CARTESIAN_POINT('',(11.76859853,2.07643129,0.01)); #254155=CARTESIAN_POINT('Origin',(11.6717978313801,2.08112760454765,0.)); #254156=CARTESIAN_POINT('',(11.68846453,2.17659829,0.01)); #254157=CARTESIAN_POINT('',(11.68846453,2.17659829,0.)); #254158=CARTESIAN_POINT('Origin',(11.6717978313801,2.08112760454765,0.01)); #254159=CARTESIAN_POINT('Origin',(11.06742753,2.24421129,0.)); #254160=CARTESIAN_POINT('',(11.06742753,2.24421129,0.01)); #254161=CARTESIAN_POINT('',(11.06742753,2.24421129,0.)); #254162=CARTESIAN_POINT('',(11.06742753,2.24421129,0.01)); #254163=CARTESIAN_POINT('Origin',(10.85332053,2.28866129,0.)); #254164=CARTESIAN_POINT('',(10.85332053,2.28866129,0.01)); #254165=CARTESIAN_POINT('',(10.85332053,2.28866129,0.)); #254166=CARTESIAN_POINT('',(10.85332053,2.28866129,0.01)); #254167=CARTESIAN_POINT('Origin',(10.71684253,2.36691629,0.)); #254168=CARTESIAN_POINT('',(10.71684253,2.36691629,0.01)); #254169=CARTESIAN_POINT('',(10.71684253,2.36691629,0.)); #254170=CARTESIAN_POINT('',(10.71684253,2.36691629,0.01)); #254171=CARTESIAN_POINT('Origin',(10.64359553,2.49024729,0.)); #254172=CARTESIAN_POINT('',(10.64359553,2.49024729,0.01)); #254173=CARTESIAN_POINT('',(10.64359553,2.49024729,0.)); #254174=CARTESIAN_POINT('',(10.64359553,2.49024729,0.01)); #254175=CARTESIAN_POINT('Origin',(10.61917953,2.66992229,0.)); #254176=CARTESIAN_POINT('',(10.61917953,2.66992229,0.01)); #254177=CARTESIAN_POINT('',(10.61917953,2.66992229,0.)); #254178=CARTESIAN_POINT('',(10.61917953,2.66992229,0.01)); #254179=CARTESIAN_POINT('Origin',(10.65204653,2.83973729,0.)); #254180=CARTESIAN_POINT('',(10.65204653,2.83973729,0.01)); #254181=CARTESIAN_POINT('',(10.65204653,2.83973729,0.)); #254182=CARTESIAN_POINT('',(10.65204653,2.83973729,0.01)); #254183=CARTESIAN_POINT('Origin',(10.75064853,2.96103329,0.)); #254184=CARTESIAN_POINT('',(10.75064853,2.96103329,0.01)); #254185=CARTESIAN_POINT('',(10.75064853,2.96103329,0.)); #254186=CARTESIAN_POINT('',(10.75064853,2.96103329,0.01)); #254187=CARTESIAN_POINT('Origin',(10.91498553,3.03381129,0.)); #254188=CARTESIAN_POINT('',(10.91498553,3.03381129,0.01)); #254189=CARTESIAN_POINT('',(10.91498553,3.03381129,0.)); #254190=CARTESIAN_POINT('',(10.91498553,3.03381129,0.01)); #254191=CARTESIAN_POINT('Origin',(11.14505753,3.05807029,0.)); #254192=CARTESIAN_POINT('',(11.14505753,3.05807029,0.01)); #254193=CARTESIAN_POINT('',(11.14505753,3.05807029,0.)); #254194=CARTESIAN_POINT('',(11.14505753,3.05807029,0.01)); #254195=CARTESIAN_POINT('Origin',(11.33287053,3.05807029,0.)); #254196=CARTESIAN_POINT('',(11.33287053,3.05807029,0.01)); #254197=CARTESIAN_POINT('',(11.33287053,3.05807029,0.)); #254198=CARTESIAN_POINT('',(11.33287053,3.05807029,0.01)); #254199=CARTESIAN_POINT('Origin',(11.3785736427064,2.44955344652503,0.)); #254200=CARTESIAN_POINT('',(11.62460853,3.00798729,0.01)); #254201=CARTESIAN_POINT('',(11.62460853,3.00798729,0.)); #254202=CARTESIAN_POINT('Origin',(11.3785736427064,2.44955344652503,0.01)); #254203=CARTESIAN_POINT('Origin',(11.4594910022246,2.71877538369193,0.)); #254204=CARTESIAN_POINT('',(11.76859853,2.84271029,0.01)); #254205=CARTESIAN_POINT('',(11.76859853,2.84271029,0.)); #254206=CARTESIAN_POINT('Origin',(11.4594910022246,2.71877538369193,0.01)); #254207=CARTESIAN_POINT('Origin',(11.76859853,3.00798729,0.)); #254208=CARTESIAN_POINT('',(11.76859853,3.00798729,0.01)); #254209=CARTESIAN_POINT('',(11.76859853,3.00798729,0.)); #254210=CARTESIAN_POINT('',(11.76859853,3.00798729,0.01)); #254211=CARTESIAN_POINT('Origin',(11.8186815302029,3.00798728979711,0.)); #254212=CARTESIAN_POINT('',(11.81868153,3.05807029,0.01)); #254213=CARTESIAN_POINT('',(11.81868153,3.05807029,0.)); #254214=CARTESIAN_POINT('Origin',(11.8186815302029,3.00798728979711,0.01)); #254215=CARTESIAN_POINT('Origin',(11.95390653,3.05807029,0.)); #254216=CARTESIAN_POINT('',(11.95390653,3.05807029,0.01)); #254217=CARTESIAN_POINT('',(11.95390653,3.05807029,0.)); #254218=CARTESIAN_POINT('',(11.95390653,3.05807029,0.01)); #254219=CARTESIAN_POINT('Origin',(11.9539075297971,3.0079862897971,0.)); #254220=CARTESIAN_POINT('',(12.00399153,3.00798729,0.01)); #254221=CARTESIAN_POINT('',(12.00399153,3.00798729,0.)); #254222=CARTESIAN_POINT('Origin',(11.9539075297971,3.0079862897971,0.01)); #254223=CARTESIAN_POINT('Origin',(12.00399153,2.06391029,0.)); #254224=CARTESIAN_POINT('',(12.00399153,2.06391029,0.01)); #254225=CARTESIAN_POINT('',(12.00399153,2.06391029,0.)); #254226=CARTESIAN_POINT('',(12.00399153,2.06391029,0.01)); #254227=CARTESIAN_POINT('Origin',(11.97613253,1.87609729,0.)); #254228=CARTESIAN_POINT('',(11.97613253,1.87609729,0.01)); #254229=CARTESIAN_POINT('',(11.97613253,1.87609729,0.)); #254230=CARTESIAN_POINT('',(11.97613253,1.87609729,0.01)); #254231=CARTESIAN_POINT('Origin',(11.89255553,1.74838429,0.)); #254232=CARTESIAN_POINT('',(11.89255553,1.74838429,0.01)); #254233=CARTESIAN_POINT('',(11.89255553,1.74838429,0.)); #254234=CARTESIAN_POINT('',(11.89255553,1.74838429,0.01)); #254235=CARTESIAN_POINT('Origin',(11.74261753,1.67513629,0.)); #254236=CARTESIAN_POINT('',(11.74261753,1.67513629,0.01)); #254237=CARTESIAN_POINT('',(11.74261753,1.67513629,0.)); #254238=CARTESIAN_POINT('',(11.74261753,1.67513629,0.01)); #254239=CARTESIAN_POINT('Origin',(11.51567653,1.65072029,0.)); #254240=CARTESIAN_POINT('',(11.51567653,1.65072029,0.01)); #254241=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254242=CARTESIAN_POINT('Origin',(12.91076053,8.08937569,0.)); #254243=CARTESIAN_POINT('',(12.91076053,8.08937569,0.01)); #254244=CARTESIAN_POINT('',(12.91076053,8.08937569,0.)); #254245=CARTESIAN_POINT('',(12.91580153,8.09041519000001,0.01)); #254246=CARTESIAN_POINT('',(12.91580153,8.09041519000001,0.01)); #254247=CARTESIAN_POINT('',(12.91580153,8.09041519000001,0.)); #254248=CARTESIAN_POINT('Origin',(12.8802113271474,8.32293796260208,0.)); #254249=CARTESIAN_POINT('',(12.86345153,8.08798329000001,0.01)); #254250=CARTESIAN_POINT('',(12.86345153,8.08798329000001,0.)); #254251=CARTESIAN_POINT('Origin',(12.8802113271474,8.32293796260208,0.01)); #254252=CARTESIAN_POINT('Origin',(12.84018753,8.08725469,0.)); #254253=CARTESIAN_POINT('',(12.84018753,8.08725469,0.01)); #254254=CARTESIAN_POINT('',(12.84018753,8.08725469,0.)); #254255=CARTESIAN_POINT('',(12.86345153,8.08798329000001,0.01)); #254256=CARTESIAN_POINT('Origin',(12.81813253,8.08643459,0.)); #254257=CARTESIAN_POINT('',(12.81813253,8.08643459,0.01)); #254258=CARTESIAN_POINT('',(12.81813253,8.08643459,0.)); #254259=CARTESIAN_POINT('',(12.84018753,8.08725469,0.01)); #254260=CARTESIAN_POINT('Origin',(12.776754157347,8.24224945361705,0.)); #254261=CARTESIAN_POINT('',(12.68770153,8.10786169000001,0.01)); #254262=CARTESIAN_POINT('',(12.68770153,8.10786169000001,0.)); #254263=CARTESIAN_POINT('Origin',(12.776754157347,8.24224945361705,0.01)); #254264=CARTESIAN_POINT('Origin',(12.7803490494532,8.15912773692559,0.)); #254265=CARTESIAN_POINT('',(12.67782753,8.18560619,0.01)); #254266=CARTESIAN_POINT('',(12.67782753,8.18560619,0.)); #254267=CARTESIAN_POINT('Origin',(12.7803490494532,8.15912773692559,0.01)); #254268=CARTESIAN_POINT('Origin',(12.67782753,8.39677449000001,0.)); #254269=CARTESIAN_POINT('',(12.67782753,8.39677449000001,0.01)); #254270=CARTESIAN_POINT('',(12.67782753,8.39677449000001,0.)); #254271=CARTESIAN_POINT('',(12.67782753,8.18560619,0.01)); #254272=CARTESIAN_POINT('Origin',(12.7807969397577,8.40487053109669,0.)); #254273=CARTESIAN_POINT('',(12.68329953,8.43896599000001,0.01)); #254274=CARTESIAN_POINT('',(12.68329953,8.43896599000001,0.)); #254275=CARTESIAN_POINT('Origin',(12.7807969397577,8.40487053109669,0.01)); #254276=CARTESIAN_POINT('Origin',(12.68565853,8.44410629,0.)); #254277=CARTESIAN_POINT('',(12.68565853,8.44410629,0.01)); #254278=CARTESIAN_POINT('',(12.68565853,8.44410629,0.)); #254279=CARTESIAN_POINT('',(12.68329953,8.43896599000001,0.01)); #254280=CARTESIAN_POINT('Origin',(12.68845153,8.44871259,0.)); #254281=CARTESIAN_POINT('',(12.68845153,8.44871259,0.01)); #254282=CARTESIAN_POINT('',(12.68845153,8.44871259,0.)); #254283=CARTESIAN_POINT('',(12.68565853,8.44410629,0.01)); #254284=CARTESIAN_POINT('Origin',(12.69168053,8.45281139000001,0.)); #254285=CARTESIAN_POINT('',(12.69168053,8.45281139000001,0.01)); #254286=CARTESIAN_POINT('',(12.69168053,8.45281139000001,0.)); #254287=CARTESIAN_POINT('',(12.68845153,8.44871259,0.01)); #254288=CARTESIAN_POINT('Origin',(12.69535153,8.45643159,0.)); #254289=CARTESIAN_POINT('',(12.69535153,8.45643159,0.01)); #254290=CARTESIAN_POINT('',(12.69535153,8.45643159,0.)); #254291=CARTESIAN_POINT('',(12.69168053,8.45281139000001,0.01)); #254292=CARTESIAN_POINT('Origin',(12.69946553,8.45960069,0.)); #254293=CARTESIAN_POINT('',(12.69946553,8.45960069,0.01)); #254294=CARTESIAN_POINT('',(12.69946553,8.45960069,0.)); #254295=CARTESIAN_POINT('',(12.69535153,8.45643159,0.01)); #254296=CARTESIAN_POINT('Origin',(12.70403053,8.46234339,0.)); #254297=CARTESIAN_POINT('',(12.70403053,8.46234339,0.01)); #254298=CARTESIAN_POINT('',(12.70403053,8.46234339,0.)); #254299=CARTESIAN_POINT('',(12.69946553,8.45960069,0.01)); #254300=CARTESIAN_POINT('Origin',(12.70904753,8.46468949,0.)); #254301=CARTESIAN_POINT('',(12.70904753,8.46468949,0.01)); #254302=CARTESIAN_POINT('',(12.70904753,8.46468949,0.)); #254303=CARTESIAN_POINT('',(12.70403053,8.46234339,0.01)); #254304=CARTESIAN_POINT('Origin',(12.71452253,8.46666449,0.)); #254305=CARTESIAN_POINT('',(12.71452253,8.46666449,0.01)); #254306=CARTESIAN_POINT('',(12.71452253,8.46666449,0.)); #254307=CARTESIAN_POINT('',(12.70904753,8.46468949,0.01)); #254308=CARTESIAN_POINT('Origin',(12.72045853,8.46829719,0.)); #254309=CARTESIAN_POINT('',(12.72045853,8.46829719,0.01)); #254310=CARTESIAN_POINT('',(12.72045853,8.46829719,0.)); #254311=CARTESIAN_POINT('',(12.71452253,8.46666449,0.01)); #254312=CARTESIAN_POINT('Origin',(12.8087123162858,8.22061853360008,0.)); #254313=CARTESIAN_POINT('',(12.93663553,8.45033379,0.01)); #254314=CARTESIAN_POINT('',(12.93663553,8.45033379,0.)); #254315=CARTESIAN_POINT('Origin',(12.8087123162858,8.22061853360008,0.01)); #254316=CARTESIAN_POINT('Origin',(12.93951453,8.44678799,0.)); #254317=CARTESIAN_POINT('',(12.93951453,8.44678799,0.01)); #254318=CARTESIAN_POINT('',(12.93951453,8.44678799,0.)); #254319=CARTESIAN_POINT('',(12.93663553,8.45033379,0.01)); #254320=CARTESIAN_POINT('Origin',(12.94189353,8.44305339000001,0.)); #254321=CARTESIAN_POINT('',(12.94189353,8.44305339000001,0.01)); #254322=CARTESIAN_POINT('',(12.94189353,8.44305339000001,0.)); #254323=CARTESIAN_POINT('',(12.93951453,8.44678799,0.01)); #254324=CARTESIAN_POINT('Origin',(12.94372053,8.43915669,0.)); #254325=CARTESIAN_POINT('',(12.94372053,8.43915669,0.01)); #254326=CARTESIAN_POINT('',(12.94372053,8.43915669,0.)); #254327=CARTESIAN_POINT('',(12.94189353,8.44305339000001,0.01)); #254328=CARTESIAN_POINT('Origin',(12.94494053,8.43512269,0.)); #254329=CARTESIAN_POINT('',(12.94494053,8.43512269,0.01)); #254330=CARTESIAN_POINT('',(12.94494053,8.43512269,0.)); #254331=CARTESIAN_POINT('',(12.94372053,8.43915669,0.01)); #254332=CARTESIAN_POINT('Origin',(12.94549853,8.43097519,0.)); #254333=CARTESIAN_POINT('',(12.94549853,8.43097519,0.01)); #254334=CARTESIAN_POINT('',(12.94549853,8.43097519,0.)); #254335=CARTESIAN_POINT('',(12.94494053,8.43512269,0.01)); #254336=CARTESIAN_POINT('Origin',(11.7297109478228,8.29043117038508,0.)); #254337=CARTESIAN_POINT('',(12.94003153,8.10872769,0.01)); #254338=CARTESIAN_POINT('',(12.94003153,8.10872769,0.)); #254339=CARTESIAN_POINT('Origin',(11.7297109478228,8.29043117038508,0.01)); #254340=CARTESIAN_POINT('Origin',(12.93775253,8.10492819,0.)); #254341=CARTESIAN_POINT('',(12.93775253,8.10492819,0.01)); #254342=CARTESIAN_POINT('',(12.93775253,8.10492819,0.)); #254343=CARTESIAN_POINT('',(12.94003153,8.10872769,0.01)); #254344=CARTESIAN_POINT('Origin',(12.93508053,8.10152359000001,0.)); #254345=CARTESIAN_POINT('',(12.93508053,8.10152359000001,0.01)); #254346=CARTESIAN_POINT('',(12.93508053,8.10152359000001,0.)); #254347=CARTESIAN_POINT('',(12.93775253,8.10492819,0.01)); #254348=CARTESIAN_POINT('Origin',(12.93201453,8.09851379,0.)); #254349=CARTESIAN_POINT('',(12.93201453,8.09851379,0.01)); #254350=CARTESIAN_POINT('',(12.93201453,8.09851379,0.)); #254351=CARTESIAN_POINT('',(12.93508053,8.10152359000001,0.01)); #254352=CARTESIAN_POINT('Origin',(12.92855353,8.09589879000001,0.)); #254353=CARTESIAN_POINT('',(12.92855353,8.09589879000001,0.01)); #254354=CARTESIAN_POINT('',(12.92855353,8.09589879000001,0.)); #254355=CARTESIAN_POINT('',(12.93201453,8.09851379,0.01)); #254356=CARTESIAN_POINT('Origin',(12.92469753,8.09367679,0.)); #254357=CARTESIAN_POINT('',(12.92469753,8.09367679,0.01)); #254358=CARTESIAN_POINT('',(12.92469753,8.09367679,0.)); #254359=CARTESIAN_POINT('',(12.92855353,8.09589879000001,0.01)); #254360=CARTESIAN_POINT('Origin',(12.92044753,8.09184949,0.)); #254361=CARTESIAN_POINT('',(12.92044753,8.09184949,0.01)); #254362=CARTESIAN_POINT('',(12.92044753,8.09184949,0.)); #254363=CARTESIAN_POINT('',(12.92469753,8.09367679,0.01)); #254364=CARTESIAN_POINT('Origin',(12.91580153,8.09041519000001,0.)); #254365=CARTESIAN_POINT('',(12.92044753,8.09184949,0.01)); #254366=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254367=CARTESIAN_POINT('Origin',(7.73965172999999,8.68348809,0.)); #254368=CARTESIAN_POINT('',(7.73965172999999,8.68348809,0.01)); #254369=CARTESIAN_POINT('',(7.73965172999999,8.68348809,0.)); #254370=CARTESIAN_POINT('',(7.74060912999999,8.67681999000001,0.01)); #254371=CARTESIAN_POINT('',(7.74060912999999,8.67681999000001,0.01)); #254372=CARTESIAN_POINT('',(7.74060912999999,8.67681999000001,0.)); #254373=CARTESIAN_POINT('Origin',(7.73932742999999,8.69077319,0.)); #254374=CARTESIAN_POINT('',(7.73932742999999,8.69077319,0.01)); #254375=CARTESIAN_POINT('',(7.73932742999999,8.69077319,0.)); #254376=CARTESIAN_POINT('',(7.73965172999999,8.68348809,0.01)); #254377=CARTESIAN_POINT('Origin',(7.73940612999999,10.17299859,0.)); #254378=CARTESIAN_POINT('',(7.73940612999999,10.17299859,0.01)); #254379=CARTESIAN_POINT('',(7.73940612999999,10.17299859,0.)); #254380=CARTESIAN_POINT('',(7.73932742999999,8.69077319,0.01)); #254381=CARTESIAN_POINT('Origin',(7.74124572999999,10.18534439,0.)); #254382=CARTESIAN_POINT('',(7.74124572999999,10.18534439,0.01)); #254383=CARTESIAN_POINT('',(7.74124572999999,10.18534439,0.)); #254384=CARTESIAN_POINT('',(7.73940612999999,10.17299859,0.01)); #254385=CARTESIAN_POINT('Origin',(7.74540612999998,10.19565359,0.)); #254386=CARTESIAN_POINT('',(7.74540612999998,10.19565359,0.01)); #254387=CARTESIAN_POINT('',(7.74540612999998,10.19565359,0.)); #254388=CARTESIAN_POINT('',(7.74124572999999,10.18534439,0.01)); #254389=CARTESIAN_POINT('Origin',(7.75172472999999,10.20409889,0.)); #254390=CARTESIAN_POINT('',(7.75172472999999,10.20409889,0.01)); #254391=CARTESIAN_POINT('',(7.75172472999999,10.20409889,0.)); #254392=CARTESIAN_POINT('',(7.74540612999998,10.19565359,0.01)); #254393=CARTESIAN_POINT('Origin',(7.76004032999999,10.21085379,0.)); #254394=CARTESIAN_POINT('',(7.76004032999999,10.21085379,0.01)); #254395=CARTESIAN_POINT('',(7.76004032999999,10.21085379,0.)); #254396=CARTESIAN_POINT('',(7.75172472999999,10.20409889,0.01)); #254397=CARTESIAN_POINT('Origin',(7.77019072999999,10.21609179,0.)); #254398=CARTESIAN_POINT('',(7.77019072999999,10.21609179,0.01)); #254399=CARTESIAN_POINT('',(7.77019072999999,10.21609179,0.)); #254400=CARTESIAN_POINT('',(7.76004032999999,10.21085379,0.01)); #254401=CARTESIAN_POINT('Origin',(7.85911080674424,9.99106459953304,0.)); #254402=CARTESIAN_POINT('',(8.00024032999999,10.18760079,0.01)); #254403=CARTESIAN_POINT('',(8.00024032999999,10.18760079,0.)); #254404=CARTESIAN_POINT('Origin',(7.85911080674424,9.99106459953304,0.01)); #254405=CARTESIAN_POINT('Origin',(7.5626505625237,10.0792642467591,0.)); #254406=CARTESIAN_POINT('',(8.00703432999999,10.00347059,0.01)); #254407=CARTESIAN_POINT('',(8.00703432999999,10.00347059,0.)); #254408=CARTESIAN_POINT('Origin',(7.5626505625237,10.0792642467591,0.01)); #254409=CARTESIAN_POINT('Origin',(8.00802752999998,9.17357319,0.)); #254410=CARTESIAN_POINT('',(8.00802752999998,9.17357319,0.01)); #254411=CARTESIAN_POINT('',(8.00802752999998,9.17357319,0.)); #254412=CARTESIAN_POINT('',(8.00703432999999,10.00347059,0.01)); #254413=CARTESIAN_POINT('Origin',(8.25008463297333,9.13924664086189,0.)); #254414=CARTESIAN_POINT('',(8.06892012999999,8.97508399,0.01)); #254415=CARTESIAN_POINT('',(8.06892012999999,8.97508399,0.)); #254416=CARTESIAN_POINT('Origin',(8.25008463297333,9.13924664086189,0.01)); #254417=CARTESIAN_POINT('Origin',(8.2472601261327,9.14232731096689,0.)); #254418=CARTESIAN_POINT('',(8.26180842999999,8.89827029000001,0.01)); #254419=CARTESIAN_POINT('',(8.26180842999999,8.89827029000001,0.)); #254420=CARTESIAN_POINT('Origin',(8.2472601261327,9.14232731096689,0.01)); #254421=CARTESIAN_POINT('Origin',(8.6745949759601,16.4462205622174,0.)); #254422=CARTESIAN_POINT('',(8.73509692999998,8.88723349000001,0.01)); #254423=CARTESIAN_POINT('',(8.73509692999998,8.88723349000001,0.)); #254424=CARTESIAN_POINT('Origin',(8.6745949759601,16.4462205622174,0.01)); #254425=CARTESIAN_POINT('Origin',(8.74493022999999,8.88311979000001,0.)); #254426=CARTESIAN_POINT('',(8.74493022999999,8.88311979000001,0.01)); #254427=CARTESIAN_POINT('',(8.74493022999999,8.88311979000001,0.)); #254428=CARTESIAN_POINT('',(8.73509692999999,8.88723349000001,0.01)); #254429=CARTESIAN_POINT('Origin',(8.75285622999999,8.87762709,0.)); #254430=CARTESIAN_POINT('',(8.75285622999999,8.87762709,0.01)); #254431=CARTESIAN_POINT('',(8.75285622999999,8.87762709,0.)); #254432=CARTESIAN_POINT('',(8.74493022999999,8.88311979000001,0.01)); #254433=CARTESIAN_POINT('Origin',(8.75906942999999,8.87070249,0.)); #254434=CARTESIAN_POINT('',(8.75906942999999,8.87070249,0.01)); #254435=CARTESIAN_POINT('',(8.75906942999999,8.87070249,0.)); #254436=CARTESIAN_POINT('',(8.75285622999999,8.87762709,0.01)); #254437=CARTESIAN_POINT('Origin',(8.76376532999998,8.86229109,0.)); #254438=CARTESIAN_POINT('',(8.76376532999998,8.86229109,0.01)); #254439=CARTESIAN_POINT('',(8.76376532999998,8.86229109,0.)); #254440=CARTESIAN_POINT('',(8.75906942999999,8.87070249,0.01)); #254441=CARTESIAN_POINT('Origin',(8.76713942999999,8.85234039000001,0.)); #254442=CARTESIAN_POINT('',(8.76713942999999,8.85234039000001,0.01)); #254443=CARTESIAN_POINT('',(8.76713942999999,8.85234039000001,0.)); #254444=CARTESIAN_POINT('',(8.76376532999998,8.86229109,0.01)); #254445=CARTESIAN_POINT('Origin',(8.56996485983347,8.77485370251155,0.)); #254446=CARTESIAN_POINT('',(8.73529622999999,8.64238659,0.01)); #254447=CARTESIAN_POINT('',(8.73529622999999,8.64238659,0.)); #254448=CARTESIAN_POINT('Origin',(8.56996485983347,8.77485370251155,0.01)); #254449=CARTESIAN_POINT('Origin',(8.72252082999998,8.63842129,0.)); #254450=CARTESIAN_POINT('',(8.72252082999998,8.63842129,0.01)); #254451=CARTESIAN_POINT('',(8.72252082999998,8.63842129,0.)); #254452=CARTESIAN_POINT('',(8.73529622999999,8.64238659,0.01)); #254453=CARTESIAN_POINT('Origin',(8.55166293281295,9.78690076717207,0.)); #254454=CARTESIAN_POINT('',(8.11634062999999,8.71047519000001,0.01)); #254455=CARTESIAN_POINT('',(8.11634062999999,8.71047519000001,0.)); #254456=CARTESIAN_POINT('Origin',(8.55166293281295,9.78690076717207,0.01)); #254457=CARTESIAN_POINT('Origin',(8.36991091425733,9.06272985566437,0.)); #254458=CARTESIAN_POINT('',(8.00723032999999,8.82430999000001,0.01)); #254459=CARTESIAN_POINT('',(8.00723032999999,8.82430999000001,0.)); #254460=CARTESIAN_POINT('Origin',(8.36991091425733,9.06272985566437,0.01)); #254461=CARTESIAN_POINT('Origin',(10.2363860543484,8.81608829810599,0.)); #254462=CARTESIAN_POINT('',(8.00816153,8.75113979,0.01)); #254463=CARTESIAN_POINT('',(8.00816153,8.75113979,0.)); #254464=CARTESIAN_POINT('Origin',(10.2363860543484,8.81608829810599,0.01)); #254465=CARTESIAN_POINT('Origin',(8.00821922999999,8.73455639,0.)); #254466=CARTESIAN_POINT('',(8.00821922999999,8.73455639,0.01)); #254467=CARTESIAN_POINT('',(8.00821922999999,8.73455639,0.)); #254468=CARTESIAN_POINT('',(8.00816152999999,8.75113979,0.01)); #254469=CARTESIAN_POINT('Origin',(7.90388237318902,8.71503800416956,0.)); #254470=CARTESIAN_POINT('',(7.98946572999998,8.65224759000001,0.01)); #254471=CARTESIAN_POINT('',(7.98946572999998,8.65224759000001,0.)); #254472=CARTESIAN_POINT('Origin',(7.90388237318902,8.71503800416956,0.01)); #254473=CARTESIAN_POINT('Origin',(7.98148302999999,8.64639969,0.)); #254474=CARTESIAN_POINT('',(7.98148302999999,8.64639969,0.01)); #254475=CARTESIAN_POINT('',(7.98148302999999,8.64639969,0.)); #254476=CARTESIAN_POINT('',(7.98946572999998,8.65224759000001,0.01)); #254477=CARTESIAN_POINT('Origin',(7.97201542999999,8.64199469,0.)); #254478=CARTESIAN_POINT('',(7.97201542999999,8.64199469,0.01)); #254479=CARTESIAN_POINT('',(7.97201542999999,8.64199469,0.)); #254480=CARTESIAN_POINT('',(7.98148302999999,8.64639969,0.01)); #254481=CARTESIAN_POINT('Origin',(7.87606652853134,8.8859895705708,0.)); #254482=CARTESIAN_POINT('',(7.75033573,8.65592119,0.01)); #254483=CARTESIAN_POINT('',(7.75033573,8.65592119,0.)); #254484=CARTESIAN_POINT('Origin',(7.87606652853134,8.8859895705708,0.01)); #254485=CARTESIAN_POINT('Origin',(7.74706362999999,8.66031859,0.)); #254486=CARTESIAN_POINT('',(7.74706362999999,8.66031859,0.01)); #254487=CARTESIAN_POINT('',(7.74706362999999,8.66031859,0.)); #254488=CARTESIAN_POINT('',(7.75033572999999,8.65592119,0.01)); #254489=CARTESIAN_POINT('Origin',(7.74433712999999,8.66525379,0.)); #254490=CARTESIAN_POINT('',(7.74433712999999,8.66525379,0.01)); #254491=CARTESIAN_POINT('',(7.74433712999999,8.66525379,0.)); #254492=CARTESIAN_POINT('',(7.74706362999999,8.66031859,0.01)); #254493=CARTESIAN_POINT('Origin',(7.74217842999999,8.67074799000001,0.)); #254494=CARTESIAN_POINT('',(7.74217842999999,8.67074799000001,0.01)); #254495=CARTESIAN_POINT('',(7.74217842999999,8.67074799000001,0.)); #254496=CARTESIAN_POINT('',(7.74433712999999,8.66525379,0.01)); #254497=CARTESIAN_POINT('Origin',(7.74060912999999,8.67681999000001,0.)); #254498=CARTESIAN_POINT('',(7.74217842999999,8.67074799000001,0.01)); #254499=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254500=CARTESIAN_POINT('Origin',(1.73313482999998,10.24677009,0.)); #254501=CARTESIAN_POINT('',(1.73313482999998,10.24677009,0.01)); #254502=CARTESIAN_POINT('',(1.73313482999998,10.24677009,0.)); #254503=CARTESIAN_POINT('',(1.89758802999989,9.99511739000009,0.01)); #254504=CARTESIAN_POINT('',(1.89758802999999,9.99511739,0.01)); #254505=CARTESIAN_POINT('',(1.89758802999989,9.99511739000009,0.)); #254506=CARTESIAN_POINT('Origin',(1.24895584999998,10.98830289,0.)); #254507=CARTESIAN_POINT('',(1.24895584999998,10.98830289,0.01)); #254508=CARTESIAN_POINT('',(1.24895584999998,10.98830289,0.)); #254509=CARTESIAN_POINT('',(1.73313482999998,10.24677009,0.01)); #254510=CARTESIAN_POINT('Origin',(1.24172758999999,10.99937319,0.)); #254511=CARTESIAN_POINT('',(1.24172758999999,10.99937319,0.01)); #254512=CARTESIAN_POINT('',(1.24172758999999,10.99937319,0.)); #254513=CARTESIAN_POINT('',(1.24895584999998,10.98830289,0.01)); #254514=CARTESIAN_POINT('Origin',(2.25217352999999,10.99870609,0.)); #254515=CARTESIAN_POINT('',(2.25217352999999,10.99870609,0.01)); #254516=CARTESIAN_POINT('',(2.25217352999999,10.99870609,0.)); #254517=CARTESIAN_POINT('',(1.24172758999999,10.99937319,0.01)); #254518=CARTESIAN_POINT('Origin',(2.18055649448099,10.6836347308688,0.)); #254519=CARTESIAN_POINT('',(2.33463762999999,10.96763819,0.01)); #254520=CARTESIAN_POINT('',(2.33463762999999,10.96763819,0.)); #254521=CARTESIAN_POINT('Origin',(2.18055649448099,10.6836347308688,0.01)); #254522=CARTESIAN_POINT('Origin',(-25.3331656814302,-53.2396839378691,0.)); #254523=CARTESIAN_POINT('',(2.85691073,10.74005619,0.01)); #254524=CARTESIAN_POINT('',(2.85691073,10.74005619,0.)); #254525=CARTESIAN_POINT('Origin',(-25.3331656814302,-53.2396839378691,0.01)); #254526=CARTESIAN_POINT('Origin',(2.93595653,10.70585939,0.)); #254527=CARTESIAN_POINT('',(2.93595653,10.70585939,0.01)); #254528=CARTESIAN_POINT('',(2.93595653,10.70585939,0.)); #254529=CARTESIAN_POINT('',(2.85691073,10.74005619,0.01)); #254530=CARTESIAN_POINT('Origin',(3.05335192999999,10.65523929,0.)); #254531=CARTESIAN_POINT('',(3.05335192999999,10.65523929,0.01)); #254532=CARTESIAN_POINT('',(3.05335192999999,10.65523929,0.)); #254533=CARTESIAN_POINT('',(2.93595653,10.70585939,0.01)); #254534=CARTESIAN_POINT('Origin',(-0.880407498574991,1.64886330572153,0.)); #254535=CARTESIAN_POINT('',(3.14671182999989,10.6138831900001,0.01)); #254536=CARTESIAN_POINT('',(3.14671182999989,10.6138831900001,0.)); #254537=CARTESIAN_POINT('Origin',(-0.880407498574991,1.64886330572153,0.01)); #254538=CARTESIAN_POINT('Origin',(3.18665692999999,10.59654449,0.)); #254539=CARTESIAN_POINT('',(3.18665692999999,10.59654449,0.01)); #254540=CARTESIAN_POINT('',(3.18665692999999,10.59654449,0.)); #254541=CARTESIAN_POINT('',(3.14671182999999,10.61388319,0.01)); #254542=CARTESIAN_POINT('Origin',(6.73111936411416,18.9297991368663,0.)); #254543=CARTESIAN_POINT('',(3.28484103000009,10.55546259,0.01)); #254544=CARTESIAN_POINT('',(3.28484103000009,10.55546259,0.)); #254545=CARTESIAN_POINT('Origin',(6.73111936411416,18.9297991368663,0.01)); #254546=CARTESIAN_POINT('Origin',(13.3446383944322,32.6644135198369,0.)); #254547=CARTESIAN_POINT('',(4.05163862999998,10.22236839,0.01)); #254548=CARTESIAN_POINT('',(4.05163862999998,10.22236839,0.)); #254549=CARTESIAN_POINT('Origin',(13.3446383944322,32.6644135198369,0.01)); #254550=CARTESIAN_POINT('Origin',(4.06020262999998,10.21682999,0.)); #254551=CARTESIAN_POINT('',(4.06020262999998,10.21682999,0.01)); #254552=CARTESIAN_POINT('',(4.06020262999998,10.21682999,0.)); #254553=CARTESIAN_POINT('',(4.05163862999998,10.22236839,0.01)); #254554=CARTESIAN_POINT('Origin',(4.06509783,10.20815199,0.)); #254555=CARTESIAN_POINT('',(4.06509783,10.20815199,0.01)); #254556=CARTESIAN_POINT('',(4.06509783,10.20815199,0.)); #254557=CARTESIAN_POINT('',(4.06020262999998,10.21682999,0.01)); #254558=CARTESIAN_POINT('Origin',(3.34437930990247,10.1422305084545,0.)); #254559=CARTESIAN_POINT('',(4.06119822999998,10.04247349,0.01)); #254560=CARTESIAN_POINT('',(4.06119822999998,10.04247349,0.)); #254561=CARTESIAN_POINT('Origin',(3.34437930990247,10.1422305084545,0.01)); #254562=CARTESIAN_POINT('Origin',(3.80657078249903,25.0962416122943,0.)); #254563=CARTESIAN_POINT('',(3.48743942999998,10.04370279,0.01)); #254564=CARTESIAN_POINT('',(3.48743942999998,10.04370279,0.)); #254565=CARTESIAN_POINT('Origin',(3.80657078249903,25.0962416122943,0.01)); #254566=CARTESIAN_POINT('Origin',(11.3392598105094,33.4159175140995,0.)); #254567=CARTESIAN_POINT('',(2.70474622999989,10.32140129,0.01)); #254568=CARTESIAN_POINT('',(2.70474622999989,10.32140129,0.)); #254569=CARTESIAN_POINT('Origin',(11.3392598105094,33.4159175140995,0.01)); #254570=CARTESIAN_POINT('Origin',(2.70402483000006,10.31506939,0.)); #254571=CARTESIAN_POINT('',(2.70402483000006,10.31506939,0.01)); #254572=CARTESIAN_POINT('',(2.70402483000006,10.31506939,0.)); #254573=CARTESIAN_POINT('',(2.70474622999997,10.32140129,0.01)); #254574=CARTESIAN_POINT('Origin',(322.579570857539,216.156487328824,0.)); #254575=CARTESIAN_POINT('',(3.76023293000006,8.68291299000001,0.01)); #254576=CARTESIAN_POINT('',(3.76023293000006,8.68291299000001,0.)); #254577=CARTESIAN_POINT('Origin',(322.579570857539,216.156487328824,0.01)); #254578=CARTESIAN_POINT('Origin',(3.76268412999999,8.67680279,0.)); #254579=CARTESIAN_POINT('',(3.76268412999999,8.67680279,0.01)); #254580=CARTESIAN_POINT('',(3.76268412999999,8.67680279,0.)); #254581=CARTESIAN_POINT('',(3.76023293000006,8.68291299000001,0.01)); #254582=CARTESIAN_POINT('Origin',(3.76438192999998,8.67128009,0.)); #254583=CARTESIAN_POINT('',(3.76438192999998,8.67128009,0.01)); #254584=CARTESIAN_POINT('',(3.76438192999998,8.67128009,0.)); #254585=CARTESIAN_POINT('',(3.76268412999999,8.67680279,0.01)); #254586=CARTESIAN_POINT('Origin',(3.77170852999997,8.67799679,0.)); #254587=CARTESIAN_POINT('',(3.77170852999998,8.67799679,0.01)); #254588=CARTESIAN_POINT('',(3.77170852999998,8.67799679,0.)); #254589=CARTESIAN_POINT('',(3.76438192999998,8.67128009,0.01)); #254590=CARTESIAN_POINT('Origin',(-70.3303114434661,58.2854662837542,0.)); #254591=CARTESIAN_POINT('',(4.78311203000001,10.22317329,0.01)); #254592=CARTESIAN_POINT('',(4.78311203000001,10.22317329,0.)); #254593=CARTESIAN_POINT('Origin',(-70.3303114434661,58.2854662837542,0.01)); #254594=CARTESIAN_POINT('Origin',(5.79551222999998,10.22317289,0.)); #254595=CARTESIAN_POINT('',(5.79551222999998,10.22317289,0.01)); #254596=CARTESIAN_POINT('',(5.79551222999998,10.22317289,0.)); #254597=CARTESIAN_POINT('',(4.78311203,10.22317329,0.01)); #254598=CARTESIAN_POINT('Origin',(5.79814572999999,10.22051829,0.)); #254599=CARTESIAN_POINT('',(5.79814572999999,10.22051829,0.01)); #254600=CARTESIAN_POINT('',(5.79814572999999,10.22051829,0.)); #254601=CARTESIAN_POINT('',(5.79551222999998,10.22317289,0.01)); #254602=CARTESIAN_POINT('Origin',(5.79626322999999,10.21472999,0.)); #254603=CARTESIAN_POINT('',(5.79626322999999,10.21472999,0.01)); #254604=CARTESIAN_POINT('',(5.79626322999999,10.21472999,0.)); #254605=CARTESIAN_POINT('',(5.79814572999999,10.22051829,0.01)); #254606=CARTESIAN_POINT('Origin',(5.42658792010749,10.3887984766681,0.)); #254607=CARTESIAN_POINT('',(5.74634412999997,10.13440579,0.01)); #254608=CARTESIAN_POINT('',(5.74634412999997,10.13440579,0.)); #254609=CARTESIAN_POINT('Origin',(5.42658792010749,10.3887984766681,0.01)); #254610=CARTESIAN_POINT('Origin',(5.20298292999999,9.30193249000001,0.)); #254611=CARTESIAN_POINT('',(5.20298292999999,9.30193249000001,0.01)); #254612=CARTESIAN_POINT('',(5.20298292999999,9.30193249000001,0.)); #254613=CARTESIAN_POINT('',(5.74634412999997,10.13440579,0.01)); #254614=CARTESIAN_POINT('Origin',(5.02821922999998,9.03424469,0.)); #254615=CARTESIAN_POINT('',(5.02821922999998,9.03424469,0.01)); #254616=CARTESIAN_POINT('',(5.02821922999998,9.03424469,0.)); #254617=CARTESIAN_POINT('',(5.20298292999999,9.30193249000001,0.01)); #254618=CARTESIAN_POINT('Origin',(4.93648962999996,8.89080778999999,0.)); #254619=CARTESIAN_POINT('',(4.93648962999998,8.89080778999999,0.01)); #254620=CARTESIAN_POINT('',(4.93648962999998,8.89080778999999,0.)); #254621=CARTESIAN_POINT('',(5.02821922999998,9.03424469,0.01)); #254622=CARTESIAN_POINT('Origin',(-99.0746487567744,77.1144088840383,0.)); #254623=CARTESIAN_POINT('',(4.63435843000065,8.432398990001,0.01)); #254624=CARTESIAN_POINT('',(4.63435843000065,8.432398990001,0.)); #254625=CARTESIAN_POINT('Origin',(-99.0746487567744,77.1144088840383,0.01)); #254626=CARTESIAN_POINT('Origin',(4.57567262999999,8.34126589000001,0.)); #254627=CARTESIAN_POINT('',(4.57567262999999,8.34126589000001,0.01)); #254628=CARTESIAN_POINT('',(4.57567262999999,8.34126589000001,0.)); #254629=CARTESIAN_POINT('',(4.63435842999998,8.43239899000001,0.01)); #254630=CARTESIAN_POINT('Origin',(4.51913352999999,8.25152698999998,0.)); #254631=CARTESIAN_POINT('',(4.51913352999999,8.25152698999998,0.01)); #254632=CARTESIAN_POINT('',(4.51913352999999,8.25152698999998,0.)); #254633=CARTESIAN_POINT('',(4.57567262999999,8.34126589000001,0.01)); #254634=CARTESIAN_POINT('Origin',(-152.634095643415,110.426218173754,0.)); #254635=CARTESIAN_POINT('',(3.80795522999732,7.16591758999588,0.01)); #254636=CARTESIAN_POINT('',(3.80795522999732,7.16591758999588,0.)); #254637=CARTESIAN_POINT('Origin',(-152.634095643415,110.426218173754,0.01)); #254638=CARTESIAN_POINT('Origin',(3.78790852999999,7.13199249,0.)); #254639=CARTESIAN_POINT('',(3.78790852999999,7.13199249,0.01)); #254640=CARTESIAN_POINT('',(3.78790852999999,7.13199249,0.)); #254641=CARTESIAN_POINT('',(3.80795522999999,7.16591759,0.01)); #254642=CARTESIAN_POINT('Origin',(3.78000022999998,7.12852499000001,0.)); #254643=CARTESIAN_POINT('',(3.78000022999998,7.12852499000001,0.01)); #254644=CARTESIAN_POINT('',(3.78000022999998,7.12852499000001,0.)); #254645=CARTESIAN_POINT('',(3.78790852999999,7.13199249,0.01)); #254646=CARTESIAN_POINT('Origin',(4.24226945232216,7.53523703846148,0.)); #254647=CARTESIAN_POINT('',(3.70596933000001,7.23276439000001,0.01)); #254648=CARTESIAN_POINT('',(3.70596933000001,7.23276439000001,0.)); #254649=CARTESIAN_POINT('Origin',(4.24226945232216,7.53523703846148,0.01)); #254650=CARTESIAN_POINT('Origin',(82.1036135095093,59.5593371484953,0.)); #254651=CARTESIAN_POINT('',(2.76356363000043,8.67301958999935,0.01)); #254652=CARTESIAN_POINT('',(2.76356363000043,8.67301958999935,0.)); #254653=CARTESIAN_POINT('Origin',(82.1036135095093,59.5593371484953,0.01)); #254654=CARTESIAN_POINT('Origin',(2.74270463,8.70453379,0.)); #254655=CARTESIAN_POINT('',(2.74270463,8.70453379,0.01)); #254656=CARTESIAN_POINT('',(2.74270463,8.70453379,0.)); #254657=CARTESIAN_POINT('',(2.76356362999999,8.67301959,0.01)); #254658=CARTESIAN_POINT('Origin',(2.70195602999999,8.76431199,0.)); #254659=CARTESIAN_POINT('',(2.70195602999999,8.76431199,0.01)); #254660=CARTESIAN_POINT('',(2.70195602999999,8.76431199,0.)); #254661=CARTESIAN_POINT('',(2.74270463,8.70453379,0.01)); #254662=CARTESIAN_POINT('Origin',(140.826460818128,99.4442057085349,0.)); #254663=CARTESIAN_POINT('',(2.34030042999996,9.31759848999999,0.01)); #254664=CARTESIAN_POINT('',(2.34030042999996,9.31759848999999,0.)); #254665=CARTESIAN_POINT('Origin',(140.826460818128,99.4442057085349,0.01)); #254666=CARTESIAN_POINT('Origin',(35.3799291712299,31.3901434767514,0.)); #254667=CARTESIAN_POINT('Origin',(35.3799291712299,31.3901434767514,0.01)); #254668=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254669=CARTESIAN_POINT('Origin',(9.67211118535759,5.98087710031043,0.)); #254670=CARTESIAN_POINT('',(9.31478972999999,9.95862549,0.)); #254671=CARTESIAN_POINT('',(10.00766473,9.96052089,0.)); #254672=CARTESIAN_POINT('Origin',(9.67211118535759,5.98087710031043,0.)); #254673=CARTESIAN_POINT('',(9.31478972999999,9.95862549,0.01)); #254674=CARTESIAN_POINT('',(9.31478972999999,9.95862549,0.)); #254675=CARTESIAN_POINT('',(10.00766473,9.96052089,0.01)); #254676=CARTESIAN_POINT('Origin',(9.67211118535759,5.98087710031043,0.01)); #254677=CARTESIAN_POINT('',(10.00766473,9.96052089,0.)); #254678=CARTESIAN_POINT('Origin',(9.33173017710999,9.75796563811242,0.)); #254679=CARTESIAN_POINT('',(9.13037492999999,9.76068949,0.)); #254680=CARTESIAN_POINT('Origin',(9.33173017710999,9.75796563811242,0.)); #254681=CARTESIAN_POINT('',(9.13037492999999,9.76068949,0.01)); #254682=CARTESIAN_POINT('',(9.13037492999999,9.76068949,0.)); #254683=CARTESIAN_POINT('Origin',(9.33173017710999,9.75796563811242,0.01)); #254684=CARTESIAN_POINT('Origin',(9.88660213302786,9.66631592650184,0.)); #254685=CARTESIAN_POINT('',(9.12452702999999,9.66107289,0.)); #254686=CARTESIAN_POINT('Origin',(9.88660213302786,9.66631592650184,0.)); #254687=CARTESIAN_POINT('',(9.12452702999999,9.66107289,0.01)); #254688=CARTESIAN_POINT('',(9.12452702999999,9.66107289,0.)); #254689=CARTESIAN_POINT('Origin',(9.88660213302786,9.66631592650184,0.01)); #254690=CARTESIAN_POINT('Origin',(9.12452702999999,9.25599359,0.)); #254691=CARTESIAN_POINT('',(9.12452702999999,9.25599359,0.)); #254692=CARTESIAN_POINT('',(9.12452702999999,4.627996795,0.)); #254693=CARTESIAN_POINT('',(9.12452702999999,9.25599359,0.01)); #254694=CARTESIAN_POINT('',(9.12452702999999,9.25599359,0.)); #254695=CARTESIAN_POINT('',(9.12452702999999,9.66107289,0.01)); #254696=CARTESIAN_POINT('Origin',(9.41645263344985,9.17313544139555,0.)); #254697=CARTESIAN_POINT('',(9.25228503,8.91791979,0.)); #254698=CARTESIAN_POINT('Origin',(9.41645263344985,9.17313544139555,0.)); #254699=CARTESIAN_POINT('',(9.25228503,8.91791979,0.01)); #254700=CARTESIAN_POINT('',(9.25228503,8.91791979,0.)); #254701=CARTESIAN_POINT('Origin',(9.41645263344985,9.17313544139555,0.01)); #254702=CARTESIAN_POINT('Origin',(9.65920958347729,11.312357672466,0.)); #254703=CARTESIAN_POINT('',(10.10899263,8.92559929,0.)); #254704=CARTESIAN_POINT('Origin',(9.65920958347729,11.312357672466,0.)); #254705=CARTESIAN_POINT('',(10.10899263,8.92559929,0.01)); #254706=CARTESIAN_POINT('',(10.10899263,8.92559929,0.)); #254707=CARTESIAN_POINT('Origin',(9.65920958347729,11.312357672466,0.01)); #254708=CARTESIAN_POINT('Origin',(10.0029046935178,9.1111961977227,0.)); #254709=CARTESIAN_POINT('',(10.21472643,9.14004779,0.)); #254710=CARTESIAN_POINT('Origin',(10.0029046935178,9.1111961977227,0.)); #254711=CARTESIAN_POINT('',(10.21472643,9.14004779,0.01)); #254712=CARTESIAN_POINT('',(10.21472643,9.14004779,0.)); #254713=CARTESIAN_POINT('Origin',(10.0029046935178,9.1111961977227,0.01)); #254714=CARTESIAN_POINT('Origin',(5.99619387003078,9.4239738404236,0.)); #254715=CARTESIAN_POINT('',(10.21047883,9.76519699,0.)); #254716=CARTESIAN_POINT('Origin',(5.99619387003078,9.4239738404236,0.)); #254717=CARTESIAN_POINT('',(10.21047883,9.76519699,0.01)); #254718=CARTESIAN_POINT('',(10.21047883,9.76519699,0.)); #254719=CARTESIAN_POINT('Origin',(5.99619387003078,9.4239738404236,0.01)); #254720=CARTESIAN_POINT('Origin',(10.0076286525782,9.75752571355283,0.)); #254721=CARTESIAN_POINT('Origin',(10.0076286525782,9.75752571355283,0.)); #254722=CARTESIAN_POINT('Origin',(10.0076286525782,9.75752571355283,0.01)); #254723=CARTESIAN_POINT('Origin',(8.85695842999999,9.69373869,0.)); #254724=CARTESIAN_POINT('',(8.85695842999999,9.69373869,0.01)); #254725=CARTESIAN_POINT('',(8.85695842999999,9.69373869,0.)); #254726=CARTESIAN_POINT('',(8.85692782999999,9.16587329000001,0.01)); #254727=CARTESIAN_POINT('',(8.85692782999999,9.16587329000001,0.01)); #254728=CARTESIAN_POINT('',(8.85692782999999,9.16587329000001,0.)); #254729=CARTESIAN_POINT('Origin',(9.33059186955012,9.74322583887844,0.)); #254730=CARTESIAN_POINT('',(8.96799282999999,10.05193209,0.01)); #254731=CARTESIAN_POINT('',(8.96799282999999,10.05193209,0.)); #254732=CARTESIAN_POINT('Origin',(9.33059186955012,9.74322583887844,0.01)); #254733=CARTESIAN_POINT('Origin',(9.32817693127276,9.7404389696292,0.)); #254734=CARTESIAN_POINT('',(9.30516812999999,10.21607659,0.01)); #254735=CARTESIAN_POINT('',(9.30516812999999,10.21607659,0.)); #254736=CARTESIAN_POINT('Origin',(9.32817693127276,9.7404389696292,0.01)); #254737=CARTESIAN_POINT('Origin',(9.65516745483764,6.82033819706027,0.)); #254738=CARTESIAN_POINT('',(10.12660503,10.20135659,0.01)); #254739=CARTESIAN_POINT('',(10.12660503,10.20135659,0.)); #254740=CARTESIAN_POINT('Origin',(9.65516745483764,6.82033819706027,0.01)); #254741=CARTESIAN_POINT('Origin',(10.0328003080349,9.75704754949551,0.)); #254742=CARTESIAN_POINT('',(10.48455653,9.71093719,0.01)); #254743=CARTESIAN_POINT('',(10.48455653,9.71093719,0.)); #254744=CARTESIAN_POINT('Origin',(10.0328003080349,9.75704754949551,0.01)); #254745=CARTESIAN_POINT('Origin',(8.39331070736954,9.4327970507382,0.)); #254746=CARTESIAN_POINT('',(10.43219253,8.89091889,0.01)); #254747=CARTESIAN_POINT('',(10.43219253,8.89091889,0.)); #254748=CARTESIAN_POINT('Origin',(8.39331070736954,9.4327970507382,0.01)); #254749=CARTESIAN_POINT('Origin',(10.0179388662016,9.09507865353028,0.)); #254750=CARTESIAN_POINT('',(9.95113372999999,8.63810559000001,0.01)); #254751=CARTESIAN_POINT('',(9.95113372999999,8.63810559000001,0.)); #254752=CARTESIAN_POINT('Origin',(10.0179388662016,9.09507865353028,0.01)); #254753=CARTESIAN_POINT('Origin',(9.67084569657264,12.512498508236,0.)); #254754=CARTESIAN_POINT('',(9.27728553,8.64796849,0.01)); #254755=CARTESIAN_POINT('',(9.27728553,8.64796849,0.)); #254756=CARTESIAN_POINT('Origin',(9.67084569657264,12.512498508236,0.01)); #254757=CARTESIAN_POINT('Origin',(9.31872857683607,9.11047409327854,0.)); #254758=CARTESIAN_POINT('',(8.96515752999998,8.80944839,0.01)); #254759=CARTESIAN_POINT('',(8.96515752999998,8.80944839,0.)); #254760=CARTESIAN_POINT('Origin',(9.31872857683607,9.11047409327854,0.01)); #254761=CARTESIAN_POINT('Origin',(9.32028103679746,9.10859626246956,0.)); #254762=CARTESIAN_POINT('',(8.85729602999999,9.14390969000001,0.01)); #254763=CARTESIAN_POINT('',(8.85729602999999,9.14390969000001,0.)); #254764=CARTESIAN_POINT('Origin',(9.32028103679746,9.10859626246956,0.01)); #254765=CARTESIAN_POINT('Origin',(8.85692782999999,9.16587329000001,0.)); #254766=CARTESIAN_POINT('',(8.85729602999999,9.14390969000001,0.01)); #254767=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254768=CARTESIAN_POINT('Origin',(14.30257453,8.63961339,0.)); #254769=CARTESIAN_POINT('',(14.30257453,8.63961339,0.01)); #254770=CARTESIAN_POINT('',(14.30257453,8.63961339,0.)); #254771=CARTESIAN_POINT('',(14.31439153,8.64309239,0.01)); #254772=CARTESIAN_POINT('',(14.31439153,8.64309239,0.01)); #254773=CARTESIAN_POINT('',(14.31439153,8.64309239,0.)); #254774=CARTESIAN_POINT('Origin',(13.9409479534203,17.2876443945915,0.)); #254775=CARTESIAN_POINT('',(13.64142753,8.63723969,0.01)); #254776=CARTESIAN_POINT('',(13.64142753,8.63723969,0.)); #254777=CARTESIAN_POINT('Origin',(13.9409479534203,17.2876443945915,0.01)); #254778=CARTESIAN_POINT('Origin',(11.7516430368169,8.45663655233442,0.)); #254779=CARTESIAN_POINT('',(13.64062253,8.26779959,0.01)); #254780=CARTESIAN_POINT('',(13.64062253,8.26779959,0.)); #254781=CARTESIAN_POINT('Origin',(11.7516430368169,8.45663655233442,0.01)); #254782=CARTESIAN_POINT('Origin',(13.63762053,8.25943489,0.)); #254783=CARTESIAN_POINT('',(13.63762053,8.25943489,0.01)); #254784=CARTESIAN_POINT('',(13.63762053,8.25943489,0.)); #254785=CARTESIAN_POINT('',(13.64062253,8.26779959,0.01)); #254786=CARTESIAN_POINT('Origin',(13.63264853,8.25252459,0.)); #254787=CARTESIAN_POINT('',(13.63264853,8.25252459,0.01)); #254788=CARTESIAN_POINT('',(13.63264853,8.25252459,0.)); #254789=CARTESIAN_POINT('',(13.63762053,8.25943489,0.01)); #254790=CARTESIAN_POINT('Origin',(13.62596453,8.24693799,0.)); #254791=CARTESIAN_POINT('',(13.62596453,8.24693799,0.01)); #254792=CARTESIAN_POINT('',(13.62596453,8.24693799,0.)); #254793=CARTESIAN_POINT('',(13.63264853,8.25252459,0.01)); #254794=CARTESIAN_POINT('Origin',(13.61783053,8.24254719000001,0.)); #254795=CARTESIAN_POINT('',(13.61783053,8.24254719000001,0.01)); #254796=CARTESIAN_POINT('',(13.61783053,8.24254719000001,0.)); #254797=CARTESIAN_POINT('',(13.62596453,8.24693799,0.01)); #254798=CARTESIAN_POINT('Origin',(13.60850653,8.23921889,0.)); #254799=CARTESIAN_POINT('',(13.60850653,8.23921889,0.01)); #254800=CARTESIAN_POINT('',(13.60850653,8.23921889,0.)); #254801=CARTESIAN_POINT('',(13.61783053,8.24254719000001,0.01)); #254802=CARTESIAN_POINT('Origin',(13.5540670568523,8.3325466697554,0.)); #254803=CARTESIAN_POINT('',(13.52129853,8.22959059,0.01)); #254804=CARTESIAN_POINT('',(13.52129853,8.22959059,0.)); #254805=CARTESIAN_POINT('Origin',(13.5540670568523,8.3325466697554,0.01)); #254806=CARTESIAN_POINT('Origin',(13.5539009176509,8.33313720473059,0.)); #254807=CARTESIAN_POINT('',(13.45537553,8.28755689,0.01)); #254808=CARTESIAN_POINT('',(13.45537553,8.28755689,0.)); #254809=CARTESIAN_POINT('Origin',(13.5539009176509,8.33313720473059,0.01)); #254810=CARTESIAN_POINT('Origin',(17.3246849002056,8.51327648769404,0.)); #254811=CARTESIAN_POINT('',(13.44913253,8.46230429,0.01)); #254812=CARTESIAN_POINT('',(13.44913253,8.46230429,0.)); #254813=CARTESIAN_POINT('Origin',(17.3246849002056,8.51327648769404,0.01)); #254814=CARTESIAN_POINT('Origin',(13.44589153,8.49009059,0.)); #254815=CARTESIAN_POINT('',(13.44589153,8.49009059,0.01)); #254816=CARTESIAN_POINT('',(13.44589153,8.49009059,0.)); #254817=CARTESIAN_POINT('',(13.44913253,8.46230429,0.01)); #254818=CARTESIAN_POINT('Origin',(13.2978760953806,8.48977151730254,0.)); #254819=CARTESIAN_POINT('',(13.39902653,8.59783289,0.01)); #254820=CARTESIAN_POINT('',(13.39902653,8.59783289,0.)); #254821=CARTESIAN_POINT('Origin',(13.2978760953806,8.48977151730254,0.01)); #254822=CARTESIAN_POINT('Origin',(13.2995635599281,8.48796983085331,0.)); #254823=CARTESIAN_POINT('',(13.28778553,8.63569949,0.01)); #254824=CARTESIAN_POINT('',(13.28778553,8.63569949,0.)); #254825=CARTESIAN_POINT('Origin',(13.2995635599281,8.48796983085331,0.01)); #254826=CARTESIAN_POINT('Origin',(13.2232834012725,7.4686674346016,0.)); #254827=CARTESIAN_POINT('',(13.21012553,8.63740659,0.01)); #254828=CARTESIAN_POINT('',(13.21012553,8.63740659,0.)); #254829=CARTESIAN_POINT('Origin',(13.2232834012725,7.4686674346016,0.01)); #254830=CARTESIAN_POINT('Origin',(13.19841953,8.63836499,0.)); #254831=CARTESIAN_POINT('',(13.19841953,8.63836499,0.01)); #254832=CARTESIAN_POINT('',(13.19841953,8.63836499,0.)); #254833=CARTESIAN_POINT('',(13.21012553,8.63740659,0.01)); #254834=CARTESIAN_POINT('Origin',(13.2087711473914,8.73985363566472,0.)); #254835=CARTESIAN_POINT('',(13.17750153,8.64274899,0.01)); #254836=CARTESIAN_POINT('',(13.17750153,8.64274899,0.)); #254837=CARTESIAN_POINT('Origin',(13.2087711473914,8.73985363566472,0.01)); #254838=CARTESIAN_POINT('Origin',(13.16861153,8.64659519000001,0.)); #254839=CARTESIAN_POINT('',(13.16861153,8.64659519000001,0.01)); #254840=CARTESIAN_POINT('',(13.16861153,8.64659519000001,0.)); #254841=CARTESIAN_POINT('',(13.17750153,8.64274899,0.01)); #254842=CARTESIAN_POINT('Origin',(13.16097953,8.65182419000001,0.)); #254843=CARTESIAN_POINT('',(13.16097953,8.65182419000001,0.01)); #254844=CARTESIAN_POINT('',(13.16097953,8.65182419000001,0.)); #254845=CARTESIAN_POINT('',(13.16861153,8.64659519000001,0.01)); #254846=CARTESIAN_POINT('Origin',(13.15476653,8.65864679,0.)); #254847=CARTESIAN_POINT('',(13.15476653,8.65864679,0.01)); #254848=CARTESIAN_POINT('',(13.15476653,8.65864679,0.)); #254849=CARTESIAN_POINT('',(13.16097953,8.65182419000001,0.01)); #254850=CARTESIAN_POINT('Origin',(13.15012853,8.66727179,0.)); #254851=CARTESIAN_POINT('',(13.15012853,8.66727179,0.01)); #254852=CARTESIAN_POINT('',(13.15012853,8.66727179,0.)); #254853=CARTESIAN_POINT('',(13.15476653,8.65864679,0.01)); #254854=CARTESIAN_POINT('Origin',(13.14722953,8.67791099,0.)); #254855=CARTESIAN_POINT('',(13.14722953,8.67791099,0.01)); #254856=CARTESIAN_POINT('',(13.14722953,8.67791099,0.)); #254857=CARTESIAN_POINT('',(13.15012853,8.66727179,0.01)); #254858=CARTESIAN_POINT('Origin',(13.14622753,8.69077319,0.)); #254859=CARTESIAN_POINT('',(13.14622753,8.69077319,0.01)); #254860=CARTESIAN_POINT('',(13.14622753,8.69077319,0.)); #254861=CARTESIAN_POINT('',(13.14722953,8.67791099,0.01)); #254862=CARTESIAN_POINT('Origin',(13.14528853,8.81933659,0.)); #254863=CARTESIAN_POINT('',(13.14528853,8.81933659,0.01)); #254864=CARTESIAN_POINT('',(13.14528853,8.81933659,0.)); #254865=CARTESIAN_POINT('',(13.14622753,8.69077319,0.01)); #254866=CARTESIAN_POINT('Origin',(13.2955735608624,8.81777006986843,0.)); #254867=CARTESIAN_POINT('',(13.15007553,8.85543129,0.01)); #254868=CARTESIAN_POINT('',(13.15007553,8.85543129,0.)); #254869=CARTESIAN_POINT('Origin',(13.2955735608624,8.81777006986843,0.01)); #254870=CARTESIAN_POINT('Origin',(13.15552253,8.86793539,0.)); #254871=CARTESIAN_POINT('',(13.15552253,8.86793539,0.01)); #254872=CARTESIAN_POINT('',(13.15552253,8.86793539,0.)); #254873=CARTESIAN_POINT('',(13.15007553,8.85543129,0.01)); #254874=CARTESIAN_POINT('Origin',(13.16366653,8.87731619000001,0.)); #254875=CARTESIAN_POINT('',(13.16366653,8.87731619000001,0.01)); #254876=CARTESIAN_POINT('',(13.16366653,8.87731619000001,0.)); #254877=CARTESIAN_POINT('',(13.15552253,8.86793539,0.01)); #254878=CARTESIAN_POINT('Origin',(13.17500553,8.88400429000001,0.)); #254879=CARTESIAN_POINT('',(13.17500553,8.88400429000001,0.01)); #254880=CARTESIAN_POINT('',(13.17500553,8.88400429000001,0.)); #254881=CARTESIAN_POINT('',(13.16366653,8.87731619000001,0.01)); #254882=CARTESIAN_POINT('Origin',(13.2948206488956,8.37764289224128,0.)); #254883=CARTESIAN_POINT('',(13.37372753,8.89196889,0.01)); #254884=CARTESIAN_POINT('',(13.37372753,8.89196889,0.)); #254885=CARTESIAN_POINT('Origin',(13.2948206488956,8.37764289224128,0.01)); #254886=CARTESIAN_POINT('Origin',(13.37372753,9.62117929,0.)); #254887=CARTESIAN_POINT('',(13.37372753,9.62117929,0.01)); #254888=CARTESIAN_POINT('',(13.37372753,9.62117929,0.)); #254889=CARTESIAN_POINT('',(13.37372753,8.89196889000001,0.01)); #254890=CARTESIAN_POINT('Origin',(13.8746633389323,9.71777160316272,0.)); #254891=CARTESIAN_POINT('',(13.60738653,10.15231719,0.01)); #254892=CARTESIAN_POINT('',(13.60738653,10.15231719,0.)); #254893=CARTESIAN_POINT('Origin',(13.8746633389323,9.71777160316272,0.01)); #254894=CARTESIAN_POINT('Origin',(14.05215073508,8.89690235692897,0.)); #254895=CARTESIAN_POINT('',(14.33597653,10.19818039,0.01)); #254896=CARTESIAN_POINT('',(14.33597653,10.19818039,0.)); #254897=CARTESIAN_POINT('Origin',(14.05215073508,8.89690235692897,0.01)); #254898=CARTESIAN_POINT('Origin',(14.34022553,10.18847249,0.)); #254899=CARTESIAN_POINT('',(14.34022553,10.18847249,0.01)); #254900=CARTESIAN_POINT('',(14.34022553,10.18847249,0.)); #254901=CARTESIAN_POINT('',(14.33597653,10.19818039,0.01)); #254902=CARTESIAN_POINT('Origin',(14.1144473753422,10.1104126895062,0.)); #254903=CARTESIAN_POINT('',(14.31467453,9.98011229,0.01)); #254904=CARTESIAN_POINT('',(14.31467453,9.98011229,0.)); #254905=CARTESIAN_POINT('Origin',(14.1144473753422,10.1104126895062,0.01)); #254906=CARTESIAN_POINT('Origin',(13.8805788663859,13.9934018718855,0.)); #254907=CARTESIAN_POINT('',(13.83334853,9.95697999,0.01)); #254908=CARTESIAN_POINT('',(13.83334853,9.95697999,0.)); #254909=CARTESIAN_POINT('Origin',(13.8805788663859,13.9934018718855,0.01)); #254910=CARTESIAN_POINT('Origin',(13.80121953,9.94836829,0.)); #254911=CARTESIAN_POINT('',(13.80121953,9.94836829,0.01)); #254912=CARTESIAN_POINT('',(13.80121953,9.94836829,0.)); #254913=CARTESIAN_POINT('',(13.83334853,9.95697999,0.01)); #254914=CARTESIAN_POINT('Origin',(13.9264179321474,9.68111036014023,0.)); #254915=CARTESIAN_POINT('',(13.64149853,9.60415339000001,0.01)); #254916=CARTESIAN_POINT('',(13.64149853,9.60415339000001,0.)); #254917=CARTESIAN_POINT('Origin',(13.9264179321474,9.68111036014023,0.01)); #254918=CARTESIAN_POINT('Origin',(13.64142753,8.89249389,0.)); #254919=CARTESIAN_POINT('',(13.64142753,8.89249389,0.01)); #254920=CARTESIAN_POINT('',(13.64142753,8.89249389,0.)); #254921=CARTESIAN_POINT('',(13.64149853,9.60415339000001,0.01)); #254922=CARTESIAN_POINT('Origin',(13.64159153,8.89147299,0.)); #254923=CARTESIAN_POINT('',(13.64159153,8.89147299,0.01)); #254924=CARTESIAN_POINT('',(13.64159153,8.89147299,0.)); #254925=CARTESIAN_POINT('',(13.64142753,8.89249389,0.01)); #254926=CARTESIAN_POINT('Origin',(14.27032253,8.89147349000001,0.)); #254927=CARTESIAN_POINT('',(14.27032253,8.89147349000001,0.01)); #254928=CARTESIAN_POINT('',(14.27032253,8.89147349000001,0.)); #254929=CARTESIAN_POINT('',(13.64159153,8.89147299,0.01)); #254930=CARTESIAN_POINT('Origin',(14.2759522073765,8.78551338825572,0.)); #254931=CARTESIAN_POINT('',(14.31181553,8.88537859,0.01)); #254932=CARTESIAN_POINT('',(14.31181553,8.88537859,0.)); #254933=CARTESIAN_POINT('Origin',(14.2759522073765,8.78551338825572,0.01)); #254934=CARTESIAN_POINT('Origin',(14.32161953,8.88032979,0.)); #254935=CARTESIAN_POINT('',(14.32161953,8.88032979,0.01)); #254936=CARTESIAN_POINT('',(14.32161953,8.88032979,0.)); #254937=CARTESIAN_POINT('',(14.31181553,8.88537859,0.01)); #254938=CARTESIAN_POINT('Origin',(14.32942453,8.87371269000001,0.)); #254939=CARTESIAN_POINT('',(14.32942453,8.87371269000001,0.01)); #254940=CARTESIAN_POINT('',(14.32942453,8.87371269000001,0.)); #254941=CARTESIAN_POINT('',(14.32161953,8.88032979,0.01)); #254942=CARTESIAN_POINT('Origin',(14.33544253,8.86549349000001,0.)); #254943=CARTESIAN_POINT('',(14.33544253,8.86549349000001,0.01)); #254944=CARTESIAN_POINT('',(14.33544253,8.86549349000001,0.)); #254945=CARTESIAN_POINT('',(14.32942453,8.87371269000001,0.01)); #254946=CARTESIAN_POINT('Origin',(14.33988553,8.85563779000001,0.)); #254947=CARTESIAN_POINT('',(14.33988553,8.85563779000001,0.01)); #254948=CARTESIAN_POINT('',(14.33988553,8.85563779000001,0.)); #254949=CARTESIAN_POINT('',(14.33544253,8.86549349000001,0.01)); #254950=CARTESIAN_POINT('Origin',(14.1116911849241,8.77520716710618,0.)); #254951=CARTESIAN_POINT('Origin',(14.1116911849241,8.77520716710618,0.01)); #254952=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #254953=CARTESIAN_POINT('Origin',(10.14338523,1.40030329,0.)); #254954=CARTESIAN_POINT('',(10.14338523,1.40030329,0.01)); #254955=CARTESIAN_POINT('',(10.14338523,1.40030329,0.)); #254956=CARTESIAN_POINT('',(10.14338493,1.34020229,0.01)); #254957=CARTESIAN_POINT('',(10.14338523,1.40030329,0.01)); #254958=CARTESIAN_POINT('',(10.14338493,1.34020229,0.)); #254959=CARTESIAN_POINT('Origin',(10.13775083,1.48732329,0.)); #254960=CARTESIAN_POINT('',(10.13775083,1.48732329,0.01)); #254961=CARTESIAN_POINT('',(10.13775083,1.48732329,0.)); #254962=CARTESIAN_POINT('',(10.13775083,1.48732329,0.01)); #254963=CARTESIAN_POINT('Origin',(10.12084763,1.53302429,0.)); #254964=CARTESIAN_POINT('',(10.12084763,1.53302429,0.01)); #254965=CARTESIAN_POINT('',(10.12084763,1.53302429,0.)); #254966=CARTESIAN_POINT('',(10.12084763,1.53302429,0.01)); #254967=CARTESIAN_POINT('Origin',(10.07702443,1.55180629,0.)); #254968=CARTESIAN_POINT('',(10.07702443,1.55180629,0.01)); #254969=CARTESIAN_POINT('',(10.07702443,1.55180629,0.)); #254970=CARTESIAN_POINT('',(10.07702443,1.55180629,0.01)); #254971=CARTESIAN_POINT('Origin',(9.99063012999999,1.55806629,0.)); #254972=CARTESIAN_POINT('',(9.99063012999999,1.55806629,0.01)); #254973=CARTESIAN_POINT('',(9.99063012999999,1.55806629,0.)); #254974=CARTESIAN_POINT('',(9.99063012999999,1.55806629,0.01)); #254975=CARTESIAN_POINT('Origin',(9.82535463,1.55806629,0.)); #254976=CARTESIAN_POINT('',(9.82535463,1.55806629,0.01)); #254977=CARTESIAN_POINT('',(9.82535463,1.55806629,0.)); #254978=CARTESIAN_POINT('',(9.82535463,1.55806629,0.01)); #254979=CARTESIAN_POINT('Origin',(9.8253540302029,1.6081498902029,0.)); #254980=CARTESIAN_POINT('',(9.77527042999998,1.60814929,0.01)); #254981=CARTESIAN_POINT('',(9.77527042999998,1.60814929,0.)); #254982=CARTESIAN_POINT('Origin',(9.8253540302029,1.6081498902029,0.01)); #254983=CARTESIAN_POINT('Origin',(9.77527042999998,1.72334229,0.)); #254984=CARTESIAN_POINT('',(9.77527042999998,1.72334229,0.01)); #254985=CARTESIAN_POINT('',(9.77527042999998,1.72334229,0.)); #254986=CARTESIAN_POINT('',(9.77527042999998,1.72334229,0.01)); #254987=CARTESIAN_POINT('Origin',(9.82535453020288,1.7233421897971,0.)); #254988=CARTESIAN_POINT('',(9.82535463,1.77342629,0.01)); #254989=CARTESIAN_POINT('',(9.82535463,1.77342629,0.)); #254990=CARTESIAN_POINT('Origin',(9.82535453020288,1.7233421897971,0.01)); #254991=CARTESIAN_POINT('Origin',(10.13336853,1.77342629,0.)); #254992=CARTESIAN_POINT('',(10.13336853,1.77342629,0.01)); #254993=CARTESIAN_POINT('',(10.13336853,1.77342629,0.)); #254994=CARTESIAN_POINT('',(10.13336853,1.77342629,0.01)); #254995=CARTESIAN_POINT('Origin',(10.13336853,3.00798729,0.)); #254996=CARTESIAN_POINT('',(10.13336853,3.00798729,0.01)); #254997=CARTESIAN_POINT('',(10.13336853,3.00798729,0.)); #254998=CARTESIAN_POINT('',(10.13336853,3.00798729,0.01)); #254999=CARTESIAN_POINT('Origin',(10.1834515802029,3.00798723979711,0.)); #255000=CARTESIAN_POINT('',(10.18345163,3.05807029,0.01)); #255001=CARTESIAN_POINT('',(10.18345163,3.05807029,0.)); #255002=CARTESIAN_POINT('Origin',(10.1834515802029,3.00798723979711,0.01)); #255003=CARTESIAN_POINT('Origin',(10.33119873,3.05807029,0.)); #255004=CARTESIAN_POINT('',(10.33119873,3.05807029000001,0.01)); #255005=CARTESIAN_POINT('',(10.33119873,3.05807029000001,0.)); #255006=CARTESIAN_POINT('',(10.33119873,3.05807029,0.01)); #255007=CARTESIAN_POINT('Origin',(10.3311992797971,3.0079867397971,0.)); #255008=CARTESIAN_POINT('',(10.38128283,3.00798729,0.01)); #255009=CARTESIAN_POINT('',(10.38128283,3.00798729,0.)); #255010=CARTESIAN_POINT('Origin',(10.3311992797971,3.0079867397971,0.01)); #255011=CARTESIAN_POINT('Origin',(10.38128283,1.34020229,0.)); #255012=CARTESIAN_POINT('',(10.38128283,1.34020229,0.01)); #255013=CARTESIAN_POINT('',(10.38128283,1.34020229,0.)); #255014=CARTESIAN_POINT('',(10.38128283,1.34020229,0.01)); #255015=CARTESIAN_POINT('Origin',(10.3311992797971,1.3402028402029,0.)); #255016=CARTESIAN_POINT('',(10.33119873,1.29011929,0.01)); #255017=CARTESIAN_POINT('',(10.33119873,1.29011929,0.)); #255018=CARTESIAN_POINT('Origin',(10.3311992797971,1.3402028402029,0.01)); #255019=CARTESIAN_POINT('Origin',(10.19346903,1.29011929,0.)); #255020=CARTESIAN_POINT('',(10.19346903,1.29011929,0.01)); #255021=CARTESIAN_POINT('',(10.19346903,1.29011929,0.)); #255022=CARTESIAN_POINT('',(10.19346903,1.29011929,0.01)); #255023=CARTESIAN_POINT('Origin',(10.1934684802029,1.3402028402029,0.)); #255024=CARTESIAN_POINT('Origin',(10.1934684802029,1.3402028402029,0.01)); #255025=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255026=CARTESIAN_POINT('Origin',(4.52981122979711,1.3402025902029,0.)); #255027=CARTESIAN_POINT('',(4.52981093,1.29011929,0.01)); #255028=CARTESIAN_POINT('',(4.52981093,1.29011929,0.)); #255029=CARTESIAN_POINT('',(4.57989453,1.34020229,0.01)); #255030=CARTESIAN_POINT('Origin',(4.52981122979711,1.3402025902029,0.01)); #255031=CARTESIAN_POINT('',(4.57989453,1.34020229,0.)); #255032=CARTESIAN_POINT('Origin',(3.07488202999999,1.29011929,0.)); #255033=CARTESIAN_POINT('',(3.07488202999999,1.29011929,0.01)); #255034=CARTESIAN_POINT('',(3.07488202999999,1.29011929,0.)); #255035=CARTESIAN_POINT('',(3.07488202999999,1.29011929,0.01)); #255036=CARTESIAN_POINT('Origin',(3.07488173020288,1.3402025902029,0.)); #255037=CARTESIAN_POINT('',(3.02479843,1.34020229,0.01)); #255038=CARTESIAN_POINT('',(3.02479843,1.34020229,0.)); #255039=CARTESIAN_POINT('Origin',(3.07488173020288,1.3402025902029,0.01)); #255040=CARTESIAN_POINT('Origin',(3.02479843,1.47793329,0.)); #255041=CARTESIAN_POINT('',(3.02479843,1.47793329,0.01)); #255042=CARTESIAN_POINT('',(3.02479843,1.47793329,0.)); #255043=CARTESIAN_POINT('',(3.02479843,1.47793329,0.01)); #255044=CARTESIAN_POINT('Origin',(3.07488173020288,1.47793298979711,0.)); #255045=CARTESIAN_POINT('',(3.07488202999999,1.52801629,0.01)); #255046=CARTESIAN_POINT('',(3.07488202999999,1.52801629,0.)); #255047=CARTESIAN_POINT('Origin',(3.07488173020288,1.47793298979711,0.01)); #255048=CARTESIAN_POINT('Origin',(3.67838952999999,1.52801629,0.)); #255049=CARTESIAN_POINT('',(3.67838952999999,1.52801629,0.01)); #255050=CARTESIAN_POINT('',(3.67838952999999,1.52801629,0.)); #255051=CARTESIAN_POINT('',(3.67838952999999,1.52801629,0.01)); #255052=CARTESIAN_POINT('Origin',(3.67838952999999,3.00798729,0.)); #255053=CARTESIAN_POINT('',(3.67838952999999,3.00798729,0.01)); #255054=CARTESIAN_POINT('',(3.67838952999999,3.00798729,0.)); #255055=CARTESIAN_POINT('',(3.67838952999999,3.00798729,0.01)); #255056=CARTESIAN_POINT('Origin',(3.72847288020288,3.00798693979711,0.)); #255057=CARTESIAN_POINT('',(3.72847322999998,3.05807029,0.01)); #255058=CARTESIAN_POINT('',(3.72847322999998,3.05807029,0.)); #255059=CARTESIAN_POINT('Origin',(3.72847288020288,3.00798693979711,0.01)); #255060=CARTESIAN_POINT('Origin',(3.87621972999999,3.05807029,0.)); #255061=CARTESIAN_POINT('',(3.87621972999999,3.05807029,0.01)); #255062=CARTESIAN_POINT('',(3.87621972999999,3.05807029,0.)); #255063=CARTESIAN_POINT('',(3.87621972999999,3.05807029,0.01)); #255064=CARTESIAN_POINT('Origin',(3.87622007979711,3.00798693979711,0.)); #255065=CARTESIAN_POINT('',(3.92630343,3.00798729,0.01)); #255066=CARTESIAN_POINT('',(3.92630343,3.00798729,0.)); #255067=CARTESIAN_POINT('Origin',(3.87622007979711,3.00798693979711,0.01)); #255068=CARTESIAN_POINT('Origin',(3.92630343,1.52801629,0.)); #255069=CARTESIAN_POINT('',(3.92630343,1.52801629,0.01)); #255070=CARTESIAN_POINT('',(3.92630343,1.52801629,0.)); #255071=CARTESIAN_POINT('',(3.92630343,1.52801629,0.01)); #255072=CARTESIAN_POINT('Origin',(4.52981093,1.52801629,0.)); #255073=CARTESIAN_POINT('',(4.52981093,1.52801629,0.01)); #255074=CARTESIAN_POINT('',(4.52981093,1.52801629,0.)); #255075=CARTESIAN_POINT('',(4.52981093,1.52801629,0.01)); #255076=CARTESIAN_POINT('Origin',(4.52981122979711,1.47793298979711,0.)); #255077=CARTESIAN_POINT('',(4.57989453,1.47793329,0.01)); #255078=CARTESIAN_POINT('',(4.57989453,1.47793329,0.)); #255079=CARTESIAN_POINT('Origin',(4.52981122979711,1.47793298979711,0.01)); #255080=CARTESIAN_POINT('Origin',(4.57989453,1.34020229,0.)); #255081=CARTESIAN_POINT('',(4.57989453,1.34020229,0.01)); #255082=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255083=CARTESIAN_POINT('Origin',(5.6141212797971,3.00798693979711,0.)); #255084=CARTESIAN_POINT('',(5.66420462999999,3.00798729000001,0.01)); #255085=CARTESIAN_POINT('',(5.66420462999999,3.00798729000001,0.)); #255086=CARTESIAN_POINT('',(5.61412092999999,3.05807029,0.01)); #255087=CARTESIAN_POINT('Origin',(5.6141212797971,3.00798693979711,0.01)); #255088=CARTESIAN_POINT('',(5.61412092999999,3.05807029,0.)); #255089=CARTESIAN_POINT('Origin',(5.66420463,1.69329229,0.)); #255090=CARTESIAN_POINT('',(5.66420463,1.69329229,0.01)); #255091=CARTESIAN_POINT('',(5.66420463,1.69329229,0.)); #255092=CARTESIAN_POINT('',(5.66420463,1.69329229,0.01)); #255093=CARTESIAN_POINT('Origin',(6.31278702999999,2.71499729000001,0.)); #255094=CARTESIAN_POINT('',(6.31278702999999,2.71499729000001,0.01)); #255095=CARTESIAN_POINT('',(6.31278702999999,2.71499729000001,0.)); #255096=CARTESIAN_POINT('',(6.31278702999999,2.71499729000001,0.01)); #255097=CARTESIAN_POINT('Origin',(6.37196706919609,2.67749764966735,0.)); #255098=CARTESIAN_POINT('',(6.37288763,2.74755229000001,0.01)); #255099=CARTESIAN_POINT('',(6.37288763,2.74755229000001,0.)); #255100=CARTESIAN_POINT('Origin',(6.37196706919609,2.67749764966735,0.01)); #255101=CARTESIAN_POINT('Origin',(6.47555922999998,2.74755229000001,0.)); #255102=CARTESIAN_POINT('',(6.47555922999998,2.74755228999999,0.01)); #255103=CARTESIAN_POINT('',(6.47555922999998,2.74755228999999,0.)); #255104=CARTESIAN_POINT('',(6.47555922999998,2.74755229000001,0.01)); #255105=CARTESIAN_POINT('Origin',(6.47647956242396,2.67749904588903,0.)); #255106=CARTESIAN_POINT('',(6.53565882999998,2.71499729000001,0.01)); #255107=CARTESIAN_POINT('',(6.53565882999998,2.71499729000001,0.)); #255108=CARTESIAN_POINT('Origin',(6.47647956242396,2.67749904588903,0.01)); #255109=CARTESIAN_POINT('Origin',(7.18424223,1.69579629000001,0.)); #255110=CARTESIAN_POINT('',(7.18424223,1.69579629000001,0.01)); #255111=CARTESIAN_POINT('',(7.18424223,1.69579629000001,0.)); #255112=CARTESIAN_POINT('',(7.18424223,1.69579629000001,0.01)); #255113=CARTESIAN_POINT('Origin',(7.18424222999999,3.00798729,0.)); #255114=CARTESIAN_POINT('',(7.18424223,3.00798729,0.01)); #255115=CARTESIAN_POINT('',(7.18424223,3.00798729,0.)); #255116=CARTESIAN_POINT('',(7.18424222999999,3.00798729,0.01)); #255117=CARTESIAN_POINT('Origin',(7.23432558020288,3.00798693979711,0.)); #255118=CARTESIAN_POINT('',(7.23432592999999,3.05807029,0.01)); #255119=CARTESIAN_POINT('',(7.23432592999999,3.05807029,0.)); #255120=CARTESIAN_POINT('Origin',(7.23432558020288,3.00798693979711,0.01)); #255121=CARTESIAN_POINT('Origin',(7.37956812999998,3.05807029,0.)); #255122=CARTESIAN_POINT('',(7.37956812999998,3.05807029,0.01)); #255123=CARTESIAN_POINT('',(7.37956812999998,3.05807029,0.)); #255124=CARTESIAN_POINT('',(7.37956812999998,3.05807029,0.01)); #255125=CARTESIAN_POINT('Origin',(7.3795684297971,3.0079869897971,0.)); #255126=CARTESIAN_POINT('',(7.42965172999999,3.00798729,0.01)); #255127=CARTESIAN_POINT('',(7.42965172999999,3.00798729,0.)); #255128=CARTESIAN_POINT('Origin',(7.3795684297971,3.0079869897971,0.01)); #255129=CARTESIAN_POINT('Origin',(7.42965173,1.34020229,0.)); #255130=CARTESIAN_POINT('',(7.42965173,1.34020229,0.01)); #255131=CARTESIAN_POINT('',(7.42965173,1.34020229,0.)); #255132=CARTESIAN_POINT('',(7.42965173,1.34020229,0.01)); #255133=CARTESIAN_POINT('Origin',(7.3795684297971,1.3402025902029,0.)); #255134=CARTESIAN_POINT('',(7.37956812999998,1.29011929,0.01)); #255135=CARTESIAN_POINT('',(7.37956812999998,1.29011929,0.)); #255136=CARTESIAN_POINT('Origin',(7.3795684297971,1.3402025902029,0.01)); #255137=CARTESIAN_POINT('Origin',(7.20177122999999,1.29011929000001,0.)); #255138=CARTESIAN_POINT('',(7.20177122999999,1.29011929,0.01)); #255139=CARTESIAN_POINT('',(7.20177122999999,1.29011929,0.)); #255140=CARTESIAN_POINT('',(7.20177122999999,1.29011929000001,0.01)); #255141=CARTESIAN_POINT('Origin',(7.20084963496548,1.36017208217381,0.)); #255142=CARTESIAN_POINT('',(7.14167122999999,1.32267329,0.01)); #255143=CARTESIAN_POINT('',(7.14167122999999,1.32267329,0.)); #255144=CARTESIAN_POINT('Origin',(7.20084963496548,1.36017208217381,0.01)); #255145=CARTESIAN_POINT('Origin',(6.42547513,2.46958829,0.)); #255146=CARTESIAN_POINT('',(6.42547513,2.46958829,0.01)); #255147=CARTESIAN_POINT('',(6.42547513,2.46958829,0.)); #255148=CARTESIAN_POINT('',(6.42547513,2.46958829,0.01)); #255149=CARTESIAN_POINT('Origin',(5.70928002999999,1.32267329,0.)); #255150=CARTESIAN_POINT('',(5.70928002999999,1.32267329,0.01)); #255151=CARTESIAN_POINT('',(5.70928002999999,1.32267329,0.)); #255152=CARTESIAN_POINT('',(5.70928002999999,1.32267329,0.01)); #255153=CARTESIAN_POINT('Origin',(5.65010105214416,1.36017312284214,0.)); #255154=CARTESIAN_POINT('',(5.64917942999999,1.29011929000001,0.01)); #255155=CARTESIAN_POINT('',(5.64917942999999,1.29011929000001,0.)); #255156=CARTESIAN_POINT('Origin',(5.65010105214416,1.36017312284214,0.01)); #255157=CARTESIAN_POINT('Origin',(5.46887872999999,1.29011929000001,0.)); #255158=CARTESIAN_POINT('',(5.46887872999999,1.29011929000001,0.01)); #255159=CARTESIAN_POINT('',(5.46887872999999,1.29011929000001,0.)); #255160=CARTESIAN_POINT('',(5.46887872999999,1.29011929000001,0.01)); #255161=CARTESIAN_POINT('Origin',(5.46887818020288,1.3402028402029,0.)); #255162=CARTESIAN_POINT('',(5.41879463000001,1.34020229000001,0.01)); #255163=CARTESIAN_POINT('',(5.41879463000001,1.34020229000001,0.)); #255164=CARTESIAN_POINT('Origin',(5.46887818020288,1.3402028402029,0.01)); #255165=CARTESIAN_POINT('Origin',(5.41879463,3.00798729000001,0.)); #255166=CARTESIAN_POINT('',(5.41879462999998,3.00798729000001,0.01)); #255167=CARTESIAN_POINT('',(5.41879462999998,3.00798729000001,0.)); #255168=CARTESIAN_POINT('',(5.41879463,3.00798729000001,0.01)); #255169=CARTESIAN_POINT('Origin',(5.46887818020287,3.00798673979711,0.)); #255170=CARTESIAN_POINT('',(5.46887872999998,3.05807029000001,0.01)); #255171=CARTESIAN_POINT('',(5.46887872999998,3.05807029000001,0.)); #255172=CARTESIAN_POINT('Origin',(5.46887818020287,3.00798673979711,0.01)); #255173=CARTESIAN_POINT('Origin',(5.61412092999999,3.05807029000001,0.)); #255174=CARTESIAN_POINT('',(5.61412092999999,3.05807029000001,0.01)); #255175=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255176=CARTESIAN_POINT('Origin',(13.78110153,3.31812829,0.)); #255177=CARTESIAN_POINT('',(13.78110153,3.31812829,0.01)); #255178=CARTESIAN_POINT('',(13.78110153,3.31812829,0.)); #255179=CARTESIAN_POINT('',(13.78773353,3.30416229,0.01)); #255180=CARTESIAN_POINT('',(13.78110153,3.31812829,0.01)); #255181=CARTESIAN_POINT('',(13.78773353,3.30416229,0.)); #255182=CARTESIAN_POINT('Origin',(13.74073253,3.40006229,0.)); #255183=CARTESIAN_POINT('',(13.74073253,3.40006229,0.01)); #255184=CARTESIAN_POINT('',(13.74073253,3.40006229,0.)); #255185=CARTESIAN_POINT('',(13.74073253,3.40006229,0.01)); #255186=CARTESIAN_POINT('Origin',(13.76462753,3.42407329,0.)); #255187=CARTESIAN_POINT('',(13.76462753,3.42407329,0.01)); #255188=CARTESIAN_POINT('',(13.76462753,3.42407329,0.)); #255189=CARTESIAN_POINT('',(13.76462753,3.42407329,0.01)); #255190=CARTESIAN_POINT('Origin',(13.76570853,3.42352929,0.)); #255191=CARTESIAN_POINT('',(13.76570853,3.42352929,0.01)); #255192=CARTESIAN_POINT('',(13.76570853,3.42352929,0.)); #255193=CARTESIAN_POINT('',(13.76570853,3.42352929,0.01)); #255194=CARTESIAN_POINT('Origin',(13.8997654328854,3.57365965371018,0.)); #255195=CARTESIAN_POINT('',(13.8599395300002,3.37636729000032,0.01)); #255196=CARTESIAN_POINT('',(13.8599395300002,3.37636729000032,0.)); #255197=CARTESIAN_POINT('Origin',(13.8997654328854,3.57365965371018,0.01)); #255198=CARTESIAN_POINT('Origin',(100.053508895207,-45.8121139726803,0.)); #255199=CARTESIAN_POINT('',(14.12186753,3.83253429000001,0.01)); #255200=CARTESIAN_POINT('',(14.12186753,3.83253429000001,0.)); #255201=CARTESIAN_POINT('Origin',(100.053508895207,-45.8121139726803,0.01)); #255202=CARTESIAN_POINT('Origin',(14.26638553,3.68811629,0.)); #255203=CARTESIAN_POINT('',(14.26638553,3.68811629,0.01)); #255204=CARTESIAN_POINT('',(14.26638553,3.68811629,0.)); #255205=CARTESIAN_POINT('',(14.26638553,3.68811629,0.01)); #255206=CARTESIAN_POINT('Origin',(14.26690353,3.68654529,0.)); #255207=CARTESIAN_POINT('',(14.26690353,3.68654529,0.01)); #255208=CARTESIAN_POINT('',(14.26690353,3.68654529,0.)); #255209=CARTESIAN_POINT('',(14.26690353,3.68654529,0.01)); #255210=CARTESIAN_POINT('Origin',(14.19432853,3.13967729,0.)); #255211=CARTESIAN_POINT('',(14.19432853,3.13967729,0.01)); #255212=CARTESIAN_POINT('',(14.19432853,3.13967729,0.)); #255213=CARTESIAN_POINT('',(14.19432853,3.13967729,0.01)); #255214=CARTESIAN_POINT('Origin',(14.68695753,2.69453729000001,0.)); #255215=CARTESIAN_POINT('',(14.68695753,2.69453729,0.01)); #255216=CARTESIAN_POINT('',(14.68695753,2.69453729,0.)); #255217=CARTESIAN_POINT('',(14.68695753,2.69453729000001,0.01)); #255218=CARTESIAN_POINT('Origin',(15.0721001795556,3.0332291587467,0.)); #255219=CARTESIAN_POINT('',(14.84049653,2.57561929,0.01)); #255220=CARTESIAN_POINT('',(14.84049653,2.57561929,0.)); #255221=CARTESIAN_POINT('Origin',(15.0721001795556,3.0332291587467,0.01)); #255222=CARTESIAN_POINT('Origin',(14.85646953,2.57220629,0.)); #255223=CARTESIAN_POINT('',(14.85646953,2.57220629,0.01)); #255224=CARTESIAN_POINT('',(14.85646953,2.57220629,0.)); #255225=CARTESIAN_POINT('',(14.85646953,2.57220629,0.01)); #255226=CARTESIAN_POINT('Origin',(14.87050853,2.57253429,0.)); #255227=CARTESIAN_POINT('',(14.87050853,2.57253429,0.01)); #255228=CARTESIAN_POINT('',(14.87050853,2.57253429,0.)); #255229=CARTESIAN_POINT('',(14.87050853,2.57253429,0.01)); #255230=CARTESIAN_POINT('Origin',(14.2479058140329,3.3383839322361,0.)); #255231=CARTESIAN_POINT('',(15.15658753,2.95308229,0.01)); #255232=CARTESIAN_POINT('',(15.15658753,2.95308229,0.)); #255233=CARTESIAN_POINT('Origin',(14.2479058140329,3.3383839322361,0.01)); #255234=CARTESIAN_POINT('Origin',(15.50834253,3.87824929,0.)); #255235=CARTESIAN_POINT('',(15.50834253,3.87824929,0.01)); #255236=CARTESIAN_POINT('',(15.50834253,3.87824929,0.)); #255237=CARTESIAN_POINT('',(15.50834253,3.87824929,0.01)); #255238=CARTESIAN_POINT('Origin',(15.50867853,3.87822329,0.)); #255239=CARTESIAN_POINT('',(15.50867853,3.87822329,0.01)); #255240=CARTESIAN_POINT('',(15.50867853,3.87822329,0.)); #255241=CARTESIAN_POINT('',(15.50867853,3.87822329,0.01)); #255242=CARTESIAN_POINT('Origin',(15.0595248218208,3.26352781955434,0.)); #255243=CARTESIAN_POINT('',(15.68747253,3.69395929,0.01)); #255244=CARTESIAN_POINT('',(15.68747253,3.69395929,0.)); #255245=CARTESIAN_POINT('Origin',(15.0595248218208,3.26352781955434,0.01)); #255246=CARTESIAN_POINT('Origin',(14.8883063274968,3.57032036190824,0.)); #255247=CARTESIAN_POINT('',(15.63975553,3.27152729,0.01)); #255248=CARTESIAN_POINT('',(15.63975553,3.27152729,0.)); #255249=CARTESIAN_POINT('Origin',(14.8883063274968,3.57032036190824,0.01)); #255250=CARTESIAN_POINT('Origin',(15.62943153,3.23446929000001,0.)); #255251=CARTESIAN_POINT('',(15.62943153,3.23446929000001,0.01)); #255252=CARTESIAN_POINT('',(15.62943153,3.23446929000001,0.)); #255253=CARTESIAN_POINT('',(15.62943153,3.23446929000001,0.01)); #255254=CARTESIAN_POINT('Origin',(15.77261453,3.09118629,0.)); #255255=CARTESIAN_POINT('',(15.77261453,3.09118629,0.01)); #255256=CARTESIAN_POINT('',(15.77261453,3.09118629,0.)); #255257=CARTESIAN_POINT('',(15.77261453,3.09118629,0.01)); #255258=CARTESIAN_POINT('Origin',(15.6771618976113,2.97979498779892,0.)); #255259=CARTESIAN_POINT('',(15.82385153,2.98096929,0.01)); #255260=CARTESIAN_POINT('',(15.82385153,2.98096929,0.)); #255261=CARTESIAN_POINT('Origin',(15.6771618976113,2.97979498779892,0.01)); #255262=CARTESIAN_POINT('Origin',(15.82066153,2.96348929,0.)); #255263=CARTESIAN_POINT('',(15.82066153,2.96348929,0.01)); #255264=CARTESIAN_POINT('',(15.82066153,2.96348929,0.)); #255265=CARTESIAN_POINT('',(15.82066153,2.96348929,0.01)); #255266=CARTESIAN_POINT('Origin',(15.81420353,2.94798429000001,0.)); #255267=CARTESIAN_POINT('',(15.81420353,2.94798429000001,0.01)); #255268=CARTESIAN_POINT('',(15.81420353,2.94798429000001,0.)); #255269=CARTESIAN_POINT('',(15.81420353,2.94798429000001,0.01)); #255270=CARTESIAN_POINT('Origin',(15.80487153,2.93477229000001,0.)); #255271=CARTESIAN_POINT('',(15.80487153,2.93477229000001,0.01)); #255272=CARTESIAN_POINT('',(15.80487153,2.93477229000001,0.)); #255273=CARTESIAN_POINT('',(15.80487153,2.93477229000001,0.01)); #255274=CARTESIAN_POINT('Origin',(15.79306153,2.92417829,0.)); #255275=CARTESIAN_POINT('',(15.79306153,2.92417829,0.01)); #255276=CARTESIAN_POINT('',(15.79306153,2.92417829,0.)); #255277=CARTESIAN_POINT('',(15.79306153,2.92417829,0.01)); #255278=CARTESIAN_POINT('Origin',(15.77916453,2.91651929000001,0.)); #255279=CARTESIAN_POINT('',(15.77916453,2.91651929000001,0.01)); #255280=CARTESIAN_POINT('',(15.77916453,2.91651929000001,0.)); #255281=CARTESIAN_POINT('',(15.77916453,2.91651929000001,0.01)); #255282=CARTESIAN_POINT('Origin',(15.76357653,2.91211829,0.)); #255283=CARTESIAN_POINT('',(15.76357653,2.91211829,0.01)); #255284=CARTESIAN_POINT('',(15.76357653,2.91211829,0.)); #255285=CARTESIAN_POINT('',(15.76357653,2.91211829,0.01)); #255286=CARTESIAN_POINT('Origin',(15.74669153,2.91129529,0.)); #255287=CARTESIAN_POINT('',(15.74669153,2.91129529,0.01)); #255288=CARTESIAN_POINT('',(15.74669153,2.91129529,0.)); #255289=CARTESIAN_POINT('',(15.74669153,2.91129529,0.01)); #255290=CARTESIAN_POINT('Origin',(15.7583344941911,3.01966984704097,0.)); #255291=CARTESIAN_POINT('',(15.67706353,2.94703629,0.01)); #255292=CARTESIAN_POINT('',(15.67706353,2.94703629,0.)); #255293=CARTESIAN_POINT('Origin',(15.7583344941911,3.01966984704097,0.01)); #255294=CARTESIAN_POINT('Origin',(15.60540253,3.01869729,0.)); #255295=CARTESIAN_POINT('',(15.60540253,3.01869729,0.01)); #255296=CARTESIAN_POINT('',(15.60540253,3.01869729,0.)); #255297=CARTESIAN_POINT('',(15.60540253,3.01869729,0.01)); #255298=CARTESIAN_POINT('Origin',(15.60496853,3.01863729,0.)); #255299=CARTESIAN_POINT('',(15.60496853,3.01863729,0.01)); #255300=CARTESIAN_POINT('',(15.60496853,3.01863729,0.)); #255301=CARTESIAN_POINT('',(15.60496853,3.01863729,0.01)); #255302=CARTESIAN_POINT('Origin',(15.55940053,2.68404429,0.)); #255303=CARTESIAN_POINT('',(15.55940053,2.68404429,0.01)); #255304=CARTESIAN_POINT('',(15.55940053,2.68404429,0.)); #255305=CARTESIAN_POINT('',(15.55940053,2.68404429,0.01)); #255306=CARTESIAN_POINT('Origin',(15.2853770768253,2.26227954520988,0.)); #255307=CARTESIAN_POINT('',(15.74085353,2.47562329,0.01)); #255308=CARTESIAN_POINT('',(15.74085353,2.47562329,0.)); #255309=CARTESIAN_POINT('Origin',(15.2853770768253,2.26227954520988,0.01)); #255310=CARTESIAN_POINT('Origin',(15.74211253,2.45518829,0.)); #255311=CARTESIAN_POINT('',(15.74211253,2.45518829,0.01)); #255312=CARTESIAN_POINT('',(15.74211253,2.45518829,0.)); #255313=CARTESIAN_POINT('',(15.74211253,2.45518829,0.01)); #255314=CARTESIAN_POINT('Origin',(15.73842253,2.43684429,0.)); #255315=CARTESIAN_POINT('',(15.73842253,2.43684429,0.01)); #255316=CARTESIAN_POINT('',(15.73842253,2.43684429,0.)); #255317=CARTESIAN_POINT('',(15.73842253,2.43684429,0.01)); #255318=CARTESIAN_POINT('Origin',(15.73042453,2.42088529,0.)); #255319=CARTESIAN_POINT('',(15.73042453,2.42088529,0.01)); #255320=CARTESIAN_POINT('',(15.73042453,2.42088529,0.)); #255321=CARTESIAN_POINT('',(15.73042453,2.42088529,0.01)); #255322=CARTESIAN_POINT('Origin',(15.71876753,2.40760229,0.)); #255323=CARTESIAN_POINT('',(15.71876753,2.40760229,0.01)); #255324=CARTESIAN_POINT('',(15.71876753,2.40760229,0.)); #255325=CARTESIAN_POINT('',(15.71876753,2.40760229,0.01)); #255326=CARTESIAN_POINT('Origin',(15.6571484122409,2.48793849369134,0.)); #255327=CARTESIAN_POINT('',(15.58040353,2.42190029000001,0.01)); #255328=CARTESIAN_POINT('',(15.58040353,2.42190029000001,0.)); #255329=CARTESIAN_POINT('Origin',(15.6571484122409,2.48793849369134,0.01)); #255330=CARTESIAN_POINT('Origin',(15.53607053,2.46632929,0.)); #255331=CARTESIAN_POINT('',(15.53607053,2.46632929,0.01)); #255332=CARTESIAN_POINT('',(15.53607053,2.46632929,0.)); #255333=CARTESIAN_POINT('',(15.53607053,2.46632929,0.01)); #255334=CARTESIAN_POINT('Origin',(15.46445853,1.96568729,0.)); #255335=CARTESIAN_POINT('',(15.46445853,1.96568729,0.01)); #255336=CARTESIAN_POINT('',(15.46445853,1.96568729,0.)); #255337=CARTESIAN_POINT('',(15.46445853,1.96568729,0.01)); #255338=CARTESIAN_POINT('Origin',(15.47902653,1.94918029,0.)); #255339=CARTESIAN_POINT('',(15.47902653,1.94918029,0.01)); #255340=CARTESIAN_POINT('',(15.47902653,1.94918029,0.)); #255341=CARTESIAN_POINT('',(15.47902653,1.94918029,0.01)); #255342=CARTESIAN_POINT('Origin',(15.87118453,1.51152129,0.)); #255343=CARTESIAN_POINT('',(15.87118453,1.51152129,0.01)); #255344=CARTESIAN_POINT('',(15.87118453,1.51152129,0.)); #255345=CARTESIAN_POINT('',(15.87118453,1.51152129,0.01)); #255346=CARTESIAN_POINT('Origin',(15.5760703331794,1.26252109450021,0.)); #255347=CARTESIAN_POINT('',(15.96217553,1.25848829,0.01)); #255348=CARTESIAN_POINT('',(15.96217553,1.25848829,0.)); #255349=CARTESIAN_POINT('Origin',(15.5760703331794,1.26252109450021,0.01)); #255350=CARTESIAN_POINT('Origin',(15.95757753,1.24512529,0.)); #255351=CARTESIAN_POINT('',(15.95757753,1.24512529,0.01)); #255352=CARTESIAN_POINT('',(15.95757753,1.24512529,0.)); #255353=CARTESIAN_POINT('',(15.95757753,1.24512529,0.01)); #255354=CARTESIAN_POINT('Origin',(15.95103753,1.23374329,0.)); #255355=CARTESIAN_POINT('',(15.95103753,1.23374329,0.01)); #255356=CARTESIAN_POINT('',(15.95103753,1.23374329,0.)); #255357=CARTESIAN_POINT('',(15.95103753,1.23374329,0.01)); #255358=CARTESIAN_POINT('Origin',(15.94271553,1.22425829,0.)); #255359=CARTESIAN_POINT('',(15.94271553,1.22425829,0.01)); #255360=CARTESIAN_POINT('',(15.94271553,1.22425829,0.)); #255361=CARTESIAN_POINT('',(15.94271553,1.22425829,0.01)); #255362=CARTESIAN_POINT('Origin',(15.8452312402755,1.43850135087129,0.)); #255363=CARTESIAN_POINT('',(15.65692853,1.29727329,0.01)); #255364=CARTESIAN_POINT('',(15.65692853,1.29727329,0.)); #255365=CARTESIAN_POINT('Origin',(15.8452312402755,1.43850135087129,0.01)); #255366=CARTESIAN_POINT('Origin',(15.20217453,1.70326429,0.)); #255367=CARTESIAN_POINT('',(15.20217453,1.70326429,0.01)); #255368=CARTESIAN_POINT('',(15.20217453,1.70326429,0.)); #255369=CARTESIAN_POINT('',(15.20217453,1.70326429,0.01)); #255370=CARTESIAN_POINT('Origin',(14.69845653,1.62884329,0.)); #255371=CARTESIAN_POINT('',(14.69845653,1.62884329,0.01)); #255372=CARTESIAN_POINT('',(14.69845653,1.62884329,0.)); #255373=CARTESIAN_POINT('',(14.69845653,1.62884329,0.01)); #255374=CARTESIAN_POINT('Origin',(14.6416397524996,1.50200526823171,0.)); #255375=CARTESIAN_POINT('',(14.78062153,1.50231429,0.01)); #255376=CARTESIAN_POINT('',(14.78062153,1.50231429,0.)); #255377=CARTESIAN_POINT('Origin',(14.6416397524996,1.50200526823171,0.01)); #255378=CARTESIAN_POINT('Origin',(14.77824953,1.48670429,0.)); #255379=CARTESIAN_POINT('',(14.77824953,1.48670429,0.01)); #255380=CARTESIAN_POINT('',(14.77824953,1.48670429,0.)); #255381=CARTESIAN_POINT('',(14.77824953,1.48670429,0.01)); #255382=CARTESIAN_POINT('Origin',(14.77326353,1.47247829,0.)); #255383=CARTESIAN_POINT('',(14.77326353,1.47247829,0.01)); #255384=CARTESIAN_POINT('',(14.77326353,1.47247829,0.)); #255385=CARTESIAN_POINT('',(14.77326353,1.47247829,0.01)); #255386=CARTESIAN_POINT('Origin',(14.76584053,1.45994929,0.)); #255387=CARTESIAN_POINT('',(14.76584053,1.45994929,0.01)); #255388=CARTESIAN_POINT('',(14.76584053,1.45994929,0.)); #255389=CARTESIAN_POINT('',(14.76584053,1.45994929,0.01)); #255390=CARTESIAN_POINT('Origin',(14.6964837886864,1.54325929278242,0.)); #255391=CARTESIAN_POINT('',(14.67859853,1.43634329,0.01)); #255392=CARTESIAN_POINT('',(14.67859853,1.43634329,0.)); #255393=CARTESIAN_POINT('Origin',(14.6964837886864,1.54325929278242,0.01)); #255394=CARTESIAN_POINT('Origin',(14.6978170764174,1.54276121053181,0.)); #255395=CARTESIAN_POINT('',(14.60452353,1.48807629,0.01)); #255396=CARTESIAN_POINT('',(14.60452353,1.48807629,0.)); #255397=CARTESIAN_POINT('Origin',(14.6978170764174,1.54276121053181,0.01)); #255398=CARTESIAN_POINT('Origin',(14.48490953,1.60769029,0.)); #255399=CARTESIAN_POINT('',(14.48490953,1.60769029,0.01)); #255400=CARTESIAN_POINT('',(14.48490953,1.60769029,0.)); #255401=CARTESIAN_POINT('',(14.48490953,1.60769029,0.01)); #255402=CARTESIAN_POINT('Origin',(14.48363353,1.60794429,0.)); #255403=CARTESIAN_POINT('',(14.48363353,1.60794429,0.01)); #255404=CARTESIAN_POINT('',(14.48363353,1.60794429,0.)); #255405=CARTESIAN_POINT('',(14.48363353,1.60794429,0.01)); #255406=CARTESIAN_POINT('Origin',(15.1932937505904,-4.52052453194096,0.)); #255407=CARTESIAN_POINT('',(14.14561253,1.55928729,0.01)); #255408=CARTESIAN_POINT('',(14.14561253,1.55928729,0.)); #255409=CARTESIAN_POINT('Origin',(15.1932937505904,-4.52052453194096,0.01)); #255410=CARTESIAN_POINT('Origin',(14.0612699561239,1.40554627760176,0.)); #255411=CARTESIAN_POINT('',(14.23487053,1.38079129,0.01)); #255412=CARTESIAN_POINT('',(14.23487053,1.38079129,0.)); #255413=CARTESIAN_POINT('Origin',(14.0612699561239,1.40554627760176,0.01)); #255414=CARTESIAN_POINT('Origin',(14.22837153,1.36540029,0.)); #255415=CARTESIAN_POINT('',(14.22837153,1.36540029,0.01)); #255416=CARTESIAN_POINT('',(14.22837153,1.36540029,0.)); #255417=CARTESIAN_POINT('',(14.22837153,1.36540029,0.01)); #255418=CARTESIAN_POINT('Origin',(14.16407806251,1.43923805585745,0.)); #255419=CARTESIAN_POINT('',(14.14549553,1.34311129,0.01)); #255420=CARTESIAN_POINT('',(14.14549553,1.34311129,0.)); #255421=CARTESIAN_POINT('Origin',(14.16407806251,1.43923805585745,0.01)); #255422=CARTESIAN_POINT('Origin',(14.1632621672451,1.44000024284553,0.)); #255423=CARTESIAN_POINT('',(14.07622153,1.39388029,0.01)); #255424=CARTESIAN_POINT('',(14.07622153,1.39388029,0.)); #255425=CARTESIAN_POINT('Origin',(14.1632621672451,1.44000024284553,0.01)); #255426=CARTESIAN_POINT('Origin',(13.95615653,1.51404429,0.)); #255427=CARTESIAN_POINT('',(13.95615653,1.51404429,0.01)); #255428=CARTESIAN_POINT('',(13.95615653,1.51404429,0.)); #255429=CARTESIAN_POINT('',(13.95615653,1.51404429,0.01)); #255430=CARTESIAN_POINT('Origin',(13.74518553,1.48750729,0.)); #255431=CARTESIAN_POINT('',(13.74518553,1.48750729,0.01)); #255432=CARTESIAN_POINT('',(13.74518553,1.48750729,0.)); #255433=CARTESIAN_POINT('',(13.74518553,1.48750729,0.01)); #255434=CARTESIAN_POINT('Origin',(13.6310397813437,2.01159088229607,0.)); #255435=CARTESIAN_POINT('',(13.44476753,1.50860429,0.01)); #255436=CARTESIAN_POINT('',(13.44476753,1.50860429,0.)); #255437=CARTESIAN_POINT('Origin',(13.6310397813437,2.01159088229607,0.01)); #255438=CARTESIAN_POINT('Origin',(13.8365103659648,2.09948415559508,0.)); #255439=CARTESIAN_POINT('',(13.28466853,1.65443129,0.01)); #255440=CARTESIAN_POINT('',(13.28466853,1.65443129,0.)); #255441=CARTESIAN_POINT('Origin',(13.8365103659648,2.09948415559508,0.01)); #255442=CARTESIAN_POINT('Origin',(13.28474853,1.65465329,0.)); #255443=CARTESIAN_POINT('',(13.28474853,1.65465329,0.01)); #255444=CARTESIAN_POINT('',(13.28474853,1.65465329,0.)); #255445=CARTESIAN_POINT('',(13.28474853,1.65465329,0.01)); #255446=CARTESIAN_POINT('Origin',(14.19818553,1.99066729,0.)); #255447=CARTESIAN_POINT('',(14.19818553,1.99066729,0.01)); #255448=CARTESIAN_POINT('',(14.19818553,1.99066729,0.)); #255449=CARTESIAN_POINT('',(14.19818553,1.99066729,0.01)); #255450=CARTESIAN_POINT('Origin',(14.43500653,2.13154729,0.)); #255451=CARTESIAN_POINT('',(14.43500653,2.13154729,0.01)); #255452=CARTESIAN_POINT('',(14.43500653,2.13154729,0.)); #255453=CARTESIAN_POINT('',(14.43500653,2.13154729,0.01)); #255454=CARTESIAN_POINT('Origin',(14.2954120908096,2.47269445496999,0.)); #255455=CARTESIAN_POINT('',(14.58291253,2.24201829,0.01)); #255456=CARTESIAN_POINT('',(14.58291253,2.24201829,0.)); #255457=CARTESIAN_POINT('Origin',(14.2954120908096,2.47269445496999,0.01)); #255458=CARTESIAN_POINT('Origin',(14.58737953,2.25101529,0.)); #255459=CARTESIAN_POINT('',(14.58737953,2.25101529,0.01)); #255460=CARTESIAN_POINT('',(14.58737953,2.25101529,0.)); #255461=CARTESIAN_POINT('',(14.58737953,2.25101529,0.01)); #255462=CARTESIAN_POINT('Origin',(14.59179953,2.26798929,0.)); #255463=CARTESIAN_POINT('',(14.59179953,2.26798929,0.01)); #255464=CARTESIAN_POINT('',(14.59179953,2.26798929,0.)); #255465=CARTESIAN_POINT('',(14.59179953,2.26798929,0.01)); #255466=CARTESIAN_POINT('Origin',(14.59099853,2.28376329,0.)); #255467=CARTESIAN_POINT('',(14.59099853,2.28376329,0.01)); #255468=CARTESIAN_POINT('',(14.59099853,2.28376329,0.)); #255469=CARTESIAN_POINT('',(14.59099853,2.28376329,0.01)); #255470=CARTESIAN_POINT('Origin',(14.58592753,2.29852929,0.)); #255471=CARTESIAN_POINT('',(14.58592753,2.29852929,0.01)); #255472=CARTESIAN_POINT('',(14.58592753,2.29852929,0.)); #255473=CARTESIAN_POINT('',(14.58592753,2.29852929,0.01)); #255474=CARTESIAN_POINT('Origin',(14.57754253,2.31248129,0.)); #255475=CARTESIAN_POINT('',(14.57754253,2.31248129,0.01)); #255476=CARTESIAN_POINT('',(14.57754253,2.31248129,0.)); #255477=CARTESIAN_POINT('',(14.57754253,2.31248129,0.01)); #255478=CARTESIAN_POINT('Origin',(16.4045794746379,3.95107484202436,0.)); #255479=CARTESIAN_POINT('',(14.51099753,2.38985729,0.01)); #255480=CARTESIAN_POINT('',(14.51099753,2.38985729,0.)); #255481=CARTESIAN_POINT('Origin',(16.4045794746379,3.95107484202436,0.01)); #255482=CARTESIAN_POINT('Origin',(14.50806953,2.39659829,0.)); #255483=CARTESIAN_POINT('',(14.50806953,2.39659829,0.01)); #255484=CARTESIAN_POINT('',(14.50806953,2.39659829,0.)); #255485=CARTESIAN_POINT('',(14.50806953,2.39659829,0.01)); #255486=CARTESIAN_POINT('Origin',(14.50670153,2.39880529,0.)); #255487=CARTESIAN_POINT('',(14.50670153,2.39880529,0.01)); #255488=CARTESIAN_POINT('',(14.50670153,2.39880529,0.)); #255489=CARTESIAN_POINT('',(14.50670153,2.39880529,0.01)); #255490=CARTESIAN_POINT('Origin',(14.02802353,2.97337129,0.)); #255491=CARTESIAN_POINT('',(14.02802353,2.97337129,0.01)); #255492=CARTESIAN_POINT('',(14.02802353,2.97337129,0.)); #255493=CARTESIAN_POINT('',(14.02802353,2.97337129,0.01)); #255494=CARTESIAN_POINT('Origin',(13.54999953,2.90834978570738,0.)); #255495=CARTESIAN_POINT('',(13.54999953,2.90834978570738,0.01)); #255496=CARTESIAN_POINT('',(13.54999953,2.90834978570738,0.)); #255497=CARTESIAN_POINT('',(13.47905853,2.89870029,0.01)); #255498=CARTESIAN_POINT('Origin',(13.54999953,3.16808342335153,0.)); #255499=CARTESIAN_POINT('',(13.54999953,3.16808342335154,0.01)); #255500=CARTESIAN_POINT('',(13.54999953,3.16808342335154,0.)); #255501=CARTESIAN_POINT('',(13.54999953,2.87335129,0.01)); #255502=CARTESIAN_POINT('Origin',(13.78773353,3.30416229,0.)); #255503=CARTESIAN_POINT('',(13.78773353,3.30416229,0.01)); #255504=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255505=CARTESIAN_POINT('Origin',(12.67782753,9.97802659,0.)); #255506=CARTESIAN_POINT('',(12.67782753,9.97802659,0.01)); #255507=CARTESIAN_POINT('',(12.67782753,9.97802659,0.)); #255508=CARTESIAN_POINT('',(12.67782753,8.69747279,0.01)); #255509=CARTESIAN_POINT('',(12.67782753,8.69747279,0.01)); #255510=CARTESIAN_POINT('',(12.67782753,8.69747279,0.)); #255511=CARTESIAN_POINT('Origin',(13.1449842584659,10.0736191662465,0.)); #255512=CARTESIAN_POINT('',(12.68115653,10.18424099,0.01)); #255513=CARTESIAN_POINT('',(12.68115653,10.18424099,0.)); #255514=CARTESIAN_POINT('Origin',(13.1449842584659,10.0736191662465,0.01)); #255515=CARTESIAN_POINT('Origin',(12.68650053,10.19493219,0.)); #255516=CARTESIAN_POINT('',(12.68650053,10.19493219,0.01)); #255517=CARTESIAN_POINT('',(12.68650053,10.19493219,0.)); #255518=CARTESIAN_POINT('',(12.68115653,10.18424099,0.01)); #255519=CARTESIAN_POINT('Origin',(12.69409253,10.20364109,0.)); #255520=CARTESIAN_POINT('',(12.69409253,10.20364109,0.01)); #255521=CARTESIAN_POINT('',(12.69409253,10.20364109,0.)); #255522=CARTESIAN_POINT('',(12.68650053,10.19493219,0.01)); #255523=CARTESIAN_POINT('Origin',(12.70371653,10.21055809,0.)); #255524=CARTESIAN_POINT('',(12.70371653,10.21055809,0.01)); #255525=CARTESIAN_POINT('',(12.70371653,10.21055809,0.)); #255526=CARTESIAN_POINT('',(12.69409253,10.20364109,0.01)); #255527=CARTESIAN_POINT('Origin',(12.71515053,10.21587579,0.)); #255528=CARTESIAN_POINT('',(12.71515053,10.21587579,0.01)); #255529=CARTESIAN_POINT('',(12.71515053,10.21587579,0.)); #255530=CARTESIAN_POINT('',(12.70371653,10.21055809,0.01)); #255531=CARTESIAN_POINT('Origin',(12.8100983690231,10.0008658155385,0.)); #255532=CARTESIAN_POINT('',(12.93957953,10.19702649,0.01)); #255533=CARTESIAN_POINT('',(12.93957953,10.19702649,0.)); #255534=CARTESIAN_POINT('Origin',(12.8100983690231,10.0008658155385,0.01)); #255535=CARTESIAN_POINT('Origin',(12.94379553,10.18562189,0.)); #255536=CARTESIAN_POINT('',(12.94379553,10.18562189,0.01)); #255537=CARTESIAN_POINT('',(12.94379553,10.18562189,0.)); #255538=CARTESIAN_POINT('',(12.93957953,10.19702649,0.01)); #255539=CARTESIAN_POINT('Origin',(12.94497253,10.17900959,0.)); #255540=CARTESIAN_POINT('',(12.94497253,10.17900959,0.01)); #255541=CARTESIAN_POINT('',(12.94497253,10.17900959,0.)); #255542=CARTESIAN_POINT('',(12.94379553,10.18562189,0.01)); #255543=CARTESIAN_POINT('Origin',(12.94550053,10.17176269,0.)); #255544=CARTESIAN_POINT('',(12.94550053,10.17176269,0.01)); #255545=CARTESIAN_POINT('',(12.94550053,10.17176269,0.)); #255546=CARTESIAN_POINT('',(12.94497253,10.17900959,0.01)); #255547=CARTESIAN_POINT('Origin',(-257.28289860287,9.44487374431612,0.)); #255548=CARTESIAN_POINT('',(12.9453795299999,8.67431369,0.01)); #255549=CARTESIAN_POINT('',(12.9453795299999,8.67431369,0.)); #255550=CARTESIAN_POINT('Origin',(-257.28289860287,9.44487374431612,0.01)); #255551=CARTESIAN_POINT('Origin',(12.94261953,8.66473689,0.)); #255552=CARTESIAN_POINT('',(12.94261953,8.66473689,0.01)); #255553=CARTESIAN_POINT('',(12.94261953,8.66473689,0.)); #255554=CARTESIAN_POINT('',(12.9453795299999,8.67431369,0.01)); #255555=CARTESIAN_POINT('Origin',(12.93666353,8.65680429,0.)); #255556=CARTESIAN_POINT('',(12.93666353,8.65680429,0.01)); #255557=CARTESIAN_POINT('',(12.93666353,8.65680429,0.)); #255558=CARTESIAN_POINT('',(12.94261953,8.66473689,0.01)); #255559=CARTESIAN_POINT('Origin',(12.92788853,8.65037179,0.)); #255560=CARTESIAN_POINT('',(12.92788853,8.65037179,0.01)); #255561=CARTESIAN_POINT('',(12.92788853,8.65037179,0.)); #255562=CARTESIAN_POINT('',(12.93666353,8.65680429,0.01)); #255563=CARTESIAN_POINT('Origin',(12.91667153,8.64529439000001,0.)); #255564=CARTESIAN_POINT('',(12.91667153,8.64529439000001,0.01)); #255565=CARTESIAN_POINT('',(12.91667153,8.64529439000001,0.)); #255566=CARTESIAN_POINT('',(12.92788853,8.65037179,0.01)); #255567=CARTESIAN_POINT('Origin',(12.8139691530286,8.88898863302893,0.)); #255568=CARTESIAN_POINT('',(12.69546353,8.65257569,0.01)); #255569=CARTESIAN_POINT('',(12.69546353,8.65257569,0.)); #255570=CARTESIAN_POINT('Origin',(12.8139691530286,8.88898863302893,0.01)); #255571=CARTESIAN_POINT('Origin',(12.69147953,8.65675559,0.)); #255572=CARTESIAN_POINT('',(12.69147953,8.65675559,0.01)); #255573=CARTESIAN_POINT('',(12.69147953,8.65675559,0.)); #255574=CARTESIAN_POINT('',(12.69546353,8.65257569,0.01)); #255575=CARTESIAN_POINT('Origin',(12.68796753,8.66138189000001,0.)); #255576=CARTESIAN_POINT('',(12.68796753,8.66138189000001,0.01)); #255577=CARTESIAN_POINT('',(12.68796753,8.66138189000001,0.)); #255578=CARTESIAN_POINT('',(12.69147953,8.65675559,0.01)); #255579=CARTESIAN_POINT('Origin',(12.68494653,8.66643539,0.)); #255580=CARTESIAN_POINT('',(12.68494653,8.66643539,0.01)); #255581=CARTESIAN_POINT('',(12.68494653,8.66643539,0.)); #255582=CARTESIAN_POINT('',(12.68796753,8.66138189000001,0.01)); #255583=CARTESIAN_POINT('Origin',(12.68243253,8.67189809,0.)); #255584=CARTESIAN_POINT('',(12.68243253,8.67189809,0.01)); #255585=CARTESIAN_POINT('',(12.68243253,8.67189809,0.)); #255586=CARTESIAN_POINT('',(12.68494653,8.66643539,0.01)); #255587=CARTESIAN_POINT('Origin',(12.68044453,8.67775079,0.)); #255588=CARTESIAN_POINT('',(12.68044453,8.67775079,0.01)); #255589=CARTESIAN_POINT('',(12.68044453,8.67775079,0.)); #255590=CARTESIAN_POINT('',(12.68243253,8.67189809,0.01)); #255591=CARTESIAN_POINT('Origin',(12.67900253,8.68397729,0.)); #255592=CARTESIAN_POINT('',(12.67900253,8.68397729,0.01)); #255593=CARTESIAN_POINT('',(12.67900253,8.68397729,0.)); #255594=CARTESIAN_POINT('',(12.68044453,8.67775079,0.01)); #255595=CARTESIAN_POINT('Origin',(12.67812453,8.69055669,0.)); #255596=CARTESIAN_POINT('',(12.67812453,8.69055669,0.01)); #255597=CARTESIAN_POINT('',(12.67812453,8.69055669,0.)); #255598=CARTESIAN_POINT('',(12.67900253,8.68397729,0.01)); #255599=CARTESIAN_POINT('Origin',(12.67782753,8.69747279,0.)); #255600=CARTESIAN_POINT('',(12.67812453,8.69055669,0.01)); #255601=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255602=CARTESIAN_POINT('Origin',(6.21550562999999,9.31977339,0.)); #255603=CARTESIAN_POINT('',(6.21550562999999,9.31977339,0.)); #255604=CARTESIAN_POINT('',(7.21742772999999,9.31977339000002,0.)); #255605=CARTESIAN_POINT('',(3.10775281499999,9.31977339,0.)); #255606=CARTESIAN_POINT('',(6.21550562999999,9.31977339,0.01)); #255607=CARTESIAN_POINT('',(6.21550562999999,9.31977339,0.)); #255608=CARTESIAN_POINT('',(7.21742772999999,9.31977339000002,0.01)); #255609=CARTESIAN_POINT('',(7.21742772999999,9.31977339,0.01)); #255610=CARTESIAN_POINT('',(7.21742772999999,9.31977339000002,0.)); #255611=CARTESIAN_POINT('Origin',(6.19362782999999,9.31956739,0.)); #255612=CARTESIAN_POINT('',(6.19362782999999,9.31956739,0.)); #255613=CARTESIAN_POINT('',(3.05321611094844,9.28999746916126,0.)); #255614=CARTESIAN_POINT('',(6.19362782999999,9.31956739,0.01)); #255615=CARTESIAN_POINT('',(6.19362782999999,9.31956739,0.)); #255616=CARTESIAN_POINT('',(6.21550562999999,9.31977339,0.01)); #255617=CARTESIAN_POINT('Origin',(6.19362782999999,9.17436949,0.)); #255618=CARTESIAN_POINT('',(6.19362782999999,9.17436949,0.)); #255619=CARTESIAN_POINT('',(6.19362782999999,4.587184745,0.)); #255620=CARTESIAN_POINT('',(6.19362782999999,9.17436949,0.01)); #255621=CARTESIAN_POINT('',(6.19362782999999,9.17436949,0.)); #255622=CARTESIAN_POINT('',(6.19362782999999,9.31956739,0.01)); #255623=CARTESIAN_POINT('Origin',(6.19454142999998,9.13744709,0.)); #255624=CARTESIAN_POINT('',(6.19454142999998,9.13744709,0.)); #255625=CARTESIAN_POINT('',(6.30562462141804,4.64811037058205,0.)); #255626=CARTESIAN_POINT('',(6.19454142999998,9.13744709,0.01)); #255627=CARTESIAN_POINT('',(6.19454142999998,9.13744709,0.)); #255628=CARTESIAN_POINT('',(6.19362782999999,9.17436949,0.01)); #255629=CARTESIAN_POINT('Origin',(6.40091975340201,9.11023542716833,0.)); #255630=CARTESIAN_POINT('',(6.23681022999999,8.98217079,0.)); #255631=CARTESIAN_POINT('Origin',(6.40091975340201,9.11023542716833,0.)); #255632=CARTESIAN_POINT('',(6.23681022999999,8.98217079,0.01)); #255633=CARTESIAN_POINT('',(6.23681022999999,8.98217079,0.)); #255634=CARTESIAN_POINT('Origin',(6.40091975340201,9.11023542716833,0.01)); #255635=CARTESIAN_POINT('Origin',(6.39966008847218,9.11178291118692,0.)); #255636=CARTESIAN_POINT('',(6.37790822999999,8.90478959,0.)); #255637=CARTESIAN_POINT('Origin',(6.39966008847218,9.11178291118692,0.)); #255638=CARTESIAN_POINT('',(6.37790822999999,8.90478959,0.01)); #255639=CARTESIAN_POINT('',(6.37790822999999,8.90478959,0.)); #255640=CARTESIAN_POINT('Origin',(6.39966008847218,9.11178291118692,0.01)); #255641=CARTESIAN_POINT('Origin',(6.68801742193073,10.7064600347685,0.)); #255642=CARTESIAN_POINT('',(7.10714292999999,8.92698879000001,0.)); #255643=CARTESIAN_POINT('Origin',(6.68801742193073,10.7064600347685,0.)); #255644=CARTESIAN_POINT('',(7.10714292999999,8.92698879000001,0.01)); #255645=CARTESIAN_POINT('',(7.10714292999999,8.92698879000001,0.)); #255646=CARTESIAN_POINT('Origin',(6.68801742193073,10.7064600347685,0.01)); #255647=CARTESIAN_POINT('Origin',(6.93137421882965,9.16046119355811,0.)); #255648=CARTESIAN_POINT('',(7.21670632999999,9.22362439,0.)); #255649=CARTESIAN_POINT('Origin',(6.93137421882965,9.16046119355811,0.)); #255650=CARTESIAN_POINT('',(7.21670632999999,9.22362439,0.01)); #255651=CARTESIAN_POINT('',(7.21670632999999,9.22362439,0.)); #255652=CARTESIAN_POINT('Origin',(6.93137421882965,9.16046119355811,0.01)); #255653=CARTESIAN_POINT('Origin',(2.67068182132394,9.30581013701811,0.)); #255654=CARTESIAN_POINT('Origin',(2.67068182132394,9.30581013701811,0.)); #255655=CARTESIAN_POINT('Origin',(2.67068182132394,9.30581013701811,0.01)); #255656=CARTESIAN_POINT('Origin',(8.30200771067246,9.30511336121638,0.)); #255657=CARTESIAN_POINT('',(5.91929913,9.28743619,0.01)); #255658=CARTESIAN_POINT('',(5.91929913,9.28743619,0.)); #255659=CARTESIAN_POINT('',(5.92042352999999,9.22981759000001,0.01)); #255660=CARTESIAN_POINT('Origin',(8.30200771067246,9.30511336121638,0.01)); #255661=CARTESIAN_POINT('',(5.92042352999999,9.22981759000001,0.)); #255662=CARTESIAN_POINT('Origin',(5.91867682999999,9.44176839,0.)); #255663=CARTESIAN_POINT('',(5.91867682999999,9.44176839,0.01)); #255664=CARTESIAN_POINT('',(5.91867682999999,9.44176839,0.)); #255665=CARTESIAN_POINT('',(5.91929912999999,9.28743619,0.01)); #255666=CARTESIAN_POINT('Origin',(6.89581265904786,9.58411570330169,0.)); #255667=CARTESIAN_POINT('',(6.02483133,10.04935619,0.01)); #255668=CARTESIAN_POINT('',(6.02483133,10.04935619,0.)); #255669=CARTESIAN_POINT('Origin',(6.89581265904786,9.58411570330169,0.01)); #255670=CARTESIAN_POINT('Origin',(6.44686502953001,9.64221573958849,0.)); #255671=CARTESIAN_POINT('',(6.52664042999999,10.22317329,0.01)); #255672=CARTESIAN_POINT('',(6.52664042999999,10.22317329,0.)); #255673=CARTESIAN_POINT('Origin',(6.44686502953001,9.64221573958849,0.01)); #255674=CARTESIAN_POINT('Origin',(7.29772762999999,10.22317329,0.)); #255675=CARTESIAN_POINT('',(7.29772762999999,10.22317329,0.01)); #255676=CARTESIAN_POINT('',(7.29772762999999,10.22317329,0.)); #255677=CARTESIAN_POINT('',(6.52664042999999,10.22317329,0.01)); #255678=CARTESIAN_POINT('Origin',(7.31219052999998,10.22207609,0.)); #255679=CARTESIAN_POINT('',(7.31219052999998,10.22207609,0.01)); #255680=CARTESIAN_POINT('',(7.31219052999998,10.22207609,0.)); #255681=CARTESIAN_POINT('',(7.29772762999999,10.22317329,0.01)); #255682=CARTESIAN_POINT('Origin',(7.32431792999999,10.21884889,0.)); #255683=CARTESIAN_POINT('',(7.32431792999999,10.21884889,0.01)); #255684=CARTESIAN_POINT('',(7.32431792999999,10.21884889,0.)); #255685=CARTESIAN_POINT('',(7.31219052999998,10.22207609,0.01)); #255686=CARTESIAN_POINT('Origin',(7.33430482999998,10.21358649,0.)); #255687=CARTESIAN_POINT('',(7.33430482999998,10.21358649,0.01)); #255688=CARTESIAN_POINT('',(7.33430482999998,10.21358649,0.)); #255689=CARTESIAN_POINT('',(7.32431792999999,10.21884889,0.01)); #255690=CARTESIAN_POINT('Origin',(7.34234572999998,10.20638339,0.)); #255691=CARTESIAN_POINT('',(7.34234572999998,10.20638339,0.01)); #255692=CARTESIAN_POINT('',(7.34234572999998,10.20638339,0.)); #255693=CARTESIAN_POINT('',(7.33430482999998,10.21358649,0.01)); #255694=CARTESIAN_POINT('Origin',(7.34863562999998,10.19733499,0.)); #255695=CARTESIAN_POINT('',(7.34863562999998,10.19733499,0.01)); #255696=CARTESIAN_POINT('',(7.34863562999998,10.19733499,0.)); #255697=CARTESIAN_POINT('',(7.34234572999998,10.20638339,0.01)); #255698=CARTESIAN_POINT('Origin',(7.35336922999998,10.18653559,0.)); #255699=CARTESIAN_POINT('',(7.35336922999998,10.18653559,0.01)); #255700=CARTESIAN_POINT('',(7.35336922999998,10.18653559,0.)); #255701=CARTESIAN_POINT('',(7.34863562999998,10.19733499,0.01)); #255702=CARTESIAN_POINT('Origin',(7.14526142119479,10.0956660354905,0.)); #255703=CARTESIAN_POINT('',(7.33942842999999,9.97791499,0.01)); #255704=CARTESIAN_POINT('',(7.33942842999999,9.97791499,0.)); #255705=CARTESIAN_POINT('Origin',(7.14526142119479,10.0956660354905,0.01)); #255706=CARTESIAN_POINT('Origin',(7.33086112999999,9.97348419,0.)); #255707=CARTESIAN_POINT('',(7.33086112999999,9.97348419,0.01)); #255708=CARTESIAN_POINT('',(7.33086112999999,9.97348419,0.)); #255709=CARTESIAN_POINT('',(7.33942842999999,9.97791499,0.01)); #255710=CARTESIAN_POINT('Origin',(7.23871556609299,10.3062118965728,0.)); #255711=CARTESIAN_POINT('',(7.20980362999999,9.96217319000001,0.01)); #255712=CARTESIAN_POINT('',(7.20980362999999,9.96217319000001,0.)); #255713=CARTESIAN_POINT('Origin',(7.23871556609299,10.3062118965728,0.01)); #255714=CARTESIAN_POINT('Origin',(6.94452973492461,41.0447029757775,0.)); #255715=CARTESIAN_POINT('',(6.47723202999999,9.96455399000001,0.01)); #255716=CARTESIAN_POINT('',(6.47723202999999,9.96455399000001,0.)); #255717=CARTESIAN_POINT('Origin',(6.94452973492461,41.0447029757775,0.01)); #255718=CARTESIAN_POINT('Origin',(6.43011142999998,9.96267149,0.)); #255719=CARTESIAN_POINT('',(6.43011142999998,9.96267149,0.01)); #255720=CARTESIAN_POINT('',(6.43011142999998,9.96267149,0.)); #255721=CARTESIAN_POINT('',(6.47723202999999,9.96455399000001,0.01)); #255722=CARTESIAN_POINT('Origin',(6.41291289713091,9.74661376527098,0.)); #255723=CARTESIAN_POINT('',(6.26943782999999,9.90906879,0.01)); #255724=CARTESIAN_POINT('',(6.26943782999999,9.90906879,0.)); #255725=CARTESIAN_POINT('Origin',(6.41291289713091,9.74661376527098,0.01)); #255726=CARTESIAN_POINT('Origin',(6.41449617627937,9.7480606968419,0.)); #255727=CARTESIAN_POINT('',(6.19790982999999,9.75553629000001,0.01)); #255728=CARTESIAN_POINT('',(6.19790982999999,9.75553629000001,0.)); #255729=CARTESIAN_POINT('Origin',(6.41449617627937,9.7480606968419,0.01)); #255730=CARTESIAN_POINT('Origin',(7.51498125688037,9.637930481514,0.)); #255731=CARTESIAN_POINT('',(6.19455332999999,9.56737299000001,0.01)); #255732=CARTESIAN_POINT('',(6.19455332999999,9.56737299000001,0.)); #255733=CARTESIAN_POINT('Origin',(7.51498125688037,9.637930481514,0.01)); #255734=CARTESIAN_POINT('Origin',(7.43457892999999,9.56730959,0.)); #255735=CARTESIAN_POINT('',(7.43457892999999,9.56730959,0.01)); #255736=CARTESIAN_POINT('',(7.43457892999999,9.56730959,0.)); #255737=CARTESIAN_POINT('',(6.19455332999999,9.56737299000001,0.01)); #255738=CARTESIAN_POINT('Origin',(7.44047112999999,9.56680509,0.)); #255739=CARTESIAN_POINT('',(7.44047112999999,9.56680509,0.01)); #255740=CARTESIAN_POINT('',(7.44047112999999,9.56680509,0.)); #255741=CARTESIAN_POINT('',(7.43457892999999,9.56730959,0.01)); #255742=CARTESIAN_POINT('Origin',(7.44606972999999,9.56581139,0.)); #255743=CARTESIAN_POINT('',(7.44606972999999,9.56581139,0.01)); #255744=CARTESIAN_POINT('',(7.44606972999999,9.56581139,0.)); #255745=CARTESIAN_POINT('',(7.44047112999999,9.56680509,0.01)); #255746=CARTESIAN_POINT('Origin',(7.45632312999999,9.56241679,0.)); #255747=CARTESIAN_POINT('',(7.45632312999999,9.56241679,0.01)); #255748=CARTESIAN_POINT('',(7.45632312999999,9.56241679,0.)); #255749=CARTESIAN_POINT('',(7.44606972999999,9.56581139,0.01)); #255750=CARTESIAN_POINT('Origin',(7.46521612999999,9.55725069,0.)); #255751=CARTESIAN_POINT('',(7.46521612999999,9.55725069,0.01)); #255752=CARTESIAN_POINT('',(7.46521612999999,9.55725069,0.)); #255753=CARTESIAN_POINT('',(7.45632312999999,9.56241679,0.01)); #255754=CARTESIAN_POINT('Origin',(7.47262672999999,9.55043669000001,0.)); #255755=CARTESIAN_POINT('',(7.47262672999999,9.55043669000001,0.01)); #255756=CARTESIAN_POINT('',(7.47262672999999,9.55043669000001,0.)); #255757=CARTESIAN_POINT('',(7.46521612999999,9.55725069,0.01)); #255758=CARTESIAN_POINT('Origin',(7.47843122999999,9.54209919000001,0.)); #255759=CARTESIAN_POINT('',(7.47843122999999,9.54209919000001,0.01)); #255760=CARTESIAN_POINT('',(7.47843122999999,9.54209919000001,0.)); #255761=CARTESIAN_POINT('',(7.47262672999999,9.55043669000001,0.01)); #255762=CARTESIAN_POINT('Origin',(7.48250772999999,9.53236169000001,0.)); #255763=CARTESIAN_POINT('',(7.48250772999999,9.53236169000001,0.01)); #255764=CARTESIAN_POINT('',(7.48250772999999,9.53236169000001,0.)); #255765=CARTESIAN_POINT('',(7.47843122999999,9.54209919000001,0.01)); #255766=CARTESIAN_POINT('Origin',(6.15382816495573,9.32470025973375,0.)); #255767=CARTESIAN_POINT('',(7.42238952999998,8.87830949,0.01)); #255768=CARTESIAN_POINT('',(7.42238952999998,8.87830949,0.)); #255769=CARTESIAN_POINT('Origin',(6.15382816495573,9.32470025973375,0.01)); #255770=CARTESIAN_POINT('Origin',(6.97975184265907,9.14240367411831,0.)); #255771=CARTESIAN_POINT('',(6.87811562999999,8.63708799000001,0.01)); #255772=CARTESIAN_POINT('',(6.87811562999999,8.63708799000001,0.)); #255773=CARTESIAN_POINT('Origin',(6.97975184265907,9.14240367411831,0.01)); #255774=CARTESIAN_POINT('Origin',(6.65920938877528,10.3608520155389,0.)); #255775=CARTESIAN_POINT('',(6.18697213,8.68864559,0.01)); #255776=CARTESIAN_POINT('',(6.18697213,8.68864559,0.)); #255777=CARTESIAN_POINT('Origin',(6.65920938877528,10.3608520155389,0.01)); #255778=CARTESIAN_POINT('Origin',(6.44444855301123,9.15169176563296,0.)); #255779=CARTESIAN_POINT('Origin',(6.44444855301123,9.15169176563296,0.01)); #255780=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255781=CARTESIAN_POINT('Origin',(4.05874662999998,9.74064269000001,0.)); #255782=CARTESIAN_POINT('',(4.05874662999998,9.74064269000001,0.01)); #255783=CARTESIAN_POINT('',(4.05874662999998,9.74064269000001,0.)); #255784=CARTESIAN_POINT('',(4.05869822999999,9.74137329000001,0.01)); #255785=CARTESIAN_POINT('',(4.05869822999999,9.74137329000001,0.01)); #255786=CARTESIAN_POINT('',(4.05869822999999,9.74137329000001,0.)); #255787=CARTESIAN_POINT('Origin',(4.05786802999999,9.73778219,0.)); #255788=CARTESIAN_POINT('',(4.05786802999999,9.73778219,0.01)); #255789=CARTESIAN_POINT('',(4.05786802999999,9.73778219,0.)); #255790=CARTESIAN_POINT('',(4.05874662999998,9.74064269000001,0.01)); #255791=CARTESIAN_POINT('Origin',(4.05661772999998,9.73446249000001,0.)); #255792=CARTESIAN_POINT('',(4.05661772999998,9.73446249000001,0.01)); #255793=CARTESIAN_POINT('',(4.05661772999998,9.73446249000001,0.)); #255794=CARTESIAN_POINT('',(4.05786802999999,9.73778219,0.01)); #255795=CARTESIAN_POINT('Origin',(1.40420416638597,11.1718618929122,0.)); #255796=CARTESIAN_POINT('',(3.76454142999999,9.29297319,0.01)); #255797=CARTESIAN_POINT('',(3.76454142999999,9.29297319,0.)); #255798=CARTESIAN_POINT('Origin',(1.40420416638597,11.1718618929122,0.01)); #255799=CARTESIAN_POINT('Origin',(3.76252582999999,9.29789799,0.)); #255800=CARTESIAN_POINT('',(3.76252582999999,9.29789799,0.01)); #255801=CARTESIAN_POINT('',(3.76252582999999,9.29789799,0.)); #255802=CARTESIAN_POINT('',(3.76454142999999,9.29297319,0.01)); #255803=CARTESIAN_POINT('Origin',(-26.8189462302535,-10.1779911871038,0.)); #255804=CARTESIAN_POINT('',(3.48779563,9.72271459,0.01)); #255805=CARTESIAN_POINT('',(3.48779563,9.72271459,0.)); #255806=CARTESIAN_POINT('Origin',(-26.8189462302535,-10.1779911871038,0.01)); #255807=CARTESIAN_POINT('Origin',(3.48625132999998,9.72706199000001,0.)); #255808=CARTESIAN_POINT('',(3.48625132999998,9.72706199000001,0.01)); #255809=CARTESIAN_POINT('',(3.48625132999998,9.72706199000001,0.)); #255810=CARTESIAN_POINT('',(3.48779563,9.72271459,0.01)); #255811=CARTESIAN_POINT('Origin',(3.48498822999999,9.73160529000001,0.)); #255812=CARTESIAN_POINT('',(3.48498822999999,9.73160529000001,0.01)); #255813=CARTESIAN_POINT('',(3.48498822999999,9.73160529000001,0.)); #255814=CARTESIAN_POINT('',(3.48625132999998,9.72706199000001,0.01)); #255815=CARTESIAN_POINT('Origin',(3.48403672999999,9.73636839000001,0.)); #255816=CARTESIAN_POINT('',(3.48403672999999,9.73636839000001,0.01)); #255817=CARTESIAN_POINT('',(3.48403672999999,9.73636839000001,0.)); #255818=CARTESIAN_POINT('',(3.48498822999999,9.73160529000001,0.01)); #255819=CARTESIAN_POINT('Origin',(3.48342753,9.74137329000001,0.)); #255820=CARTESIAN_POINT('',(3.48342753,9.74137329000001,0.01)); #255821=CARTESIAN_POINT('',(3.48342753,9.74137329000001,0.)); #255822=CARTESIAN_POINT('',(3.48403672999999,9.73636839000001,0.01)); #255823=CARTESIAN_POINT('Origin',(4.05869822999999,9.74137329000001,0.)); #255824=CARTESIAN_POINT('',(3.48342753,9.74137329000001,0.01)); #255825=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #255826=CARTESIAN_POINT('Origin',(11.6408024140291,9.66154807239508,0.)); #255827=CARTESIAN_POINT('',(11.03832753,9.66107289,0.)); #255828=CARTESIAN_POINT('',(11.04030653,9.71034259,0.)); #255829=CARTESIAN_POINT('Origin',(11.6408024140291,9.66154807239508,0.)); #255830=CARTESIAN_POINT('',(11.03832753,9.66107289,0.01)); #255831=CARTESIAN_POINT('',(11.03832753,9.66107289,0.)); #255832=CARTESIAN_POINT('',(11.04030653,9.71034259,0.01)); #255833=CARTESIAN_POINT('Origin',(11.6408024140291,9.66154807239508,0.01)); #255834=CARTESIAN_POINT('',(11.04030653,9.71034259,0.)); #255835=CARTESIAN_POINT('Origin',(11.03832753,9.26178759,0.)); #255836=CARTESIAN_POINT('',(11.03832753,9.26178759,0.)); #255837=CARTESIAN_POINT('',(11.03832753,4.630893795,0.)); #255838=CARTESIAN_POINT('',(11.03832753,9.26178759,0.01)); #255839=CARTESIAN_POINT('',(11.03832753,9.26178759,0.)); #255840=CARTESIAN_POINT('',(11.03832753,9.66107289,0.01)); #255841=CARTESIAN_POINT('Origin',(11.3214916708318,9.17731050557243,0.)); #255842=CARTESIAN_POINT('',(11.17228153,8.92225239,0.)); #255843=CARTESIAN_POINT('Origin',(11.3214916708318,9.17731050557243,0.)); #255844=CARTESIAN_POINT('',(11.17228153,8.92225239,0.01)); #255845=CARTESIAN_POINT('',(11.17228153,8.92225239,0.)); #255846=CARTESIAN_POINT('Origin',(11.3214916708318,9.17731050557243,0.01)); #255847=CARTESIAN_POINT('Origin',(11.3708805556225,9.52374743987963,0.)); #255848=CARTESIAN_POINT('',(11.40918053,8.89147299,0.)); #255849=CARTESIAN_POINT('Origin',(11.3708805556225,9.52374743987963,0.)); #255850=CARTESIAN_POINT('',(11.40918053,8.89147299,0.01)); #255851=CARTESIAN_POINT('',(11.40918053,8.89147299,0.)); #255852=CARTESIAN_POINT('Origin',(11.3708805556225,9.52374743987963,0.01)); #255853=CARTESIAN_POINT('Origin',(11.6274816945081,10.753998376069,0.)); #255854=CARTESIAN_POINT('',(11.98893553,8.91388769,0.)); #255855=CARTESIAN_POINT('Origin',(11.6274816945081,10.753998376069,0.)); #255856=CARTESIAN_POINT('',(11.98893553,8.91388769,0.01)); #255857=CARTESIAN_POINT('',(11.98893553,8.91388769,0.)); #255858=CARTESIAN_POINT('Origin',(11.6274816945081,10.753998376069,0.01)); #255859=CARTESIAN_POINT('Origin',(11.9046393937128,9.12230414588487,0.)); #255860=CARTESIAN_POINT('',(12.12722753,9.15389179,0.)); #255861=CARTESIAN_POINT('Origin',(11.9046393937128,9.12230414588487,0.)); #255862=CARTESIAN_POINT('',(12.12722753,9.15389179,0.01)); #255863=CARTESIAN_POINT('',(12.12722753,9.15389179,0.)); #255864=CARTESIAN_POINT('Origin',(11.9046393937128,9.12230414588487,0.01)); #255865=CARTESIAN_POINT('Origin',(9.59815650960242,9.42477686164644,0.)); #255866=CARTESIAN_POINT('',(12.11182453,9.81343099,0.)); #255867=CARTESIAN_POINT('Origin',(9.59815650960242,9.42477686164644,0.)); #255868=CARTESIAN_POINT('',(12.11182453,9.81343099,0.01)); #255869=CARTESIAN_POINT('',(12.11182453,9.81343099,0.)); #255870=CARTESIAN_POINT('Origin',(9.59815650960242,9.42477686164644,0.01)); #255871=CARTESIAN_POINT('Origin',(11.9194216103194,9.76345966375358,0.)); #255872=CARTESIAN_POINT('',(11.90435053,9.96167389,0.)); #255873=CARTESIAN_POINT('Origin',(11.9194216103194,9.76345966375358,0.)); #255874=CARTESIAN_POINT('',(11.90435053,9.96167389,0.01)); #255875=CARTESIAN_POINT('',(11.90435053,9.96167389,0.)); #255876=CARTESIAN_POINT('Origin',(11.9194216103194,9.76345966375358,0.01)); #255877=CARTESIAN_POINT('Origin',(11.5872604578727,5.98314338512884,0.)); #255878=CARTESIAN_POINT('',(11.24154553,9.95928879000001,0.)); #255879=CARTESIAN_POINT('Origin',(11.5872604578727,5.98314338512884,0.)); #255880=CARTESIAN_POINT('',(11.24154553,9.95928879000001,0.01)); #255881=CARTESIAN_POINT('',(11.24154553,9.95928879000001,0.)); #255882=CARTESIAN_POINT('Origin',(11.5872604578727,5.98314338512884,0.01)); #255883=CARTESIAN_POINT('Origin',(11.2789846142601,9.72321418156998,0.)); #255884=CARTESIAN_POINT('Origin',(11.2789846142601,9.72321418156998,0.)); #255885=CARTESIAN_POINT('Origin',(11.2789846142601,9.72321418156998,0.01)); #255886=CARTESIAN_POINT('Origin',(10.9027816540491,8.44452926859601,0.)); #255887=CARTESIAN_POINT('',(10.78959353,8.09471629,0.01)); #255888=CARTESIAN_POINT('',(10.78959353,8.09471629,0.)); #255889=CARTESIAN_POINT('',(11.00252453,8.09064789,0.01)); #255890=CARTESIAN_POINT('Origin',(10.9027816540491,8.44452926859601,0.01)); #255891=CARTESIAN_POINT('',(11.00252453,8.09064789,0.)); #255892=CARTESIAN_POINT('Origin',(10.78600853,8.09707759,0.)); #255893=CARTESIAN_POINT('',(10.78600853,8.09707759,0.01)); #255894=CARTESIAN_POINT('',(10.78600853,8.09707759,0.)); #255895=CARTESIAN_POINT('',(10.78959353,8.09471629,0.01)); #255896=CARTESIAN_POINT('Origin',(10.78280153,8.09981649000001,0.)); #255897=CARTESIAN_POINT('',(10.78280153,8.09981649000001,0.01)); #255898=CARTESIAN_POINT('',(10.78280153,8.09981649000001,0.)); #255899=CARTESIAN_POINT('',(10.78600853,8.09707759,0.01)); #255900=CARTESIAN_POINT('Origin',(10.77997253,8.10293499,0.)); #255901=CARTESIAN_POINT('',(10.77997253,8.10293499,0.01)); #255902=CARTESIAN_POINT('',(10.77997253,8.10293499,0.)); #255903=CARTESIAN_POINT('',(10.78280153,8.09981649000001,0.01)); #255904=CARTESIAN_POINT('Origin',(10.77751953,8.10643119,0.)); #255905=CARTESIAN_POINT('',(10.77751953,8.10643119,0.01)); #255906=CARTESIAN_POINT('',(10.77751953,8.10643119,0.)); #255907=CARTESIAN_POINT('',(10.77997253,8.10293499,0.01)); #255908=CARTESIAN_POINT('Origin',(10.77544453,8.11030689,0.)); #255909=CARTESIAN_POINT('',(10.77544453,8.11030689,0.01)); #255910=CARTESIAN_POINT('',(10.77544453,8.11030689,0.)); #255911=CARTESIAN_POINT('',(10.77751953,8.10643119,0.01)); #255912=CARTESIAN_POINT('Origin',(10.77374653,8.11456219,0.)); #255913=CARTESIAN_POINT('',(10.77374653,8.11456219,0.01)); #255914=CARTESIAN_POINT('',(10.77374653,8.11456219,0.)); #255915=CARTESIAN_POINT('',(10.77544453,8.11030689,0.01)); #255916=CARTESIAN_POINT('Origin',(10.77242553,8.11919519,0.)); #255917=CARTESIAN_POINT('',(10.77242553,8.11919519,0.01)); #255918=CARTESIAN_POINT('',(10.77242553,8.11919519,0.)); #255919=CARTESIAN_POINT('',(10.77374653,8.11456219,0.01)); #255920=CARTESIAN_POINT('Origin',(10.77148253,8.12420869,0.)); #255921=CARTESIAN_POINT('',(10.77148253,8.12420869,0.01)); #255922=CARTESIAN_POINT('',(10.77148253,8.12420869,0.)); #255923=CARTESIAN_POINT('',(10.77242553,8.11919519,0.01)); #255924=CARTESIAN_POINT('Origin',(10.77091553,8.12960169,0.)); #255925=CARTESIAN_POINT('',(10.77091553,8.12960169,0.01)); #255926=CARTESIAN_POINT('',(10.77091553,8.12960169,0.)); #255927=CARTESIAN_POINT('',(10.77148253,8.12420869,0.01)); #255928=CARTESIAN_POINT('Origin',(10.77072753,8.13537329,0.)); #255929=CARTESIAN_POINT('',(10.77072753,8.13537329,0.01)); #255930=CARTESIAN_POINT('',(10.77072753,8.13537329,0.)); #255931=CARTESIAN_POINT('',(10.77091553,8.12960169,0.01)); #255932=CARTESIAN_POINT('Origin',(10.77080153,10.17294329,0.)); #255933=CARTESIAN_POINT('',(10.77080153,10.17294329,0.01)); #255934=CARTESIAN_POINT('',(10.77080153,10.17294329,0.)); #255935=CARTESIAN_POINT('',(10.77072753,8.13537329,0.01)); #255936=CARTESIAN_POINT('Origin',(10.77252753,10.18510269,0.)); #255937=CARTESIAN_POINT('',(10.77252753,10.18510269,0.01)); #255938=CARTESIAN_POINT('',(10.77252753,10.18510269,0.)); #255939=CARTESIAN_POINT('',(10.77080153,10.17294329,0.01)); #255940=CARTESIAN_POINT('Origin',(10.77643153,10.19527599,0.)); #255941=CARTESIAN_POINT('',(10.77643153,10.19527599,0.01)); #255942=CARTESIAN_POINT('',(10.77643153,10.19527599,0.)); #255943=CARTESIAN_POINT('',(10.77252753,10.18510269,0.01)); #255944=CARTESIAN_POINT('Origin',(10.78235753,10.20363059,0.)); #255945=CARTESIAN_POINT('',(10.78235753,10.20363059,0.01)); #255946=CARTESIAN_POINT('',(10.78235753,10.20363059,0.)); #255947=CARTESIAN_POINT('',(10.77643153,10.19527599,0.01)); #255948=CARTESIAN_POINT('Origin',(10.79015453,10.21033549,0.)); #255949=CARTESIAN_POINT('',(10.79015453,10.21033549,0.01)); #255950=CARTESIAN_POINT('',(10.79015453,10.21033549,0.)); #255951=CARTESIAN_POINT('',(10.78235753,10.20363059,0.01)); #255952=CARTESIAN_POINT('Origin',(10.79966853,10.21555829,0.)); #255953=CARTESIAN_POINT('',(10.79966853,10.21555829,0.01)); #255954=CARTESIAN_POINT('',(10.79966853,10.21555829,0.)); #255955=CARTESIAN_POINT('',(10.79015453,10.21033549,0.01)); #255956=CARTESIAN_POINT('Origin',(10.8890741612066,9.94410022378273,0.)); #255957=CARTESIAN_POINT('',(11.02869053,10.19347969,0.01)); #255958=CARTESIAN_POINT('',(11.02869053,10.19347969,0.)); #255959=CARTESIAN_POINT('Origin',(10.8890741612066,9.94410022378273,0.01)); #255960=CARTESIAN_POINT('Origin',(11.03366753,10.18263259,0.)); #255961=CARTESIAN_POINT('',(11.03366753,10.18263259,0.01)); #255962=CARTESIAN_POINT('',(11.03366753,10.18263259,0.)); #255963=CARTESIAN_POINT('',(11.02869053,10.19347969,0.01)); #255964=CARTESIAN_POINT('Origin',(10.5674513742104,10.0943355575901,0.)); #255965=CARTESIAN_POINT('',(11.03832753,10.03577349,0.01)); #255966=CARTESIAN_POINT('',(11.03832753,10.03577349,0.)); #255967=CARTESIAN_POINT('Origin',(10.5674513742104,10.0943355575901,0.01)); #255968=CARTESIAN_POINT('Origin',(9.51063661101087,11.2670327273075,0.)); #255969=CARTESIAN_POINT('',(11.09040453,10.10334369,0.01)); #255970=CARTESIAN_POINT('',(11.09040453,10.10334369,0.)); #255971=CARTESIAN_POINT('Origin',(9.51063661101087,11.2670327273075,0.01)); #255972=CARTESIAN_POINT('Origin',(11.10728053,10.12135339,0.)); #255973=CARTESIAN_POINT('',(11.10728053,10.12135339,0.01)); #255974=CARTESIAN_POINT('',(11.10728053,10.12135339,0.)); #255975=CARTESIAN_POINT('',(11.09040453,10.10334369,0.01)); #255976=CARTESIAN_POINT('Origin',(11.452588496043,9.63171963797596,0.)); #255977=CARTESIAN_POINT('',(11.53920353,10.22457429,0.01)); #255978=CARTESIAN_POINT('',(11.53920353,10.22457429,0.)); #255979=CARTESIAN_POINT('Origin',(11.452588496043,9.63171963797596,0.01)); #255980=CARTESIAN_POINT('Origin',(11.607489280512,2.01678451873113,0.)); #255981=CARTESIAN_POINT('',(11.76926753,10.22326389,0.01)); #255982=CARTESIAN_POINT('',(11.76926753,10.22326389,0.)); #255983=CARTESIAN_POINT('Origin',(11.607489280512,2.01678451873113,0.01)); #255984=CARTESIAN_POINT('Origin',(11.8718453115433,9.67555400891551,0.)); #255985=CARTESIAN_POINT('',(12.33851453,9.98006699,0.01)); #255986=CARTESIAN_POINT('',(12.33851453,9.98006699,0.)); #255987=CARTESIAN_POINT('Origin',(11.8718453115433,9.67555400891551,0.01)); #255988=CARTESIAN_POINT('Origin',(11.6281853780426,9.67729777948836,0.)); #255989=CARTESIAN_POINT('',(12.39726553,9.60835999,0.01)); #255990=CARTESIAN_POINT('',(12.39726553,9.60835999,0.)); #255991=CARTESIAN_POINT('Origin',(11.6281853780426,9.67729777948836,0.01)); #255992=CARTESIAN_POINT('Origin',(10.6884259449628,9.38268961477231,0.)); #255993=CARTESIAN_POINT('',(12.33550753,8.87457339,0.01)); #255994=CARTESIAN_POINT('',(12.33550753,8.87457339,0.)); #255995=CARTESIAN_POINT('Origin',(10.6884259449628,9.38268961477231,0.01)); #255996=CARTESIAN_POINT('Origin',(11.8804913775428,9.17547618102826,0.)); #255997=CARTESIAN_POINT('',(11.79263753,8.63708609,0.01)); #255998=CARTESIAN_POINT('',(11.79263753,8.63708609,0.)); #255999=CARTESIAN_POINT('Origin',(11.8804913775428,9.17547618102826,0.01)); #256000=CARTESIAN_POINT('Origin',(11.5944125405651,9.93121909002723,0.)); #256001=CARTESIAN_POINT('',(11.19555253,8.68422909,0.01)); #256002=CARTESIAN_POINT('',(11.19555253,8.68422909,0.)); #256003=CARTESIAN_POINT('Origin',(11.5944125405651,9.93121909002723,0.01)); #256004=CARTESIAN_POINT('Origin',(11.3249192037875,8.98739651065501,0.)); #256005=CARTESIAN_POINT('',(11.03832753,8.82457319,0.01)); #256006=CARTESIAN_POINT('',(11.03832753,8.82457319,0.)); #256007=CARTESIAN_POINT('Origin',(11.3249192037875,8.98739651065501,0.01)); #256008=CARTESIAN_POINT('Origin',(64.2850744785156,8.53532567182514,0.)); #256009=CARTESIAN_POINT('',(11.03858053,8.20274949,0.01)); #256010=CARTESIAN_POINT('',(11.03858053,8.20274949,0.)); #256011=CARTESIAN_POINT('Origin',(64.2850744785156,8.53532567182514,0.01)); #256012=CARTESIAN_POINT('Origin',(11.03850653,8.15417879,0.)); #256013=CARTESIAN_POINT('',(11.03850653,8.15417879,0.01)); #256014=CARTESIAN_POINT('',(11.03850653,8.15417879,0.)); #256015=CARTESIAN_POINT('',(11.03858053,8.20274949,0.01)); #256016=CARTESIAN_POINT('Origin',(10.8798522470794,8.15541872825684,0.)); #256017=CARTESIAN_POINT('',(11.03479353,8.12127319,0.01)); #256018=CARTESIAN_POINT('',(11.03479353,8.12127319,0.)); #256019=CARTESIAN_POINT('Origin',(10.8798522470794,8.15541872825684,0.01)); #256020=CARTESIAN_POINT('Origin',(11.03048553,8.10977289,0.)); #256021=CARTESIAN_POINT('',(11.03048553,8.10977289,0.01)); #256022=CARTESIAN_POINT('',(11.03048553,8.10977289,0.)); #256023=CARTESIAN_POINT('',(11.03479353,8.12127319,0.01)); #256024=CARTESIAN_POINT('Origin',(11.02396153,8.10108109,0.)); #256025=CARTESIAN_POINT('',(11.02396153,8.10108109,0.01)); #256026=CARTESIAN_POINT('',(11.02396153,8.10108109,0.)); #256027=CARTESIAN_POINT('',(11.03048553,8.10977289,0.01)); #256028=CARTESIAN_POINT('Origin',(11.01478553,8.09482879,0.)); #256029=CARTESIAN_POINT('',(11.01478553,8.09482879,0.01)); #256030=CARTESIAN_POINT('',(11.01478553,8.09482879,0.)); #256031=CARTESIAN_POINT('',(11.02396153,8.10108109,0.01)); #256032=CARTESIAN_POINT('Origin',(11.00252453,8.09064789,0.)); #256033=CARTESIAN_POINT('',(11.01478553,8.09482879,0.01)); #256034=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #256035=CARTESIAN_POINT('Origin',(14.9238327740522,9.07804934059112,0.)); #256036=CARTESIAN_POINT('',(14.78951253,8.95798939,0.01)); #256037=CARTESIAN_POINT('',(14.78951253,8.95798939,0.)); #256038=CARTESIAN_POINT('',(14.74542153,9.10306429,0.01)); #256039=CARTESIAN_POINT('Origin',(14.9238327740522,9.07804934059112,0.01)); #256040=CARTESIAN_POINT('',(14.74542153,9.10306429,0.)); #256041=CARTESIAN_POINT('Origin',(14.9220821769441,9.07968468292537,0.)); #256042=CARTESIAN_POINT('',(14.92954053,8.89988249000001,0.01)); #256043=CARTESIAN_POINT('',(14.92954053,8.89988249000001,0.)); #256044=CARTESIAN_POINT('Origin',(14.9220821769441,9.07968468292537,0.01)); #256045=CARTESIAN_POINT('Origin',(15.5823973567512,35.2833153292127,0.)); #256046=CARTESIAN_POINT('',(15.75495353,8.89237039000001,0.01)); #256047=CARTESIAN_POINT('',(15.75495353,8.89237039000001,0.)); #256048=CARTESIAN_POINT('Origin',(15.5823973567512,35.2833153292127,0.01)); #256049=CARTESIAN_POINT('Origin',(15.82482453,8.88893049000001,0.)); #256050=CARTESIAN_POINT('',(15.82482453,8.88893049000001,0.01)); #256051=CARTESIAN_POINT('',(15.82482453,8.88893049000001,0.)); #256052=CARTESIAN_POINT('',(15.75495353,8.89237039000001,0.01)); #256053=CARTESIAN_POINT('Origin',(15.8220128962881,8.76298331205377,0.)); #256054=CARTESIAN_POINT('',(15.85976353,8.88317269000001,0.01)); #256055=CARTESIAN_POINT('',(15.85976353,8.88317269000001,0.)); #256056=CARTESIAN_POINT('Origin',(15.8220128962881,8.76298331205377,0.01)); #256057=CARTESIAN_POINT('Origin',(15.86537053,8.87814399000001,0.)); #256058=CARTESIAN_POINT('',(15.86537053,8.87814399000001,0.01)); #256059=CARTESIAN_POINT('',(15.86537053,8.87814399000001,0.)); #256060=CARTESIAN_POINT('',(15.85976353,8.88317269000001,0.01)); #256061=CARTESIAN_POINT('Origin',(15.87011353,8.87129469,0.)); #256062=CARTESIAN_POINT('',(15.87011353,8.87129469,0.01)); #256063=CARTESIAN_POINT('',(15.87011353,8.87129469,0.)); #256064=CARTESIAN_POINT('',(15.86537053,8.87814399000001,0.01)); #256065=CARTESIAN_POINT('Origin',(15.6728261350309,8.77419756205651,0.)); #256066=CARTESIAN_POINT('',(15.84895253,8.64255539,0.01)); #256067=CARTESIAN_POINT('',(15.84895253,8.64255539,0.)); #256068=CARTESIAN_POINT('Origin',(15.6728261350309,8.77419756205651,0.01)); #256069=CARTESIAN_POINT('Origin',(15.83632353,8.63851849,0.)); #256070=CARTESIAN_POINT('',(15.83632353,8.63851849,0.01)); #256071=CARTESIAN_POINT('',(15.83632353,8.63851849,0.)); #256072=CARTESIAN_POINT('',(15.84895253,8.64255539,0.01)); #256073=CARTESIAN_POINT('Origin',(15.82923553,8.63753429000001,0.)); #256074=CARTESIAN_POINT('',(15.82923553,8.63753429000001,0.01)); #256075=CARTESIAN_POINT('',(15.82923553,8.63753429000001,0.)); #256076=CARTESIAN_POINT('',(15.83632353,8.63851849,0.01)); #256077=CARTESIAN_POINT('Origin',(15.4202017890908,19.4161206891687,0.)); #256078=CARTESIAN_POINT('',(14.92335353,8.64122509000001,0.01)); #256079=CARTESIAN_POINT('',(14.92335353,8.64122509000001,0.)); #256080=CARTESIAN_POINT('Origin',(15.4202017890908,19.4161206891687,0.01)); #256081=CARTESIAN_POINT('Origin',(14.8895738518466,9.05969262161109,0.)); #256082=CARTESIAN_POINT('',(14.60364753,8.75228039,0.01)); #256083=CARTESIAN_POINT('',(14.60364753,8.75228039,0.)); #256084=CARTESIAN_POINT('Origin',(14.8895738518466,9.05969262161109,0.01)); #256085=CARTESIAN_POINT('Origin',(14.8929883555517,9.05654981871763,0.)); #256086=CARTESIAN_POINT('',(14.47318653,9.06457639,0.01)); #256087=CARTESIAN_POINT('',(14.47318653,9.06457639,0.)); #256088=CARTESIAN_POINT('Origin',(14.8929883555517,9.05654981871763,0.01)); #256089=CARTESIAN_POINT('Origin',(14.8684502205858,9.11799937164997,0.)); #256090=CARTESIAN_POINT('',(14.55686253,9.36699839000001,0.01)); #256091=CARTESIAN_POINT('',(14.55686253,9.36699839000001,0.)); #256092=CARTESIAN_POINT('Origin',(14.8684502205858,9.11799937164997,0.01)); #256093=CARTESIAN_POINT('Origin',(14.8661446885883,9.1152369601434,0.)); #256094=CARTESIAN_POINT('',(14.8347135299999,9.51279369,0.01)); #256095=CARTESIAN_POINT('',(14.8347135299999,9.51279369,0.)); #256096=CARTESIAN_POINT('Origin',(14.8661446885883,9.1152369601434,0.01)); #256097=CARTESIAN_POINT('Origin',(339.973446603487,-2833.25634451626,0.)); #256098=CARTESIAN_POINT('',(15.52962653,9.59218758999953,0.01)); #256099=CARTESIAN_POINT('',(15.52962653,9.59218758999953,0.)); #256100=CARTESIAN_POINT('Origin',(339.973446603487,-2833.25634451626,0.01)); #256101=CARTESIAN_POINT('Origin',(15.55846553,9.59868879000001,0.)); #256102=CARTESIAN_POINT('',(15.55846553,9.59868879000001,0.01)); #256103=CARTESIAN_POINT('',(15.55846553,9.59868879000001,0.)); #256104=CARTESIAN_POINT('',(15.52962653,9.59218758999953,0.01)); #256105=CARTESIAN_POINT('Origin',(15.535556641804,9.75147004923553,0.)); #256106=CARTESIAN_POINT('',(15.65928553,9.65896009,0.01)); #256107=CARTESIAN_POINT('',(15.65928553,9.65896009,0.)); #256108=CARTESIAN_POINT('Origin',(15.535556641804,9.75147004923553,0.01)); #256109=CARTESIAN_POINT('Origin',(15.5348784957546,9.75039770552977,0.)); #256110=CARTESIAN_POINT('',(15.68761853,9.77294609,0.01)); #256111=CARTESIAN_POINT('',(15.68761853,9.77294609,0.)); #256112=CARTESIAN_POINT('Origin',(15.5348784957546,9.75039770552977,0.01)); #256113=CARTESIAN_POINT('Origin',(15.5014620786101,9.77619057053402,0.)); #256114=CARTESIAN_POINT('',(15.62551753,9.91502499000001,0.01)); #256115=CARTESIAN_POINT('',(15.62551753,9.91502499000001,0.)); #256116=CARTESIAN_POINT('Origin',(15.5014620786101,9.77619057053402,0.01)); #256117=CARTESIAN_POINT('Origin',(15.501755081779,9.77606467649991,0.)); #256118=CARTESIAN_POINT('',(15.47729953,9.96053429000001,0.01)); #256119=CARTESIAN_POINT('',(15.47729953,9.96053429000001,0.)); #256120=CARTESIAN_POINT('Origin',(15.501755081779,9.77606467649991,0.01)); #256121=CARTESIAN_POINT('Origin',(15.0017500048619,-15.1616900844038,0.)); #256122=CARTESIAN_POINT('',(14.57918053,9.96148129,0.01)); #256123=CARTESIAN_POINT('',(14.57918053,9.96148129,0.)); #256124=CARTESIAN_POINT('Origin',(15.0017500048619,-15.1616900844038,0.01)); #256125=CARTESIAN_POINT('Origin',(14.56490353,9.96354929,0.)); #256126=CARTESIAN_POINT('',(14.56490353,9.96354929,0.01)); #256127=CARTESIAN_POINT('',(14.56490353,9.96354929,0.)); #256128=CARTESIAN_POINT('',(14.57918053,9.96148129,0.01)); #256129=CARTESIAN_POINT('Origin',(14.55182353,9.96682849,0.)); #256130=CARTESIAN_POINT('',(14.55182353,9.96682849,0.01)); #256131=CARTESIAN_POINT('',(14.55182353,9.96682849,0.)); #256132=CARTESIAN_POINT('',(14.56490353,9.96354929,0.01)); #256133=CARTESIAN_POINT('Origin',(14.54007253,9.97154259,0.)); #256134=CARTESIAN_POINT('',(14.54007253,9.97154259,0.01)); #256135=CARTESIAN_POINT('',(14.54007253,9.97154259,0.)); #256136=CARTESIAN_POINT('',(14.55182353,9.96682849,0.01)); #256137=CARTESIAN_POINT('Origin',(14.52977653,9.97791499,0.)); #256138=CARTESIAN_POINT('',(14.52977653,9.97791499,0.01)); #256139=CARTESIAN_POINT('',(14.52977653,9.97791499,0.)); #256140=CARTESIAN_POINT('',(14.54007253,9.97154259,0.01)); #256141=CARTESIAN_POINT('Origin',(14.52106553,9.98616949,0.)); #256142=CARTESIAN_POINT('',(14.52106553,9.98616949,0.01)); #256143=CARTESIAN_POINT('',(14.52106553,9.98616949,0.)); #256144=CARTESIAN_POINT('',(14.52977653,9.97791499,0.01)); #256145=CARTESIAN_POINT('Origin',(14.51406653,9.99652879000001,0.)); #256146=CARTESIAN_POINT('',(14.51406653,9.99652879000001,0.01)); #256147=CARTESIAN_POINT('',(14.51406653,9.99652879000001,0.)); #256148=CARTESIAN_POINT('',(14.52106553,9.98616949,0.01)); #256149=CARTESIAN_POINT('Origin',(14.50890853,10.00921699,0.)); #256150=CARTESIAN_POINT('',(14.50890853,10.00921699,0.01)); #256151=CARTESIAN_POINT('',(14.50890853,10.00921699,0.)); #256152=CARTESIAN_POINT('',(14.51406653,9.99652879000001,0.01)); #256153=CARTESIAN_POINT('Origin',(14.6201898000332,10.0404456213902,0.)); #256154=CARTESIAN_POINT('',(14.50462753,10.04247299,0.01)); #256155=CARTESIAN_POINT('',(14.50462753,10.04247299,0.)); #256156=CARTESIAN_POINT('Origin',(14.6201898000332,10.0404456213902,0.01)); #256157=CARTESIAN_POINT('Origin',(14.50339653,10.11514349,0.)); #256158=CARTESIAN_POINT('',(14.50339653,10.11514349,0.01)); #256159=CARTESIAN_POINT('',(14.50339653,10.11514349,0.)); #256160=CARTESIAN_POINT('',(14.50462753,10.04247299,0.01)); #256161=CARTESIAN_POINT('Origin',(14.6045614099801,10.1384923918768,0.)); #256162=CARTESIAN_POINT('',(14.52961853,10.21034689,0.01)); #256163=CARTESIAN_POINT('',(14.52961853,10.21034689,0.)); #256164=CARTESIAN_POINT('Origin',(14.6045614099801,10.1384923918768,0.01)); #256165=CARTESIAN_POINT('Origin',(14.53924953,10.21606419,0.)); #256166=CARTESIAN_POINT('',(14.53924953,10.21606419,0.01)); #256167=CARTESIAN_POINT('',(14.53924953,10.21606419,0.)); #256168=CARTESIAN_POINT('',(14.52961853,10.21034689,0.01)); #256169=CARTESIAN_POINT('Origin',(14.55091753,10.22015449,0.)); #256170=CARTESIAN_POINT('',(14.55091753,10.22015449,0.01)); #256171=CARTESIAN_POINT('',(14.55091753,10.22015449,0.)); #256172=CARTESIAN_POINT('',(14.53924953,10.21606419,0.01)); #256173=CARTESIAN_POINT('Origin',(14.5768437219478,10.1107630450059,0.)); #256174=CARTESIAN_POINT('',(14.57848153,10.22317289,0.01)); #256175=CARTESIAN_POINT('',(14.57848153,10.22317289,0.)); #256176=CARTESIAN_POINT('Origin',(14.5768437219478,10.1107630450059,0.01)); #256177=CARTESIAN_POINT('Origin',(14.9874463688866,3.0128143973494,0.)); #256178=CARTESIAN_POINT('',(15.53358453,10.21408199,0.01)); #256179=CARTESIAN_POINT('',(15.53358453,10.21408199,0.)); #256180=CARTESIAN_POINT('Origin',(14.9874463688866,3.0128143973494,0.01)); #256181=CARTESIAN_POINT('Origin',(15.5710687464905,9.82466393852078,0.)); #256182=CARTESIAN_POINT('',(15.81173753,10.13309549,0.01)); #256183=CARTESIAN_POINT('',(15.81173753,10.13309549,0.)); #256184=CARTESIAN_POINT('Origin',(15.5710687464905,9.82466393852078,0.01)); #256185=CARTESIAN_POINT('Origin',(15.5678310131849,9.82737454113851,0.)); #256186=CARTESIAN_POINT('',(15.95517653,9.88140359000001,0.01)); #256187=CARTESIAN_POINT('',(15.95517653,9.88140359000001,0.)); #256188=CARTESIAN_POINT('Origin',(15.5678310131849,9.82737454113851,0.01)); #256189=CARTESIAN_POINT('Origin',(15.5469386177974,9.77160528908139,0.)); #256190=CARTESIAN_POINT('',(15.78214353,9.42033259,0.01)); #256191=CARTESIAN_POINT('',(15.78214353,9.42033259,0.)); #256192=CARTESIAN_POINT('Origin',(15.5469386177974,9.77160528908139,0.01)); #256193=CARTESIAN_POINT('Origin',(14.8163949544397,12.4261622557838,0.)); #256194=CARTESIAN_POINT('',(14.91681953,9.27059619,0.01)); #256195=CARTESIAN_POINT('',(14.91681953,9.27059619,0.)); #256196=CARTESIAN_POINT('Origin',(14.8163949544397,12.4261622557838,0.01)); #256197=CARTESIAN_POINT('Origin',(14.89356853,9.26637809000001,0.)); #256198=CARTESIAN_POINT('',(14.89356853,9.26637809000001,0.01)); #256199=CARTESIAN_POINT('',(14.89356853,9.26637809000001,0.)); #256200=CARTESIAN_POINT('',(14.91681953,9.27059619,0.01)); #256201=CARTESIAN_POINT('Origin',(14.8910295341443,9.11830453633558,0.)); #256202=CARTESIAN_POINT('',(14.78209853,9.21863529,0.01)); #256203=CARTESIAN_POINT('',(14.78209853,9.21863529,0.)); #256204=CARTESIAN_POINT('Origin',(14.8910295341443,9.11830453633558,0.01)); #256205=CARTESIAN_POINT('Origin',(14.8924201895228,9.12001888371022,0.)); #256206=CARTESIAN_POINT('Origin',(14.8924201895228,9.12001888371022,0.01)); #256207=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #256208=CARTESIAN_POINT('Origin',(9.32030823,2.90406329,0.)); #256209=CARTESIAN_POINT('',(9.32030823,2.90406329,0.01)); #256210=CARTESIAN_POINT('',(9.32030823,2.90406329,0.)); #256211=CARTESIAN_POINT('',(9.23939172999999,2.97144129,0.01)); #256212=CARTESIAN_POINT('',(9.32030823,2.90406329,0.01)); #256213=CARTESIAN_POINT('',(9.23939172999999,2.97144129,0.)); #256214=CARTESIAN_POINT('Origin',(9.38166053,2.81790429,0.)); #256215=CARTESIAN_POINT('',(9.38166053,2.81790429,0.01)); #256216=CARTESIAN_POINT('',(9.38166053,2.81790429,0.)); #256217=CARTESIAN_POINT('',(9.38166053,2.81790429,0.01)); #256218=CARTESIAN_POINT('Origin',(9.42548362999998,2.71343229,0.)); #256219=CARTESIAN_POINT('',(9.42548362999998,2.71343229,0.01)); #256220=CARTESIAN_POINT('',(9.42548362999998,2.71343229,0.)); #256221=CARTESIAN_POINT('',(9.42548362999998,2.71343229,0.01)); #256222=CARTESIAN_POINT('Origin',(9.45177752999999,2.59064929,0.)); #256223=CARTESIAN_POINT('',(9.45177752999999,2.59064929,0.01)); #256224=CARTESIAN_POINT('',(9.45177752999999,2.59064929,0.)); #256225=CARTESIAN_POINT('',(9.45177752999999,2.59064929,0.01)); #256226=CARTESIAN_POINT('Origin',(9.46054273,2.44955429,0.)); #256227=CARTESIAN_POINT('',(9.46054273,2.44955429,0.01)); #256228=CARTESIAN_POINT('',(9.46054273,2.44955429,0.)); #256229=CARTESIAN_POINT('',(9.46054273,2.44955429,0.01)); #256230=CARTESIAN_POINT('Origin',(9.46054273,2.39947029,0.)); #256231=CARTESIAN_POINT('',(9.46054273,2.39947029,0.01)); #256232=CARTESIAN_POINT('',(9.46054273,2.39947029,0.)); #256233=CARTESIAN_POINT('',(9.46054273,2.39947029,0.01)); #256234=CARTESIAN_POINT('Origin',(9.41171112980724,2.4007228902029,0.)); #256235=CARTESIAN_POINT('',(9.41045852999999,2.35189129,0.01)); #256236=CARTESIAN_POINT('',(9.41045852999999,2.35189129,0.)); #256237=CARTESIAN_POINT('Origin',(9.41171112980724,2.4007228902029,0.01)); #256238=CARTESIAN_POINT('Origin',(9.26521583,2.35189129,0.)); #256239=CARTESIAN_POINT('',(9.26521583,2.35189129,0.01)); #256240=CARTESIAN_POINT('',(9.26521583,2.35189129,0.)); #256241=CARTESIAN_POINT('',(9.26521583,2.35189129,0.01)); #256242=CARTESIAN_POINT('Origin',(9.26521578020289,2.4019753402029,0.)); #256243=CARTESIAN_POINT('',(9.21513172999999,2.40197529,0.01)); #256244=CARTESIAN_POINT('',(9.21513172999999,2.40197529,0.)); #256245=CARTESIAN_POINT('Origin',(9.26521578020289,2.4019753402029,0.01)); #256246=CARTESIAN_POINT('Origin',(9.21513223,2.45706729,0.)); #256247=CARTESIAN_POINT('',(9.21513223,2.45706729,0.01)); #256248=CARTESIAN_POINT('',(9.21513223,2.45706729,0.)); #256249=CARTESIAN_POINT('',(9.21513223,2.45706729,0.01)); #256250=CARTESIAN_POINT('Origin',(9.19572483,2.63235929,0.)); #256251=CARTESIAN_POINT('',(9.19572483,2.63235929,0.01)); #256252=CARTESIAN_POINT('',(9.19572483,2.63235929,0.)); #256253=CARTESIAN_POINT('',(9.19572483,2.63235929,0.01)); #256254=CARTESIAN_POINT('Origin',(9.13750262999999,2.74254329,0.)); #256255=CARTESIAN_POINT('',(9.13750262999999,2.74254329,0.01)); #256256=CARTESIAN_POINT('',(9.13750262999999,2.74254329,0.)); #256257=CARTESIAN_POINT('',(9.13750262999999,2.74254329,0.01)); #256258=CARTESIAN_POINT('Origin',(9.03107502999999,2.80076629,0.)); #256259=CARTESIAN_POINT('',(9.03107502999999,2.80076629,0.01)); #256260=CARTESIAN_POINT('',(9.03107502999999,2.80076629,0.)); #256261=CARTESIAN_POINT('',(9.03107502999999,2.80076629,0.01)); #256262=CARTESIAN_POINT('Origin',(8.86705112999999,2.82017329,0.)); #256263=CARTESIAN_POINT('',(8.86705112999999,2.82017329,0.01)); #256264=CARTESIAN_POINT('',(8.86705112999999,2.82017329,0.)); #256265=CARTESIAN_POINT('',(8.86705112999999,2.82017329,0.01)); #256266=CARTESIAN_POINT('Origin',(8.30861902999999,2.82017329,0.)); #256267=CARTESIAN_POINT('',(8.30861902999999,2.82017329,0.01)); #256268=CARTESIAN_POINT('',(8.30861902999999,2.82017329,0.)); #256269=CARTESIAN_POINT('',(8.30861902999999,2.82017329,0.01)); #256270=CARTESIAN_POINT('Origin',(8.14459512999999,2.80076629,0.)); #256271=CARTESIAN_POINT('',(8.14459512999999,2.80076629,0.01)); #256272=CARTESIAN_POINT('',(8.14459512999999,2.80076629,0.)); #256273=CARTESIAN_POINT('',(8.14459512999999,2.80076629,0.01)); #256274=CARTESIAN_POINT('Origin',(8.03816752999999,2.74254329,0.)); #256275=CARTESIAN_POINT('',(8.03816752999999,2.74254329,0.01)); #256276=CARTESIAN_POINT('',(8.03816752999999,2.74254329,0.)); #256277=CARTESIAN_POINT('',(8.03816752999999,2.74254329,0.01)); #256278=CARTESIAN_POINT('Origin',(7.97994532999999,2.63235929,0.)); #256279=CARTESIAN_POINT('',(7.97994532999999,2.63235929,0.01)); #256280=CARTESIAN_POINT('',(7.97994532999999,2.63235929,0.)); #256281=CARTESIAN_POINT('',(7.97994532999999,2.63235929,0.01)); #256282=CARTESIAN_POINT('Origin',(7.96053792999999,2.45706629,0.)); #256283=CARTESIAN_POINT('',(7.96053792999999,2.45706629,0.01)); #256284=CARTESIAN_POINT('',(7.96053792999999,2.45706629,0.)); #256285=CARTESIAN_POINT('',(7.96053792999999,2.45706629,0.01)); #256286=CARTESIAN_POINT('Origin',(7.96053792999999,1.89362629,0.)); #256287=CARTESIAN_POINT('',(7.96053792999999,1.89362629,0.01)); #256288=CARTESIAN_POINT('',(7.96053792999999,1.89362629,0.)); #256289=CARTESIAN_POINT('',(7.96053792999999,1.89362629,0.01)); #256290=CARTESIAN_POINT('Origin',(7.97994532999999,1.71645529000001,0.)); #256291=CARTESIAN_POINT('',(7.97994532999999,1.71645529000001,0.01)); #256292=CARTESIAN_POINT('',(7.97994532999999,1.71645529000001,0.)); #256293=CARTESIAN_POINT('',(7.97994532999999,1.71645529000001,0.01)); #256294=CARTESIAN_POINT('Origin',(8.03816753,1.60564529,0.)); #256295=CARTESIAN_POINT('',(8.03816753,1.60564529,0.01)); #256296=CARTESIAN_POINT('',(8.03816753,1.60564529,0.)); #256297=CARTESIAN_POINT('',(8.03816753,1.60564529,0.01)); #256298=CARTESIAN_POINT('Origin',(8.14459512999999,1.54742329,0.)); #256299=CARTESIAN_POINT('',(8.14459512999999,1.54742329,0.01)); #256300=CARTESIAN_POINT('',(8.14459512999999,1.54742329,0.)); #256301=CARTESIAN_POINT('',(8.14459512999999,1.54742329,0.01)); #256302=CARTESIAN_POINT('Origin',(8.30861902999999,1.52801629,0.)); #256303=CARTESIAN_POINT('',(8.30861902999999,1.52801629,0.01)); #256304=CARTESIAN_POINT('',(8.30861902999999,1.52801629,0.)); #256305=CARTESIAN_POINT('',(8.30861902999999,1.52801629,0.01)); #256306=CARTESIAN_POINT('Origin',(8.86705113,1.52801629,0.)); #256307=CARTESIAN_POINT('',(8.86705113,1.52801629,0.01)); #256308=CARTESIAN_POINT('',(8.86705113,1.52801629,0.)); #256309=CARTESIAN_POINT('',(8.86705113,1.52801629,0.01)); #256310=CARTESIAN_POINT('Origin',(9.03107502999999,1.54742329,0.)); #256311=CARTESIAN_POINT('',(9.03107502999999,1.54742329,0.01)); #256312=CARTESIAN_POINT('',(9.03107502999999,1.54742329,0.)); #256313=CARTESIAN_POINT('',(9.03107502999999,1.54742329,0.01)); #256314=CARTESIAN_POINT('Origin',(9.13750262999999,1.60564529,0.)); #256315=CARTESIAN_POINT('',(9.13750262999999,1.60564529,0.01)); #256316=CARTESIAN_POINT('',(9.13750262999999,1.60564529,0.)); #256317=CARTESIAN_POINT('',(9.13750262999999,1.60564529,0.01)); #256318=CARTESIAN_POINT('Origin',(9.19572483,1.71645529,0.)); #256319=CARTESIAN_POINT('',(9.19572483,1.71645529,0.01)); #256320=CARTESIAN_POINT('',(9.19572483,1.71645529,0.)); #256321=CARTESIAN_POINT('',(9.19572483,1.71645529,0.01)); #256322=CARTESIAN_POINT('Origin',(9.21513172999999,1.89362629,0.)); #256323=CARTESIAN_POINT('',(9.21513172999999,1.89362629,0.01)); #256324=CARTESIAN_POINT('',(9.21513172999999,1.89362629,0.)); #256325=CARTESIAN_POINT('',(9.21513172999999,1.89362629,0.01)); #256326=CARTESIAN_POINT('Origin',(9.21513172999999,1.93118929,0.)); #256327=CARTESIAN_POINT('',(9.21513172999999,1.93118929,0.01)); #256328=CARTESIAN_POINT('',(9.21513172999999,1.93118929,0.)); #256329=CARTESIAN_POINT('',(9.21513172999999,1.93118929,0.01)); #256330=CARTESIAN_POINT('Origin',(9.26020728016232,1.9261807397971,0.)); #256331=CARTESIAN_POINT('',(9.26521583,1.97125629,0.01)); #256332=CARTESIAN_POINT('',(9.26521583,1.97125629,0.)); #256333=CARTESIAN_POINT('Origin',(9.26020728016232,1.9261807397971,0.01)); #256334=CARTESIAN_POINT('Origin',(9.41045852999999,1.97125629,0.)); #256335=CARTESIAN_POINT('',(9.41045852999999,1.97125629,0.01)); #256336=CARTESIAN_POINT('',(9.41045852999999,1.97125629,0.)); #256337=CARTESIAN_POINT('',(9.41045852999999,1.97125629,0.01)); #256338=CARTESIAN_POINT('Origin',(9.4104586297971,1.92117218979711,0.)); #256339=CARTESIAN_POINT('',(9.46054273,1.92117229,0.01)); #256340=CARTESIAN_POINT('',(9.46054273,1.92117229,0.)); #256341=CARTESIAN_POINT('Origin',(9.4104586297971,1.92117218979711,0.01)); #256342=CARTESIAN_POINT('Origin',(9.46054222999999,1.90113929,0.)); #256343=CARTESIAN_POINT('',(9.46054222999999,1.90113929,0.01)); #256344=CARTESIAN_POINT('',(9.46054222999999,1.90113929,0.)); #256345=CARTESIAN_POINT('',(9.46054222999999,1.90113929,0.01)); #256346=CARTESIAN_POINT('Origin',(9.45177752999999,1.75894829,0.)); #256347=CARTESIAN_POINT('',(9.45177752999999,1.75894829,0.01)); #256348=CARTESIAN_POINT('',(9.45177752999999,1.75894829,0.)); #256349=CARTESIAN_POINT('',(9.45177752999999,1.75894829,0.01)); #256350=CARTESIAN_POINT('Origin',(9.42548362999998,1.63538329,0.)); #256351=CARTESIAN_POINT('',(9.42548362999998,1.63538329,0.01)); #256352=CARTESIAN_POINT('',(9.42548362999998,1.63538329,0.)); #256353=CARTESIAN_POINT('',(9.42548362999998,1.63538329,0.01)); #256354=CARTESIAN_POINT('Origin',(9.38166053,1.53044229,0.)); #256355=CARTESIAN_POINT('',(9.38166053,1.53044229,0.01)); #256356=CARTESIAN_POINT('',(9.38166053,1.53044229,0.)); #256357=CARTESIAN_POINT('',(9.38166053,1.53044229,0.01)); #256358=CARTESIAN_POINT('Origin',(9.32030823,1.44412629,0.)); #256359=CARTESIAN_POINT('',(9.32030823,1.44412629,0.01)); #256360=CARTESIAN_POINT('',(9.32030823,1.44412629,0.)); #256361=CARTESIAN_POINT('',(9.32030823,1.44412629,0.01)); #256362=CARTESIAN_POINT('Origin',(9.23939172999999,1.37674829,0.)); #256363=CARTESIAN_POINT('',(9.23939172999999,1.37674829,0.01)); #256364=CARTESIAN_POINT('',(9.23939172999999,1.37674829,0.)); #256365=CARTESIAN_POINT('',(9.23939172999999,1.37674829,0.01)); #256366=CARTESIAN_POINT('Origin',(9.13687662999999,1.32862129,0.)); #256367=CARTESIAN_POINT('',(9.13687662999999,1.32862129,0.01)); #256368=CARTESIAN_POINT('',(9.13687662999999,1.32862129,0.)); #256369=CARTESIAN_POINT('',(9.13687662999999,1.32862129,0.01)); #256370=CARTESIAN_POINT('Origin',(9.01276312999999,1.29974429,0.)); #256371=CARTESIAN_POINT('',(9.01276312999999,1.29974429,0.01)); #256372=CARTESIAN_POINT('',(9.01276312999999,1.29974429,0.)); #256373=CARTESIAN_POINT('',(9.01276312999999,1.29974429,0.01)); #256374=CARTESIAN_POINT('Origin',(8.86705113,1.29011929,0.)); #256375=CARTESIAN_POINT('',(8.86705113,1.29011929,0.01)); #256376=CARTESIAN_POINT('',(8.86705113,1.29011929,0.)); #256377=CARTESIAN_POINT('',(8.86705113,1.29011929,0.01)); #256378=CARTESIAN_POINT('Origin',(8.30861902999999,1.29011929,0.)); #256379=CARTESIAN_POINT('',(8.30861902999999,1.29011929,0.01)); #256380=CARTESIAN_POINT('',(8.30861902999999,1.29011929,0.)); #256381=CARTESIAN_POINT('',(8.30861902999999,1.29011929,0.01)); #256382=CARTESIAN_POINT('Origin',(8.16290703,1.29974429,0.)); #256383=CARTESIAN_POINT('',(8.16290703,1.29974429,0.01)); #256384=CARTESIAN_POINT('',(8.16290703,1.29974429,0.)); #256385=CARTESIAN_POINT('',(8.16290703,1.29974429,0.01)); #256386=CARTESIAN_POINT('Origin',(8.03879352999999,1.32862129,0.)); #256387=CARTESIAN_POINT('',(8.03879352999999,1.32862129,0.01)); #256388=CARTESIAN_POINT('',(8.03879352999999,1.32862129,0.)); #256389=CARTESIAN_POINT('',(8.03879352999999,1.32862129,0.01)); #256390=CARTESIAN_POINT('Origin',(7.93627862999999,1.37674829,0.)); #256391=CARTESIAN_POINT('',(7.93627862999999,1.37674829,0.01)); #256392=CARTESIAN_POINT('',(7.93627862999999,1.37674829,0.)); #256393=CARTESIAN_POINT('',(7.93627862999999,1.37674829,0.01)); #256394=CARTESIAN_POINT('Origin',(7.85536242999999,1.44412629,0.)); #256395=CARTESIAN_POINT('',(7.85536242999999,1.44412629,0.01)); #256396=CARTESIAN_POINT('',(7.85536242999999,1.44412629,0.)); #256397=CARTESIAN_POINT('',(7.85536242999999,1.44412629,0.01)); #256398=CARTESIAN_POINT('Origin',(7.79400992999999,1.53044229,0.)); #256399=CARTESIAN_POINT('',(7.79400992999999,1.53044229,0.01)); #256400=CARTESIAN_POINT('',(7.79400992999999,1.53044229,0.)); #256401=CARTESIAN_POINT('',(7.79400992999999,1.53044229,0.01)); #256402=CARTESIAN_POINT('Origin',(7.75018682999999,1.63538329,0.)); #256403=CARTESIAN_POINT('',(7.75018682999999,1.63538329,0.01)); #256404=CARTESIAN_POINT('',(7.75018682999999,1.63538329,0.)); #256405=CARTESIAN_POINT('',(7.75018682999999,1.63538329,0.01)); #256406=CARTESIAN_POINT('Origin',(7.72389302999999,1.75894829,0.)); #256407=CARTESIAN_POINT('',(7.72389302999999,1.75894829,0.01)); #256408=CARTESIAN_POINT('',(7.72389302999999,1.75894829,0.)); #256409=CARTESIAN_POINT('',(7.72389302999999,1.75894829,0.01)); #256410=CARTESIAN_POINT('Origin',(7.71512843,1.90113929,0.)); #256411=CARTESIAN_POINT('',(7.71512843,1.90113929,0.01)); #256412=CARTESIAN_POINT('',(7.71512843,1.90113929,0.)); #256413=CARTESIAN_POINT('',(7.71512843,1.90113929,0.01)); #256414=CARTESIAN_POINT('Origin',(7.71512843,2.44955429,0.)); #256415=CARTESIAN_POINT('',(7.71512843,2.44955429,0.01)); #256416=CARTESIAN_POINT('',(7.71512843,2.44955429,0.)); #256417=CARTESIAN_POINT('',(7.71512843,2.44955429,0.01)); #256418=CARTESIAN_POINT('Origin',(7.72389302999999,2.59064929,0.)); #256419=CARTESIAN_POINT('',(7.72389302999999,2.59064929,0.01)); #256420=CARTESIAN_POINT('',(7.72389302999999,2.59064929,0.)); #256421=CARTESIAN_POINT('',(7.72389302999999,2.59064929,0.01)); #256422=CARTESIAN_POINT('Origin',(7.75018682999999,2.71343229,0.)); #256423=CARTESIAN_POINT('',(7.75018682999999,2.71343229,0.01)); #256424=CARTESIAN_POINT('',(7.75018682999999,2.71343229,0.)); #256425=CARTESIAN_POINT('',(7.75018682999999,2.71343229,0.01)); #256426=CARTESIAN_POINT('Origin',(7.79400992999999,2.81790429,0.)); #256427=CARTESIAN_POINT('',(7.79400992999999,2.81790429,0.01)); #256428=CARTESIAN_POINT('',(7.79400992999999,2.81790429,0.)); #256429=CARTESIAN_POINT('',(7.79400992999999,2.81790429,0.01)); #256430=CARTESIAN_POINT('Origin',(7.85536242999998,2.90406329,0.)); #256431=CARTESIAN_POINT('',(7.85536242999998,2.90406329,0.01)); #256432=CARTESIAN_POINT('',(7.85536242999998,2.90406329,0.)); #256433=CARTESIAN_POINT('',(7.85536242999998,2.90406329,0.01)); #256434=CARTESIAN_POINT('Origin',(7.93627862999998,2.97144129,0.)); #256435=CARTESIAN_POINT('',(7.93627862999998,2.97144129,0.01)); #256436=CARTESIAN_POINT('',(7.93627862999998,2.97144129,0.)); #256437=CARTESIAN_POINT('',(7.93627862999998,2.97144129,0.01)); #256438=CARTESIAN_POINT('Origin',(8.03879352999998,3.01956829,0.)); #256439=CARTESIAN_POINT('',(8.03879352999998,3.01956829,0.01)); #256440=CARTESIAN_POINT('',(8.03879352999998,3.01956829,0.)); #256441=CARTESIAN_POINT('',(8.03879352999998,3.01956829,0.01)); #256442=CARTESIAN_POINT('Origin',(8.16290702999999,3.04844529,0.)); #256443=CARTESIAN_POINT('',(8.16290702999999,3.04844529,0.01)); #256444=CARTESIAN_POINT('',(8.16290702999999,3.04844529,0.)); #256445=CARTESIAN_POINT('',(8.16290702999999,3.04844529,0.01)); #256446=CARTESIAN_POINT('Origin',(8.30861902999999,3.05807029,0.)); #256447=CARTESIAN_POINT('',(8.30861902999999,3.05807029,0.01)); #256448=CARTESIAN_POINT('',(8.30861902999999,3.05807029,0.)); #256449=CARTESIAN_POINT('',(8.30861902999999,3.05807029,0.01)); #256450=CARTESIAN_POINT('Origin',(8.86705112999999,3.05807029,0.)); #256451=CARTESIAN_POINT('',(8.86705112999999,3.05807029,0.01)); #256452=CARTESIAN_POINT('',(8.86705112999999,3.05807029,0.)); #256453=CARTESIAN_POINT('',(8.86705112999999,3.05807029,0.01)); #256454=CARTESIAN_POINT('Origin',(9.01276312999999,3.04844529,0.)); #256455=CARTESIAN_POINT('',(9.01276312999999,3.04844529,0.01)); #256456=CARTESIAN_POINT('',(9.01276312999999,3.04844529,0.)); #256457=CARTESIAN_POINT('',(9.01276312999999,3.04844529,0.01)); #256458=CARTESIAN_POINT('Origin',(9.13687662999999,3.01956829,0.)); #256459=CARTESIAN_POINT('',(9.13687662999999,3.01956829,0.01)); #256460=CARTESIAN_POINT('',(9.13687662999999,3.01956829,0.)); #256461=CARTESIAN_POINT('',(9.13687662999999,3.01956829,0.01)); #256462=CARTESIAN_POINT('Origin',(9.23939172999999,2.97144129,0.)); #256463=CARTESIAN_POINT('',(9.23939172999999,2.97144129,0.01)); #256464=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #256465=CARTESIAN_POINT('Origin',(4.51478572999999,2.38694929,0.)); #256466=CARTESIAN_POINT('',(4.51478572999999,2.38694929,0.01)); #256467=CARTESIAN_POINT('',(4.51478572999999,2.38694929,0.)); #256468=CARTESIAN_POINT('',(4.51478572999999,2.28928729,0.01)); #256469=CARTESIAN_POINT('',(4.51478572999999,2.38694929,0.01)); #256470=CARTESIAN_POINT('',(4.51478572999999,2.28928729,0.)); #256471=CARTESIAN_POINT('Origin',(4.56487008020289,2.38694993979711,0.)); #256472=CARTESIAN_POINT('',(4.56486943,2.43703429,0.01)); #256473=CARTESIAN_POINT('',(4.56486943,2.43703429,0.)); #256474=CARTESIAN_POINT('Origin',(4.56487008020289,2.38694993979711,0.01)); #256475=CARTESIAN_POINT('Origin',(5.14083103000001,2.43703429,0.)); #256476=CARTESIAN_POINT('',(5.14083103000001,2.43703429,0.01)); #256477=CARTESIAN_POINT('',(5.14083103000001,2.43703429,0.)); #256478=CARTESIAN_POINT('',(5.14083103000001,2.43703429,0.01)); #256479=CARTESIAN_POINT('Origin',(5.14083007979711,2.38695023979711,0.)); #256480=CARTESIAN_POINT('',(5.19091413,2.38694929,0.01)); #256481=CARTESIAN_POINT('',(5.19091413,2.38694929,0.)); #256482=CARTESIAN_POINT('Origin',(5.14083007979711,2.38695023979711,0.01)); #256483=CARTESIAN_POINT('Origin',(5.19091413,2.28928729,0.)); #256484=CARTESIAN_POINT('',(5.19091413,2.28928729,0.01)); #256485=CARTESIAN_POINT('',(5.19091413,2.28928729,0.)); #256486=CARTESIAN_POINT('',(5.19091413,2.28928729,0.01)); #256487=CARTESIAN_POINT('Origin',(5.14083057979711,2.2892868402029,0.)); #256488=CARTESIAN_POINT('',(5.14083103000001,2.23920329,0.01)); #256489=CARTESIAN_POINT('',(5.14083103000001,2.23920329,0.)); #256490=CARTESIAN_POINT('Origin',(5.14083057979711,2.2892868402029,0.01)); #256491=CARTESIAN_POINT('Origin',(4.56486943,2.23920329,0.)); #256492=CARTESIAN_POINT('',(4.56486943,2.23920329,0.01)); #256493=CARTESIAN_POINT('',(4.56486943,2.23920329,0.)); #256494=CARTESIAN_POINT('',(4.56486943,2.23920329,0.01)); #256495=CARTESIAN_POINT('Origin',(4.56486958020289,2.2892871402029,0.)); #256496=CARTESIAN_POINT('Origin',(4.56486958020289,2.2892871402029,0.01)); #256497=CARTESIAN_POINT('Origin',(8.60000063894334,8.60000760469725,0.01)); #256498=CARTESIAN_POINT('Origin',(0.,0.,0.)); #256499=CARTESIAN_POINT('Origin',(0.,0.,0.)); #256500=CARTESIAN_POINT('Origin',(0.,0.,0.)); #256501=CARTESIAN_POINT('Origin',(0.,0.,0.)); #256502=CARTESIAN_POINT('Origin',(1.25,15.95,1.7)); #256503=CARTESIAN_POINT('',(1.65,15.95,0.2)); #256504=CARTESIAN_POINT('Origin',(1.25,15.95,0.2)); #256505=CARTESIAN_POINT('',(1.65,15.95,1.7)); #256506=CARTESIAN_POINT('Origin',(13.55,6.1,1.7)); #256507=CARTESIAN_POINT('',(13.95,6.1,0.2)); #256508=CARTESIAN_POINT('Origin',(13.55,6.1,0.2)); #256509=CARTESIAN_POINT('',(13.95,6.1,1.7)); #256510=CARTESIAN_POINT('Origin',(10.25,4.1,1.7)); #256511=CARTESIAN_POINT('',(10.65,4.1,0.2)); #256512=CARTESIAN_POINT('Origin',(10.25,4.1,0.2)); #256513=CARTESIAN_POINT('',(10.65,4.1,1.7)); #256514=CARTESIAN_POINT('Origin',(10.25,6.1,1.7)); #256515=CARTESIAN_POINT('',(10.65,6.1,0.2)); #256516=CARTESIAN_POINT('Origin',(10.25,6.1,0.2)); #256517=CARTESIAN_POINT('',(10.65,6.1,1.7)); #256518=CARTESIAN_POINT('Origin',(6.95,4.1,1.7)); #256519=CARTESIAN_POINT('',(7.35,4.1,0.2)); #256520=CARTESIAN_POINT('Origin',(6.95,4.1,0.2)); #256521=CARTESIAN_POINT('',(7.35,4.1,1.7)); #256522=CARTESIAN_POINT('Origin',(13.55,4.1,1.7)); #256523=CARTESIAN_POINT('',(13.95,4.1,0.2)); #256524=CARTESIAN_POINT('Origin',(13.55,4.1,0.2)); #256525=CARTESIAN_POINT('',(13.95,4.1,1.7)); #256526=CARTESIAN_POINT('Origin',(11.9,5.1,1.7)); #256527=CARTESIAN_POINT('',(12.3,5.1,0.2)); #256528=CARTESIAN_POINT('Origin',(11.9,5.1,0.2)); #256529=CARTESIAN_POINT('',(12.3,5.1,1.7)); #256530=CARTESIAN_POINT('Origin',(2.,5.1,1.7)); #256531=CARTESIAN_POINT('',(2.4,5.1,0.2)); #256532=CARTESIAN_POINT('Origin',(2.,5.1,0.2)); #256533=CARTESIAN_POINT('',(2.4,5.1,1.7)); #256534=CARTESIAN_POINT('Origin',(5.3,5.1,1.7)); #256535=CARTESIAN_POINT('',(5.7,5.1,0.2)); #256536=CARTESIAN_POINT('Origin',(5.3,5.1,0.2)); #256537=CARTESIAN_POINT('',(5.7,5.1,1.7)); #256538=CARTESIAN_POINT('Origin',(8.6,5.1,1.7)); #256539=CARTESIAN_POINT('',(9.,5.1,0.2)); #256540=CARTESIAN_POINT('Origin',(8.6,5.1,0.2)); #256541=CARTESIAN_POINT('',(9.,5.1,1.7)); #256542=CARTESIAN_POINT('Origin',(6.95,6.1,1.7)); #256543=CARTESIAN_POINT('',(7.35,6.1,0.2)); #256544=CARTESIAN_POINT('Origin',(6.95,6.1,0.2)); #256545=CARTESIAN_POINT('',(7.35,6.1,1.7)); #256546=CARTESIAN_POINT('Origin',(3.65,4.1,1.7)); #256547=CARTESIAN_POINT('',(4.05,4.1,0.2)); #256548=CARTESIAN_POINT('Origin',(3.65,4.1,0.2)); #256549=CARTESIAN_POINT('',(4.05,4.1,1.7)); #256550=CARTESIAN_POINT('Origin',(3.65,6.1,1.7)); #256551=CARTESIAN_POINT('',(4.05,6.1,0.2)); #256552=CARTESIAN_POINT('Origin',(3.65,6.1,0.2)); #256553=CARTESIAN_POINT('',(4.05,6.1,1.7)); #256554=CARTESIAN_POINT('Origin',(15.2,5.1,1.7)); #256555=CARTESIAN_POINT('',(15.6,5.1,0.2)); #256556=CARTESIAN_POINT('Origin',(15.2,5.1,0.2)); #256557=CARTESIAN_POINT('',(15.6,5.1,1.7)); #256558=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #256559=CARTESIAN_POINT('',(17.2,0.270710678118655,1.7)); #256560=CARTESIAN_POINT('',(17.2,2.25,1.7)); #256561=CARTESIAN_POINT('',(17.2,0.,1.7)); #256562=CARTESIAN_POINT('',(17.,2.25,1.7)); #256563=CARTESIAN_POINT('',(-0.001000000000001,2.25,1.7)); #256564=CARTESIAN_POINT('',(17.,0.270710678118655,1.7)); #256565=CARTESIAN_POINT('',(17.,0.,1.7)); #256566=CARTESIAN_POINT('',(-16.4184525333192,0.270710678118655,1.7)); #256567=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #256568=CARTESIAN_POINT('',(17.,3.05,1.7)); #256569=CARTESIAN_POINT('',(17.,6.65,1.7)); #256570=CARTESIAN_POINT('',(17.,0.,1.7)); #256571=CARTESIAN_POINT('',(17.2,3.05,1.7)); #256572=CARTESIAN_POINT('',(-0.001000000000001,3.05,1.7)); #256573=CARTESIAN_POINT('',(17.2,6.65,1.7)); #256574=CARTESIAN_POINT('',(17.2,0.,1.7)); #256575=CARTESIAN_POINT('',(-0.001000000000001,6.65,1.7)); #256576=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #256577=CARTESIAN_POINT('',(17.,7.45,1.7)); #256578=CARTESIAN_POINT('',(17.,14.15,1.7)); #256579=CARTESIAN_POINT('',(17.,0.,1.7)); #256580=CARTESIAN_POINT('',(17.2,7.45,1.7)); #256581=CARTESIAN_POINT('',(-0.001000000000001,7.45,1.7)); #256582=CARTESIAN_POINT('',(17.2,14.15,1.7)); #256583=CARTESIAN_POINT('',(17.2,0.,1.7)); #256584=CARTESIAN_POINT('',(-0.001000000000001,14.15,1.7)); #256585=CARTESIAN_POINT('Origin',(33.6184525333192,16.9292893218813,1.7)); #256586=CARTESIAN_POINT('',(0.199999999999999,16.9292893218813,0.499999999999999)); #256587=CARTESIAN_POINT('',(-3.40792970642079E-17,16.9292893218813,0.499999999999999)); #256588=CARTESIAN_POINT('',(33.6184525333192,16.9292893218813,0.499999999999999)); #256589=CARTESIAN_POINT('',(-3.40792970642079E-17,16.9292893218813,1.7)); #256590=CARTESIAN_POINT('',(-3.40792970642079E-17,16.9292893218813,2.85)); #256591=CARTESIAN_POINT('',(0.199999999999999,16.9292893218813,1.7)); #256592=CARTESIAN_POINT('',(33.6184525333192,16.9292893218813,1.7)); #256593=CARTESIAN_POINT('',(0.2,16.9292893218813,1.7)); #256594=CARTESIAN_POINT('Origin',(33.6184525333192,0.,1.7)); #256595=CARTESIAN_POINT('',(-3.40792970642079E-17,0.270710678118655,1.7)); #256596=CARTESIAN_POINT('',(-3.40792970642079E-17,0.,1.7)); #256597=CARTESIAN_POINT('',(0.199999999999999,0.270710678118655,1.7)); #256598=CARTESIAN_POINT('',(33.6184525333192,0.270710678118655,1.7)); #256599=CARTESIAN_POINT('',(0.2,0.,1.7)); #256600=CARTESIAN_POINT('Origin',(33.6184525333192,0.270710678118655,1.7)); #256601=CARTESIAN_POINT('',(-3.40792970642079E-17,0.270710678118655,0.499999999999998)); #256602=CARTESIAN_POINT('',(-3.40792970642079E-17,0.270710678118655,2.85)); #256603=CARTESIAN_POINT('',(0.199999999999999,0.270710678118655,0.499999999999998)); #256604=CARTESIAN_POINT('',(33.6184525333192,0.270710678118655,0.499999999999998)); #256605=CARTESIAN_POINT('',(0.2,0.270710678118655,1.7)); #256606=CARTESIAN_POINT('Origin',(33.6184525333192,0.,0.499999999999999)); #256607=CARTESIAN_POINT('',(-3.40792970642079E-17,0.499999999999999,0.499999999999999)); #256608=CARTESIAN_POINT('',(-3.40792970642079E-17,0.,0.499999999999999)); #256609=CARTESIAN_POINT('',(0.199999999999999,0.499999999999999,0.499999999999999)); #256610=CARTESIAN_POINT('',(33.6184525333192,0.499999999999999,0.499999999999999)); #256611=CARTESIAN_POINT('',(0.2,0.,0.499999999999999)); #256612=CARTESIAN_POINT('Origin',(33.6184525333192,0.,0.499999999999999)); #256613=CARTESIAN_POINT('',(-3.40792970642079E-17,16.7,0.499999999999999)); #256614=CARTESIAN_POINT('',(-3.40792970642079E-17,0.,0.499999999999999)); #256615=CARTESIAN_POINT('',(0.199999999999999,16.7,0.499999999999999)); #256616=CARTESIAN_POINT('',(0.2,0.,0.499999999999999)); #256617=CARTESIAN_POINT('',(33.6184525333192,16.7,0.499999999999999)); #256618=CARTESIAN_POINT('Origin',(33.6184525333192,16.7,1.7)); #256619=CARTESIAN_POINT('',(0.2,16.7,0.4)); #256620=CARTESIAN_POINT('',(-5.42101086242752E-17,16.7,0.4)); #256621=CARTESIAN_POINT('',(33.6184525333192,16.7,0.4)); #256622=CARTESIAN_POINT('',(-3.40792970642079E-17,16.7,1.7)); #256623=CARTESIAN_POINT('',(0.2,16.7,1.7)); #256624=CARTESIAN_POINT('Origin',(-3.40792970642079E-17,0.,1.7)); #256625=CARTESIAN_POINT('',(-3.14671787350174E-17,0.499999999999999,0.4)); #256626=CARTESIAN_POINT('',(7.69530385135331E-17,16.7,0.4)); #256627=CARTESIAN_POINT('',(-3.40792970642079E-17,0.499999999999999,1.7)); #256628=CARTESIAN_POINT('Origin',(33.6184525333192,0.499999999999999,1.7)); #256629=CARTESIAN_POINT('',(0.2,0.499999999999994,0.399999999999998)); #256630=CARTESIAN_POINT('',(33.6184525333192,0.499999999999999,0.4)); #256631=CARTESIAN_POINT('',(0.2,0.499999999999999,1.7)); #256632=CARTESIAN_POINT('Origin',(0.2,0.,1.7)); #256633=CARTESIAN_POINT('',(0.199999999999999,16.7,0.4)); #256634=CARTESIAN_POINT('Origin',(0.270710678118655,33.6184525333192,1.7)); #256635=CARTESIAN_POINT('',(0.270710678118655,0.199999999999999,0.499999999999998)); #256636=CARTESIAN_POINT('',(0.270710678118655,-3.40792970642079E-17,0.499999999999998)); #256637=CARTESIAN_POINT('',(0.270710678118655,33.6184525333192,0.499999999999998)); #256638=CARTESIAN_POINT('',(0.270710678118655,-3.40792970642079E-17,1.7)); #256639=CARTESIAN_POINT('',(0.270710678118655,-3.40792970642079E-17,2.85)); #256640=CARTESIAN_POINT('',(0.270710678118655,0.199999999999999,1.7)); #256641=CARTESIAN_POINT('',(0.270710678118655,33.6184525333192,1.7)); #256642=CARTESIAN_POINT('',(0.270710678118655,0.2,1.7)); #256643=CARTESIAN_POINT('Origin',(0.,33.6184525333192,1.7)); #256644=CARTESIAN_POINT('',(16.9292893218813,1.18564814698198E-15,1.7)); #256645=CARTESIAN_POINT('',(0.,-3.40792970642079E-17,1.7)); #256646=CARTESIAN_POINT('',(16.9292893218813,0.199999999999999,1.7)); #256647=CARTESIAN_POINT('',(16.9292893218813,33.6184525333192,1.7)); #256648=CARTESIAN_POINT('',(0.,0.2,1.7)); #256649=CARTESIAN_POINT('Origin',(16.9292893218813,33.6184525333192,1.7)); #256650=CARTESIAN_POINT('',(16.9292893218813,1.15854309266985E-15,0.499999999999999)); #256651=CARTESIAN_POINT('',(16.9292893218813,1.18564814698198E-15,2.85)); #256652=CARTESIAN_POINT('',(16.9292893218813,0.199999999999999,0.499999999999999)); #256653=CARTESIAN_POINT('',(16.9292893218813,33.6184525333192,0.499999999999999)); #256654=CARTESIAN_POINT('',(16.9292893218813,0.2,1.7)); #256655=CARTESIAN_POINT('Origin',(0.,33.6184525333192,0.499999999999999)); #256656=CARTESIAN_POINT('',(16.7,-3.40792970642079E-17,0.499999999999999)); #256657=CARTESIAN_POINT('',(0.,-3.40792970642079E-17,0.499999999999999)); #256658=CARTESIAN_POINT('',(16.7,0.199999999999999,0.499999999999999)); #256659=CARTESIAN_POINT('',(16.7,33.6184525333192,0.499999999999999)); #256660=CARTESIAN_POINT('',(0.,0.2,0.499999999999999)); #256661=CARTESIAN_POINT('Origin',(0.,33.6184525333192,0.499999999999999)); #256662=CARTESIAN_POINT('',(0.499999999999999,-3.40792970642079E-17,0.499999999999999)); #256663=CARTESIAN_POINT('',(0.,-3.40792970642079E-17,0.499999999999999)); #256664=CARTESIAN_POINT('',(0.499999999999999,0.199999999999999,0.499999999999999)); #256665=CARTESIAN_POINT('',(0.,0.2,0.499999999999999)); #256666=CARTESIAN_POINT('',(0.499999999999999,33.6184525333192,0.499999999999999)); #256667=CARTESIAN_POINT('Origin',(0.499999999999999,33.6184525333192,1.7)); #256668=CARTESIAN_POINT('',(0.499999999999997,0.2,0.4)); #256669=CARTESIAN_POINT('',(0.499999999999997,-5.42101086242752E-17,0.4)); #256670=CARTESIAN_POINT('',(0.499999999999999,33.6184525333192,0.4)); #256671=CARTESIAN_POINT('',(0.499999999999999,-3.40792970642079E-17,1.7)); #256672=CARTESIAN_POINT('',(0.499999999999999,0.2,1.7)); #256673=CARTESIAN_POINT('Origin',(0.,-3.40792970642079E-17,1.7)); #256674=CARTESIAN_POINT('',(16.7,-3.14671787350174E-17,0.4)); #256675=CARTESIAN_POINT('',(0.499999999999999,7.69530385135331E-17,0.4)); #256676=CARTESIAN_POINT('',(16.7,-3.40792970642079E-17,1.7)); #256677=CARTESIAN_POINT('Origin',(16.7,33.6184525333192,1.7)); #256678=CARTESIAN_POINT('',(16.7,0.2,0.399999999999998)); #256679=CARTESIAN_POINT('',(16.7,33.6184525333192,0.4)); #256680=CARTESIAN_POINT('',(16.7,0.2,1.7)); #256681=CARTESIAN_POINT('Origin',(0.,0.2,1.7)); #256682=CARTESIAN_POINT('',(0.499999999999999,0.199999999999999,0.4)); #256683=CARTESIAN_POINT('Origin',(-16.4184525333192,0.270710678118655,1.7)); #256684=CARTESIAN_POINT('',(17.,0.270710678118655,0.500000000000004)); #256685=CARTESIAN_POINT('',(17.2,0.270710678118655,0.5)); #256686=CARTESIAN_POINT('',(-16.4184525333192,0.270710678118655,0.500000000000004)); #256687=CARTESIAN_POINT('',(17.2,0.270710678118655,2.85)); #256688=CARTESIAN_POINT('',(17.,0.270710678118655,1.7)); #256689=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,1.7)); #256690=CARTESIAN_POINT('',(17.,14.95,1.7)); #256691=CARTESIAN_POINT('',(17.,16.9292893218813,1.7)); #256692=CARTESIAN_POINT('',(17.,0.,1.7)); #256693=CARTESIAN_POINT('',(17.2,14.95,1.7)); #256694=CARTESIAN_POINT('',(-0.001000000000001,14.95,1.7)); #256695=CARTESIAN_POINT('',(17.2,16.9292893218813,1.7)); #256696=CARTESIAN_POINT('',(17.2,0.,1.7)); #256697=CARTESIAN_POINT('',(-16.4184525333192,16.9292893218813,1.7)); #256698=CARTESIAN_POINT('Origin',(-16.4184525333192,16.9292893218813,1.7)); #256699=CARTESIAN_POINT('',(17.2,16.9292893218813,0.5)); #256700=CARTESIAN_POINT('',(17.2,16.9292893218813,2.85)); #256701=CARTESIAN_POINT('',(17.,16.9292893218813,0.500000000000004)); #256702=CARTESIAN_POINT('',(-16.4184525333192,16.9292893218813,0.500000000000004)); #256703=CARTESIAN_POINT('',(17.,16.9292893218813,1.7)); #256704=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,0.500000000000004)); #256705=CARTESIAN_POINT('',(17.2,16.7,0.5)); #256706=CARTESIAN_POINT('',(17.2,0.,0.5)); #256707=CARTESIAN_POINT('',(17.,16.7,0.500000000000004)); #256708=CARTESIAN_POINT('',(-16.4184525333192,16.7,0.500000000000004)); #256709=CARTESIAN_POINT('',(17.,0.,0.5)); #256710=CARTESIAN_POINT('Origin',(-16.4184525333192,0.,0.500000000000004)); #256711=CARTESIAN_POINT('',(17.2,0.499999999999999,0.5)); #256712=CARTESIAN_POINT('',(17.2,0.,0.5)); #256713=CARTESIAN_POINT('',(17.,0.499999999999999,0.500000000000004)); #256714=CARTESIAN_POINT('',(17.,0.,0.5)); #256715=CARTESIAN_POINT('',(-16.4184525333192,0.499999999999999,0.500000000000004)); #256716=CARTESIAN_POINT('Origin',(-16.4184525333192,0.499999999999999,1.7)); #256717=CARTESIAN_POINT('',(17.,0.499999999999997,0.400000000000001)); #256718=CARTESIAN_POINT('',(17.2,0.499999999999997,0.400000000000002)); #256719=CARTESIAN_POINT('',(-16.4184525333192,0.499999999999999,0.400000000000005)); #256720=CARTESIAN_POINT('',(17.2,0.499999999999999,1.7)); #256721=CARTESIAN_POINT('',(17.,0.499999999999999,1.7)); #256722=CARTESIAN_POINT('Origin',(17.2,0.,1.7)); #256723=CARTESIAN_POINT('',(17.2,2.25,1.5)); #256724=CARTESIAN_POINT('',(17.2,2.35,1.4)); #256725=CARTESIAN_POINT('Origin',(17.2,2.35,1.5)); #256726=CARTESIAN_POINT('',(17.2,2.25,1.7)); #256727=CARTESIAN_POINT('',(17.2,16.7,0.400000000000001)); #256728=CARTESIAN_POINT('',(17.2,0.499999999999999,0.400000000000005)); #256729=CARTESIAN_POINT('',(17.2,16.7,1.7)); #256730=CARTESIAN_POINT('',(17.2,14.95,1.5)); #256731=CARTESIAN_POINT('',(17.2,14.95,1.7)); #256732=CARTESIAN_POINT('',(17.2,14.85,1.4)); #256733=CARTESIAN_POINT('Origin',(17.2,14.85,1.5)); #256734=CARTESIAN_POINT('',(17.2,14.25,1.4)); #256735=CARTESIAN_POINT('',(17.2,14.25,1.4)); #256736=CARTESIAN_POINT('',(17.2,14.15,1.5)); #256737=CARTESIAN_POINT('Origin',(17.2,14.25,1.5)); #256738=CARTESIAN_POINT('',(17.2,14.15,1.7)); #256739=CARTESIAN_POINT('',(17.2,7.45,1.5)); #256740=CARTESIAN_POINT('',(17.2,7.45,1.7)); #256741=CARTESIAN_POINT('',(17.2,7.35,1.4)); #256742=CARTESIAN_POINT('Origin',(17.2,7.35,1.5)); #256743=CARTESIAN_POINT('',(17.2,6.74999999999999,1.4)); #256744=CARTESIAN_POINT('',(17.2,6.74999999999999,1.4)); #256745=CARTESIAN_POINT('',(17.2,6.65,1.5)); #256746=CARTESIAN_POINT('Origin',(17.2,6.74999999999999,1.5)); #256747=CARTESIAN_POINT('',(17.2,6.65,1.7)); #256748=CARTESIAN_POINT('',(17.2,3.05,1.5)); #256749=CARTESIAN_POINT('',(17.2,3.05,1.7)); #256750=CARTESIAN_POINT('',(17.2,2.95,1.4)); #256751=CARTESIAN_POINT('Origin',(17.2,2.95,1.5)); #256752=CARTESIAN_POINT('',(17.2,2.95,1.4)); #256753=CARTESIAN_POINT('Origin',(-16.4184525333192,16.7,1.7)); #256754=CARTESIAN_POINT('',(17.,16.7,0.399999999999998)); #256755=CARTESIAN_POINT('',(-16.4184525333192,16.7,0.400000000000005)); #256756=CARTESIAN_POINT('',(17.,16.7,1.7)); #256757=CARTESIAN_POINT('Origin',(17.,0.,1.7)); #256758=CARTESIAN_POINT('',(17.,2.25,1.5)); #256759=CARTESIAN_POINT('',(17.,2.25,1.7)); #256760=CARTESIAN_POINT('',(17.,2.35,1.4)); #256761=CARTESIAN_POINT('Origin',(17.,2.35,1.5)); #256762=CARTESIAN_POINT('',(17.,2.95,1.4)); #256763=CARTESIAN_POINT('',(17.,2.95,1.4)); #256764=CARTESIAN_POINT('',(17.,3.05,1.5)); #256765=CARTESIAN_POINT('Origin',(17.,2.95,1.5)); #256766=CARTESIAN_POINT('',(17.,3.05,1.7)); #256767=CARTESIAN_POINT('',(17.,6.65,1.5)); #256768=CARTESIAN_POINT('',(17.,6.65,1.7)); #256769=CARTESIAN_POINT('',(17.,6.74999999999999,1.4)); #256770=CARTESIAN_POINT('Origin',(17.,6.74999999999999,1.5)); #256771=CARTESIAN_POINT('',(17.,7.35,1.4)); #256772=CARTESIAN_POINT('',(17.,6.74999999999999,1.4)); #256773=CARTESIAN_POINT('',(17.,7.45,1.5)); #256774=CARTESIAN_POINT('Origin',(17.,7.35,1.5)); #256775=CARTESIAN_POINT('',(17.,7.45,1.7)); #256776=CARTESIAN_POINT('',(17.,14.15,1.5)); #256777=CARTESIAN_POINT('',(17.,14.15,1.7)); #256778=CARTESIAN_POINT('',(17.,14.25,1.4)); #256779=CARTESIAN_POINT('Origin',(17.,14.25,1.5)); #256780=CARTESIAN_POINT('',(17.,14.85,1.4)); #256781=CARTESIAN_POINT('',(17.,14.25,1.4)); #256782=CARTESIAN_POINT('',(17.,14.95,1.5)); #256783=CARTESIAN_POINT('Origin',(17.,14.85,1.5)); #256784=CARTESIAN_POINT('',(17.,14.95,1.7)); #256785=CARTESIAN_POINT('',(17.,0.499999999999999,0.400000000000005)); #256786=CARTESIAN_POINT('Origin',(16.9292893218813,-16.4184525333192,1.7)); #256787=CARTESIAN_POINT('',(16.9292893218813,17.,0.500000000000004)); #256788=CARTESIAN_POINT('',(16.9292893218813,17.2,0.5)); #256789=CARTESIAN_POINT('',(16.9292893218813,-16.4184525333192,0.500000000000004)); #256790=CARTESIAN_POINT('',(16.9292893218813,17.2,1.7)); #256791=CARTESIAN_POINT('',(16.9292893218813,17.2,2.85)); #256792=CARTESIAN_POINT('',(16.9292893218813,17.,1.7)); #256793=CARTESIAN_POINT('',(16.9292893218813,-16.4184525333192,1.7)); #256794=CARTESIAN_POINT('',(16.9292893218813,17.,1.7)); #256795=CARTESIAN_POINT('Origin',(0.,-16.4184525333192,1.7)); #256796=CARTESIAN_POINT('',(0.270710678118655,17.2,1.7)); #256797=CARTESIAN_POINT('',(0.,17.2,1.7)); #256798=CARTESIAN_POINT('',(0.270710678118655,17.,1.7)); #256799=CARTESIAN_POINT('',(0.270710678118655,-16.4184525333192,1.7)); #256800=CARTESIAN_POINT('',(0.,17.,1.7)); #256801=CARTESIAN_POINT('Origin',(0.270710678118655,-16.4184525333192,1.7)); #256802=CARTESIAN_POINT('',(0.270710678118655,17.2,0.5)); #256803=CARTESIAN_POINT('',(0.270710678118655,17.2,2.85)); #256804=CARTESIAN_POINT('',(0.270710678118655,17.,0.500000000000004)); #256805=CARTESIAN_POINT('',(0.270710678118655,-16.4184525333192,0.500000000000004)); #256806=CARTESIAN_POINT('',(0.270710678118655,17.,1.7)); #256807=CARTESIAN_POINT('Origin',(0.,-16.4184525333192,0.500000000000004)); #256808=CARTESIAN_POINT('',(0.499999999999998,17.2,0.5)); #256809=CARTESIAN_POINT('',(0.,17.2,0.5)); #256810=CARTESIAN_POINT('',(0.499999999999998,17.,0.500000000000004)); #256811=CARTESIAN_POINT('',(0.499999999999998,-16.4184525333192,0.500000000000004)); #256812=CARTESIAN_POINT('',(0.,17.,0.5)); #256813=CARTESIAN_POINT('Origin',(0.,-16.4184525333192,0.500000000000004)); #256814=CARTESIAN_POINT('',(16.7,17.2,0.5)); #256815=CARTESIAN_POINT('',(0.,17.2,0.5)); #256816=CARTESIAN_POINT('',(16.7,17.,0.500000000000004)); #256817=CARTESIAN_POINT('',(0.,17.,0.5)); #256818=CARTESIAN_POINT('',(16.7,-16.4184525333192,0.500000000000004)); #256819=CARTESIAN_POINT('Origin',(16.7,-16.4184525333192,1.7)); #256820=CARTESIAN_POINT('',(16.7,17.,0.400000000000001)); #256821=CARTESIAN_POINT('',(16.7,17.2,0.400000000000002)); #256822=CARTESIAN_POINT('',(16.7,-16.4184525333192,0.400000000000005)); #256823=CARTESIAN_POINT('',(16.7,17.2,1.7)); #256824=CARTESIAN_POINT('',(16.7,17.,1.7)); #256825=CARTESIAN_POINT('Origin',(0.,17.2,1.7)); #256826=CARTESIAN_POINT('',(0.499999999999998,17.2,0.400000000000001)); #256827=CARTESIAN_POINT('',(16.7,17.2,0.400000000000005)); #256828=CARTESIAN_POINT('',(0.499999999999998,17.2,1.7)); #256829=CARTESIAN_POINT('Origin',(0.499999999999998,-16.4184525333192,1.7)); #256830=CARTESIAN_POINT('',(0.5,17.,0.399999999999998)); #256831=CARTESIAN_POINT('',(0.499999999999998,-16.4184525333192,0.400000000000005)); #256832=CARTESIAN_POINT('',(0.499999999999998,17.,1.7)); #256833=CARTESIAN_POINT('Origin',(0.,17.,1.7)); #256834=CARTESIAN_POINT('',(16.7,17.,0.400000000000005)); #256835=CARTESIAN_POINT('Origin',(0.,16.7,46.5862048458375)); #256836=CARTESIAN_POINT('',(0.499999999999998,16.7,0.2)); #256837=CARTESIAN_POINT('',(0.499999999999998,16.7,46.5862048458375)); #256838=CARTESIAN_POINT('',(0.4,16.7,0.2)); #256839=CARTESIAN_POINT('',(0.4,16.7,46.5862048458375)); #256840=CARTESIAN_POINT('',(0.,16.7,0.2)); #256841=CARTESIAN_POINT('Origin',(0.,0.499999999999999,46.5862048458375)); #256842=CARTESIAN_POINT('',(0.4,0.499999999999994,0.2)); #256843=CARTESIAN_POINT('',(0.4,0.499999999999999,46.5862048458375)); #256844=CARTESIAN_POINT('',(0.499999999999999,0.499999999999999,0.199999999999999)); #256845=CARTESIAN_POINT('',(0.499999999999999,0.499999999999999,46.5862048458375)); #256846=CARTESIAN_POINT('',(0.,0.499999999999999,0.2)); #256847=CARTESIAN_POINT('Origin',(0.499999999999999,0.,46.5862048458375)); #256848=CARTESIAN_POINT('',(0.499999999999997,0.4,0.2)); #256849=CARTESIAN_POINT('',(0.499999999999999,0.4,46.5862048458375)); #256850=CARTESIAN_POINT('',(0.499999999999999,0.,0.2)); #256851=CARTESIAN_POINT('Origin',(16.7,0.,46.5862048458375)); #256852=CARTESIAN_POINT('',(16.7,0.4,0.2)); #256853=CARTESIAN_POINT('',(16.7,0.4,46.5862048458375)); #256854=CARTESIAN_POINT('',(16.7,0.499999999999999,0.199999999999999)); #256855=CARTESIAN_POINT('',(16.7,0.499999999999999,46.5862048458375)); #256856=CARTESIAN_POINT('',(16.7,0.,0.2)); #256857=CARTESIAN_POINT('Origin',(0.,0.499999999999999,46.5862048458375)); #256858=CARTESIAN_POINT('',(16.8,0.499999999999997,0.199999999999997)); #256859=CARTESIAN_POINT('',(16.8,0.499999999999999,46.5862048458375)); #256860=CARTESIAN_POINT('',(0.,0.499999999999999,0.2)); #256861=CARTESIAN_POINT('Origin',(0.,16.7,46.5862048458375)); #256862=CARTESIAN_POINT('',(16.8,16.7,0.199999999999997)); #256863=CARTESIAN_POINT('',(16.8,16.7,46.5862048458375)); #256864=CARTESIAN_POINT('',(16.7,16.7,0.199999999999999)); #256865=CARTESIAN_POINT('',(16.7,16.7,46.5862048458375)); #256866=CARTESIAN_POINT('',(0.,16.7,0.2)); #256867=CARTESIAN_POINT('Origin',(16.7,0.,46.5862048458375)); #256868=CARTESIAN_POINT('',(16.7,16.8,0.199999999999997)); #256869=CARTESIAN_POINT('',(16.7,16.8,46.5862048458375)); #256870=CARTESIAN_POINT('',(16.7,0.,0.2)); #256871=CARTESIAN_POINT('Origin',(0.499999999999998,0.,46.5862048458375)); #256872=CARTESIAN_POINT('',(0.5,16.8,0.199999999999997)); #256873=CARTESIAN_POINT('',(0.499999999999998,16.8,46.5862048458375)); #256874=CARTESIAN_POINT('',(0.499999999999998,0.,0.2)); #256875=CARTESIAN_POINT('Origin',(0.,0.,0.2)); #256876=CARTESIAN_POINT('',(0.4,16.7,0.199999999999999)); #256877=CARTESIAN_POINT('',(0.499999999999999,0.4,0.199999999999999)); #256878=CARTESIAN_POINT('',(16.8,0.499999999999999,0.199999999999999)); #256879=CARTESIAN_POINT('',(16.7,16.8,0.199999999999999)); #256880=CARTESIAN_POINT('Origin',(0.,0.,0.)); #256881=CARTESIAN_POINT('Origin',(16.7,16.8,0.399999999999999)); #256882=CARTESIAN_POINT('Origin',(16.7,16.8,0.399999999999999)); #256883=CARTESIAN_POINT('Origin',(16.7,16.8,0.399999999999999)); #256884=CARTESIAN_POINT('Origin',(0.5,16.8,0.399999999999999)); #256885=CARTESIAN_POINT('Origin',(0.5,16.8,0.399999999999999)); #256886=CARTESIAN_POINT('Origin',(0.5,16.8,0.399999999999999)); #256887=CARTESIAN_POINT('Origin',(44.9036317039853,16.8,0.399999999999999)); #256888=CARTESIAN_POINT('Origin',(44.9036317039853,16.8,0.399999999999999)); #256889=CARTESIAN_POINT('Origin',(16.8,0.499999999999997,0.399999999999999)); #256890=CARTESIAN_POINT('Origin',(16.8,0.499999999999997,0.399999999999999)); #256891=CARTESIAN_POINT('Origin',(16.8,0.499999999999997,0.399999999999999)); #256892=CARTESIAN_POINT('Origin',(16.8,16.7,0.399999999999999)); #256893=CARTESIAN_POINT('Origin',(16.8,16.7,0.399999999999999)); #256894=CARTESIAN_POINT('Origin',(16.8,16.7,0.399999999999999)); #256895=CARTESIAN_POINT('Origin',(16.8,-26.8035407018035,0.399999999999999)); #256896=CARTESIAN_POINT('Origin',(16.8,-26.8035407018035,0.399999999999999)); #256897=CARTESIAN_POINT('Origin',(0.499999999999997,0.4,0.4)); #256898=CARTESIAN_POINT('Origin',(0.499999999999997,0.4,0.4)); #256899=CARTESIAN_POINT('Origin',(0.499999999999997,0.4,0.4)); #256900=CARTESIAN_POINT('Origin',(16.7,0.4,0.4)); #256901=CARTESIAN_POINT('Origin',(16.7,0.4,0.4)); #256902=CARTESIAN_POINT('Origin',(16.7,0.4,0.4)); #256903=CARTESIAN_POINT('Origin',(-25.8186593202624,0.4,0.4)); #256904=CARTESIAN_POINT('Origin',(-25.8186593202624,0.4,0.4)); #256905=CARTESIAN_POINT('Origin',(0.4,16.7,0.4)); #256906=CARTESIAN_POINT('Origin',(0.4,16.7,0.4)); #256907=CARTESIAN_POINT('Origin',(0.4,16.7,0.4)); #256908=CARTESIAN_POINT('Origin',(0.4,0.499999999999994,0.4)); #256909=CARTESIAN_POINT('Origin',(0.4,0.499999999999994,0.4)); #256910=CARTESIAN_POINT('Origin',(0.4,0.499999999999994,0.4)); #256911=CARTESIAN_POINT('Origin',(0.4,42.0696849449893,0.4)); #256912=CARTESIAN_POINT('Origin',(0.4,42.0696849449893,0.4)); #256913=CARTESIAN_POINT('Origin',(-0.001000000000001,14.15,1.7)); #256914=CARTESIAN_POINT('',(-0.001000000000001,14.15,1.5)); #256915=CARTESIAN_POINT('Origin',(-0.001000000000001,14.25,1.5)); #256916=CARTESIAN_POINT('',(-0.001000000000001,14.25,1.4)); #256917=CARTESIAN_POINT('Origin',(-0.001000000000001,14.25,1.4)); #256918=CARTESIAN_POINT('',(-0.001000000000001,14.85,1.4)); #256919=CARTESIAN_POINT('Origin',(-0.001000000000001,14.85,1.5)); #256920=CARTESIAN_POINT('',(-0.001000000000001,14.95,1.5)); #256921=CARTESIAN_POINT('Origin',(-0.001000000000001,14.95,1.7)); #256922=CARTESIAN_POINT('Origin',(-0.001000000000001,6.65,1.7)); #256923=CARTESIAN_POINT('',(-0.001000000000001,6.65,1.5)); #256924=CARTESIAN_POINT('Origin',(-0.001000000000001,6.74999999999999,1.5)); #256925=CARTESIAN_POINT('',(-0.001000000000001,6.74999999999999,1.4)); #256926=CARTESIAN_POINT('Origin',(-0.001000000000001,6.74999999999999,1.4)); #256927=CARTESIAN_POINT('',(-0.001000000000001,7.35,1.4)); #256928=CARTESIAN_POINT('Origin',(-0.001000000000001,7.35,1.5)); #256929=CARTESIAN_POINT('',(-0.001000000000001,7.45,1.5)); #256930=CARTESIAN_POINT('Origin',(-0.001000000000001,7.45,1.7)); #256931=CARTESIAN_POINT('Origin',(-0.001000000000001,2.25,1.7)); #256932=CARTESIAN_POINT('',(-0.001000000000001,2.25,1.5)); #256933=CARTESIAN_POINT('Origin',(-0.001000000000001,2.35,1.5)); #256934=CARTESIAN_POINT('',(-0.001000000000001,2.35,1.4)); #256935=CARTESIAN_POINT('Origin',(-0.001000000000001,2.95,1.4)); #256936=CARTESIAN_POINT('',(-0.001000000000001,2.95,1.4)); #256937=CARTESIAN_POINT('Origin',(-0.001000000000001,2.95,1.5)); #256938=CARTESIAN_POINT('',(-0.001000000000001,3.05,1.5)); #256939=CARTESIAN_POINT('Origin',(-0.001000000000001,3.05,1.7)); #256940=CARTESIAN_POINT('',(-52.7397407407408,1.83475000000004,-0.35)); #256941=CARTESIAN_POINT('',(-52.3397407407408,19.93475,2.051)); #256942=CARTESIAN_POINT('',(0.,0.,0.)); #256943=CARTESIAN_POINT('Origin',(0.6,0.745,1.4)); #256944=CARTESIAN_POINT('',(0.729999999999999,0.75,1.4)); #256945=CARTESIAN_POINT('Origin',(0.6,0.75,1.4)); #256946=CARTESIAN_POINT('',(0.725,0.745,1.4)); #256947=CARTESIAN_POINT('Origin',(0.73,0.745,1.4)); #256948=CARTESIAN_POINT('',(0.6,0.745,1.275)); #256949=CARTESIAN_POINT('Origin',(0.6,0.745,1.4)); #256950=CARTESIAN_POINT('Origin',(0.6,0.745,1.4)); #256951=CARTESIAN_POINT('Origin',(0.6,0.75,1.4)); #256952=CARTESIAN_POINT('',(0.6,0.7,1.275)); #256953=CARTESIAN_POINT('',(0.6,0.75,1.275)); #256954=CARTESIAN_POINT('Origin',(0.6,0.7,1.4)); #256955=CARTESIAN_POINT('Origin',(1.,0.75,1.)); #256956=CARTESIAN_POINT('',(0.379999999999999,0.75,1.62)); #256957=CARTESIAN_POINT('',(0.379999999999999,0.75,0.380000000000001)); #256958=CARTESIAN_POINT('',(0.379999999999999,0.75,0.570000000000001)); #256959=CARTESIAN_POINT('',(1.62,0.75,1.62)); #256960=CARTESIAN_POINT('',(0.569999999999999,0.75,1.62)); #256961=CARTESIAN_POINT('',(1.62,0.75,0.380000000000001)); #256962=CARTESIAN_POINT('',(1.62,0.75,1.43)); #256963=CARTESIAN_POINT('',(1.43,0.75,0.380000000000001)); #256964=CARTESIAN_POINT('Origin',(1.89,0.214010205144337,1.43)); #256965=CARTESIAN_POINT('',(1.892,0.223808164115469,1.62)); #256966=CARTESIAN_POINT('',(1.9,0.214010205144337,1.62)); #256967=CARTESIAN_POINT('Origin',(1.89,0.214010205144337,1.62)); #256968=CARTESIAN_POINT('',(1.9,0.214010205144337,0.38)); #256969=CARTESIAN_POINT('',(1.9,0.214010205144337,1.43)); #256970=CARTESIAN_POINT('',(1.892,0.223808164115469,0.38)); #256971=CARTESIAN_POINT('Origin',(1.89,0.214010205144337,0.38)); #256972=CARTESIAN_POINT('',(1.892,0.223808164115469,1.43)); #256973=CARTESIAN_POINT('Origin',(1.89,0.213,1.45)); #256974=CARTESIAN_POINT('',(1.89,0.223,0.305838015129042)); #256975=CARTESIAN_POINT('',(1.9,0.213,0.305838015129042)); #256976=CARTESIAN_POINT('Origin',(1.89,0.213,0.305838015129042)); #256977=CARTESIAN_POINT('',(1.9,0.213,0.220000000000001)); #256978=CARTESIAN_POINT('',(1.9,0.213,1.45)); #256979=CARTESIAN_POINT('',(1.89,0.223,0.220000000000001)); #256980=CARTESIAN_POINT('Origin',(1.89,0.213,0.220000000000001)); #256981=CARTESIAN_POINT('',(1.89,0.223,1.45)); #256982=CARTESIAN_POINT('Origin',(1.78,0.213,0.220000000000001)); #256983=CARTESIAN_POINT('',(1.78,0.213,0.100000000000001)); #256984=CARTESIAN_POINT('',(1.78,0.223,0.110000000000001)); #256985=CARTESIAN_POINT('Origin',(1.78,0.213,0.110000000000001)); #256986=CARTESIAN_POINT('Origin',(1.78,0.223,0.220000000000001)); #256987=CARTESIAN_POINT('Origin',(1.78,0.213,0.220000000000001)); #256988=CARTESIAN_POINT('Origin',(1.45,0.213,0.110000000000001)); #256989=CARTESIAN_POINT('',(1.69416198487096,0.213,0.100000000000001)); #256990=CARTESIAN_POINT('',(1.69416198487096,0.223,0.110000000000001)); #256991=CARTESIAN_POINT('Origin',(1.69416198487096,0.213,0.110000000000001)); #256992=CARTESIAN_POINT('',(1.45,0.223,0.110000000000001)); #256993=CARTESIAN_POINT('',(1.45,0.213,0.100000000000001)); #256994=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144337,0.38)); #256995=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144337,0.355275898422341)); #256996=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,0.3305621167067)); #256997=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,0.305838015129042)); #256998=CARTESIAN_POINT('Ctrl Pts',(1.9,0.216618659251012,0.38)); #256999=CARTESIAN_POINT('Ctrl Pts',(1.9,0.216618659251012,0.355227019739869)); #257000=CARTESIAN_POINT('Ctrl Pts',(1.8999900871583,0.21574622089634,0.330457876478291)); #257001=CARTESIAN_POINT('Ctrl Pts',(1.9,0.215991993003419,0.305838015129042)); #257002=CARTESIAN_POINT('Ctrl Pts',(1.89812306787428,0.221158738157523, 0.38)); #257003=CARTESIAN_POINT('Ctrl Pts',(1.89812306787428,0.221158738157523, 0.35514239741122)); #257004=CARTESIAN_POINT('Ctrl Pts',(1.89792509998832,0.220541574101295, 0.330278822452045)); #257005=CARTESIAN_POINT('Ctrl Pts',(1.89749418754785,0.221178573740422, 0.305838015129042)); #257006=CARTESIAN_POINT('Ctrl Pts',(1.89391681447365,0.223416895999468, 0.38)); #257007=CARTESIAN_POINT('Ctrl Pts',(1.89391681447365,0.223416895999468, 0.35509998545018)); #257008=CARTESIAN_POINT('Ctrl Pts',(1.89332909654078,0.222713922159925, 0.330214940487929)); #257009=CARTESIAN_POINT('Ctrl Pts',(1.89224399475256,0.223,0.305838015129042)); #257010=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,0.38)); #257011=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,0.355092756929174)); #257012=CARTESIAN_POINT('Ctrl Pts',(1.89126293081819,0.223,0.330215222424361)); #257013=CARTESIAN_POINT('Ctrl Pts',(1.89,0.223,0.305838015129042)); #257014=CARTESIAN_POINT('Ctrl Pts',(1.89,0.223,0.305838015129042)); #257015=CARTESIAN_POINT('Ctrl Pts',(1.89126293081819,0.223,0.330215222424361)); #257016=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,0.355092756929174)); #257017=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,0.38)); #257018=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144337,0.38)); #257019=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144337,0.355275898422341)); #257020=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,0.3305621167067)); #257021=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,0.305838015129042)); #257022=CARTESIAN_POINT('Origin',(1.43,0.214010205144337,0.110000000000001)); #257023=CARTESIAN_POINT('',(1.62,0.223808164115469,0.108000000000001)); #257024=CARTESIAN_POINT('',(1.62,0.214010205144337,0.100000000000001)); #257025=CARTESIAN_POINT('Origin',(1.62,0.214010205144337,0.110000000000001)); #257026=CARTESIAN_POINT('',(0.379999999999999,0.214010205144337,0.100000000000001)); #257027=CARTESIAN_POINT('',(1.43,0.214010205144337,0.100000000000001)); #257028=CARTESIAN_POINT('',(0.379999999999999,0.223808164115469,0.108000000000001)); #257029=CARTESIAN_POINT('Origin',(0.379999999999999,0.214010205144337,0.110000000000001)); #257030=CARTESIAN_POINT('',(1.43,0.223808164115469,0.108000000000001)); #257031=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.213,0.100000000000001)); #257032=CARTESIAN_POINT('Ctrl Pts',(1.6694378832933,0.213,0.100000000000001)); #257033=CARTESIAN_POINT('Ctrl Pts',(1.64472410157766,0.214010205144336, 0.100000000000001)); #257034=CARTESIAN_POINT('Ctrl Pts',(1.62,0.214010205144336,0.100000000000001)); #257035=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.215991993003419, 0.100000000000001)); #257036=CARTESIAN_POINT('Ctrl Pts',(1.66954212352171,0.21574622089634,0.100009912841703)); #257037=CARTESIAN_POINT('Ctrl Pts',(1.64477298026013,0.216618659251012, 0.100000000000001)); #257038=CARTESIAN_POINT('Ctrl Pts',(1.62,0.216618659251012,0.100000000000001)); #257039=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.221178906729012, 0.102505534929038)); #257040=CARTESIAN_POINT('Ctrl Pts',(1.66972117754795,0.220541907089886, 0.102074622488573)); #257041=CARTESIAN_POINT('Ctrl Pts',(1.64485760258878,0.221158915403194, 0.101876746596983)); #257042=CARTESIAN_POINT('Ctrl Pts',(1.62,0.221158915403194,0.101876746596983)); #257043=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.223,0.107756005247437)); #257044=CARTESIAN_POINT('Ctrl Pts',(1.66978505951207,0.222713922159925, 0.106670903459215)); #257045=CARTESIAN_POINT('Ctrl Pts',(1.64490001454982,0.223416895999468, 0.106083185526354)); #257046=CARTESIAN_POINT('Ctrl Pts',(1.62,0.223416895999468,0.106083185526354)); #257047=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.223,0.110000000000001)); #257048=CARTESIAN_POINT('Ctrl Pts',(1.66978477757564,0.223,0.10873706918181)); #257049=CARTESIAN_POINT('Ctrl Pts',(1.64490724307083,0.223808164115469, 0.108000000000001)); #257050=CARTESIAN_POINT('Ctrl Pts',(1.62,0.223808164115469,0.108000000000001)); #257051=CARTESIAN_POINT('Ctrl Pts',(1.62,0.223808164115469,0.108000000000001)); #257052=CARTESIAN_POINT('Ctrl Pts',(1.64490724307083,0.223808164115469, 0.108000000000001)); #257053=CARTESIAN_POINT('Ctrl Pts',(1.66978477757564,0.223,0.10873706918181)); #257054=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.223,0.110000000000001)); #257055=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.213,0.100000000000001)); #257056=CARTESIAN_POINT('Ctrl Pts',(1.6694378832933,0.213,0.100000000000001)); #257057=CARTESIAN_POINT('Ctrl Pts',(1.64472410157766,0.214010205144336, 0.100000000000001)); #257058=CARTESIAN_POINT('Ctrl Pts',(1.62,0.214010205144336,0.100000000000001)); #257059=CARTESIAN_POINT('Origin',(1.45,0.213,0.110000000000001)); #257060=CARTESIAN_POINT('',(0.30583801512904,0.223,0.110000000000001)); #257061=CARTESIAN_POINT('',(0.30583801512904,0.213,0.100000000000001)); #257062=CARTESIAN_POINT('Origin',(0.30583801512904,0.213,0.110000000000001)); #257063=CARTESIAN_POINT('',(0.219999999999999,0.213,0.100000000000001)); #257064=CARTESIAN_POINT('',(1.45,0.213,0.100000000000001)); #257065=CARTESIAN_POINT('',(0.219999999999999,0.223,0.110000000000001)); #257066=CARTESIAN_POINT('Origin',(0.219999999999999,0.213,0.110000000000001)); #257067=CARTESIAN_POINT('',(1.45,0.223,0.110000000000001)); #257068=CARTESIAN_POINT('Origin',(0.219999999999999,0.213,0.220000000000001)); #257069=CARTESIAN_POINT('',(0.0999999999999991,0.213,0.220000000000001)); #257070=CARTESIAN_POINT('',(0.109999999999999,0.223,0.220000000000001)); #257071=CARTESIAN_POINT('Origin',(0.109999999999999,0.213,0.220000000000001)); #257072=CARTESIAN_POINT('Origin',(0.219999999999999,0.223,0.220000000000001)); #257073=CARTESIAN_POINT('Origin',(0.219999999999999,0.213,0.220000000000001)); #257074=CARTESIAN_POINT('Origin',(0.109999999999999,0.213,0.550000000000001)); #257075=CARTESIAN_POINT('',(0.0999999999999991,0.213,0.305838015129044)); #257076=CARTESIAN_POINT('',(0.109999999999999,0.223,0.305838015129044)); #257077=CARTESIAN_POINT('Origin',(0.109999999999999,0.213,0.305838015129044)); #257078=CARTESIAN_POINT('',(0.109999999999999,0.223,0.550000000000001)); #257079=CARTESIAN_POINT('',(0.0999999999999991,0.213,0.550000000000001)); #257080=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.214010205144336, 0.100000000000001)); #257081=CARTESIAN_POINT('Ctrl Pts',(0.35527589842234,0.214010205144336, 0.100000000000001)); #257082=CARTESIAN_POINT('Ctrl Pts',(0.330562116706699,0.213,0.100000000000001)); #257083=CARTESIAN_POINT('Ctrl Pts',(0.30583801512904,0.213,0.100000000000001)); #257084=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.216618659251012, 0.100000000000001)); #257085=CARTESIAN_POINT('Ctrl Pts',(0.355227019739868,0.216618659251012, 0.100000000000001)); #257086=CARTESIAN_POINT('Ctrl Pts',(0.33045787647829,0.21574622089634,0.100009912841703)); #257087=CARTESIAN_POINT('Ctrl Pts',(0.30583801512904,0.215991993003419, 0.100000000000001)); #257088=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.221159485338063, 0.101876150027855)); #257089=CARTESIAN_POINT('Ctrl Pts',(0.355142397411219,0.221159485338063, 0.101876150027855)); #257090=CARTESIAN_POINT('Ctrl Pts',(0.330278822452044,0.220542977817355, 0.102073730110807)); #257091=CARTESIAN_POINT('Ctrl Pts',(0.305838015129041,0.221179977456482, 0.102504642551272)); #257092=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.223416895999468, 0.106083185526354)); #257093=CARTESIAN_POINT('Ctrl Pts',(0.355099985450179,0.223416895999468, 0.106083185526354)); #257094=CARTESIAN_POINT('Ctrl Pts',(0.330214940487928,0.222713922159925, 0.106670903459216)); #257095=CARTESIAN_POINT('Ctrl Pts',(0.305838015129041,0.223,0.107756005247437)); #257096=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.223808164115469, 0.108000000000001)); #257097=CARTESIAN_POINT('Ctrl Pts',(0.355092756929173,0.223808164115469, 0.108000000000001)); #257098=CARTESIAN_POINT('Ctrl Pts',(0.33021522242436,0.223,0.108737069181811)); #257099=CARTESIAN_POINT('Ctrl Pts',(0.305838015129041,0.223,0.110000000000001)); #257100=CARTESIAN_POINT('Ctrl Pts',(0.305838015129041,0.223,0.110000000000001)); #257101=CARTESIAN_POINT('Ctrl Pts',(0.33021522242436,0.223,0.108737069181811)); #257102=CARTESIAN_POINT('Ctrl Pts',(0.355092756929173,0.223808164115469, 0.108000000000001)); #257103=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.223808164115469, 0.108000000000001)); #257104=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.214010205144336, 0.100000000000001)); #257105=CARTESIAN_POINT('Ctrl Pts',(0.35527589842234,0.214010205144336, 0.100000000000001)); #257106=CARTESIAN_POINT('Ctrl Pts',(0.330562116706699,0.213,0.100000000000001)); #257107=CARTESIAN_POINT('Ctrl Pts',(0.30583801512904,0.213,0.100000000000001)); #257108=CARTESIAN_POINT('Origin',(0.109999999999999,0.214010205144336,0.570000000000001)); #257109=CARTESIAN_POINT('',(0.107999999999999,0.223808164115469,0.380000000000001)); #257110=CARTESIAN_POINT('',(0.0999999999999991,0.214010205144336,0.380000000000001)); #257111=CARTESIAN_POINT('Origin',(0.109999999999999,0.214010205144336,0.380000000000001)); #257112=CARTESIAN_POINT('',(0.0999999999999993,0.214010205144336,1.62)); #257113=CARTESIAN_POINT('',(0.0999999999999992,0.214010205144336,0.570000000000001)); #257114=CARTESIAN_POINT('',(0.107999999999999,0.223808164115469,1.62)); #257115=CARTESIAN_POINT('Origin',(0.109999999999999,0.214010205144336,1.62)); #257116=CARTESIAN_POINT('',(0.107999999999999,0.223808164115469,0.570000000000001)); #257117=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.213,0.305838015129044)); #257118=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.213,0.330562116706702)); #257119=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.214010205144336, 0.355275898422343)); #257120=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.214010205144336, 0.380000000000001)); #257121=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.215991993003419, 0.305838015129044)); #257122=CARTESIAN_POINT('Ctrl Pts',(0.100009912841701,0.21574622089634, 0.330457876478293)); #257123=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.216618659251012, 0.355227019739871)); #257124=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.216618659251012, 0.380000000000001)); #257125=CARTESIAN_POINT('Ctrl Pts',(0.10250464255127,0.221179977456482, 0.305838015129044)); #257126=CARTESIAN_POINT('Ctrl Pts',(0.102073730110805,0.220542977817355, 0.330278822452047)); #257127=CARTESIAN_POINT('Ctrl Pts',(0.101876150027853,0.221159485338063, 0.355142397411221)); #257128=CARTESIAN_POINT('Ctrl Pts',(0.101876150027853,0.221159485338063, 0.380000000000001)); #257129=CARTESIAN_POINT('Ctrl Pts',(0.107756005247435,0.223,0.305838015129044)); #257130=CARTESIAN_POINT('Ctrl Pts',(0.106670903459214,0.222713922159925, 0.330214940487932)); #257131=CARTESIAN_POINT('Ctrl Pts',(0.106083185526353,0.223416895999468, 0.355099985450181)); #257132=CARTESIAN_POINT('Ctrl Pts',(0.106083185526353,0.223416895999468, 0.380000000000001)); #257133=CARTESIAN_POINT('Ctrl Pts',(0.109999999999999,0.223,0.305838015129044)); #257134=CARTESIAN_POINT('Ctrl Pts',(0.108737069181809,0.223,0.330215222424363)); #257135=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 0.355092756929175)); #257136=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 0.380000000000001)); #257137=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 0.380000000000001)); #257138=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 0.355092756929175)); #257139=CARTESIAN_POINT('Ctrl Pts',(0.108737069181809,0.223,0.330215222424363)); #257140=CARTESIAN_POINT('Ctrl Pts',(0.109999999999999,0.223,0.305838015129044)); #257141=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.213,0.305838015129044)); #257142=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.213,0.330562116706702)); #257143=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.214010205144336, 0.355275898422343)); #257144=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999991,0.214010205144336, 0.380000000000001)); #257145=CARTESIAN_POINT('Origin',(0.109999999999999,0.213,0.550000000000001)); #257146=CARTESIAN_POINT('',(0.109999999999999,0.223,1.69416198487096)); #257147=CARTESIAN_POINT('',(0.0999999999999993,0.213,1.69416198487096)); #257148=CARTESIAN_POINT('Origin',(0.109999999999999,0.213,1.69416198487096)); #257149=CARTESIAN_POINT('',(0.0999999999999992,0.213,1.78)); #257150=CARTESIAN_POINT('',(0.0999999999999991,0.213,0.550000000000001)); #257151=CARTESIAN_POINT('',(0.109999999999999,0.223,1.78)); #257152=CARTESIAN_POINT('Origin',(0.109999999999999,0.213,1.78)); #257153=CARTESIAN_POINT('',(0.109999999999999,0.223,0.550000000000001)); #257154=CARTESIAN_POINT('Origin',(0.219999999999999,0.213,1.78)); #257155=CARTESIAN_POINT('',(0.219999999999999,0.213,1.9)); #257156=CARTESIAN_POINT('',(0.219999999999999,0.223,1.89)); #257157=CARTESIAN_POINT('Origin',(0.219999999999999,0.213,1.89)); #257158=CARTESIAN_POINT('Origin',(0.219999999999999,0.223,1.78)); #257159=CARTESIAN_POINT('Origin',(0.219999999999999,0.213,1.78)); #257160=CARTESIAN_POINT('Origin',(0.549999999999999,0.213,1.89)); #257161=CARTESIAN_POINT('',(0.305838015129043,0.213,1.9)); #257162=CARTESIAN_POINT('',(0.305838015129043,0.223,1.89)); #257163=CARTESIAN_POINT('Origin',(0.305838015129043,0.213,1.89)); #257164=CARTESIAN_POINT('',(0.549999999999999,0.223,1.89)); #257165=CARTESIAN_POINT('',(0.549999999999999,0.213,1.9)); #257166=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.214010205144336, 1.62)); #257167=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.214010205144336, 1.64472410157766)); #257168=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.213,1.6694378832933)); #257169=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.213,1.69416198487096)); #257170=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.216618659251012, 1.62)); #257171=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.216618659251012, 1.64477298026013)); #257172=CARTESIAN_POINT('Ctrl Pts',(0.100009912841701,0.21574622089634, 1.66954212352171)); #257173=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.215991993003419, 1.69416198487096)); #257174=CARTESIAN_POINT('Ctrl Pts',(0.101876746596982,0.221158915403194, 1.62)); #257175=CARTESIAN_POINT('Ctrl Pts',(0.101876746596982,0.221158915403194, 1.64485760258878)); #257176=CARTESIAN_POINT('Ctrl Pts',(0.102074622488572,0.220541907089886, 1.66972117754796)); #257177=CARTESIAN_POINT('Ctrl Pts',(0.102505534929037,0.221178906729012, 1.69416198487096)); #257178=CARTESIAN_POINT('Ctrl Pts',(0.106083185526353,0.223416895999468, 1.62)); #257179=CARTESIAN_POINT('Ctrl Pts',(0.106083185526353,0.223416895999468, 1.64490001454982)); #257180=CARTESIAN_POINT('Ctrl Pts',(0.106670903459214,0.222713922159925, 1.66978505951207)); #257181=CARTESIAN_POINT('Ctrl Pts',(0.107756005247435,0.223,1.69416198487096)); #257182=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 1.62)); #257183=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 1.64490724307083)); #257184=CARTESIAN_POINT('Ctrl Pts',(0.108737069181809,0.223,1.66978477757564)); #257185=CARTESIAN_POINT('Ctrl Pts',(0.109999999999999,0.223,1.69416198487096)); #257186=CARTESIAN_POINT('Ctrl Pts',(0.109999999999999,0.223,1.69416198487096)); #257187=CARTESIAN_POINT('Ctrl Pts',(0.108737069181809,0.223,1.66978477757564)); #257188=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 1.64490724307083)); #257189=CARTESIAN_POINT('Ctrl Pts',(0.107999999999999,0.223808164115469, 1.62)); #257190=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.214010205144336, 1.62)); #257191=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.214010205144336, 1.64472410157766)); #257192=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.213,1.6694378832933)); #257193=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999993,0.213,1.69416198487096)); #257194=CARTESIAN_POINT('Origin',(0.569999999999999,0.214010205144336,1.89)); #257195=CARTESIAN_POINT('',(0.38,0.223808164115469,1.892)); #257196=CARTESIAN_POINT('',(0.38,0.214010205144336,1.9)); #257197=CARTESIAN_POINT('Origin',(0.38,0.214010205144336,1.89)); #257198=CARTESIAN_POINT('',(1.62,0.214010205144336,1.9)); #257199=CARTESIAN_POINT('',(0.569999999999999,0.214010205144336,1.9)); #257200=CARTESIAN_POINT('',(1.62,0.223808164115469,1.892)); #257201=CARTESIAN_POINT('Origin',(1.62,0.214010205144336,1.89)); #257202=CARTESIAN_POINT('',(0.569999999999999,0.223808164115469,1.892)); #257203=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.213,1.9)); #257204=CARTESIAN_POINT('Ctrl Pts',(0.330562116706701,0.213,1.9)); #257205=CARTESIAN_POINT('Ctrl Pts',(0.355275898422341,0.214010205144336, 1.9)); #257206=CARTESIAN_POINT('Ctrl Pts',(0.38,0.214010205144336,1.9)); #257207=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.215991993003419, 1.9)); #257208=CARTESIAN_POINT('Ctrl Pts',(0.330457876478292,0.21574622089634, 1.8999900871583)); #257209=CARTESIAN_POINT('Ctrl Pts',(0.355227019739869,0.216618659251012, 1.9)); #257210=CARTESIAN_POINT('Ctrl Pts',(0.38,0.216618659251012,1.9)); #257211=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.221178573740422, 1.89749418754786)); #257212=CARTESIAN_POINT('Ctrl Pts',(0.330278822452046,0.220541574101295, 1.89792509998832)); #257213=CARTESIAN_POINT('Ctrl Pts',(0.35514239741122,0.221158738157523, 1.89812306787428)); #257214=CARTESIAN_POINT('Ctrl Pts',(0.379999999999999,0.221158738157523, 1.89812306787428)); #257215=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.223,1.89224399475256)); #257216=CARTESIAN_POINT('Ctrl Pts',(0.33021494048793,0.222713922159925, 1.89332909654079)); #257217=CARTESIAN_POINT('Ctrl Pts',(0.35509998545018,0.223416895999468, 1.89391681447365)); #257218=CARTESIAN_POINT('Ctrl Pts',(0.38,0.223416895999468,1.89391681447365)); #257219=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.223,1.89)); #257220=CARTESIAN_POINT('Ctrl Pts',(0.330215222424361,0.223,1.89126293081819)); #257221=CARTESIAN_POINT('Ctrl Pts',(0.355092756929174,0.223808164115469, 1.892)); #257222=CARTESIAN_POINT('Ctrl Pts',(0.38,0.223808164115469,1.892)); #257223=CARTESIAN_POINT('Ctrl Pts',(0.38,0.223808164115469,1.892)); #257224=CARTESIAN_POINT('Ctrl Pts',(0.355092756929174,0.223808164115469, 1.892)); #257225=CARTESIAN_POINT('Ctrl Pts',(0.330215222424361,0.223,1.89126293081819)); #257226=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.223,1.89)); #257227=CARTESIAN_POINT('Ctrl Pts',(0.305838015129043,0.213,1.9)); #257228=CARTESIAN_POINT('Ctrl Pts',(0.330562116706701,0.213,1.9)); #257229=CARTESIAN_POINT('Ctrl Pts',(0.355275898422341,0.214010205144336, 1.9)); #257230=CARTESIAN_POINT('Ctrl Pts',(0.38,0.214010205144336,1.9)); #257231=CARTESIAN_POINT('Origin',(0.549999999999999,0.213,1.89)); #257232=CARTESIAN_POINT('',(1.69416198487096,0.223,1.89)); #257233=CARTESIAN_POINT('',(1.69416198487096,0.213,1.9)); #257234=CARTESIAN_POINT('Origin',(1.69416198487096,0.213,1.89)); #257235=CARTESIAN_POINT('',(1.78,0.213,1.9)); #257236=CARTESIAN_POINT('',(0.549999999999999,0.213,1.9)); #257237=CARTESIAN_POINT('',(1.78,0.223,1.89)); #257238=CARTESIAN_POINT('Origin',(1.78,0.213,1.89)); #257239=CARTESIAN_POINT('',(0.549999999999999,0.223,1.89)); #257240=CARTESIAN_POINT('Origin',(1.78,0.213,1.78)); #257241=CARTESIAN_POINT('',(1.9,0.213,1.78)); #257242=CARTESIAN_POINT('',(1.89,0.223,1.78)); #257243=CARTESIAN_POINT('Origin',(1.89,0.213,1.78)); #257244=CARTESIAN_POINT('Origin',(1.78,0.223,1.78)); #257245=CARTESIAN_POINT('Origin',(1.78,0.213,1.78)); #257246=CARTESIAN_POINT('Origin',(1.89,0.213,1.45)); #257247=CARTESIAN_POINT('',(1.9,0.213,1.69416198487096)); #257248=CARTESIAN_POINT('',(1.89,0.223,1.69416198487096)); #257249=CARTESIAN_POINT('Origin',(1.89,0.213,1.69416198487096)); #257250=CARTESIAN_POINT('',(1.89,0.223,1.45)); #257251=CARTESIAN_POINT('',(1.9,0.213,1.45)); #257252=CARTESIAN_POINT('Ctrl Pts',(1.62,0.214010205144336,1.9)); #257253=CARTESIAN_POINT('Ctrl Pts',(1.64472410157766,0.214010205144336, 1.9)); #257254=CARTESIAN_POINT('Ctrl Pts',(1.6694378832933,0.213,1.9)); #257255=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.213,1.9)); #257256=CARTESIAN_POINT('Ctrl Pts',(1.62,0.216618659251012,1.9)); #257257=CARTESIAN_POINT('Ctrl Pts',(1.64477298026013,0.216618659251012, 1.9)); #257258=CARTESIAN_POINT('Ctrl Pts',(1.66954212352171,0.21574622089634,1.8999900871583)); #257259=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.215991993003419, 1.9)); #257260=CARTESIAN_POINT('Ctrl Pts',(1.62,0.221158303158381,1.89812261254671)); #257261=CARTESIAN_POINT('Ctrl Pts',(1.64485760258878,0.221158303158381, 1.89812261254671)); #257262=CARTESIAN_POINT('Ctrl Pts',(1.66972117754795,0.220540756875404, 1.8979244188867)); #257263=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.22117775651453,1.89749350644623)); #257264=CARTESIAN_POINT('Ctrl Pts',(1.62,0.223416895999468,1.89391681447365)); #257265=CARTESIAN_POINT('Ctrl Pts',(1.64490001454982,0.223416895999468, 1.89391681447365)); #257266=CARTESIAN_POINT('Ctrl Pts',(1.66978505951207,0.222713922159925, 1.89332909654079)); #257267=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.223,1.89224399475256)); #257268=CARTESIAN_POINT('Ctrl Pts',(1.62,0.223808164115469,1.892)); #257269=CARTESIAN_POINT('Ctrl Pts',(1.64490724307083,0.223808164115469, 1.892)); #257270=CARTESIAN_POINT('Ctrl Pts',(1.66978477757564,0.223,1.89126293081819)); #257271=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.223,1.89)); #257272=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.223,1.89)); #257273=CARTESIAN_POINT('Ctrl Pts',(1.66978477757564,0.223,1.89126293081819)); #257274=CARTESIAN_POINT('Ctrl Pts',(1.64490724307083,0.223808164115469, 1.892)); #257275=CARTESIAN_POINT('Ctrl Pts',(1.62,0.223808164115469,1.892)); #257276=CARTESIAN_POINT('Ctrl Pts',(1.62,0.214010205144336,1.9)); #257277=CARTESIAN_POINT('Ctrl Pts',(1.64472410157766,0.214010205144336, 1.9)); #257278=CARTESIAN_POINT('Ctrl Pts',(1.6694378832933,0.213,1.9)); #257279=CARTESIAN_POINT('Ctrl Pts',(1.69416198487096,0.213,1.9)); #257280=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,1.69416198487096)); #257281=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,1.6694378832933)); #257282=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144336,1.64472410157766)); #257283=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144336,1.62)); #257284=CARTESIAN_POINT('Ctrl Pts',(1.9,0.215991993003419,1.69416198487096)); #257285=CARTESIAN_POINT('Ctrl Pts',(1.8999900871583,0.21574622089634,1.66954212352171)); #257286=CARTESIAN_POINT('Ctrl Pts',(1.9,0.216618659251012,1.64477298026013)); #257287=CARTESIAN_POINT('Ctrl Pts',(1.9,0.216618659251012,1.62)); #257288=CARTESIAN_POINT('Ctrl Pts',(1.89749350644623,0.22117775651453,1.69416198487096)); #257289=CARTESIAN_POINT('Ctrl Pts',(1.8979244188867,0.220540756875404,1.66972117754795)); #257290=CARTESIAN_POINT('Ctrl Pts',(1.89812261254671,0.221158303158381, 1.64485760258878)); #257291=CARTESIAN_POINT('Ctrl Pts',(1.89812261254671,0.221158303158381, 1.62)); #257292=CARTESIAN_POINT('Ctrl Pts',(1.89224399475256,0.223,1.69416198487096)); #257293=CARTESIAN_POINT('Ctrl Pts',(1.89332909654078,0.222713922159925, 1.66978505951207)); #257294=CARTESIAN_POINT('Ctrl Pts',(1.89391681447365,0.223416895999468, 1.64490001454982)); #257295=CARTESIAN_POINT('Ctrl Pts',(1.89391681447365,0.223416895999468, 1.62)); #257296=CARTESIAN_POINT('Ctrl Pts',(1.89,0.223,1.69416198487096)); #257297=CARTESIAN_POINT('Ctrl Pts',(1.89126293081819,0.223,1.66978477757564)); #257298=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,1.64490724307083)); #257299=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,1.62)); #257300=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,1.62)); #257301=CARTESIAN_POINT('Ctrl Pts',(1.892,0.223808164115469,1.64490724307083)); #257302=CARTESIAN_POINT('Ctrl Pts',(1.89126293081819,0.223,1.66978477757564)); #257303=CARTESIAN_POINT('Ctrl Pts',(1.89,0.223,1.69416198487096)); #257304=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,1.69416198487096)); #257305=CARTESIAN_POINT('Ctrl Pts',(1.9,0.213,1.6694378832933)); #257306=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144336,1.64472410157766)); #257307=CARTESIAN_POINT('Ctrl Pts',(1.9,0.214010205144336,1.62)); #257308=CARTESIAN_POINT('Origin',(0.999999999999999,0.223,1.)); #257309=CARTESIAN_POINT('Origin',(0.379999999999999,0.223,0.380000000000001)); #257310=CARTESIAN_POINT('Origin',(0.0999999999999992,0.263,0.570000000000001)); #257311=CARTESIAN_POINT('',(0.139999999999999,0.263,1.62)); #257312=CARTESIAN_POINT('Origin',(0.0999999999999992,0.263,1.62)); #257313=CARTESIAN_POINT('',(0.139999999999999,0.263,0.380000000000001)); #257314=CARTESIAN_POINT('',(0.139999999999999,0.263,0.570000000000001)); #257315=CARTESIAN_POINT('Origin',(0.0999999999999992,0.263,0.380000000000001)); #257316=CARTESIAN_POINT('Origin',(0.999999999999999,0.223,1.)); #257317=CARTESIAN_POINT('Origin',(0.379999999999999,0.223,1.62)); #257318=CARTESIAN_POINT('Origin',(0.379999999999999,0.263,1.62)); #257319=CARTESIAN_POINT('',(0.38,0.263,1.86)); #257320=CARTESIAN_POINT('Origin',(0.38,0.263,1.9)); #257321=CARTESIAN_POINT('Origin',(0.379999999999999,0.263,1.62)); #257322=CARTESIAN_POINT('Origin',(0.569999999999999,0.263,1.9)); #257323=CARTESIAN_POINT('',(1.62,0.263,1.86)); #257324=CARTESIAN_POINT('Origin',(1.62,0.263,1.9)); #257325=CARTESIAN_POINT('',(0.569999999999999,0.263,1.86)); #257326=CARTESIAN_POINT('Origin',(1.9,0.163,0.100000000000001)); #257327=CARTESIAN_POINT('',(1.78,0.173,0.100000000000001)); #257328=CARTESIAN_POINT('',(1.78,0.163,0.100000000000001)); #257329=CARTESIAN_POINT('',(0.219999999999999,0.173,0.100000000000001)); #257330=CARTESIAN_POINT('',(1.45,0.173,0.100000000000001)); #257331=CARTESIAN_POINT('',(0.219999999999999,0.163,0.100000000000001)); #257332=CARTESIAN_POINT('Origin',(1.78,0.163,0.220000000000001)); #257333=CARTESIAN_POINT('',(1.9,0.173,0.220000000000001)); #257334=CARTESIAN_POINT('',(1.9,0.163,0.220000000000001)); #257335=CARTESIAN_POINT('Origin',(1.78,0.173,0.220000000000001)); #257336=CARTESIAN_POINT('Origin',(1.9,0.163,1.9)); #257337=CARTESIAN_POINT('',(1.9,0.173,1.78)); #257338=CARTESIAN_POINT('',(1.9,0.163,1.78)); #257339=CARTESIAN_POINT('',(1.9,0.173,1.45)); #257340=CARTESIAN_POINT('Origin',(1.78,0.163,1.78)); #257341=CARTESIAN_POINT('',(1.78,0.173,1.9)); #257342=CARTESIAN_POINT('',(1.78,0.163,1.9)); #257343=CARTESIAN_POINT('Origin',(1.78,0.173,1.78)); #257344=CARTESIAN_POINT('Origin',(0.0899999999999991,0.173,0.550000000000001)); #257345=CARTESIAN_POINT('',(0.0899999999999992,0.163,1.78)); #257346=CARTESIAN_POINT('',(0.0999999999999992,0.173,1.78)); #257347=CARTESIAN_POINT('Origin',(0.0899999999999992,0.173,1.78)); #257348=CARTESIAN_POINT('',(0.0999999999999991,0.173,0.220000000000001)); #257349=CARTESIAN_POINT('',(0.0999999999999991,0.173,0.550000000000001)); #257350=CARTESIAN_POINT('',(0.0899999999999991,0.163,0.220000000000001)); #257351=CARTESIAN_POINT('Origin',(0.0899999999999991,0.173,0.220000000000001)); #257352=CARTESIAN_POINT('',(0.0899999999999991,0.163,0.550000000000001)); #257353=CARTESIAN_POINT('Origin',(0.219999999999999,0.173,0.220000000000001)); #257354=CARTESIAN_POINT('',(0.219999999999999,0.163,0.0900000000000009)); #257355=CARTESIAN_POINT('Origin',(0.219999999999999,0.173,0.0900000000000009)); #257356=CARTESIAN_POINT('Origin',(0.219999999999999,0.163,0.220000000000001)); #257357=CARTESIAN_POINT('Origin',(0.219999999999999,0.173,0.220000000000001)); #257358=CARTESIAN_POINT('Origin',(1.45,0.173,0.0900000000000009)); #257359=CARTESIAN_POINT('',(1.78,0.163,0.0900000000000009)); #257360=CARTESIAN_POINT('Origin',(1.78,0.173,0.0900000000000009)); #257361=CARTESIAN_POINT('',(1.45,0.163,0.0900000000000009)); #257362=CARTESIAN_POINT('Origin',(1.78,0.173,0.220000000000001)); #257363=CARTESIAN_POINT('',(1.91,0.163,0.220000000000001)); #257364=CARTESIAN_POINT('Origin',(1.91,0.173,0.220000000000001)); #257365=CARTESIAN_POINT('Origin',(1.78,0.163,0.220000000000001)); #257366=CARTESIAN_POINT('Origin',(1.91,0.173,1.45)); #257367=CARTESIAN_POINT('',(1.91,0.163,1.78)); #257368=CARTESIAN_POINT('Origin',(1.91,0.173,1.78)); #257369=CARTESIAN_POINT('',(1.91,0.163,1.45)); #257370=CARTESIAN_POINT('Origin',(1.78,0.173,1.78)); #257371=CARTESIAN_POINT('',(1.78,0.163,1.91)); #257372=CARTESIAN_POINT('Origin',(1.78,0.173,1.91)); #257373=CARTESIAN_POINT('Origin',(1.78,0.163,1.78)); #257374=CARTESIAN_POINT('Origin',(0.549999999999999,0.173,1.91)); #257375=CARTESIAN_POINT('',(0.219999999999999,0.173,1.9)); #257376=CARTESIAN_POINT('',(0.219999999999999,0.163,1.91)); #257377=CARTESIAN_POINT('Origin',(0.219999999999999,0.173,1.91)); #257378=CARTESIAN_POINT('',(0.55,0.163,1.91)); #257379=CARTESIAN_POINT('',(0.549999999999999,0.173,1.9)); #257380=CARTESIAN_POINT('Origin',(0.219999999999999,0.173,1.78)); #257381=CARTESIAN_POINT('Origin',(0.219999999999999,0.173,1.78)); #257382=CARTESIAN_POINT('Origin',(0.219999999999999,0.163,1.78)); #257383=CARTESIAN_POINT('Origin',(0.219999999999999,0.163,0.220000000000001)); #257384=CARTESIAN_POINT('',(0.0999999999999991,0.163,0.220000000000001)); #257385=CARTESIAN_POINT('Origin',(0.0999999999999991,0.163,0.100000000000001)); #257386=CARTESIAN_POINT('',(0.0999999999999992,0.163,1.78)); #257387=CARTESIAN_POINT('Origin',(1.43,0.263,0.1)); #257388=CARTESIAN_POINT('',(0.379999999999999,0.263,0.140000000000001)); #257389=CARTESIAN_POINT('Origin',(0.379999999999999,0.263,0.100000000000001)); #257390=CARTESIAN_POINT('',(1.62,0.263,0.14)); #257391=CARTESIAN_POINT('',(1.43,0.263,0.14)); #257392=CARTESIAN_POINT('Origin',(1.62,0.263,0.100000000000001)); #257393=CARTESIAN_POINT('Origin',(1.62,0.263,0.380000000000001)); #257394=CARTESIAN_POINT('',(1.86,0.263,0.380000000000001)); #257395=CARTESIAN_POINT('Origin',(1.62,0.263,0.380000000000001)); #257396=CARTESIAN_POINT('Origin',(1.9,0.263,0.38)); #257397=CARTESIAN_POINT('Origin',(1.62,0.223,0.380000000000001)); #257398=CARTESIAN_POINT('Origin',(1.9,0.263,1.43)); #257399=CARTESIAN_POINT('',(1.86,0.263,1.62)); #257400=CARTESIAN_POINT('',(1.86,0.263,1.43)); #257401=CARTESIAN_POINT('Origin',(1.9,0.263,1.62)); #257402=CARTESIAN_POINT('Origin',(1.62,0.263,1.62)); #257403=CARTESIAN_POINT('Origin',(1.62,0.263,1.62)); #257404=CARTESIAN_POINT('Origin',(1.62,0.223,1.62)); #257405=CARTESIAN_POINT('Origin',(0.379999999999999,0.263,0.380000000000001)); #257406=CARTESIAN_POINT('Origin',(0.379999999999999,0.263,0.380000000000001)); #257407=CARTESIAN_POINT('Origin',(1.62,0.,1.62)); #257408=CARTESIAN_POINT('',(1.86,0.51,1.62)); #257409=CARTESIAN_POINT('',(1.86,0.,1.62)); #257410=CARTESIAN_POINT('',(1.62,0.51,1.86)); #257411=CARTESIAN_POINT('Origin',(1.62,0.51,1.62)); #257412=CARTESIAN_POINT('',(1.62,0.,1.86)); #257413=CARTESIAN_POINT('Origin',(1.86,0.,1.86)); #257414=CARTESIAN_POINT('',(1.86,0.51,0.380000000000001)); #257415=CARTESIAN_POINT('',(1.86,0.,0.380000000000001)); #257416=CARTESIAN_POINT('',(1.86,0.51,1.43)); #257417=CARTESIAN_POINT('Origin',(1.62,0.,0.380000000000001)); #257418=CARTESIAN_POINT('',(1.62,0.51,0.14)); #257419=CARTESIAN_POINT('',(1.62,0.,0.14)); #257420=CARTESIAN_POINT('Origin',(1.62,0.51,0.380000000000001)); #257421=CARTESIAN_POINT('Origin',(1.86,0.,0.14)); #257422=CARTESIAN_POINT('',(0.379999999999999,0.51,0.140000000000001)); #257423=CARTESIAN_POINT('',(0.379999999999999,0.,0.140000000000001)); #257424=CARTESIAN_POINT('',(1.43,0.51,0.14)); #257425=CARTESIAN_POINT('Origin',(0.999999999999999,0.223,1.)); #257426=CARTESIAN_POINT('Origin',(0.6,0.7,1.4)); #257427=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,0.570000000000001)); #257428=CARTESIAN_POINT('',(0.139999999999999,0.51,0.380000000000001)); #257429=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,0.380000000000001)); #257430=CARTESIAN_POINT('',(0.139999999999999,0.51,1.62)); #257431=CARTESIAN_POINT('',(0.139999999999999,0.51,0.570000000000001)); #257432=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,1.62)); #257433=CARTESIAN_POINT('Origin',(1.43,0.51,0.380000000000001)); #257434=CARTESIAN_POINT('Origin',(1.62,0.51,0.380000000000001)); #257435=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,0.380000000000001)); #257436=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,0.380000000000001)); #257437=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,0.380000000000001)); #257438=CARTESIAN_POINT('Origin',(1.62,0.51,1.43)); #257439=CARTESIAN_POINT('Origin',(1.62,0.51,1.62)); #257440=CARTESIAN_POINT('Origin',(1.62,0.51,0.380000000000001)); #257441=CARTESIAN_POINT('Origin',(1.62,0.51,0.380000000000001)); #257442=CARTESIAN_POINT('Origin',(0.569999999999999,0.51,1.62)); #257443=CARTESIAN_POINT('',(0.38,0.51,1.86)); #257444=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,1.62)); #257445=CARTESIAN_POINT('',(0.569999999999999,0.51,1.86)); #257446=CARTESIAN_POINT('Origin',(1.62,0.51,1.62)); #257447=CARTESIAN_POINT('Origin',(1.62,0.51,1.62)); #257448=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,1.62)); #257449=CARTESIAN_POINT('Origin',(0.379999999999999,0.51,1.62)); #257450=CARTESIAN_POINT('Origin',(0.139999999999999,0.,1.86)); #257451=CARTESIAN_POINT('',(0.38,0.,1.86)); #257452=CARTESIAN_POINT('Origin',(0.379999999999999,0.,0.380000000000001)); #257453=CARTESIAN_POINT('',(0.139999999999999,0.,0.380000000000001)); #257454=CARTESIAN_POINT('Origin',(0.379999999999999,0.,1.62)); #257455=CARTESIAN_POINT('',(0.139999999999999,0.,1.62)); #257456=CARTESIAN_POINT('Origin',(0.139999999999999,0.,0.14)); #257457=CARTESIAN_POINT('Origin',(0.219999999999999,0.163,1.78)); #257458=CARTESIAN_POINT('',(0.219999999999999,0.163,1.9)); #257459=CARTESIAN_POINT('Origin',(0.999999999999999,0.223,1.)); #257460=CARTESIAN_POINT('Origin',(0.0999999999999992,0.163,1.9)); #257461=CARTESIAN_POINT('Origin',(1.,0.163,1.)); #257462=CARTESIAN_POINT('',(0.01,0.163,1.99)); #257463=CARTESIAN_POINT('',(0.0100000000000003,0.163,0.0100000000000003)); #257464=CARTESIAN_POINT('',(0.0100000000000002,0.163,0.5)); #257465=CARTESIAN_POINT('',(1.99,0.163,1.99)); #257466=CARTESIAN_POINT('',(0.5,0.163,1.99)); #257467=CARTESIAN_POINT('',(1.99,0.163,0.0100000000000006)); #257468=CARTESIAN_POINT('',(1.99,0.163,1.5)); #257469=CARTESIAN_POINT('',(1.5,0.163,0.0100000000000005)); #257470=CARTESIAN_POINT('Origin',(1.9,0.,0.375)); #257471=CARTESIAN_POINT('',(1.9,0.03,0.385)); #257472=CARTESIAN_POINT('',(1.9,0.03,0.615000000000001)); #257473=CARTESIAN_POINT('',(1.9,0.03,0.4375)); #257474=CARTESIAN_POINT('',(1.9,0.045,0.385)); #257475=CARTESIAN_POINT('',(1.9,0.,0.385)); #257476=CARTESIAN_POINT('',(1.9,0.045,0.615000000000001)); #257477=CARTESIAN_POINT('',(1.9,0.045,0.4375)); #257478=CARTESIAN_POINT('',(1.9,0.,0.615000000000001)); #257479=CARTESIAN_POINT('Origin',(0.625000000000001,0.,2.77555756156289E-16)); #257480=CARTESIAN_POINT('',(0.625000000000001,0.01,0.365)); #257481=CARTESIAN_POINT('',(0.625000000000001,0.015,0.365)); #257482=CARTESIAN_POINT('',(0.625000000000001,0.,0.365)); #257483=CARTESIAN_POINT('',(0.625000000000001,0.01,0.0100000000000004)); #257484=CARTESIAN_POINT('',(0.625000000000001,0.01,0.5)); #257485=CARTESIAN_POINT('',(0.625000000000001,0.04,0.0100000000000004)); #257486=CARTESIAN_POINT('',(0.625000000000001,0.,0.0100000000000004)); #257487=CARTESIAN_POINT('',(0.625000000000001,0.04,0.0899999999999998)); #257488=CARTESIAN_POINT('',(0.625000000000001,0.04,0.0250000000000003)); #257489=CARTESIAN_POINT('',(0.625000000000001,0.015,0.0899999999999998)); #257490=CARTESIAN_POINT('',(0.625000000000001,0.,0.0899999999999998)); #257491=CARTESIAN_POINT('',(0.625000000000001,0.015,0.11875)); #257492=CARTESIAN_POINT('Origin',(0.875,0.,2.)); #257493=CARTESIAN_POINT('',(0.875,0.01,1.635)); #257494=CARTESIAN_POINT('',(0.875,0.015,1.635)); #257495=CARTESIAN_POINT('',(0.875,0.,1.635)); #257496=CARTESIAN_POINT('',(0.875,0.01,1.99)); #257497=CARTESIAN_POINT('',(0.875,0.01,1.5)); #257498=CARTESIAN_POINT('',(0.875,0.04,1.99)); #257499=CARTESIAN_POINT('',(0.875,0.,1.99)); #257500=CARTESIAN_POINT('',(0.875,0.04,1.91)); #257501=CARTESIAN_POINT('',(0.875,0.04,1.975)); #257502=CARTESIAN_POINT('',(0.875,0.015,1.91)); #257503=CARTESIAN_POINT('',(0.875,0.,1.91)); #257504=CARTESIAN_POINT('',(0.875,0.015,1.88125)); #257505=CARTESIAN_POINT('Origin',(0.0999999999999995,0.,1.125)); #257506=CARTESIAN_POINT('',(0.0999999999999995,0.03,1.115)); #257507=CARTESIAN_POINT('',(0.0999999999999996,0.03,0.885)); #257508=CARTESIAN_POINT('',(0.0999999999999995,0.03,1.0625)); #257509=CARTESIAN_POINT('',(0.0999999999999995,0.045,1.115)); #257510=CARTESIAN_POINT('',(0.0999999999999995,0.,1.115)); #257511=CARTESIAN_POINT('',(0.0999999999999996,0.045,0.885)); #257512=CARTESIAN_POINT('',(0.0999999999999995,0.045,1.0625)); #257513=CARTESIAN_POINT('',(0.0999999999999996,0.,0.885)); #257514=CARTESIAN_POINT('Origin',(0.,0.,1.125)); #257515=CARTESIAN_POINT('',(0.364999999999999,0.01,1.125)); #257516=CARTESIAN_POINT('',(0.364999999999999,0.015,1.125)); #257517=CARTESIAN_POINT('',(0.364999999999999,0.,1.125)); #257518=CARTESIAN_POINT('',(0.0100000000000001,0.01,1.125)); #257519=CARTESIAN_POINT('',(0.5,0.01,1.125)); #257520=CARTESIAN_POINT('',(0.0100000000000001,0.04,1.125)); #257521=CARTESIAN_POINT('',(0.0100000000000001,0.,1.125)); #257522=CARTESIAN_POINT('',(0.0899999999999995,0.04,1.125)); #257523=CARTESIAN_POINT('',(0.0249999999999999,0.04,1.125)); #257524=CARTESIAN_POINT('',(0.0899999999999995,0.015,1.125)); #257525=CARTESIAN_POINT('',(0.0899999999999995,0.,1.125)); #257526=CARTESIAN_POINT('',(0.11875,0.015,1.125)); #257527=CARTESIAN_POINT('Origin',(1.125,0.,0.100000000000001)); #257528=CARTESIAN_POINT('',(1.365,0.045,0.100000000000001)); #257529=CARTESIAN_POINT('',(1.135,0.045,0.100000000000001)); #257530=CARTESIAN_POINT('',(1.1875,0.045,0.100000000000001)); #257531=CARTESIAN_POINT('',(1.365,0.03,0.100000000000001)); #257532=CARTESIAN_POINT('',(1.365,0.,0.100000000000001)); #257533=CARTESIAN_POINT('',(1.135,0.03,0.100000000000001)); #257534=CARTESIAN_POINT('',(1.1875,0.03,0.100000000000001)); #257535=CARTESIAN_POINT('',(1.135,0.,0.100000000000001)); #257536=CARTESIAN_POINT('Origin',(0.0999999999999998,0.,0.625000000000001)); #257537=CARTESIAN_POINT('',(0.0999999999999998,0.03,0.615000000000001)); #257538=CARTESIAN_POINT('',(0.0999999999999998,0.03,0.385)); #257539=CARTESIAN_POINT('',(0.0999999999999998,0.03,0.5625)); #257540=CARTESIAN_POINT('',(0.0999999999999998,0.045,0.615000000000001)); #257541=CARTESIAN_POINT('',(0.0999999999999998,0.,0.615000000000001)); #257542=CARTESIAN_POINT('',(0.0999999999999998,0.045,0.385)); #257543=CARTESIAN_POINT('',(0.0999999999999998,0.045,0.5625)); #257544=CARTESIAN_POINT('',(0.0999999999999998,0.,0.385)); #257545=CARTESIAN_POINT('Origin',(2.77555756156289E-16,0.,0.625000000000001)); #257546=CARTESIAN_POINT('',(0.365,0.01,0.625000000000001)); #257547=CARTESIAN_POINT('',(0.365,0.015,0.625000000000001)); #257548=CARTESIAN_POINT('',(0.365,0.,0.625000000000001)); #257549=CARTESIAN_POINT('',(0.0100000000000002,0.01,0.625000000000001)); #257550=CARTESIAN_POINT('',(0.5,0.01,0.625000000000001)); #257551=CARTESIAN_POINT('',(0.0100000000000002,0.04,0.625000000000001)); #257552=CARTESIAN_POINT('',(0.0100000000000002,0.,0.625000000000001)); #257553=CARTESIAN_POINT('',(0.0899999999999998,0.04,0.625000000000001)); #257554=CARTESIAN_POINT('',(0.0250000000000002,0.04,0.625000000000001)); #257555=CARTESIAN_POINT('',(0.0899999999999998,0.015,0.625000000000001)); #257556=CARTESIAN_POINT('',(0.0899999999999998,0.,0.625000000000001)); #257557=CARTESIAN_POINT('',(0.11875,0.015,0.625000000000001)); #257558=CARTESIAN_POINT('Origin',(0.0999999999999995,0.,1.625)); #257559=CARTESIAN_POINT('',(0.0999999999999995,0.03,1.615)); #257560=CARTESIAN_POINT('',(0.0999999999999996,0.03,1.385)); #257561=CARTESIAN_POINT('',(0.0999999999999995,0.03,1.5625)); #257562=CARTESIAN_POINT('',(0.0999999999999995,0.045,1.615)); #257563=CARTESIAN_POINT('',(0.0999999999999995,0.,1.615)); #257564=CARTESIAN_POINT('',(0.0999999999999996,0.045,1.385)); #257565=CARTESIAN_POINT('',(0.0999999999999995,0.045,1.5625)); #257566=CARTESIAN_POINT('',(0.0999999999999996,0.,1.385)); #257567=CARTESIAN_POINT('Origin',(0.,0.,1.625)); #257568=CARTESIAN_POINT('',(0.364999999999999,0.01,1.625)); #257569=CARTESIAN_POINT('',(0.364999999999999,0.015,1.625)); #257570=CARTESIAN_POINT('',(0.364999999999999,0.,1.625)); #257571=CARTESIAN_POINT('',(0.0100000000000001,0.01,1.625)); #257572=CARTESIAN_POINT('',(0.5,0.01,1.625)); #257573=CARTESIAN_POINT('',(0.0100000000000001,0.04,1.625)); #257574=CARTESIAN_POINT('',(0.0100000000000001,0.,1.625)); #257575=CARTESIAN_POINT('',(0.0899999999999995,0.04,1.625)); #257576=CARTESIAN_POINT('',(0.0249999999999999,0.04,1.625)); #257577=CARTESIAN_POINT('',(0.0899999999999995,0.015,1.625)); #257578=CARTESIAN_POINT('',(0.0899999999999995,0.,1.625)); #257579=CARTESIAN_POINT('',(0.11875,0.015,1.625)); #257580=CARTESIAN_POINT('Origin',(1.9,0.,0.875000000000001)); #257581=CARTESIAN_POINT('',(1.9,0.03,0.885000000000001)); #257582=CARTESIAN_POINT('',(1.9,0.03,1.115)); #257583=CARTESIAN_POINT('',(1.9,0.03,0.937500000000001)); #257584=CARTESIAN_POINT('',(1.9,0.045,0.885000000000001)); #257585=CARTESIAN_POINT('',(1.9,0.,0.885000000000001)); #257586=CARTESIAN_POINT('',(1.9,0.045,1.115)); #257587=CARTESIAN_POINT('',(1.9,0.045,0.937500000000001)); #257588=CARTESIAN_POINT('',(1.9,0.,1.115)); #257589=CARTESIAN_POINT('Origin',(1.9,0.,1.375)); #257590=CARTESIAN_POINT('',(1.9,0.03,1.385)); #257591=CARTESIAN_POINT('',(1.9,0.03,1.615)); #257592=CARTESIAN_POINT('',(1.9,0.03,1.4375)); #257593=CARTESIAN_POINT('',(1.9,0.045,1.385)); #257594=CARTESIAN_POINT('',(1.9,0.,1.385)); #257595=CARTESIAN_POINT('',(1.9,0.045,1.615)); #257596=CARTESIAN_POINT('',(1.9,0.045,1.4375)); #257597=CARTESIAN_POINT('',(1.9,0.,1.615)); #257598=CARTESIAN_POINT('Origin',(0.625000000000001,0.,0.0999999999999998)); #257599=CARTESIAN_POINT('',(0.635000000000001,0.03,0.0999999999999998)); #257600=CARTESIAN_POINT('',(0.865000000000001,0.03,0.1)); #257601=CARTESIAN_POINT('',(0.687500000000001,0.03,0.1)); #257602=CARTESIAN_POINT('',(0.635000000000001,0.045,0.0999999999999998)); #257603=CARTESIAN_POINT('',(0.635000000000001,0.,0.0999999999999998)); #257604=CARTESIAN_POINT('',(0.865000000000001,0.045,0.1)); #257605=CARTESIAN_POINT('',(0.687500000000001,0.045,0.1)); #257606=CARTESIAN_POINT('',(0.865000000000001,0.,0.1)); #257607=CARTESIAN_POINT('Origin',(0.875,0.,1.9)); #257608=CARTESIAN_POINT('',(0.865,0.03,1.9)); #257609=CARTESIAN_POINT('',(0.635,0.03,1.9)); #257610=CARTESIAN_POINT('',(0.8125,0.03,1.9)); #257611=CARTESIAN_POINT('',(0.865,0.045,1.9)); #257612=CARTESIAN_POINT('',(0.865,0.,1.9)); #257613=CARTESIAN_POINT('',(0.635,0.045,1.9)); #257614=CARTESIAN_POINT('',(0.8125,0.045,1.9)); #257615=CARTESIAN_POINT('',(0.635,0.,1.9)); #257616=CARTESIAN_POINT('Origin',(1.375,0.,1.9)); #257617=CARTESIAN_POINT('',(1.365,0.03,1.9)); #257618=CARTESIAN_POINT('',(1.135,0.03,1.9)); #257619=CARTESIAN_POINT('',(1.3125,0.03,1.9)); #257620=CARTESIAN_POINT('',(1.365,0.045,1.9)); #257621=CARTESIAN_POINT('',(1.365,0.,1.9)); #257622=CARTESIAN_POINT('',(1.135,0.045,1.9)); #257623=CARTESIAN_POINT('',(1.3125,0.045,1.9)); #257624=CARTESIAN_POINT('',(1.135,0.,1.9)); #257625=CARTESIAN_POINT('Origin',(0.374999999999999,0.,1.625)); #257626=CARTESIAN_POINT('',(0.374999999999999,0.01,1.385)); #257627=CARTESIAN_POINT('',(0.374999999999999,0.015,1.385)); #257628=CARTESIAN_POINT('',(0.374999999999999,0.,1.385)); #257629=CARTESIAN_POINT('',(0.374999999999999,0.01,1.615)); #257630=CARTESIAN_POINT('',(0.374999999999999,0.01,1.3125)); #257631=CARTESIAN_POINT('',(0.374999999999999,0.015,1.615)); #257632=CARTESIAN_POINT('',(0.374999999999999,0.,1.615)); #257633=CARTESIAN_POINT('',(0.374999999999999,0.015,1.5625)); #257634=CARTESIAN_POINT('Origin',(1.,0.,1.)); #257635=CARTESIAN_POINT('',(1.115,0.,0.385000000000001)); #257636=CARTESIAN_POINT('',(1.115,0.,0.0100000000000004)); #257637=CARTESIAN_POINT('',(1.115,0.,0.500000000000001)); #257638=CARTESIAN_POINT('',(1.385,0.,0.385000000000001)); #257639=CARTESIAN_POINT('',(1.0625,0.,0.385000000000001)); #257640=CARTESIAN_POINT('',(1.385,0.,0.0100000000000005)); #257641=CARTESIAN_POINT('',(1.385,0.,0.550000000000001)); #257642=CARTESIAN_POINT('',(1.99,0.,0.0100000000000006)); #257643=CARTESIAN_POINT('',(1.5,0.,0.0100000000000005)); #257644=CARTESIAN_POINT('',(1.99,0.,0.365)); #257645=CARTESIAN_POINT('',(1.99,0.,1.5)); #257646=CARTESIAN_POINT('',(1.615,0.,0.365)); #257647=CARTESIAN_POINT('',(1.5,0.,0.365)); #257648=CARTESIAN_POINT('',(1.615,0.,0.635000000000001)); #257649=CARTESIAN_POINT('',(1.615,0.,0.6875)); #257650=CARTESIAN_POINT('',(1.99,0.,0.635000000000001)); #257651=CARTESIAN_POINT('',(1.45,0.,0.635000000000001)); #257652=CARTESIAN_POINT('',(1.99,0.,0.865000000000001)); #257653=CARTESIAN_POINT('',(1.99,0.,1.5)); #257654=CARTESIAN_POINT('',(1.615,0.,0.865000000000001)); #257655=CARTESIAN_POINT('',(1.5,0.,0.865000000000001)); #257656=CARTESIAN_POINT('',(1.615,0.,1.135)); #257657=CARTESIAN_POINT('',(1.615,0.,0.937500000000001)); #257658=CARTESIAN_POINT('',(1.99,0.,1.135)); #257659=CARTESIAN_POINT('',(1.45,0.,1.135)); #257660=CARTESIAN_POINT('',(1.99,0.,1.365)); #257661=CARTESIAN_POINT('',(1.99,0.,1.5)); #257662=CARTESIAN_POINT('',(1.615,0.,1.365)); #257663=CARTESIAN_POINT('',(1.5,0.,1.365)); #257664=CARTESIAN_POINT('',(1.615,0.,1.635)); #257665=CARTESIAN_POINT('',(1.615,0.,1.1875)); #257666=CARTESIAN_POINT('',(1.99,0.,1.635)); #257667=CARTESIAN_POINT('',(1.45,0.,1.635)); #257668=CARTESIAN_POINT('',(1.99,0.,1.99)); #257669=CARTESIAN_POINT('',(1.99,0.,1.5)); #257670=CARTESIAN_POINT('',(1.385,0.,1.99)); #257671=CARTESIAN_POINT('',(0.5,0.,1.99)); #257672=CARTESIAN_POINT('',(1.385,0.,1.615)); #257673=CARTESIAN_POINT('',(1.385,0.,1.5)); #257674=CARTESIAN_POINT('',(1.115,0.,1.615)); #257675=CARTESIAN_POINT('',(1.1875,0.,1.615)); #257676=CARTESIAN_POINT('',(1.115,0.,1.99)); #257677=CARTESIAN_POINT('',(1.115,0.,1.45)); #257678=CARTESIAN_POINT('',(0.885,0.,1.99)); #257679=CARTESIAN_POINT('',(0.5,0.,1.99)); #257680=CARTESIAN_POINT('',(0.885,0.,1.615)); #257681=CARTESIAN_POINT('',(0.885,0.,1.5)); #257682=CARTESIAN_POINT('',(0.615,0.,1.615)); #257683=CARTESIAN_POINT('',(0.9375,0.,1.615)); #257684=CARTESIAN_POINT('',(0.615,0.,1.99)); #257685=CARTESIAN_POINT('',(0.615,0.,1.45)); #257686=CARTESIAN_POINT('',(0.01,0.,1.99)); #257687=CARTESIAN_POINT('',(0.5,0.,1.99)); #257688=CARTESIAN_POINT('',(0.0100000000000001,0.,1.635)); #257689=CARTESIAN_POINT('',(0.0100000000000002,0.,0.5)); #257690=CARTESIAN_POINT('',(0.384999999999999,0.,1.635)); #257691=CARTESIAN_POINT('',(0.5,0.,1.635)); #257692=CARTESIAN_POINT('',(0.384999999999999,0.,1.365)); #257693=CARTESIAN_POINT('',(0.384999999999999,0.,1.3125)); #257694=CARTESIAN_POINT('',(0.0100000000000001,0.,1.365)); #257695=CARTESIAN_POINT('',(0.55,0.,1.365)); #257696=CARTESIAN_POINT('',(0.0100000000000001,0.,1.135)); #257697=CARTESIAN_POINT('',(0.0100000000000002,0.,0.5)); #257698=CARTESIAN_POINT('',(0.385,0.,1.135)); #257699=CARTESIAN_POINT('',(0.5,0.,1.135)); #257700=CARTESIAN_POINT('',(0.385,0.,0.865)); #257701=CARTESIAN_POINT('',(0.385,0.,1.0625)); #257702=CARTESIAN_POINT('',(0.0100000000000001,0.,0.865)); #257703=CARTESIAN_POINT('',(0.55,0.,0.865)); #257704=CARTESIAN_POINT('',(0.0100000000000002,0.,0.635000000000001)); #257705=CARTESIAN_POINT('',(0.0100000000000002,0.,0.5)); #257706=CARTESIAN_POINT('',(0.385,0.,0.635000000000001)); #257707=CARTESIAN_POINT('',(0.5,0.,0.635000000000001)); #257708=CARTESIAN_POINT('',(0.385,0.,0.365)); #257709=CARTESIAN_POINT('',(0.385,0.,0.8125)); #257710=CARTESIAN_POINT('',(0.0100000000000002,0.,0.365)); #257711=CARTESIAN_POINT('',(0.55,0.,0.365)); #257712=CARTESIAN_POINT('',(0.0100000000000003,0.,0.0100000000000003)); #257713=CARTESIAN_POINT('',(0.0100000000000002,0.,0.5)); #257714=CARTESIAN_POINT('',(0.615000000000001,0.,0.0100000000000004)); #257715=CARTESIAN_POINT('',(1.5,0.,0.0100000000000005)); #257716=CARTESIAN_POINT('',(0.615,0.,0.385)); #257717=CARTESIAN_POINT('',(0.615,0.,0.5)); #257718=CARTESIAN_POINT('',(0.885000000000001,0.,0.385)); #257719=CARTESIAN_POINT('',(0.812500000000001,0.,0.385)); #257720=CARTESIAN_POINT('',(0.885000000000001,0.,0.0100000000000004)); #257721=CARTESIAN_POINT('',(0.885000000000001,0.,0.550000000000001)); #257722=CARTESIAN_POINT('',(1.5,0.,0.0100000000000005)); #257723=CARTESIAN_POINT('Origin',(1.125,0.,5.55111512312578E-16)); #257724=CARTESIAN_POINT('',(1.125,0.01,0.365000000000001)); #257725=CARTESIAN_POINT('',(1.125,0.015,0.365000000000001)); #257726=CARTESIAN_POINT('',(1.125,0.,0.365000000000001)); #257727=CARTESIAN_POINT('',(1.125,0.01,0.0100000000000004)); #257728=CARTESIAN_POINT('',(1.125,0.01,0.500000000000001)); #257729=CARTESIAN_POINT('',(1.125,0.04,0.0100000000000004)); #257730=CARTESIAN_POINT('',(1.125,0.,0.0100000000000004)); #257731=CARTESIAN_POINT('',(1.125,0.04,0.0900000000000006)); #257732=CARTESIAN_POINT('',(1.125,0.04,0.0250000000000006)); #257733=CARTESIAN_POINT('',(1.125,0.015,0.0900000000000006)); #257734=CARTESIAN_POINT('',(1.125,0.,0.0900000000000006)); #257735=CARTESIAN_POINT('',(1.125,0.015,0.118750000000001)); #257736=CARTESIAN_POINT('Origin',(1.125,0.,0.375000000000001)); #257737=CARTESIAN_POINT('',(1.365,0.01,0.375000000000001)); #257738=CARTESIAN_POINT('',(1.365,0.015,0.375000000000001)); #257739=CARTESIAN_POINT('',(1.365,0.,0.375000000000001)); #257740=CARTESIAN_POINT('',(1.135,0.01,0.375000000000001)); #257741=CARTESIAN_POINT('',(1.0625,0.01,0.375000000000001)); #257742=CARTESIAN_POINT('',(1.135,0.015,0.375000000000001)); #257743=CARTESIAN_POINT('',(1.135,0.,0.375000000000001)); #257744=CARTESIAN_POINT('',(1.1875,0.015,0.375000000000001)); #257745=CARTESIAN_POINT('Origin',(2.,0.,2.)); #257746=CARTESIAN_POINT('',(2.,0.01,1.99)); #257747=CARTESIAN_POINT('',(2.,0.153,1.99)); #257748=CARTESIAN_POINT('',(2.,0.,1.99)); #257749=CARTESIAN_POINT('',(2.,0.01,1.635)); #257750=CARTESIAN_POINT('',(2.,0.01,1.5)); #257751=CARTESIAN_POINT('',(2.,0.04,1.635)); #257752=CARTESIAN_POINT('',(2.,0.,1.635)); #257753=CARTESIAN_POINT('',(2.,0.06,1.615)); #257754=CARTESIAN_POINT('Origin',(2.,0.04,1.615)); #257755=CARTESIAN_POINT('',(2.,0.06,1.385)); #257756=CARTESIAN_POINT('',(2.,0.06,1.75)); #257757=CARTESIAN_POINT('',(2.,0.04,1.365)); #257758=CARTESIAN_POINT('Origin',(2.,0.04,1.385)); #257759=CARTESIAN_POINT('',(2.,0.01,1.365)); #257760=CARTESIAN_POINT('',(2.,0.,1.365)); #257761=CARTESIAN_POINT('',(2.,0.01,1.135)); #257762=CARTESIAN_POINT('',(2.,0.01,1.5)); #257763=CARTESIAN_POINT('',(2.,0.04,1.135)); #257764=CARTESIAN_POINT('',(2.,0.,1.135)); #257765=CARTESIAN_POINT('',(2.,0.06,1.115)); #257766=CARTESIAN_POINT('Origin',(2.,0.04,1.115)); #257767=CARTESIAN_POINT('',(2.,0.06,0.885000000000001)); #257768=CARTESIAN_POINT('',(2.,0.06,1.5)); #257769=CARTESIAN_POINT('',(2.,0.04,0.865000000000001)); #257770=CARTESIAN_POINT('Origin',(2.,0.04,0.885000000000001)); #257771=CARTESIAN_POINT('',(2.,0.01,0.865000000000001)); #257772=CARTESIAN_POINT('',(2.,0.,0.865000000000001)); #257773=CARTESIAN_POINT('',(2.,0.01,0.635000000000001)); #257774=CARTESIAN_POINT('',(2.,0.01,1.5)); #257775=CARTESIAN_POINT('',(2.,0.04,0.635000000000001)); #257776=CARTESIAN_POINT('',(2.,0.,0.635000000000001)); #257777=CARTESIAN_POINT('',(2.,0.06,0.615000000000001)); #257778=CARTESIAN_POINT('Origin',(2.,0.04,0.615000000000001)); #257779=CARTESIAN_POINT('',(2.,0.06,0.385)); #257780=CARTESIAN_POINT('',(2.,0.06,1.25)); #257781=CARTESIAN_POINT('',(2.,0.04,0.365)); #257782=CARTESIAN_POINT('Origin',(2.,0.04,0.385)); #257783=CARTESIAN_POINT('',(2.,0.01,0.365)); #257784=CARTESIAN_POINT('',(2.,0.,0.365)); #257785=CARTESIAN_POINT('',(2.,0.01,0.0100000000000006)); #257786=CARTESIAN_POINT('',(2.,0.01,1.5)); #257787=CARTESIAN_POINT('',(2.,0.153,0.0100000000000006)); #257788=CARTESIAN_POINT('',(2.,0.,0.0100000000000006)); #257789=CARTESIAN_POINT('',(2.,0.153,1.5)); #257790=CARTESIAN_POINT('Origin',(2.,0.,0.375)); #257791=CARTESIAN_POINT('',(1.635,0.01,0.375)); #257792=CARTESIAN_POINT('',(1.635,0.015,0.375)); #257793=CARTESIAN_POINT('',(1.635,0.,0.375)); #257794=CARTESIAN_POINT('',(1.99,0.01,0.375)); #257795=CARTESIAN_POINT('',(1.5,0.01,0.375)); #257796=CARTESIAN_POINT('',(1.99,0.04,0.375)); #257797=CARTESIAN_POINT('',(1.99,0.,0.375)); #257798=CARTESIAN_POINT('',(1.91,0.04,0.375)); #257799=CARTESIAN_POINT('',(1.975,0.04,0.375)); #257800=CARTESIAN_POINT('',(1.91,0.015,0.375)); #257801=CARTESIAN_POINT('',(1.91,0.,0.375)); #257802=CARTESIAN_POINT('',(1.88125,0.015,0.375)); #257803=CARTESIAN_POINT('Origin',(2.,0.,0.875000000000001)); #257804=CARTESIAN_POINT('',(1.635,0.01,0.875000000000001)); #257805=CARTESIAN_POINT('',(1.635,0.015,0.875000000000001)); #257806=CARTESIAN_POINT('',(1.635,0.,0.875000000000001)); #257807=CARTESIAN_POINT('',(1.99,0.01,0.875000000000001)); #257808=CARTESIAN_POINT('',(1.5,0.01,0.875000000000001)); #257809=CARTESIAN_POINT('',(1.99,0.04,0.875000000000001)); #257810=CARTESIAN_POINT('',(1.99,0.,0.875000000000001)); #257811=CARTESIAN_POINT('',(1.91,0.04,0.875000000000001)); #257812=CARTESIAN_POINT('',(1.975,0.04,0.875000000000001)); #257813=CARTESIAN_POINT('',(1.91,0.015,0.875000000000001)); #257814=CARTESIAN_POINT('',(1.91,0.,0.875000000000001)); #257815=CARTESIAN_POINT('',(1.88125,0.015,0.875000000000001)); #257816=CARTESIAN_POINT('Origin',(2.,0.,1.375)); #257817=CARTESIAN_POINT('',(1.635,0.01,1.375)); #257818=CARTESIAN_POINT('',(1.635,0.015,1.375)); #257819=CARTESIAN_POINT('',(1.635,0.,1.375)); #257820=CARTESIAN_POINT('',(1.99,0.01,1.375)); #257821=CARTESIAN_POINT('',(1.5,0.01,1.375)); #257822=CARTESIAN_POINT('',(1.99,0.04,1.375)); #257823=CARTESIAN_POINT('',(1.99,0.,1.375)); #257824=CARTESIAN_POINT('',(1.91,0.04,1.375)); #257825=CARTESIAN_POINT('',(1.975,0.04,1.375)); #257826=CARTESIAN_POINT('',(1.91,0.015,1.375)); #257827=CARTESIAN_POINT('',(1.91,0.,1.375)); #257828=CARTESIAN_POINT('',(1.88125,0.015,1.375)); #257829=CARTESIAN_POINT('Origin',(1.375,0.,2.)); #257830=CARTESIAN_POINT('',(1.375,0.01,1.635)); #257831=CARTESIAN_POINT('',(1.375,0.015,1.635)); #257832=CARTESIAN_POINT('',(1.375,0.,1.635)); #257833=CARTESIAN_POINT('',(1.375,0.01,1.99)); #257834=CARTESIAN_POINT('',(1.375,0.01,1.5)); #257835=CARTESIAN_POINT('',(1.375,0.04,1.99)); #257836=CARTESIAN_POINT('',(1.375,0.,1.99)); #257837=CARTESIAN_POINT('',(1.375,0.04,1.91)); #257838=CARTESIAN_POINT('',(1.375,0.04,1.975)); #257839=CARTESIAN_POINT('',(1.375,0.015,1.91)); #257840=CARTESIAN_POINT('',(1.375,0.,1.91)); #257841=CARTESIAN_POINT('',(1.375,0.015,1.88125)); #257842=CARTESIAN_POINT('Origin',(1.375,0.,1.625)); #257843=CARTESIAN_POINT('',(1.135,0.01,1.625)); #257844=CARTESIAN_POINT('',(1.135,0.015,1.625)); #257845=CARTESIAN_POINT('',(1.135,0.,1.625)); #257846=CARTESIAN_POINT('',(1.365,0.01,1.625)); #257847=CARTESIAN_POINT('',(1.1875,0.01,1.625)); #257848=CARTESIAN_POINT('',(1.365,0.015,1.625)); #257849=CARTESIAN_POINT('',(1.365,0.,1.625)); #257850=CARTESIAN_POINT('',(1.3125,0.015,1.625)); #257851=CARTESIAN_POINT('Origin',(1.385,0.01,1.5)); #257852=CARTESIAN_POINT('Origin',(1.385,0.01,1.615)); #257853=CARTESIAN_POINT('Origin',(1.385,0.01,1.99)); #257854=CARTESIAN_POINT('Origin',(1.1875,0.01,1.615)); #257855=CARTESIAN_POINT('Origin',(1.115,0.01,1.615)); #257856=CARTESIAN_POINT('Origin',(1.385,0.01,1.615)); #257857=CARTESIAN_POINT('Origin',(1.115,0.01,1.45)); #257858=CARTESIAN_POINT('',(1.125,0.01,1.635)); #257859=CARTESIAN_POINT('Origin',(1.115,0.01,1.615)); #257860=CARTESIAN_POINT('',(1.125,0.01,1.99)); #257861=CARTESIAN_POINT('',(1.125,0.01,1.45)); #257862=CARTESIAN_POINT('Origin',(1.115,0.01,1.99)); #257863=CARTESIAN_POINT('Origin',(1.99,0.01,1.5)); #257864=CARTESIAN_POINT('Origin',(1.99,0.01,0.0100000000000006)); #257865=CARTESIAN_POINT('Origin',(1.99,0.01,0.365)); #257866=CARTESIAN_POINT('Origin',(0.885,0.01,1.5)); #257867=CARTESIAN_POINT('Origin',(0.885,0.01,1.615)); #257868=CARTESIAN_POINT('Origin',(0.885,0.01,1.99)); #257869=CARTESIAN_POINT('Origin',(1.0625,0.01,0.385000000000001)); #257870=CARTESIAN_POINT('Origin',(1.385,0.01,0.385000000000001)); #257871=CARTESIAN_POINT('Origin',(1.115,0.01,0.385000000000001)); #257872=CARTESIAN_POINT('Origin',(0.5,0.01,1.635)); #257873=CARTESIAN_POINT('Origin',(0.384999999999999,0.01,1.635)); #257874=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.635)); #257875=CARTESIAN_POINT('Origin',(0.384999999999999,0.01,1.3125)); #257876=CARTESIAN_POINT('Origin',(0.384999999999999,0.01,1.365)); #257877=CARTESIAN_POINT('Origin',(0.384999999999999,0.01,1.635)); #257878=CARTESIAN_POINT('Origin',(0.55,0.01,1.365)); #257879=CARTESIAN_POINT('',(0.364999999999999,0.01,1.375)); #257880=CARTESIAN_POINT('Origin',(0.384999999999999,0.01,1.365)); #257881=CARTESIAN_POINT('',(0.0100000000000001,0.01,1.375)); #257882=CARTESIAN_POINT('',(0.55,0.01,1.375)); #257883=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.365)); #257884=CARTESIAN_POINT('Origin',(0.5,0.01,1.135)); #257885=CARTESIAN_POINT('Origin',(0.385,0.01,1.135)); #257886=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.135)); #257887=CARTESIAN_POINT('Origin',(0.5,0.01,0.635000000000001)); #257888=CARTESIAN_POINT('Origin',(0.385,0.01,0.635000000000001)); #257889=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.635000000000001)); #257890=CARTESIAN_POINT('Origin',(0.615,0.01,0.5)); #257891=CARTESIAN_POINT('Origin',(0.615,0.01,0.385)); #257892=CARTESIAN_POINT('Origin',(0.615000000000001,0.01,0.0100000000000004)); #257893=CARTESIAN_POINT('Origin',(1.115,0.01,0.500000000000001)); #257894=CARTESIAN_POINT('Origin',(1.115,0.01,0.385000000000001)); #257895=CARTESIAN_POINT('Origin',(1.115,0.01,0.0100000000000004)); #257896=CARTESIAN_POINT('Origin',(1.385,0.01,0.550000000000001)); #257897=CARTESIAN_POINT('',(1.375,0.01,0.365000000000001)); #257898=CARTESIAN_POINT('Origin',(1.385,0.01,0.385000000000001)); #257899=CARTESIAN_POINT('',(1.375,0.01,0.0100000000000005)); #257900=CARTESIAN_POINT('',(1.375,0.01,0.550000000000001)); #257901=CARTESIAN_POINT('Origin',(1.385,0.01,0.0100000000000005)); #257902=CARTESIAN_POINT('Origin',(1.5,0.01,0.365)); #257903=CARTESIAN_POINT('Origin',(1.615,0.01,0.365)); #257904=CARTESIAN_POINT('Origin',(1.99,0.01,0.365)); #257905=CARTESIAN_POINT('Origin',(1.5,0.01,0.865000000000001)); #257906=CARTESIAN_POINT('Origin',(1.615,0.01,0.865000000000001)); #257907=CARTESIAN_POINT('Origin',(1.99,0.01,0.865000000000001)); #257908=CARTESIAN_POINT('Origin',(1.5,0.01,1.365)); #257909=CARTESIAN_POINT('Origin',(1.615,0.01,1.365)); #257910=CARTESIAN_POINT('Origin',(1.99,0.01,1.365)); #257911=CARTESIAN_POINT('Origin',(1.99,0.01,1.5)); #257912=CARTESIAN_POINT('Origin',(1.99,0.01,1.99)); #257913=CARTESIAN_POINT('Origin',(1.99,0.01,1.635)); #257914=CARTESIAN_POINT('Origin',(1.45,0.01,1.635)); #257915=CARTESIAN_POINT('',(1.635,0.01,1.625)); #257916=CARTESIAN_POINT('Origin',(1.615,0.01,1.635)); #257917=CARTESIAN_POINT('',(1.99,0.01,1.625)); #257918=CARTESIAN_POINT('',(1.45,0.01,1.625)); #257919=CARTESIAN_POINT('Origin',(1.99,0.01,1.635)); #257920=CARTESIAN_POINT('Origin',(1.9,0.,1.625)); #257921=CARTESIAN_POINT('',(1.635,0.015,1.625)); #257922=CARTESIAN_POINT('',(1.635,0.,1.625)); #257923=CARTESIAN_POINT('',(1.91,0.015,1.625)); #257924=CARTESIAN_POINT('',(1.83125,0.015,1.625)); #257925=CARTESIAN_POINT('',(1.91,0.04,1.625)); #257926=CARTESIAN_POINT('',(1.91,0.,1.625)); #257927=CARTESIAN_POINT('',(1.99,0.04,1.625)); #257928=CARTESIAN_POINT('',(1.925,0.04,1.625)); #257929=CARTESIAN_POINT('',(1.99,0.,1.625)); #257930=CARTESIAN_POINT('Origin',(1.615,0.01,1.1875)); #257931=CARTESIAN_POINT('',(1.625,0.01,1.385)); #257932=CARTESIAN_POINT('Origin',(1.615,0.01,1.365)); #257933=CARTESIAN_POINT('',(1.625,0.01,1.615)); #257934=CARTESIAN_POINT('',(1.625,0.01,1.1875)); #257935=CARTESIAN_POINT('Origin',(1.615,0.01,1.635)); #257936=CARTESIAN_POINT('Origin',(1.625,0.,1.375)); #257937=CARTESIAN_POINT('',(1.625,0.015,1.385)); #257938=CARTESIAN_POINT('',(1.625,0.,1.385)); #257939=CARTESIAN_POINT('',(1.625,0.015,1.615)); #257940=CARTESIAN_POINT('',(1.625,0.015,1.4375)); #257941=CARTESIAN_POINT('',(1.625,0.,1.615)); #257942=CARTESIAN_POINT('Origin',(1.88125,0.015,1.385)); #257943=CARTESIAN_POINT('',(1.895,0.025,1.385)); #257944=CARTESIAN_POINT('Origin',(1.91,0.015,1.385)); #257945=CARTESIAN_POINT('',(1.635,0.025,1.385)); #257946=CARTESIAN_POINT('',(1.88125,0.025,1.385)); #257947=CARTESIAN_POINT('Origin',(1.635,0.015,1.385)); #257948=CARTESIAN_POINT('Origin',(1.99,0.06,1.75)); #257949=CARTESIAN_POINT('',(1.99,0.05,1.385)); #257950=CARTESIAN_POINT('Origin',(1.99,0.06,1.385)); #257951=CARTESIAN_POINT('',(1.99,0.05,1.615)); #257952=CARTESIAN_POINT('Origin',(1.99,0.06,1.615)); #257953=CARTESIAN_POINT('',(1.99,0.05,1.75)); #257954=CARTESIAN_POINT('Origin',(1.925,0.04,1.615)); #257955=CARTESIAN_POINT('',(1.905,0.05,1.615)); #257956=CARTESIAN_POINT('Origin',(1.91,0.04,1.615)); #257957=CARTESIAN_POINT('',(1.925,0.05,1.615)); #257958=CARTESIAN_POINT('Origin',(1.99,0.04,1.615)); #257959=CARTESIAN_POINT('Origin',(1.99,0.,1.635)); #257960=CARTESIAN_POINT('Origin',(1.99,0.01,1.635)); #257961=CARTESIAN_POINT('Origin',(1.99,0.04,1.635)); #257962=CARTESIAN_POINT('Origin',(1.99,0.01,1.635)); #257963=CARTESIAN_POINT('Origin',(1.99,0.04,1.615)); #257964=CARTESIAN_POINT('Origin',(1.99,0.01,1.365)); #257965=CARTESIAN_POINT('Origin',(1.99,0.01,1.365)); #257966=CARTESIAN_POINT('Origin',(1.99,0.01,1.365)); #257967=CARTESIAN_POINT('Origin',(1.99,0.,1.365)); #257968=CARTESIAN_POINT('Origin',(1.99,0.04,1.365)); #257969=CARTESIAN_POINT('Origin',(1.99,0.04,1.385)); #257970=CARTESIAN_POINT('Origin',(1.99,0.04,1.385)); #257971=CARTESIAN_POINT('Origin',(1.635,0.015,1.4375)); #257972=CARTESIAN_POINT('',(1.635,0.025,1.615)); #257973=CARTESIAN_POINT('Origin',(1.635,0.015,1.615)); #257974=CARTESIAN_POINT('Origin',(1.635,0.015,1.385)); #257975=CARTESIAN_POINT('',(1.635,0.025,1.4375)); #257976=CARTESIAN_POINT('Origin',(1.635,0.,1.615)); #257977=CARTESIAN_POINT('Origin',(1.635,0.01,1.615)); #257978=CARTESIAN_POINT('Origin',(1.635,0.015,1.615)); #257979=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.635)); #257980=CARTESIAN_POINT('Ctrl Pts',(1.62547197551197,4.54149544011541E-18, 1.62976401224402)); #257981=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00476401224401703,1.625)); #257982=CARTESIAN_POINT('Ctrl Pts',(1.635,0.01,1.625)); #257983=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.635)); #257984=CARTESIAN_POINT('Ctrl Pts',(1.62447464451083,4.54149544011539E-18, 1.62876668124288)); #257985=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.00635413726651637, 1.625)); #257986=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.01,1.625)); #257987=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.635)); #257988=CARTESIAN_POINT('Ctrl Pts',(1.62272931525883,4.54149544011537E-18, 1.62702135199088)); #257989=CARTESIAN_POINT('Ctrl Pts',(1.6268219247195,0.0077202572357231, 1.62249377211576)); #257990=CARTESIAN_POINT('Ctrl Pts',(1.6268219247195,0.01,1.62249377211576)); #257991=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.635)); #257992=CARTESIAN_POINT('Ctrl Pts',(1.62098398600684,4.54149544011534E-18, 1.62527602273889)); #257993=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00594081722182028,1.61724399475256)); #257994=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,1.61724399475256)); #257995=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.635)); #257996=CARTESIAN_POINT('Ctrl Pts',(1.62023598775598,4.54149544011541E-18, 1.62452802448803)); #257997=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00476401224401701,1.615)); #257998=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,1.615)); #257999=CARTESIAN_POINT('Origin',(1.635,0.015,1.615)); #258000=CARTESIAN_POINT('Origin',(1.635,0.015,1.615)); #258001=CARTESIAN_POINT('Origin',(1.635,0.,1.385)); #258002=CARTESIAN_POINT('Origin',(1.635,0.01,1.385)); #258003=CARTESIAN_POINT('Origin',(1.635,0.015,1.385)); #258004=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.365)); #258005=CARTESIAN_POINT('Ctrl Pts',(1.62023598775598,5.67686930014426E-18, 1.37547197551197)); #258006=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00476401224401703,1.385)); #258007=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,1.385)); #258008=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.365)); #258009=CARTESIAN_POINT('Ctrl Pts',(1.62123331875712,5.67686930014418E-18, 1.37447464451083)); #258010=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00635413726651637,1.38200800699658)); #258011=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,1.38200800699658)); #258012=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.365)); #258013=CARTESIAN_POINT('Ctrl Pts',(1.62297864800912,5.67686930014431E-18, 1.37272931525883)); #258014=CARTESIAN_POINT('Ctrl Pts',(1.62750609830361,0.0077202572357231, 1.37682176924102)); #258015=CARTESIAN_POINT('Ctrl Pts',(1.62750609830361,0.01,1.37682176924102)); #258016=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.365)); #258017=CARTESIAN_POINT('Ctrl Pts',(1.62472397726111,5.67686930014424E-18, 1.37098398600684)); #258018=CARTESIAN_POINT('Ctrl Pts',(1.63275600524744,0.00594081722182028, 1.375)); #258019=CARTESIAN_POINT('Ctrl Pts',(1.63275600524744,0.01,1.375)); #258020=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.365)); #258021=CARTESIAN_POINT('Ctrl Pts',(1.62547197551197,5.67686930014426E-18, 1.37023598775598)); #258022=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00476401224401701,1.375)); #258023=CARTESIAN_POINT('Ctrl Pts',(1.635,0.01,1.375)); #258024=CARTESIAN_POINT('Origin',(1.635,0.015,1.385)); #258025=CARTESIAN_POINT('Origin',(1.99,0.01,1.5)); #258026=CARTESIAN_POINT('Origin',(1.99,0.01,1.135)); #258027=CARTESIAN_POINT('Origin',(1.45,0.01,1.135)); #258028=CARTESIAN_POINT('',(1.635,0.01,1.125)); #258029=CARTESIAN_POINT('Origin',(1.615,0.01,1.135)); #258030=CARTESIAN_POINT('',(1.99,0.01,1.125)); #258031=CARTESIAN_POINT('',(1.45,0.01,1.125)); #258032=CARTESIAN_POINT('Origin',(1.99,0.01,1.135)); #258033=CARTESIAN_POINT('Origin',(1.9,0.,1.125)); #258034=CARTESIAN_POINT('',(1.635,0.015,1.125)); #258035=CARTESIAN_POINT('',(1.635,0.,1.125)); #258036=CARTESIAN_POINT('',(1.91,0.015,1.125)); #258037=CARTESIAN_POINT('',(1.83125,0.015,1.125)); #258038=CARTESIAN_POINT('',(1.91,0.04,1.125)); #258039=CARTESIAN_POINT('',(1.91,0.,1.125)); #258040=CARTESIAN_POINT('',(1.99,0.04,1.125)); #258041=CARTESIAN_POINT('',(1.925,0.04,1.125)); #258042=CARTESIAN_POINT('',(1.99,0.,1.125)); #258043=CARTESIAN_POINT('Origin',(1.615,0.01,0.937500000000001)); #258044=CARTESIAN_POINT('',(1.625,0.01,0.885000000000001)); #258045=CARTESIAN_POINT('Origin',(1.615,0.01,0.865000000000001)); #258046=CARTESIAN_POINT('',(1.625,0.01,1.115)); #258047=CARTESIAN_POINT('',(1.625,0.01,0.937500000000001)); #258048=CARTESIAN_POINT('Origin',(1.615,0.01,1.135)); #258049=CARTESIAN_POINT('Origin',(1.625,0.,0.875000000000001)); #258050=CARTESIAN_POINT('',(1.625,0.015,0.885000000000001)); #258051=CARTESIAN_POINT('',(1.625,0.,0.885000000000001)); #258052=CARTESIAN_POINT('',(1.625,0.015,1.115)); #258053=CARTESIAN_POINT('',(1.625,0.015,0.937500000000001)); #258054=CARTESIAN_POINT('',(1.625,0.,1.115)); #258055=CARTESIAN_POINT('Origin',(1.88125,0.015,0.885000000000001)); #258056=CARTESIAN_POINT('',(1.895,0.025,0.885000000000001)); #258057=CARTESIAN_POINT('Origin',(1.91,0.015,0.885000000000001)); #258058=CARTESIAN_POINT('',(1.635,0.025,0.885000000000001)); #258059=CARTESIAN_POINT('',(1.88125,0.025,0.885000000000001)); #258060=CARTESIAN_POINT('Origin',(1.635,0.015,0.885000000000001)); #258061=CARTESIAN_POINT('Origin',(1.99,0.06,1.5)); #258062=CARTESIAN_POINT('',(1.99,0.05,0.885000000000001)); #258063=CARTESIAN_POINT('Origin',(1.99,0.06,0.885000000000001)); #258064=CARTESIAN_POINT('',(1.99,0.05,1.115)); #258065=CARTESIAN_POINT('Origin',(1.99,0.06,1.115)); #258066=CARTESIAN_POINT('',(1.99,0.05,1.5)); #258067=CARTESIAN_POINT('Origin',(1.925,0.04,1.115)); #258068=CARTESIAN_POINT('',(1.905,0.05,1.115)); #258069=CARTESIAN_POINT('Origin',(1.91,0.04,1.115)); #258070=CARTESIAN_POINT('',(1.925,0.05,1.115)); #258071=CARTESIAN_POINT('Origin',(1.99,0.04,1.115)); #258072=CARTESIAN_POINT('Origin',(1.99,0.,1.135)); #258073=CARTESIAN_POINT('Origin',(1.99,0.01,1.135)); #258074=CARTESIAN_POINT('Origin',(1.99,0.04,1.135)); #258075=CARTESIAN_POINT('Origin',(1.99,0.01,1.135)); #258076=CARTESIAN_POINT('Origin',(1.99,0.04,1.115)); #258077=CARTESIAN_POINT('Origin',(1.99,0.01,0.865000000000001)); #258078=CARTESIAN_POINT('Origin',(1.99,0.01,0.865000000000001)); #258079=CARTESIAN_POINT('Origin',(1.99,0.01,0.865000000000001)); #258080=CARTESIAN_POINT('Origin',(1.99,0.,0.865000000000001)); #258081=CARTESIAN_POINT('Origin',(1.99,0.04,0.865000000000001)); #258082=CARTESIAN_POINT('Origin',(1.99,0.04,0.885000000000001)); #258083=CARTESIAN_POINT('Origin',(1.99,0.04,0.885000000000001)); #258084=CARTESIAN_POINT('Origin',(1.635,0.015,0.937500000000001)); #258085=CARTESIAN_POINT('',(1.635,0.025,1.115)); #258086=CARTESIAN_POINT('Origin',(1.635,0.015,1.115)); #258087=CARTESIAN_POINT('Origin',(1.635,0.015,0.885000000000001)); #258088=CARTESIAN_POINT('',(1.635,0.025,0.937500000000001)); #258089=CARTESIAN_POINT('Origin',(1.635,0.,1.115)); #258090=CARTESIAN_POINT('Origin',(1.635,0.01,1.115)); #258091=CARTESIAN_POINT('Origin',(1.635,0.015,1.115)); #258092=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.135)); #258093=CARTESIAN_POINT('Ctrl Pts',(1.62547197551197,4.54149544011541E-18, 1.12976401224402)); #258094=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00476401224401703,1.125)); #258095=CARTESIAN_POINT('Ctrl Pts',(1.635,0.01,1.125)); #258096=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.135)); #258097=CARTESIAN_POINT('Ctrl Pts',(1.62447464451083,4.54149544011541E-18, 1.12876668124288)); #258098=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.00635413726651637, 1.125)); #258099=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.01,1.125)); #258100=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.135)); #258101=CARTESIAN_POINT('Ctrl Pts',(1.62272931525883,4.54149544011541E-18, 1.12702135199088)); #258102=CARTESIAN_POINT('Ctrl Pts',(1.62682160815306,0.0077202572357231, 1.12249403595214)); #258103=CARTESIAN_POINT('Ctrl Pts',(1.62682160815306,0.01,1.12249403595214)); #258104=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.135)); #258105=CARTESIAN_POINT('Ctrl Pts',(1.62098398600684,4.54149544011537E-18, 1.12527602273889)); #258106=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00594081722182028,1.11724399475256)); #258107=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,1.11724399475256)); #258108=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,1.135)); #258109=CARTESIAN_POINT('Ctrl Pts',(1.62023598775598,4.54149544011541E-18, 1.12452802448803)); #258110=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00476401224401701,1.115)); #258111=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,1.115)); #258112=CARTESIAN_POINT('Origin',(1.635,0.015,1.115)); #258113=CARTESIAN_POINT('Origin',(1.635,0.015,1.115)); #258114=CARTESIAN_POINT('Origin',(1.635,0.,0.885000000000001)); #258115=CARTESIAN_POINT('Origin',(1.635,0.01,0.885000000000001)); #258116=CARTESIAN_POINT('Origin',(1.635,0.015,0.885000000000001)); #258117=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.865000000000001)); #258118=CARTESIAN_POINT('Ctrl Pts',(1.62023598775598,-2.2707477200577E-18, 0.875471975511967)); #258119=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00476401224401701,0.885000000000001)); #258120=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,0.885000000000001)); #258121=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.865000000000001)); #258122=CARTESIAN_POINT('Ctrl Pts',(1.62123331875712,-2.27074772005749E-18, 0.874474644510827)); #258123=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00635413726651636,0.882008006996582)); #258124=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,0.882008006996582)); #258125=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.865000000000001)); #258126=CARTESIAN_POINT('Ctrl Pts',(1.62297864800912,-2.27074772005805E-18, 0.872729315258833)); #258127=CARTESIAN_POINT('Ctrl Pts',(1.62750582485933,0.00772025723572309, 0.876821441146451)); #258128=CARTESIAN_POINT('Ctrl Pts',(1.62750582485933,0.01,0.876821441146451)); #258129=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.865000000000001)); #258130=CARTESIAN_POINT('Ctrl Pts',(1.62472397726111,-2.27074772005696E-18, 0.870983986006838)); #258131=CARTESIAN_POINT('Ctrl Pts',(1.63275600524744,0.00594081722182027, 0.875000000000001)); #258132=CARTESIAN_POINT('Ctrl Pts',(1.63275600524744,0.01,0.875000000000001)); #258133=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.865000000000001)); #258134=CARTESIAN_POINT('Ctrl Pts',(1.62547197551197,-2.2707477200577E-18, 0.870235987755984)); #258135=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00476401224401702,0.875000000000001)); #258136=CARTESIAN_POINT('Ctrl Pts',(1.635,0.01,0.875000000000001)); #258137=CARTESIAN_POINT('Origin',(1.635,0.015,0.885000000000001)); #258138=CARTESIAN_POINT('Origin',(1.99,0.01,1.5)); #258139=CARTESIAN_POINT('Origin',(1.99,0.01,0.635000000000001)); #258140=CARTESIAN_POINT('Origin',(1.45,0.01,0.635000000000001)); #258141=CARTESIAN_POINT('',(1.635,0.01,0.625000000000001)); #258142=CARTESIAN_POINT('Origin',(1.615,0.01,0.635000000000001)); #258143=CARTESIAN_POINT('',(1.99,0.01,0.625000000000001)); #258144=CARTESIAN_POINT('',(1.45,0.01,0.625000000000001)); #258145=CARTESIAN_POINT('Origin',(1.99,0.01,0.635000000000001)); #258146=CARTESIAN_POINT('Origin',(1.9,0.,0.625000000000001)); #258147=CARTESIAN_POINT('',(1.635,0.015,0.625000000000001)); #258148=CARTESIAN_POINT('',(1.635,0.,0.625000000000001)); #258149=CARTESIAN_POINT('',(1.91,0.015,0.625000000000001)); #258150=CARTESIAN_POINT('',(1.83125,0.015,0.625000000000001)); #258151=CARTESIAN_POINT('',(1.91,0.04,0.625000000000001)); #258152=CARTESIAN_POINT('',(1.91,0.,0.625000000000001)); #258153=CARTESIAN_POINT('',(1.99,0.04,0.625000000000001)); #258154=CARTESIAN_POINT('',(1.925,0.04,0.625000000000001)); #258155=CARTESIAN_POINT('',(1.99,0.,0.625000000000001)); #258156=CARTESIAN_POINT('Origin',(1.615,0.01,0.6875)); #258157=CARTESIAN_POINT('',(1.625,0.01,0.385)); #258158=CARTESIAN_POINT('Origin',(1.615,0.01,0.365)); #258159=CARTESIAN_POINT('',(1.625,0.01,0.615000000000001)); #258160=CARTESIAN_POINT('',(1.625,0.01,0.6875)); #258161=CARTESIAN_POINT('Origin',(1.615,0.01,0.635000000000001)); #258162=CARTESIAN_POINT('Origin',(1.625,0.,0.375)); #258163=CARTESIAN_POINT('',(1.625,0.015,0.385)); #258164=CARTESIAN_POINT('',(1.625,0.,0.385)); #258165=CARTESIAN_POINT('',(1.625,0.015,0.615000000000001)); #258166=CARTESIAN_POINT('',(1.625,0.015,0.4375)); #258167=CARTESIAN_POINT('',(1.625,0.,0.615000000000001)); #258168=CARTESIAN_POINT('Origin',(1.88125,0.015,0.385)); #258169=CARTESIAN_POINT('',(1.895,0.025,0.385)); #258170=CARTESIAN_POINT('Origin',(1.91,0.015,0.385)); #258171=CARTESIAN_POINT('',(1.635,0.025,0.385)); #258172=CARTESIAN_POINT('',(1.88125,0.025,0.385)); #258173=CARTESIAN_POINT('Origin',(1.635,0.015,0.385)); #258174=CARTESIAN_POINT('Origin',(1.99,0.06,1.25)); #258175=CARTESIAN_POINT('',(1.99,0.05,0.385)); #258176=CARTESIAN_POINT('Origin',(1.99,0.06,0.385)); #258177=CARTESIAN_POINT('',(1.99,0.05,0.615000000000001)); #258178=CARTESIAN_POINT('Origin',(1.99,0.06,0.615000000000001)); #258179=CARTESIAN_POINT('',(1.99,0.05,1.25)); #258180=CARTESIAN_POINT('Origin',(1.925,0.04,0.615000000000001)); #258181=CARTESIAN_POINT('',(1.905,0.05,0.615000000000001)); #258182=CARTESIAN_POINT('Origin',(1.91,0.04,0.615000000000001)); #258183=CARTESIAN_POINT('',(1.925,0.05,0.615000000000001)); #258184=CARTESIAN_POINT('Origin',(1.99,0.04,0.615000000000001)); #258185=CARTESIAN_POINT('Origin',(1.99,0.,0.635000000000001)); #258186=CARTESIAN_POINT('Origin',(1.99,0.01,0.635000000000001)); #258187=CARTESIAN_POINT('Origin',(1.99,0.04,0.635000000000001)); #258188=CARTESIAN_POINT('Origin',(1.99,0.01,0.635000000000001)); #258189=CARTESIAN_POINT('Origin',(1.99,0.04,0.615000000000001)); #258190=CARTESIAN_POINT('Origin',(1.99,0.01,0.365)); #258191=CARTESIAN_POINT('Origin',(1.99,0.01,0.365)); #258192=CARTESIAN_POINT('Origin',(1.99,0.,0.365)); #258193=CARTESIAN_POINT('Origin',(1.99,0.04,0.365)); #258194=CARTESIAN_POINT('Origin',(1.99,0.04,0.385)); #258195=CARTESIAN_POINT('Origin',(1.99,0.04,0.385)); #258196=CARTESIAN_POINT('Origin',(1.635,0.015,0.4375)); #258197=CARTESIAN_POINT('',(1.635,0.025,0.615000000000001)); #258198=CARTESIAN_POINT('Origin',(1.635,0.015,0.615000000000001)); #258199=CARTESIAN_POINT('Origin',(1.635,0.015,0.385)); #258200=CARTESIAN_POINT('',(1.635,0.025,0.4375)); #258201=CARTESIAN_POINT('Origin',(1.635,0.,0.615000000000001)); #258202=CARTESIAN_POINT('Origin',(1.635,0.01,0.615000000000001)); #258203=CARTESIAN_POINT('Origin',(1.635,0.015,0.615000000000001)); #258204=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.635000000000001)); #258205=CARTESIAN_POINT('Ctrl Pts',(1.62547197551197,4.54149544011541E-18, 0.629764012244018)); #258206=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00476401224401703,0.625000000000001)); #258207=CARTESIAN_POINT('Ctrl Pts',(1.635,0.01,0.625000000000001)); #258208=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.635000000000001)); #258209=CARTESIAN_POINT('Ctrl Pts',(1.62447464451083,4.54149544011579E-18, 0.628766681242878)); #258210=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.00635413726651637, 0.625000000000001)); #258211=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.01,0.625000000000001)); #258212=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.635000000000001)); #258213=CARTESIAN_POINT('Ctrl Pts',(1.62272931525883,4.54149544011473E-18, 0.627021351990884)); #258214=CARTESIAN_POINT('Ctrl Pts',(1.62682126788891,0.0077202572357231, 0.622494319538925)); #258215=CARTESIAN_POINT('Ctrl Pts',(1.62682126788891,0.01,0.622494319538925)); #258216=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.635000000000001)); #258217=CARTESIAN_POINT('Ctrl Pts',(1.62098398600684,4.54149544011651E-18, 0.62527602273889)); #258218=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00594081722182028,0.617243994752565)); #258219=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,0.617243994752565)); #258220=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.635000000000001)); #258221=CARTESIAN_POINT('Ctrl Pts',(1.62023598775598,4.54149544011541E-18, 0.624528024488035)); #258222=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00476401224401701,0.615000000000001)); #258223=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,0.615000000000001)); #258224=CARTESIAN_POINT('Origin',(1.635,0.015,0.615000000000001)); #258225=CARTESIAN_POINT('Origin',(1.635,0.015,0.615000000000001)); #258226=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00999999999999999,0.375)); #258227=CARTESIAN_POINT('Ctrl Pts',(1.635,0.00476401224401701,0.375)); #258228=CARTESIAN_POINT('Ctrl Pts',(1.62547197551197,-3.40612158008655E-18, 0.370235987755983)); #258229=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.365)); #258230=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.00999999999999999, 0.375)); #258231=CARTESIAN_POINT('Ctrl Pts',(1.63200800699658,0.00635413726651636, 0.375)); #258232=CARTESIAN_POINT('Ctrl Pts',(1.62447464451083,-3.40612158008686E-18, 0.371233318757123)); #258233=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.365)); #258234=CARTESIAN_POINT('Ctrl Pts',(1.62682108802279,0.01,0.377505530555025)); #258235=CARTESIAN_POINT('Ctrl Pts',(1.62682108802279,0.00772025723572308, 0.377505530555025)); #258236=CARTESIAN_POINT('Ctrl Pts',(1.62272931525883,-3.40612158008605E-18, 0.372978648009117)); #258237=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.365)); #258238=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,0.382756005247436)); #258239=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00594081722182028,0.382756005247436)); #258240=CARTESIAN_POINT('Ctrl Pts',(1.62098398600684,-3.40612158008739E-18, 0.374723977261111)); #258241=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.365)); #258242=CARTESIAN_POINT('Ctrl Pts',(1.625,0.01,0.385)); #258243=CARTESIAN_POINT('Ctrl Pts',(1.625,0.00476401224401702,0.385)); #258244=CARTESIAN_POINT('Ctrl Pts',(1.62023598775598,-3.40612158008655E-18, 0.375471975511966)); #258245=CARTESIAN_POINT('Ctrl Pts',(1.615,0.,0.365)); #258246=CARTESIAN_POINT('Origin',(1.635,0.01,0.385)); #258247=CARTESIAN_POINT('Origin',(1.635,0.,0.385)); #258248=CARTESIAN_POINT('Origin',(1.635,0.015,0.385)); #258249=CARTESIAN_POINT('Origin',(1.635,0.015,0.385)); #258250=CARTESIAN_POINT('Origin',(1.5,0.01,0.0100000000000005)); #258251=CARTESIAN_POINT('',(0.615000000000001,0.01,3.86014948928849E-16)); #258252=CARTESIAN_POINT('Origin',(0.615000000000001,0.01,0.0100000000000004)); #258253=CARTESIAN_POINT('',(0.0100000000000003,0.01,3.11923817580434E-16)); #258254=CARTESIAN_POINT('Origin',(0.0100000000000003,0.01,0.0100000000000003)); #258255=CARTESIAN_POINT('',(1.5,0.01,4.9439619065339E-16)); #258256=CARTESIAN_POINT('Origin',(0.5,0.153,1.99)); #258257=CARTESIAN_POINT('',(1.99,0.153,2.)); #258258=CARTESIAN_POINT('Origin',(1.99,0.153,1.99)); #258259=CARTESIAN_POINT('',(0.01,0.153,2.)); #258260=CARTESIAN_POINT('Origin',(0.01,0.153,1.99)); #258261=CARTESIAN_POINT('',(0.5,0.153,2.)); #258262=CARTESIAN_POINT('Origin',(1.99,0.153,1.5)); #258263=CARTESIAN_POINT('Origin',(1.99,0.153,0.0100000000000006)); #258264=CARTESIAN_POINT('Origin',(1.99,0.153,1.99)); #258265=CARTESIAN_POINT('Origin',(1.5,0.153,0.0100000000000005)); #258266=CARTESIAN_POINT('',(0.0100000000000003,0.153,3.12250225675825E-16)); #258267=CARTESIAN_POINT('Origin',(0.0100000000000003,0.153,0.0100000000000003)); #258268=CARTESIAN_POINT('',(1.99,0.153,5.5440388381161E-16)); #258269=CARTESIAN_POINT('Origin',(1.99,0.153,0.0100000000000006)); #258270=CARTESIAN_POINT('',(1.5,0.153,4.9439619065339E-16)); #258271=CARTESIAN_POINT('Origin',(1.99,0.,0.0100000000000006)); #258272=CARTESIAN_POINT('',(1.99,0.01,5.5440388381161E-16)); #258273=CARTESIAN_POINT('Origin',(1.99,0.01,0.0100000000000006)); #258274=CARTESIAN_POINT('',(1.99,0.,5.52943107967607E-16)); #258275=CARTESIAN_POINT('Origin',(1.99,0.153,0.0100000000000006)); #258276=CARTESIAN_POINT('Origin',(1.99,0.01,0.0100000000000006)); #258277=CARTESIAN_POINT('Origin',(1.99,0.01,0.0100000000000006)); #258278=CARTESIAN_POINT('Origin',(1.99,0.153,0.0100000000000006)); #258279=CARTESIAN_POINT('Origin',(1.99,0.,1.99)); #258280=CARTESIAN_POINT('',(1.99,0.01,2.)); #258281=CARTESIAN_POINT('Origin',(1.99,0.01,1.99)); #258282=CARTESIAN_POINT('Origin',(1.99,0.153,1.99)); #258283=CARTESIAN_POINT('',(1.99,0.,2.)); #258284=CARTESIAN_POINT('Origin',(1.99,0.01,1.99)); #258285=CARTESIAN_POINT('Origin',(1.99,0.01,1.99)); #258286=CARTESIAN_POINT('Origin',(1.99,0.153,1.99)); #258287=CARTESIAN_POINT('Origin',(0.0100000000000002,0.153,0.5)); #258288=CARTESIAN_POINT('',(3.46944695195361E-17,0.153,1.99)); #258289=CARTESIAN_POINT('Origin',(0.01,0.153,1.99)); #258290=CARTESIAN_POINT('',(2.76848127655321E-16,0.153,0.0100000000000003)); #258291=CARTESIAN_POINT('Origin',(0.0100000000000003,0.153,0.0100000000000003)); #258292=CARTESIAN_POINT('',(2.16840434497101E-16,0.153,0.5)); #258293=CARTESIAN_POINT('Origin',(0.0100000000000003,0.,0.0100000000000003)); #258294=CARTESIAN_POINT('',(2.76848127655321E-16,0.01,0.0100000000000003)); #258295=CARTESIAN_POINT('Origin',(0.0100000000000003,0.01,0.0100000000000003)); #258296=CARTESIAN_POINT('',(2.75387351811318E-16,0.,0.0100000000000003)); #258297=CARTESIAN_POINT('Origin',(0.0100000000000003,0.153,0.0100000000000003)); #258298=CARTESIAN_POINT('',(0.0100000000000003,0.,3.12250225675825E-16)); #258299=CARTESIAN_POINT('Origin',(0.0100000000000003,0.01,0.0100000000000003)); #258300=CARTESIAN_POINT('Origin',(0.0100000000000003,0.01,0.0100000000000003)); #258301=CARTESIAN_POINT('Origin',(0.0100000000000003,0.153,0.0100000000000003)); #258302=CARTESIAN_POINT('Origin',(0.01,0.,1.99)); #258303=CARTESIAN_POINT('',(0.01,0.01,2.)); #258304=CARTESIAN_POINT('',(3.43680614241452E-17,0.01,1.99)); #258305=CARTESIAN_POINT('Origin',(0.01,0.01,1.99)); #258306=CARTESIAN_POINT('',(0.01,0.,2.)); #258307=CARTESIAN_POINT('Origin',(0.01,0.153,1.99)); #258308=CARTESIAN_POINT('',(3.46944695195361E-17,0.,1.99)); #258309=CARTESIAN_POINT('Origin',(0.01,0.01,1.99)); #258310=CARTESIAN_POINT('Origin',(0.01,0.01,1.99)); #258311=CARTESIAN_POINT('Origin',(0.01,0.01,1.99)); #258312=CARTESIAN_POINT('Origin',(0.01,0.153,1.99)); #258313=CARTESIAN_POINT('Origin',(2.,0.,5.55111512312578E-16)); #258314=CARTESIAN_POINT('',(0.615000000000001,0.04,3.8597597340484E-16)); #258315=CARTESIAN_POINT('',(0.615000000000001,0.,3.8597597340484E-16)); #258316=CARTESIAN_POINT('',(1.385,0.01,4.80312752463196E-16)); #258317=CARTESIAN_POINT('',(1.5,0.01,4.9439619065339E-16)); #258318=CARTESIAN_POINT('',(1.385,0.04,4.79217360238593E-16)); #258319=CARTESIAN_POINT('',(1.385,0.,4.79217360238593E-16)); #258320=CARTESIAN_POINT('',(1.365,0.06,4.77734204290356E-16)); #258321=CARTESIAN_POINT('Origin',(1.365,0.04,4.76768066640298E-16)); #258322=CARTESIAN_POINT('',(1.135,0.06,4.49567327909967E-16)); #258323=CARTESIAN_POINT('',(1.625,0.06,5.09575021068187E-16)); #258324=CARTESIAN_POINT('',(1.115,0.04,4.46691295064028E-16)); #258325=CARTESIAN_POINT('Origin',(1.135,0.04,4.49567327909967E-16)); #258326=CARTESIAN_POINT('',(1.115,0.01,4.47247288886217E-16)); #258327=CARTESIAN_POINT('',(1.115,0.,4.46691295064028E-16)); #258328=CARTESIAN_POINT('',(0.885000000000001,0.01,4.19080412505828E-16)); #258329=CARTESIAN_POINT('',(1.5,0.01,4.9439619065339E-16)); #258330=CARTESIAN_POINT('',(0.885000000000001,0.04,4.18502038579405E-16)); #258331=CARTESIAN_POINT('',(0.885000000000001,0.,4.18502038579405E-16)); #258332=CARTESIAN_POINT('',(0.865000000000001,0.06,4.16760373482078E-16)); #258333=CARTESIAN_POINT('Origin',(0.865000000000001,0.04,4.1605274498111E-16)); #258334=CARTESIAN_POINT('',(0.635000000000001,0.06,3.88593497101689E-16)); #258335=CARTESIAN_POINT('',(1.375,0.06,4.79217360238593E-16)); #258336=CARTESIAN_POINT('Origin',(0.635000000000001,0.04,3.88593497101689E-16)); #258337=CARTESIAN_POINT('Origin',(1.5,0.01,0.0100000000000005)); #258338=CARTESIAN_POINT('Origin',(1.385,0.01,0.0100000000000005)); #258339=CARTESIAN_POINT('Origin',(1.375,0.,0.100000000000001)); #258340=CARTESIAN_POINT('',(1.375,0.015,0.365000000000001)); #258341=CARTESIAN_POINT('',(1.375,0.,0.365000000000001)); #258342=CARTESIAN_POINT('',(1.375,0.015,0.0900000000000009)); #258343=CARTESIAN_POINT('',(1.375,0.015,0.168750000000001)); #258344=CARTESIAN_POINT('',(1.375,0.04,0.0900000000000009)); #258345=CARTESIAN_POINT('',(1.375,0.,0.0900000000000009)); #258346=CARTESIAN_POINT('',(1.375,0.04,0.0100000000000005)); #258347=CARTESIAN_POINT('',(1.375,0.04,0.0750000000000008)); #258348=CARTESIAN_POINT('',(1.375,0.,0.0100000000000005)); #258349=CARTESIAN_POINT('Origin',(1.1875,0.015,0.365000000000001)); #258350=CARTESIAN_POINT('',(1.365,0.025,0.365000000000001)); #258351=CARTESIAN_POINT('Origin',(1.365,0.015,0.365000000000001)); #258352=CARTESIAN_POINT('',(1.135,0.025,0.365000000000001)); #258353=CARTESIAN_POINT('Origin',(1.135,0.015,0.365000000000001)); #258354=CARTESIAN_POINT('',(1.1875,0.025,0.365000000000001)); #258355=CARTESIAN_POINT('Origin',(1.135,0.015,0.118750000000001)); #258356=CARTESIAN_POINT('',(1.135,0.025,0.105000000000001)); #258357=CARTESIAN_POINT('Origin',(1.135,0.015,0.0900000000000006)); #258358=CARTESIAN_POINT('',(1.135,0.025,0.118750000000001)); #258359=CARTESIAN_POINT('Origin',(1.135,0.015,0.365000000000001)); #258360=CARTESIAN_POINT('Origin',(1.625,0.06,0.0100000000000005)); #258361=CARTESIAN_POINT('',(1.135,0.05,0.0100000000000004)); #258362=CARTESIAN_POINT('Origin',(1.135,0.06,0.0100000000000004)); #258363=CARTESIAN_POINT('',(1.365,0.05,0.0100000000000005)); #258364=CARTESIAN_POINT('Origin',(1.365,0.06,0.0100000000000005)); #258365=CARTESIAN_POINT('',(1.625,0.05,0.0100000000000005)); #258366=CARTESIAN_POINT('Origin',(1.115,0.,0.0100000000000004)); #258367=CARTESIAN_POINT('Origin',(1.115,0.01,0.0100000000000004)); #258368=CARTESIAN_POINT('Origin',(1.115,0.04,0.0100000000000004)); #258369=CARTESIAN_POINT('Origin',(1.115,0.01,0.0100000000000004)); #258370=CARTESIAN_POINT('Origin',(1.115,0.01,0.0100000000000004)); #258371=CARTESIAN_POINT('Origin',(1.135,0.04,0.0100000000000004)); #258372=CARTESIAN_POINT('Origin',(1.135,0.04,0.0100000000000004)); #258373=CARTESIAN_POINT('Origin',(1.365,0.04,0.0750000000000008)); #258374=CARTESIAN_POINT('',(1.365,0.05,0.0950000000000009)); #258375=CARTESIAN_POINT('Origin',(1.365,0.04,0.0900000000000009)); #258376=CARTESIAN_POINT('',(1.365,0.05,0.0750000000000008)); #258377=CARTESIAN_POINT('Origin',(1.365,0.04,0.0100000000000005)); #258378=CARTESIAN_POINT('Origin',(1.385,0.,0.0100000000000005)); #258379=CARTESIAN_POINT('Origin',(1.385,0.01,0.0100000000000005)); #258380=CARTESIAN_POINT('Origin',(1.385,0.04,0.0100000000000005)); #258381=CARTESIAN_POINT('Origin',(1.385,0.01,0.0100000000000005)); #258382=CARTESIAN_POINT('Origin',(1.365,0.04,0.0100000000000005)); #258383=CARTESIAN_POINT('Ctrl Pts',(1.125,0.00999999999999999,0.365000000000001)); #258384=CARTESIAN_POINT('Ctrl Pts',(1.125,0.00476401224401701,0.365000000000001)); #258385=CARTESIAN_POINT('Ctrl Pts',(1.12023598775598,-3.40612158008655E-18, 0.374528024488035)); #258386=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,0.385000000000001)); #258387=CARTESIAN_POINT('Ctrl Pts',(1.125,0.00999999999999999,0.36799199300342)); #258388=CARTESIAN_POINT('Ctrl Pts',(1.125,0.00635413726651636,0.36799199300342)); #258389=CARTESIAN_POINT('Ctrl Pts',(1.12123331875712,-3.40612158008624E-18, 0.375525355489175)); #258390=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,0.385000000000001)); #258391=CARTESIAN_POINT('Ctrl Pts',(1.12750521290904,0.01,0.373179293107597)); #258392=CARTESIAN_POINT('Ctrl Pts',(1.12750521290904,0.00772025723572308, 0.373179293107597)); #258393=CARTESIAN_POINT('Ctrl Pts',(1.12297864800912,-3.40612158008705E-18, 0.377270684741169)); #258394=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,0.385000000000001)); #258395=CARTESIAN_POINT('Ctrl Pts',(1.13275600524744,0.01,0.375000000000001)); #258396=CARTESIAN_POINT('Ctrl Pts',(1.13275600524744,0.00594081722182028, 0.375000000000001)); #258397=CARTESIAN_POINT('Ctrl Pts',(1.12472397726111,-3.40612158008568E-18, 0.379016013993163)); #258398=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,0.385000000000001)); #258399=CARTESIAN_POINT('Ctrl Pts',(1.135,0.01,0.375000000000001)); #258400=CARTESIAN_POINT('Ctrl Pts',(1.135,0.00476401224401702,0.375000000000001)); #258401=CARTESIAN_POINT('Ctrl Pts',(1.12547197551197,-3.40612158008655E-18, 0.379764012244018)); #258402=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,0.385000000000001)); #258403=CARTESIAN_POINT('Origin',(1.135,0.01,0.365000000000001)); #258404=CARTESIAN_POINT('Origin',(1.135,0.,0.365000000000001)); #258405=CARTESIAN_POINT('Origin',(1.135,0.015,0.365000000000001)); #258406=CARTESIAN_POINT('Origin',(1.135,0.015,0.365000000000001)); #258407=CARTESIAN_POINT('Origin',(1.365,0.,0.365000000000001)); #258408=CARTESIAN_POINT('Origin',(1.365,0.01,0.365000000000001)); #258409=CARTESIAN_POINT('Origin',(1.365,0.015,0.365000000000001)); #258410=CARTESIAN_POINT('Ctrl Pts',(1.385,4.01894721956516E-33,0.385000000000001)); #258411=CARTESIAN_POINT('Ctrl Pts',(1.37976401224402,4.54149544011541E-18, 0.374528024488035)); #258412=CARTESIAN_POINT('Ctrl Pts',(1.375,0.00476401224401703,0.365000000000001)); #258413=CARTESIAN_POINT('Ctrl Pts',(1.375,0.01,0.365000000000001)); #258414=CARTESIAN_POINT('Ctrl Pts',(1.385,4.01894721956516E-33,0.385000000000001)); #258415=CARTESIAN_POINT('Ctrl Pts',(1.37876668124288,1.08130843812426E-18, 0.375525355489175)); #258416=CARTESIAN_POINT('Ctrl Pts',(1.375,0.00635413726651638,0.36799199300342)); #258417=CARTESIAN_POINT('Ctrl Pts',(1.375,0.01,0.36799199300342)); #258418=CARTESIAN_POINT('Ctrl Pts',(1.385,4.01894721956516E-33,0.385000000000001)); #258419=CARTESIAN_POINT('Ctrl Pts',(1.37702135199088,-4.97401881536941E-18, 0.377270684741169)); #258420=CARTESIAN_POINT('Ctrl Pts',(1.37249462518008,0.00772025723572312, 0.37317909883737)); #258421=CARTESIAN_POINT('Ctrl Pts',(1.37249462518008,0.01,0.37317909883737)); #258422=CARTESIAN_POINT('Ctrl Pts',(1.385,4.01894721956516E-33,0.385000000000001)); #258423=CARTESIAN_POINT('Ctrl Pts',(1.37527602273889,-1.10293460688423E-17, 0.379016013993163)); #258424=CARTESIAN_POINT('Ctrl Pts',(1.36724399475256,0.00594081722182029, 0.375000000000001)); #258425=CARTESIAN_POINT('Ctrl Pts',(1.36724399475256,0.01,0.375000000000001)); #258426=CARTESIAN_POINT('Ctrl Pts',(1.385,4.01894721956516E-33,0.385000000000001)); #258427=CARTESIAN_POINT('Ctrl Pts',(1.37452802448803,-1.36244863203462E-17, 0.379764012244018)); #258428=CARTESIAN_POINT('Ctrl Pts',(1.365,0.00476401224401703,0.375000000000001)); #258429=CARTESIAN_POINT('Ctrl Pts',(1.365,0.01,0.375000000000001)); #258430=CARTESIAN_POINT('Origin',(1.365,0.015,0.365000000000001)); #258431=CARTESIAN_POINT('Origin',(1.365,0.015,0.365000000000001)); #258432=CARTESIAN_POINT('Origin',(1.5,0.01,0.0100000000000005)); #258433=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.0100000000000004)); #258434=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.550000000000001)); #258435=CARTESIAN_POINT('',(0.875000000000001,0.01,0.365)); #258436=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.385)); #258437=CARTESIAN_POINT('',(0.875000000000001,0.01,0.0100000000000004)); #258438=CARTESIAN_POINT('',(0.875000000000001,0.01,0.550000000000001)); #258439=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.0100000000000004)); #258440=CARTESIAN_POINT('Origin',(0.875000000000001,0.,0.100000000000001)); #258441=CARTESIAN_POINT('',(0.875000000000001,0.015,0.365)); #258442=CARTESIAN_POINT('',(0.875000000000001,0.,0.365)); #258443=CARTESIAN_POINT('',(0.875000000000001,0.015,0.0900000000000004)); #258444=CARTESIAN_POINT('',(0.875000000000001,0.015,0.16875)); #258445=CARTESIAN_POINT('',(0.875000000000001,0.04,0.0900000000000004)); #258446=CARTESIAN_POINT('',(0.875000000000001,0.,0.0900000000000004)); #258447=CARTESIAN_POINT('',(0.875000000000001,0.04,0.0100000000000004)); #258448=CARTESIAN_POINT('',(0.875000000000001,0.04,0.0750000000000005)); #258449=CARTESIAN_POINT('',(0.875000000000001,0.,0.0100000000000004)); #258450=CARTESIAN_POINT('Origin',(0.812500000000001,0.01,0.385)); #258451=CARTESIAN_POINT('',(0.635000000000001,0.01,0.375)); #258452=CARTESIAN_POINT('Origin',(0.615,0.01,0.385)); #258453=CARTESIAN_POINT('',(0.865000000000001,0.01,0.375)); #258454=CARTESIAN_POINT('',(0.812500000000001,0.01,0.375)); #258455=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.385)); #258456=CARTESIAN_POINT('Origin',(0.625000000000001,0.,0.375)); #258457=CARTESIAN_POINT('',(0.635000000000001,0.015,0.375)); #258458=CARTESIAN_POINT('',(0.635000000000001,0.,0.375)); #258459=CARTESIAN_POINT('',(0.865000000000001,0.015,0.375)); #258460=CARTESIAN_POINT('',(0.687500000000001,0.015,0.375)); #258461=CARTESIAN_POINT('',(0.865000000000001,0.,0.375)); #258462=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.11875)); #258463=CARTESIAN_POINT('',(0.635000000000001,0.025,0.105)); #258464=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.0899999999999998)); #258465=CARTESIAN_POINT('',(0.635000000000001,0.025,0.365)); #258466=CARTESIAN_POINT('',(0.635000000000001,0.025,0.11875)); #258467=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.365)); #258468=CARTESIAN_POINT('Origin',(1.375,0.06,0.0100000000000005)); #258469=CARTESIAN_POINT('',(0.635000000000001,0.05,0.0100000000000004)); #258470=CARTESIAN_POINT('Origin',(0.635000000000001,0.06,0.0100000000000004)); #258471=CARTESIAN_POINT('',(0.865000000000001,0.05,0.0100000000000004)); #258472=CARTESIAN_POINT('Origin',(0.865000000000001,0.06,0.0100000000000004)); #258473=CARTESIAN_POINT('',(1.375,0.05,0.0100000000000005)); #258474=CARTESIAN_POINT('Origin',(0.865000000000001,0.04,0.0750000000000005)); #258475=CARTESIAN_POINT('',(0.865000000000001,0.05,0.0950000000000004)); #258476=CARTESIAN_POINT('Origin',(0.865000000000001,0.04,0.0900000000000004)); #258477=CARTESIAN_POINT('',(0.865000000000001,0.05,0.0750000000000005)); #258478=CARTESIAN_POINT('Origin',(0.865000000000001,0.04,0.0100000000000004)); #258479=CARTESIAN_POINT('Origin',(0.885000000000001,0.,0.0100000000000004)); #258480=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.0100000000000004)); #258481=CARTESIAN_POINT('Origin',(0.885000000000001,0.04,0.0100000000000004)); #258482=CARTESIAN_POINT('Origin',(0.885000000000001,0.01,0.0100000000000004)); #258483=CARTESIAN_POINT('Origin',(0.865000000000001,0.04,0.0100000000000004)); #258484=CARTESIAN_POINT('Origin',(0.615000000000001,0.01,0.0100000000000004)); #258485=CARTESIAN_POINT('Origin',(0.615000000000001,0.01,0.0100000000000004)); #258486=CARTESIAN_POINT('Origin',(0.615000000000001,0.,0.0100000000000004)); #258487=CARTESIAN_POINT('Origin',(0.615000000000001,0.04,0.0100000000000004)); #258488=CARTESIAN_POINT('Origin',(0.635000000000001,0.04,0.0100000000000004)); #258489=CARTESIAN_POINT('Origin',(0.635000000000001,0.04,0.0100000000000004)); #258490=CARTESIAN_POINT('Origin',(0.687500000000001,0.015,0.365)); #258491=CARTESIAN_POINT('',(0.865000000000001,0.025,0.365)); #258492=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.365)); #258493=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.365)); #258494=CARTESIAN_POINT('',(0.687500000000001,0.025,0.365)); #258495=CARTESIAN_POINT('Origin',(0.865000000000001,0.,0.365)); #258496=CARTESIAN_POINT('Origin',(0.865000000000001,0.01,0.365)); #258497=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.365)); #258498=CARTESIAN_POINT('Ctrl Pts',(0.885000000000001,0.,0.385)); #258499=CARTESIAN_POINT('Ctrl Pts',(0.879764012244018,4.54149544011541E-18, 0.374528024488035)); #258500=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.00476401224401703, 0.365)); #258501=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.01,0.365)); #258502=CARTESIAN_POINT('Ctrl Pts',(0.885000000000001,0.,0.385)); #258503=CARTESIAN_POINT('Ctrl Pts',(0.878766681242878,4.54149544011571E-18, 0.375525355489174)); #258504=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.00635413726651637, 0.367991993003419)); #258505=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.01,0.367991993003419)); #258506=CARTESIAN_POINT('Ctrl Pts',(0.885000000000001,0.,0.385)); #258507=CARTESIAN_POINT('Ctrl Pts',(0.877021351990884,4.54149544011568E-18, 0.377270684741168)); #258508=CARTESIAN_POINT('Ctrl Pts',(0.872494955552417,0.0077202572357231, 0.373179495237586)); #258509=CARTESIAN_POINT('Ctrl Pts',(0.872494955552417,0.01,0.373179495237586)); #258510=CARTESIAN_POINT('Ctrl Pts',(0.885000000000001,0.,0.385)); #258511=CARTESIAN_POINT('Ctrl Pts',(0.87527602273889,4.54149544011541E-18, 0.379016013993163)); #258512=CARTESIAN_POINT('Ctrl Pts',(0.867243994752565,0.00594081722182028, 0.375)); #258513=CARTESIAN_POINT('Ctrl Pts',(0.867243994752565,0.01,0.375)); #258514=CARTESIAN_POINT('Ctrl Pts',(0.885000000000001,0.,0.385)); #258515=CARTESIAN_POINT('Ctrl Pts',(0.874528024488035,4.54149544011541E-18, 0.379764012244018)); #258516=CARTESIAN_POINT('Ctrl Pts',(0.865000000000001,0.00476401224401701, 0.375)); #258517=CARTESIAN_POINT('Ctrl Pts',(0.865000000000001,0.01,0.375)); #258518=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.365)); #258519=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.365)); #258520=CARTESIAN_POINT('Origin',(0.635000000000001,0.,0.365)); #258521=CARTESIAN_POINT('Origin',(0.635,0.01,0.365)); #258522=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.365)); #258523=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,0.385)); #258524=CARTESIAN_POINT('Ctrl Pts',(0.625471975511966,8.17469179220772E-17, 0.379764012244017)); #258525=CARTESIAN_POINT('Ctrl Pts',(0.635,0.00476401224401693,0.375)); #258526=CARTESIAN_POINT('Ctrl Pts',(0.635,0.00999999999999991,0.375)); #258527=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,0.385)); #258528=CARTESIAN_POINT('Ctrl Pts',(0.624474644510827,7.48265439180972E-17, 0.378766681242877)); #258529=CARTESIAN_POINT('Ctrl Pts',(0.632008006996582,0.00635413726651627, 0.375)); #258530=CARTESIAN_POINT('Ctrl Pts',(0.632008006996582,0.00999999999999991, 0.375)); #258531=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,0.385)); #258532=CARTESIAN_POINT('Ctrl Pts',(0.622729315258832,6.27158894111173E-17, 0.377021351990883)); #258533=CARTESIAN_POINT('Ctrl Pts',(0.626820294285832,0.00772025723572302, 0.372495130970185)); #258534=CARTESIAN_POINT('Ctrl Pts',(0.626820294285832,0.00999999999999993, 0.372495130970185)); #258535=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,0.385)); #258536=CARTESIAN_POINT('Ctrl Pts',(0.620983986006838,5.06052349041456E-17, 0.375276022738889)); #258537=CARTESIAN_POINT('Ctrl Pts',(0.625,0.00594081722182027,0.367243994752564)); #258538=CARTESIAN_POINT('Ctrl Pts',(0.625,0.00999999999999999,0.367243994752564)); #258539=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,0.385)); #258540=CARTESIAN_POINT('Ctrl Pts',(0.620235987755983,4.54149544011541E-17, 0.374528024488034)); #258541=CARTESIAN_POINT('Ctrl Pts',(0.625,0.00476401224401704,0.365)); #258542=CARTESIAN_POINT('Ctrl Pts',(0.625,0.01,0.365)); #258543=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.365)); #258544=CARTESIAN_POINT('Origin',(0.5,0.01,1.99)); #258545=CARTESIAN_POINT('',(1.385,0.01,2.)); #258546=CARTESIAN_POINT('Origin',(1.385,0.01,1.99)); #258547=CARTESIAN_POINT('',(0.5,0.01,2.)); #258548=CARTESIAN_POINT('Origin',(0.,0.,2.)); #258549=CARTESIAN_POINT('',(0.885,0.01,2.)); #258550=CARTESIAN_POINT('',(0.885,0.04,2.)); #258551=CARTESIAN_POINT('',(0.885,0.,2.)); #258552=CARTESIAN_POINT('',(1.115,0.01,2.)); #258553=CARTESIAN_POINT('',(0.5,0.01,2.)); #258554=CARTESIAN_POINT('',(1.115,0.04,2.)); #258555=CARTESIAN_POINT('',(1.115,0.,2.)); #258556=CARTESIAN_POINT('',(1.135,0.06,2.)); #258557=CARTESIAN_POINT('Origin',(1.135,0.04,2.)); #258558=CARTESIAN_POINT('',(1.365,0.06,2.)); #258559=CARTESIAN_POINT('',(0.625,0.06,2.)); #258560=CARTESIAN_POINT('',(1.385,0.04,2.)); #258561=CARTESIAN_POINT('Origin',(1.365,0.04,2.)); #258562=CARTESIAN_POINT('',(1.385,0.,2.)); #258563=CARTESIAN_POINT('',(0.615,0.01,2.)); #258564=CARTESIAN_POINT('',(0.5,0.01,2.)); #258565=CARTESIAN_POINT('',(0.615,0.04,2.)); #258566=CARTESIAN_POINT('',(0.615,0.,2.)); #258567=CARTESIAN_POINT('',(0.635,0.06,2.)); #258568=CARTESIAN_POINT('Origin',(0.635,0.04,2.)); #258569=CARTESIAN_POINT('',(0.865,0.06,2.)); #258570=CARTESIAN_POINT('',(0.375,0.06,2.)); #258571=CARTESIAN_POINT('Origin',(0.865,0.04,2.)); #258572=CARTESIAN_POINT('Origin',(0.5,0.01,1.99)); #258573=CARTESIAN_POINT('Origin',(0.885,0.01,1.99)); #258574=CARTESIAN_POINT('Origin',(1.115,0.01,1.99)); #258575=CARTESIAN_POINT('Origin',(1.125,0.,1.9)); #258576=CARTESIAN_POINT('',(1.125,0.015,1.635)); #258577=CARTESIAN_POINT('',(1.125,0.,1.635)); #258578=CARTESIAN_POINT('',(1.125,0.015,1.91)); #258579=CARTESIAN_POINT('',(1.125,0.015,1.83125)); #258580=CARTESIAN_POINT('',(1.125,0.04,1.91)); #258581=CARTESIAN_POINT('',(1.125,0.,1.91)); #258582=CARTESIAN_POINT('',(1.125,0.04,1.99)); #258583=CARTESIAN_POINT('',(1.125,0.04,1.925)); #258584=CARTESIAN_POINT('',(1.125,0.,1.99)); #258585=CARTESIAN_POINT('Origin',(1.3125,0.015,1.635)); #258586=CARTESIAN_POINT('',(1.135,0.025,1.635)); #258587=CARTESIAN_POINT('Origin',(1.135,0.015,1.635)); #258588=CARTESIAN_POINT('',(1.365,0.025,1.635)); #258589=CARTESIAN_POINT('Origin',(1.365,0.015,1.635)); #258590=CARTESIAN_POINT('',(1.3125,0.025,1.635)); #258591=CARTESIAN_POINT('Origin',(1.365,0.015,1.88125)); #258592=CARTESIAN_POINT('',(1.365,0.025,1.895)); #258593=CARTESIAN_POINT('Origin',(1.365,0.015,1.91)); #258594=CARTESIAN_POINT('',(1.365,0.025,1.88125)); #258595=CARTESIAN_POINT('Origin',(1.365,0.015,1.635)); #258596=CARTESIAN_POINT('Origin',(0.625,0.06,1.99)); #258597=CARTESIAN_POINT('',(1.365,0.05,1.99)); #258598=CARTESIAN_POINT('Origin',(1.365,0.06,1.99)); #258599=CARTESIAN_POINT('',(1.135,0.05,1.99)); #258600=CARTESIAN_POINT('Origin',(1.135,0.06,1.99)); #258601=CARTESIAN_POINT('',(0.625,0.05,1.99)); #258602=CARTESIAN_POINT('Origin',(1.385,0.,1.99)); #258603=CARTESIAN_POINT('Origin',(1.385,0.01,1.99)); #258604=CARTESIAN_POINT('Origin',(1.385,0.04,1.99)); #258605=CARTESIAN_POINT('Origin',(1.385,0.01,1.99)); #258606=CARTESIAN_POINT('Origin',(1.365,0.04,1.99)); #258607=CARTESIAN_POINT('Origin',(1.365,0.04,1.99)); #258608=CARTESIAN_POINT('Origin',(1.135,0.04,1.925)); #258609=CARTESIAN_POINT('',(1.135,0.05,1.905)); #258610=CARTESIAN_POINT('Origin',(1.135,0.04,1.91)); #258611=CARTESIAN_POINT('',(1.135,0.05,1.925)); #258612=CARTESIAN_POINT('Origin',(1.135,0.04,1.99)); #258613=CARTESIAN_POINT('Origin',(1.115,0.,1.99)); #258614=CARTESIAN_POINT('Origin',(1.115,0.01,1.99)); #258615=CARTESIAN_POINT('Origin',(1.115,0.04,1.99)); #258616=CARTESIAN_POINT('Origin',(1.115,0.01,1.99)); #258617=CARTESIAN_POINT('Origin',(1.135,0.04,1.99)); #258618=CARTESIAN_POINT('Origin',(1.365,0.,1.635)); #258619=CARTESIAN_POINT('Origin',(1.365,0.01,1.635)); #258620=CARTESIAN_POINT('Origin',(1.365,0.015,1.635)); #258621=CARTESIAN_POINT('Ctrl Pts',(1.385,0.,1.615)); #258622=CARTESIAN_POINT('Ctrl Pts',(1.37452802448803,-6.81224316017311E-18, 1.62023598775598)); #258623=CARTESIAN_POINT('Ctrl Pts',(1.365,0.004764012244017,1.625)); #258624=CARTESIAN_POINT('Ctrl Pts',(1.365,0.00999999999999999,1.625)); #258625=CARTESIAN_POINT('Ctrl Pts',(1.385,0.,1.615)); #258626=CARTESIAN_POINT('Ctrl Pts',(1.37552535548917,-6.81224316017311E-18, 1.62123331875712)); #258627=CARTESIAN_POINT('Ctrl Pts',(1.36799199300342,0.00635413726651635, 1.625)); #258628=CARTESIAN_POINT('Ctrl Pts',(1.36799199300342,0.00999999999999999, 1.625)); #258629=CARTESIAN_POINT('Ctrl Pts',(1.385,0.,1.615)); #258630=CARTESIAN_POINT('Ctrl Pts',(1.37727068474117,-6.81224316017311E-18, 1.62297864800912)); #258631=CARTESIAN_POINT('Ctrl Pts',(1.37317823075898,0.00772025723572309, 1.62750609830361)); #258632=CARTESIAN_POINT('Ctrl Pts',(1.37317823075898,0.01,1.62750609830361)); #258633=CARTESIAN_POINT('Ctrl Pts',(1.385,0.,1.615)); #258634=CARTESIAN_POINT('Ctrl Pts',(1.37901601399316,-6.81224316017299E-18, 1.62472397726111)); #258635=CARTESIAN_POINT('Ctrl Pts',(1.375,0.00594081722182027,1.63275600524744)); #258636=CARTESIAN_POINT('Ctrl Pts',(1.375,0.01,1.63275600524744)); #258637=CARTESIAN_POINT('Ctrl Pts',(1.385,0.,1.615)); #258638=CARTESIAN_POINT('Ctrl Pts',(1.37976401224402,-6.81224316017311E-18, 1.62547197551197)); #258639=CARTESIAN_POINT('Ctrl Pts',(1.375,0.00476401224401701,1.635)); #258640=CARTESIAN_POINT('Ctrl Pts',(1.375,0.01,1.635)); #258641=CARTESIAN_POINT('Origin',(1.365,0.015,1.635)); #258642=CARTESIAN_POINT('Origin',(1.135,0.,1.635)); #258643=CARTESIAN_POINT('Origin',(1.135,0.01,1.635)); #258644=CARTESIAN_POINT('Origin',(1.135,0.015,1.635)); #258645=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,1.615)); #258646=CARTESIAN_POINT('Ctrl Pts',(1.12023598775598,4.54149544011541E-18, 1.62547197551197)); #258647=CARTESIAN_POINT('Ctrl Pts',(1.125,0.00476401224401703,1.635)); #258648=CARTESIAN_POINT('Ctrl Pts',(1.125,0.01,1.635)); #258649=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,1.615)); #258650=CARTESIAN_POINT('Ctrl Pts',(1.12123331875712,4.5414954401154E-18, 1.62447464451083)); #258651=CARTESIAN_POINT('Ctrl Pts',(1.125,0.00635413726651637,1.63200800699658)); #258652=CARTESIAN_POINT('Ctrl Pts',(1.125,0.01,1.63200800699658)); #258653=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,1.615)); #258654=CARTESIAN_POINT('Ctrl Pts',(1.12297864800912,4.54149544011539E-18, 1.62272931525883)); #258655=CARTESIAN_POINT('Ctrl Pts',(1.12750596404786,0.0077202572357231, 1.62682160815306)); #258656=CARTESIAN_POINT('Ctrl Pts',(1.12750596404786,0.01,1.62682160815306)); #258657=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,1.615)); #258658=CARTESIAN_POINT('Ctrl Pts',(1.12472397726111,4.54149544011538E-18, 1.62098398600684)); #258659=CARTESIAN_POINT('Ctrl Pts',(1.13275600524744,0.00594081722182028, 1.625)); #258660=CARTESIAN_POINT('Ctrl Pts',(1.13275600524744,0.01,1.625)); #258661=CARTESIAN_POINT('Ctrl Pts',(1.115,0.,1.615)); #258662=CARTESIAN_POINT('Ctrl Pts',(1.12547197551197,4.54149544011541E-18, 1.62023598775598)); #258663=CARTESIAN_POINT('Ctrl Pts',(1.135,0.00476401224401701,1.625)); #258664=CARTESIAN_POINT('Ctrl Pts',(1.135,0.01,1.625)); #258665=CARTESIAN_POINT('Origin',(1.135,0.015,1.635)); #258666=CARTESIAN_POINT('Origin',(1.135,0.015,1.635)); #258667=CARTESIAN_POINT('Origin',(0.5,0.01,1.99)); #258668=CARTESIAN_POINT('Origin',(0.615,0.01,1.99)); #258669=CARTESIAN_POINT('Origin',(0.615,0.01,1.45)); #258670=CARTESIAN_POINT('',(0.625,0.01,1.635)); #258671=CARTESIAN_POINT('Origin',(0.615,0.01,1.615)); #258672=CARTESIAN_POINT('',(0.625,0.01,1.99)); #258673=CARTESIAN_POINT('',(0.625,0.01,1.45)); #258674=CARTESIAN_POINT('Origin',(0.615,0.01,1.99)); #258675=CARTESIAN_POINT('Origin',(0.625,0.,1.9)); #258676=CARTESIAN_POINT('',(0.625,0.015,1.635)); #258677=CARTESIAN_POINT('',(0.625,0.,1.635)); #258678=CARTESIAN_POINT('',(0.625,0.015,1.91)); #258679=CARTESIAN_POINT('',(0.625,0.015,1.83125)); #258680=CARTESIAN_POINT('',(0.625,0.04,1.91)); #258681=CARTESIAN_POINT('',(0.625,0.,1.91)); #258682=CARTESIAN_POINT('',(0.625,0.04,1.99)); #258683=CARTESIAN_POINT('',(0.625,0.04,1.925)); #258684=CARTESIAN_POINT('',(0.625,0.,1.99)); #258685=CARTESIAN_POINT('Origin',(0.9375,0.01,1.615)); #258686=CARTESIAN_POINT('',(0.865,0.01,1.625)); #258687=CARTESIAN_POINT('Origin',(0.885,0.01,1.615)); #258688=CARTESIAN_POINT('',(0.635,0.01,1.625)); #258689=CARTESIAN_POINT('',(0.9375,0.01,1.625)); #258690=CARTESIAN_POINT('Origin',(0.615,0.01,1.615)); #258691=CARTESIAN_POINT('Origin',(0.875,0.,1.625)); #258692=CARTESIAN_POINT('',(0.865,0.015,1.625)); #258693=CARTESIAN_POINT('',(0.865,0.,1.625)); #258694=CARTESIAN_POINT('',(0.635,0.015,1.625)); #258695=CARTESIAN_POINT('',(0.8125,0.015,1.625)); #258696=CARTESIAN_POINT('',(0.635,0.,1.625)); #258697=CARTESIAN_POINT('Origin',(0.865,0.015,1.88125)); #258698=CARTESIAN_POINT('',(0.865,0.025,1.895)); #258699=CARTESIAN_POINT('Origin',(0.865,0.015,1.91)); #258700=CARTESIAN_POINT('',(0.865,0.025,1.635)); #258701=CARTESIAN_POINT('',(0.865,0.025,1.88125)); #258702=CARTESIAN_POINT('Origin',(0.865,0.015,1.635)); #258703=CARTESIAN_POINT('Origin',(0.375,0.06,1.99)); #258704=CARTESIAN_POINT('',(0.865,0.05,1.99)); #258705=CARTESIAN_POINT('Origin',(0.865,0.06,1.99)); #258706=CARTESIAN_POINT('',(0.635,0.05,1.99)); #258707=CARTESIAN_POINT('Origin',(0.635,0.06,1.99)); #258708=CARTESIAN_POINT('',(0.375,0.05,1.99)); #258709=CARTESIAN_POINT('Origin',(0.635,0.04,1.925)); #258710=CARTESIAN_POINT('',(0.635,0.05,1.905)); #258711=CARTESIAN_POINT('Origin',(0.635,0.04,1.91)); #258712=CARTESIAN_POINT('',(0.635,0.05,1.925)); #258713=CARTESIAN_POINT('Origin',(0.635,0.04,1.99)); #258714=CARTESIAN_POINT('Origin',(0.615,0.,1.99)); #258715=CARTESIAN_POINT('Origin',(0.615,0.01,1.99)); #258716=CARTESIAN_POINT('Origin',(0.615,0.04,1.99)); #258717=CARTESIAN_POINT('Origin',(0.615,0.01,1.99)); #258718=CARTESIAN_POINT('Origin',(0.635,0.04,1.99)); #258719=CARTESIAN_POINT('Origin',(0.885,0.01,1.99)); #258720=CARTESIAN_POINT('Origin',(0.885,0.01,1.99)); #258721=CARTESIAN_POINT('Origin',(0.885,0.,1.99)); #258722=CARTESIAN_POINT('Origin',(0.885,0.04,1.99)); #258723=CARTESIAN_POINT('Origin',(0.865,0.04,1.99)); #258724=CARTESIAN_POINT('Origin',(0.865,0.04,1.99)); #258725=CARTESIAN_POINT('Origin',(0.8125,0.015,1.635)); #258726=CARTESIAN_POINT('',(0.635,0.025,1.635)); #258727=CARTESIAN_POINT('Origin',(0.635,0.015,1.635)); #258728=CARTESIAN_POINT('Origin',(0.865,0.015,1.635)); #258729=CARTESIAN_POINT('',(0.8125,0.025,1.635)); #258730=CARTESIAN_POINT('Origin',(0.635,0.,1.635)); #258731=CARTESIAN_POINT('Origin',(0.635,0.01,1.635)); #258732=CARTESIAN_POINT('Origin',(0.635,0.015,1.635)); #258733=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,1.615)); #258734=CARTESIAN_POINT('Ctrl Pts',(0.620235987755983,4.54149544011541E-18, 1.62547197551197)); #258735=CARTESIAN_POINT('Ctrl Pts',(0.625,0.00476401224401701,1.635)); #258736=CARTESIAN_POINT('Ctrl Pts',(0.625,0.01,1.635)); #258737=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,1.615)); #258738=CARTESIAN_POINT('Ctrl Pts',(0.621233318757122,4.54149544011553E-18, 1.62447464451083)); #258739=CARTESIAN_POINT('Ctrl Pts',(0.625,0.00635413726651635,1.63200800699658)); #258740=CARTESIAN_POINT('Ctrl Pts',(0.625,0.01,1.63200800699658)); #258741=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,1.615)); #258742=CARTESIAN_POINT('Ctrl Pts',(0.622978648009117,4.54149544011473E-18, 1.62272931525883)); #258743=CARTESIAN_POINT('Ctrl Pts',(0.627505680461075,0.00772025723572305, 1.62682126788891)); #258744=CARTESIAN_POINT('Ctrl Pts',(0.627505680461075,0.01,1.62682126788891)); #258745=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,1.615)); #258746=CARTESIAN_POINT('Ctrl Pts',(0.624723977261111,4.54149544011653E-18, 1.62098398600684)); #258747=CARTESIAN_POINT('Ctrl Pts',(0.632756005247436,0.00594081722182024, 1.625)); #258748=CARTESIAN_POINT('Ctrl Pts',(0.632756005247436,0.01,1.625)); #258749=CARTESIAN_POINT('Ctrl Pts',(0.615,0.,1.615)); #258750=CARTESIAN_POINT('Ctrl Pts',(0.625471975511966,4.54149544011541E-18, 1.62023598775598)); #258751=CARTESIAN_POINT('Ctrl Pts',(0.635,0.00476401224401698,1.625)); #258752=CARTESIAN_POINT('Ctrl Pts',(0.635,0.01,1.625)); #258753=CARTESIAN_POINT('Origin',(0.635,0.015,1.635)); #258754=CARTESIAN_POINT('Origin',(0.635,0.015,1.635)); #258755=CARTESIAN_POINT('Origin',(0.865,0.,1.635)); #258756=CARTESIAN_POINT('Origin',(0.865,0.01,1.635)); #258757=CARTESIAN_POINT('Origin',(0.865,0.015,1.635)); #258758=CARTESIAN_POINT('Ctrl Pts',(0.885,0.,1.615)); #258759=CARTESIAN_POINT('Ctrl Pts',(0.874528024488034,1.70306079004328E-18, 1.62023598775598)); #258760=CARTESIAN_POINT('Ctrl Pts',(0.865,0.00476401224401702,1.625)); #258761=CARTESIAN_POINT('Ctrl Pts',(0.865,0.01,1.625)); #258762=CARTESIAN_POINT('Ctrl Pts',(0.885,0.,1.615)); #258763=CARTESIAN_POINT('Ctrl Pts',(0.875525355489174,1.70306079004314E-18, 1.62123331875712)); #258764=CARTESIAN_POINT('Ctrl Pts',(0.867991993003419,0.00635413726651636, 1.625)); #258765=CARTESIAN_POINT('Ctrl Pts',(0.867991993003419,0.01,1.625)); #258766=CARTESIAN_POINT('Ctrl Pts',(0.885,0.,1.615)); #258767=CARTESIAN_POINT('Ctrl Pts',(0.877270684741168,1.70306079004353E-18, 1.62297864800912)); #258768=CARTESIAN_POINT('Ctrl Pts',(0.87317855885355,0.00772025723572309, 1.62750582485933)); #258769=CARTESIAN_POINT('Ctrl Pts',(0.87317855885355,0.01,1.62750582485933)); #258770=CARTESIAN_POINT('Ctrl Pts',(0.885,0.,1.615)); #258771=CARTESIAN_POINT('Ctrl Pts',(0.879016013993162,1.70306079004283E-18, 1.62472397726111)); #258772=CARTESIAN_POINT('Ctrl Pts',(0.875,0.00594081722182027,1.63275600524744)); #258773=CARTESIAN_POINT('Ctrl Pts',(0.875,0.01,1.63275600524744)); #258774=CARTESIAN_POINT('Ctrl Pts',(0.885,0.,1.615)); #258775=CARTESIAN_POINT('Ctrl Pts',(0.879764012244017,1.70306079004328E-18, 1.62547197551197)); #258776=CARTESIAN_POINT('Ctrl Pts',(0.875,0.00476401224401702,1.635)); #258777=CARTESIAN_POINT('Ctrl Pts',(0.875,0.01,1.635)); #258778=CARTESIAN_POINT('Origin',(0.865,0.015,1.635)); #258779=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.5)); #258780=CARTESIAN_POINT('',(7.78430227938764E-17,0.01,1.635)); #258781=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.635)); #258782=CARTESIAN_POINT('',(2.16840434497101E-16,0.01,0.5)); #258783=CARTESIAN_POINT('Origin',(2.77555756156289E-16,0.,2.77555756156289E-16)); #258784=CARTESIAN_POINT('',(1.39075362751244E-16,0.01,1.135)); #258785=CARTESIAN_POINT('',(1.38777878078145E-16,0.04,1.135)); #258786=CARTESIAN_POINT('',(1.38777878078145E-16,0.,1.135)); #258787=CARTESIAN_POINT('',(1.10908486370855E-16,0.01,1.365)); #258788=CARTESIAN_POINT('',(2.16840434497101E-16,0.01,0.5)); #258789=CARTESIAN_POINT('',(1.10588621593521E-16,0.04,1.365)); #258790=CARTESIAN_POINT('',(1.10588621593521E-16,0.,1.365)); #258791=CARTESIAN_POINT('',(1.0871770192165E-16,0.06,1.385)); #258792=CARTESIAN_POINT('Origin',(1.08139327995227E-16,0.04,1.385)); #258793=CARTESIAN_POINT('',(8.05508255412607E-17,0.06,1.615)); #258794=CARTESIAN_POINT('',(1.86482773667507E-16,0.06,0.75)); #258795=CARTESIAN_POINT('',(7.80625564189563E-17,0.04,1.635)); #258796=CARTESIAN_POINT('Origin',(8.05508255412607E-17,0.04,1.615)); #258797=CARTESIAN_POINT('',(7.80625564189563E-17,0.,1.635)); #258798=CARTESIAN_POINT('',(2.3337316628559E-16,0.01,0.365)); #258799=CARTESIAN_POINT('',(2.16840434497101E-16,0.01,0.5)); #258800=CARTESIAN_POINT('',(2.32019264911898E-16,0.04,0.365)); #258801=CARTESIAN_POINT('',(2.32019264911898E-16,0.,0.365)); #258802=CARTESIAN_POINT('',(2.30665363538206E-16,0.06,0.385)); #258803=CARTESIAN_POINT('Origin',(2.29569971313603E-16,0.04,0.385)); #258804=CARTESIAN_POINT('',(2.02498487157817E-16,0.06,0.615000000000001)); #258805=CARTESIAN_POINT('',(2.47198095326695E-16,0.06,0.25)); #258806=CARTESIAN_POINT('',(1.99493199737333E-16,0.04,0.635000000000001)); #258807=CARTESIAN_POINT('Origin',(2.02498487157817E-16,0.04,0.615000000000001)); #258808=CARTESIAN_POINT('',(2.00307702708612E-16,0.01,0.635000000000001)); #258809=CARTESIAN_POINT('',(1.99493199737333E-16,0.,0.635000000000001)); #258810=CARTESIAN_POINT('',(1.72140826328222E-16,0.01,0.865)); #258811=CARTESIAN_POINT('',(2.16840434497101E-16,0.01,0.5)); #258812=CARTESIAN_POINT('',(1.7130394325271E-16,0.04,0.865)); #258813=CARTESIAN_POINT('',(1.7130394325271E-16,0.,0.865)); #258814=CARTESIAN_POINT('',(1.69691532729928E-16,0.06,0.885)); #258815=CARTESIAN_POINT('Origin',(1.68854649654415E-16,0.04,0.885)); #258816=CARTESIAN_POINT('',(1.41524656349539E-16,0.06,1.115)); #258817=CARTESIAN_POINT('',(2.16840434497101E-16,0.06,0.5)); #258818=CARTESIAN_POINT('Origin',(1.41524656349539E-16,0.04,1.115)); #258819=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.5)); #258820=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.135)); #258821=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.365)); #258822=CARTESIAN_POINT('Origin',(0.0999999999999995,0.,1.375)); #258823=CARTESIAN_POINT('',(0.364999999999999,0.015,1.375)); #258824=CARTESIAN_POINT('',(0.364999999999999,0.,1.375)); #258825=CARTESIAN_POINT('',(0.0899999999999996,0.015,1.375)); #258826=CARTESIAN_POINT('',(0.168749999999999,0.015,1.375)); #258827=CARTESIAN_POINT('',(0.0899999999999996,0.04,1.375)); #258828=CARTESIAN_POINT('',(0.0899999999999996,0.,1.375)); #258829=CARTESIAN_POINT('',(0.0100000000000001,0.04,1.375)); #258830=CARTESIAN_POINT('',(0.0749999999999997,0.04,1.375)); #258831=CARTESIAN_POINT('',(0.0100000000000001,0.,1.375)); #258832=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.5625)); #258833=CARTESIAN_POINT('',(0.364999999999999,0.025,1.385)); #258834=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.385)); #258835=CARTESIAN_POINT('',(0.364999999999999,0.025,1.615)); #258836=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.615)); #258837=CARTESIAN_POINT('',(0.364999999999999,0.025,1.5625)); #258838=CARTESIAN_POINT('Origin',(0.11875,0.015,1.615)); #258839=CARTESIAN_POINT('',(0.105,0.025,1.615)); #258840=CARTESIAN_POINT('Origin',(0.0899999999999995,0.015,1.615)); #258841=CARTESIAN_POINT('',(0.11875,0.025,1.615)); #258842=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.615)); #258843=CARTESIAN_POINT('Origin',(0.0100000000000002,0.06,0.75)); #258844=CARTESIAN_POINT('',(0.0100000000000001,0.05,1.615)); #258845=CARTESIAN_POINT('Origin',(0.0100000000000001,0.06,1.615)); #258846=CARTESIAN_POINT('',(0.0100000000000001,0.05,1.385)); #258847=CARTESIAN_POINT('Origin',(0.0100000000000001,0.06,1.385)); #258848=CARTESIAN_POINT('',(0.0100000000000002,0.05,0.75)); #258849=CARTESIAN_POINT('Origin',(0.0100000000000001,0.,1.635)); #258850=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.635)); #258851=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.635)); #258852=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.635)); #258853=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.615)); #258854=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.615)); #258855=CARTESIAN_POINT('Origin',(0.0749999999999997,0.04,1.385)); #258856=CARTESIAN_POINT('',(0.0949999999999995,0.05,1.385)); #258857=CARTESIAN_POINT('Origin',(0.0899999999999996,0.04,1.385)); #258858=CARTESIAN_POINT('',(0.0749999999999997,0.05,1.385)); #258859=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.385)); #258860=CARTESIAN_POINT('Origin',(0.0100000000000001,0.,1.365)); #258861=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.365)); #258862=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.365)); #258863=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.365)); #258864=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.385)); #258865=CARTESIAN_POINT('Origin',(0.364999999999999,0.,1.615)); #258866=CARTESIAN_POINT('Origin',(0.364999999999999,0.01,1.615)); #258867=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.615)); #258868=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.635)); #258869=CARTESIAN_POINT('Ctrl Pts',(0.379764012244016,2.55459118506492E-17, 1.62452802448803)); #258870=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.004764012244017, 1.615)); #258871=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.00999999999999999, 1.615)); #258872=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.635)); #258873=CARTESIAN_POINT('Ctrl Pts',(0.378766681242876,1.86255378466659E-17, 1.62552535548917)); #258874=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.00635413726651634, 1.61799199300342)); #258875=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.00999999999999998, 1.61799199300342)); #258876=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.635)); #258877=CARTESIAN_POINT('Ctrl Pts',(0.377021351990882,6.51488333968042E-18, 1.62727068474117)); #258878=CARTESIAN_POINT('Ctrl Pts',(0.372494469444974,0.00772025723572306, 1.62317891197721)); #258879=CARTESIAN_POINT('Ctrl Pts',(0.372494469444974,0.00999999999999999, 1.62317891197721)); #258880=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.635)); #258881=CARTESIAN_POINT('Ctrl Pts',(0.375276022738888,-5.59577116726677E-18, 1.62901601399316)); #258882=CARTESIAN_POINT('Ctrl Pts',(0.367243994752563,0.00594081722182026, 1.625)); #258883=CARTESIAN_POINT('Ctrl Pts',(0.367243994752563,0.01,1.625)); #258884=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.635)); #258885=CARTESIAN_POINT('Ctrl Pts',(0.374528024488033,-1.0786051670274E-17, 1.62976401224402)); #258886=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.004764012244017, 1.625)); #258887=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.01,1.625)); #258888=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.615)); #258889=CARTESIAN_POINT('Origin',(0.364999999999999,0.,1.385)); #258890=CARTESIAN_POINT('Origin',(0.364999999999999,0.01,1.385)); #258891=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.385)); #258892=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.365)); #258893=CARTESIAN_POINT('Ctrl Pts',(0.374528024488033,4.54149544011541E-18, 1.37023598775598)); #258894=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.00476401224401703, 1.375)); #258895=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.01,1.375)); #258896=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.365)); #258897=CARTESIAN_POINT('Ctrl Pts',(0.375525355489173,4.541495440115E-18, 1.37123331875712)); #258898=CARTESIAN_POINT('Ctrl Pts',(0.367991993003418,0.00635413726651637, 1.375)); #258899=CARTESIAN_POINT('Ctrl Pts',(0.367991993003418,0.01,1.375)); #258900=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.365)); #258901=CARTESIAN_POINT('Ctrl Pts',(0.377270684741167,4.54149544011605E-18, 1.37297864800912)); #258902=CARTESIAN_POINT('Ctrl Pts',(0.373179098837368,0.0077202572357231, 1.37750537481992)); #258903=CARTESIAN_POINT('Ctrl Pts',(0.373179098837368,0.01,1.37750537481992)); #258904=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.365)); #258905=CARTESIAN_POINT('Ctrl Pts',(0.379016013993162,4.54149544011394E-18, 1.37472397726111)); #258906=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.00594081722182028, 1.38275600524744)); #258907=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.01,1.38275600524744)); #258908=CARTESIAN_POINT('Ctrl Pts',(0.384999999999999,0.,1.365)); #258909=CARTESIAN_POINT('Ctrl Pts',(0.379764012244016,4.54149544011541E-18, 1.37547197551197)); #258910=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.00476401224401701, 1.385)); #258911=CARTESIAN_POINT('Ctrl Pts',(0.374999999999999,0.01,1.385)); #258912=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.385)); #258913=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.385)); #258914=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.5)); #258915=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.365)); #258916=CARTESIAN_POINT('Origin',(0.55,0.01,0.365)); #258917=CARTESIAN_POINT('',(0.365,0.01,0.375)); #258918=CARTESIAN_POINT('Origin',(0.385,0.01,0.365)); #258919=CARTESIAN_POINT('',(0.0100000000000002,0.01,0.375)); #258920=CARTESIAN_POINT('',(0.55,0.01,0.375)); #258921=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.365)); #258922=CARTESIAN_POINT('Origin',(0.0999999999999998,0.,0.375)); #258923=CARTESIAN_POINT('',(0.365,0.015,0.375)); #258924=CARTESIAN_POINT('',(0.365,0.,0.375)); #258925=CARTESIAN_POINT('',(0.0899999999999998,0.015,0.375)); #258926=CARTESIAN_POINT('',(0.16875,0.015,0.375)); #258927=CARTESIAN_POINT('',(0.0899999999999998,0.04,0.375)); #258928=CARTESIAN_POINT('',(0.0899999999999998,0.,0.375)); #258929=CARTESIAN_POINT('',(0.0100000000000002,0.04,0.375)); #258930=CARTESIAN_POINT('',(0.0749999999999999,0.04,0.375)); #258931=CARTESIAN_POINT('',(0.0100000000000002,0.,0.375)); #258932=CARTESIAN_POINT('Origin',(0.385,0.01,0.8125)); #258933=CARTESIAN_POINT('',(0.375,0.01,0.615000000000001)); #258934=CARTESIAN_POINT('Origin',(0.385,0.01,0.635000000000001)); #258935=CARTESIAN_POINT('',(0.375,0.01,0.385)); #258936=CARTESIAN_POINT('',(0.375,0.01,0.8125)); #258937=CARTESIAN_POINT('Origin',(0.385,0.01,0.365)); #258938=CARTESIAN_POINT('Origin',(0.375,0.,0.625000000000001)); #258939=CARTESIAN_POINT('',(0.375,0.015,0.615000000000001)); #258940=CARTESIAN_POINT('',(0.375,0.,0.615000000000001)); #258941=CARTESIAN_POINT('',(0.375,0.015,0.385)); #258942=CARTESIAN_POINT('',(0.375,0.015,0.5625)); #258943=CARTESIAN_POINT('',(0.375,0.,0.385)); #258944=CARTESIAN_POINT('Origin',(0.11875,0.015,0.615000000000001)); #258945=CARTESIAN_POINT('',(0.105,0.025,0.615000000000001)); #258946=CARTESIAN_POINT('Origin',(0.0899999999999998,0.015,0.615000000000001)); #258947=CARTESIAN_POINT('',(0.365,0.025,0.615000000000001)); #258948=CARTESIAN_POINT('',(0.11875,0.025,0.615000000000001)); #258949=CARTESIAN_POINT('Origin',(0.365,0.015,0.615000000000001)); #258950=CARTESIAN_POINT('Origin',(0.0100000000000002,0.06,0.25)); #258951=CARTESIAN_POINT('',(0.0100000000000002,0.05,0.615000000000001)); #258952=CARTESIAN_POINT('Origin',(0.0100000000000002,0.06,0.615000000000001)); #258953=CARTESIAN_POINT('',(0.0100000000000002,0.05,0.385)); #258954=CARTESIAN_POINT('Origin',(0.0100000000000002,0.06,0.385)); #258955=CARTESIAN_POINT('',(0.0100000000000002,0.05,0.25)); #258956=CARTESIAN_POINT('Origin',(0.0749999999999999,0.04,0.385)); #258957=CARTESIAN_POINT('',(0.0949999999999998,0.05,0.385)); #258958=CARTESIAN_POINT('Origin',(0.0899999999999998,0.04,0.385)); #258959=CARTESIAN_POINT('',(0.0749999999999999,0.05,0.385)); #258960=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.385)); #258961=CARTESIAN_POINT('Origin',(0.0100000000000002,0.,0.365)); #258962=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.365)); #258963=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.365)); #258964=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.365)); #258965=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.385)); #258966=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.635000000000001)); #258967=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.635000000000001)); #258968=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.635000000000001)); #258969=CARTESIAN_POINT('Origin',(0.0100000000000002,0.,0.635000000000001)); #258970=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.635000000000001)); #258971=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.615000000000001)); #258972=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.615000000000001)); #258973=CARTESIAN_POINT('Origin',(0.365,0.015,0.5625)); #258974=CARTESIAN_POINT('',(0.365,0.025,0.385)); #258975=CARTESIAN_POINT('Origin',(0.365,0.015,0.385)); #258976=CARTESIAN_POINT('Origin',(0.365,0.015,0.615000000000001)); #258977=CARTESIAN_POINT('',(0.365,0.025,0.5625)); #258978=CARTESIAN_POINT('Origin',(0.365,0.,0.385)); #258979=CARTESIAN_POINT('Origin',(0.365,0.01,0.385)); #258980=CARTESIAN_POINT('Origin',(0.365,0.015,0.385)); #258981=CARTESIAN_POINT('Ctrl Pts',(0.385,1.40019146300619E-32,0.365)); #258982=CARTESIAN_POINT('Ctrl Pts',(0.374528024488034,4.5414954401154E-18, 0.370235987755983)); #258983=CARTESIAN_POINT('Ctrl Pts',(0.365,0.00476401224401704,0.375)); #258984=CARTESIAN_POINT('Ctrl Pts',(0.365,0.01,0.375)); #258985=CARTESIAN_POINT('Ctrl Pts',(0.385,1.40019146300619E-32,0.365)); #258986=CARTESIAN_POINT('Ctrl Pts',(0.375525355489174,4.5414954401151E-18, 0.371233318757122)); #258987=CARTESIAN_POINT('Ctrl Pts',(0.367991993003419,0.00635413726651638, 0.375)); #258988=CARTESIAN_POINT('Ctrl Pts',(0.367991993003419,0.01,0.375)); #258989=CARTESIAN_POINT('Ctrl Pts',(0.385,1.40019146300619E-32,0.365)); #258990=CARTESIAN_POINT('Ctrl Pts',(0.377270684741168,4.54149544011536E-18, 0.372978648009117)); #258991=CARTESIAN_POINT('Ctrl Pts',(0.373179925065231,0.00772025723572311, 0.377504686215778)); #258992=CARTESIAN_POINT('Ctrl Pts',(0.373179925065231,0.01,0.377504686215778)); #258993=CARTESIAN_POINT('Ctrl Pts',(0.385,1.40019146300619E-32,0.365)); #258994=CARTESIAN_POINT('Ctrl Pts',(0.379016013993162,4.54149544011532E-18, 0.374723977261111)); #258995=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00594081722182028,0.382756005247436)); #258996=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,0.382756005247436)); #258997=CARTESIAN_POINT('Ctrl Pts',(0.385,1.40019146300619E-32,0.365)); #258998=CARTESIAN_POINT('Ctrl Pts',(0.379764012244017,4.54149544011528E-18, 0.375471975511966)); #258999=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00476401224401703,0.385)); #259000=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,0.385)); #259001=CARTESIAN_POINT('Origin',(0.365,0.015,0.385)); #259002=CARTESIAN_POINT('Origin',(0.365,0.015,0.385)); #259003=CARTESIAN_POINT('Origin',(0.365,0.,0.615000000000001)); #259004=CARTESIAN_POINT('Origin',(0.365,0.01,0.615000000000001)); #259005=CARTESIAN_POINT('Origin',(0.365,0.015,0.615000000000001)); #259006=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.635000000000001)); #259007=CARTESIAN_POINT('Ctrl Pts',(0.379764012244017,5.67686930014426E-18, 0.624528024488035)); #259008=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00476401224401703,0.615000000000001)); #259009=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,0.615000000000001)); #259010=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.635000000000001)); #259011=CARTESIAN_POINT('Ctrl Pts',(0.378766681242877,5.67686930014464E-18, 0.625525355489174)); #259012=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00635413726651637,0.617991993003419)); #259013=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,0.617991993003419)); #259014=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.635000000000001)); #259015=CARTESIAN_POINT('Ctrl Pts',(0.377021351990883,5.67686930014466E-18, 0.627270684741168)); #259016=CARTESIAN_POINT('Ctrl Pts',(0.372495130970185,0.0077202572357231, 0.623179705714169)); #259017=CARTESIAN_POINT('Ctrl Pts',(0.372495130970185,0.01,0.623179705714169)); #259018=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.635000000000001)); #259019=CARTESIAN_POINT('Ctrl Pts',(0.375276022738888,5.67686930014464E-18, 0.629016013993163)); #259020=CARTESIAN_POINT('Ctrl Pts',(0.367243994752564,0.00594081722182028, 0.625000000000001)); #259021=CARTESIAN_POINT('Ctrl Pts',(0.367243994752564,0.01,0.625000000000001)); #259022=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.635000000000001)); #259023=CARTESIAN_POINT('Ctrl Pts',(0.374528024488034,5.67686930014426E-18, 0.629764012244018)); #259024=CARTESIAN_POINT('Ctrl Pts',(0.365,0.00476401224401701,0.625000000000001)); #259025=CARTESIAN_POINT('Ctrl Pts',(0.365,0.01,0.625000000000001)); #259026=CARTESIAN_POINT('Origin',(0.365,0.015,0.615000000000001)); #259027=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.5)); #259028=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.865)); #259029=CARTESIAN_POINT('Origin',(0.55,0.01,0.865)); #259030=CARTESIAN_POINT('',(0.365,0.01,0.875)); #259031=CARTESIAN_POINT('Origin',(0.385,0.01,0.865)); #259032=CARTESIAN_POINT('',(0.0100000000000001,0.01,0.875)); #259033=CARTESIAN_POINT('',(0.55,0.01,0.875)); #259034=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,0.865)); #259035=CARTESIAN_POINT('Origin',(0.0999999999999995,0.,0.875)); #259036=CARTESIAN_POINT('',(0.364999999999999,0.015,0.875)); #259037=CARTESIAN_POINT('',(0.364999999999999,0.,0.875)); #259038=CARTESIAN_POINT('',(0.0899999999999996,0.015,0.875)); #259039=CARTESIAN_POINT('',(0.168749999999999,0.015,0.875)); #259040=CARTESIAN_POINT('',(0.0899999999999996,0.04,0.875)); #259041=CARTESIAN_POINT('',(0.0899999999999996,0.,0.875)); #259042=CARTESIAN_POINT('',(0.0100000000000002,0.04,0.875)); #259043=CARTESIAN_POINT('',(0.0749999999999997,0.04,0.875)); #259044=CARTESIAN_POINT('',(0.0100000000000002,0.,0.875)); #259045=CARTESIAN_POINT('Origin',(0.385,0.01,1.0625)); #259046=CARTESIAN_POINT('',(0.375,0.01,1.115)); #259047=CARTESIAN_POINT('Origin',(0.385,0.01,1.135)); #259048=CARTESIAN_POINT('',(0.375,0.01,0.885)); #259049=CARTESIAN_POINT('',(0.375,0.01,1.0625)); #259050=CARTESIAN_POINT('Origin',(0.385,0.01,0.865)); #259051=CARTESIAN_POINT('Origin',(0.375,0.,1.125)); #259052=CARTESIAN_POINT('',(0.375,0.015,1.115)); #259053=CARTESIAN_POINT('',(0.375,0.,1.115)); #259054=CARTESIAN_POINT('',(0.375,0.015,0.885)); #259055=CARTESIAN_POINT('',(0.375,0.015,1.0625)); #259056=CARTESIAN_POINT('',(0.375,0.,0.885)); #259057=CARTESIAN_POINT('Origin',(0.11875,0.015,1.115)); #259058=CARTESIAN_POINT('',(0.105,0.025,1.115)); #259059=CARTESIAN_POINT('Origin',(0.0899999999999995,0.015,1.115)); #259060=CARTESIAN_POINT('',(0.364999999999999,0.025,1.115)); #259061=CARTESIAN_POINT('',(0.11875,0.025,1.115)); #259062=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.115)); #259063=CARTESIAN_POINT('Origin',(0.0100000000000002,0.06,0.5)); #259064=CARTESIAN_POINT('',(0.0100000000000001,0.05,1.115)); #259065=CARTESIAN_POINT('Origin',(0.0100000000000001,0.06,1.115)); #259066=CARTESIAN_POINT('',(0.0100000000000002,0.05,0.885)); #259067=CARTESIAN_POINT('Origin',(0.0100000000000002,0.06,0.885)); #259068=CARTESIAN_POINT('',(0.0100000000000002,0.05,0.5)); #259069=CARTESIAN_POINT('Origin',(0.0749999999999997,0.04,0.885)); #259070=CARTESIAN_POINT('',(0.0949999999999995,0.05,0.885)); #259071=CARTESIAN_POINT('Origin',(0.0899999999999996,0.04,0.885)); #259072=CARTESIAN_POINT('',(0.0749999999999997,0.05,0.885)); #259073=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.885)); #259074=CARTESIAN_POINT('Origin',(0.0100000000000002,0.,0.865)); #259075=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.865)); #259076=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.865)); #259077=CARTESIAN_POINT('Origin',(0.0100000000000002,0.01,0.865)); #259078=CARTESIAN_POINT('Origin',(0.0100000000000002,0.04,0.885)); #259079=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.135)); #259080=CARTESIAN_POINT('Origin',(0.0100000000000001,0.01,1.135)); #259081=CARTESIAN_POINT('Origin',(0.0100000000000001,0.,1.135)); #259082=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.135)); #259083=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.115)); #259084=CARTESIAN_POINT('Origin',(0.0100000000000001,0.04,1.115)); #259085=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.0625)); #259086=CARTESIAN_POINT('',(0.364999999999999,0.025,0.885)); #259087=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,0.885)); #259088=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.115)); #259089=CARTESIAN_POINT('',(0.364999999999999,0.025,1.0625)); #259090=CARTESIAN_POINT('Origin',(0.364999999999999,0.,0.885)); #259091=CARTESIAN_POINT('Origin',(0.364999999999999,0.01,0.885)); #259092=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,0.885)); #259093=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.865)); #259094=CARTESIAN_POINT('Ctrl Pts',(0.374528024488034,4.54149544011541E-18, 0.870235987755983)); #259095=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.00476401224401703, 0.875)); #259096=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.01,0.875)); #259097=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.865)); #259098=CARTESIAN_POINT('Ctrl Pts',(0.375525355489173,4.54149544011567E-18, 0.871233318757123)); #259099=CARTESIAN_POINT('Ctrl Pts',(0.367991993003418,0.00635413726651637, 0.875)); #259100=CARTESIAN_POINT('Ctrl Pts',(0.367991993003418,0.01,0.875)); #259101=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.865)); #259102=CARTESIAN_POINT('Ctrl Pts',(0.377270684741167,4.54149544011583E-18, 0.872978648009118)); #259103=CARTESIAN_POINT('Ctrl Pts',(0.373179495237585,0.0077202572357231, 0.877505044447584)); #259104=CARTESIAN_POINT('Ctrl Pts',(0.373179495237585,0.01,0.877505044447584)); #259105=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.865)); #259106=CARTESIAN_POINT('Ctrl Pts',(0.379016013993162,4.54149544011547E-18, 0.874723977261112)); #259107=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00594081722182028,0.882756005247436)); #259108=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,0.882756005247436)); #259109=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,0.865)); #259110=CARTESIAN_POINT('Ctrl Pts',(0.379764012244017,4.54149544011541E-18, 0.875471975511967)); #259111=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00476401224401701,0.885)); #259112=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,0.885)); #259113=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,0.885)); #259114=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,0.885)); #259115=CARTESIAN_POINT('Origin',(0.364999999999999,0.,1.115)); #259116=CARTESIAN_POINT('Origin',(0.364999999999999,0.01,1.115)); #259117=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.115)); #259118=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,1.135)); #259119=CARTESIAN_POINT('Ctrl Pts',(0.379764012244017,-2.2707477200577E-18, 1.12452802448803)); #259120=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00476401224401701,1.115)); #259121=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,1.115)); #259122=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,1.135)); #259123=CARTESIAN_POINT('Ctrl Pts',(0.378766681242877,-2.27074772005788E-18, 1.12552535548917)); #259124=CARTESIAN_POINT('Ctrl Pts',(0.375,0.00635413726651636,1.11799199300342)); #259125=CARTESIAN_POINT('Ctrl Pts',(0.375,0.01,1.11799199300342)); #259126=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,1.135)); #259127=CARTESIAN_POINT('Ctrl Pts',(0.377021351990883,-2.27074772005729E-18, 1.12727068474117)); #259128=CARTESIAN_POINT('Ctrl Pts',(0.37249478709096,0.00772025723572309, 1.1231792931076)); #259129=CARTESIAN_POINT('Ctrl Pts',(0.37249478709096,0.01,1.1231792931076)); #259130=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,1.135)); #259131=CARTESIAN_POINT('Ctrl Pts',(0.375276022738888,-2.2707477200583E-18, 1.12901601399316)); #259132=CARTESIAN_POINT('Ctrl Pts',(0.367243994752564,0.00594081722182027, 1.125)); #259133=CARTESIAN_POINT('Ctrl Pts',(0.367243994752564,0.01,1.125)); #259134=CARTESIAN_POINT('Ctrl Pts',(0.385,0.,1.135)); #259135=CARTESIAN_POINT('Ctrl Pts',(0.374528024488033,-2.2707477200577E-18, 1.12976401224402)); #259136=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.00476401224401701, 1.125)); #259137=CARTESIAN_POINT('Ctrl Pts',(0.364999999999999,0.01,1.125)); #259138=CARTESIAN_POINT('Origin',(0.364999999999999,0.015,1.115)); #259139=CARTESIAN_POINT('Origin',(0.0899999999999995,0.,1.115)); #259140=CARTESIAN_POINT('Origin',(0.0899999999999995,0.015,1.115)); #259141=CARTESIAN_POINT('Origin',(0.0899999999999995,0.04,1.115)); #259142=CARTESIAN_POINT('Origin',(0.0249999999999999,0.04,1.115)); #259143=CARTESIAN_POINT('',(0.0949999999999995,0.05,1.115)); #259144=CARTESIAN_POINT('Origin',(0.0899999999999995,0.04,1.115)); #259145=CARTESIAN_POINT('',(0.0249999999999999,0.05,1.115)); #259146=CARTESIAN_POINT('Origin',(0.0499999999999998,0.05,1.)); #259147=CARTESIAN_POINT('',(0.0949999999999995,0.05,1.0625)); #259148=CARTESIAN_POINT('Ctrl Pts',(0.0999972789747723,0.0448853627319176, 0.88514335688585)); #259149=CARTESIAN_POINT('Ctrl Pts',(0.100085683853875,0.044928510445094, 0.879916905823332)); #259150=CARTESIAN_POINT('Ctrl Pts',(0.0953226483743903,0.0426038465579737, 0.875)); #259151=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,0.875)); #259152=CARTESIAN_POINT('Ctrl Pts',(0.100068588113654,0.047585408252363, 0.885186226046101)); #259153=CARTESIAN_POINT('Ctrl Pts',(0.100175305181704,0.0476623805620284, 0.878369173178656)); #259154=CARTESIAN_POINT('Ctrl Pts',(0.0944163811022514,0.0435101138301128, 0.875)); #259155=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,0.875)); #259156=CARTESIAN_POINT('Ctrl Pts',(0.0975854082523626,0.0500685881136548, 0.885186226046101)); #259157=CARTESIAN_POINT('Ctrl Pts',(0.097662380562028,0.0501753051817047, 0.878369173178656)); #259158=CARTESIAN_POINT('Ctrl Pts',(0.0935101138301123,0.0444163811022517, 0.875)); #259159=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,0.875)); #259160=CARTESIAN_POINT('Ctrl Pts',(0.0948853627319172,0.0499972789747727, 0.88514335688585)); #259161=CARTESIAN_POINT('Ctrl Pts',(0.0949285104450937,0.0500856838538752, 0.879916905823332)); #259162=CARTESIAN_POINT('Ctrl Pts',(0.0926038465579733,0.0453226483743908, 0.875)); #259163=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,0.875)); #259164=CARTESIAN_POINT('Origin',(0.0949999999999995,0.045,0.885)); #259165=CARTESIAN_POINT('Origin',(0.0899999999999996,0.04,0.885)); #259166=CARTESIAN_POINT('Origin',(0.0949999999999995,0.045,1.0625)); #259167=CARTESIAN_POINT('Origin',(0.0949999999999995,0.045,1.115)); #259168=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.125)); #259169=CARTESIAN_POINT('Ctrl Pts',(0.0953226483743907,0.0426038465579736, 1.125)); #259170=CARTESIAN_POINT('Ctrl Pts',(0.100085683853875,0.0449285104450937, 1.12008309417666)); #259171=CARTESIAN_POINT('Ctrl Pts',(0.0999972789747722,0.044885362731917, 1.11485664311415)); #259172=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.125)); #259173=CARTESIAN_POINT('Ctrl Pts',(0.0944163811022827,0.0435101138300816, 1.125)); #259174=CARTESIAN_POINT('Ctrl Pts',(0.100175305181702,0.0476623805619344, 1.12163082682129)); #259175=CARTESIAN_POINT('Ctrl Pts',(0.100068588113652,0.0475854082522698, 1.1148137739539)); #259176=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.125)); #259177=CARTESIAN_POINT('Ctrl Pts',(0.0935101138301747,0.0444163811021897, 1.125)); #259178=CARTESIAN_POINT('Ctrl Pts',(0.0976623805622013,0.0501753051815324, 1.12163082682135)); #259179=CARTESIAN_POINT('Ctrl Pts',(0.0975854082525335,0.050068588113484, 1.1148137739539)); #259180=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.125)); #259181=CARTESIAN_POINT('Ctrl Pts',(0.0926038465580666,0.0453226483742977, 1.125)); #259182=CARTESIAN_POINT('Ctrl Pts',(0.0949285104453759,0.0500856838538849, 1.12008309417682)); #259183=CARTESIAN_POINT('Ctrl Pts',(0.0948853627321957,0.0499972789747801, 1.11485664311414)); #259184=CARTESIAN_POINT('Origin',(0.0899999999999998,0.,0.615000000000001)); #259185=CARTESIAN_POINT('Origin',(0.0899999999999998,0.015,0.615000000000001)); #259186=CARTESIAN_POINT('Origin',(0.0899999999999998,0.04,0.615000000000001)); #259187=CARTESIAN_POINT('Origin',(0.0250000000000002,0.04,0.615000000000001)); #259188=CARTESIAN_POINT('',(0.0949999999999998,0.05,0.615000000000001)); #259189=CARTESIAN_POINT('Origin',(0.0899999999999998,0.04,0.615000000000001)); #259190=CARTESIAN_POINT('',(0.0250000000000002,0.05,0.615000000000001)); #259191=CARTESIAN_POINT('Origin',(0.05,0.05,0.5)); #259192=CARTESIAN_POINT('',(0.0949999999999998,0.05,0.5625)); #259193=CARTESIAN_POINT('Ctrl Pts',(0.0999972789747725,0.0448853627319178, 0.38514335688585)); #259194=CARTESIAN_POINT('Ctrl Pts',(0.100085683853875,0.0449285104450941, 0.379916905823331)); #259195=CARTESIAN_POINT('Ctrl Pts',(0.0953226483743905,0.0426038465579737, 0.375)); #259196=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.375)); #259197=CARTESIAN_POINT('Ctrl Pts',(0.100068588113654,0.0475854082523631, 0.385186226046101)); #259198=CARTESIAN_POINT('Ctrl Pts',(0.100175305181704,0.0476623805620283, 0.378369173178655)); #259199=CARTESIAN_POINT('Ctrl Pts',(0.0944163811022516,0.0435101138301127, 0.375)); #259200=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.375)); #259201=CARTESIAN_POINT('Ctrl Pts',(0.0975854082523629,0.0500685881136547, 0.385186226046101)); #259202=CARTESIAN_POINT('Ctrl Pts',(0.0976623805620282,0.0501753051817045, 0.378369173178655)); #259203=CARTESIAN_POINT('Ctrl Pts',(0.0935101138301125,0.0444163811022517, 0.375)); #259204=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.375)); #259205=CARTESIAN_POINT('Ctrl Pts',(0.0948853627319175,0.0499972789747727, 0.38514335688585)); #259206=CARTESIAN_POINT('Ctrl Pts',(0.0949285104450939,0.0500856838538751, 0.379916905823331)); #259207=CARTESIAN_POINT('Ctrl Pts',(0.0926038465579735,0.0453226483743907, 0.375)); #259208=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.375)); #259209=CARTESIAN_POINT('Origin',(0.0949999999999998,0.045,0.385)); #259210=CARTESIAN_POINT('Origin',(0.0899999999999998,0.04,0.385)); #259211=CARTESIAN_POINT('Origin',(0.0949999999999998,0.045,0.5625)); #259212=CARTESIAN_POINT('Origin',(0.0949999999999998,0.045,0.615000000000001)); #259213=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.625000000000001)); #259214=CARTESIAN_POINT('Ctrl Pts',(0.0953226483743905,0.0426038465579737, 0.625000000000001)); #259215=CARTESIAN_POINT('Ctrl Pts',(0.100085683853875,0.0449285104450941, 0.62008309417667)); #259216=CARTESIAN_POINT('Ctrl Pts',(0.0999972789747726,0.0448853627319178, 0.614856643114151)); #259217=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.625000000000001)); #259218=CARTESIAN_POINT('Ctrl Pts',(0.0944163811022827,0.0435101138300815, 0.625000000000001)); #259219=CARTESIAN_POINT('Ctrl Pts',(0.100175305181701,0.0476623805619343, 0.621630826821291)); #259220=CARTESIAN_POINT('Ctrl Pts',(0.100068588113652,0.0475854082522702, 0.614813773953901)); #259221=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.625000000000001)); #259222=CARTESIAN_POINT('Ctrl Pts',(0.0935101138301749,0.0444163811021893, 0.625000000000001)); #259223=CARTESIAN_POINT('Ctrl Pts',(0.0976623805622011,0.0501753051815315, 0.621630826821345)); #259224=CARTESIAN_POINT('Ctrl Pts',(0.0975854082525338,0.0500685881134838, 0.6148137739539)); #259225=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.04,0.625000000000001)); #259226=CARTESIAN_POINT('Ctrl Pts',(0.092603846558067,0.0453226483742972, 0.625000000000001)); #259227=CARTESIAN_POINT('Ctrl Pts',(0.0949285104453762,0.0500856838538843, 0.62008309417683)); #259228=CARTESIAN_POINT('Ctrl Pts',(0.0948853627321963,0.0499972789747801, 0.614856643114146)); #259229=CARTESIAN_POINT('Origin',(0.0899999999999998,0.,0.385)); #259230=CARTESIAN_POINT('Origin',(0.0899999999999998,0.015,0.385)); #259231=CARTESIAN_POINT('Origin',(0.16875,0.015,0.385)); #259232=CARTESIAN_POINT('',(0.105,0.025,0.385)); #259233=CARTESIAN_POINT('Origin',(0.0899999999999998,0.015,0.385)); #259234=CARTESIAN_POINT('',(0.16875,0.025,0.385)); #259235=CARTESIAN_POINT('Origin',(0.2375,0.025,0.5)); #259236=CARTESIAN_POINT('',(0.105,0.025,0.5625)); #259237=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,0.615000000000001)); #259238=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,0.620235987755984)); #259239=CARTESIAN_POINT('Ctrl Pts',(0.0978539816339743,0.020235987755983, 0.625000000000001)); #259240=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.625000000000001)); #259241=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,0.615000000000001)); #259242=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,0.618800901208779)); #259243=CARTESIAN_POINT('Ctrl Pts',(0.0969813170079771,0.0211086523819801, 0.625000000000001)); #259244=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.625000000000001)); #259245=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.0273820061220085, 0.615000000000001)); #259246=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.0273820061220085, 0.618800901208779)); #259247=CARTESIAN_POINT('Ctrl Pts',(0.09610865238198,0.0219813170079773, 0.625000000000001)); #259248=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.625000000000001)); #259249=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.03,0.615000000000001)); #259250=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.03,0.620235987755984)); #259251=CARTESIAN_POINT('Ctrl Pts',(0.0952359877559828,0.0228539816339745, 0.625000000000001)); #259252=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.625000000000001)); #259253=CARTESIAN_POINT('Origin',(0.105,0.03,0.615000000000001)); #259254=CARTESIAN_POINT('Origin',(0.105,0.03,0.5625)); #259255=CARTESIAN_POINT('Origin',(0.105,0.03,0.385)); #259256=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.375)); #259257=CARTESIAN_POINT('Ctrl Pts',(0.0978539816339743,0.020235987755983, 0.375)); #259258=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,0.379764012244017)); #259259=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,0.385)); #259260=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.375)); #259261=CARTESIAN_POINT('Ctrl Pts',(0.0969813170079771,0.0211086523819802, 0.375)); #259262=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,0.381199098791221)); #259263=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,0.385)); #259264=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.375)); #259265=CARTESIAN_POINT('Ctrl Pts',(0.09610865238198,0.0219813170079773, 0.375)); #259266=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.0273820061220085, 0.381199098791221)); #259267=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.0273820061220085, 0.385)); #259268=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999998,0.015,0.375)); #259269=CARTESIAN_POINT('Ctrl Pts',(0.0952359877559828,0.0228539816339745, 0.375)); #259270=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.03,0.379764012244017)); #259271=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999998,0.03,0.385)); #259272=CARTESIAN_POINT('Origin',(0.0899999999999996,0.,0.885)); #259273=CARTESIAN_POINT('Origin',(0.0899999999999996,0.015,0.885)); #259274=CARTESIAN_POINT('Origin',(0.168749999999999,0.015,0.885)); #259275=CARTESIAN_POINT('',(0.105,0.025,0.885)); #259276=CARTESIAN_POINT('Origin',(0.0899999999999996,0.015,0.885)); #259277=CARTESIAN_POINT('',(0.168749999999999,0.025,0.885)); #259278=CARTESIAN_POINT('Origin',(0.237499999999999,0.025,1.)); #259279=CARTESIAN_POINT('',(0.105,0.025,1.0625)); #259280=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,1.115)); #259281=CARTESIAN_POINT('Ctrl Pts',(0.105,0.0250000000000001,1.12023598775598)); #259282=CARTESIAN_POINT('Ctrl Pts',(0.097853981633974,0.020235987755983, 1.125)); #259283=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.125)); #259284=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,1.115)); #259285=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.0250000000000001, 1.11880090120878)); #259286=CARTESIAN_POINT('Ctrl Pts',(0.0969813170079769,0.0211086523819801, 1.125)); #259287=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.125)); #259288=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999995,0.0273820061220085, 1.115)); #259289=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.0273820061220085, 1.11880090120878)); #259290=CARTESIAN_POINT('Ctrl Pts',(0.0961086523819798,0.0219813170079772, 1.125)); #259291=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.125)); #259292=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999995,0.03,1.115)); #259293=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.03,1.12023598775598)); #259294=CARTESIAN_POINT('Ctrl Pts',(0.0952359877559827,0.0228539816339743, 1.125)); #259295=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.125)); #259296=CARTESIAN_POINT('Origin',(0.105,0.03,1.115)); #259297=CARTESIAN_POINT('Origin',(0.105,0.03,1.0625)); #259298=CARTESIAN_POINT('Origin',(0.105,0.03,0.885)); #259299=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,0.875)); #259300=CARTESIAN_POINT('Ctrl Pts',(0.097853981633974,0.020235987755983, 0.875)); #259301=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,0.879764012244018)); #259302=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,0.885)); #259303=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,0.875)); #259304=CARTESIAN_POINT('Ctrl Pts',(0.0969813170079769,0.0211086523819802, 0.875)); #259305=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,0.881199098791222)); #259306=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,0.885)); #259307=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,0.875)); #259308=CARTESIAN_POINT('Ctrl Pts',(0.0961086523819797,0.0219813170079773, 0.875)); #259309=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.0273820061220085, 0.881199098791222)); #259310=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.0273820061220085, 0.885)); #259311=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,0.875)); #259312=CARTESIAN_POINT('Ctrl Pts',(0.0952359877559825,0.0228539816339745, 0.875)); #259313=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.03,0.879764012244018)); #259314=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.03,0.885)); #259315=CARTESIAN_POINT('Origin',(0.0899999999999995,0.,1.615)); #259316=CARTESIAN_POINT('Origin',(0.0899999999999995,0.015,1.615)); #259317=CARTESIAN_POINT('Origin',(0.0899999999999995,0.04,1.615)); #259318=CARTESIAN_POINT('Origin',(0.0249999999999999,0.04,1.615)); #259319=CARTESIAN_POINT('',(0.0949999999999995,0.05,1.615)); #259320=CARTESIAN_POINT('Origin',(0.0899999999999995,0.04,1.615)); #259321=CARTESIAN_POINT('',(0.0249999999999999,0.05,1.615)); #259322=CARTESIAN_POINT('Origin',(0.0499999999999998,0.05,1.5)); #259323=CARTESIAN_POINT('',(0.0949999999999995,0.05,1.5625)); #259324=CARTESIAN_POINT('Ctrl Pts',(0.0999972789747723,0.0448853627319171, 1.38514335688585)); #259325=CARTESIAN_POINT('Ctrl Pts',(0.100085683853875,0.0449285104450937, 1.37991690582334)); #259326=CARTESIAN_POINT('Ctrl Pts',(0.0953226483743908,0.0426038465579736, 1.375)); #259327=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,1.375)); #259328=CARTESIAN_POINT('Ctrl Pts',(0.100068588113655,0.0475854082523629, 1.3851862260461)); #259329=CARTESIAN_POINT('Ctrl Pts',(0.100175305181705,0.0476623805620286, 1.37836917317865)); #259330=CARTESIAN_POINT('Ctrl Pts',(0.0944163811022516,0.0435101138301128, 1.375)); #259331=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,1.375)); #259332=CARTESIAN_POINT('Ctrl Pts',(0.0975854082523626,0.0500685881136552, 1.3851862260461)); #259333=CARTESIAN_POINT('Ctrl Pts',(0.0976623805620282,0.0501753051817056, 1.37836917317865)); #259334=CARTESIAN_POINT('Ctrl Pts',(0.0935101138301124,0.044416381102252, 1.375)); #259335=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,1.375)); #259336=CARTESIAN_POINT('Ctrl Pts',(0.0948853627319167,0.0499972789747727, 1.38514335688585)); #259337=CARTESIAN_POINT('Ctrl Pts',(0.0949285104450933,0.0500856838538756, 1.37991690582334)); #259338=CARTESIAN_POINT('Ctrl Pts',(0.0926038465579732,0.0453226483743912, 1.375)); #259339=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.04,1.375)); #259340=CARTESIAN_POINT('Origin',(0.0949999999999995,0.045,1.385)); #259341=CARTESIAN_POINT('Origin',(0.0899999999999996,0.04,1.385)); #259342=CARTESIAN_POINT('Origin',(0.0949999999999995,0.045,1.5625)); #259343=CARTESIAN_POINT('Origin',(0.0949999999999995,0.045,1.615)); #259344=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.625)); #259345=CARTESIAN_POINT('Ctrl Pts',(0.0953226483743908,0.0426038465579736, 1.625)); #259346=CARTESIAN_POINT('Ctrl Pts',(0.100085683853875,0.0449285104450937, 1.62008309417666)); #259347=CARTESIAN_POINT('Ctrl Pts',(0.0999972789747722,0.044885362731917, 1.61485664311415)); #259348=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.625)); #259349=CARTESIAN_POINT('Ctrl Pts',(0.0944163811022827,0.0435101138300817, 1.625)); #259350=CARTESIAN_POINT('Ctrl Pts',(0.100175305181702,0.0476623805619345, 1.62163082682129)); #259351=CARTESIAN_POINT('Ctrl Pts',(0.100068588113652,0.04758540825227, 1.6148137739539)); #259352=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.625)); #259353=CARTESIAN_POINT('Ctrl Pts',(0.0935101138301747,0.0444163811021896, 1.625)); #259354=CARTESIAN_POINT('Ctrl Pts',(0.0976623805622011,0.0501753051815327, 1.62163082682135)); #259355=CARTESIAN_POINT('Ctrl Pts',(0.0975854082525333,0.0500685881134843, 1.6148137739539)); #259356=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.04,1.625)); #259357=CARTESIAN_POINT('Ctrl Pts',(0.0926038465580666,0.0453226483742977, 1.625)); #259358=CARTESIAN_POINT('Ctrl Pts',(0.0949285104453754,0.0500856838538849, 1.62008309417682)); #259359=CARTESIAN_POINT('Ctrl Pts',(0.0948853627321953,0.0499972789747801, 1.61485664311414)); #259360=CARTESIAN_POINT('Origin',(0.865,0.,1.91)); #259361=CARTESIAN_POINT('Origin',(0.865,0.015,1.91)); #259362=CARTESIAN_POINT('Origin',(0.865,0.04,1.91)); #259363=CARTESIAN_POINT('Origin',(0.865,0.04,1.975)); #259364=CARTESIAN_POINT('',(0.865,0.05,1.905)); #259365=CARTESIAN_POINT('Origin',(0.865,0.04,1.91)); #259366=CARTESIAN_POINT('',(0.865,0.05,1.975)); #259367=CARTESIAN_POINT('Origin',(0.75,0.05,1.95)); #259368=CARTESIAN_POINT('',(0.8125,0.05,1.905)); #259369=CARTESIAN_POINT('Ctrl Pts',(0.635143356885853,0.044885362731918, 1.90000272102523)); #259370=CARTESIAN_POINT('Ctrl Pts',(0.629916905823332,0.044928510445095, 1.89991431614612)); #259371=CARTESIAN_POINT('Ctrl Pts',(0.625,0.0426038465579741,1.90467735162561)); #259372=CARTESIAN_POINT('Ctrl Pts',(0.625,0.04,1.91)); #259373=CARTESIAN_POINT('Ctrl Pts',(0.635186226046104,0.0475854082523638, 1.89993141188635)); #259374=CARTESIAN_POINT('Ctrl Pts',(0.628369173178656,0.0476623805620302, 1.89982469481829)); #259375=CARTESIAN_POINT('Ctrl Pts',(0.625,0.0435101138301135,1.90558361889774)); #259376=CARTESIAN_POINT('Ctrl Pts',(0.625,0.04,1.91)); #259377=CARTESIAN_POINT('Ctrl Pts',(0.635186226046104,0.0500685881136557, 1.90241459174764)); #259378=CARTESIAN_POINT('Ctrl Pts',(0.628369173178656,0.0501753051817071, 1.90233761943797)); #259379=CARTESIAN_POINT('Ctrl Pts',(0.625,0.0444163811022527,1.90648988616989)); #259380=CARTESIAN_POINT('Ctrl Pts',(0.625,0.04,1.91)); #259381=CARTESIAN_POINT('Ctrl Pts',(0.635143356885852,0.0499972789747727, 1.90511463726808)); #259382=CARTESIAN_POINT('Ctrl Pts',(0.629916905823333,0.0500856838538763, 1.90507148955491)); #259383=CARTESIAN_POINT('Ctrl Pts',(0.625,0.045322648374392,1.90739615344203)); #259384=CARTESIAN_POINT('Ctrl Pts',(0.625,0.04,1.91)); #259385=CARTESIAN_POINT('Origin',(0.635,0.045,1.905)); #259386=CARTESIAN_POINT('Origin',(0.635,0.04,1.91)); #259387=CARTESIAN_POINT('Origin',(0.8125,0.045,1.905)); #259388=CARTESIAN_POINT('Origin',(0.865,0.045,1.905)); #259389=CARTESIAN_POINT('Ctrl Pts',(0.875,0.04,1.91)); #259390=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0426038465579737,1.90467735162561)); #259391=CARTESIAN_POINT('Ctrl Pts',(0.87008309417667,0.0449285104450943, 1.89991431614613)); #259392=CARTESIAN_POINT('Ctrl Pts',(0.86485664311415,0.0448853627319181, 1.90000272102523)); #259393=CARTESIAN_POINT('Ctrl Pts',(0.875,0.04,1.91)); #259394=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0435101138301126,1.90558361889774)); #259395=CARTESIAN_POINT('Ctrl Pts',(0.871630826821344,0.047662380562028, 1.8998246948183)); #259396=CARTESIAN_POINT('Ctrl Pts',(0.864813773953899,0.047585408252363, 1.89993141188635)); #259397=CARTESIAN_POINT('Ctrl Pts',(0.875,0.04,1.91)); #259398=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0444163811022514,1.90648988616989)); #259399=CARTESIAN_POINT('Ctrl Pts',(0.871630826821344,0.0501753051817036, 1.90233761943797)); #259400=CARTESIAN_POINT('Ctrl Pts',(0.864813773953899,0.0500685881136542, 1.90241459174764)); #259401=CARTESIAN_POINT('Ctrl Pts',(0.875,0.04,1.91)); #259402=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0453226483743903,1.90739615344203)); #259403=CARTESIAN_POINT('Ctrl Pts',(0.87008309417667,0.0500856838538749, 1.9050714895549)); #259404=CARTESIAN_POINT('Ctrl Pts',(0.86485664311415,0.0499972789747728, 1.90511463726808)); #259405=CARTESIAN_POINT('Origin',(0.635,0.,1.91)); #259406=CARTESIAN_POINT('Origin',(0.635,0.015,1.91)); #259407=CARTESIAN_POINT('Origin',(0.635,0.015,1.83125)); #259408=CARTESIAN_POINT('',(0.635,0.025,1.895)); #259409=CARTESIAN_POINT('Origin',(0.635,0.015,1.91)); #259410=CARTESIAN_POINT('',(0.635,0.025,1.83125)); #259411=CARTESIAN_POINT('Origin',(0.75,0.025,1.7625)); #259412=CARTESIAN_POINT('',(0.8125,0.025,1.895)); #259413=CARTESIAN_POINT('Ctrl Pts',(0.865,0.025,1.895)); #259414=CARTESIAN_POINT('Ctrl Pts',(0.870235987755983,0.025,1.895)); #259415=CARTESIAN_POINT('Ctrl Pts',(0.875,0.020235987755983,1.90214601836603)); #259416=CARTESIAN_POINT('Ctrl Pts',(0.875,0.015,1.91)); #259417=CARTESIAN_POINT('Ctrl Pts',(0.865,0.025,1.89761799387799)); #259418=CARTESIAN_POINT('Ctrl Pts',(0.868800901208779,0.025,1.89761799387799)); #259419=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0211086523819801,1.90301868299202)); #259420=CARTESIAN_POINT('Ctrl Pts',(0.875,0.015,1.91)); #259421=CARTESIAN_POINT('Ctrl Pts',(0.865,0.0273820061220085,1.9)); #259422=CARTESIAN_POINT('Ctrl Pts',(0.868800901208779,0.0273820061220085, 1.9)); #259423=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0219813170079773,1.90389134761802)); #259424=CARTESIAN_POINT('Ctrl Pts',(0.875,0.015,1.91)); #259425=CARTESIAN_POINT('Ctrl Pts',(0.865,0.03,1.9)); #259426=CARTESIAN_POINT('Ctrl Pts',(0.870235987755983,0.03,1.9)); #259427=CARTESIAN_POINT('Ctrl Pts',(0.875,0.0228539816339745,1.90476401224402)); #259428=CARTESIAN_POINT('Ctrl Pts',(0.875,0.015,1.91)); #259429=CARTESIAN_POINT('Origin',(0.865,0.03,1.895)); #259430=CARTESIAN_POINT('Origin',(0.8125,0.03,1.895)); #259431=CARTESIAN_POINT('Origin',(0.635,0.03,1.895)); #259432=CARTESIAN_POINT('Ctrl Pts',(0.625,0.015,1.91)); #259433=CARTESIAN_POINT('Ctrl Pts',(0.625,0.020235987755983,1.90214601836603)); #259434=CARTESIAN_POINT('Ctrl Pts',(0.629764012244017,0.025,1.895)); #259435=CARTESIAN_POINT('Ctrl Pts',(0.635,0.025,1.895)); #259436=CARTESIAN_POINT('Ctrl Pts',(0.625,0.015,1.91)); #259437=CARTESIAN_POINT('Ctrl Pts',(0.625,0.0211086523819802,1.90301868299202)); #259438=CARTESIAN_POINT('Ctrl Pts',(0.631199098791221,0.025,1.89761799387799)); #259439=CARTESIAN_POINT('Ctrl Pts',(0.635,0.025,1.89761799387799)); #259440=CARTESIAN_POINT('Ctrl Pts',(0.625,0.015,1.91)); #259441=CARTESIAN_POINT('Ctrl Pts',(0.625,0.0219813170079773,1.90389134761802)); #259442=CARTESIAN_POINT('Ctrl Pts',(0.631199098791221,0.0273820061220085, 1.9)); #259443=CARTESIAN_POINT('Ctrl Pts',(0.635,0.0273820061220085,1.9)); #259444=CARTESIAN_POINT('Ctrl Pts',(0.625,0.015,1.91)); #259445=CARTESIAN_POINT('Ctrl Pts',(0.625,0.0228539816339745,1.90476401224402)); #259446=CARTESIAN_POINT('Ctrl Pts',(0.629764012244017,0.03,1.9)); #259447=CARTESIAN_POINT('Ctrl Pts',(0.635,0.03,1.9)); #259448=CARTESIAN_POINT('Origin',(1.365,0.,1.91)); #259449=CARTESIAN_POINT('Origin',(1.365,0.015,1.91)); #259450=CARTESIAN_POINT('Origin',(1.365,0.04,1.91)); #259451=CARTESIAN_POINT('Origin',(1.365,0.04,1.975)); #259452=CARTESIAN_POINT('',(1.365,0.05,1.905)); #259453=CARTESIAN_POINT('Origin',(1.365,0.04,1.91)); #259454=CARTESIAN_POINT('',(1.365,0.05,1.975)); #259455=CARTESIAN_POINT('Origin',(1.25,0.05,1.95)); #259456=CARTESIAN_POINT('',(1.3125,0.05,1.905)); #259457=CARTESIAN_POINT('Ctrl Pts',(1.13514335688585,0.0448853627319175, 1.90000272102523)); #259458=CARTESIAN_POINT('Ctrl Pts',(1.12991690582334,0.0449285104450941, 1.89991431614612)); #259459=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0426038465579737,1.90467735162561)); #259460=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,1.91)); #259461=CARTESIAN_POINT('Ctrl Pts',(1.1351862260461,0.047585408252363,1.89993141188635)); #259462=CARTESIAN_POINT('Ctrl Pts',(1.12836917317865,0.0476623805620285, 1.8998246948183)); #259463=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0435101138301128,1.90558361889774)); #259464=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,1.91)); #259465=CARTESIAN_POINT('Ctrl Pts',(1.1351862260461,0.0500685881136548, 1.90241459174764)); #259466=CARTESIAN_POINT('Ctrl Pts',(1.12836917317865,0.050175305181705, 1.90233761943797)); #259467=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0444163811022518,1.90648988616989)); #259468=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,1.91)); #259469=CARTESIAN_POINT('Ctrl Pts',(1.13514335688585,0.0499972789747728, 1.90511463726808)); #259470=CARTESIAN_POINT('Ctrl Pts',(1.12991690582333,0.0500856838538755, 1.90507148955491)); #259471=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0453226483743907,1.90739615344203)); #259472=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,1.91)); #259473=CARTESIAN_POINT('Origin',(1.135,0.045,1.905)); #259474=CARTESIAN_POINT('Origin',(1.135,0.04,1.91)); #259475=CARTESIAN_POINT('Origin',(1.3125,0.045,1.905)); #259476=CARTESIAN_POINT('Origin',(1.365,0.045,1.905)); #259477=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,1.91)); #259478=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0426038465579737,1.90467735162561)); #259479=CARTESIAN_POINT('Ctrl Pts',(1.37008309417667,0.044928510445094, 1.89991431614613)); #259480=CARTESIAN_POINT('Ctrl Pts',(1.36485664311415,0.0448853627319175, 1.90000272102523)); #259481=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,1.91)); #259482=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0435101138301128,1.90558361889774)); #259483=CARTESIAN_POINT('Ctrl Pts',(1.37163082682135,0.0476623805620284, 1.89982469481829)); #259484=CARTESIAN_POINT('Ctrl Pts',(1.3648137739539,0.047585408252363,1.89993141188634)); #259485=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,1.91)); #259486=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0444163811022518,1.90648988616989)); #259487=CARTESIAN_POINT('Ctrl Pts',(1.37163082682135,0.0501753051817049, 1.90233761943797)); #259488=CARTESIAN_POINT('Ctrl Pts',(1.3648137739539,0.0500685881136548, 1.90241459174764)); #259489=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,1.91)); #259490=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0453226483743909,1.90739615344203)); #259491=CARTESIAN_POINT('Ctrl Pts',(1.37008309417667,0.0500856838538754, 1.90507148955491)); #259492=CARTESIAN_POINT('Ctrl Pts',(1.36485664311415,0.0499972789747727, 1.90511463726808)); #259493=CARTESIAN_POINT('Origin',(1.91,0.,1.385)); #259494=CARTESIAN_POINT('Origin',(1.91,0.015,1.385)); #259495=CARTESIAN_POINT('Origin',(1.91,0.04,1.385)); #259496=CARTESIAN_POINT('Origin',(1.975,0.04,1.385)); #259497=CARTESIAN_POINT('',(1.905,0.05,1.385)); #259498=CARTESIAN_POINT('Origin',(1.91,0.04,1.385)); #259499=CARTESIAN_POINT('',(1.975,0.05,1.385)); #259500=CARTESIAN_POINT('Origin',(1.95,0.05,1.5)); #259501=CARTESIAN_POINT('',(1.905,0.05,1.4375)); #259502=CARTESIAN_POINT('Ctrl Pts',(1.90000272102523,0.0448853627319176, 1.61485664311415)); #259503=CARTESIAN_POINT('Ctrl Pts',(1.89991431614612,0.0449285104450943, 1.62008309417667)); #259504=CARTESIAN_POINT('Ctrl Pts',(1.90467735162561,0.0426038465579739, 1.625)); #259505=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.625)); #259506=CARTESIAN_POINT('Ctrl Pts',(1.89993141188635,0.0475854082523629, 1.6148137739539)); #259507=CARTESIAN_POINT('Ctrl Pts',(1.8998246948183,0.0476623805620288, 1.62163082682135)); #259508=CARTESIAN_POINT('Ctrl Pts',(1.90558361889774,0.0435101138301127, 1.625)); #259509=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.625)); #259510=CARTESIAN_POINT('Ctrl Pts',(1.90241459174764,0.0500685881136545, 1.6148137739539)); #259511=CARTESIAN_POINT('Ctrl Pts',(1.90233761943797,0.0501753051817052, 1.62163082682135)); #259512=CARTESIAN_POINT('Ctrl Pts',(1.90648988616989,0.0444163811022515, 1.625)); #259513=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.625)); #259514=CARTESIAN_POINT('Ctrl Pts',(1.90511463726808,0.0499972789747728, 1.61485664311415)); #259515=CARTESIAN_POINT('Ctrl Pts',(1.90507148955491,0.0500856838538758, 1.62008309417667)); #259516=CARTESIAN_POINT('Ctrl Pts',(1.90739615344203,0.0453226483743903, 1.625)); #259517=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.625)); #259518=CARTESIAN_POINT('Origin',(1.905,0.045,1.615)); #259519=CARTESIAN_POINT('Origin',(1.91,0.04,1.615)); #259520=CARTESIAN_POINT('Origin',(1.905,0.045,1.4375)); #259521=CARTESIAN_POINT('Origin',(1.905,0.045,1.385)); #259522=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.375)); #259523=CARTESIAN_POINT('Ctrl Pts',(1.90467735162561,0.0426038465579737, 1.375)); #259524=CARTESIAN_POINT('Ctrl Pts',(1.89991431614612,0.044928510445094, 1.37991690582333)); #259525=CARTESIAN_POINT('Ctrl Pts',(1.90000272102523,0.0448853627319176, 1.38514335688585)); #259526=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.375)); #259527=CARTESIAN_POINT('Ctrl Pts',(1.90558361889774,0.0435101138301127, 1.375)); #259528=CARTESIAN_POINT('Ctrl Pts',(1.8998246948183,0.0476623805620283, 1.37836917317865)); #259529=CARTESIAN_POINT('Ctrl Pts',(1.89993141188635,0.047585408252363, 1.3851862260461)); #259530=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.375)); #259531=CARTESIAN_POINT('Ctrl Pts',(1.90648988616989,0.0444163811022517, 1.375)); #259532=CARTESIAN_POINT('Ctrl Pts',(1.90233761943797,0.0501753051817046, 1.37836917317865)); #259533=CARTESIAN_POINT('Ctrl Pts',(1.90241459174764,0.0500685881136547, 1.3851862260461)); #259534=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.375)); #259535=CARTESIAN_POINT('Ctrl Pts',(1.90739615344203,0.0453226483743907, 1.375)); #259536=CARTESIAN_POINT('Ctrl Pts',(1.90507148955491,0.0500856838538752, 1.37991690582333)); #259537=CARTESIAN_POINT('Ctrl Pts',(1.90511463726808,0.0499972789747728, 1.38514335688585)); #259538=CARTESIAN_POINT('Origin',(1.91,0.,0.885000000000001)); #259539=CARTESIAN_POINT('Origin',(1.91,0.015,0.885000000000001)); #259540=CARTESIAN_POINT('Origin',(1.91,0.04,0.885000000000001)); #259541=CARTESIAN_POINT('Origin',(1.975,0.04,0.885000000000001)); #259542=CARTESIAN_POINT('',(1.905,0.05,0.885000000000001)); #259543=CARTESIAN_POINT('Origin',(1.91,0.04,0.885000000000001)); #259544=CARTESIAN_POINT('',(1.975,0.05,0.885000000000001)); #259545=CARTESIAN_POINT('Origin',(1.95,0.05,1.)); #259546=CARTESIAN_POINT('',(1.905,0.05,0.937500000000001)); #259547=CARTESIAN_POINT('Ctrl Pts',(1.90000272102523,0.0448853627319176, 1.11485664311415)); #259548=CARTESIAN_POINT('Ctrl Pts',(1.89991431614612,0.0449285104450947, 1.12008309417666)); #259549=CARTESIAN_POINT('Ctrl Pts',(1.90467735162561,0.0426038465579741, 1.125)); #259550=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.125)); #259551=CARTESIAN_POINT('Ctrl Pts',(1.89993141188635,0.0475854082523636, 1.1148137739539)); #259552=CARTESIAN_POINT('Ctrl Pts',(1.89982469481829,0.0476623805620303, 1.12163082682135)); #259553=CARTESIAN_POINT('Ctrl Pts',(1.90558361889774,0.0435101138301135, 1.125)); #259554=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.125)); #259555=CARTESIAN_POINT('Ctrl Pts',(1.90241459174764,0.050068588113656, 1.1148137739539)); #259556=CARTESIAN_POINT('Ctrl Pts',(1.90233761943797,0.0501753051817078, 1.12163082682135)); #259557=CARTESIAN_POINT('Ctrl Pts',(1.90648988616989,0.0444163811022529, 1.125)); #259558=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.125)); #259559=CARTESIAN_POINT('Ctrl Pts',(1.90511463726808,0.0499972789747727, 1.11485664311415)); #259560=CARTESIAN_POINT('Ctrl Pts',(1.90507148955491,0.0500856838538767, 1.12008309417666)); #259561=CARTESIAN_POINT('Ctrl Pts',(1.90739615344203,0.0453226483743923, 1.125)); #259562=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,1.125)); #259563=CARTESIAN_POINT('Origin',(1.905,0.045,1.115)); #259564=CARTESIAN_POINT('Origin',(1.91,0.04,1.115)); #259565=CARTESIAN_POINT('Origin',(1.905,0.045,0.937500000000001)); #259566=CARTESIAN_POINT('Origin',(1.905,0.045,0.885000000000001)); #259567=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.875000000000001)); #259568=CARTESIAN_POINT('Ctrl Pts',(1.90467735162561,0.0426038465579737, 0.875000000000001)); #259569=CARTESIAN_POINT('Ctrl Pts',(1.89991431614612,0.0449285104450943, 0.879916905823332)); #259570=CARTESIAN_POINT('Ctrl Pts',(1.90000272102523,0.044885362731918, 0.885143356885851)); #259571=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.875000000000001)); #259572=CARTESIAN_POINT('Ctrl Pts',(1.90558361889774,0.0435101138301126, 0.875000000000001)); #259573=CARTESIAN_POINT('Ctrl Pts',(1.8998246948183,0.0476623805620281, 0.878369173178656)); #259574=CARTESIAN_POINT('Ctrl Pts',(1.89993141188635,0.047585408252363, 0.885186226046102)); #259575=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.875000000000001)); #259576=CARTESIAN_POINT('Ctrl Pts',(1.90648988616989,0.0444163811022514, 0.875000000000001)); #259577=CARTESIAN_POINT('Ctrl Pts',(1.90233761943797,0.0501753051817038, 0.878369173178656)); #259578=CARTESIAN_POINT('Ctrl Pts',(1.90241459174764,0.0500685881136543, 0.885186226046102)); #259579=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.875000000000001)); #259580=CARTESIAN_POINT('Ctrl Pts',(1.90739615344203,0.0453226483743903, 0.875000000000001)); #259581=CARTESIAN_POINT('Ctrl Pts',(1.9050714895549,0.0500856838538749, 0.879916905823332)); #259582=CARTESIAN_POINT('Ctrl Pts',(1.90511463726808,0.0499972789747728, 0.885143356885851)); #259583=CARTESIAN_POINT('Origin',(1.91,0.,0.385)); #259584=CARTESIAN_POINT('Origin',(1.91,0.015,0.385)); #259585=CARTESIAN_POINT('Origin',(1.91,0.04,0.385)); #259586=CARTESIAN_POINT('Origin',(1.975,0.04,0.385)); #259587=CARTESIAN_POINT('',(1.905,0.05,0.385)); #259588=CARTESIAN_POINT('Origin',(1.91,0.04,0.385)); #259589=CARTESIAN_POINT('',(1.975,0.05,0.385)); #259590=CARTESIAN_POINT('Origin',(1.95,0.05,0.5)); #259591=CARTESIAN_POINT('',(1.905,0.05,0.4375)); #259592=CARTESIAN_POINT('Ctrl Pts',(1.90000272102523,0.0448853627319181, 0.614856643114151)); #259593=CARTESIAN_POINT('Ctrl Pts',(1.89991431614612,0.0449285104450944, 0.620083094176671)); #259594=CARTESIAN_POINT('Ctrl Pts',(1.90467735162561,0.0426038465579737, 0.625000000000001)); #259595=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.625000000000001)); #259596=CARTESIAN_POINT('Ctrl Pts',(1.89993141188635,0.047585408252363, 0.614813773953901)); #259597=CARTESIAN_POINT('Ctrl Pts',(1.8998246948183,0.047662380562028,0.621630826821345)); #259598=CARTESIAN_POINT('Ctrl Pts',(1.90558361889774,0.0435101138301126, 0.625000000000001)); #259599=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.625000000000001)); #259600=CARTESIAN_POINT('Ctrl Pts',(1.90241459174764,0.0500685881136542, 0.614813773953901)); #259601=CARTESIAN_POINT('Ctrl Pts',(1.90233761943797,0.0501753051817036, 0.621630826821345)); #259602=CARTESIAN_POINT('Ctrl Pts',(1.90648988616989,0.0444163811022514, 0.625000000000001)); #259603=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.625000000000001)); #259604=CARTESIAN_POINT('Ctrl Pts',(1.90511463726808,0.0499972789747728, 0.614856643114151)); #259605=CARTESIAN_POINT('Ctrl Pts',(1.90507148955491,0.0500856838538749, 0.620083094176671)); #259606=CARTESIAN_POINT('Ctrl Pts',(1.90739615344203,0.0453226483743902, 0.625000000000001)); #259607=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.625000000000001)); #259608=CARTESIAN_POINT('Origin',(1.905,0.045,0.615000000000001)); #259609=CARTESIAN_POINT('Origin',(1.91,0.04,0.615000000000001)); #259610=CARTESIAN_POINT('Origin',(1.905,0.045,0.4375)); #259611=CARTESIAN_POINT('Origin',(1.905,0.045,0.385)); #259612=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.375)); #259613=CARTESIAN_POINT('Ctrl Pts',(1.90467735162561,0.0426038465579737, 0.375)); #259614=CARTESIAN_POINT('Ctrl Pts',(1.89991431614612,0.0449285104450944, 0.37991690582333)); #259615=CARTESIAN_POINT('Ctrl Pts',(1.90000272102523,0.0448853627319181, 0.38514335688585)); #259616=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.375)); #259617=CARTESIAN_POINT('Ctrl Pts',(1.90558361889774,0.0435101138301126, 0.375)); #259618=CARTESIAN_POINT('Ctrl Pts',(1.8998246948183,0.0476623805620281, 0.378369173178656)); #259619=CARTESIAN_POINT('Ctrl Pts',(1.89993141188634,0.0475854082523632, 0.3851862260461)); #259620=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.375)); #259621=CARTESIAN_POINT('Ctrl Pts',(1.90648988616989,0.0444163811022515, 0.375)); #259622=CARTESIAN_POINT('Ctrl Pts',(1.90233761943797,0.0501753051817038, 0.378369173178656)); #259623=CARTESIAN_POINT('Ctrl Pts',(1.90241459174764,0.0500685881136544, 0.3851862260461)); #259624=CARTESIAN_POINT('Ctrl Pts',(1.91,0.04,0.375)); #259625=CARTESIAN_POINT('Ctrl Pts',(1.90739615344203,0.0453226483743904, 0.375)); #259626=CARTESIAN_POINT('Ctrl Pts',(1.90507148955491,0.0500856838538748, 0.37991690582333)); #259627=CARTESIAN_POINT('Ctrl Pts',(1.90511463726808,0.0499972789747728, 0.38514335688585)); #259628=CARTESIAN_POINT('Origin',(1.365,0.,0.0900000000000009)); #259629=CARTESIAN_POINT('Origin',(1.365,0.04,0.0900000000000009)); #259630=CARTESIAN_POINT('Origin',(1.365,0.015,0.0900000000000009)); #259631=CARTESIAN_POINT('Origin',(1.365,0.015,0.168750000000001)); #259632=CARTESIAN_POINT('',(1.365,0.025,0.105000000000001)); #259633=CARTESIAN_POINT('Origin',(1.365,0.015,0.0900000000000009)); #259634=CARTESIAN_POINT('',(1.365,0.025,0.168750000000001)); #259635=CARTESIAN_POINT('Origin',(1.25,0.025,0.237500000000001)); #259636=CARTESIAN_POINT('',(1.1875,0.025,0.105000000000001)); #259637=CARTESIAN_POINT('Ctrl Pts',(1.135,0.025,0.105000000000001)); #259638=CARTESIAN_POINT('Ctrl Pts',(1.12976401224402,0.025,0.105000000000001)); #259639=CARTESIAN_POINT('Ctrl Pts',(1.125,0.020235987755983,0.0978539816339751)); #259640=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,0.0900000000000006)); #259641=CARTESIAN_POINT('Ctrl Pts',(1.135,0.025,0.102382006122009)); #259642=CARTESIAN_POINT('Ctrl Pts',(1.13119909879122,0.025,0.102382006122009)); #259643=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0211086523819801,0.0969813170079779)); #259644=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,0.0900000000000006)); #259645=CARTESIAN_POINT('Ctrl Pts',(1.135,0.0273820061220085,0.100000000000001)); #259646=CARTESIAN_POINT('Ctrl Pts',(1.13119909879122,0.0273820061220085, 0.100000000000001)); #259647=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0219813170079773,0.0961086523819808)); #259648=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,0.0900000000000006)); #259649=CARTESIAN_POINT('Ctrl Pts',(1.135,0.03,0.100000000000001)); #259650=CARTESIAN_POINT('Ctrl Pts',(1.12976401224402,0.03,0.100000000000001)); #259651=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0228539816339745,0.0952359877559836)); #259652=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,0.0900000000000006)); #259653=CARTESIAN_POINT('Origin',(1.135,0.03,0.105000000000001)); #259654=CARTESIAN_POINT('Origin',(1.135,0.015,0.0900000000000006)); #259655=CARTESIAN_POINT('Origin',(1.1875,0.03,0.105000000000001)); #259656=CARTESIAN_POINT('Origin',(1.365,0.03,0.105000000000001)); #259657=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,0.0900000000000009)); #259658=CARTESIAN_POINT('Ctrl Pts',(1.375,0.020235987755983,0.0978539816339754)); #259659=CARTESIAN_POINT('Ctrl Pts',(1.37023598775598,0.025,0.105000000000001)); #259660=CARTESIAN_POINT('Ctrl Pts',(1.365,0.025,0.105000000000001)); #259661=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,0.0900000000000009)); #259662=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0211086523819802,0.0969813170079782)); #259663=CARTESIAN_POINT('Ctrl Pts',(1.36880090120878,0.025,0.102382006122009)); #259664=CARTESIAN_POINT('Ctrl Pts',(1.365,0.025,0.102382006122009)); #259665=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,0.0900000000000009)); #259666=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0219813170079773,0.0961086523819811)); #259667=CARTESIAN_POINT('Ctrl Pts',(1.36880090120878,0.0273820061220085, 0.100000000000001)); #259668=CARTESIAN_POINT('Ctrl Pts',(1.365,0.0273820061220085,0.100000000000001)); #259669=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,0.0900000000000009)); #259670=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0228539816339745,0.0952359877559839)); #259671=CARTESIAN_POINT('Ctrl Pts',(1.37023598775598,0.03,0.100000000000001)); #259672=CARTESIAN_POINT('Ctrl Pts',(1.365,0.03,0.100000000000001)); #259673=CARTESIAN_POINT('Origin',(1.135,0.,0.0900000000000006)); #259674=CARTESIAN_POINT('Origin',(1.135,0.04,0.0900000000000006)); #259675=CARTESIAN_POINT('Origin',(1.135,0.04,0.0250000000000006)); #259676=CARTESIAN_POINT('',(1.135,0.05,0.0950000000000006)); #259677=CARTESIAN_POINT('Origin',(1.135,0.04,0.0900000000000006)); #259678=CARTESIAN_POINT('',(1.135,0.05,0.0250000000000006)); #259679=CARTESIAN_POINT('Origin',(1.25,0.05,0.0500000000000006)); #259680=CARTESIAN_POINT('',(1.1875,0.05,0.0950000000000007)); #259681=CARTESIAN_POINT('Ctrl Pts',(1.36485664311415,0.044885362731917, 0.0999972789747737)); #259682=CARTESIAN_POINT('Ctrl Pts',(1.37008309417666,0.0449285104450937, 0.100085683853877)); #259683=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0426038465579736,0.0953226483743922)); #259684=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,0.0900000000000009)); #259685=CARTESIAN_POINT('Ctrl Pts',(1.3648137739539,0.0475854082523629, 0.100068588113656)); #259686=CARTESIAN_POINT('Ctrl Pts',(1.37163082682135,0.0476623805620286, 0.100175305181707)); #259687=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0435101138301129,0.094416381102253)); #259688=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,0.0900000000000009)); #259689=CARTESIAN_POINT('Ctrl Pts',(1.3648137739539,0.0500685881136552, 0.0975854082523638)); #259690=CARTESIAN_POINT('Ctrl Pts',(1.37163082682135,0.0501753051817056, 0.0976623805620296)); #259691=CARTESIAN_POINT('Ctrl Pts',(1.375,0.044416381102252,0.0935101138301138)); #259692=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,0.0900000000000009)); #259693=CARTESIAN_POINT('Ctrl Pts',(1.36485664311415,0.0499972789747727, 0.094885362731918)); #259694=CARTESIAN_POINT('Ctrl Pts',(1.37008309417667,0.0500856838538757, 0.0949285104450946)); #259695=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0453226483743913,0.0926038465579745)); #259696=CARTESIAN_POINT('Ctrl Pts',(1.375,0.04,0.0900000000000009)); #259697=CARTESIAN_POINT('Origin',(1.365,0.045,0.0950000000000009)); #259698=CARTESIAN_POINT('Origin',(1.1875,0.045,0.0950000000000007)); #259699=CARTESIAN_POINT('Origin',(1.135,0.045,0.0950000000000006)); #259700=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,0.0900000000000006)); #259701=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0426038465579736,0.0953226483743918)); #259702=CARTESIAN_POINT('Ctrl Pts',(1.12991690582334,0.0449285104450938, 0.100085683853877)); #259703=CARTESIAN_POINT('Ctrl Pts',(1.13514335688585,0.0448853627319171, 0.0999972789747737)); #259704=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,0.0900000000000006)); #259705=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0435101138301128,0.0944163811022527)); #259706=CARTESIAN_POINT('Ctrl Pts',(1.12836917317865,0.0476623805620286, 0.100175305181706)); #259707=CARTESIAN_POINT('Ctrl Pts',(1.1351862260461,0.0475854082523629, 0.100068588113656)); #259708=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,0.0900000000000006)); #259709=CARTESIAN_POINT('Ctrl Pts',(1.125,0.044416381102252,0.0935101138301134)); #259710=CARTESIAN_POINT('Ctrl Pts',(1.12836917317865,0.0501753051817055, 0.0976623805620291)); #259711=CARTESIAN_POINT('Ctrl Pts',(1.1351862260461,0.0500685881136551, 0.0975854082523635)); #259712=CARTESIAN_POINT('Ctrl Pts',(1.125,0.04,0.0900000000000006)); #259713=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0453226483743912,0.0926038465579742)); #259714=CARTESIAN_POINT('Ctrl Pts',(1.12991690582334,0.0500856838538756, 0.0949285104450943)); #259715=CARTESIAN_POINT('Ctrl Pts',(1.13514335688585,0.0499972789747727, 0.0948853627319177)); #259716=CARTESIAN_POINT('Origin',(1.91,0.,0.615000000000001)); #259717=CARTESIAN_POINT('Origin',(1.91,0.015,0.615000000000001)); #259718=CARTESIAN_POINT('Origin',(1.83125,0.015,0.615000000000001)); #259719=CARTESIAN_POINT('',(1.895,0.025,0.615000000000001)); #259720=CARTESIAN_POINT('Origin',(1.91,0.015,0.615000000000001)); #259721=CARTESIAN_POINT('',(1.83125,0.025,0.615000000000001)); #259722=CARTESIAN_POINT('Origin',(1.7625,0.025,0.5)); #259723=CARTESIAN_POINT('',(1.895,0.025,0.4375)); #259724=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,0.385)); #259725=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,0.379764012244017)); #259726=CARTESIAN_POINT('Ctrl Pts',(1.90214601836603,0.020235987755983, 0.375)); #259727=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.375)); #259728=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,0.385)); #259729=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,0.381199098791221)); #259730=CARTESIAN_POINT('Ctrl Pts',(1.90301868299202,0.0211086523819801, 0.375)); #259731=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.375)); #259732=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,0.385)); #259733=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,0.381199098791221)); #259734=CARTESIAN_POINT('Ctrl Pts',(1.90389134761802,0.0219813170079773, 0.375)); #259735=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.375)); #259736=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,0.385)); #259737=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,0.379764012244017)); #259738=CARTESIAN_POINT('Ctrl Pts',(1.90476401224402,0.0228539816339745, 0.375)); #259739=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.375)); #259740=CARTESIAN_POINT('Origin',(1.895,0.03,0.385)); #259741=CARTESIAN_POINT('Origin',(1.895,0.03,0.4375)); #259742=CARTESIAN_POINT('Origin',(1.895,0.03,0.615000000000001)); #259743=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.625000000000001)); #259744=CARTESIAN_POINT('Ctrl Pts',(1.90214601836603,0.020235987755983, 0.625000000000001)); #259745=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,0.620235987755984)); #259746=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,0.615000000000001)); #259747=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.625000000000001)); #259748=CARTESIAN_POINT('Ctrl Pts',(1.90301868299202,0.0211086523819802, 0.625000000000001)); #259749=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,0.618800901208779)); #259750=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,0.615000000000001)); #259751=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.625000000000001)); #259752=CARTESIAN_POINT('Ctrl Pts',(1.90389134761802,0.0219813170079773, 0.625000000000001)); #259753=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,0.618800901208779)); #259754=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,0.615000000000001)); #259755=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.625000000000001)); #259756=CARTESIAN_POINT('Ctrl Pts',(1.90476401224402,0.0228539816339745, 0.625000000000001)); #259757=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,0.620235987755984)); #259758=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,0.615000000000001)); #259759=CARTESIAN_POINT('Origin',(1.91,0.,1.115)); #259760=CARTESIAN_POINT('Origin',(1.91,0.015,1.115)); #259761=CARTESIAN_POINT('Origin',(1.83125,0.015,1.115)); #259762=CARTESIAN_POINT('',(1.895,0.025,1.115)); #259763=CARTESIAN_POINT('Origin',(1.91,0.015,1.115)); #259764=CARTESIAN_POINT('',(1.83125,0.025,1.115)); #259765=CARTESIAN_POINT('Origin',(1.7625,0.025,1.)); #259766=CARTESIAN_POINT('',(1.895,0.025,0.937500000000001)); #259767=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,0.885000000000001)); #259768=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,0.879764012244018)); #259769=CARTESIAN_POINT('Ctrl Pts',(1.90214601836603,0.020235987755983, 0.875000000000001)); #259770=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.875000000000001)); #259771=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,0.885000000000001)); #259772=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,0.881199098791222)); #259773=CARTESIAN_POINT('Ctrl Pts',(1.90301868299202,0.0211086523819801, 0.875000000000001)); #259774=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.875000000000001)); #259775=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,0.885000000000001)); #259776=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,0.881199098791222)); #259777=CARTESIAN_POINT('Ctrl Pts',(1.90389134761802,0.0219813170079773, 0.875000000000001)); #259778=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.875000000000001)); #259779=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,0.885000000000001)); #259780=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,0.879764012244018)); #259781=CARTESIAN_POINT('Ctrl Pts',(1.90476401224402,0.0228539816339745, 0.875000000000001)); #259782=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,0.875000000000001)); #259783=CARTESIAN_POINT('Origin',(1.895,0.03,0.885000000000001)); #259784=CARTESIAN_POINT('Origin',(1.895,0.03,0.937500000000001)); #259785=CARTESIAN_POINT('Origin',(1.895,0.03,1.115)); #259786=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.125)); #259787=CARTESIAN_POINT('Ctrl Pts',(1.90214601836603,0.020235987755983, 1.125)); #259788=CARTESIAN_POINT('Ctrl Pts',(1.895,0.0250000000000001,1.12023598775598)); #259789=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,1.115)); #259790=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.125)); #259791=CARTESIAN_POINT('Ctrl Pts',(1.90301868299202,0.0211086523819803, 1.125)); #259792=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,1.11880090120878)); #259793=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,1.115)); #259794=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.125)); #259795=CARTESIAN_POINT('Ctrl Pts',(1.90389134761802,0.0219813170079775, 1.125)); #259796=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220086,1.11880090120878)); #259797=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,1.115)); #259798=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.125)); #259799=CARTESIAN_POINT('Ctrl Pts',(1.90476401224402,0.0228539816339748, 1.125)); #259800=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0300000000000002,1.12023598775598)); #259801=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,1.115)); #259802=CARTESIAN_POINT('Origin',(1.91,0.,1.615)); #259803=CARTESIAN_POINT('Origin',(1.91,0.015,1.615)); #259804=CARTESIAN_POINT('Origin',(1.83125,0.015,1.615)); #259805=CARTESIAN_POINT('',(1.895,0.025,1.615)); #259806=CARTESIAN_POINT('Origin',(1.91,0.015,1.615)); #259807=CARTESIAN_POINT('',(1.83125,0.025,1.615)); #259808=CARTESIAN_POINT('Origin',(1.7625,0.025,1.5)); #259809=CARTESIAN_POINT('',(1.895,0.025,1.4375)); #259810=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,1.385)); #259811=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,1.37976401224402)); #259812=CARTESIAN_POINT('Ctrl Pts',(1.90214601836603,0.020235987755983, 1.375)); #259813=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.375)); #259814=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,1.385)); #259815=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,1.38119909879122)); #259816=CARTESIAN_POINT('Ctrl Pts',(1.90301868299202,0.0211086523819801, 1.375)); #259817=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.375)); #259818=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,1.385)); #259819=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,1.38119909879122)); #259820=CARTESIAN_POINT('Ctrl Pts',(1.90389134761802,0.0219813170079773, 1.375)); #259821=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.375)); #259822=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,1.385)); #259823=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,1.37976401224402)); #259824=CARTESIAN_POINT('Ctrl Pts',(1.90476401224402,0.0228539816339745, 1.375)); #259825=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.375)); #259826=CARTESIAN_POINT('Origin',(1.895,0.03,1.385)); #259827=CARTESIAN_POINT('Origin',(1.895,0.03,1.4375)); #259828=CARTESIAN_POINT('Origin',(1.895,0.03,1.615)); #259829=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.625)); #259830=CARTESIAN_POINT('Ctrl Pts',(1.90214601836603,0.0202359877559831, 1.625)); #259831=CARTESIAN_POINT('Ctrl Pts',(1.895,0.0250000000000003,1.62023598775598)); #259832=CARTESIAN_POINT('Ctrl Pts',(1.895,0.025,1.615)); #259833=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.625)); #259834=CARTESIAN_POINT('Ctrl Pts',(1.90301868299202,0.0211086523819806, 1.625)); #259835=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.0250000000000001, 1.61880090120878)); #259836=CARTESIAN_POINT('Ctrl Pts',(1.89761799387799,0.025,1.615)); #259837=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.625)); #259838=CARTESIAN_POINT('Ctrl Pts',(1.90389134761802,0.0219813170079781, 1.625)); #259839=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220089,1.61880090120878)); #259840=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0273820061220085,1.615)); #259841=CARTESIAN_POINT('Ctrl Pts',(1.91,0.015,1.625)); #259842=CARTESIAN_POINT('Ctrl Pts',(1.90476401224402,0.0228539816339755, 1.625)); #259843=CARTESIAN_POINT('Ctrl Pts',(1.9,0.0300000000000007,1.62023598775598)); #259844=CARTESIAN_POINT('Ctrl Pts',(1.9,0.03,1.615)); #259845=CARTESIAN_POINT('Origin',(1.135,0.,1.91)); #259846=CARTESIAN_POINT('Origin',(1.135,0.015,1.91)); #259847=CARTESIAN_POINT('Origin',(1.135,0.015,1.83125)); #259848=CARTESIAN_POINT('',(1.135,0.025,1.895)); #259849=CARTESIAN_POINT('Origin',(1.135,0.015,1.91)); #259850=CARTESIAN_POINT('',(1.135,0.025,1.83125)); #259851=CARTESIAN_POINT('Origin',(1.25,0.025,1.7625)); #259852=CARTESIAN_POINT('',(1.3125,0.025,1.895)); #259853=CARTESIAN_POINT('Ctrl Pts',(1.365,0.025,1.895)); #259854=CARTESIAN_POINT('Ctrl Pts',(1.37023598775598,0.025,1.895)); #259855=CARTESIAN_POINT('Ctrl Pts',(1.375,0.020235987755983,1.90214601836603)); #259856=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,1.91)); #259857=CARTESIAN_POINT('Ctrl Pts',(1.365,0.025,1.89761799387799)); #259858=CARTESIAN_POINT('Ctrl Pts',(1.36880090120878,0.025,1.89761799387799)); #259859=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0211086523819801,1.90301868299202)); #259860=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,1.91)); #259861=CARTESIAN_POINT('Ctrl Pts',(1.365,0.0273820061220085,1.9)); #259862=CARTESIAN_POINT('Ctrl Pts',(1.36880090120878,0.0273820061220085, 1.9)); #259863=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0219813170079773,1.90389134761802)); #259864=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,1.91)); #259865=CARTESIAN_POINT('Ctrl Pts',(1.365,0.03,1.9)); #259866=CARTESIAN_POINT('Ctrl Pts',(1.37023598775598,0.03,1.9)); #259867=CARTESIAN_POINT('Ctrl Pts',(1.375,0.0228539816339745,1.90476401224402)); #259868=CARTESIAN_POINT('Ctrl Pts',(1.375,0.015,1.91)); #259869=CARTESIAN_POINT('Origin',(1.365,0.03,1.895)); #259870=CARTESIAN_POINT('Origin',(1.3125,0.03,1.895)); #259871=CARTESIAN_POINT('Origin',(1.135,0.03,1.895)); #259872=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,1.91)); #259873=CARTESIAN_POINT('Ctrl Pts',(1.125,0.020235987755983,1.90214601836603)); #259874=CARTESIAN_POINT('Ctrl Pts',(1.12976401224402,0.0250000000000001, 1.895)); #259875=CARTESIAN_POINT('Ctrl Pts',(1.135,0.025,1.895)); #259876=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,1.91)); #259877=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0211086523819803,1.90301868299202)); #259878=CARTESIAN_POINT('Ctrl Pts',(1.13119909879122,0.025,1.89761799387799)); #259879=CARTESIAN_POINT('Ctrl Pts',(1.135,0.025,1.89761799387799)); #259880=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,1.91)); #259881=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0219813170079775,1.90389134761802)); #259882=CARTESIAN_POINT('Ctrl Pts',(1.13119909879122,0.0273820061220086, 1.9)); #259883=CARTESIAN_POINT('Ctrl Pts',(1.135,0.0273820061220085,1.9)); #259884=CARTESIAN_POINT('Ctrl Pts',(1.125,0.015,1.91)); #259885=CARTESIAN_POINT('Ctrl Pts',(1.125,0.0228539816339748,1.90476401224402)); #259886=CARTESIAN_POINT('Ctrl Pts',(1.12976401224402,0.0300000000000002, 1.9)); #259887=CARTESIAN_POINT('Ctrl Pts',(1.135,0.03,1.9)); #259888=CARTESIAN_POINT('Origin',(0.0899999999999996,0.,1.385)); #259889=CARTESIAN_POINT('Origin',(0.0899999999999996,0.015,1.385)); #259890=CARTESIAN_POINT('Origin',(0.168749999999999,0.015,1.385)); #259891=CARTESIAN_POINT('',(0.105,0.025,1.385)); #259892=CARTESIAN_POINT('Origin',(0.0899999999999996,0.015,1.385)); #259893=CARTESIAN_POINT('',(0.168749999999999,0.025,1.385)); #259894=CARTESIAN_POINT('Origin',(0.237499999999999,0.025,1.5)); #259895=CARTESIAN_POINT('',(0.105,0.025,1.5625)); #259896=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,1.615)); #259897=CARTESIAN_POINT('Ctrl Pts',(0.105,0.0250000000000001,1.62023598775598)); #259898=CARTESIAN_POINT('Ctrl Pts',(0.097853981633974,0.0202359877559831, 1.625)); #259899=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.625)); #259900=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,1.615)); #259901=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.0250000000000001, 1.61880090120878)); #259902=CARTESIAN_POINT('Ctrl Pts',(0.096981317007977,0.0211086523819801, 1.625)); #259903=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.625)); #259904=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999995,0.0273820061220085, 1.615)); #259905=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999997,0.0273820061220086, 1.61880090120878)); #259906=CARTESIAN_POINT('Ctrl Pts',(0.09610865238198,0.0219813170079772, 1.625)); #259907=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.625)); #259908=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999995,0.03,1.615)); #259909=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999997,0.03,1.62023598775598)); #259910=CARTESIAN_POINT('Ctrl Pts',(0.0952359877559829,0.0228539816339742, 1.625)); #259911=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999995,0.015,1.625)); #259912=CARTESIAN_POINT('Origin',(0.105,0.03,1.615)); #259913=CARTESIAN_POINT('Origin',(0.105,0.03,1.5625)); #259914=CARTESIAN_POINT('Origin',(0.105,0.03,1.385)); #259915=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,1.375)); #259916=CARTESIAN_POINT('Ctrl Pts',(0.0978539816339742,0.020235987755983, 1.375)); #259917=CARTESIAN_POINT('Ctrl Pts',(0.105,0.0250000000000002,1.37976401224402)); #259918=CARTESIAN_POINT('Ctrl Pts',(0.105,0.025,1.385)); #259919=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,1.375)); #259920=CARTESIAN_POINT('Ctrl Pts',(0.0969813170079769,0.0211086523819804, 1.375)); #259921=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.0250000000000001, 1.38119909879122)); #259922=CARTESIAN_POINT('Ctrl Pts',(0.102382006122008,0.025,1.385)); #259923=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,1.375)); #259924=CARTESIAN_POINT('Ctrl Pts',(0.0961086523819796,0.0219813170079777, 1.375)); #259925=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999995,0.0273820061220087, 1.38119909879122)); #259926=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.0273820061220085, 1.385)); #259927=CARTESIAN_POINT('Ctrl Pts',(0.0899999999999996,0.015,1.375)); #259928=CARTESIAN_POINT('Ctrl Pts',(0.0952359877559823,0.022853981633975, 1.375)); #259929=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.0300000000000004, 1.37976401224402)); #259930=CARTESIAN_POINT('Ctrl Pts',(0.0999999999999996,0.03,1.385)); #259931=CARTESIAN_POINT('Origin',(0.635000000000001,0.,0.0899999999999998)); #259932=CARTESIAN_POINT('Origin',(0.635000000000001,0.015,0.0899999999999998)); #259933=CARTESIAN_POINT('Origin',(0.635000000000001,0.04,0.0899999999999998)); #259934=CARTESIAN_POINT('Origin',(0.635000000000001,0.04,0.0250000000000003)); #259935=CARTESIAN_POINT('',(0.635000000000001,0.05,0.0949999999999998)); #259936=CARTESIAN_POINT('Origin',(0.635000000000001,0.04,0.0899999999999998)); #259937=CARTESIAN_POINT('',(0.635000000000001,0.05,0.0250000000000003)); #259938=CARTESIAN_POINT('Origin',(0.750000000000001,0.05,0.0500000000000003)); #259939=CARTESIAN_POINT('',(0.687500000000001,0.05,0.0949999999999999)); #259940=CARTESIAN_POINT('Ctrl Pts',(0.86485664311415,0.0448853627319178, 0.0999972789747728)); #259941=CARTESIAN_POINT('Ctrl Pts',(0.87008309417667,0.0449285104450942, 0.100085683853875)); #259942=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0426038465579737, 0.095322648374391)); #259943=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.04,0.0900000000000004)); #259944=CARTESIAN_POINT('Ctrl Pts',(0.8648137739539,0.0475854082523631, 0.100068588113655)); #259945=CARTESIAN_POINT('Ctrl Pts',(0.871630826821345,0.0476623805620283, 0.100175305181704)); #259946=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0435101138301127, 0.094416381102252)); #259947=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.04,0.0900000000000004)); #259948=CARTESIAN_POINT('Ctrl Pts',(0.8648137739539,0.0500685881136547, 0.0975854082523636)); #259949=CARTESIAN_POINT('Ctrl Pts',(0.871630826821345,0.0501753051817044, 0.0976623805620287)); #259950=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0444163811022517, 0.093510113830113)); #259951=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.04,0.0900000000000004)); #259952=CARTESIAN_POINT('Ctrl Pts',(0.864856643114151,0.0499972789747728, 0.0948853627319183)); #259953=CARTESIAN_POINT('Ctrl Pts',(0.87008309417667,0.0500856838538751, 0.0949285104450946)); #259954=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0453226483743907, 0.092603846557974)); #259955=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.04,0.0900000000000004)); #259956=CARTESIAN_POINT('Origin',(0.865000000000001,0.045,0.0950000000000004)); #259957=CARTESIAN_POINT('Origin',(0.865000000000001,0.04,0.0900000000000004)); #259958=CARTESIAN_POINT('Origin',(0.687500000000001,0.045,0.0949999999999999)); #259959=CARTESIAN_POINT('Origin',(0.635000000000001,0.045,0.0949999999999998)); #259960=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.04,0.0899999999999998)); #259961=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0426038465579737, 0.0953226483743905)); #259962=CARTESIAN_POINT('Ctrl Pts',(0.629916905823332,0.0449285104450941, 0.100085683853875)); #259963=CARTESIAN_POINT('Ctrl Pts',(0.635143356885851,0.0448853627319178, 0.0999972789747726)); #259964=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.04,0.0899999999999998)); #259965=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0435101138301127, 0.0944163811022515)); #259966=CARTESIAN_POINT('Ctrl Pts',(0.628369173178656,0.0476623805620283, 0.100175305181704)); #259967=CARTESIAN_POINT('Ctrl Pts',(0.635186226046102,0.0475854082523631, 0.100068588113655)); #259968=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.04,0.0899999999999998)); #259969=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0444163811022517, 0.0935101138301125)); #259970=CARTESIAN_POINT('Ctrl Pts',(0.628369173178656,0.0501753051817045, 0.0976623805620281)); #259971=CARTESIAN_POINT('Ctrl Pts',(0.635186226046102,0.0500685881136547, 0.0975854082523629)); #259972=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.04,0.0899999999999998)); #259973=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0453226483743907, 0.0926038465579735)); #259974=CARTESIAN_POINT('Ctrl Pts',(0.629916905823332,0.0500856838538751, 0.0949285104450939)); #259975=CARTESIAN_POINT('Ctrl Pts',(0.635143356885851,0.0499972789747727, 0.0948853627319175)); #259976=CARTESIAN_POINT('Origin',(0.865000000000001,0.,0.0900000000000004)); #259977=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.0900000000000004)); #259978=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.16875)); #259979=CARTESIAN_POINT('',(0.865000000000001,0.025,0.105)); #259980=CARTESIAN_POINT('Origin',(0.865000000000001,0.015,0.0900000000000004)); #259981=CARTESIAN_POINT('',(0.865000000000001,0.025,0.16875)); #259982=CARTESIAN_POINT('Origin',(0.750000000000001,0.025,0.2375)); #259983=CARTESIAN_POINT('',(0.687500000000001,0.025,0.105)); #259984=CARTESIAN_POINT('Ctrl Pts',(0.635000000000001,0.025,0.105)); #259985=CARTESIAN_POINT('Ctrl Pts',(0.629764012244018,0.025,0.105)); #259986=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.020235987755983, 0.0978539816339743)); #259987=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.015,0.0899999999999998)); #259988=CARTESIAN_POINT('Ctrl Pts',(0.635000000000001,0.025,0.102382006122008)); #259989=CARTESIAN_POINT('Ctrl Pts',(0.631199098791222,0.025,0.102382006122008)); #259990=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0211086523819801, 0.0969813170079771)); #259991=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.015,0.0899999999999998)); #259992=CARTESIAN_POINT('Ctrl Pts',(0.635000000000001,0.0273820061220085, 0.0999999999999998)); #259993=CARTESIAN_POINT('Ctrl Pts',(0.631199098791222,0.0273820061220085, 0.0999999999999998)); #259994=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0219813170079773, 0.09610865238198)); #259995=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.015,0.0899999999999998)); #259996=CARTESIAN_POINT('Ctrl Pts',(0.635000000000001,0.03,0.0999999999999998)); #259997=CARTESIAN_POINT('Ctrl Pts',(0.629764012244018,0.03,0.0999999999999998)); #259998=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.0228539816339745, 0.0952359877559828)); #259999=CARTESIAN_POINT('Ctrl Pts',(0.625000000000001,0.015,0.0899999999999998)); #260000=CARTESIAN_POINT('Origin',(0.635000000000001,0.03,0.105)); #260001=CARTESIAN_POINT('Origin',(0.687500000000001,0.03,0.105)); #260002=CARTESIAN_POINT('Origin',(0.865000000000001,0.03,0.105)); #260003=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.015,0.0900000000000004)); #260004=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.020235987755983, 0.0978539816339748)); #260005=CARTESIAN_POINT('Ctrl Pts',(0.870235987755984,0.025,0.105)); #260006=CARTESIAN_POINT('Ctrl Pts',(0.865000000000001,0.025,0.105)); #260007=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.015,0.0900000000000004)); #260008=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0211086523819802, 0.0969813170079777)); #260009=CARTESIAN_POINT('Ctrl Pts',(0.868800901208779,0.025,0.102382006122009)); #260010=CARTESIAN_POINT('Ctrl Pts',(0.865000000000001,0.025,0.102382006122009)); #260011=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.015,0.0900000000000004)); #260012=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0219813170079773, 0.0961086523819805)); #260013=CARTESIAN_POINT('Ctrl Pts',(0.868800901208779,0.0273820061220085, 0.1)); #260014=CARTESIAN_POINT('Ctrl Pts',(0.865000000000001,0.0273820061220085, 0.1)); #260015=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.015,0.0900000000000004)); #260016=CARTESIAN_POINT('Ctrl Pts',(0.875000000000001,0.0228539816339745, 0.0952359877559833)); #260017=CARTESIAN_POINT('Ctrl Pts',(0.870235987755984,0.03,0.1)); #260018=CARTESIAN_POINT('Ctrl Pts',(0.865000000000001,0.03,0.1)); #260019=CARTESIAN_POINT('',(0.,0.,0.)); #260020=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260021=CARTESIAN_POINT('',(2.46660832788951,0.00153054882524532,10.4507872191302)); #260022=CARTESIAN_POINT('',(2.46573927725334,0.00270752003016291,10.5222127808698)); #260023=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260024=CARTESIAN_POINT('',(-1.07013079747383,2.22117975678064,10.6115)); #260025=CARTESIAN_POINT('Ctrl Pts',(2.46660832788951,0.00153054882524532, 10.4507872191302)); #260026=CARTESIAN_POINT('Ctrl Pts',(2.46712828660512,0.0798673592219741, 10.4533270013962)); #260027=CARTESIAN_POINT('Ctrl Pts',(2.46372207941324,0.158155205790619, 10.4558667836623)); #260028=CARTESIAN_POINT('Ctrl Pts',(2.45624211492586,0.236047965681579, 10.4584065659283)); #260029=CARTESIAN_POINT('Ctrl Pts',(2.3781789326998,1.04896047612483,10.484912505386)); #260030=CARTESIAN_POINT('Ctrl Pts',(1.85641661581805,1.81884155286025,10.5114184448437)); #260031=CARTESIAN_POINT('Ctrl Pts',(1.13031449695331,2.19321713487638,10.5379243843015)); #260032=CARTESIAN_POINT('Ctrl Pts',(0.458472492878361,2.53961640126565, 10.5624495895343)); #260033=CARTESIAN_POINT('Ctrl Pts',(-0.388310616270476,2.54741218640684, 10.5869747947672)); #260034=CARTESIAN_POINT('Ctrl Pts',(-1.07143097622525,2.22280249503675, 10.6115)); #260035=CARTESIAN_POINT('',(1.06883061872249,2.21955701852448,10.6115)); #260036=CARTESIAN_POINT('Origin',(0.,0.,10.6115)); #260037=CARTESIAN_POINT('Ctrl Pts',(1.06883061872247,2.21955701852449,10.6115)); #260038=CARTESIAN_POINT('Ctrl Pts',(1.80812834725107,1.86354721926796,10.5849940605423)); #260039=CARTESIAN_POINT('Ctrl Pts',(2.34910583296227,1.11217331593882,10.5584881210846)); #260040=CARTESIAN_POINT('Ctrl Pts',(2.44872105654884,0.30287236287088,10.5319821816268)); #260041=CARTESIAN_POINT('Ctrl Pts',(2.4609595844741,0.203443259994268,10.5287257147078)); #260042=CARTESIAN_POINT('Ctrl Pts',(2.46653615064703,0.103139801009529, 10.5254692477888)); #260043=CARTESIAN_POINT('Ctrl Pts',(2.46573927725334,0.0027075200301629, 10.5222127808698)); #260044=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260045=CARTESIAN_POINT('',(2.46031276731603,0.00146476035877918,9.95078721913018)); #260046=CARTESIAN_POINT('',(2.45994888172573,0.00117907161338688,10.0222127808698)); #260047=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260048=CARTESIAN_POINT('Ctrl Pts',(2.46031276731603,0.00146476035877918, 9.95078721913018)); #260049=CARTESIAN_POINT('Ctrl Pts',(2.46201483220352,0.317263003958141, 9.96095803131657)); #260050=CARTESIAN_POINT('Ctrl Pts',(2.4056401348224,0.632476039602663,9.97112884350295)); #260051=CARTESIAN_POINT('Ctrl Pts',(2.28839078397633,0.92313111806547,9.98129965568933)); #260052=CARTESIAN_POINT('Ctrl Pts',(1.98282971982215,1.68060119731143,10.007805595147)); #260053=CARTESIAN_POINT('Ctrl Pts',(1.26382947070764,2.27128228929288,10.0343115346048)); #260054=CARTESIAN_POINT('Ctrl Pts',(0.461455899086599,2.42403204104442, 10.0608174740625)); #260055=CARTESIAN_POINT('Ctrl Pts',(-0.340917672534443,2.57678179279596, 10.0873234135202)); #260056=CARTESIAN_POINT('Ctrl Pts',(-1.22666456666202,2.2916002043176,10.1138293529779)); #260057=CARTESIAN_POINT('Ctrl Pts',(-1.78916096323667,1.69936692224219, 10.1403352924357)); #260058=CARTESIAN_POINT('Ctrl Pts',(-2.35165735981133,1.10713364016679, 10.1668412318934)); #260059=CARTESIAN_POINT('Ctrl Pts',(-2.59090325883305,0.207848664494336, 10.1933471713511)); #260060=CARTESIAN_POINT('Ctrl Pts',(-2.39708130418811,-0.585583916290703, 10.2198531108088)); #260061=CARTESIAN_POINT('Ctrl Pts',(-2.20325934954317,-1.37901649707574, 10.2463590502665)); #260062=CARTESIAN_POINT('Ctrl Pts',(-1.57636954123156,-2.06659668297337, 10.2728649897242)); #260063=CARTESIAN_POINT('Ctrl Pts',(-0.804172449540321,-2.332816036621, 10.299370929182)); #260064=CARTESIAN_POINT('Ctrl Pts',(-0.0319753578490765,-2.59903539026862, 10.3258768686397)); #260065=CARTESIAN_POINT('Ctrl Pts',(0.885529017221804,-2.44389391166624, 10.3523828080974)); #260066=CARTESIAN_POINT('Ctrl Pts',(1.52709918097205,-1.93835120028951, 10.3788887475551)); #260067=CARTESIAN_POINT('Ctrl Pts',(2.10719449954991,-1.48124927008665, 10.4028549047468)); #260068=CARTESIAN_POINT('Ctrl Pts',(2.46170183945613,-0.737679397227116, 10.4268210619385)); #260069=CARTESIAN_POINT('Ctrl Pts',(2.46660832788951,0.00153054882524532, 10.4507872191302)); #260070=CARTESIAN_POINT('Ctrl Pts',(2.46573927725334,0.0027075200301629, 10.5222127808698)); #260071=CARTESIAN_POINT('Ctrl Pts',(2.46005001752979,-0.714326497728524, 10.4989633083311)); #260072=CARTESIAN_POINT('Ctrl Pts',(2.12949290374532,-1.4379268482363,10.4757138357924)); #260073=CARTESIAN_POINT('Ctrl Pts',(1.57906532861279,-1.89678289414444, 10.4524643632537)); #260074=CARTESIAN_POINT('Ctrl Pts',(0.951541415628257,-2.41990919528509, 10.4259584237959)); #260075=CARTESIAN_POINT('Ctrl Pts',(0.0382406281972662,-2.59893379475962, 10.3994524843382)); #260076=CARTESIAN_POINT('Ctrl Pts',(-0.740943595305716,-2.35346843295228, 10.3729465448805)); #260077=CARTESIAN_POINT('Ctrl Pts',(-1.5201278188087,-2.10800307114493, 10.3464406054228)); #260078=CARTESIAN_POINT('Ctrl Pts',(-2.16519547838367,-1.4380477480557, 10.3199346659651)); #260079=CARTESIAN_POINT('Ctrl Pts',(-2.38034133447389,-0.65024526738051, 10.2934287265074)); #260080=CARTESIAN_POINT('Ctrl Pts',(-2.59548719056411,0.137557213294687, 10.2669227870496)); #260081=CARTESIAN_POINT('Ctrl Pts',(-2.38071124316958,1.04320685155585, 10.2404168475919)); #260082=CARTESIAN_POINT('Ctrl Pts',(-1.83444036195436,1.65047437463497, 10.2139109081342)); #260083=CARTESIAN_POINT('Ctrl Pts',(-1.28816948073913,2.25774189771408, 10.1874049686765)); #260084=CARTESIAN_POINT('Ctrl Pts',(-0.410403665703213,2.56662730561115, 10.1608990292187)); #260085=CARTESIAN_POINT('Ctrl Pts',(0.395814597417821,2.43558088416066, 10.134393089761)); #260086=CARTESIAN_POINT('Ctrl Pts',(1.20203286053885,2.30453446271017,10.1078871503033)); #260087=CARTESIAN_POINT('Ctrl Pts',(1.936703571745,1.73355621191212,10.0813812108456)); #260088=CARTESIAN_POINT('Ctrl Pts',(2.26261043671678,0.984632407387764, 10.0548752713879)); #260089=CARTESIAN_POINT('Ctrl Pts',(2.39647892185239,0.677006806428556, 10.0439877745485)); #260090=CARTESIAN_POINT('Ctrl Pts',(2.46138027636921,0.339358016383685, 10.0331002777092)); #260091=CARTESIAN_POINT('Ctrl Pts',(2.45994888172573,0.00117907161338688, 10.0222127808698)); #260092=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260093=CARTESIAN_POINT('',(2.46128760093284,-0.00195333877351545,9.45078721913018)); #260094=CARTESIAN_POINT('',(2.46186179284016,-0.00211784480673139,9.52221278086983)); #260095=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260096=CARTESIAN_POINT('Ctrl Pts',(2.46128760093284,-0.00195333877351545, 9.45078721913018)); #260097=CARTESIAN_POINT('Ctrl Pts',(2.45720475559203,0.550233537631987, 9.4685890612369)); #260098=CARTESIAN_POINT('Ctrl Pts',(2.27355657668331,1.10488196665709,9.48639090334363)); #260099=CARTESIAN_POINT('Ctrl Pts',(1.93242692876765,1.53447924325763,9.50419274545035)); #260100=CARTESIAN_POINT('Ctrl Pts',(1.42450411152897,2.17412537293956,9.53069868490807)); #260101=CARTESIAN_POINT('Ctrl Pts',(0.56745225680168,2.53653895736944,9.55720462436579)); #260102=CARTESIAN_POINT('Ctrl Pts',(-0.24528443388136,2.45534827687785, 9.58371056382351)); #260103=CARTESIAN_POINT('Ctrl Pts',(-1.0580211245644,2.37415759638626,9.61021650328124)); #260104=CARTESIAN_POINT('Ctrl Pts',(-1.8264426512032,1.8493626509732,9.63672244273896)); #260105=CARTESIAN_POINT('Ctrl Pts',(-2.19779186429807,1.12187871609002, 9.66322838219668)); #260106=CARTESIAN_POINT('Ctrl Pts',(-2.56914107739294,0.394394781206841, 9.6897343216544)); #260107=CARTESIAN_POINT('Ctrl Pts',(-2.54341797694388,-0.535778143146453, 9.71624026111212)); #260108=CARTESIAN_POINT('Ctrl Pts',(-2.13243145551747,-1.24162679170386, 9.74274620056984)); #260109=CARTESIAN_POINT('Ctrl Pts',(-1.72144493409105,-1.94747544026127, 9.76925214002756)); #260110=CARTESIAN_POINT('Ctrl Pts',(-0.925194991687282,-2.4289998130228, 9.79575807948528)); #260111=CARTESIAN_POINT('Ctrl Pts',(-0.109213244518442,-2.46515081747308, 9.822264018943)); #260112=CARTESIAN_POINT('Ctrl Pts',(0.706768502650399,-2.50130182192336, 9.84876995840071)); #260113=CARTESIAN_POINT('Ctrl Pts',(1.54248205458431,-2.09207945806239, 9.87527589785843)); #260114=CARTESIAN_POINT('Ctrl Pts',(2.01427789095433,-1.42533874287667, 9.90178183731616)); #260115=CARTESIAN_POINT('Ctrl Pts',(2.30503706383653,-1.01443859512243, 9.9181169645875)); #260116=CARTESIAN_POINT('Ctrl Pts',(2.45757911674302,-0.505732148474927, 9.93445209185884)); #260117=CARTESIAN_POINT('Ctrl Pts',(2.46031276731603,0.00146476035877918, 9.95078721913018)); #260118=CARTESIAN_POINT('Ctrl Pts',(2.45994888172573,0.00117907161338688, 10.0222127808698)); #260119=CARTESIAN_POINT('Ctrl Pts',(2.45789550293414,-0.483948825237047, 10.0065943382515)); #260120=CARTESIAN_POINT('Ctrl Pts',(2.31933749927607,-0.970167715191671, 9.99097589563309)); #260121=CARTESIAN_POINT('Ctrl Pts',(2.05205179223394,-1.37041161478891, 9.97535745301471)); #260122=CARTESIAN_POINT('Ctrl Pts',(1.5984432640419,-2.04966247419055,9.94885151355699)); #260123=CARTESIAN_POINT('Ctrl Pts',(0.774083188920422,-2.48129483474315, 9.92234557409926)); #260124=CARTESIAN_POINT('Ctrl Pts',(-0.0425789423923072,-2.46719669015312, 9.89583963464154)); #260125=CARTESIAN_POINT('Ctrl Pts',(-0.859241073705033,-2.45309854556308, 9.86933369518382)); #260126=CARTESIAN_POINT('Ctrl Pts',(-1.668205261209,-1.99326989583041,9.84282775572611)); #260127=CARTESIAN_POINT('Ctrl Pts',(-2.09810949288394,-1.29878390497299, 9.81632181626839)); #260128=CARTESIAN_POINT('Ctrl Pts',(-2.52801372455888,-0.604297914115579, 9.78981587681067)); #260129=CARTESIAN_POINT('Ctrl Pts',(-2.57885800040477,0.324845417866576, 9.76330993735294)); #260130=CARTESIAN_POINT('Ctrl Pts',(-2.2272978149971,1.06209699627256,9.73680399789522)); #260131=CARTESIAN_POINT('Ctrl Pts',(-1.87573762958943,1.79934857467855, 9.7102980584375)); #260132=CARTESIAN_POINT('Ctrl Pts',(-1.1217729829282,2.34470839950836,9.68379211897978)); #260133=CARTESIAN_POINT('Ctrl Pts',(-0.311526086176947,2.44782499436245, 9.65728617952206)); #260134=CARTESIAN_POINT('Ctrl Pts',(0.4987208105743,2.55094158921653,9.63078024006434)); #260135=CARTESIAN_POINT('Ctrl Pts',(1.36524995741556,2.21181495409488,9.60427430060662)); #260136=CARTESIAN_POINT('Ctrl Pts',(1.89026735421557,1.58612419434696,9.5777683611489)); #260137=CARTESIAN_POINT('Ctrl Pts',(2.25707377235637,1.14898175326637,9.55924983438921)); #260138=CARTESIAN_POINT('Ctrl Pts',(2.45718152843011,0.57196167645041,9.54073130762952)); #260139=CARTESIAN_POINT('Ctrl Pts',(2.46186179284016,-0.00211784480673139, 9.52221278086983)); #260140=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260141=CARTESIAN_POINT('',(2.46738514554967,-0.000613092189337416,8.95078721913018)); #260142=CARTESIAN_POINT('',(2.46754827397441,-0.00020635897220888,9.02221278086983)); #260143=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260144=CARTESIAN_POINT('Ctrl Pts',(2.46738514554967,-0.000613092189337416, 8.95078721913018)); #260145=CARTESIAN_POINT('Ctrl Pts',(2.46477149531028,0.783259638820506, 8.97622009115724)); #260146=CARTESIAN_POINT('Ctrl Pts',(2.05910360495498,1.56945925765385,9.00165296318432)); #260147=CARTESIAN_POINT('Ctrl Pts',(1.4176319066479,2.0197079022502,9.02708583521138)); #260148=CARTESIAN_POINT('Ctrl Pts',(0.749095141273046,2.4889535030573,9.0535917746691)); #260149=CARTESIAN_POINT('Ctrl Pts',(-0.175563341627978,2.59330109278665, 9.08009771412682)); #260150=CARTESIAN_POINT('Ctrl Pts',(-0.931863293032402,2.28484813910255, 9.10660365358454)); #260151=CARTESIAN_POINT('Ctrl Pts',(-1.68816324443683,1.97639518541846, 9.13310959304226)); #260152=CARTESIAN_POINT('Ctrl Pts',(-2.27610466434465,1.25514168832091, 9.15961553249998)); #260153=CARTESIAN_POINT('Ctrl Pts',(-2.42578301117583,0.452191352914148, 9.1861214719577)); #260154=CARTESIAN_POINT('Ctrl Pts',(-2.57546135800701,-0.350758982492622, 9.21262741141543)); #260155=CARTESIAN_POINT('Ctrl Pts',(-2.28687663176155,-1.23540615620861, 9.23913335087315)); #260156=CARTESIAN_POINT('Ctrl Pts',(-1.6925085796349,-1.79563775977471, 9.26563929033087)); #260157=CARTESIAN_POINT('Ctrl Pts',(-1.09814052750824,-2.3558693633408, 9.29214522978859)); #260158=CARTESIAN_POINT('Ctrl Pts',(-0.197989149500405,-2.59168539675698, 9.31865116924631)); #260159=CARTESIAN_POINT('Ctrl Pts',(0.594715719003358,-2.39483054781826, 9.34515710870403)); #260160=CARTESIAN_POINT('Ctrl Pts',(1.38742058750712,-2.19797569887954, 9.37166304816175)); #260161=CARTESIAN_POINT('Ctrl Pts',(2.07267894650681,-1.5684499675859,9.39816898761947)); #260162=CARTESIAN_POINT('Ctrl Pts',(2.33591123613162,-0.79524776447209, 9.42467492707719)); #260163=CARTESIAN_POINT('Ctrl Pts',(2.42235221961286,-0.541341380258027, 9.43337902442819)); #260164=CARTESIAN_POINT('Ctrl Pts',(2.46328388216612,-0.271941596132556, 9.44208312177918)); #260165=CARTESIAN_POINT('Ctrl Pts',(2.46128760093284,-0.00195333877351545, 9.45078721913018)); #260166=CARTESIAN_POINT('Ctrl Pts',(2.46186179284016,-0.00211784480673139, 9.52221278086983)); #260167=CARTESIAN_POINT('Ctrl Pts',(2.46388048492425,-0.249729877733156, 9.5142253681718)); #260168=CARTESIAN_POINT('Ctrl Pts',(2.42954242819426,-0.49679485845666, 9.50623795547377)); #260169=CARTESIAN_POINT('Ctrl Pts',(2.35654237808862,-0.731852840178362, 9.49825054277574)); #260170=CARTESIAN_POINT('Ctrl Pts',(2.11429435840289,-1.51188423058154, 9.47174460331802)); #260171=CARTESIAN_POINT('Ctrl Pts',(1.44629267227269,-2.1596921270137,9.4452386638603)); #260172=CARTESIAN_POINT('Ctrl Pts',(0.65919516199564,-2.37789002640251, 9.41873272440258)); #260173=CARTESIAN_POINT('Ctrl Pts',(-0.127902348281412,-2.59608792579133, 9.39222678494486)); #260174=CARTESIAN_POINT('Ctrl Pts',(-1.03409568270531,-2.38467582813679, 9.36572084548714)); #260175=CARTESIAN_POINT('Ctrl Pts',(-1.64338147543473,-1.8407056624284, 9.33921490602942)); #260176=CARTESIAN_POINT('Ctrl Pts',(-2.25266726816415,-1.29673549672001, 9.3127089665717)); #260177=CARTESIAN_POINT('Ctrl Pts',(-2.56504551919909,-0.420207262957756, 9.28620302711397)); #260178=CARTESIAN_POINT('Ctrl Pts',(-2.43711358813968,0.38649358948525, 9.25969708765625)); #260179=CARTESIAN_POINT('Ctrl Pts',(-2.30918165708028,1.19319444192826, 9.23319114819853)); #260180=CARTESIAN_POINT('Ctrl Pts',(-1.74093954392654,1.93006791305202, 9.20668520874081)); #260181=CARTESIAN_POINT('Ctrl Pts',(-0.993248524097377,2.25883977618833, 9.18017926928309)); #260182=CARTESIAN_POINT('Ctrl Pts',(-0.24555750426822,2.58761163932464, 9.15367332982537)); #260183=CARTESIAN_POINT('Ctrl Pts',(0.68158242223635,2.50828189447351,9.12716739036765)); #260184=CARTESIAN_POINT('Ctrl Pts',(1.36255187664382,2.05726815609914,9.10066145090993)); #260185=CARTESIAN_POINT('Ctrl Pts',(2.03436542899132,1.61231847476804,9.0745118942299)); #260186=CARTESIAN_POINT('Ctrl Pts',(2.4665837244636,0.805612482267844,9.04836233754987)); #260187=CARTESIAN_POINT('Ctrl Pts',(2.46754827397441,-0.00020635897220888, 9.02221278086983)); #260188=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260189=CARTESIAN_POINT('',(2.46313717579751,0.00227120724170957,8.45078721913018)); #260190=CARTESIAN_POINT('',(2.46248077051861,0.00222483085362357,8.52221278086983)); #260191=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260192=CARTESIAN_POINT('Ctrl Pts',(2.46313717579751,0.00227120724170957, 8.45078721913018)); #260193=CARTESIAN_POINT('Ctrl Pts',(2.46498833152889,0.205302702966775, 8.45734518161987)); #260194=CARTESIAN_POINT('Ctrl Pts',(2.44199488317385,0.40792052403968,8.46390314410956)); #260195=CARTESIAN_POINT('Ctrl Pts',(2.3925411932744,0.603859972853188,8.47046110659925)); #260196=CARTESIAN_POINT('Ctrl Pts',(2.19265952080427,1.39580704113759,8.49696704605697)); #260197=CARTESIAN_POINT('Ctrl Pts',(1.56052053364813,2.07865551126768,8.52347298551469)); #260198=CARTESIAN_POINT('Ctrl Pts',(0.786318417697922,2.33893214404566, 8.54997892497241)); #260199=CARTESIAN_POINT('Ctrl Pts',(0.0121163017477201,2.59920877682363, 8.57648486443014)); #260200=CARTESIAN_POINT('Ctrl Pts',(-0.904148942996549,2.43691357224949, 8.60299080388786)); #260201=CARTESIAN_POINT('Ctrl Pts',(-1.54185012516398,1.9265509128043,8.62949674334557)); #260202=CARTESIAN_POINT('Ctrl Pts',(-2.17955130733142,1.4161882533591,8.6560026828033)); #260203=CARTESIAN_POINT('Ctrl Pts',(-2.53868842692202,0.557758139042852, 8.68250862226101)); #260204=CARTESIAN_POINT('Ctrl Pts',(-2.45439346251393,-0.254662442342042, 8.70901456171874)); #260205=CARTESIAN_POINT('Ctrl Pts',(-2.37009849810585,-1.06708302372694, 8.73552050117646)); #260206=CARTESIAN_POINT('Ctrl Pts',(-1.84237144969909,-1.83349407218048, 8.76202644063418)); #260207=CARTESIAN_POINT('Ctrl Pts',(-1.11347442161302,-2.20206152083482, 8.7885323800919)); #260208=CARTESIAN_POINT('Ctrl Pts',(-0.384577393526941,-2.57062896948916, 8.81503831954962)); #260209=CARTESIAN_POINT('Ctrl Pts',(0.545489614238449,-2.54135281834431, 8.84154425900734)); #260210=CARTESIAN_POINT('Ctrl Pts',(1.24976347574052,-2.12767278529307, 8.86805019846506)); #260211=CARTESIAN_POINT('Ctrl Pts',(1.9540373372426,-1.71399275224183,8.89455613792278)); #260212=CARTESIAN_POINT('Ctrl Pts',(2.43251805248136,-0.915908837284207, 8.9210620773805)); #260213=CARTESIAN_POINT('Ctrl Pts',(2.4655508861764,-0.0997950738629695, 8.94756801683822)); #260214=CARTESIAN_POINT('Ctrl Pts',(2.46688818863409,-0.0667554941630602, 8.94864108426887)); #260215=CARTESIAN_POINT('Ctrl Pts',(2.4674954210602,-0.0336863643124316, 8.94971415169952)); #260216=CARTESIAN_POINT('Ctrl Pts',(2.46738514554967,-0.000613092189337416, 8.95078721913018)); #260217=CARTESIAN_POINT('Ctrl Pts',(2.46754827397441,-0.00020635897220888, 9.02221278086983)); #260218=CARTESIAN_POINT('Ctrl Pts',(2.46756141946816,-0.0111885702757605, 9.02185639809214)); #260219=CARTESIAN_POINT('Ctrl Pts',(2.46749446401756,-0.0221706168000966, 9.02150001531446)); #260220=CARTESIAN_POINT('Ctrl Pts',(2.46734692246237,-0.0331515805572559, 9.02114363253677)); #260221=CARTESIAN_POINT('Ctrl Pts',(2.45637353156309,-0.849859846941672, 8.99463769307905)); #260222=CARTESIAN_POINT('Ctrl Pts',(1.99962776745417,-1.66057864781289, 8.96813175362132)); #260223=CARTESIAN_POINT('Ctrl Pts',(1.30678657064045,-2.09313370345293, 8.9416258141636)); #260224=CARTESIAN_POINT('Ctrl Pts',(0.613945373826732,-2.52568875909298, 8.91511987470589)); #260225=CARTESIAN_POINT('Ctrl Pts',(-0.314991255691778,-2.58008006950186, 8.88861393524817)); #260226=CARTESIAN_POINT('Ctrl Pts',(-1.05357911418765,-2.23133836730884, 8.86210799579045)); #260227=CARTESIAN_POINT('Ctrl Pts',(-1.79216697268352,-1.88259666511581, 8.83560205633273)); #260228=CARTESIAN_POINT('Ctrl Pts',(-2.34040606015676,-1.13072195032086, 8.809096116875)); #260229=CARTESIAN_POINT('Ctrl Pts',(-2.44661786936403,-0.320875141616316, 8.78259017741728)); #260230=CARTESIAN_POINT('Ctrl Pts',(-2.55282967857129,0.488971667088228, 8.75608423795956)); #260231=CARTESIAN_POINT('Ctrl Pts',(-2.2170142095126,1.35679056970236,8.72957829850184)); #260232=CARTESIAN_POINT('Ctrl Pts',(-1.59333328285592,1.88419449697103, 8.70307235904412)); #260233=CARTESIAN_POINT('Ctrl Pts',(-0.969652356199233,2.41159842423969, 8.6765664195864)); #260234=CARTESIAN_POINT('Ctrl Pts',(-0.058105971944563,2.59858737616288, 8.65006048012868)); #260235=CARTESIAN_POINT('Ctrl Pts',(0.722844952351372,2.35932088324067, 8.62355454067096)); #260236=CARTESIAN_POINT('Ctrl Pts',(1.50379587664731,2.12005439031846,8.59704860121324)); #260237=CARTESIAN_POINT('Ctrl Pts',(2.15415134098451,1.45453245255085,8.57054266175552)); #260238=CARTESIAN_POINT('Ctrl Pts',(2.37535457464805,0.668274269424222, 8.5440367222978)); #260239=CARTESIAN_POINT('Ctrl Pts',(2.43606457039385,0.452482980290878, 8.53676207515514)); #260240=CARTESIAN_POINT('Ctrl Pts',(2.46444879928553,0.227597268620825, 8.52948742801249)); #260241=CARTESIAN_POINT('Ctrl Pts',(2.46248077051861,0.00222483085362357, 8.52221278086983)); #260242=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260243=CARTESIAN_POINT('',(2.45951146923269,-0.000477441080717622,7.95078721913018)); #260244=CARTESIAN_POINT('',(2.45968768913143,-0.000830102004125961,8.02221278086983)); #260245=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260246=CARTESIAN_POINT('Ctrl Pts',(2.45951146923269,-0.000477441080717622, 7.95078721913018)); #260247=CARTESIAN_POINT('Ctrl Pts',(2.45875028838378,0.440441121012758, 7.96497621154021)); #260248=CARTESIAN_POINT('Ctrl Pts',(2.34577018116611,0.881723638627951, 7.97916520395024)); #260249=CARTESIAN_POINT('Ctrl Pts',(2.12288298793921,1.25788236646058,7.99335419636028)); #260250=CARTESIAN_POINT('Ctrl Pts',(1.70651554768352,1.96057077295896,8.019860135818)); #260251=CARTESIAN_POINT('Ctrl Pts',(0.906609591006735,2.4359992035644,8.04636607527572)); #260252=CARTESIAN_POINT('Ctrl Pts',(0.0903755931222526,2.46591412675006, 8.07287201473345)); #260253=CARTESIAN_POINT('Ctrl Pts',(-0.72585840476223,2.49582904993572, 8.09937795419117)); #260254=CARTESIAN_POINT('Ctrl Pts',(-1.5584204438544,2.08023046570161,8.12588389364889)); #260255=CARTESIAN_POINT('Ctrl Pts',(-2.02510871722065,1.40990599394698, 8.15238983310661)); #260256=CARTESIAN_POINT('Ctrl Pts',(-2.4917969905869,0.739581522192359, 8.17889577256432)); #260257=CARTESIAN_POINT('Ctrl Pts',(-2.59261149822721,-0.185468837082775, 8.20540171202205)); #260258=CARTESIAN_POINT('Ctrl Pts',(-2.28127162058751,-0.940584935789632, 8.23190765147977)); #260259=CARTESIAN_POINT('Ctrl Pts',(-1.96993174294781,-1.69570103449649, 8.25841359093749)); #260260=CARTESIAN_POINT('Ctrl Pts',(-1.24643748002809,-2.28088287263508, 8.28491953039521)); #260261=CARTESIAN_POINT('Ctrl Pts',(-0.442921215498039,-2.42749274462686, 8.31142546985293)); #260262=CARTESIAN_POINT('Ctrl Pts',(0.360595049032021,-2.57410261661864, 8.33793140931065)); #260263=CARTESIAN_POINT('Ctrl Pts',(1.24413331517241,-2.28214052246361, 8.36443734876837)); #260264=CARTESIAN_POINT('Ctrl Pts',(1.80209026935054,-1.68563664216674, 8.39094328822609)); #260265=CARTESIAN_POINT('Ctrl Pts',(2.22200039888398,-1.2367166676651,8.41089126519412)); #260266=CARTESIAN_POINT('Ctrl Pts',(2.45750633970294,-0.615308964476963, 8.43083924216215)); #260267=CARTESIAN_POINT('Ctrl Pts',(2.46313717579751,0.00227120724170957, 8.45078721913018)); #260268=CARTESIAN_POINT('Ctrl Pts',(2.46248077051861,0.00222483085362357, 8.52221278086983)); #260269=CARTESIAN_POINT('Ctrl Pts',(2.45727808016693,-0.593570833934797, 8.50298148855477)); #260270=CARTESIAN_POINT('Ctrl Pts',(2.2399541908196,-1.19276805535439,8.4837501962397)); #260271=CARTESIAN_POINT('Ctrl Pts',(1.8469701182715,-1.63633775132516,8.46451890392464)); #260272=CARTESIAN_POINT('Ctrl Pts',(1.30533142524155,-2.24769716046226, 8.43801296446691)); #260273=CARTESIAN_POINT('Ctrl Pts',(0.430003036191797,-2.56342155025079, 8.41150702500919)); #260274=CARTESIAN_POINT('Ctrl Pts',(-0.377180619497003,-2.43857225335695, 8.38500108555147)); #260275=CARTESIAN_POINT('Ctrl Pts',(-1.1843642751858,-2.31372295646311, 8.35849514609376)); #260276=CARTESIAN_POINT('Ctrl Pts',(-1.92340319751365,-1.7482999728869, 8.33198920663604)); #260277=CARTESIAN_POINT('Ctrl Pts',(-2.25502896169822,-1.00187036902809, 8.30548326717832)); #260278=CARTESIAN_POINT('Ctrl Pts',(-2.5866547258828,-0.25544076516928, 8.2789773277206)); #260279=CARTESIAN_POINT('Ctrl Pts',(-2.51086733192412,0.671995458972123, 8.25247138826287)); #260280=CARTESIAN_POINT('Ctrl Pts',(-2.06245827591306,1.35468288338116, 8.22596544880515)); #260281=CARTESIAN_POINT('Ctrl Pts',(-1.61404921990199,2.03737030779021, 8.19945950934743)); #260282=CARTESIAN_POINT('Ctrl Pts',(-0.793018501838543,2.47530893246688, 8.17295356988971)); #260283=CARTESIAN_POINT('Ctrl Pts',(0.0237257209862187,2.46745556082034, 8.14644763043199)); #260284=CARTESIAN_POINT('Ctrl Pts',(0.840469943810969,2.4596021891738,8.11994169097427)); #260285=CARTESIAN_POINT('Ctrl Pts',(1.65292767139703,2.00595682120406,8.09343575151655)); #260286=CARTESIAN_POINT('Ctrl Pts',(2.08812632396673,1.31477310194372,8.06692981205883)); #260287=CARTESIAN_POINT('Ctrl Pts',(2.33286130435872,0.926084320437565, 8.05202413499583)); #260288=CARTESIAN_POINT('Ctrl Pts',(2.45829181689443,0.462276374216945, 8.03711845793283)); #260289=CARTESIAN_POINT('Ctrl Pts',(2.45968768913143,-0.000830102004125961, 8.02221278086983)); #260290=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260291=CARTESIAN_POINT('',(2.46489449399646,-0.00208833807743059,7.45078721913018)); #260292=CARTESIAN_POINT('',(2.46553312147527,-0.00190262491346426,7.52221278086983)); #260293=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260294=CARTESIAN_POINT('Ctrl Pts',(2.46489449399646,-0.00208833807743059, 7.45078721913018)); #260295=CARTESIAN_POINT('Ctrl Pts',(2.45880405617013,0.672214212211081, 7.47260724146056)); #260296=CARTESIAN_POINT('Ctrl Pts',(2.17154066452528,1.35107854546066,7.49442726379093)); #260297=CARTESIAN_POINT('Ctrl Pts',(1.67874010369039,1.80851648944551,7.51624728612131)); #260298=CARTESIAN_POINT('Ctrl Pts',(1.08010910173974,2.36419064345615,7.54275322557903)); #260299=CARTESIAN_POINT('Ctrl Pts',(0.178182302289293,2.59312246865003, 7.56925916503675)); #260300=CARTESIAN_POINT('Ctrl Pts',(-0.612995411172966,2.39021690526523, 7.59576510449448)); #260301=CARTESIAN_POINT('Ctrl Pts',(-1.40417312463522,2.18731134188043, 7.6222710439522)); #260302=CARTESIAN_POINT('Ctrl Pts',(-2.08460175210929,1.55256838991695, 7.64877698340992)); #260303=CARTESIAN_POINT('Ctrl Pts',(-2.341918924547,0.777377629716274,7.67528292286764)); #260304=CARTESIAN_POINT('Ctrl Pts',(-2.59923609698471,0.00218686951560479, 7.70178886232536)); #260305=CARTESIAN_POINT('Ctrl Pts',(-2.43344181438607,-0.913451698922253, 7.72829480178307)); #260306=CARTESIAN_POINT('Ctrl Pts',(-1.92064677050508,-1.54919857064323, 7.75480074124079)); #260307=CARTESIAN_POINT('Ctrl Pts',(-1.40785172662408,-2.18494544236422, 7.78130668069851)); #260308=CARTESIAN_POINT('Ctrl Pts',(-0.548055921460729,-2.54080061736832, 7.80781262015624)); #260309=CARTESIAN_POINT('Ctrl Pts',(0.264036713147857,-2.45340270694504, 7.83431855961396)); #260310=CARTESIAN_POINT('Ctrl Pts',(1.07612934775644,-2.36600479652176, 7.86082449907168)); #260311=CARTESIAN_POINT('Ctrl Pts',(1.84051881181026,-1.83535380067109, 7.8873304385294)); #260312=CARTESIAN_POINT('Ctrl Pts',(2.20629908191987,-1.1050541115501,7.91383637798712)); #260313=CARTESIAN_POINT('Ctrl Pts',(2.37627216381024,-0.765693848006429, 7.92615332503481)); #260314=CARTESIAN_POINT('Ctrl Pts',(2.46017222257859,-0.383222771031439, 7.93847027208249)); #260315=CARTESIAN_POINT('Ctrl Pts',(2.45951146923269,-0.000477441080717622, 7.95078721913018)); #260316=CARTESIAN_POINT('Ctrl Pts',(2.45968768913143,-0.000830102004125961, 8.02221278086983)); #260317=CARTESIAN_POINT('Ctrl Pts',(2.4607740191351,-0.361240207372284, 8.01061251847511)); #260318=CARTESIAN_POINT('Ctrl Pts',(2.38673695495477,-0.72122545717463, 7.99901225608039)); #260319=CARTESIAN_POINT('Ctrl Pts',(2.23534690514732,-1.0450473999222,7.98741199368567)); #260320=CARTESIAN_POINT('Ctrl Pts',(1.88942925620488,-1.78496211197681, 7.96090605422795)); #260321=CARTESIAN_POINT('Ctrl Pts',(1.13965438076669,-2.33606946553512, 7.93440011477023)); #260322=CARTESIAN_POINT('Ctrl Pts',(0.330219226002905,-2.44537422846094, 7.90789417531251)); #260323=CARTESIAN_POINT('Ctrl Pts',(-0.479215928760884,-2.55467899138676, 7.88138823585478)); #260324=CARTESIAN_POINT('Ctrl Pts',(-1.34831136285026,-2.22218116368009, 7.85488229639706)); #260325=CARTESIAN_POINT('Ctrl Pts',(-1.8780939911242,-1.60051954531724, 7.82837635693934)); #260326=CARTESIAN_POINT('Ctrl Pts',(-2.40787661939815,-0.978857926954391, 7.80187041748162)); #260327=CARTESIAN_POINT('Ctrl Pts',(-2.59834644185667,-0.0680325179353451, 7.7753644780239)); #260328=CARTESIAN_POINT('Ctrl Pts',(-2.36206503722705,0.7138267397268,7.74885853856619)); #260329=CARTESIAN_POINT('Ctrl Pts',(-2.12578363259744,1.49568599738894, 7.72235259910846)); #260330=CARTESIAN_POINT('Ctrl Pts',(-1.4627510008797,2.14857910369419,7.69584665965074)); #260331=CARTESIAN_POINT('Ctrl Pts',(-0.677343582507146,2.37278434032188, 7.66934072019302)); #260332=CARTESIAN_POINT('Ctrl Pts',(0.108063835865411,2.59698957694957, 7.6428347807353)); #260333=CARTESIAN_POINT('Ctrl Pts',(1.01584604089279,2.3925069438997,7.61632884127758)); #260334=CARTESIAN_POINT('Ctrl Pts',(1.62927012526795,1.8532076821957,7.58982290181986)); #260335=CARTESIAN_POINT('Ctrl Pts',(2.15083469264124,1.39466783467424,7.56728619483652)); #260336=CARTESIAN_POINT('Ctrl Pts',(2.45959979370111,0.694079968458443, 7.54474948785317)); #260337=CARTESIAN_POINT('Ctrl Pts',(2.46553312147527,-0.00190262491346426, 7.52221278086983)); #260338=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260339=CARTESIAN_POINT('',(2.4663547768442,0.00153487186682316,6.95078721913018)); #260340=CARTESIAN_POINT('',(2.46579317265064,0.0018043677206022,7.02221278086983)); #260341=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260342=CARTESIAN_POINT('Ctrl Pts',(2.4663547768442,0.00153487186682316, 6.95078721913018)); #260343=CARTESIAN_POINT('Ctrl Pts',(2.4670172211795,0.092407264304972,6.95373233192318)); #260344=CARTESIAN_POINT('Ctrl Pts',(2.46243168529968,0.183212113474676, 6.95667744471618)); #260345=CARTESIAN_POINT('Ctrl Pts',(2.45237614758823,0.273407130622179, 6.95962255750918)); #260346=CARTESIAN_POINT('Ctrl Pts',(2.36187656657806,1.08515996724726,6.9861284969669)); #260347=CARTESIAN_POINT('Ctrl Pts',(1.82830936728846,1.84751669214432,7.01263443642462)); #260348=CARTESIAN_POINT('Ctrl Pts',(1.09661767481282,2.21050444551077,7.03914037588234)); #260349=CARTESIAN_POINT('Ctrl Pts',(0.364925982337186,2.57349219887721, 7.06564631534006)); #260350=CARTESIAN_POINT('Ctrl Pts',(-0.564890203324485,2.53711098071304, 7.09215225479779)); #260351=CARTESIAN_POINT('Ctrl Pts',(-1.26598290017176,2.11806220985302, 7.11865819425551)); #260352=CARTESIAN_POINT('Ctrl Pts',(-1.96707559701903,1.69901343899301, 7.14516413371323)); #260353=CARTESIAN_POINT('Ctrl Pts',(-2.43944480505191,0.897297115437166, 7.17167007317094)); #260354=CARTESIAN_POINT('Ctrl Pts',(-2.46624138105562,0.0809547941978849, 7.19817601262867)); #260355=CARTESIAN_POINT('Ctrl Pts',(-2.49303795705933,-0.735387527041402, 7.22468195208639)); #260356=CARTESIAN_POINT('Ctrl Pts',(-2.07426190103386,-1.56635584596413, 7.25118789154411)); #260357=CARTESIAN_POINT('Ctrl Pts',(-1.4021595055057,-2.03047998006746, 7.27769383100183)); #260358=CARTESIAN_POINT('Ctrl Pts',(-0.730057109977527,-2.4946041141708, 7.30419977045955)); #260359=CARTESIAN_POINT('Ctrl Pts',(0.19537162505334,-2.59188406345474, 7.33070570991726)); #260360=CARTESIAN_POINT('Ctrl Pts',(0.949292851645195,-2.27766180767692, 7.35721164937499)); #260361=CARTESIAN_POINT('Ctrl Pts',(1.70321407823705,-1.9634395518991,7.38371758883271)); #260362=CARTESIAN_POINT('Ctrl Pts',(2.28562779638989,-1.23771509105953, 7.41022352829043)); #260363=CARTESIAN_POINT('Ctrl Pts',(2.42916705324089,-0.433644619226047, 7.43672946774815)); #260364=CARTESIAN_POINT('Ctrl Pts',(2.45454299070566,-0.291495060574684, 7.4414153848755)); #260365=CARTESIAN_POINT('Ctrl Pts',(2.46620243431344,-0.146896891504455, 7.44610130200284)); #260366=CARTESIAN_POINT('Ctrl Pts',(2.46489449399646,-0.00208833807743059, 7.45078721913018)); #260367=CARTESIAN_POINT('Ctrl Pts',(2.46553312147527,-0.00190262491346426, 7.52221278086983)); #260368=CARTESIAN_POINT('Ctrl Pts',(2.46657811697083,-0.124481168143184, 7.51824354839545)); #260369=CARTESIAN_POINT('Ctrl Pts',(2.45822947932744,-0.246916858979542, 7.51427431592108)); #260370=CARTESIAN_POINT('Ctrl Pts',(2.43999534276185,-0.367862177643103, 7.5103050834467)); #260371=CARTESIAN_POINT('Ctrl Pts',(2.31823051215764,-1.17551688541978, 7.48379914398898)); #260372=CARTESIAN_POINT('Ctrl Pts',(1.75563488722625,-1.916710410615,7.45729320453125)); #260373=CARTESIAN_POINT('Ctrl Pts',(1.01047758705527,-2.25118522127766, 7.43078726507354)); #260374=CARTESIAN_POINT('Ctrl Pts',(0.265320286884283,-2.58566003194032, 7.40428132561582)); #260375=CARTESIAN_POINT('Ctrl Pts',(-0.662398688526292,-2.51341612807042, 7.3777753861581)); #260376=CARTESIAN_POINT('Ctrl Pts',(-1.34679411731865,-2.06761830616673, 7.35126944670038)); #260377=CARTESIAN_POINT('Ctrl Pts',(-2.031189546111,-1.62182048426304,7.32476350724266)); #260378=CARTESIAN_POINT('Ctrl Pts',(-2.47226142828513,-0.802468744325561, 7.29825756778493)); #260379=CARTESIAN_POINT('Ctrl Pts',(-2.46752819197079,0.0142995198962589, 7.27175162832721)); #260380=CARTESIAN_POINT('Ctrl Pts',(-2.46279495565646,0.831067784118073, 7.24524568886949)); #260381=CARTESIAN_POINT('Ctrl Pts',(-2.01225660085368,1.64525257262423, 7.21873974941177)); #260382=CARTESIAN_POINT('Ctrl Pts',(-1.32274044518384,2.08308846886415, 7.19223380995405)); #260383=CARTESIAN_POINT('Ctrl Pts',(-0.633224289513991,2.52092436510407, 7.16572787049633)); #260384=CARTESIAN_POINT('Ctrl Pts',(0.295269667022903,2.58241136907775, 7.13922193103861)); #260385=CARTESIAN_POINT('Ctrl Pts',(1.03650043426241,2.23932282268854,7.11271599158089)); #260386=CARTESIAN_POINT('Ctrl Pts',(1.77773120150191,1.89623427629932,7.08621005212317)); #260387=CARTESIAN_POINT('Ctrl Pts',(2.33169877944402,1.1485701795472,7.05970411266545)); #260388=CARTESIAN_POINT('Ctrl Pts',(2.44409490115963,0.339558490639546, 7.03319817320773)); #260389=CARTESIAN_POINT('Ctrl Pts',(2.45962243347333,0.227793467523733, 7.02953637576176)); #260390=CARTESIAN_POINT('Ctrl Pts',(2.46672237918986,0.114857598470918, 7.0258745783158)); #260391=CARTESIAN_POINT('Ctrl Pts',(2.46579317265064,0.0018043677206022, 7.02221278086983)); #260392=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260393=CARTESIAN_POINT('',(2.46010067667565,0.00129511682166406,6.45078721913018)); #260394=CARTESIAN_POINT('',(2.45979223690033,0.00097540573534994,6.52221278086983)); #260395=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260396=CARTESIAN_POINT('Ctrl Pts',(2.46010067667565,0.00129511682166406, 6.45078721913018)); #260397=CARTESIAN_POINT('Ctrl Pts',(2.46166096911864,0.329748912181227, 6.46136336184352)); #260398=CARTESIAN_POINT('Ctrl Pts',(2.4005449393525,0.657645739523454,6.47193950455687)); #260399=CARTESIAN_POINT('Ctrl Pts',(2.27401875564091,0.957986913964954, 6.48251564727021)); #260400=CARTESIAN_POINT('Ctrl Pts',(1.95691870733653,1.71070226607172,6.50902158672793)); #260401=CARTESIAN_POINT('Ctrl Pts',(1.22897463945735,2.29033936477485,6.53552752618565)); #260402=CARTESIAN_POINT('Ctrl Pts',(0.424361694547569,2.43080591173698, 6.56203346564337)); #260403=CARTESIAN_POINT('Ctrl Pts',(-0.380251250362232,2.57127245869911, 6.58853940510109)); #260404=CARTESIAN_POINT('Ctrl Pts',(-1.26153307230262,2.27256845392022, 6.61504534455882)); #260405=CARTESIAN_POINT('Ctrl Pts',(-1.81491631689958,1.67181906646217, 6.64155128401654)); #260406=CARTESIAN_POINT('Ctrl Pts',(-2.36829956149652,1.07106967900412, 6.66805722347426)); #260407=CARTESIAN_POINT('Ctrl Pts',(-2.59378422875004,0.168274908866908, 6.69456316293198)); #260408=CARTESIAN_POINT('Ctrl Pts',(-2.38785773555671,-0.622121903710773, 6.7210691023897)); #260409=CARTESIAN_POINT('Ctrl Pts',(-2.18193124236338,-1.41251871628846, 6.74757504184742)); #260410=CARTESIAN_POINT('Ctrl Pts',(-1.54459358872321,-2.09051757130663, 6.77408098130514)); #260411=CARTESIAN_POINT('Ctrl Pts',(-0.768425497045965,-2.34487152821309, 6.80058692076286)); #260412=CARTESIAN_POINT('Ctrl Pts',(0.0077425946312791,-2.59922548511955, 6.82709286022058)); #260413=CARTESIAN_POINT('Ctrl Pts',(0.922741124345576,-2.42993454391432, 6.85359879967829)); #260414=CARTESIAN_POINT('Ctrl Pts',(1.55652440783736,-1.91471459913516, 6.88010473913601)); #260415=CARTESIAN_POINT('Ctrl Pts',(2.11988712543374,-1.45674147833667, 6.90366556580074)); #260416=CARTESIAN_POINT('Ctrl Pts',(2.4610552391843,-0.725441932529696, 6.92722639246546)); #260417=CARTESIAN_POINT('Ctrl Pts',(2.4663547768442,0.00153487186682316, 6.95078721913018)); #260418=CARTESIAN_POINT('Ctrl Pts',(2.46579317265064,0.0018043677206022, 7.02221278086983)); #260419=CARTESIAN_POINT('Ctrl Pts',(2.45999631292438,-0.703478787958373, 6.99936863885808)); #260420=CARTESIAN_POINT('Ctrl Pts',(2.14171331189482,-1.41332953717914, 6.97652449684633)); #260421=CARTESIAN_POINT('Ctrl Pts',(1.60768245042051,-1.87196607689612, 6.95368035483457)); #260422=CARTESIAN_POINT('Ctrl Pts',(0.98804921247667,-2.40411967446092, 6.92717441537685)); #260423=CARTESIAN_POINT('Ctrl Pts',(0.0779580710998883,-2.59806758852733, 6.90066847591913)); #260424=CARTESIAN_POINT('Ctrl Pts',(-0.704798109782973,-2.36477472053603, 6.8741625364614)); #260425=CARTESIAN_POINT('Ctrl Pts',(-1.48755429066584,-2.13148185254473, 6.84765659700368)); #260426=CARTESIAN_POINT('Ctrl Pts',(-2.14297551105479,-1.4709482024957, 6.82115065754596)); #260427=CARTESIAN_POINT('Ctrl Pts',(-2.37017947873181,-0.686403010656663, 6.79464471808824)); #260428=CARTESIAN_POINT('Ctrl Pts',(-2.59738344640883,0.0981421811823673, 6.76813877863052)); #260429=CARTESIAN_POINT('Ctrl Pts',(-2.39637016137394,1.00669891481141, 6.74163283917281)); #260430=CARTESIAN_POINT('Ctrl Pts',(-1.85941820125952,1.62217872235606, 6.71512689971509)); #260431=CARTESIAN_POINT('Ctrl Pts',(-1.32246624114509,2.23765852990072, 6.68862096025736)); #260432=CARTESIAN_POINT('Ctrl Pts',(-0.449575605951111,2.56006141136097, 6.66211502079964)); #260433=CARTESIAN_POINT('Ctrl Pts',(0.358538367371721,2.44138282404918, 6.63560908134192)); #260434=CARTESIAN_POINT('Ctrl Pts',(1.16665234069455,2.32270423673738,6.6091031418842)); #260435=CARTESIAN_POINT('Ctrl Pts',(1.90998965214623,1.76294418065354,6.58259720242648)); #260436=CARTESIAN_POINT('Ctrl Pts',(2.24730862812331,1.01907005863308,6.55609126296876)); #260437=CARTESIAN_POINT('Ctrl Pts',(2.39102301972847,0.702143278669621, 6.54479843560245)); #260438=CARTESIAN_POINT('Ctrl Pts',(2.46103789778067,0.35179653730978,6.53350560823614)); #260439=CARTESIAN_POINT('Ctrl Pts',(2.45979223690033,0.00097540573534994, 6.52221278086983)); #260440=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260441=CARTESIAN_POINT('',(2.46160570991217,-0.00205317264445032,5.95078721913018)); #260442=CARTESIAN_POINT('',(2.46220881422283,-0.00218594652439943,6.02221278086983)); #260443=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260444=CARTESIAN_POINT('Ctrl Pts',(2.46160570991217,-0.00205317264445032, 5.95078721913018)); #260445=CARTESIAN_POINT('Ctrl Pts',(2.45717762131042,0.562522408624949, 5.96899439176387)); #260446=CARTESIAN_POINT('Ctrl Pts',(2.26432430716036,1.12983114640302,5.98720156439755)); #260447=CARTESIAN_POINT('Ctrl Pts',(1.9087544853204,1.56382752984593,6.00540873703124)); #260448=CARTESIAN_POINT('Ctrl Pts',(1.39111715852074,2.19563797597322,6.03191467648896)); #260449=CARTESIAN_POINT('Ctrl Pts',(0.528627634180842,2.54491373029558, 6.05842061594668)); #260450=CARTESIAN_POINT('Ctrl Pts',(-0.282773558121387,2.45131379940678, 6.0849265554044)); #260451=CARTESIAN_POINT('Ctrl Pts',(-1.09417475042363,2.35771386851798, 6.11143249486212)); #260452=CARTESIAN_POINT('Ctrl Pts',(-1.8544876106882,1.82123825241801,6.13793843431984)); #260453=CARTESIAN_POINT('Ctrl Pts',(-2.21467755003885,1.08816523455248, 6.16444437377756)); #260454=CARTESIAN_POINT('Ctrl Pts',(-2.57486748938949,0.355092216686978, 6.19095031323528)); #260455=CARTESIAN_POINT('Ctrl Pts',(-2.53493450782622,-0.574578202944053, 6.21745625269301)); #260456=CARTESIAN_POINT('Ctrl Pts',(-2.11321052176263,-1.27406495872324, 6.24396219215073)); #260457=CARTESIAN_POINT('Ctrl Pts',(-1.69148653569904,-1.97355171450242, 6.27046813160845)); #260458=CARTESIAN_POINT('Ctrl Pts',(-0.887971545135121,-2.44285480642975, 6.29697407106617)); #260459=CARTESIAN_POINT('Ctrl Pts',(-0.071532813857237,-2.46653264419436, 6.32348001052389)); #260460=CARTESIAN_POINT('Ctrl Pts',(0.74490591742067,-2.49021048195897, 6.3499859499816)); #260461=CARTESIAN_POINT('Ctrl Pts',(1.57426838941255,-2.06826306556082, 6.37649188943933)); #260462=CARTESIAN_POINT('Ctrl Pts',(2.03582161104371,-1.39439255460654, 6.40299782889705)); #260463=CARTESIAN_POINT('Ctrl Pts',(2.31321034570915,-0.989403314792838, 6.41892762564143)); #260464=CARTESIAN_POINT('Ctrl Pts',(2.45775056263577,-0.493422318618627, 6.4348574223858)); #260465=CARTESIAN_POINT('Ctrl Pts',(2.46010067667565,0.00129511682166406, 6.45078721913018)); #260466=CARTESIAN_POINT('Ctrl Pts',(2.45979223690033,0.00097540573534994, 6.52221278086983)); #260467=CARTESIAN_POINT('Ctrl Pts',(2.45811414708405,-0.471632651180507, 6.50699966877842)); #260468=CARTESIAN_POINT('Ctrl Pts',(2.32711184114776,-0.945101635385938, 6.49178655668701)); #260469=CARTESIAN_POINT('Ctrl Pts',(2.07274816256806,-1.33888569676827, 6.47657344459559)); #260470=CARTESIAN_POINT('Ctrl Pts',(1.62956808053324,-2.0249791421921,6.45006750513787)); #260471=CARTESIAN_POINT('Ctrl Pts',(0.811907275961127,-2.4691778450827, 6.42356156568016)); #260472=CARTESIAN_POINT('Ctrl Pts',(-0.00487311012438884,-2.4675648131787, 6.39705562622244)); #260473=CARTESIAN_POINT('Ctrl Pts',(-0.821653496209906,-2.4659517812747, 6.37054968676472)); #260474=CARTESIAN_POINT('Ctrl Pts',(-1.63755346380883,-2.01852701457611, 6.344043747307)); #260475=CARTESIAN_POINT('Ctrl Pts',(-2.07802021414837,-1.3306884849696, 6.31753780784928)); #260476=CARTESIAN_POINT('Ctrl Pts',(-2.51848696448791,-0.642849955363079, 6.29103186839155)); #260477=CARTESIAN_POINT('Ctrl Pts',(-2.58352049756807,0.285402337151356, 6.26452592893383)); #260478=CARTESIAN_POINT('Ctrl Pts',(-2.24326606060471,1.02793834240139, 6.23801998947611)); #260479=CARTESIAN_POINT('Ctrl Pts',(-1.90301162364136,1.77047434765142, 6.21151405001839)); #260480=CARTESIAN_POINT('Ctrl Pts',(-1.15746921663447,2.32729406563705, 6.18500811056067)); #260481=CARTESIAN_POINT('Ctrl Pts',(-0.34889279991943,2.44277990588679, 6.15850217110295)); #260482=CARTESIAN_POINT('Ctrl Pts',(0.459683616795624,2.55826574613654, 6.13199623164523)); #260483=CARTESIAN_POINT('Ctrl Pts',(1.33129404321884,2.2324177086504,6.10549029218751)); #260484=CARTESIAN_POINT('Ctrl Pts',(1.86581084407515,1.6148218937615,6.07898435272979)); #260485=CARTESIAN_POINT('Ctrl Pts',(2.2474279406077,1.17389071754594,6.06006049544314)); #260486=CARTESIAN_POINT('Ctrl Pts',(2.45722117953082,0.584249436005752, 6.04113663815649)); #260487=CARTESIAN_POINT('Ctrl Pts',(2.46220881422283,-0.00218594652439943, 6.02221278086983)); #260488=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260489=CARTESIAN_POINT('',(2.46749626210023,-0.000385007937144799,5.45078721913018)); #260490=CARTESIAN_POINT('',(2.46756921416146,2.83896752909148E-5,5.52221278086983)); #260491=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260492=CARTESIAN_POINT('Ctrl Pts',(2.46749626210023,-0.000385007937144799, 5.45078721913018)); #260493=CARTESIAN_POINT('Ctrl Pts',(2.46576882843975,0.79588443794955,5.47662542168421)); #260494=CARTESIAN_POINT('Ctrl Pts',(2.04521362472731,1.59372045885323,5.50246362423824)); #260495=CARTESIAN_POINT('Ctrl Pts',(1.3866052545979,2.04113353219633,5.52830182679227)); #260496=CARTESIAN_POINT('Ctrl Pts',(0.710976462366978,2.50010910566455, 5.55480776624999)); #260497=CARTESIAN_POINT('Ctrl Pts',(-0.215168503026289,2.59031573086604, 5.58131370570771)); #260498=CARTESIAN_POINT('Ctrl Pts',(-0.966666995873974,2.27034251764414, 5.60781964516543)); #260499=CARTESIAN_POINT('Ctrl Pts',(-1.71816548872164,1.95036930442225, 5.63432558462315)); #260500=CARTESIAN_POINT('Ctrl Pts',(-2.29501750902373,1.22021625277699, 5.66083152408087)); #260501=CARTESIAN_POINT('Ctrl Pts',(-2.43240929619423,0.415072576934012, 5.68733746353859)); #260502=CARTESIAN_POINT('Ctrl Pts',(-2.56980108336473,-0.390071098908988, 5.71384340299632)); #260503=CARTESIAN_POINT('Ctrl Pts',(-2.26773263740363,-1.27020539894972, 5.74034934245404)); #260504=CARTESIAN_POINT('Ctrl Pts',(-1.66487363149234,-1.82128965831805, 5.76685528191176)); #260505=CARTESIAN_POINT('Ctrl Pts',(-1.06201462558106,-2.37237391768636, 5.79336122136948)); #260506=CARTESIAN_POINT('Ctrl Pts',(-0.158365059719619,-2.59440813638229, 5.81986716082719)); #260507=CARTESIAN_POINT('Ctrl Pts',(0.631239317293941,-2.38546371857487, 5.84637310028491)); #260508=CARTESIAN_POINT('Ctrl Pts',(1.42084369430752,-2.17651930076744, 5.87287903974264)); #260509=CARTESIAN_POINT('Ctrl Pts',(2.09640288247321,-1.53659624645665, 5.89938497920036)); #260510=CARTESIAN_POINT('Ctrl Pts',(2.34778991192634,-0.759462150335121, 5.92589091865808)); #260511=CARTESIAN_POINT('Ctrl Pts',(2.42649688789847,-0.516148584143496, 5.93418968548212)); #260512=CARTESIAN_POINT('Ctrl Pts',(2.46362401750564,-0.259384785726864, 5.94248845230615)); #260513=CARTESIAN_POINT('Ctrl Pts',(2.46160570991217,-0.00205317264445032, 5.95078721913018)); #260514=CARTESIAN_POINT('Ctrl Pts',(2.46220881422283,-0.00218594652439943, 6.02221278086983)); #260515=CARTESIAN_POINT('Ctrl Pts',(2.46420717298771,-0.237148681183224, 6.01463069869876)); #260516=CARTESIAN_POINT('Ctrl Pts',(2.43332797912727,-0.471596771168093, 6.0070486165277)); #260517=CARTESIAN_POINT('Ctrl Pts',(2.36744989343428,-0.695759194346673, 5.99946653435663)); #260518=CARTESIAN_POINT('Ctrl Pts',(2.13714896664769,-1.47940087527437, 5.97296059489891)); #260519=CARTESIAN_POINT('Ctrl Pts',(1.47912393778508,-2.13734064483812, 5.94645465544119)); #260520=CARTESIAN_POINT('Ctrl Pts',(0.695452421763326,-2.36754002785665, 5.91994871598347)); #260521=CARTESIAN_POINT('Ctrl Pts',(-0.0882190942584088,-2.59773941087517, 5.89344277652574)); #260522=CARTESIAN_POINT('Ctrl Pts',(-0.997537097439284,-2.40019840734849, 5.86693683706802)); #260523=CARTESIAN_POINT('Ctrl Pts',(-1.61506364613044,-1.86560158484811, 5.8404308976103)); #260524=CARTESIAN_POINT('Ctrl Pts',(-2.23259019482161,-1.33100476234771, 5.81392495815258)); #260525=CARTESIAN_POINT('Ctrl Pts',(-2.55832528902304,-0.459352120873588, 5.78741901869486)); #260526=CARTESIAN_POINT('Ctrl Pts',(-2.44273467694317,0.34920932475992, 5.76091307923714)); #260527=CARTESIAN_POINT('Ctrl Pts',(-2.3271440648633,1.15777077039342,5.73440713977942)); #260528=CARTESIAN_POINT('Ctrl Pts',(-1.77022774650214,1.9032410201863,5.7079012003217)); #260529=CARTESIAN_POINT('Ctrl Pts',(-1.02764765838149,2.24339923880324, 5.68139526086398)); #260530=CARTESIAN_POINT('Ctrl Pts',(-0.285067570260834,2.58355745742018, 5.65488932140626)); #260531=CARTESIAN_POINT('Ctrl Pts',(0.643176287619321,2.51840364486118, 5.62838338194854)); #260532=CARTESIAN_POINT('Ctrl Pts',(1.3309577371494,2.07784777025702,5.60187744249082)); #260533=CARTESIAN_POINT('Ctrl Pts',(2.02000929272502,1.63647833390281,5.57532255528382)); #260534=CARTESIAN_POINT('Ctrl Pts',(2.46770811417946,0.818319064544018, 5.54876766807682)); #260535=CARTESIAN_POINT('Ctrl Pts',(2.46756921416146,2.83896752909148E-5, 5.52221278086983)); #260536=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260537=CARTESIAN_POINT('',(2.46276267810746,0.00225269873760578,4.95078721913017)); #260538=CARTESIAN_POINT('',(2.46212372559805,0.00217124012553,5.02221278086983)); #260539=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260540=CARTESIAN_POINT('Ctrl Pts',(2.46276267810746,0.00225269873760578, 4.95078721913017)); #260541=CARTESIAN_POINT('Ctrl Pts',(2.4646875562423,0.217916516414249,4.95775051214683)); #260542=CARTESIAN_POINT('Ctrl Pts',(2.43871805673721,0.433124211303191, 4.96471380516348)); #260543=CARTESIAN_POINT('Ctrl Pts',(2.3830348892568,0.640347518867285,4.97167709818014)); #260544=CARTESIAN_POINT('Ctrl Pts',(2.17107559607186,1.42914793720166,4.99818303763786)); #260545=CARTESIAN_POINT('Ctrl Pts',(1.52857647982677,2.10225759972156,5.02468897709558)); #260546=CARTESIAN_POINT('Ctrl Pts',(0.750487720390683,2.35067403309721, 5.0511949165533)); #260547=CARTESIAN_POINT('Ctrl Pts',(-0.0276010390453672,2.59909046647284, 5.07770085601102)); #260548=CARTESIAN_POINT('Ctrl Pts',(-0.941279441672384,2.42281367070427, 5.10420679546874)); #260549=CARTESIAN_POINT('Ctrl Pts',(-1.57110783009055,1.90276651603999, 5.13071273492646)); #260550=CARTESIAN_POINT('Ctrl Pts',(-2.20093621850874,1.38271936137569, 5.15721867438418)); #260551=CARTESIAN_POINT('Ctrl Pts',(-2.54691459271805,0.518901847815619, 5.18372461384191)); #260552=CARTESIAN_POINT('Ctrl Pts',(-2.45021567790402,-0.292135858956198, 5.21023055329963)); #260553=CARTESIAN_POINT('Ctrl Pts',(-2.35351676308998,-1.10317356572802, 5.23673649275735)); #260554=CARTESIAN_POINT('Ctrl Pts',(-1.81414055925262,-1.86143146571159, 5.26324243221507)); #260555=CARTESIAN_POINT('Ctrl Pts',(-1.07969691412025,-2.21881833460379, 5.28974837167279)); #260556=CARTESIAN_POINT('Ctrl Pts',(-0.345253268987906,-2.57620520349598, 5.31625431113051)); #260557=CARTESIAN_POINT('Ctrl Pts',(0.584257817439425,-2.53272104129679, 5.34276025058823)); #260558=CARTESIAN_POINT('Ctrl Pts',(1.28212842416962,-2.10832799447123, 5.36926619004595)); #260559=CARTESIAN_POINT('Ctrl Pts',(1.97999903089983,-1.68393494764565, 5.39577212950367)); #260560=CARTESIAN_POINT('Ctrl Pts',(2.44622915793289,-0.878633016193679, 5.42227806896139)); #260561=CARTESIAN_POINT('Ctrl Pts',(2.46678791191512,-0.0621097896002217, 5.44878400841911)); #260562=CARTESIAN_POINT('Ctrl Pts',(2.46730582748456,-0.0415399587521331, 5.4494517453228)); #260563=CARTESIAN_POINT('Ctrl Pts',(2.46754090418404,-0.0209630064719111, 5.45011948222649)); #260564=CARTESIAN_POINT('Ctrl Pts',(2.46749626210023,-0.000385007937144799, 5.45078721913018)); #260565=CARTESIAN_POINT('Ctrl Pts',(2.46756921416146,2.83896752909148E-5, 5.52221278086983)); #260566=CARTESIAN_POINT('Ctrl Pts',(2.46743082620312,-0.815245628675497, 5.49575578916138)); #260567=CARTESIAN_POINT('Ctrl Pts',(2.02350087597071,-1.63064870092639, 5.46929879745294)); #260568=CARTESIAN_POINT('Ctrl Pts',(1.33861710531311,-2.0729216337824,5.4428418057445)); #260569=CARTESIAN_POINT('Ctrl Pts',(0.652466239762086,-2.51601281025685, 5.41633586628678)); #260570=CARTESIAN_POINT('Ctrl Pts',(-0.275530842252276,-2.58459192337467, 5.38982992682905)); #260571=CARTESIAN_POINT('Ctrl Pts',(-1.01936124929142,-2.24717656135048, 5.36332398737133)); #260572=CARTESIAN_POINT('Ctrl Pts',(-1.76319165633056,-1.90976119932628, 5.33681804791361)); #260573=CARTESIAN_POINT('Ctrl Pts',(-2.32285538839446,-1.16635136216007, 5.31031210845589)); #260574=CARTESIAN_POINT('Ctrl Pts',(-2.44142926183228,-0.358222017621777, 5.28380616899818)); #260575=CARTESIAN_POINT('Ctrl Pts',(-2.56000313527009,0.449907326916515, 5.25730022954046)); #260576=CARTESIAN_POINT('Ctrl Pts',(-2.23748715008179,1.3227561788269,5.23079429008273)); #260577=CARTESIAN_POINT('Ctrl Pts',(-1.62193777115086,1.85962838248778, 5.20428835062501)); #260578=CARTESIAN_POINT('Ctrl Pts',(-1.00638839221994,2.39650058614864, 5.17778241116729)); #260579=CARTESIAN_POINT('Ctrl Pts',(-0.0978056195464058,2.59739614156004, 5.15127647170957)); #260580=CARTESIAN_POINT('Ctrl Pts',(0.686710125327762,2.37009051688163, 5.12477053225185)); #260581=CARTESIAN_POINT('Ctrl Pts',(1.47122587020194,2.14278489220323,5.09826459279413)); #260582=CARTESIAN_POINT('Ctrl Pts',(2.13167458727674,1.48727808743502,5.07175865333641)); #260583=CARTESIAN_POINT('Ctrl Pts',(2.3648660262152,0.704491683764288,5.04525271387869)); #260584=CARTESIAN_POINT('Ctrl Pts',(2.43243220498243,0.477682816647445, 5.03757273620907)); #260585=CARTESIAN_POINT('Ctrl Pts',(2.46412907719835,0.240188512009967, 5.02989275853945)); #260586=CARTESIAN_POINT('Ctrl Pts',(2.46212372559805,0.00217124012553,5.02221278086983)); #260587=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260588=CARTESIAN_POINT('',(2.45959944364927,-0.000678971187535182,4.45078721913017)); #260589=CARTESIAN_POINT('',(2.45982908412622,-0.00102074195681679,4.52221278086983)); #260590=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260591=CARTESIAN_POINT('Ctrl Pts',(2.45959944364927,-0.000678971187535182, 4.45078721913017)); #260592=CARTESIAN_POINT('Ctrl Pts',(2.4584838155294,0.452794650808386,4.46538154206717)); #260593=CARTESIAN_POINT('Ctrl Pts',(2.33855414538668,0.906817071668385, 4.47997586500417)); #260594=CARTESIAN_POINT('Ctrl Pts',(2.10341469923058,1.29017317883805,4.49457018794117)); #260595=CARTESIAN_POINT('Ctrl Pts',(1.67635878503431,1.98641745212508,4.52107612739889)); #260596=CARTESIAN_POINT('Ctrl Pts',(0.869281664895151,2.44956781031745, 4.54758206685661)); #260597=CARTESIAN_POINT('Ctrl Pts',(0.0526858589430149,2.46700718049181, 4.57408800631433)); #260598=CARTESIAN_POINT('Ctrl Pts',(-0.763909947009098,2.48444655066617, 4.60059394577205)); #260599=CARTESIAN_POINT('Ctrl Pts',(-1.5900244387742,2.05617493282254,4.62709988522977)); #260600=CARTESIAN_POINT('Ctrl Pts',(-2.04641566600606,1.3787977191244,4.65360582468749)); #260601=CARTESIAN_POINT('Ctrl Pts',(-2.50280689323795,0.701420505426237, 4.68011176414521)); #260602=CARTESIAN_POINT('Ctrl Pts',(-2.58947485593659,-0.225062304126441, 4.70661770360293)); #260603=CARTESIAN_POINT('Ctrl Pts',(-2.26663314733565,-0.975332970699484, 4.73312364306065)); #260604=CARTESIAN_POINT('Ctrl Pts',(-1.94379143873472,-1.7256036372725, 4.75962958251838)); #260605=CARTESIAN_POINT('Ctrl Pts',(-1.21144005883424,-2.29966216086587, 4.7861355219761)); #260606=CARTESIAN_POINT('Ctrl Pts',(-0.405777401946495,-2.43397718321347, 4.81264146143382)); #260607=CARTESIAN_POINT('Ctrl Pts',(0.399885254941268,-2.56829220556109, 4.83914740089154)); #260608=CARTESIAN_POINT('Ctrl Pts',(1.2788591888163,-2.26286372666292,4.86565334034926)); #260609=CARTESIAN_POINT('Ctrl Pts',(1.82763642069142,-1.6579039001394,4.89215927980698)); #260610=CARTESIAN_POINT('Ctrl Pts',(2.23224609070701,-1.21187119038939, 4.91170192624804)); #260611=CARTESIAN_POINT('Ctrl Pts',(2.45736046212412,-0.603012903170003, 4.93124457268911)); #260612=CARTESIAN_POINT('Ctrl Pts',(2.46276267810746,0.00225269873760578, 4.95078721913017)); #260613=CARTESIAN_POINT('Ctrl Pts',(2.46212372559805,0.00217124012553,5.02221278086983)); #260614=CARTESIAN_POINT('Ctrl Pts',(2.4572079987271,-0.581281506505462, 5.00338681908173)); #260615=CARTESIAN_POINT('Ctrl Pts',(2.24977923349353,-1.16787670708024, 4.98456085729363)); #260616=CARTESIAN_POINT('Ctrl Pts',(1.87175774272413,-1.60792500042517, 4.96573489550553)); #260617=CARTESIAN_POINT('Ctrl Pts',(1.33952385949249,-2.22748927837066, 4.93922895604781)); #260618=CARTESIAN_POINT('Ctrl Pts',(0.469121932227096,-2.55655183168838, 4.91272301659008)); #260619=CARTESIAN_POINT('Ctrl Pts',(-0.339875185951405,-2.44405088171551, 4.88621707713236)); #260620=CARTESIAN_POINT('Ctrl Pts',(-1.14887230412989,-2.33154993174264, 4.85971113767464)); #260621=CARTESIAN_POINT('Ctrl Pts',(-1.8964646132215,-1.77748547847919, 4.83320519821693)); #260622=CARTESIAN_POINT('Ctrl Pts',(-2.23945711036918,-1.03621026112306, 4.80669925875921)); #260623=CARTESIAN_POINT('Ctrl Pts',(-2.58244960751687,-0.294935043766925, 4.78019331930149)); #260624=CARTESIAN_POINT('Ctrl Pts',(-2.52084229272064,0.633550937681893, 4.75368737984376)); #260625=CARTESIAN_POINT('Ctrl Pts',(-2.08291705481242,1.323010354159,4.72718144038604)); #260626=CARTESIAN_POINT('Ctrl Pts',(-1.6449918169042,2.0124697706361,4.70067550092832)); #260627=CARTESIAN_POINT('Ctrl Pts',(-0.830748655883985,2.46290262214149, 4.6741695614706)); #260628=CARTESIAN_POINT('Ctrl Pts',(-0.0139797852011866,2.46753002414259, 4.64766362201288)); #260629=CARTESIAN_POINT('Ctrl Pts',(0.802789085481612,2.47215742614369, 4.62115768255516)); #260630=CARTESIAN_POINT('Ctrl Pts',(1.622083665827,2.0309793786405,4.59465174309744)); #260631=CARTESIAN_POINT('Ctrl Pts',(2.06779280217224,1.34652619050801,4.56814580363972)); #260632=CARTESIAN_POINT('Ctrl Pts',(2.32525419041632,0.951155636855788, 4.55283479604976)); #260633=CARTESIAN_POINT('Ctrl Pts',(2.45806018150545,0.474610723334617, 4.53752378845979)); #260634=CARTESIAN_POINT('Ctrl Pts',(2.45982908412622,-0.00102074195681679, 4.52221278086983)); #260635=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260636=CARTESIAN_POINT('',(2.46526057557024,-0.00199090142865732,3.95078721913017)); #260637=CARTESIAN_POINT('',(2.46587380258219,-0.00177082890513946,4.02221278086983)); #260638=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260639=CARTESIAN_POINT('Ctrl Pts',(2.46526057557024,-0.00199090142865732, 3.95078721913017)); #260640=CARTESIAN_POINT('Ctrl Pts',(2.45923240616164,0.684573532757421, 3.97301257198752)); #260641=CARTESIAN_POINT('Ctrl Pts',(2.15992573237267,1.37574543220345,3.99523792484486)); #260642=CARTESIAN_POINT('Ctrl Pts',(1.65090997404291,1.83395651147359,4.0174632777022)); #260643=CARTESIAN_POINT('Ctrl Pts',(1.04385815534224,2.38041870732479,4.04396921715992)); #260644=CARTESIAN_POINT('Ctrl Pts',(0.138538572767458,2.59554235837097, 4.07047515661764)); #260645=CARTESIAN_POINT('Ctrl Pts',(-0.649446375471392,2.38057128300799, 4.09698109607536)); #260646=CARTESIAN_POINT('Ctrl Pts',(-1.43743132371026,2.16560020764501, 4.12348703553308)); #260647=CARTESIAN_POINT('Ctrl Pts',(-2.1080816376132,1.52053440587285,4.1499929749908)); #260648=CARTESIAN_POINT('Ctrl Pts',(-2.35352384965646,0.741502338202118, 4.17649891444853)); #260649=CARTESIAN_POINT('Ctrl Pts',(-2.59896606169972,-0.0375297294686149, 4.20300485390625)); #260650=CARTESIAN_POINT('Ctrl Pts',(-2.41920017188331,-0.950528063037919, 4.22951079336397)); #260651=CARTESIAN_POINT('Ctrl Pts',(-1.89675077866872,-1.57836520233713, 4.25601673282169)); #260652=CARTESIAN_POINT('Ctrl Pts',(-1.37430138545413,-2.20620234163634, 4.28252267227941)); #260653=CARTESIAN_POINT('Ctrl Pts',(-0.509168488841373,-2.54887828666546, 4.30902861173713)); #260654=CARTESIAN_POINT('Ctrl Pts',(0.301493896492045,-2.44908179909964, 4.33553455119485)); #260655=CARTESIAN_POINT('Ctrl Pts',(1.11215628182546,-2.34928531153381, 4.36204049065257)); #260656=CARTESIAN_POINT('Ctrl Pts',(1.86834815587954,-1.80701639137304, 4.38854643011029)); #260657=CARTESIAN_POINT('Ctrl Pts',(2.22292673877989,-1.07121283710182, 4.41505236956801)); #260658=CARTESIAN_POINT('Ctrl Pts',(2.38227231159789,-0.740546957967709, 4.42696398608873)); #260659=CARTESIAN_POINT('Ctrl Pts',(2.46050999869186,-0.370795756378316, 4.43887560260945)); #260660=CARTESIAN_POINT('Ctrl Pts',(2.45959944364927,-0.000678971187535182, 4.45078721913017)); #260661=CARTESIAN_POINT('Ctrl Pts',(2.45982908412622,-0.00102074195681679, 4.52221278086983)); #260662=CARTESIAN_POINT('Ctrl Pts',(2.46112245062767,-0.348787655193134, 4.51101784900207)); #260663=CARTESIAN_POINT('Ctrl Pts',(2.39236235541771,-0.696066231796402, 4.49982291713431)); #260664=CARTESIAN_POINT('Ctrl Pts',(2.25105426785831,-1.01076928010293, 4.48862798526655)); #260665=CARTESIAN_POINT('Ctrl Pts',(1.91648290485402,-1.75588323381953, 4.46212204580883)); #260666=CARTESIAN_POINT('Ctrl Pts',(1.17521648650035,-2.31838281249094, 4.43561610635111)); #260667=CARTESIAN_POINT('Ctrl Pts',(0.36754600759944,-2.44004298870605, 4.4091101668934)); #260668=CARTESIAN_POINT('Ctrl Pts',(-0.440124471301472,-2.56170316492116, 4.38260422743568)); #260669=CARTESIAN_POINT('Ctrl Pts',(-1.31419901074963,-2.24252393867996, 4.35609828797796)); #260670=CARTESIAN_POINT('Ctrl Pts',(-1.85341878235608,-1.62902997874416, 4.32959234852023)); #260671=CARTESIAN_POINT('Ctrl Pts',(-2.39263855396255,-1.01553601880835, 4.30308640906251)); #260672=CARTESIAN_POINT('Ctrl Pts',(-2.59700355772729,-0.107727325177912, 4.27658046960479)); #260673=CARTESIAN_POINT('Ctrl Pts',(-2.3726965523455,0.677651034786574, 4.25007453014707)); #260674=CARTESIAN_POINT('Ctrl Pts',(-2.14838954696371,1.46302939475105, 4.22356859068935)); #260675=CARTESIAN_POINT('Ctrl Pts',(-1.49541053243541,2.12597742104958, 4.19706265123163)); #260676=CARTESIAN_POINT('Ctrl Pts',(-0.713520664738225,2.36215751282586, 4.17055671177391)); #260677=CARTESIAN_POINT('Ctrl Pts',(0.0683692029589617,2.59833760460215, 4.14405077231619)); #260678=CARTESIAN_POINT('Ctrl Pts',(0.979169923825031,2.4077497618562,4.11754483285847)); #260679=CARTESIAN_POINT('Ctrl Pts',(1.60076288940586,1.87788658505652,4.09103889340075)); #260680=CARTESIAN_POINT('Ctrl Pts',(2.13877849738858,1.41926707840372,4.06809685589044)); #260681=CARTESIAN_POINT('Ctrl Pts',(2.46012973339777,0.706474314514588, 4.04515481838014)); #260682=CARTESIAN_POINT('Ctrl Pts',(2.46587380258219,-0.00177082890513946, 4.02221278086983)); #260683=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260684=CARTESIAN_POINT('',(2.46604564854035,0.00169402704244658,3.45078721913017)); #260685=CARTESIAN_POINT('',(2.46544832844107,0.00193161589167873,3.52221278086983)); #260686=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260687=CARTESIAN_POINT('Ctrl Pts',(2.46604564854035,0.00169402704244658, 3.45078721913017)); #260688=CARTESIAN_POINT('Ctrl Pts',(2.46685777470177,0.105106967950372, 3.45413766245014)); #260689=CARTESIAN_POINT('Ctrl Pts',(2.46091816993078,0.208425908681591, 3.4574881057701)); #260690=CARTESIAN_POINT('Ctrl Pts',(2.44791218617307,0.310847527337525, 3.46083854909007)); #260691=CARTESIAN_POINT('Ctrl Pts',(2.34501958804557,1.12112275482116,3.48734448854779)); #260692=CARTESIAN_POINT('Ctrl Pts',(1.79986585231512,1.87523758069653,3.51385042800551)); #260693=CARTESIAN_POINT('Ctrl Pts',(1.06271312382443,2.22700270619749,3.54035636746323)); #260694=CARTESIAN_POINT('Ctrl Pts',(0.325560395333766,2.57876783169844, 3.56686230692095)); #260695=CARTESIAN_POINT('Ctrl Pts',(-0.603591325917134,2.52818325682501, 3.59336824637867)); #260696=CARTESIAN_POINT('Ctrl Pts',(-1.29819910349551,2.09847070585821, 3.61987418583639)); #260697=CARTESIAN_POINT('Ctrl Pts',(-1.9928068810739,1.6687581548914,3.64638012529411)); #260698=CARTESIAN_POINT('Ctrl Pts',(-2.45287071497975,0.859917627831199, 3.67288606475184)); #260699=CARTESIAN_POINT('Ctrl Pts',(-2.46719044768711,0.0432611604044777, 3.69939200420956)); #260700=CARTESIAN_POINT('Ctrl Pts',(-2.48151018039447,-0.773395307022221, 3.72589794366728)); #260701=CARTESIAN_POINT('Ctrl Pts',(-2.05008581190337,-1.59786771481544, 3.752403883125)); #260702=CARTESIAN_POINT('Ctrl Pts',(-1.37097006161969,-2.0516679359085, 3.77890982258272)); #260703=CARTESIAN_POINT('Ctrl Pts',(-0.691854311335992,-2.50546815700157, 3.80541576204044)); #260704=CARTESIAN_POINT('Ctrl Pts',(0.234952820740295,-2.58859619139445, 3.83192170149816)); #260705=CARTESIAN_POINT('Ctrl Pts',(0.98398471170847,-2.26289069857468, 3.85842764095588)); #260706=CARTESIAN_POINT('Ctrl Pts',(1.73301660267662,-1.93718520575491, 3.8849335804136)); #260707=CARTESIAN_POINT('Ctrl Pts',(2.30427325253668,-1.20264618572251, 3.91143951987132)); #260708=CARTESIAN_POINT('Ctrl Pts',(2.43550955005343,-0.396476305378201, 3.93794545932904)); #260709=CARTESIAN_POINT('Ctrl Pts',(2.4567036049757,-0.266283599213794, 3.94222604592942)); #260710=CARTESIAN_POINT('Ctrl Pts',(2.46642159656104,-0.134222706077032, 3.9465066325298)); #260711=CARTESIAN_POINT('Ctrl Pts',(2.46526057557024,-0.00199090142865732, 3.95078721913017)); #260712=CARTESIAN_POINT('Ctrl Pts',(2.46587380258219,-0.00177082890513946, 4.02221278086983)); #260713=CARTESIAN_POINT('Ctrl Pts',(2.46676610778146,-0.111792279473586, 4.01864887892242)); #260714=CARTESIAN_POINT('Ctrl Pts',(2.46004228243659,-0.221703988328913, 4.015084976975)); #260715=CARTESIAN_POINT('Ctrl Pts',(2.44533141918175,-0.330536087181336, 4.01152107502759)); #260716=CARTESIAN_POINT('Ctrl Pts',(2.33592177308119,-1.13995707176613, 3.98501513556987)); #260717=CARTESIAN_POINT('Ctrl Pts',(1.78471727058447,-1.88966053008227, 3.95850919611214)); #260718=CARTESIAN_POINT('Ctrl Pts',(1.04475774185424,-2.23548230031807, 3.93200325665442)); #260719=CARTESIAN_POINT('Ctrl Pts',(0.304798213124041,-2.58130407055385, 3.9054973171967)); #260720=CARTESIAN_POINT('Ctrl Pts',(-0.623916341839649,-2.52324415270932, 3.87899137773899)); #260721=CARTESIAN_POINT('Ctrl Pts',(-1.31504366369197,-2.08795594231762, 3.85248543828127)); #260722=CARTESIAN_POINT('Ctrl Pts',(-2.00617098554432,-1.65266773192591, 3.82597949882355)); #260723=CARTESIAN_POINT('Ctrl Pts',(-2.45971107428528,-0.840151228987037, 3.79947355936582)); #260724=CARTESIAN_POINT('Ctrl Pts',(-2.4674586145688,-0.0234059955062753, 3.7729676199081)); #260725=CARTESIAN_POINT('Ctrl Pts',(-2.47520615485232,0.793339237974476, 3.74646168045038)); #260726=CARTESIAN_POINT('Ctrl Pts',(-2.03716114667839,1.61431320199709, 3.71995574099266)); #260727=CARTESIAN_POINT('Ctrl Pts',(-1.35441562482342,2.06263379394077, 3.69344980153494)); #260728=CARTESIAN_POINT('Ctrl Pts',(-0.671670102968454,2.51095438588445, 3.66694386207722)); #260729=CARTESIAN_POINT('Ctrl Pts',(0.255775932567559,2.58662160574921, 3.6404379226195)); #260730=CARTESIAN_POINT('Ctrl Pts',(1.0021625596343,2.25489912396672,3.61393198316178)); #260731=CARTESIAN_POINT('Ctrl Pts',(1.74854918670105,1.92317664218422,3.58742604370406)); #260732=CARTESIAN_POINT('Ctrl Pts',(2.31387640529853,1.18406445875447,3.56092010424634)); #260733=CARTESIAN_POINT('Ctrl Pts',(2.43862110785881,0.376864632968345, 3.53441416478862)); #260734=CARTESIAN_POINT('Ctrl Pts',(2.45776220150188,0.253006167342732, 3.53034703681569)); #260735=CARTESIAN_POINT('Ctrl Pts',(2.46653000486851,0.127544612959186, 3.52627990884276)); #260736=CARTESIAN_POINT('Ctrl Pts',(2.46544832844107,0.00193161589167873, 3.52221278086983)); #260737=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260738=CARTESIAN_POINT('',(2.45991506166245,0.00111818437221717,2.95078721913017)); #260739=CARTESIAN_POINT('',(2.4596579396932,0.000783023400794144,3.02221278086983)); #260740=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260741=CARTESIAN_POINT('Ctrl Pts',(2.45991506166245,0.00111818437221717, 2.95078721913017)); #260742=CARTESIAN_POINT('Ctrl Pts',(2.46130753524571,0.342223972218512, 2.96176869237048)); #260743=CARTESIAN_POINT('Ctrl Pts',(2.39524048385173,0.682813963538646, 2.97275016561079)); #260744=CARTESIAN_POINT('Ctrl Pts',(2.25911554080155,0.992621768684373, 2.9837316388511)); #260745=CARTESIAN_POINT('Ctrl Pts',(1.93055129261586,1.74040366889236,3.01023757830882)); #260746=CARTESIAN_POINT('Ctrl Pts',(1.19383474131933,2.30885073779357,3.03674351776654)); #260747=CARTESIAN_POINT('Ctrl Pts',(0.387169257515211,2.4370065446005,3.06324945722426)); #260748=CARTESIAN_POINT('Ctrl Pts',(-0.419496226288934,2.56516235140744, 3.08975539668198)); #260749=CARTESIAN_POINT('Ctrl Pts',(-1.29611064260066,2.25302689612006, 3.11626133613971)); #260750=CARTESIAN_POINT('Ctrl Pts',(-1.84024975148338,1.64389186579801, 3.14276727559743)); #260751=CARTESIAN_POINT('Ctrl Pts',(-2.3843888603661,1.03475683547597,3.16927321505515)); #260752=CARTESIAN_POINT('Ctrl Pts',(-2.5960526618198,0.128622230119227, 3.19577915451287)); #260753=CARTESIAN_POINT('Ctrl Pts',(-2.37807298147529,-0.65853570730227, 3.22228509397059)); #260754=CARTESIAN_POINT('Ctrl Pts',(-2.16009330113079,-1.44569364472376, 3.2487910334283)); #260755=CARTESIAN_POINT('Ctrl Pts',(-1.51247013898809,-2.11387491421002, 3.27529697288603)); #260756=CARTESIAN_POINT('Ctrl Pts',(-0.73250611088424,-2.35633934472542, 3.30180291234375)); #260757=CARTESIAN_POINT('Ctrl Pts',(0.0474579172196374,-2.59880377524083, 3.32830885180147)); #260758=CARTESIAN_POINT('Ctrl Pts',(0.959762811284672,-2.41555136678535, 3.35481479125919)); #260759=CARTESIAN_POINT('Ctrl Pts',(1.58559952805491,-1.89070734801165, 3.38132073071691)); #260760=CARTESIAN_POINT('Ctrl Pts',(2.13232829807846,-1.43220544623891, 3.40447622685466)); #260761=CARTESIAN_POINT('Ctrl Pts',(2.46043290347999,-0.713010782174284, 3.42763172299242)); #260762=CARTESIAN_POINT('Ctrl Pts',(2.46604564854035,0.00169402704244658, 3.45078721913017)); #260763=CARTESIAN_POINT('Ctrl Pts',(2.46544832844107,0.00193161589167873, 3.52221278086983)); #260764=CARTESIAN_POINT('Ctrl Pts',(2.45948059553365,-0.69108969546065, 3.49977396938504)); #260765=CARTESIAN_POINT('Ctrl Pts',(2.15370893511282,-1.38872070320244, 3.47733515790024)); #260766=CARTESIAN_POINT('Ctrl Pts',(1.63609841090985,-1.84718213275115, 3.45489634641545)); #260767=CARTESIAN_POINT('Ctrl Pts',(1.02466882487754,-2.388741604542,3.42839040695773)); #260768=CARTESIAN_POINT('Ctrl Pts',(0.117647466289858,-2.59657307998119, 3.40188446750002)); #260769=CARTESIAN_POINT('Ctrl Pts',(-0.668582050872515,-2.37526796474188, 3.3753785280423)); #260770=CARTESIAN_POINT('Ctrl Pts',(-1.45481156803488,-2.15396284950258, 3.34887258858458)); #260771=CARTESIAN_POINT('Ctrl Pts',(-2.12024924377192,-1.50352114358479, 3.32236664912685)); #260772=CARTESIAN_POINT('Ctrl Pts',(-2.35941453493005,-0.722539227312933, 3.29586070966913)); #260773=CARTESIAN_POINT('Ctrl Pts',(-2.59857982608818,0.0584426889589364, 3.26935477021141)); #260774=CARTESIAN_POINT('Ctrl Pts',(-2.41147273266736,0.969964815584898, 3.24284883075369)); #260775=CARTESIAN_POINT('Ctrl Pts',(-1.88398800772565,1.59357740692384, 3.21634289129597)); #260776=CARTESIAN_POINT('Ctrl Pts',(-1.35650328278394,2.21718999826278, 3.18983695183825)); #260777=CARTESIAN_POINT('Ctrl Pts',(-0.488640926321341,2.55289305431472, 3.16333101238053)); #260778=CARTESIAN_POINT('Ctrl Pts',(0.321192136812886,2.44657629095392, 3.13682507292281)); #260779=CARTESIAN_POINT('Ctrl Pts',(1.13102519994709,2.34025952759312,3.11031913346509)); #260780=CARTESIAN_POINT('Ctrl Pts',(1.88282896975291,1.79192294481962,3.08381319400737)); #260781=CARTESIAN_POINT('Ctrl Pts',(2.23147491979207,1.05328993721435,3.05730725454965)); #260782=CARTESIAN_POINT('Ctrl Pts',(2.38534667291043,0.727300905135972, 3.04560909665637)); #260783=CARTESIAN_POINT('Ctrl Pts',(2.46069058775058,0.36424557757372,3.0339109387631)); #260784=CARTESIAN_POINT('Ctrl Pts',(2.4596579396932,0.000783023400794144, 3.02221278086983)); #260785=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260786=CARTESIAN_POINT('',(2.46190853328992,-0.00210171442343754,2.45078721913017)); #260787=CARTESIAN_POINT('',(2.4625631098774,-0.00223073601777565,2.52221278086983)); #260788=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260789=CARTESIAN_POINT('Ctrl Pts',(2.46190853328992,-0.00210171442343754, 2.45078721913017)); #260790=CARTESIAN_POINT('Ctrl Pts',(2.45716324206587,0.574835255134712, 2.46939972229083)); #260791=CARTESIAN_POINT('Ctrl Pts',(2.25486431051008,1.15475259889109,2.48801222545148)); #260792=CARTESIAN_POINT('Ctrl Pts',(1.88465125631813,1.59279532393998,2.50662472861213)); #260793=CARTESIAN_POINT('Ctrl Pts',(1.35743335788875,2.21660899638494,2.53313066806985)); #260794=CARTESIAN_POINT('Ctrl Pts',(0.489678653027875,2.55269505658481, 2.55963660752757)); #260795=CARTESIAN_POINT('Ctrl Pts',(-0.320204468776772,2.44671493782097, 2.58614254698529)); #260796=CARTESIAN_POINT('Ctrl Pts',(-1.13008759058145,2.34073481905713, 2.61264848644301)); #260797=CARTESIAN_POINT('Ctrl Pts',(-1.88209912932991,1.79268852132954, 2.63915442590073)); #260798=CARTESIAN_POINT('Ctrl Pts',(-2.23104204994815,1.0541936511737,2.66566036535845)); #260799=CARTESIAN_POINT('Ctrl Pts',(-2.5799849705664,0.315698781017879, 2.69216630481617)); #260800=CARTESIAN_POINT('Ctrl Pts',(-2.52585927305445,-0.613244661566169, 2.71867224427389)); #260801=CARTESIAN_POINT('Ctrl Pts',(-2.09349825559217,-1.30620384900717, 2.74517818373161)); #260802=CARTESIAN_POINT('Ctrl Pts',(-1.66113723812988,-1.99916303644817, 2.77168412318934)); #260803=CARTESIAN_POINT('Ctrl Pts',(-0.850540900717236,-2.45613796874609, 2.79819006264706)); #260804=CARTESIAN_POINT('Ctrl Pts',(-0.0338346916039904,-2.46733888381324, 2.82469600210478)); #260805=CARTESIAN_POINT('Ctrl Pts',(0.782871517509232,-2.47853979888039, 2.8512019415625)); #260806=CARTESIAN_POINT('Ctrl Pts',(1.60568759832306,-2.04396669671678, 2.87770788102022)); #260807=CARTESIAN_POINT('Ctrl Pts',(2.05688966619256,-1.36312177987761, 2.90421382047794)); #260808=CARTESIAN_POINT('Ctrl Pts',(2.32115765303527,-0.964352518081551, 2.91973828669535)); #260809=CARTESIAN_POINT('Ctrl Pts',(2.45794652714718,-0.481101747698935, 2.93526275291276)); #260810=CARTESIAN_POINT('Ctrl Pts',(2.45991506166245,0.00111818437221717, 2.95078721913017)); #260811=CARTESIAN_POINT('Ctrl Pts',(2.4596579396932,0.000783023400794144, 3.02221278086983)); #260812=CARTESIAN_POINT('Ctrl Pts',(2.45835079142197,-0.459295747816964, 3.00740499930538)); #260813=CARTESIAN_POINT('Ctrl Pts',(2.33466513594573,-0.920027020048349, 2.99259721774094)); #260814=CARTESIAN_POINT('Ctrl Pts',(2.09296425813928,-1.30705770852496, 2.97778943617649)); #260815=CARTESIAN_POINT('Ctrl Pts',(1.66031951617486,-1.99984291422189, 2.95128349671877)); #260816=CARTESIAN_POINT('Ctrl Pts',(0.849541901973555,-2.45648389317874, 2.92477755726105)); #260817=CARTESIAN_POINT('Ctrl Pts',(0.0328320564705448,-2.46735133604288, 2.89827161780332)); #260818=CARTESIAN_POINT('Ctrl Pts',(-0.783877789032489,-2.47821877890703, 2.8717656783456)); #260819=CARTESIAN_POINT('Ctrl Pts',(-1.60651986583723,-2.04331268567844, 2.84525973888788)); #260820=CARTESIAN_POINT('Ctrl Pts',(-2.05744505035594,-1.362285027104,2.81875379943016)); #260821=CARTESIAN_POINT('Ctrl Pts',(-2.50837023487465,-0.681257368529576, 2.79224785997244)); #260822=CARTESIAN_POINT('Ctrl Pts',(-2.58757852710733,0.245891855390699, 2.76574192051472)); #260823=CARTESIAN_POINT('Ctrl Pts',(-2.25871037723744,0.993540707346272, 2.739235981057)); #260824=CARTESIAN_POINT('Ctrl Pts',(-1.92984222736755,1.74118955930184, 2.71273004159928)); #260825=CARTESIAN_POINT('Ctrl Pts',(-1.19289763539508,2.30933803929271, 2.68622410214156)); #260826=CARTESIAN_POINT('Ctrl Pts',(-0.38617908259938,2.4371646030469,2.65971816268384)); #260827=CARTESIAN_POINT('Ctrl Pts',(0.420539470196319,2.56499116680109, 2.63321222322612)); #260828=CARTESIAN_POINT('Ctrl Pts',(1.29703198381524,2.25249581431859,2.6067062837684)); #260829=CARTESIAN_POINT('Ctrl Pts',(1.84092104542459,1.64314113224014,2.58020034431068)); #260830=CARTESIAN_POINT('Ctrl Pts',(2.23754663337585,1.1987754038045,2.56087115649706)); #260831=CARTESIAN_POINT('Ctrl Pts',(2.45729677005514,0.596542619061025, 2.54154196868344)); #260832=CARTESIAN_POINT('Ctrl Pts',(2.4625631098774,-0.00223073601777565, 2.52221278086983)); #260833=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #260834=CARTESIAN_POINT('',(2.46349067735139,-1.96618547970706E-6,1.95078721913017)); #260835=CARTESIAN_POINT('',(2.46732280104458,0.000418896103442022,2.02221278086983)); #260836=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.95)); #260837=CARTESIAN_POINT('Ctrl Pts',(2.46349067735139,-1.96618547970706E-6, 1.95078721913017)); #260838=CARTESIAN_POINT('Ctrl Pts',(2.46287547033828,0.812296029202581, 1.97703075221117)); #260839=CARTESIAN_POINT('Ctrl Pts',(2.03055818063361,1.618312511429,2.00327428529217)); #260840=CARTESIAN_POINT('Ctrl Pts',(1.35575847347342,2.06152464431108,2.02951781837316)); #260841=CARTESIAN_POINT('Ctrl Pts',(0.67421151373772,2.50916840953288,2.05602375783088)); #260842=CARTESIAN_POINT('Ctrl Pts',(-0.254691219639904,2.58671626654647, 2.0825296972886)); #260843=CARTESIAN_POINT('Ctrl Pts',(-1.0015889654333,2.25567104566921,2.10903563674632)); #260844=CARTESIAN_POINT('Ctrl Pts',(-1.74848671122669,1.92462582479196, 2.13554157620404)); #260845=CARTESIAN_POINT('Ctrl Pts',(-2.31337946943586,1.18498752602387, 2.16204751566177)); #260846=CARTESIAN_POINT('Ctrl Pts',(-2.43827548599518,0.377657142303904, 2.18855345511949)); #260847=CARTESIAN_POINT('Ctrl Pts',(-2.56317150255449,-0.429673241416036, 2.21505939457721)); #260848=CARTESIAN_POINT('Ctrl Pts',(-2.24807077746399,-1.30469571008784, 2.24156533403492)); #260849=CARTESIAN_POINT('Ctrl Pts',(-1.63695226411934,-1.84641036994284, 2.26807127349264)); #260850=CARTESIAN_POINT('Ctrl Pts',(-1.02583375077467,-2.38812502979785, 2.29457721295036)); #260851=CARTESIAN_POINT('Ctrl Pts',(-0.118697449175835,-2.59653188083604, 2.32108315240809)); #260852=CARTESIAN_POINT('Ctrl Pts',(0.667669449343627,-2.37559567069029, 2.34758909186581)); #260853=CARTESIAN_POINT('Ctrl Pts',(1.45403634786307,-2.15465946054456, 2.37409503132353)); #260854=CARTESIAN_POINT('Ctrl Pts',(2.11963384330314,-1.50438018921491, 2.40060097078125)); #260855=CARTESIAN_POINT('Ctrl Pts',(2.35909204502426,-0.723469911205046, 2.42710691023897)); #260856=CARTESIAN_POINT('Ctrl Pts',(2.4304024041274,-0.490915787136775, 2.43500034653604)); #260857=CARTESIAN_POINT('Ctrl Pts',(2.46392097909931,-0.246776768462279, 2.4428937828331)); #260858=CARTESIAN_POINT('Ctrl Pts',(2.46190853328992,-0.00210171442343754, 2.45078721913017)); #260859=CARTESIAN_POINT('Ctrl Pts',(2.4625631098774,-0.00223073601777565, 2.52221278086983)); #260860=CARTESIAN_POINT('Ctrl Pts',(2.46451845395819,-0.224549837158682, 2.51503602922573)); #260861=CARTESIAN_POINT('Ctrl Pts',(2.43690571888866,-0.446392031924194, 2.50785927758162)); #260862=CARTESIAN_POINT('Ctrl Pts',(2.37779992049414,-0.659499819814847, 2.50068252593752)); #260863=CARTESIAN_POINT('Ctrl Pts',(2.15950412435457,-1.44657207811705, 2.4741765864798)); #260864=CARTESIAN_POINT('Ctrl Pts',(1.51162692247564,-2.11450258304706, 2.44767064702207)); #260865=CARTESIAN_POINT('Ctrl Pts',(0.731556364978622,-2.35664376536169, 2.42116470756435)); #260866=CARTESIAN_POINT('Ctrl Pts',(-0.0485141925183818,-2.59878494767631, 2.39465876810663)); #260867=CARTESIAN_POINT('Ctrl Pts',(-0.960778105633461,-2.41513680737556, 2.36815282864892)); #260868=CARTESIAN_POINT('Ctrl Pts',(-1.58638601912124,-1.89004930209626, 2.34164688919119)); #260869=CARTESIAN_POINT('Ctrl Pts',(-2.21199393260903,-1.36496179681697, 2.31514094973347)); #260870=CARTESIAN_POINT('Ctrl Pts',(-2.55094584646952,-0.498434926559123, 2.28863501027575)); #260871=CARTESIAN_POINT('Ctrl Pts',(-2.44775245101056,0.311819462814071, 2.26212907081803)); #260872=CARTESIAN_POINT('Ctrl Pts',(-2.3445590555516,1.12207385218726,2.23562313136031)); #260873=CARTESIAN_POINT('Ctrl Pts',(-1.79922035077319,1.87605576067581, 2.20911719190259)); #260874=CARTESIAN_POINT('Ctrl Pts',(-1.06186952470246,2.22748068799756, 2.18261125244487)); #260875=CARTESIAN_POINT('Ctrl Pts',(-0.324518698631719,2.57890561531932, 2.15610531298715)); #260876=CARTESIAN_POINT('Ctrl Pts',(0.60484424873135,2.52777356147429,2.12959937352943)); #260877=CARTESIAN_POINT('Ctrl Pts',(1.29917176662158,2.09785521358578,2.10309343407171)); #260878=CARTESIAN_POINT('Ctrl Pts',(1.99349928451181,1.66793686569728,2.07658749461399)); #260879=CARTESIAN_POINT('Ctrl Pts',(2.45279137292919,0.85923222376531,2.05008155515627)); #260880=CARTESIAN_POINT('Ctrl Pts',(2.46698429218535,0.0424214384262928, 2.02357561569855)); #260881=CARTESIAN_POINT('Ctrl Pts',(2.46722754086947,0.0284223347095575, 2.02312133742231)); #260882=CARTESIAN_POINT('Ctrl Pts',(2.4673400477961,0.0144208499247174, 2.02266705914607)); #260883=CARTESIAN_POINT('Ctrl Pts',(2.46732280104458,0.000418896103442022, 2.02221278086983)); #260884=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #260885=CARTESIAN_POINT('',(2.17634313309199,-0.00185792920070819,2.28580937970923)); #260886=CARTESIAN_POINT('',(2.18112938095183,0.000370306875791368,2.18719062029075)); #260887=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #260888=CARTESIAN_POINT('',(-1.03064078298424,1.92249622978679,1.95)); #260889=CARTESIAN_POINT('Ctrl Pts',(2.17634313309199,-0.00185792920070796, 2.28580937970923)); #260890=CARTESIAN_POINT('Ctrl Pts',(2.17812214825797,-0.218152266092533, 2.27791594341217)); #260891=CARTESIAN_POINT('Ctrl Pts',(2.04899265242021,-1.15869190485027, 2.24351656765738)); #260892=CARTESIAN_POINT('Ctrl Pts',(0.696985066313796,-2.47958405656144, 2.18261125244487)); #260893=CARTESIAN_POINT('Ctrl Pts',(-1.70875808059931,-1.92688502691042, 2.10309343407171)); #260894=CARTESIAN_POINT('Ctrl Pts',(-2.52359579445729,0.335887639646086, 2.02555634992342)); #260895=CARTESIAN_POINT('Ctrl Pts',(-1.61813516571299,1.60332657805215, 1.97452520523285)); #260896=CARTESIAN_POINT('Ctrl Pts',(-1.0306407190263,1.92249599483413,1.95)); #260897=CARTESIAN_POINT('',(-2.149597561462,-0.34903493558187,1.95)); #260898=CARTESIAN_POINT('Origin',(0.,0.,1.95)); #260899=CARTESIAN_POINT('Ctrl Pts',(2.18112938095183,0.000370306875791368, 2.18719062029075)); #260900=CARTESIAN_POINT('Ctrl Pts',(2.18025504927212,-0.709464921106586, 2.16113895910928)); #260901=CARTESIAN_POINT('Ctrl Pts',(1.41746049147198,-2.14426418542992, 2.10858135847008)); #260902=CARTESIAN_POINT('Ctrl Pts',(-1.03103864333669,-2.3592284132342, 2.02951781837316)); #260903=CARTESIAN_POINT('Ctrl Pts',(-2.03333979790864,-1.0650303261828, 1.97650593945772)); #260904=CARTESIAN_POINT('Ctrl Pts',(-2.149597561462,-0.34903493558187,1.95)); #260905=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #260906=CARTESIAN_POINT('',(2.17458089122606,0.000988482247358871,2.78580937970923)); #260907=CARTESIAN_POINT('',(2.17692178304669,-0.0019719851282618,2.68719062029075)); #260908=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #260909=CARTESIAN_POINT('Ctrl Pts',(2.17458089122606,0.000988482247358709, 2.78580937970923)); #260910=CARTESIAN_POINT('Ctrl Pts',(2.17284069392926,-0.425297069637319, 2.77028491349182)); #260911=CARTESIAN_POINT('Ctrl Pts',(1.84545994645461,-1.58187504027037, 2.72825450781669)); #260912=CARTESIAN_POINT('Ctrl Pts',(-0.0353112533942414,-2.57521072467975, 2.65971816268384)); #260913=CARTESIAN_POINT('Ctrl Pts',(-2.18502853425522,-1.36330430030979, 2.58020034431068)); #260914=CARTESIAN_POINT('Ctrl Pts',(-2.32857093866757,1.10027180939691, 2.50068252593752)); #260915=CARTESIAN_POINT('Ctrl Pts',(-0.334221685357937,2.55370126936387, 2.42116470756436)); #260916=CARTESIAN_POINT('Ctrl Pts',(1.73863902272671,1.75087117830143,2.34954032548826)); #260917=CARTESIAN_POINT('Ctrl Pts',(2.17214826483013,0.508158098993046, 2.30442188286989)); #260918=CARTESIAN_POINT('Ctrl Pts',(2.17634313309199,-0.00185792920070796, 2.28580937970923)); #260919=CARTESIAN_POINT('Ctrl Pts',(2.17692178304669,-0.00197198512826216, 2.68719062029075)); #260920=CARTESIAN_POINT('Ctrl Pts',(2.1786503199137,-0.198503514460272, 2.68001386864665)); #260921=CARTESIAN_POINT('Ctrl Pts',(2.0640874863296,-1.1189074649142,2.64633117754483)); #260922=CARTESIAN_POINT('Ctrl Pts',(0.763560362910241,-2.45968892118615, 2.58614254698529)); #260923=CARTESIAN_POINT('Ctrl Pts',(-1.65578130965281,-1.97265047482123, 2.50662472861213)); #260924=CARTESIAN_POINT('Ctrl Pts',(-2.55468838951031,0.325395668922256, 2.42710691023897)); #260925=CARTESIAN_POINT('Ctrl Pts',(-1.10843951880052,2.32497038117422, 2.34758909186581)); #260926=CARTESIAN_POINT('Ctrl Pts',(1.35624912575389,2.18936717809602,2.26807127349265)); #260927=CARTESIAN_POINT('Ctrl Pts',(2.17524212519014,0.747314381941581, 2.21460511630096)); #260928=CARTESIAN_POINT('Ctrl Pts',(2.18114462719219,0.012748124994123, 2.187644898567)); #260929=CARTESIAN_POINT('Ctrl Pts',(2.18112938095183,0.000370306875791368, 2.18719062029075)); #260930=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #260931=CARTESIAN_POINT('',(2.18000036984321,0.00149753090777689,3.28580937970923)); #260932=CARTESIAN_POINT('',(2.17435359373528,0.000692197771654107,3.18719062029076)); #260933=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #260934=CARTESIAN_POINT('Ctrl Pts',(2.18000036984321,0.0014975309077769, 3.28580937970923)); #260935=CARTESIAN_POINT('Ctrl Pts',(2.17503866675601,-0.630306162322031, 3.26265388357148)); #260936=CARTESIAN_POINT('Ctrl Pts',(1.55297768533702,-1.99384367808409, 3.21299244797601)); #260937=CARTESIAN_POINT('Ctrl Pts',(-0.764530344560629,-2.45935573535401, 3.13682507292281)); #260938=CARTESIAN_POINT('Ctrl Pts',(-2.48203958915928,-0.687325989516259, 3.05730725454965)); #260939=CARTESIAN_POINT('Ctrl Pts',(-1.92070306354634,1.71576063944528, 2.97778943617649)); #260940=CARTESIAN_POINT('Ctrl Pts',(0.404095448882561,2.54354994866231, 2.89827161780332)); #260941=CARTESIAN_POINT('Ctrl Pts',(1.97643935364816,1.33033669534833,2.83427826564757)); #260942=CARTESIAN_POINT('Ctrl Pts',(2.17581184691754,0.30252821412571,2.79679085294954)); #260943=CARTESIAN_POINT('Ctrl Pts',(2.17458089122606,0.000988482247358709, 2.78580937970923)); #260944=CARTESIAN_POINT('Ctrl Pts',(2.17435359373528,0.000692197771654038, 3.18719062029076)); #260945=CARTESIAN_POINT('Ctrl Pts',(2.17319806617378,-0.406020424115618, 3.17238283872631)); #260946=CARTESIAN_POINT('Ctrl Pts',(1.86814239446406,-1.54235824103554, 3.13106911770415)); #260947=CARTESIAN_POINT('Ctrl Pts',(0.0342678821578431,-2.57522130720247, 3.06324945722426)); #260948=CARTESIAN_POINT('Ctrl Pts',(-2.14739493427586,-1.42184187404408, 2.9837316388511)); #260949=CARTESIAN_POINT('Ctrl Pts',(-2.35745686860876,1.0369759328068,2.90421382047794)); #260950=CARTESIAN_POINT('Ctrl Pts',(-0.403065451720519,2.54371677181646, 2.82469600210478)); #260951=CARTESIAN_POINT('Ctrl Pts',(1.71161803353865,1.78976993160155,2.75235493537572)); #260952=CARTESIAN_POINT('Ctrl Pts',(2.17226630443993,0.527347549689309, 2.70651980810437)); #260953=CARTESIAN_POINT('Ctrl Pts',(2.17692178304669,-0.00197198512826216, 2.68719062029075)); #260954=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #260955=CARTESIAN_POINT('',(2.17930636023872,-0.00175996979354892,3.78580937970924)); #260956=CARTESIAN_POINT('',(2.17947233499596,0.0017075609935039,3.68719062029076)); #260957=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #260958=CARTESIAN_POINT('Ctrl Pts',(2.17930636023872,-0.00175996979354887, 3.78580937970924)); #260959=CARTESIAN_POINT('Ctrl Pts',(2.18033271033521,-0.118653743925058, 3.78152879310886)); #260960=CARTESIAN_POINT('Ctrl Pts',(2.11854681095469,-0.958282074922835, 3.75074226705076)); #260961=CARTESIAN_POINT('Ctrl Pts',(1.02700334371673,-2.36182156797581, 3.69344980153494)); #260962=CARTESIAN_POINT('Ctrl Pts',(-1.43090722889836,-2.14136440105988, 3.61393198316178)); #260963=CARTESIAN_POINT('Ctrl Pts',(-2.57505306021335,0.0451524888184222, 3.53441416478862)); #260964=CARTESIAN_POINT('Ctrl Pts',(-1.35495480859353,2.19021332641368, 3.45489634641545)); #260965=CARTESIAN_POINT('Ctrl Pts',(1.10917366019582,2.32436460459178,3.3753785280423)); #260966=CARTESIAN_POINT('Ctrl Pts',(2.13392884703911,0.906813868640595, 3.31901620580689)); #260967=CARTESIAN_POINT('Ctrl Pts',(2.18071829464452,0.0929152423193199, 3.2891598230292)); #260968=CARTESIAN_POINT('Ctrl Pts',(2.18000036984321,0.0014975309077769, 3.28580937970923)); #260969=CARTESIAN_POINT('Ctrl Pts',(2.17947233499596,0.00170756099350388, 3.68719062029076)); #260970=CARTESIAN_POINT('Ctrl Pts',(2.17419682034635,-0.610927779293631, 3.66475180880597)); #260971=CARTESIAN_POINT('Ctrl Pts',(1.58459480359685,-1.95612977919568, 3.61580705786346)); #260972=CARTESIAN_POINT('Ctrl Pts',(-0.697811647636167,-2.47911184110685, 3.54035636746324)); #260973=CARTESIAN_POINT('Ctrl Pts',(-2.46256532939343,-0.754127763498738, 3.46083854909006)); #260974=CARTESIAN_POINT('Ctrl Pts',(-1.96635367195823,1.66324667684177, 3.38132073071691)); #260975=CARTESIAN_POINT('Ctrl Pts',(0.33523420722149,2.55353772248872,3.30180291234374)); #260976=CARTESIAN_POINT('Ctrl Pts',(1.95774801015319,1.37013892890164,3.23709287553503)); #260977=CARTESIAN_POINT('Ctrl Pts',(2.17526646132491,0.321995456286071, 3.19888877818403)); #260978=CARTESIAN_POINT('Ctrl Pts',(2.17435359373528,0.000692197771654038, 3.18719062029076)); #260979=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #260980=CARTESIAN_POINT('',(2.17430188285252,-0.000600214939703512,4.28580937970923)); #260981=CARTESIAN_POINT('',(2.17984845690009,-0.0015654242536442,4.18719062029075)); #260982=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #260983=CARTESIAN_POINT('Ctrl Pts',(2.17430188285252,-0.000600214939703546, 4.28580937970923)); #260984=CARTESIAN_POINT('Ctrl Pts',(2.17510681942406,-0.327785856891863, 4.27389776318851)); #260985=CARTESIAN_POINT('Ctrl Pts',(1.95204230450278,-1.3819884475821,4.23548020721007)); #260986=CARTESIAN_POINT('Ctrl Pts',(0.314674848396187,-2.55615272095511, 4.17055671177391)); #260987=CARTESIAN_POINT('Ctrl Pts',(-1.97967445007967,-1.64736943787751, 4.09103889340075)); #260988=CARTESIAN_POINT('Ctrl Pts',(-2.45641709251643,0.773919932039927, 4.01152107502759)); #260989=CARTESIAN_POINT('Ctrl Pts',(-0.677839392777702,2.48464700710706, 3.93200325665442)); #260990=CARTESIAN_POINT('Ctrl Pts',(1.59383967715224,1.94484658200338,3.85676602488164)); #260991=CARTESIAN_POINT('Ctrl Pts',(2.17397741932963,0.605167449142419, 3.80803473256658)); #260992=CARTESIAN_POINT('Ctrl Pts',(2.17930636023872,-0.00175996979354887, 3.78580937970924)); #260993=CARTESIAN_POINT('Ctrl Pts',(2.17984845690009,-0.00156542425364423, 4.18719062029075)); #260994=CARTESIAN_POINT('Ctrl Pts',(2.1806372604916,-0.0988251011260743, 4.18362671834334)); #260995=CARTESIAN_POINT('Ctrl Pts',(2.13048652919148,-0.918619235388158, 4.15355687693821)); #260996=CARTESIAN_POINT('Ctrl Pts',(1.09043329843843,-2.33321490794991, 4.09698109607537)); #260997=CARTESIAN_POINT('Ctrl Pts',(-1.37253579683927,-2.17923887455928, 4.0174632777022)); #260998=CARTESIAN_POINT('Ctrl Pts',(-2.57533294917004,-0.0244292773092099, 3.93794545932904)); #260999=CARTESIAN_POINT('Ctrl Pts',(-1.41362905241649,2.15280967152403, 3.85842764095588)); #261000=CARTESIAN_POINT('Ctrl Pts',(1.04597590462254,2.35348061294126,3.77890982258271)); #261001=CARTESIAN_POINT('Ctrl Pts',(2.12216492811805,0.946464653383737, 3.72183081569434)); #261002=CARTESIAN_POINT('Ctrl Pts',(2.18042854398312,0.112750266235615, 3.69125774826369)); #261003=CARTESIAN_POINT('Ctrl Pts',(2.17947233499596,0.00170756099350388, 3.68719062029076)); #261004=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261005=CARTESIAN_POINT('',(2.17709820265822,0.00199140031483389,4.78580937970923)); #261006=CARTESIAN_POINT('',(2.17450488652563,-0.000902342519644717,4.68719062029075)); #261007=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261008=CARTESIAN_POINT('Ctrl Pts',(2.17709820265822,0.00199140031483384, 4.78580937970923)); #261009=CARTESIAN_POINT('Ctrl Pts',(2.17232260864248,-0.533067322865295, 4.76626673326817)); #261010=CARTESIAN_POINT('Ctrl Pts',(1.70341033511322,-1.80131653289975, 4.72021814736938)); #261011=CARTESIAN_POINT('Ctrl Pts',(-0.423517503774319,-2.5403877493733, 4.64766362201288)); #261012=CARTESIAN_POINT('Ctrl Pts',(-2.36572763275132,-1.01797335957565, 4.56814580363972)); #261013=CARTESIAN_POINT('Ctrl Pts',(-2.1358825069949,1.43907710338762,4.48862798526655)); #261014=CARTESIAN_POINT('Ctrl Pts',(0.0549892215719526,2.5748617785558, 4.4091101668934)); #261015=CARTESIAN_POINT('Ctrl Pts',(1.87474801875784,1.53057255549486,4.34150396504095)); #261016=CARTESIAN_POINT('Ctrl Pts',(2.17331566034878,0.400273412136284, 4.30040370264623)); #261017=CARTESIAN_POINT('Ctrl Pts',(2.17430188285252,-0.000600214939703546, 4.28580937970923)); #261018=CARTESIAN_POINT('Ctrl Pts',(2.17450488652563,-0.000902342519644925, 4.68719062029076)); #261019=CARTESIAN_POINT('Ctrl Pts',(2.17564823091306,-0.308330552505502, 4.675995688423)); #261020=CARTESIAN_POINT('Ctrl Pts',(1.97094633836652,-1.34219447684223, 4.63829481709752)); #261021=CARTESIAN_POINT('Ctrl Pts',(0.383614678671145,-2.5467187446129, 4.57408800631434)); #261022=CARTESIAN_POINT('Ctrl Pts',(-1.93444803082743,-1.70024921758206, 4.49457018794117)); #261023=CARTESIAN_POINT('Ctrl Pts',(-2.47642800275593,0.707277126100088, 4.41505236956801)); #261024=CARTESIAN_POINT('Ctrl Pts',(-0.744714933274656,2.46542820903688, 4.33553455119484)); #261025=CARTESIAN_POINT('Ctrl Pts',(1.56248798412302,1.98263892623319,4.2595806347691)); #261026=CARTESIAN_POINT('Ctrl Pts',(2.17477066243442,0.624527882457341, 4.21013265780106)); #261027=CARTESIAN_POINT('Ctrl Pts',(2.17984845690009,-0.00156542425364423, 4.18719062029075)); #261028=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261029=CARTESIAN_POINT('',(2.18128272165163,-0.000340349517086645,5.28580937970924)); #261030=CARTESIAN_POINT('',(2.17653336449001,0.00191939037251399,5.18719062029076)); #261031=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261032=CARTESIAN_POINT('Ctrl Pts',(2.18128272165163,-0.000340349517087026, 5.28580937970924)); #261033=CARTESIAN_POINT('Ctrl Pts',(2.18132218554365,-0.0185314338722876, 5.28514164280555)); #261034=CARTESIAN_POINT('Ctrl Pts',(2.17286534659523,-0.758783325571277, 5.25796796644414)); #261035=CARTESIAN_POINT('Ctrl Pts',(1.33818154268148,-2.20050156827882, 5.20428835062501)); #261036=CARTESIAN_POINT('Ctrl Pts',(-1.12689996955782,-2.31582241369701, 5.12477053225185)); #261037=CARTESIAN_POINT('Ctrl Pts',(-2.55733617159571,-0.304907688684732, 5.04525271387869)); #261038=CARTESIAN_POINT('Ctrl Pts',(-1.63979478198625,1.98595318830576, 4.96573489550552)); #261039=CARTESIAN_POINT('Ctrl Pts',(0.783298144323162,2.45344268534453, 4.88621707713237)); #261040=CARTESIAN_POINT('Ctrl Pts',(2.06845545305302,1.10705670520543,4.82624190520027)); #261041=CARTESIAN_POINT('Ctrl Pts',(2.17879980743117,0.19263961583964,4.79277267272589)); #261042=CARTESIAN_POINT('Ctrl Pts',(2.17709820265822,0.00199140031483384, 4.78580937970923)); #261043=CARTESIAN_POINT('Ctrl Pts',(2.17653336449001,0.00191939037251405, 5.18719062029076)); #261044=CARTESIAN_POINT('Ctrl Pts',(2.17218783000924,-0.513856627072368, 5.16836465850266)); #261045=CARTESIAN_POINT('Ctrl Pts',(1.73064666512797,-1.7625066293218,5.12303275725684)); #261046=CARTESIAN_POINT('Ctrl Pts',(-0.354734121857004,-2.5509018579042, 5.0511949165533)); #261047=CARTESIAN_POINT('Ctrl Pts',(-2.33736349201031,-1.08151213219546, 4.97167709818014)); #261048=CARTESIAN_POINT('Ctrl Pts',(-2.17397969278459,1.38085078166713, 4.89215927980698)); #261049=CARTESIAN_POINT('Ctrl Pts',(-0.0145909646598294,2.57540748030627, 4.81264146143381)); #261050=CARTESIAN_POINT('Ctrl Pts',(1.85229823093274,1.5701151837685,4.74431857492841)); #261051=CARTESIAN_POINT('Ctrl Pts',(2.1729411651202,0.41955896194093,4.70250162788072)); #261052=CARTESIAN_POINT('Ctrl Pts',(2.17450488652563,-0.000902342519644925, 4.68719062029076)); #261053=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261054=CARTESIAN_POINT('',(2.17607543525928,-0.00181501795281353,5.78580937970924)); #261055=CARTESIAN_POINT('',(2.18134721174756,2.50966570662284E-5,5.68719062029076)); #261056=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261057=CARTESIAN_POINT('Ctrl Pts',(2.17607543525928,-0.00181501795281381, 5.78580937970924)); #261058=CARTESIAN_POINT('Ctrl Pts',(2.17785963228045,-0.229297835241285, 5.77751061288521)); #261059=CARTESIAN_POINT('Ctrl Pts',(2.04021120904777,-1.18124678387349, 5.74270590660346)); #261060=CARTESIAN_POINT('Ctrl Pts',(0.658836343921385,-2.48975333418779, 5.68139526086397)); #261061=CARTESIAN_POINT('Ctrl Pts',(-1.73765991187905,-1.90091425998634, 5.60187744249084)); #261062=CARTESIAN_POINT('Ctrl Pts',(-2.53875131621243,0.433219052674924, 5.52235962411764)); #261063=CARTESIAN_POINT('Ctrl Pts',(-1.00892851871344,2.36959917228582, 5.44284180574451)); #261064=CARTESIAN_POINT('Ctrl Pts',(1.42660084929097,2.13237833421372,5.36399172427501)); #261065=CARTESIAN_POINT('Ctrl Pts',(2.17975565907641,0.703567012277026, 5.31164758226327)); #261066=CARTESIAN_POINT('Ctrl Pts',(2.18128272165163,-0.000340349517087026, 5.28580937970924)); #261067=CARTESIAN_POINT('Ctrl Pts',(2.18134721174756,2.50966570662216E-5, 5.68719062029076)); #261068=CARTESIAN_POINT('Ctrl Pts',(2.18122487589349,-0.720682430626564, 5.66073362858232)); #261069=CARTESIAN_POINT('Ctrl Pts',(1.39562491468103,-2.16365923720912, 5.60777069741616)); #261070=CARTESIAN_POINT('Ctrl Pts',(-1.06392650175432,-2.34542042813943, 5.52830182679226)); #261071=CARTESIAN_POINT('Ctrl Pts',(-2.54816562394083,-0.37388305502548, 5.44878400841911)); #261072=CARTESIAN_POINT('Ctrl Pts',(-1.69284694716736,1.94092910724095, 5.36926619004595)); #261073=CARTESIAN_POINT('Ctrl Pts',(0.71673226921969,2.47370803453604,5.28974837167279)); #261074=CARTESIAN_POINT('Ctrl Pts',(2.05357949131852,1.14686398386914,5.22905651508772)); #261075=CARTESIAN_POINT('Ctrl Pts',(2.17830610832911,0.212328204598833, 5.19487059796038)); #261076=CARTESIAN_POINT('Ctrl Pts',(2.17653336449001,0.00191939037251405, 5.18719062029076)); #261077=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261078=CARTESIAN_POINT('',(2.17474497610327,0.00114489168181448,6.28580937970924)); #261079=CARTESIAN_POINT('',(2.17660858338696,-0.00193239092518671,6.18719062029076)); #261080=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261081=CARTESIAN_POINT('Ctrl Pts',(2.17474497610327,0.0011448916818145, 6.28580937970924)); #261082=CARTESIAN_POINT('Ctrl Pts',(2.17266746002841,-0.436188534350272, 6.26987958296486)); #261083=CARTESIAN_POINT('Ctrl Pts',(1.83228618207816,-1.60418626471564, 6.22744384676277)); #261084=CARTESIAN_POINT('Ctrl Pts',(-0.0746601427714396,-2.57436649610195, 6.15850217110295)); #261085=CARTESIAN_POINT('Ctrl Pts',(-2.20559755381337,-1.32976555218707, 6.07898435272979)); #261086=CARTESIAN_POINT('Ctrl Pts',(-2.31150059899221,1.13573851481297, 5.99946653435663)); #261087=CARTESIAN_POINT('Ctrl Pts',(-0.295136079274931,2.55848230165485, 5.91994871598347)); #261088=CARTESIAN_POINT('Ctrl Pts',(1.75348797973799,1.72886676043152,5.84872966443433)); #261089=CARTESIAN_POINT('Ctrl Pts',(2.1721609761757,0.497273462708648,5.80401655234293)); #261090=CARTESIAN_POINT('Ctrl Pts',(2.17607543525928,-0.00181501795281381, 5.78580937970924)); #261091=CARTESIAN_POINT('Ctrl Pts',(2.17660858338696,-0.00193239092518669, 6.18719062029076)); #261092=CARTESIAN_POINT('Ctrl Pts',(2.17837514551407,-0.209640974405216, 6.17960853811969)); #261093=CARTESIAN_POINT('Ctrl Pts',(2.05564967105326,-1.14142543775145, 6.14552051649091)); #261094=CARTESIAN_POINT('Ctrl Pts',(0.725856768380708,-2.47104604118678, 6.0849265554044)); #261095=CARTESIAN_POINT('Ctrl Pts',(-1.68567229363738,-1.94716345085159, 6.00540873703125)); #261096=CARTESIAN_POINT('Ctrl Pts',(-2.54952811023627,0.364476338030396, 5.92589091865808)); #261097=CARTESIAN_POINT('Ctrl Pts',(-1.07257518272131,2.34147796557629, 5.84637310028492)); #261098=CARTESIAN_POINT('Ctrl Pts',(1.38914561471953,2.16868878518207,5.76685528191176)); #261099=CARTESIAN_POINT('Ctrl Pts',(2.1807417245748,0.724732528025452,5.71379445524703)); #261100=CARTESIAN_POINT('Ctrl Pts',(2.18134743807959,0.00135846863440536, 5.68723956804004)); #261101=CARTESIAN_POINT('Ctrl Pts',(2.18134721174756,2.50966570662216E-5, 5.68719062029076)); #261102=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261103=CARTESIAN_POINT('',(2.18027364127155,0.0013568366989022,6.78580937970924)); #261104=CARTESIAN_POINT('',(2.17447231333862,0.00086226500484371,6.68719062029076)); #261105=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261106=CARTESIAN_POINT('Ctrl Pts',(2.18027364127155,0.00135683669890226, 6.78580937970924)); #261107=CARTESIAN_POINT('Ctrl Pts',(2.1755888155606,-0.64129537997431,6.76224855304452)); #261108=CARTESIAN_POINT('Ctrl Pts',(1.53469968415439,-2.01505192937045, 6.71218178692208)); #261109=CARTESIAN_POINT('Ctrl Pts',(-0.802020139081454,-2.44738650189925, 6.63560908134191)); #261110=CARTESIAN_POINT('Ctrl Pts',(-2.49225201472367,-0.649320327940444, 6.55609126296877)); #261111=CARTESIAN_POINT('Ctrl Pts',(-1.89426228371733,1.74490899294402, 6.4765734445956)); #261112=CARTESIAN_POINT('Ctrl Pts',(0.442914279380718,2.53707783370782, 6.39705562622243)); #261113=CARTESIAN_POINT('Ctrl Pts',(1.98669487808539,1.30781785790442,6.33346760459365)); #261114=CARTESIAN_POINT('Ctrl Pts',(2.1761242847567,0.291500180029426,6.29638552242258)); #261115=CARTESIAN_POINT('Ctrl Pts',(2.17474497610327,0.0011448916818145, 6.28580937970924)); #261116=CARTESIAN_POINT('Ctrl Pts',(2.17447231333862,0.000862265004843502, 6.68719062029076)); #261117=CARTESIAN_POINT('Ctrl Pts',(2.17298887104208,-0.416926326815044, 6.67197750819935)); #261118=CARTESIAN_POINT('Ctrl Pts',(1.85541061652177,-1.56471874541982, 6.63025845665022)); #261119=CARTESIAN_POINT('Ctrl Pts',(-0.00508615666030601,-2.57544379027759, 6.56203346564338)); #261120=CARTESIAN_POINT('Ctrl Pts',(-2.16886876811378,-1.38886459115697, 6.48251564727021)); #261121=CARTESIAN_POINT('Ctrl Pts',(-2.34133896498646,1.07287857509835, 6.40299782889706)); #261122=CARTESIAN_POINT('Ctrl Pts',(-0.364145975103048,2.54957531653513, 6.32348001052388)); #261123=CARTESIAN_POINT('Ctrl Pts',(1.72697598817462,1.76781781659975,6.2515442743218)); #261124=CARTESIAN_POINT('Ctrl Pts',(2.17219948192548,0.516480296026402, 6.20611447757742)); #261125=CARTESIAN_POINT('Ctrl Pts',(2.17660858338696,-0.00193239092518669, 6.18719062029076)); #261126=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261127=CARTESIAN_POINT('',(2.17898274174986,-0.00184610442389892,7.28580937970924)); #261128=CARTESIAN_POINT('',(2.17977717951692,0.00159507278381731,7.18719062029076)); #261129=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261130=CARTESIAN_POINT('Ctrl Pts',(2.17898274174986,-0.00184610442389904, 7.28580937970924)); #261131=CARTESIAN_POINT('Ctrl Pts',(2.18013896948492,-0.129857806159505, 7.2811234625819)); #261132=CARTESIAN_POINT('Ctrl Pts',(2.11153015678061,-0.980731058164779, 7.24993160599684)); #261133=CARTESIAN_POINT('Ctrl Pts',(0.990794797715971,-2.37723845290915, 7.19223380995405)); #261134=CARTESIAN_POINT('Ctrl Pts',(-1.46346023907737,-2.11925013195953, 7.1127159915809)); #261135=CARTESIAN_POINT('Ctrl Pts',(-2.57406249928777,0.0844940408035919, 7.03319817320772)); #261136=CARTESIAN_POINT('Ctrl Pts',(-1.32133015571929,2.21066135189398, 6.95368035483457)); #261137=CARTESIAN_POINT('Ctrl Pts',(1.1445604856341,2.30714505133421,6.8741625364614)); #261138=CARTESIAN_POINT('Ctrl Pts',(2.14032291553098,0.884407323373295, 6.81820554475296)); #261139=CARTESIAN_POINT('Ctrl Pts',(2.18085924636642,0.0816886218144814, 6.78875449250224)); #261140=CARTESIAN_POINT('Ctrl Pts',(2.18027364127155,0.00135683669890226, 6.78580937970924)); #261141=CARTESIAN_POINT('Ctrl Pts',(2.17977717951692,0.00159507278381741, 7.18719062029076)); #261142=CARTESIAN_POINT('Ctrl Pts',(2.17465271786924,-0.6218798175266,7.16434647827901)); #261143=CARTESIAN_POINT('Ctrl Pts',(1.5668230097321,-1.97749218866814,7.11499639680953)); #261144=CARTESIAN_POINT('Ctrl Pts',(-0.735611038683013,-2.46815983794338, 7.03914037588234)); #261145=CARTESIAN_POINT('Ctrl Pts',(-2.47380088569174,-0.716411727863072, 6.95962255750918)); #261146=CARTESIAN_POINT('Ctrl Pts',(-1.94070973714118,1.69309843247059, 6.88010473913602)); #261147=CARTESIAN_POINT('Ctrl Pts',(0.374213235207523,2.54811715595348, 6.80058692076286)); #261148=CARTESIAN_POINT('Ctrl Pts',(1.96840641043644,1.34763165976344,6.7362822144811)); #261149=CARTESIAN_POINT('Ctrl Pts',(2.17557348564723,0.310990423838386, 6.69848344765707)); #261150=CARTESIAN_POINT('Ctrl Pts',(2.17447231333862,0.000862265004843502, 6.68719062029076)); #261151=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261152=CARTESIAN_POINT('',(2.17422411289689,-0.000422061016327361,7.78580937970924)); #261153=CARTESIAN_POINT('',(2.17954729258891,-0.00168193278093282,7.68719062029076)); #261154=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261155=CARTESIAN_POINT('Ctrl Pts',(2.17422411289689,-0.000422061016327573, 7.78580937970924)); #261156=CARTESIAN_POINT('Ctrl Pts',(2.17480822314613,-0.338771418556477, 7.77349243266156)); #261157=CARTESIAN_POINT('Ctrl Pts',(1.94103085297923,-1.40448083345661, 7.73466954615616)); #261158=CARTESIAN_POINT('Ctrl Pts',(0.2755800818889,-2.56066253372805,7.66934072019301)); #261159=CARTESIAN_POINT('Ctrl Pts',(-2.00461514607271,-1.61692767596649, 7.58982290181986)); #261160=CARTESIAN_POINT('Ctrl Pts',(-2.44430481393856,0.811363648265981, 7.5103050834467)); #261161=CARTESIAN_POINT('Ctrl Pts',(-0.639794836083873,2.49471432450522, 7.43078726507354)); #261162=CARTESIAN_POINT('Ctrl Pts',(1.61117836799289,1.92336041376009,7.35595536382771)); #261163=CARTESIAN_POINT('Ctrl Pts',(2.17359875515509,0.594241729507837, 7.30762940203962)); #261164=CARTESIAN_POINT('Ctrl Pts',(2.17898274174986,-0.00184610442389904, 7.28580937970924)); #261165=CARTESIAN_POINT('Ctrl Pts',(2.17954729258891,-0.00168193278093313, 7.68719062029076)); #261166=CARTESIAN_POINT('Ctrl Pts',(2.18047107539404,-0.110042161122062, 7.68322138781638)); #261167=CARTESIAN_POINT('Ctrl Pts',(2.12380662299749,-0.941046172958758, 7.65274621588429)); #261168=CARTESIAN_POINT('Ctrl Pts',(1.05465445644918,-2.34960434187568, 7.59576510449448)); #261169=CARTESIAN_POINT('Ctrl Pts',(-1.4056743424553,-2.15801210117825, 7.5162472861213)); #261170=CARTESIAN_POINT('Ctrl Pts',(-2.57540556803435,0.0149246777730588, 7.43672946774815)); #261171=CARTESIAN_POINT('Ctrl Pts',(-1.38056907259479,2.17415860085316, 7.357211649375)); #261172=CARTESIAN_POINT('Ctrl Pts',(1.08181499135679,2.33722333343322,7.27769383100182)); #261173=CARTESIAN_POINT('Ctrl Pts',(2.12889046621094,0.924034601258073, 7.22102015464042)); #261174=CARTESIAN_POINT('Ctrl Pts',(2.18059860413264,0.101534863028055, 7.19085241773673)); #261175=CARTESIAN_POINT('Ctrl Pts',(2.17977717951692,0.00159507278381741, 7.18719062029076)); #261176=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261177=CARTESIAN_POINT('',(2.17742926104852,0.0020077619526872,8.28580937970924)); #261178=CARTESIAN_POINT('',(2.17437989243189,-0.00073381556327759,8.18719062029076)); #261179=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261180=CARTESIAN_POINT('Ctrl Pts',(2.17742926104852,0.00200776195268693, 8.28580937970924)); #261181=CARTESIAN_POINT('Ctrl Pts',(2.17245156536961,-0.5439371209214,8.26586140274121)); #261182=CARTESIAN_POINT('Ctrl Pts',(1.68763126200558,-1.82318753016689, 8.21940748631546)); #261183=CARTESIAN_POINT('Ctrl Pts',(-0.462285200348223,-2.53361981891104, 8.14644763043199)); #261184=CARTESIAN_POINT('Ctrl Pts',(-2.38100608251596,-0.981706182207567, 8.06692981205883)); #261185=CARTESIAN_POINT('Ctrl Pts',(-2.11364404393621,1.47154539470911, 7.98741199368567)); #261186=CARTESIAN_POINT('Ctrl Pts',(0.0943267057690797,2.57372093781748, 7.90789417531251)); #261187=CARTESIAN_POINT('Ctrl Pts',(1.88710295145445,1.50817468228768,7.84069330398703)); #261188=CARTESIAN_POINT('Ctrl Pts',(2.17355122408273,0.389352811562972, 7.79999837211928)); #261189=CARTESIAN_POINT('Ctrl Pts',(2.17422411289689,-0.000422061016327573, 7.78580937970924)); #261190=CARTESIAN_POINT('Ctrl Pts',(2.17437989243189,-0.000733815563277833, 8.18719062029076)); #261191=CARTESIAN_POINT('Ctrl Pts',(2.17534021521063,-0.319338689509011, 8.17559035789604)); #261192=CARTESIAN_POINT('Ctrl Pts',(1.96034316783366,-1.36470382741106, 8.1374841560436)); #261193=CARTESIAN_POINT('Ctrl Pts',(0.344656014909272,-2.55228305978516, 8.07287201473344)); #261194=CARTESIAN_POINT('Ctrl Pts',(-1.96020201016253,-1.67049234216197, 7.99335419636028)); #261195=CARTESIAN_POINT('Ctrl Pts',(-2.46533169052663,0.745034389509873, 7.91383637798713)); #261196=CARTESIAN_POINT('Ctrl Pts',(-0.706956232369551,2.47651962870969, 7.83431855961395)); #261197=CARTESIAN_POINT('Ctrl Pts',(1.58032864718609,1.96129688902994,7.75876997371518)); #261198=CARTESIAN_POINT('Ctrl Pts',(2.17430219230069,0.613571200044648, 7.70972732727411)); #261199=CARTESIAN_POINT('Ctrl Pts',(2.17954729258891,-0.00168193278093313, 7.68719062029076)); #261200=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261201=CARTESIAN_POINT('',(2.18118449389925,-0.000541977477378073,8.78580937970924)); #261202=CARTESIAN_POINT('',(2.17684899451874,0.00196676492425704,8.68719062029076)); #261203=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261204=CARTESIAN_POINT('Ctrl Pts',(2.18118449389925,-0.000541977477378458, 8.78580937970924)); #261205=CARTESIAN_POINT('Ctrl Pts',(2.18128197816678,-0.0297789648392743, 8.78473631227859)); #261206=CARTESIAN_POINT('Ctrl Pts',(2.16748569751817,-0.781107412734493, 8.75715730539022)); #261207=CARTESIAN_POINT('Ctrl Pts',(1.30440163733258,-2.22069208930125, 8.70307235904413)); #261208=CARTESIAN_POINT('Ctrl Pts',(-1.16215418912704,-2.29833301256777, 8.62355454067096)); #261209=CARTESIAN_POINT('Ctrl Pts',(-2.56169660398533,-0.265795978953338, 8.5440367222978)); #261210=CARTESIAN_POINT('Ctrl Pts',(-1.60925796523341,2.010777410272,8.46451890392464)); #261211=CARTESIAN_POINT('Ctrl Pts',(0.820695316774123,2.44118745503916, 8.38500108555148)); #261212=CARTESIAN_POINT('Ctrl Pts',(2.07658436788125,1.08455238802683,8.32543124414634)); #261213=CARTESIAN_POINT('Ctrl Pts',(2.17906569473799,0.18148892282758,8.29236734219893)); #261214=CARTESIAN_POINT('Ctrl Pts',(2.17742926104852,0.00200776195268693, 8.28580937970924)); #261215=CARTESIAN_POINT('Ctrl Pts',(2.17684899451874,0.00196676492425687, 8.68719062029076)); #261216=CARTESIAN_POINT('Ctrl Pts',(2.17224978245723,-0.524720472336883, 8.66795932797569)); #261217=CARTESIAN_POINT('Ctrl Pts',(1.71534644435255,-1.78447710319704, 8.62222209620291)); #261218=CARTESIAN_POINT('Ctrl Pts',(-0.393670504236458,-2.54518371054218, 8.54997892497242)); #261219=CARTESIAN_POINT('Ctrl Pts',(-2.35361612603403,-1.04567094112898, 8.47046110659925)); #261220=CARTESIAN_POINT('Ctrl Pts',(-2.15262648057811,1.41390799590037, 8.39094328822609)); #261221=CARTESIAN_POINT('Ctrl Pts',(0.0247629810772727,2.57532976154633, 8.31142546985292)); #261222=CARTESIAN_POINT('Ctrl Pts',(1.86509031775425,1.54776125738289,8.24350791387449)); #261223=CARTESIAN_POINT('Ctrl Pts',(2.17314593230849,0.40865531721148,8.20209629735377)); #261224=CARTESIAN_POINT('Ctrl Pts',(2.17437989243189,-0.000733815563277833, 8.18719062029076)); #261225=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261226=CARTESIAN_POINT('',(2.17579422485549,-0.0017267641624414,9.28580937970924)); #261227=CARTESIAN_POINT('',(2.18132870048621,-0.000182422671958782,9.18719062029076)); #261228=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261229=CARTESIAN_POINT('Ctrl Pts',(2.17579422485549,-0.00172676416244143, 9.28580937970924)); #261230=CARTESIAN_POINT('Ctrl Pts',(2.1775589504312,-0.240398137194155, 9.27710528235824)); #261231=CARTESIAN_POINT('Ctrl Pts',(2.031187094269,-1.20377328475439,9.24189524554953)); #261232=CARTESIAN_POINT('Ctrl Pts',(0.620715970420938,-2.49952962839848, 9.18017926928309)); #261233=CARTESIAN_POINT('Ctrl Pts',(-1.76650302303134,-1.8741409130275, 9.10066145090993)); #261234=CARTESIAN_POINT('Ctrl Pts',(-2.53183533995568,0.471960628551573, 9.02114363253677)); #261235=CARTESIAN_POINT('Ctrl Pts',(-0.972603238631194,2.38473895609874, 8.94162581416362)); #261236=CARTESIAN_POINT('Ctrl Pts',(1.44651725659503,2.11174152233294,8.86318106322109)); #261237=CARTESIAN_POINT('Ctrl Pts',(2.17887401011245,0.692406607851107, 8.8112422517363)); #261238=CARTESIAN_POINT('Ctrl Pts',(2.18118449389925,-0.000541977477378458, 8.78580937970924)); #261239=CARTESIAN_POINT('Ctrl Pts',(2.18132870048621,-0.000182422671958983, 9.18719062029076)); #261240=CARTESIAN_POINT('Ctrl Pts',(2.18134032118806,-0.00989076879175592, 9.18683423751307)); #261241=CARTESIAN_POINT('Ctrl Pts',(2.17687895122467,-0.741645570784717, 9.15997191527767)); #261242=CARTESIAN_POINT('Ctrl Pts',(1.36391771170491,-2.18464299527982, 9.10660365358455)); #261243=CARTESIAN_POINT('Ctrl Pts',(-1.09964032961915,-2.32888981027019, 9.02708583521138)); #261244=CARTESIAN_POINT('Ctrl Pts',(-2.55358107174801,-0.334903416751161, 8.94756801683822)); #261245=CARTESIAN_POINT('Ctrl Pts',(-1.66299190461678,1.96656922197578, 8.86805019846505)); #261246=CARTESIAN_POINT('Ctrl Pts',(0.754446867427816,2.46246756585728, 8.7885323800919)); #261247=CARTESIAN_POINT('Ctrl Pts',(2.06207214284494,1.12434809905702,8.7282458540338)); #261248=CARTESIAN_POINT('Ctrl Pts',(2.17858874473071,0.201197463664946, 8.69446526743342)); #261249=CARTESIAN_POINT('Ctrl Pts',(2.17684899451874,0.00196676492425687, 8.68719062029076)); #261250=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261251=CARTESIAN_POINT('',(2.17493246560685,0.00129485767045311,9.78580937970924)); #261252=CARTESIAN_POINT('',(2.17630181423083,-0.00187218856444377,9.68719062029076)); #261253=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261254=CARTESIAN_POINT('Ctrl Pts',(2.17493246560685,0.00129485767045304, 9.78580937970924)); #261255=CARTESIAN_POINT('Ctrl Pts',(2.17251590074572,-0.447070503893409, 9.7694742524379)); #261256=CARTESIAN_POINT('Ctrl Pts',(1.81885846296437,-1.62646867689739, 9.72663318570885)); #261257=CARTESIAN_POINT('Ctrl Pts',(-0.113988261042115,-2.57292228357695, 9.65728617952205)); #261258=CARTESIAN_POINT('Ctrl Pts',(-2.22565862280766,-1.29591026476456, 9.57776836114891)); #261259=CARTESIAN_POINT('Ctrl Pts',(-2.29387678639957,1.17092645454989, 9.49825054277573)); #261260=CARTESIAN_POINT('Ctrl Pts',(-0.256007925464753,2.56269287513841, 9.41873272440258)); #261261=CARTESIAN_POINT('Ctrl Pts',(1.76811446476647,1.7067709764548,9.3479190033804)); #261262=CARTESIAN_POINT('Ctrl Pts',(2.17218496305686,0.486410020936852, 9.30361122181596)); #261263=CARTESIAN_POINT('Ctrl Pts',(2.17579422485549,-0.00172676416244143, 9.28580937970924)); #261264=CARTESIAN_POINT('Ctrl Pts',(2.17630181423083,-0.00187218856444422, 9.68719062029076)); #261265=CARTESIAN_POINT('Ctrl Pts',(2.1780863511442,-0.220762833868008, 9.67920320759274)); #261266=CARTESIAN_POINT('Ctrl Pts',(2.04699910272363,-1.16394575067573, 9.64470985543699)); #261267=CARTESIAN_POINT('Ctrl Pts',(0.68801428661324,-2.48184825494591, 9.58371056382351)); #261268=CARTESIAN_POINT('Ctrl Pts',(-1.71522809297878,-1.92117925271268, 9.50419274545036)); #261269=CARTESIAN_POINT('Ctrl Pts',(-2.54366130124909,0.403390701915806, 9.42467492707719)); #261270=CARTESIAN_POINT('Ctrl Pts',(-1.03667214526705,2.35759350492747, 9.34515710870402)); #261271=CARTESIAN_POINT('Ctrl Pts',(1.41110119110437,2.14815237454722,9.26599567310856)); #261272=CARTESIAN_POINT('Ctrl Pts',(2.18047603245417,0.712166666636226, 9.21334017697079)); #261273=CARTESIAN_POINT('Ctrl Pts',(2.18132870048621,-0.000182422671958983, 9.18719062029076)); #261274=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #261275=CARTESIAN_POINT('',(2.18049778204237,0.0013530151020942,10.2858093797092)); #261276=CARTESIAN_POINT('',(2.17461078838165,0.00104230696379895,10.1871906202908)); #261277=CARTESIAN_POINT('',(2.17775,-2.63433385366375E-13,11.5358093797092)); #261278=CARTESIAN_POINT('Ctrl Pts',(2.18049778204237,0.0013530151020942, 10.2858093797092)); #261279=CARTESIAN_POINT('Ctrl Pts',(2.17616041440046,-0.652113378247002, 10.2618432225176)); #261280=CARTESIAN_POINT('Ctrl Pts',(1.51617607588241,-2.03641326555242, 10.2113711258682)); #261281=CARTESIAN_POINT('Ctrl Pts',(-0.83934625060545,-2.43471023997719, 10.134393089761)); #261282=CARTESIAN_POINT('Ctrl Pts',(-2.50187023686554,-0.611234189838867, 10.0548752713879)); #261283=CARTESIAN_POINT('Ctrl Pts',(-1.86738545107956,1.77368708773857, 9.97535745301471)); #261284=CARTESIAN_POINT('Ctrl Pts',(0.481632570458519,2.5299942169962,9.89583963464155)); #261285=CARTESIAN_POINT('Ctrl Pts',(1.99672599926604,1.28529779013941,9.83265694353972)); #261286=CARTESIAN_POINT('Ctrl Pts',(2.17643710202201,0.280462556066452, 9.79598019189562)); #261287=CARTESIAN_POINT('Ctrl Pts',(2.17493246560685,0.00129485767045304, 9.78580937970924)); #261288=CARTESIAN_POINT('Ctrl Pts',(2.17461078838165,0.00104230696379888, 10.1871906202908)); #261289=CARTESIAN_POINT('Ctrl Pts',(2.1727955881935,-0.427813904672415, 10.1715721776724)); #261290=CARTESIAN_POINT('Ctrl Pts',(1.84243906245892,-1.58707990873721, 10.1294477955963)); #261291=CARTESIAN_POINT('Ctrl Pts',(-0.0444450939413215,-2.57504655959218, 10.0608174740625)); #261292=CARTESIAN_POINT('Ctrl Pts',(-2.18983388144296,-1.35557202098204, 9.98129965568933)); #261293=CARTESIAN_POINT('Ctrl Pts',(-2.32467389166018,1.10853419930308, 9.90178183731615)); #261294=CARTESIAN_POINT('Ctrl Pts',(-0.32514495811053,2.55483908080068, 9.82226401894301)); #261295=CARTESIAN_POINT('Ctrl Pts',(1.74207227889516,1.74580867691092,9.75073361326786)); #261296=CARTESIAN_POINT('Ctrl Pts',(2.17216443009492,0.505617836772647, 9.70570914705045)); #261297=CARTESIAN_POINT('Ctrl Pts',(2.17630181423083,-0.00187218856444422, 9.68719062029076)); #261298=CARTESIAN_POINT('Origin',(0.,0.,11.45)); #261299=CARTESIAN_POINT('',(2.125,0.,11.45)); #261300=CARTESIAN_POINT('Origin',(0.,0.,11.45)); #261301=CARTESIAN_POINT('',(-0.43541436384311,1.43541434149137,11.45)); #261302=CARTESIAN_POINT('',(0.435414363843111,1.43541434149137,11.45)); #261303=CARTESIAN_POINT('Origin',(0.,0.,11.45)); #261304=CARTESIAN_POINT('',(2.22044599961948E-16,0.999999977648258,11.45)); #261305=CARTESIAN_POINT('',(2.22044599961948E-16,0.999999977648258,11.45)); #261306=CARTESIAN_POINT('',(2.22044599961948E-16,0.999999977648258,11.45)); #261307=CARTESIAN_POINT('Origin',(2.22044599961948E-16,0.999999977648258, 11.45)); #261308=CARTESIAN_POINT('',(2.22044599961948E-16,0.999999977648258,3.95)); #261309=CARTESIAN_POINT('',(-0.43541436384311,1.43541434149137,3.95)); #261310=CARTESIAN_POINT('',(0.249999994412065,0.749999983236194,3.95)); #261311=CARTESIAN_POINT('',(2.22044599961948E-16,0.999999977648258,11.45)); #261312=CARTESIAN_POINT('',(-0.43541436384311,1.43541434149137,3.95)); #261313=CARTESIAN_POINT('Origin',(0.435414363843111,1.43541434149137,11.45)); #261314=CARTESIAN_POINT('',(0.435414363843111,1.43541434149137,3.95)); #261315=CARTESIAN_POINT('',(-0.0322928124905091,0.967707165157749,3.95)); #261316=CARTESIAN_POINT('',(0.435414363843111,1.43541434149137,3.95)); #261317=CARTESIAN_POINT('Origin',(0.,0.,3.95)); #261318=CARTESIAN_POINT('Origin',(0.,0.,3.95)); #261319=CARTESIAN_POINT('Ctrl Pts',(0.944853208817883,1.96210282000882, 10.7764778394209)); #261320=CARTESIAN_POINT('Ctrl Pts',(1.59839720244613,1.64738784524489,10.7499718999632)); #261321=CARTESIAN_POINT('Ctrl Pts',(2.55485242429113,0.318949024075766, 10.6969600210478)); #261322=CARTESIAN_POINT('Ctrl Pts',(1.64853261932706,-1.98095653684858, 10.6174422026746)); #261323=CARTESIAN_POINT('Ctrl Pts',(-0.773558864124753,-2.45573326285505, 10.5379243843014)); #261324=CARTESIAN_POINT('Ctrl Pts',(-2.48429086612115,-0.678998692295778, 10.4584065659283)); #261325=CARTESIAN_POINT('Ctrl Pts',(-1.91470086883461,1.72280179447901, 10.3788887475551)); #261326=CARTESIAN_POINT('Ctrl Pts',(0.413151175445257,2.5419719146915,10.299370929182)); #261327=CARTESIAN_POINT('Ctrl Pts',(2.3615122162358,1.02772646836456,10.2198531108088)); #261328=CARTESIAN_POINT('Ctrl Pts',(2.14177388750274,-1.43034912927749, 10.1403352924357)); #261329=CARTESIAN_POINT('Ctrl Pts',(-0.0444450939413215,-2.57504655959218, 10.0608174740625)); #261330=CARTESIAN_POINT('Ctrl Pts',(-2.18983388144296,-1.35557202098204, 9.98129965568933)); #261331=CARTESIAN_POINT('Ctrl Pts',(-2.32467389166018,1.10853419930308, 9.90178183731615)); #261332=CARTESIAN_POINT('Ctrl Pts',(-0.32514495811053,2.55483908080068, 9.82226401894301)); #261333=CARTESIAN_POINT('Ctrl Pts',(1.97290722317653,1.65546863675416,9.74274620056983)); #261334=CARTESIAN_POINT('Ctrl Pts',(2.45956777389158,-0.763849091412183, 9.66322838219669)); #261335=CARTESIAN_POINT('Ctrl Pts',(0.68801428661324,-2.48184825494591, 9.58371056382351)); #261336=CARTESIAN_POINT('Ctrl Pts',(-1.71522809297878,-1.92117925271268, 9.50419274545036)); #261337=CARTESIAN_POINT('Ctrl Pts',(-2.54366130124909,0.403390701915806, 9.42467492707719)); #261338=CARTESIAN_POINT('Ctrl Pts',(-1.03667214526705,2.35759350492747, 9.34515710870402)); #261339=CARTESIAN_POINT('Ctrl Pts',(1.42212100465623,2.14720947588394,9.26563929033087)); #261340=CARTESIAN_POINT('Ctrl Pts',(2.57521637757244,-0.0346009389405447, 9.1861214719577)); #261341=CARTESIAN_POINT('Ctrl Pts',(1.36391771170491,-2.18464299527982, 9.10660365358455)); #261342=CARTESIAN_POINT('Ctrl Pts',(-1.09964032961915,-2.32888981027019, 9.02708583521138)); #261343=CARTESIAN_POINT('Ctrl Pts',(-2.55358107174801,-0.334903416751161, 8.94756801683822)); #261344=CARTESIAN_POINT('Ctrl Pts',(-1.66299190461678,1.96656922197578, 8.86805019846505)); #261345=CARTESIAN_POINT('Ctrl Pts',(0.754446867427816,2.46246756585728, 8.7885323800919)); #261346=CARTESIAN_POINT('Ctrl Pts',(2.47920223068374,0.697490419553124, 8.70901456171873)); #261347=CARTESIAN_POINT('Ctrl Pts',(1.92771743883615,-1.70787647709956, 8.62949674334557)); #261348=CARTESIAN_POINT('Ctrl Pts',(-0.393670504236458,-2.54518371054218, 8.54997892497242)); #261349=CARTESIAN_POINT('Ctrl Pts',(-2.35361612603403,-1.04567094112898, 8.47046110659925)); #261350=CARTESIAN_POINT('Ctrl Pts',(-2.15262648057811,1.41390799590037, 8.39094328822609)); #261351=CARTESIAN_POINT('Ctrl Pts',(0.0247629810772727,2.57532976154633, 8.31142546985292)); #261352=CARTESIAN_POINT('Ctrl Pts',(2.17941670494643,1.37225340664945,8.23190765147977)); #261353=CARTESIAN_POINT('Ctrl Pts',(2.33307359353996,-1.09073562011203, 8.1523898331066)); #261354=CARTESIAN_POINT('Ctrl Pts',(0.344656014909272,-2.55228305978516, 8.07287201473344)); #261355=CARTESIAN_POINT('Ctrl Pts',(-1.96020201016253,-1.67049234216197, 7.99335419636028)); #261356=CARTESIAN_POINT('Ctrl Pts',(-2.46533169052663,0.745034389509873, 7.91383637798713)); #261357=CARTESIAN_POINT('Ctrl Pts',(-0.706956232369551,2.47651962870969, 7.83431855961395)); #261358=CARTESIAN_POINT('Ctrl Pts',(1.70049986302119,1.93422770162498,7.7548007412408)); #261359=CARTESIAN_POINT('Ctrl Pts',(2.54666901564668,-0.383944671292516, 7.67528292286763)); #261360=CARTESIAN_POINT('Ctrl Pts',(1.05465445644918,-2.34960434187568, 7.59576510449448)); #261361=CARTESIAN_POINT('Ctrl Pts',(-1.4056743424553,-2.15801210117825, 7.5162472861213)); #261362=CARTESIAN_POINT('Ctrl Pts',(-2.57540556803435,0.0149246777730588, 7.43672946774815)); #261363=CARTESIAN_POINT('Ctrl Pts',(-1.38056907259479,2.17415860085316, 7.357211649375)); #261364=CARTESIAN_POINT('Ctrl Pts',(1.08181499135679,2.33722333343322,7.27769383100182)); #261365=CARTESIAN_POINT('Ctrl Pts',(2.55094780182572,0.354403581005444, 7.19817601262867)); #261366=CARTESIAN_POINT('Ctrl Pts',(1.67796840089478,-1.95380619086633, 7.1186581942555)); #261367=CARTESIAN_POINT('Ctrl Pts',(-0.735611038683013,-2.46815983794338, 7.03914037588234)); #261368=CARTESIAN_POINT('Ctrl Pts',(-2.47380088569174,-0.716411727863072, 6.95962255750918)); #261369=CARTESIAN_POINT('Ctrl Pts',(-1.94070973714118,1.69309843247059, 6.88010473913602)); #261370=CARTESIAN_POINT('Ctrl Pts',(0.374213235207523,2.54811715595348, 6.80058692076286)); #261371=CARTESIAN_POINT('Ctrl Pts',(2.34555826871337,1.06362258058923,6.72106910238969)); #261372=CARTESIAN_POINT('Ctrl Pts',(2.16336622875508,-1.39742017523399, 6.64155128401653)); #261373=CARTESIAN_POINT('Ctrl Pts',(-0.00508615666030601,-2.57544379027759, 6.56203346564338)); #261374=CARTESIAN_POINT('Ctrl Pts',(-2.16886876811378,-1.38886459115697, 6.48251564727021)); #261375=CARTESIAN_POINT('Ctrl Pts',(-2.34133896498646,1.07287857509835, 6.40299782889706)); #261376=CARTESIAN_POINT('Ctrl Pts',(-0.364145975103048,2.54957531653513, 6.32348001052388)); #261377=CARTESIAN_POINT('Ctrl Pts',(1.94738185864136,1.68541997214447,6.24396219215073)); #261378=CARTESIAN_POINT('Ctrl Pts',(2.47095196619545,-0.726176952693907, 6.16444437377756)); #261379=CARTESIAN_POINT('Ctrl Pts',(0.725856768380708,-2.47104604118678, 6.0849265554044)); #261380=CARTESIAN_POINT('Ctrl Pts',(-1.68567229363738,-1.94716345085159, 6.00540873703125)); #261381=CARTESIAN_POINT('Ctrl Pts',(-2.54952811023627,0.364476338030396, 5.92589091865808)); #261382=CARTESIAN_POINT('Ctrl Pts',(-1.07257518272131,2.34147796557629, 5.84637310028492)); #261383=CARTESIAN_POINT('Ctrl Pts',(1.38914561471953,2.16868878518207,5.76685528191176)); #261384=CARTESIAN_POINT('Ctrl Pts',(2.57544442770477,0.0047524386774215, 5.68733746353859)); #261385=CARTESIAN_POINT('Ctrl Pts',(1.39713984128216,-2.1635472839231,5.60781964516544)); #261386=CARTESIAN_POINT('Ctrl Pts',(-1.06392650175432,-2.34542042813943, 5.52830182679226)); #261387=CARTESIAN_POINT('Ctrl Pts',(-2.54816562394083,-0.37388305502548, 5.44878400841911)); #261388=CARTESIAN_POINT('Ctrl Pts',(-1.69284694716736,1.94092910724095, 5.36926619004595)); #261389=CARTESIAN_POINT('Ctrl Pts',(0.71673226921969,2.47370803453604,5.28974837167279)); #261390=CARTESIAN_POINT('Ctrl Pts',(2.46825513539745,0.735291216085864, 5.21023055329962)); #261391=CARTESIAN_POINT('Ctrl Pts',(1.95358874857392,-1.67822155489512, 5.13071273492647)); #261392=CARTESIAN_POINT('Ctrl Pts',(-0.354734121857004,-2.5509018579042, 5.0511949165533)); #261393=CARTESIAN_POINT('Ctrl Pts',(-2.33736349201031,-1.08151213219546, 4.97167709818014)); #261394=CARTESIAN_POINT('Ctrl Pts',(-2.17397969278459,1.38085078166713, 4.89215927980698)); #261395=CARTESIAN_POINT('Ctrl Pts',(-0.0145909646598294,2.57540748030627, 4.81264146143381)); #261396=CARTESIAN_POINT('Ctrl Pts',(2.1581942259395,1.40539470220589,4.73312364306066)); #261397=CARTESIAN_POINT('Ctrl Pts',(2.34946766333085,-1.05495890196827, 4.65360582468749)); #261398=CARTESIAN_POINT('Ctrl Pts',(0.383614678671145,-2.5467187446129, 4.57408800631434)); #261399=CARTESIAN_POINT('Ctrl Pts',(-1.93444803082743,-1.70024921758206, 4.49457018794117)); #261400=CARTESIAN_POINT('Ctrl Pts',(-2.47642800275593,0.707277126100088, 4.41505236956801)); #261401=CARTESIAN_POINT('Ctrl Pts',(-0.744714933274656,2.46542820903688, 4.33553455119484)); #261402=CARTESIAN_POINT('Ctrl Pts',(1.67074632493449,1.95998553657085,4.25601673282169)); #261403=CARTESIAN_POINT('Ctrl Pts',(2.55223837898915,-0.344986728918533, 4.17649891444852)); #261404=CARTESIAN_POINT('Ctrl Pts',(1.09043329843843,-2.33321490794991, 4.09698109607537)); #261405=CARTESIAN_POINT('Ctrl Pts',(-1.37253579683927,-2.17923887455928, 4.0174632777022)); #261406=CARTESIAN_POINT('Ctrl Pts',(-2.57533294917004,-0.0244292773092099, 3.93794545932904)); #261407=CARTESIAN_POINT('Ctrl Pts',(-1.41362905241649,2.15280967152403, 3.85842764095588)); #261408=CARTESIAN_POINT('Ctrl Pts',(1.04597590462254,2.35348061294126,3.77890982258271)); #261409=CARTESIAN_POINT('Ctrl Pts',(2.54523470344614,0.393340701273933, 3.69939200420956)); #261410=CARTESIAN_POINT('Ctrl Pts',(1.70762666817185,-1.92793871875531, 3.61987418583639)); #261411=CARTESIAN_POINT('Ctrl Pts',(-0.697811647636167,-2.47911184110685, 3.54035636746324)); #261412=CARTESIAN_POINT('Ctrl Pts',(-2.46256532939343,-0.754127763498738, 3.46083854909006)); #261413=CARTESIAN_POINT('Ctrl Pts',(-1.96635367195823,1.66324667684177, 3.38132073071691)); #261414=CARTESIAN_POINT('Ctrl Pts',(0.33523420722149,2.55353772248872,3.30180291234374)); #261415=CARTESIAN_POINT('Ctrl Pts',(2.32903245324706,1.09933842092382,3.22228509397059)); #261416=CARTESIAN_POINT('Ctrl Pts',(2.18446591259455,-1.36420053359998, 3.14276727559742)); #261417=CARTESIAN_POINT('Ctrl Pts',(0.0342678821578431,-2.57522130720247, 3.06324945722426)); #261418=CARTESIAN_POINT('Ctrl Pts',(-2.14739493427586,-1.42184187404408, 2.9837316388511)); #261419=CARTESIAN_POINT('Ctrl Pts',(-2.35745686860876,1.0369759328068,2.90421382047794)); #261420=CARTESIAN_POINT('Ctrl Pts',(-0.403065451720519,2.54371677181646, 2.82469600210478)); #261421=CARTESIAN_POINT('Ctrl Pts',(1.92140977239185,1.71497300822548,2.74517818373161)); #261422=CARTESIAN_POINT('Ctrl Pts',(2.48174332583922,-0.688324207837729, 2.66566036535845)); #261423=CARTESIAN_POINT('Ctrl Pts',(0.763560362910241,-2.45968892118615, 2.58614254698529)); #261424=CARTESIAN_POINT('Ctrl Pts',(-1.65578130965281,-1.97265047482123, 2.50662472861213)); #261425=CARTESIAN_POINT('Ctrl Pts',(-2.55468838951031,0.325395668922256, 2.42710691023897)); #261426=CARTESIAN_POINT('Ctrl Pts',(-1.10843951880052,2.32497038117422, 2.34758909186581)); #261427=CARTESIAN_POINT('Ctrl Pts',(1.35624912575389,2.18936717809602,2.26807127349265)); #261428=CARTESIAN_POINT('Ctrl Pts',(2.57430069330121,0.0446667105083969, 2.18855345511948)); #261429=CARTESIAN_POINT('Ctrl Pts',(1.43152892257159,-2.14302905759804, 2.10903563674632)); #261430=CARTESIAN_POINT('Ctrl Pts',(-1.03103864333669,-2.3592284132342, 2.02951781837316)); #261431=CARTESIAN_POINT('Ctrl Pts',(-2.03333979790864,-1.0650303261828, 1.97650593945772)); #261432=CARTESIAN_POINT('Ctrl Pts',(-2.149597561462,-0.34903493558187,1.95)); #261433=CARTESIAN_POINT('Ctrl Pts',(0.986179012119401,2.04792088618071, 10.7214852262806)); #261434=CARTESIAN_POINT('Ctrl Pts',(1.66830758404777,1.71944096991926,10.6949792868229)); #261435=CARTESIAN_POINT('Ctrl Pts',(2.66659605575191,0.332899153587073, 10.6419674079075)); #261436=CARTESIAN_POINT('Ctrl Pts',(1.72063581390445,-2.06759922316924, 10.5624495895343)); #261437=CARTESIAN_POINT('Ctrl Pts',(-0.807392629161075,-2.56314163998142, 10.4829317711611)); #261438=CARTESIAN_POINT('Ctrl Pts',(-2.59294829006698,-0.708696603186012, 10.403413952788)); #261439=CARTESIAN_POINT('Ctrl Pts',(-1.99844567781476,1.79815335959168, 10.3238961344148)); #261440=CARTESIAN_POINT('Ctrl Pts',(0.431221500074407,2.65315218096374, 10.2443783160417)); #261441=CARTESIAN_POINT('Ctrl Pts',(2.46479957180758,1.07267696594773,10.1648604976685)); #261442=CARTESIAN_POINT('Ctrl Pts',(2.23545037138962,-1.49290945739764, 10.0853426792953)); #261443=CARTESIAN_POINT('Ctrl Pts',(-0.0463890246945683,-2.68767343816004, 10.0058248609222)); #261444=CARTESIAN_POINT('Ctrl Pts',(-2.2856124038663,-1.41486176268727, 9.92630704254902)); #261445=CARTESIAN_POINT('Ctrl Pts',(-2.42635001985701,1.15701904948496, 9.84678922417585)); #261446=CARTESIAN_POINT('Ctrl Pts',(-0.339366084162673,2.66658212864651, 9.76727140580268)); #261447=CARTESIAN_POINT('Ctrl Pts',(2.0591978502033,1.72787519749385,9.68775358742953)); #261448=CARTESIAN_POINT('Ctrl Pts',(2.56714386410542,-0.797258172324584, 9.60823576905636)); #261449=CARTESIAN_POINT('Ctrl Pts',(0.718106519789656,-2.59039884444589, 9.5287179506832)); #261450=CARTESIAN_POINT('Ctrl Pts',(-1.79024840102893,-2.0052074119692, 9.44920013231004)); #261451=CARTESIAN_POINT('Ctrl Pts',(-2.6549154575774,0.421034124878704, 9.36968231393688)); #261452=CARTESIAN_POINT('Ctrl Pts',(-1.0820139071023,2.46070946467691,9.29016449556371)); #261453=CARTESIAN_POINT('Ctrl Pts',(1.48432145268446,2.24112370046342,9.21064667719057)); #261454=CARTESIAN_POINT('Ctrl Pts',(2.68785068360563,-0.0361143079838511, 9.13112885881738)); #261455=CARTESIAN_POINT('Ctrl Pts',(1.42357247558503,-2.28019447974791, 9.05161104044424)); #261456=CARTESIAN_POINT('Ctrl Pts',(-1.14773618148287,-2.43075033348371, 8.97209322207107)); #261457=CARTESIAN_POINT('Ctrl Pts',(-2.665269097042,-0.349551356342747, 8.89257540369792)); #261458=CARTESIAN_POINT('Ctrl Pts',(-1.73572751656249,2.05258263875602, 8.81305758532475)); #261459=CARTESIAN_POINT('Ctrl Pts',(0.787444715721915,2.57017048660021, 8.73353976695158)); #261460=CARTESIAN_POINT('Ctrl Pts',(2.58763708889639,0.727997117963164, 8.65402194857842)); #261461=CARTESIAN_POINT('Ctrl Pts',(2.01203156398787,-1.78257524162428, 8.57450413020526)); #261462=CARTESIAN_POINT('Ctrl Pts',(-0.410888787110293,-2.65650445370789, 8.4949863118321)); #261463=CARTESIAN_POINT('Ctrl Pts',(-2.45655812396985,-1.09140629052288, 8.41546849345893)); #261464=CARTESIAN_POINT('Ctrl Pts',(-2.24677763304055,1.47574922497142, 8.33595067508579)); #261465=CARTESIAN_POINT('Ctrl Pts',(0.0258460594598125,2.6879690267454, 8.25643285671261)); #261466=CARTESIAN_POINT('Ctrl Pts',(2.27473960295866,1.43227275551107,8.17691503833945)); #261467=CARTESIAN_POINT('Ctrl Pts',(2.435117106241,-1.13844199954753,8.0973972199663)); #261468=CARTESIAN_POINT('Ctrl Pts',(0.359730511715673,-2.66391431288792, 8.01787940159313)); #261469=CARTESIAN_POINT('Ctrl Pts',(-2.04593693908823,-1.74355600676578, 7.93836158321997)); #261470=CARTESIAN_POINT('Ctrl Pts',(-2.57315988178948,0.777620556701145, 7.8588437648468)); #261471=CARTESIAN_POINT('Ctrl Pts',(-0.737876944633691,2.58483715580627, 7.77932594647366)); #261472=CARTESIAN_POINT('Ctrl Pts',(1.77487599065419,2.0188265713666,7.69980812810048)); #261473=CARTESIAN_POINT('Ctrl Pts',(2.65805472279413,-0.400737567603194, 7.62029030972732)); #261474=CARTESIAN_POINT('Ctrl Pts',(1.10078272506441,-2.4523708731872,7.54077249135417)); #261475=CARTESIAN_POINT('Ctrl Pts',(-1.46715544961583,-2.25239880885234, 7.46125467298099)); #261476=CARTESIAN_POINT('Ctrl Pts',(-2.68804814884265,0.0155774503860089, 7.38173685460784)); #261477=CARTESIAN_POINT('Ctrl Pts',(-1.44095213041349,2.26925152094557, 7.30221903623468)); #261478=CARTESIAN_POINT('Ctrl Pts',(1.12913120209113,2.43944834663929,7.22270121786151)); #261479=CARTESIAN_POINT('Ctrl Pts',(2.66252065367919,0.369904414935325, 7.14318339948836)); #261480=CARTESIAN_POINT('Ctrl Pts',(1.751359051881,-2.03926138070905,7.06366558111519)); #261481=CARTESIAN_POINT('Ctrl Pts',(-0.767785049214311,-2.57611172616017, 6.98414776274203)); #261482=CARTESIAN_POINT('Ctrl Pts',(-2.5819995009424,-0.747746002724276, 6.90462994436887)); #261483=CARTESIAN_POINT('Ctrl Pts',(-2.0255921168738,1.76715083779389,6.82511212599571)); #261484=CARTESIAN_POINT('Ctrl Pts',(0.390580499885973,2.65956620157607, 6.74559430762255)); #261485=CARTESIAN_POINT('Ctrl Pts',(2.44814783367488,1.11014309524938,6.66607648924938)); #261486=CARTESIAN_POINT('Ctrl Pts',(2.25798711420518,-1.45854026325649, 6.58655867087623)); #261487=CARTESIAN_POINT('Ctrl Pts',(-0.00530861397720191,-2.68808804284282, 6.50704085250306)); #261488=CARTESIAN_POINT('Ctrl Pts',(-2.2637303225451,-1.44961047673049, 6.4275230341299)); #261489=CARTESIAN_POINT('Ctrl Pts',(-2.44374398687372,1.11980392662084, 6.34800521575674)); #261490=CARTESIAN_POINT('Ctrl Pts',(-0.380072919944557,2.66108813889765, 6.26848739738358)); #261491=CARTESIAN_POINT('Ctrl Pts',(2.03255606230826,1.7591365384844,6.18896957901041)); #261492=CARTESIAN_POINT('Ctrl Pts',(2.57902597596706,-0.757938337032819, 6.10945176063725)); #261493=CARTESIAN_POINT('Ctrl Pts',(0.757604148561302,-2.57912416559181, 6.02993394226409)); #261494=CARTESIAN_POINT('Ctrl Pts',(-1.75939989596498,-2.03232810184167, 5.95041612389093)); #261495=CARTESIAN_POINT('Ctrl Pts',(-2.661038867899,0.38041773222044,5.87089830551777)); #261496=CARTESIAN_POINT('Ctrl Pts',(-1.11948726452787,2.44388906704392, 5.79138048714461)); #261497=CARTESIAN_POINT('Ctrl Pts',(1.44990379164618,2.26354246755544,5.71186266877144)); #261498=CARTESIAN_POINT('Ctrl Pts',(2.68808870814967,0.00496029990302252, 5.63234485039828)); #261499=CARTESIAN_POINT('Ctrl Pts',(1.45824766811359,-2.25817608832841, 5.55282703202512)); #261500=CARTESIAN_POINT('Ctrl Pts',(-1.11046030925843,-2.44800396425712, 5.47330921365195)); #261501=CARTESIAN_POINT('Ctrl Pts',(-2.65961678944668,-0.39023587834827, 5.3937913952788)); #261502=CARTESIAN_POINT('Ctrl Pts',(-1.76688835307608,2.02582108173971, 5.31427357690563)); #261503=CARTESIAN_POINT('Ctrl Pts',(0.748080563855505,2.58190258868117, 5.23475575853248)); #261504=CARTESIAN_POINT('Ctrl Pts',(2.57621119171549,0.767451238279509, 5.15523794015931)); #261505=CARTESIAN_POINT('Ctrl Pts',(2.03903442796856,-1.75162327828107, 5.07572012178615)); #261506=CARTESIAN_POINT('Ctrl Pts',(-0.370249412917433,-2.6624727002715, 4.99620230341299)); #261507=CARTESIAN_POINT('Ctrl Pts',(-2.43959463544457,-1.12881509653555, 4.91668448503982)); #261508=CARTESIAN_POINT('Ctrl Pts',(-2.26906478783119,1.44124616082166, 4.83716666666667)); #261509=CARTESIAN_POINT('Ctrl Pts',(-0.0152291413944799,2.68805014475314, 4.75764884829349)); #261510=CARTESIAN_POINT('Ctrl Pts',(2.25258889935046,1.46686357851636,4.67813102992035)); #261511=CARTESIAN_POINT('Ctrl Pts',(2.45222821662314,-1.10110048636154, 4.59861321154718)); #261512=CARTESIAN_POINT('Ctrl Pts',(0.400393141829663,-2.65810662679605, 4.51909539317402)); #261513=CARTESIAN_POINT('Ctrl Pts',(-2.01905653728424,-1.77461438253429, 4.43957757480086)); #261514=CARTESIAN_POINT('Ctrl Pts',(-2.58474152233462,0.7382118735509,4.3600597564277)); #261515=CARTESIAN_POINT('Ctrl Pts',(-0.777287128152141,2.57326062180729, 4.28054193805453)); #261516=CARTESIAN_POINT('Ctrl Pts',(1.74382109818669,2.04571099741732,4.20102411968138)); #261517=CARTESIAN_POINT('Ctrl Pts',(2.66386767785206,-0.360075690429018, 4.12150630130821)); #261518=CARTESIAN_POINT('Ctrl Pts',(1.13812645498822,-2.43526460143273, 4.04198848293506)); #261519=CARTESIAN_POINT('Ctrl Pts',(-1.4325674968273,-2.27455399466112, 3.96247066456188)); #261520=CARTESIAN_POINT('Ctrl Pts',(-2.68797235378877,-0.0254977602221856, 3.88295284618873)); #261521=CARTESIAN_POINT('Ctrl Pts',(-1.47545808111248,2.24696883635592, 3.80343502781556)); #261522=CARTESIAN_POINT('Ctrl Pts',(1.09172459244955,2.45641668383216,3.7239172094424)); #261523=CARTESIAN_POINT('Ctrl Pts',(2.65655767692943,0.410544559290864, 3.64439939106924)); #261524=CARTESIAN_POINT('Ctrl Pts',(1.78231450660297,-2.01226252220447, 3.56488157269608)); #261525=CARTESIAN_POINT('Ctrl Pts',(-0.728332395856722,-2.58754274587803, 3.48536375432292)); #261526=CARTESIAN_POINT('Ctrl Pts',(-2.57027252609862,-0.787111654888144, 3.40584593594976)); #261527=CARTESIAN_POINT('Ctrl Pts',(-2.05235766105433,1.73599343196479, 3.3263281175766)); #261528=CARTESIAN_POINT('Ctrl Pts',(0.349896614861399,2.66522385178137, 3.24681029920343)); #261529=CARTESIAN_POINT('Ctrl Pts',(2.43089921535096,1.14742106796458,3.16729248083027)); #261530=CARTESIAN_POINT('Ctrl Pts',(2.2800096518551,-1.42386766748834,3.08777466245711)); #261531=CARTESIAN_POINT('Ctrl Pts',(0.0357666840293,-2.68785582884685,3.00825684408395)); #261532=CARTESIAN_POINT('Ctrl Pts',(-2.2413172703979,-1.48403011351267, 2.92873902571079)); #261533=CARTESIAN_POINT('Ctrl Pts',(-2.46056685218585,1.08233098164153, 2.84922120733762)); #261534=CARTESIAN_POINT('Ctrl Pts',(-0.420694648954546,2.6549733543055, 2.76970338896447)); #261535=CARTESIAN_POINT('Ctrl Pts',(2.00544801407262,1.78998215942902,2.6901855705913)); #261536=CARTESIAN_POINT('Ctrl Pts',(2.59028932597064,-0.718429996287515, 2.61066775221814)); #261537=CARTESIAN_POINT('Ctrl Pts',(0.796956815472392,-2.56727031011645, 2.53114993384498)); #261538=CARTESIAN_POINT('Ctrl Pts',(-1.72820154601806,-2.05892987223909, 2.45163211547182)); #261539=CARTESIAN_POINT('Ctrl Pts',(-2.66642484645021,0.339627760514412, 2.37211429709865)); #261540=CARTESIAN_POINT('Ctrl Pts',(-1.15692022786527,2.42665947717093, 2.2925964787255)); #261541=CARTESIAN_POINT('Ctrl Pts',(1.41556848253406,2.28512528794044,2.21307866035233)); #261542=CARTESIAN_POINT('Ctrl Pts',(2.68689494931634,0.0466203342833714, 2.13356084197917)); #261543=CARTESIAN_POINT('Ctrl Pts',(1.49414085225817,-2.23676043986698, 2.05404302360601)); #261544=CARTESIAN_POINT('Ctrl Pts',(-1.07613400817553,-2.46241588028073, 1.97452520523285)); #261545=CARTESIAN_POINT('Ctrl Pts',(-2.12227361297042,-1.11161241254209, 1.92151332631741)); #261546=CARTESIAN_POINT('Ctrl Pts',(-2.2436162356575,-0.364300956757027, 1.89500738685969)); #261547=CARTESIAN_POINT('Ctrl Pts',(1.02750481542092,2.13373895235261,10.6664926131403)); #261548=CARTESIAN_POINT('Ctrl Pts',(1.73821796564941,1.79149409459362,10.6399866736826)); #261549=CARTESIAN_POINT('Ctrl Pts',(2.7783396872127,0.346849283098377,10.5869747947672)); #261550=CARTESIAN_POINT('Ctrl Pts',(1.79273900848185,-2.15424190948989, 10.507456976394)); #261551=CARTESIAN_POINT('Ctrl Pts',(-0.841226394197399,-2.67055001710779, 10.4279391580208)); #261552=CARTESIAN_POINT('Ctrl Pts',(-2.70160571401281,-0.738394514076242, 10.3484213396477)); #261553=CARTESIAN_POINT('Ctrl Pts',(-2.08219048679491,1.87350492470434, 10.2689035212745)); #261554=CARTESIAN_POINT('Ctrl Pts',(0.449291824703555,2.76433244723598, 10.1893857029014)); #261555=CARTESIAN_POINT('Ctrl Pts',(2.56808692737937,1.1176274635309,10.1098678845282)); #261556=CARTESIAN_POINT('Ctrl Pts',(2.3291268552765,-1.55546978551779,10.030350066155)); #261557=CARTESIAN_POINT('Ctrl Pts',(-0.0483329554478122,-2.8003003167279, 9.95083224778186)); #261558=CARTESIAN_POINT('Ctrl Pts',(-2.38139092628964,-1.4741515043925, 9.8713144294087)); #261559=CARTESIAN_POINT('Ctrl Pts',(-2.52802614805384,1.20550389966684, 9.79179661103553)); #261560=CARTESIAN_POINT('Ctrl Pts',(-0.353587210214818,2.77832517649235, 9.71227879266238)); #261561=CARTESIAN_POINT('Ctrl Pts',(2.14548847723006,1.80028175823354,9.63276097428921)); #261562=CARTESIAN_POINT('Ctrl Pts',(2.67471995431926,-0.830667253236984, 9.55324315591606)); #261563=CARTESIAN_POINT('Ctrl Pts',(0.74819875296607,-2.69894943394588, 9.47372533754289)); #261564=CARTESIAN_POINT('Ctrl Pts',(-1.86526870907907,-2.08923557122573, 9.39420751916973)); #261565=CARTESIAN_POINT('Ctrl Pts',(-2.76616961390571,0.4386775478416,9.31468970079658)); #261566=CARTESIAN_POINT('Ctrl Pts',(-1.12735566893754,2.56382542442634, 9.23517188242339)); #261567=CARTESIAN_POINT('Ctrl Pts',(1.54652190071269,2.3350379250429,9.15565406405026)); #261568=CARTESIAN_POINT('Ctrl Pts',(2.80048498963882,-0.0376276770271537, 9.07613624567707)); #261569=CARTESIAN_POINT('Ctrl Pts',(1.48322723946514,-2.375745964216,8.99661842730393)); #261570=CARTESIAN_POINT('Ctrl Pts',(-1.19583203334658,-2.53261085669723, 8.91710060893075)); #261571=CARTESIAN_POINT('Ctrl Pts',(-2.776957122336,-0.364199295934337, 8.8375827905576)); #261572=CARTESIAN_POINT('Ctrl Pts',(-1.8084631285082,2.13859605553626,8.75806497218443)); #261573=CARTESIAN_POINT('Ctrl Pts',(0.82044256401601,2.67787340734314,8.67854715381127)); #261574=CARTESIAN_POINT('Ctrl Pts',(2.69607194710906,0.758503816373206, 8.59902933543811)); #261575=CARTESIAN_POINT('Ctrl Pts',(2.09634568913959,-1.857274006149,8.51951151706496)); #261576=CARTESIAN_POINT('Ctrl Pts',(-0.428107069984127,-2.76782519687361, 8.43999369869178)); #261577=CARTESIAN_POINT('Ctrl Pts',(-2.55950012190567,-1.13714163991678, 8.36047588031864)); #261578=CARTESIAN_POINT('Ctrl Pts',(-2.34092878550299,1.53759045404248, 8.28095806194546)); #261579=CARTESIAN_POINT('Ctrl Pts',(0.0269291378423581,2.80060829194448, 8.2014402435723)); #261580=CARTESIAN_POINT('Ctrl Pts',(2.37006250097089,1.49229210437268,8.12192242519914)); #261581=CARTESIAN_POINT('Ctrl Pts',(2.53716061894204,-1.18614837898302, 8.04240460682598)); #261582=CARTESIAN_POINT('Ctrl Pts',(0.374805008522071,-2.77554556599067, 7.96288678845282)); #261583=CARTESIAN_POINT('Ctrl Pts',(-2.13167186801394,-1.81661967136959, 7.88336897007966)); #261584=CARTESIAN_POINT('Ctrl Pts',(-2.68098807305233,0.810206723892413, 7.80385115170648)); #261585=CARTESIAN_POINT('Ctrl Pts',(-0.768797656897829,2.69315468290286, 7.72433333333335)); #261586=CARTESIAN_POINT('Ctrl Pts',(1.84925211828718,2.10342544110821,7.64481551496017)); #261587=CARTESIAN_POINT('Ctrl Pts',(2.76944042994157,-0.417530463913875, 7.56529769658701)); #261588=CARTESIAN_POINT('Ctrl Pts',(1.14691099367964,-2.55513740449871, 7.48577987821385)); #261589=CARTESIAN_POINT('Ctrl Pts',(-1.52863655677636,-2.34678551652643, 7.40626205984069)); #261590=CARTESIAN_POINT('Ctrl Pts',(-2.80069072965094,0.0162302229989608, 7.32674424146752)); #261591=CARTESIAN_POINT('Ctrl Pts',(-1.50133518823219,2.36434444103798, 7.24722642309437)); #261592=CARTESIAN_POINT('Ctrl Pts',(1.17644741282547,2.54167335984536,7.1677086047212)); #261593=CARTESIAN_POINT('Ctrl Pts',(2.77409350553266,0.385405248865206, 7.08819078634804)); #261594=CARTESIAN_POINT('Ctrl Pts',(1.82474970286723,-2.12471657055178, 7.00867296797488)); #261595=CARTESIAN_POINT('Ctrl Pts',(-0.799959059745604,-2.68406361437696, 6.92915514960172)); #261596=CARTESIAN_POINT('Ctrl Pts',(-2.69019811619307,-0.779080277585479, 6.84963733122855)); #261597=CARTESIAN_POINT('Ctrl Pts',(-2.11047449660642,1.84120324311718, 6.7701195128554)); #261598=CARTESIAN_POINT('Ctrl Pts',(0.406947764564418,2.77101524719864, 6.69060169448223)); #261599=CARTESIAN_POINT('Ctrl Pts',(2.5507373986364,1.15666360990954,6.61108387610907)); #261600=CARTESIAN_POINT('Ctrl Pts',(2.35260799965526,-1.519660351279,6.53156605773591)); #261601=CARTESIAN_POINT('Ctrl Pts',(-0.00553107129409259,-2.80073229540805, 6.45204823936274)); #261602=CARTESIAN_POINT('Ctrl Pts',(-2.35859187697643,-1.51035636230401, 6.37253042098959)); #261603=CARTESIAN_POINT('Ctrl Pts',(-2.54614900876098,1.16672927814332, 6.29301260261642)); #261604=CARTESIAN_POINT('Ctrl Pts',(-0.395999864786064,2.77260096126016, 6.21349478424326)); #261605=CARTESIAN_POINT('Ctrl Pts',(2.11773026597514,1.83285310482433,6.1339769658701)); #261606=CARTESIAN_POINT('Ctrl Pts',(2.68709998573867,-0.789699721371731, 6.05445914749694)); #261607=CARTESIAN_POINT('Ctrl Pts',(0.789351528741896,-2.68720228999682, 5.97494132912378)); #261608=CARTESIAN_POINT('Ctrl Pts',(-1.83312749829258,-2.11749275283174, 5.89542351075062)); #261609=CARTESIAN_POINT('Ctrl Pts',(-2.77254962556173,0.396359126410483, 5.81590569237746)); #261610=CARTESIAN_POINT('Ctrl Pts',(-1.16639934633443,2.54630016851155, 5.7363878740043)); #261611=CARTESIAN_POINT('Ctrl Pts',(1.51066196857283,2.35839614992881,5.65687005563113)); #261612=CARTESIAN_POINT('Ctrl Pts',(2.80073298859457,0.00516816112862423, 5.57735223725798)); #261613=CARTESIAN_POINT('Ctrl Pts',(1.51935549494502,-2.35280489273372, 5.4978344188848)); #261614=CARTESIAN_POINT('Ctrl Pts',(-1.15699411676254,-2.55058750037481, 5.41831660051165)); #261615=CARTESIAN_POINT('Ctrl Pts',(-2.77106795495253,-0.406588701671061, 5.33879878213848)); #261616=CARTESIAN_POINT('Ctrl Pts',(-1.8409297589848,2.11071305623847,5.25928096376532)); #261617=CARTESIAN_POINT('Ctrl Pts',(0.77942885849132,2.6900971428263,5.17976314539217)); #261618=CARTESIAN_POINT('Ctrl Pts',(2.68416724803352,0.799611260473153, 5.10024532701899)); #261619=CARTESIAN_POINT('Ctrl Pts',(2.12448010736321,-1.82502500166701, 5.02072750864585)); #261620=CARTESIAN_POINT('Ctrl Pts',(-0.385764703977864,-2.77404354263879, 4.94120969027267)); #261621=CARTESIAN_POINT('Ctrl Pts',(-2.54182577887883,-1.17611806087565, 4.86169187189951)); #261622=CARTESIAN_POINT('Ctrl Pts',(-2.3641498828778,1.50164153997619,4.78217405352636)); #261623=CARTESIAN_POINT('Ctrl Pts',(-0.0158673181291244,2.80069280920001, 4.70265623515319)); #261624=CARTESIAN_POINT('Ctrl Pts',(2.34698357276142,1.52833245482684,4.62313841678004)); #261625=CARTESIAN_POINT('Ctrl Pts',(2.55498876991543,-1.14724207075481, 4.54362059840686)); #261626=CARTESIAN_POINT('Ctrl Pts',(0.417171604988175,-2.76949450897921, 4.46410278003371)); #261627=CARTESIAN_POINT('Ctrl Pts',(-2.10366504374103,-1.84897954748652, 4.38458496166054)); #261628=CARTESIAN_POINT('Ctrl Pts',(-2.69305504191332,0.769146621001715, 4.30506714328739)); #261629=CARTESIAN_POINT('Ctrl Pts',(-0.809859323029624,2.68109303457769, 4.22554932491422)); #261630=CARTESIAN_POINT('Ctrl Pts',(1.8168958714389,2.13143645826379,4.14603150654106)); #261631=CARTESIAN_POINT('Ctrl Pts',(2.77549697671498,-0.375164651939507, 4.0665136881679)); #261632=CARTESIAN_POINT('Ctrl Pts',(1.185819611538,-2.53731429491555,3.98699586979474)); #261633=CARTESIAN_POINT('Ctrl Pts',(-1.49259919681532,-2.36986911476296, 3.90747805142157)); #261634=CARTESIAN_POINT('Ctrl Pts',(-2.80061175840751,-0.0265662431351599, 3.82796023304842)); #261635=CARTESIAN_POINT('Ctrl Pts',(-1.53728710980847,2.34112800118781, 3.74844241467525)); #261636=CARTESIAN_POINT('Ctrl Pts',(1.13747328027656,2.55935275472307,3.66892459630209)); #261637=CARTESIAN_POINT('Ctrl Pts',(2.76788065041272,0.427748417307794, 3.58940677792893)); #261638=CARTESIAN_POINT('Ctrl Pts',(1.8570023450341,-2.09658632565364,3.50988895955577)); #261639=CARTESIAN_POINT('Ctrl Pts',(-0.758853144077278,-2.69597365064921, 3.43037114118261)); #261640=CARTESIAN_POINT('Ctrl Pts',(-2.67797972280381,-0.820095546277552, 3.35085332280944)); #261641=CARTESIAN_POINT('Ctrl Pts',(-2.13836165015043,1.80874018708781, 3.27133550443629)); #261642=CARTESIAN_POINT('Ctrl Pts',(0.364559022501312,2.77690998107401, 3.19181768606312)); #261643=CARTESIAN_POINT('Ctrl Pts',(2.53276597745486,1.19550371500534,3.11229986768996)); #261644=CARTESIAN_POINT('Ctrl Pts',(2.37555339111564,-1.48353480137669, 3.0327820493168)); #261645=CARTESIAN_POINT('Ctrl Pts',(0.0372654859007563,-2.80049035049122, 2.95326423094363)); #261646=CARTESIAN_POINT('Ctrl Pts',(-2.33523960651993,-1.54621835298126, 2.87374641257048)); #261647=CARTESIAN_POINT('Ctrl Pts',(-2.56367683576293,1.12768603047625, 2.79422859419731)); #261648=CARTESIAN_POINT('Ctrl Pts',(-0.438323846188576,2.76622993679454, 2.71471077582415)); #261649=CARTESIAN_POINT('Ctrl Pts',(2.08948625575339,1.86499131063255,2.63519295745099)); #261650=CARTESIAN_POINT('Ctrl Pts',(2.69883532610206,-0.748535784737295, 2.55567513907783)); #261651=CARTESIAN_POINT('Ctrl Pts',(0.830353268034544,-2.67485169904676, 2.47615732070467)); #261652=CARTESIAN_POINT('Ctrl Pts',(-1.8006217823833,-2.14520926965695, 2.39663950233151)); #261653=CARTESIAN_POINT('Ctrl Pts',(-2.77816130339011,0.353859852106567, 2.31712168395834)); #261654=CARTESIAN_POINT('Ctrl Pts',(-1.20540093693003,2.52834857316764, 2.23760386558518)); #261655=CARTESIAN_POINT('Ctrl Pts',(1.47488783931424,2.38088339778484,2.15808604721202)); #261656=CARTESIAN_POINT('Ctrl Pts',(2.79948920533146,0.0485739580583491, 2.07856822883886)); #261657=CARTESIAN_POINT('Ctrl Pts',(1.55675278194476,-2.33049182213593, 1.9990504104657)); #261658=CARTESIAN_POINT('Ctrl Pts',(-1.12122937301437,-2.56560334732725, 1.91953259209253)); #261659=CARTESIAN_POINT('Ctrl Pts',(-2.2112074280322,-1.15819449890137, 1.86652071317709)); #261660=CARTESIAN_POINT('Ctrl Pts',(-2.337634909853,-0.379566977932183, 1.84001477371937)); #261661=CARTESIAN_POINT('Ctrl Pts',(1.06883061872244,2.21955701852451,10.6115)); #261662=CARTESIAN_POINT('Ctrl Pts',(1.80812834725105,1.86354721926798,10.5849940605423)); #261663=CARTESIAN_POINT('Ctrl Pts',(2.89008331867348,0.360799412609683, 10.5319821816269)); #261664=CARTESIAN_POINT('Ctrl Pts',(1.86484220305925,-2.24088459581055, 10.4524643632537)); #261665=CARTESIAN_POINT('Ctrl Pts',(-0.875060159233724,-2.77795839423416, 10.3729465448805)); #261666=CARTESIAN_POINT('Ctrl Pts',(-2.81026313795864,-0.768092424966473, 10.2934287265074)); #261667=CARTESIAN_POINT('Ctrl Pts',(-2.16593529577505,1.94885648981701, 10.2139109081342)); #261668=CARTESIAN_POINT('Ctrl Pts',(0.467362149332705,2.87551271350822, 10.134393089761)); #261669=CARTESIAN_POINT('Ctrl Pts',(2.67137428295115,1.16257796111408,10.0548752713879)); #261670=CARTESIAN_POINT('Ctrl Pts',(2.42280333916338,-1.61803011363795, 9.97535745301472)); #261671=CARTESIAN_POINT('Ctrl Pts',(-0.0502768862010582,-2.91292719529576, 9.89583963464153)); #261672=CARTESIAN_POINT('Ctrl Pts',(-2.47716944871298,-1.53344124609773, 9.8163218162684)); #261673=CARTESIAN_POINT('Ctrl Pts',(-2.62970227625067,1.25398874984873, 9.73680399789522)); #261674=CARTESIAN_POINT('Ctrl Pts',(-0.367808336266961,2.89006822433818, 9.65728617952206)); #261675=CARTESIAN_POINT('Ctrl Pts',(2.23177910425683,1.87268831897323,9.5777683611489)); #261676=CARTESIAN_POINT('Ctrl Pts',(2.78229604453309,-0.864076334149386, 9.49825054277574)); #261677=CARTESIAN_POINT('Ctrl Pts',(0.778290986142487,-2.80750002344586, 9.41873272440258)); #261678=CARTESIAN_POINT('Ctrl Pts',(-1.94028901712922,-2.17326373048226, 9.33921490602942)); #261679=CARTESIAN_POINT('Ctrl Pts',(-2.87742377023402,0.4563209708045,9.25969708765625)); #261680=CARTESIAN_POINT('Ctrl Pts',(-1.1726974307728,2.66694138417578,9.18017926928309)); #261681=CARTESIAN_POINT('Ctrl Pts',(1.60872234874092,2.42895214962238,9.10066145090993)); #261682=CARTESIAN_POINT('Ctrl Pts',(2.91311929567201,-0.0391410460704622, 9.02114363253677)); #261683=CARTESIAN_POINT('Ctrl Pts',(1.54288200334525,-2.47129744868409, 8.9416258141636)); #261684=CARTESIAN_POINT('Ctrl Pts',(-1.24392788521029,-2.63447137991075, 8.86210799579045)); #261685=CARTESIAN_POINT('Ctrl Pts',(-2.88864514762999,-0.378847235525921, 8.78259017741728)); #261686=CARTESIAN_POINT('Ctrl Pts',(-1.8811987404539,2.22460947231651,8.70307235904412)); #261687=CARTESIAN_POINT('Ctrl Pts',(0.853440412310106,2.78557632808607, 8.62355454067096)); #261688=CARTESIAN_POINT('Ctrl Pts',(2.80450680532172,0.789010514783245, 8.5440367222978)); #261689=CARTESIAN_POINT('Ctrl Pts',(2.18065981429131,-1.93197277067372, 8.46451890392464)); #261690=CARTESIAN_POINT('Ctrl Pts',(-0.445325352857961,-2.87914594003933, 8.38500108555147)); #261691=CARTESIAN_POINT('Ctrl Pts',(-2.66244211984149,-1.18287698931068, 8.30548326717832)); #261692=CARTESIAN_POINT('Ctrl Pts',(-2.43507993796543,1.59943168311353, 8.22596544880515)); #261693=CARTESIAN_POINT('Ctrl Pts',(0.0280122162249002,2.91324755714355, 8.146447630432)); #261694=CARTESIAN_POINT('Ctrl Pts',(2.46538539898311,1.5523114532343,8.06692981205882)); #261695=CARTESIAN_POINT('Ctrl Pts',(2.63920413164309,-1.23385475841851, 7.98741199368567)); #261696=CARTESIAN_POINT('Ctrl Pts',(0.389879505328471,-2.88717681909343, 7.90789417531251)); #261697=CARTESIAN_POINT('Ctrl Pts',(-2.21740679693965,-1.8896833359734, 7.82837635693934)); #261698=CARTESIAN_POINT('Ctrl Pts',(-2.78881626431517,0.842792891083682, 7.74885853856619)); #261699=CARTESIAN_POINT('Ctrl Pts',(-0.799718369161968,2.80147220999944, 7.66934072019302)); #261700=CARTESIAN_POINT('Ctrl Pts',(1.92362824592017,2.18802431084983,7.58982290181986)); #261701=CARTESIAN_POINT('Ctrl Pts',(2.88082613708902,-0.434323360224557, 7.5103050834467)); #261702=CARTESIAN_POINT('Ctrl Pts',(1.19303926229487,-2.65790393581022, 7.43078726507353)); #261703=CARTESIAN_POINT('Ctrl Pts',(-1.59011766393689,-2.44117222420052, 7.35126944670038)); #261704=CARTESIAN_POINT('Ctrl Pts',(-2.91333331045924,0.016882995611912, 7.27175162832721)); #261705=CARTESIAN_POINT('Ctrl Pts',(-1.56171824605089,2.45943736113039, 7.19223380995406)); #261706=CARTESIAN_POINT('Ctrl Pts',(1.22376362355981,2.64389837305143,7.11271599158088)); #261707=CARTESIAN_POINT('Ctrl Pts',(2.88566635738613,0.400906082795086, 7.03319817320773)); #261708=CARTESIAN_POINT('Ctrl Pts',(1.89814035385345,-2.21017176039451, 6.95368035483457)); #261709=CARTESIAN_POINT('Ctrl Pts',(-0.832133070276901,-2.79201550259375, 6.8741625364614)); #261710=CARTESIAN_POINT('Ctrl Pts',(-2.79839673144373,-0.810414552446683, 6.79464471808824)); #261711=CARTESIAN_POINT('Ctrl Pts',(-2.19535687633904,1.91525564844047, 6.71512689971509)); #261712=CARTESIAN_POINT('Ctrl Pts',(0.423315029242865,2.88246429282122, 6.63560908134191)); #261713=CARTESIAN_POINT('Ctrl Pts',(2.65332696359791,1.20318412456969,6.55609126296877)); #261714=CARTESIAN_POINT('Ctrl Pts',(2.44722888510536,-1.5807804393015,6.47657344459559)); #261715=CARTESIAN_POINT('Ctrl Pts',(-0.00575352861098595,-2.91337654797329, 6.39705562622244)); #261716=CARTESIAN_POINT('Ctrl Pts',(-2.45345343140775,-1.57110224787752, 6.31753780784928)); #261717=CARTESIAN_POINT('Ctrl Pts',(-2.64855403064823,1.21365462966581, 6.23801998947611)); #261718=CARTESIAN_POINT('Ctrl Pts',(-0.411926809627573,2.88411378362268, 6.15850217110295)); #261719=CARTESIAN_POINT('Ctrl Pts',(2.20290446964203,1.90656967116426,6.07898435272979)); #261720=CARTESIAN_POINT('Ctrl Pts',(2.79517399551028,-0.821461105710642, 5.99946653435662)); #261721=CARTESIAN_POINT('Ctrl Pts',(0.821098908922492,-2.79528041440185, 5.91994871598347)); #261722=CARTESIAN_POINT('Ctrl Pts',(-1.90685510062019,-2.20265740382181, 5.8404308976103)); #261723=CARTESIAN_POINT('Ctrl Pts',(-2.88406038322446,0.412300520600524, 5.76091307923715)); #261724=CARTESIAN_POINT('Ctrl Pts',(-1.21331142814099,2.64871126997918, 5.68139526086398)); #261725=CARTESIAN_POINT('Ctrl Pts',(1.57142014549948,2.45324983230218,5.60187744249082)); #261726=CARTESIAN_POINT('Ctrl Pts',(2.91337726903947,0.0053760223542266, 5.52235962411765)); #261727=CARTESIAN_POINT('Ctrl Pts',(1.58046332177645,-2.44743369713902, 5.4428418057445)); #261728=CARTESIAN_POINT('Ctrl Pts',(-1.20352792426665,-2.6531710364925, 5.36332398737133)); #261729=CARTESIAN_POINT('Ctrl Pts',(-2.88251912045837,-0.42294152499385, 5.28380616899818)); #261730=CARTESIAN_POINT('Ctrl Pts',(-1.91497116489352,2.19560503073723, 5.20428835062501)); #261731=CARTESIAN_POINT('Ctrl Pts',(0.810777153127137,2.79829169697144, 5.12477053225185)); #261732=CARTESIAN_POINT('Ctrl Pts',(2.79212330435155,0.831771282666794, 5.04525271387868)); #261733=CARTESIAN_POINT('Ctrl Pts',(2.20992578675785,-1.89842672505295, 4.96573489550553)); #261734=CARTESIAN_POINT('Ctrl Pts',(-0.401279995038294,-2.88561438500609, 4.88621707713236)); #261735=CARTESIAN_POINT('Ctrl Pts',(-2.6440569223131,-1.22342102521574, 4.80669925875921)); #261736=CARTESIAN_POINT('Ctrl Pts',(-2.45923497792441,1.56203691913071, 4.72718144038604)); #261737=CARTESIAN_POINT('Ctrl Pts',(-0.0165054948637719,2.91333547364688, 4.64766362201288)); #261738=CARTESIAN_POINT('Ctrl Pts',(2.44137824617238,1.58980133113731,4.56814580363972)); #261739=CARTESIAN_POINT('Ctrl Pts',(2.65774932320771,-1.19338365514808, 4.48862798526655)); #261740=CARTESIAN_POINT('Ctrl Pts',(0.433950068146689,-2.88088239116237, 4.4091101668934)); #261741=CARTESIAN_POINT('Ctrl Pts',(-2.18827355019783,-1.92334471243874, 4.32959234852023)); #261742=CARTESIAN_POINT('Ctrl Pts',(-2.80136856149202,0.800081368452521, 4.25007453014707)); #261743=CARTESIAN_POINT('Ctrl Pts',(-0.842431517907107,2.7889254473481, 4.17055671177392)); #261744=CARTESIAN_POINT('Ctrl Pts',(1.8899706446911,2.21716191911025,4.09103889340074)); #261745=CARTESIAN_POINT('Ctrl Pts',(2.8871262755779,-0.39025361344999,4.01152107502759)); #261746=CARTESIAN_POINT('Ctrl Pts',(1.23351276808778,-2.63936398839838, 3.93200325665442)); #261747=CARTESIAN_POINT('Ctrl Pts',(-1.55263089680334,-2.4651842348648, 3.85248543828127)); #261748=CARTESIAN_POINT('Ctrl Pts',(-2.91325116302624,-0.0276347260481356, 3.77296761990809)); #261749=CARTESIAN_POINT('Ctrl Pts',(-1.59911613850446,2.4352871660197,3.69344980153495)); #261750=CARTESIAN_POINT('Ctrl Pts',(1.18322196810357,2.66228882561397,3.61393198316177)); #261751=CARTESIAN_POINT('Ctrl Pts',(2.87920362389602,0.444952275324725, 3.53441416478863)); #261752=CARTESIAN_POINT('Ctrl Pts',(1.93169018346523,-2.1809101291028,3.45489634641545)); #261753=CARTESIAN_POINT('Ctrl Pts',(-0.789373892297832,-2.80440455542039, 3.3753785280423)); #261754=CARTESIAN_POINT('Ctrl Pts',(-2.78568691950899,-0.85307943766696, 3.29586070966913)); #261755=CARTESIAN_POINT('Ctrl Pts',(-2.22436563924653,1.88148694221083, 3.21634289129598)); #261756=CARTESIAN_POINT('Ctrl Pts',(0.379221430141221,2.88859611036665, 3.13682507292281)); #261757=CARTESIAN_POINT('Ctrl Pts',(2.63463273955876,1.2435863620461,3.05730725454965)); #261758=CARTESIAN_POINT('Ctrl Pts',(2.47109713037618,-1.54320193526505, 2.97778943617649)); #261759=CARTESIAN_POINT('Ctrl Pts',(0.038764287772213,-2.91312487213559, 2.89827161780332)); #261760=CARTESIAN_POINT('Ctrl Pts',(-2.42916194264196,-1.60840659244985, 2.81875379943016)); #261761=CARTESIAN_POINT('Ctrl Pts',(-2.66678681934002,1.17304107931097, 2.739235981057)); #261762=CARTESIAN_POINT('Ctrl Pts',(-0.455953043422607,2.87748651928359, 2.65971816268384)); #261763=CARTESIAN_POINT('Ctrl Pts',(2.17352449743417,1.94000046183609,2.58020034431068)); #261764=CARTESIAN_POINT('Ctrl Pts',(2.80738132623348,-0.778641573187078, 2.50068252593752)); #261765=CARTESIAN_POINT('Ctrl Pts',(0.863749720596697,-2.78243308797706, 2.42116470756435)); #261766=CARTESIAN_POINT('Ctrl Pts',(-1.87304201874854,-2.23148866707481, 2.3416468891912)); #261767=CARTESIAN_POINT('Ctrl Pts',(-2.88989776033,0.368091943698721,2.26212907081803)); #261768=CARTESIAN_POINT('Ctrl Pts',(-1.25388164599479,2.63003766916435, 2.18261125244487)); #261769=CARTESIAN_POINT('Ctrl Pts',(1.53420719609442,2.47664150762925,2.1030934340717)); #261770=CARTESIAN_POINT('Ctrl Pts',(2.91208346134658,0.0505275818333264, 2.02357561569855)); #261771=CARTESIAN_POINT('Ctrl Pts',(1.61936471163135,-2.42422320440487, 1.94405779732538)); #261772=CARTESIAN_POINT('Ctrl Pts',(-1.16632473785322,-2.66879081437377, 1.86453997895223)); #261773=CARTESIAN_POINT('Ctrl Pts',(-2.30014124309398,-1.20477658526066, 1.81152810003678)); #261774=CARTESIAN_POINT('Ctrl Pts',(-2.4316535840485,-0.39483299910734, 1.78502216057906)); #261775=CARTESIAN_POINT('',(1.51864885097643,-1.94480489699569,1.95)); #261776=CARTESIAN_POINT('Ctrl Pts',(1.5186713052805,-1.94485300424539,1.95)); #261777=CARTESIAN_POINT('Ctrl Pts',(1.46867510967779,-1.97996834733612, 1.95)); #261778=CARTESIAN_POINT('Ctrl Pts',(1.41737046765691,-2.0130422266496,1.95)); #261779=CARTESIAN_POINT('Ctrl Pts',(1.36481033144031,-2.04395080969745, 1.95)); #261780=CARTESIAN_POINT('Ctrl Pts',(1.29089745168978,-2.08741611527924, 1.95)); #261781=CARTESIAN_POINT('Ctrl Pts',(1.21450216261113,-2.12660156947178, 1.95)); #261782=CARTESIAN_POINT('Ctrl Pts',(1.13602271046619,-2.16152067829067, 1.95)); #261783=CARTESIAN_POINT('Ctrl Pts',(1.09557215059547,-2.17951898820882, 1.95)); #261784=CARTESIAN_POINT('Ctrl Pts',(1.0545675892806,-2.19638306521192,1.95)); #261785=CARTESIAN_POINT('Ctrl Pts',(1.0130623313259,-2.21210961802337,1.95)); #261786=CARTESIAN_POINT('Ctrl Pts',(0.994268130995905,-2.21923083587874, 1.95)); #261787=CARTESIAN_POINT('Ctrl Pts',(0.975371261252767,-2.22611876679056, 1.95)); #261788=CARTESIAN_POINT('Ctrl Pts',(0.95637665614824,-2.23277286966424, 1.95)); #261789=CARTESIAN_POINT('Ctrl Pts',(0.940978521112523,-2.23816707365146, 1.95)); #261790=CARTESIAN_POINT('Ctrl Pts',(0.925524202636094,-2.24340476930176, 1.95)); #261791=CARTESIAN_POINT('Ctrl Pts',(0.910019666158544,-2.24848512101738, 1.95)); #261792=CARTESIAN_POINT('Ctrl Pts',(0.827929985710968,-2.27538334203162, 1.95)); #261793=CARTESIAN_POINT('Ctrl Pts',(0.744404658889044,-2.29788517619998, 1.95)); #261794=CARTESIAN_POINT('Ctrl Pts',(0.659847538767353,-2.31600142120552, 1.95)); #261795=CARTESIAN_POINT('Ctrl Pts',(0.593299964485155,-2.33025914614325, 1.95)); #261796=CARTESIAN_POINT('Ctrl Pts',(0.526112765817223,-2.34179944906568, 1.95)); #261797=CARTESIAN_POINT('Ctrl Pts',(0.458481118263809,-2.35060497329118, 1.95)); #261798=CARTESIAN_POINT('Ctrl Pts',(0.422667817599711,-2.3552678034602, 1.95)); #261799=CARTESIAN_POINT('Ctrl Pts',(0.386729900902389,-2.35916344867374, 1.95)); #261800=CARTESIAN_POINT('Ctrl Pts',(0.350696687123386,-2.3622870793848, 1.95)); #261801=CARTESIAN_POINT('Ctrl Pts',(0.336563297513404,-2.36351226818167, 1.95)); #261802=CARTESIAN_POINT('Ctrl Pts',(0.322416125092964,-2.36461851958107, 1.95)); #261803=CARTESIAN_POINT('Ctrl Pts',(0.308269930175683,-2.36560483655672, 1.95)); #261804=CARTESIAN_POINT('Ctrl Pts',(0.222849477036965,-2.37156061784568, 1.95)); #261805=CARTESIAN_POINT('Ctrl Pts',(0.137339873717166,-2.37315895113089, 1.95)); #261806=CARTESIAN_POINT('Ctrl Pts',(0.0521258668670416,-2.37040792463227, 1.95)); #261807=CARTESIAN_POINT('Ctrl Pts',(-0.0329499362132704,-2.36766135986766, 1.95)); #261808=CARTESIAN_POINT('Ctrl Pts',(-0.117732121974531,-2.36057947030185, 1.95)); #261809=CARTESIAN_POINT('Ctrl Pts',(-0.201832316796711,-2.3491187541044, 1.95)); #261810=CARTESIAN_POINT('Ctrl Pts',(-0.264907462913345,-2.3405232169563, 1.95)); #261811=CARTESIAN_POINT('Ctrl Pts',(-0.327598967484189,-2.32946353349793, 1.95)); #261812=CARTESIAN_POINT('Ctrl Pts',(-0.389735770700807,-2.31590253393053, 1.95)); #261813=CARTESIAN_POINT('Ctrl Pts',(-0.436338373113269,-2.30573178425498, 1.95)); #261814=CARTESIAN_POINT('Ctrl Pts',(-0.482628843961012,-2.2941537138316, 1.95)); #261815=CARTESIAN_POINT('Ctrl Pts',(-0.528531380050014,-2.28114671757263, 1.95)); #261816=CARTESIAN_POINT('Ctrl Pts',(-0.541446434408894,-2.27748709267947, 1.95)); #261817=CARTESIAN_POINT('Ctrl Pts',(-0.554330756578874,-2.27371431974223, 1.95)); #261818=CARTESIAN_POINT('Ctrl Pts',(-0.567182602950631,-2.26982784520965, 1.95)); #261819=CARTESIAN_POINT('Ctrl Pts',(-0.57961892694694,-2.26606702710675, 1.95)); #261820=CARTESIAN_POINT('Ctrl Pts',(-0.592019858400603,-2.26220120047703, 1.95)); #261821=CARTESIAN_POINT('Ctrl Pts',(-0.604376475119122,-2.2582326077043, 1.95)); #261822=CARTESIAN_POINT('Ctrl Pts',(-0.682303482848425,-2.23320467597187, 1.95)); #261823=CARTESIAN_POINT('Ctrl Pts',(-0.758561230573618,-2.2040658089424, 1.95)); #261824=CARTESIAN_POINT('Ctrl Pts',(-0.832799309586142,-2.170780192313, 1.95)); #261825=CARTESIAN_POINT('Ctrl Pts',(-0.86433457416876,-2.15664094273696, 1.95)); #261826=CARTESIAN_POINT('Ctrl Pts',(-0.895505178031564,-2.14175285458339, 1.95)); #261827=CARTESIAN_POINT('Ctrl Pts',(-0.926283004169261,-2.12611059537323, 1.95)); #261828=CARTESIAN_POINT('Ctrl Pts',(-0.999454122420603,-2.08892273183588, 1.95)); #261829=CARTESIAN_POINT('Ctrl Pts',(-1.07040763896197,-2.04747493894743, 1.95)); #261830=CARTESIAN_POINT('Ctrl Pts',(-1.13894385433991,-2.00208225442672, 1.95)); #261831=CARTESIAN_POINT('Ctrl Pts',(-1.20807395431767,-1.95629623017849, 1.95)); #261832=CARTESIAN_POINT('Ctrl Pts',(-1.27474528584375,-1.90649582730602, 1.95)); #261833=CARTESIAN_POINT('Ctrl Pts',(-1.33871301453896,-1.85297046382596, 1.95)); #261834=CARTESIAN_POINT('Ctrl Pts',(-1.34946283441371,-1.84397549045614, 1.95)); #261835=CARTESIAN_POINT('Ctrl Pts',(-1.36013717657045,-1.8348742966072, 1.95)); #261836=CARTESIAN_POINT('Ctrl Pts',(-1.370721218174,-1.8256802869417,1.95)); #261837=CARTESIAN_POINT('Ctrl Pts',(-1.43238744365504,-1.77211286021154, 1.95)); #261838=CARTESIAN_POINT('Ctrl Pts',(-1.49109889982026,-1.7153094597373, 1.95)); #261839=CARTESIAN_POINT('Ctrl Pts',(-1.54668163046122,-1.655599884052,1.95)); #261840=CARTESIAN_POINT('Ctrl Pts',(-1.60255727107271,-1.59557565074098, 1.95)); #261841=CARTESIAN_POINT('Ctrl Pts',(-1.65527167617069,-1.53261366870543, 1.95)); #261842=CARTESIAN_POINT('Ctrl Pts',(-1.7046079153004,-1.4670149138364,1.95)); #261843=CARTESIAN_POINT('Ctrl Pts',(-1.75337071156386,-1.40217862361157, 1.95)); #261844=CARTESIAN_POINT('Ctrl Pts',(-1.79883383143697,-1.33476562330824, 1.95)); #261845=CARTESIAN_POINT('Ctrl Pts',(-1.84074161510479,-1.26504640288049, 1.95)); #261846=CARTESIAN_POINT('Ctrl Pts',(-1.85831449316454,-1.23581156267254, 1.95)); #261847=CARTESIAN_POINT('Ctrl Pts',(-1.87526163326735,-1.20617104680457, 1.95)); #261848=CARTESIAN_POINT('Ctrl Pts',(-1.89156145508055,-1.17614428422861, 1.95)); #261849=CARTESIAN_POINT('Ctrl Pts',(-1.89803401673921,-1.16422083657317, 1.95)); #261850=CARTESIAN_POINT('Ctrl Pts',(-1.90440489909649,-1.15223551510662, 1.95)); #261851=CARTESIAN_POINT('Ctrl Pts',(-1.91066499620607,-1.14020482050687, 1.95)); #261852=CARTESIAN_POINT('Ctrl Pts',(-1.94782459482574,-1.06879126659281, 1.95)); #261853=CARTESIAN_POINT('Ctrl Pts',(-1.98115212056271,-0.995658247686199, 1.95)); #261854=CARTESIAN_POINT('Ctrl Pts',(-2.01044950985583,-0.921091003685321, 1.95)); #261855=CARTESIAN_POINT('Ctrl Pts',(-2.03933565218066,-0.847570458853501, 1.95)); #261856=CARTESIAN_POINT('Ctrl Pts',(-2.06430434052488,-0.772654839347687, 1.95)); #261857=CARTESIAN_POINT('Ctrl Pts',(-2.08511789536931,-0.696603109215905, 1.95)); #261858=CARTESIAN_POINT('Ctrl Pts',(-2.10525173870554,-0.623035012301825, 1.95)); #261859=CARTESIAN_POINT('Ctrl Pts',(-2.12149753462675,-0.54840310450922, 1.95)); #261860=CARTESIAN_POINT('Ctrl Pts',(-2.13359195939672,-0.472939269371258, 1.95)); #261861=CARTESIAN_POINT('Ctrl Pts',(-2.14017380345258,-0.431871487795734, 1.95)); #261862=CARTESIAN_POINT('Ctrl Pts',(-2.1455253248769,-0.390557339248589, 1.95)); #261863=CARTESIAN_POINT('Ctrl Pts',(-2.149597561462,-0.34903493558187,1.95)); #261864=CARTESIAN_POINT('Ctrl Pts',(2.46732280104458,0.000418896103442022, 2.02221278086983)); #261865=CARTESIAN_POINT('Ctrl Pts',(2.46640894423486,-0.741505218056956, 1.99814185391322)); #261866=CARTESIAN_POINT('Ctrl Pts',(2.10119324354177,-1.48474621849445, 1.97407092695661)); #261867=CARTESIAN_POINT('Ctrl Pts',(1.5186713052805,-1.94485300424539,1.95)); #261868=CARTESIAN_POINT('',(1.26471106027289,-1.77287929553126,10.6115)); #261869=CARTESIAN_POINT('Ctrl Pts',(1.26746401045088,-1.77531588558079, 10.6115)); #261870=CARTESIAN_POINT('Ctrl Pts',(1.31373448805183,-1.74688793999526, 10.6115)); #261871=CARTESIAN_POINT('Ctrl Pts',(1.35878680037879,-1.71667380545244, 10.6115)); #261872=CARTESIAN_POINT('Ctrl Pts',(1.40250114500983,-1.68469292097607, 10.6115)); #261873=CARTESIAN_POINT('Ctrl Pts',(1.46479231613788,-1.63912145548669, 10.6115)); #261874=CARTESIAN_POINT('Ctrl Pts',(1.52436831978367,-1.58996468708055, 10.6115)); #261875=CARTESIAN_POINT('Ctrl Pts',(1.58114590269221,-1.53758111736672, 10.6115)); #261876=CARTESIAN_POINT('Ctrl Pts',(1.61271242410751,-1.50845752684958, 10.6115)); #261877=CARTESIAN_POINT('Ctrl Pts',(1.64341350621736,-1.47833567965403, 10.6115)); #261878=CARTESIAN_POINT('Ctrl Pts',(1.6732310499299,-1.44727158341805,10.6115)); #261879=CARTESIAN_POINT('Ctrl Pts',(1.68352121823631,-1.436551224235,10.6115)); #261880=CARTESIAN_POINT('Ctrl Pts',(1.69370613381702,-1.42571861478863, 10.6115)); #261881=CARTESIAN_POINT('Ctrl Pts',(1.70378496851354,-1.4147759630572,10.6115)); #261882=CARTESIAN_POINT('Ctrl Pts',(1.71439522098922,-1.40325634783185, 10.6115)); #261883=CARTESIAN_POINT('Ctrl Pts',(1.72488221674708,-1.39162092396607, 10.6115)); #261884=CARTESIAN_POINT('Ctrl Pts',(1.73524283661129,-1.37987553192658, 10.6115)); #261885=CARTESIAN_POINT('Ctrl Pts',(1.7883036452846,-1.31972276037741,10.6115)); #261886=CARTESIAN_POINT('Ctrl Pts',(1.83807387668438,-1.25666590248393, 10.6115)); #261887=CARTESIAN_POINT('Ctrl Pts',(1.88445972167525,-1.19108898243767, 10.6115)); #261888=CARTESIAN_POINT('Ctrl Pts',(1.92220232637298,-1.13773124429392, 10.6115)); #261889=CARTESIAN_POINT('Ctrl Pts',(1.95770386398692,-1.08270395110701, 10.6115)); #261890=CARTESIAN_POINT('Ctrl Pts',(1.99089689923013,-1.02619494731215, 10.6115)); #261891=CARTESIAN_POINT('Ctrl Pts',(2.00365073344235,-1.0044823663259,10.6115)); #261892=CARTESIAN_POINT('Ctrl Pts',(2.0160635797669,-0.982550881787571, 10.6115)); #261893=CARTESIAN_POINT('Ctrl Pts',(2.02813093297773,-0.960410634986139, 10.6115)); #261894=CARTESIAN_POINT('Ctrl Pts',(2.03703351866965,-0.944076858975264, 10.6115)); #261895=CARTESIAN_POINT('Ctrl Pts',(2.0457498165959,-0.927625773229106, 10.6115)); #261896=CARTESIAN_POINT('Ctrl Pts',(2.05426548681379,-0.911086229628586, 10.6115)); #261897=CARTESIAN_POINT('Ctrl Pts',(2.09190272674648,-0.83798537497574, 10.6115)); #261898=CARTESIAN_POINT('Ctrl Pts',(2.12567288215234,-0.763073327111185, 10.6115)); #261899=CARTESIAN_POINT('Ctrl Pts',(2.15547889714659,-0.68673576322433, 10.6115)); #261900=CARTESIAN_POINT('Ctrl Pts',(2.18568986277245,-0.609361061541059, 10.6115)); #261901=CARTESIAN_POINT('Ctrl Pts',(2.21182880843733,-0.53052098734823, 10.6115)); #261902=CARTESIAN_POINT('Ctrl Pts',(2.23375331713166,-0.450583788410915, 10.6115)); #261903=CARTESIAN_POINT('Ctrl Pts',(2.2501966986524,-0.390630889207928, 10.6115)); #261904=CARTESIAN_POINT('Ctrl Pts',(2.26426865631004,-0.330060078479927, 10.6115)); #261905=CARTESIAN_POINT('Ctrl Pts',(2.2758912177826,-0.269019170160786, 10.6115)); #261906=CARTESIAN_POINT('Ctrl Pts',(2.28460813888702,-0.223238488921431, 10.6115)); #261907=CARTESIAN_POINT('Ctrl Pts',(2.2919469689781,-0.177193230459895, 10.6115)); #261908=CARTESIAN_POINT('Ctrl Pts',(2.29786845519427,-0.130944662451142, 10.6115)); #261909=CARTESIAN_POINT('Ctrl Pts',(2.29958927518824,-0.117504546639576, 10.6115)); #261910=CARTESIAN_POINT('Ctrl Pts',(2.30119058740462,-0.104045173984626, 10.6115)); #261911=CARTESIAN_POINT('Ctrl Pts',(2.30267061265262,-0.09057469139049, 10.6115)); #261912=CARTESIAN_POINT('Ctrl Pts',(2.31184129559195,-0.00710751738265111, 10.6115)); #261913=CARTESIAN_POINT('Ctrl Pts',(2.31633038363153,0.0765098011548299, 10.6115)); #261914=CARTESIAN_POINT('Ctrl Pts',(2.31600746210435,0.159903125941635, 10.6115)); #261915=CARTESIAN_POINT('Ctrl Pts',(2.31584450044426,0.201987389466507, 10.6115)); #261916=CARTESIAN_POINT('Ctrl Pts',(2.31445533466811,0.244014978805615, 10.6115)); #261917=CARTESIAN_POINT('Ctrl Pts',(2.31181841345151,0.285939430927779, 10.6115)); #261918=CARTESIAN_POINT('Ctrl Pts',(2.30673903114407,0.366696610557578, 10.6115)); #261919=CARTESIAN_POINT('Ctrl Pts',(2.29703329027971,0.447071221313513, 10.6115)); #261920=CARTESIAN_POINT('Ctrl Pts',(2.28294255717288,0.526804863893474, 10.6115)); #261921=CARTESIAN_POINT('Ctrl Pts',(2.26857210506976,0.608121320817043, 10.6115)); #261922=CARTESIAN_POINT('Ctrl Pts',(2.24964060592344,0.688771904524229, 10.6115)); #261923=CARTESIAN_POINT('Ctrl Pts',(2.22635065803144,0.768476623267989, 10.6115)); #261924=CARTESIAN_POINT('Ctrl Pts',(2.22113403386352,0.786329370900758, 10.6115)); #261925=CARTESIAN_POINT('Ctrl Pts',(2.21569669143013,0.804140036678803, 10.6115)); #261926=CARTESIAN_POINT('Ctrl Pts',(2.21005084144511,0.821874321147654, 10.6115)); #261927=CARTESIAN_POINT('Ctrl Pts',(2.18472783583997,0.90141688536725,10.6115)); #261928=CARTESIAN_POINT('Ctrl Pts',(2.15518833330057,0.979522422772846, 10.6115)); #261929=CARTESIAN_POINT('Ctrl Pts',(2.12171324841158,1.05592142597912,10.6115)); #261930=CARTESIAN_POINT('Ctrl Pts',(2.08763976138401,1.13368614123826,10.6115)); #261931=CARTESIAN_POINT('Ctrl Pts',(2.04948842396475,1.20968359313195,10.6115)); #261932=CARTESIAN_POINT('Ctrl Pts',(2.0075008598724,1.28362336600283,10.6115)); #261933=CARTESIAN_POINT('Ctrl Pts',(1.96535004296438,1.35785062580874,10.6115)); #261934=CARTESIAN_POINT('Ctrl Pts',(1.91933267031751,1.43000504583018,10.6115)); #261935=CARTESIAN_POINT('Ctrl Pts',(1.86964445714383,1.49977377423694,10.6115)); #261936=CARTESIAN_POINT('Ctrl Pts',(1.84935970526954,1.52825621008887,10.6115)); #261937=CARTESIAN_POINT('Ctrl Pts',(1.82846264406606,1.55634075165222,10.6115)); #261938=CARTESIAN_POINT('Ctrl Pts',(1.8069645282353,1.58400468318407,10.6115)); #261939=CARTESIAN_POINT('Ctrl Pts',(1.79891423068803,1.59436386451474,10.6115)); #261940=CARTESIAN_POINT('Ctrl Pts',(1.79077796537713,1.60466613459409,10.6115)); #261941=CARTESIAN_POINT('Ctrl Pts',(1.78256188008191,1.61490331383375,10.6115)); #261942=CARTESIAN_POINT('Ctrl Pts',(1.72794366962003,1.68295718500927,10.6115)); #261943=CARTESIAN_POINT('Ctrl Pts',(1.6699217377954,1.74799017096038,10.6115)); #261944=CARTESIAN_POINT('Ctrl Pts',(1.60875322689559,1.8097083452299,10.6115)); #261945=CARTESIAN_POINT('Ctrl Pts',(1.54768581044936,1.87132451660591,10.6115)); #261946=CARTESIAN_POINT('Ctrl Pts',(1.48348168449169,1.92963768321785,10.6115)); #261947=CARTESIAN_POINT('Ctrl Pts',(1.41635077761823,1.98433161353738,10.6115)); #261948=CARTESIAN_POINT('Ctrl Pts',(1.3508901786768,2.03766468475487,10.6115)); #261949=CARTESIAN_POINT('Ctrl Pts',(1.28264602497685,2.08755704942095,10.6115)); #261950=CARTESIAN_POINT('Ctrl Pts',(1.21177779523635,2.13368185216043,10.6115)); #261951=CARTESIAN_POINT('Ctrl Pts',(1.16524783195071,2.16396602019855,10.6115)); #261952=CARTESIAN_POINT('Ctrl Pts',(1.11758597519167,2.19262535053071,10.6115)); #261953=CARTESIAN_POINT('Ctrl Pts',(1.06883061872244,2.21955701852451,10.6115)); #261954=CARTESIAN_POINT('Ctrl Pts',(1.26746401045088,-1.77531588558078, 10.6115)); #261955=CARTESIAN_POINT('Ctrl Pts',(0.721165591842258,-2.16077266373831, 10.5869747947671)); #261956=CARTESIAN_POINT('Ctrl Pts',(-0.816172022977213,-2.41147602536517, 10.5359436500766)); #261957=CARTESIAN_POINT('Ctrl Pts',(-2.48429086612115,-0.678998692295778, 10.4584065659283)); #261958=CARTESIAN_POINT('Ctrl Pts',(-1.91470086883461,1.72280179447901, 10.3788887475551)); #261959=CARTESIAN_POINT('Ctrl Pts',(0.413151175445257,2.5419719146915,10.299370929182)); #261960=CARTESIAN_POINT('Ctrl Pts',(1.97882609961406,1.325146041706,10.2354715534272)); #261961=CARTESIAN_POINT('Ctrl Pts',(2.17587615054318,0.299994690553707, 10.1980781171301)); #261962=CARTESIAN_POINT('Ctrl Pts',(2.17461078838165,0.00104230696379888, 10.1871906202908)); #261963=CARTESIAN_POINT('Origin',(2.26018097944867E-16,0.,10.6115)); #261964=CARTESIAN_POINT('',(2.4635,-3.0169173896995E-16,10.6115)); #261965=CARTESIAN_POINT('Origin',(0.,0.,10.6115)); #261966=CARTESIAN_POINT('Origin',(0.,0.,10.6115)); #261967=CARTESIAN_POINT('',(-1.26471106027296,-1.77287929553121,10.6115)); #261968=CARTESIAN_POINT('Ctrl Pts',(-1.07143097622528,2.22280249503674, 10.6115)); #261969=CARTESIAN_POINT('Ctrl Pts',(-1.12505305840402,2.19352146465281, 10.6115)); #261970=CARTESIAN_POINT('Ctrl Pts',(-1.17742400413258,2.16216335535971, 10.6115)); #261971=CARTESIAN_POINT('Ctrl Pts',(-1.22840933487753,2.12872081881866, 10.6115)); #261972=CARTESIAN_POINT('Ctrl Pts',(-1.30010764248678,2.08169212964238, 10.6115)); #261973=CARTESIAN_POINT('Ctrl Pts',(-1.3690675628648,2.03054267669415,10.6115)); #261974=CARTESIAN_POINT('Ctrl Pts',(-1.43512488006839,1.97563552246149, 10.6115)); #261975=CARTESIAN_POINT('Ctrl Pts',(-1.46917271344562,1.94733480245541, 10.6115)); #261976=CARTESIAN_POINT('Ctrl Pts',(-1.50244883498695,1.91803515234033, 10.6115)); #261977=CARTESIAN_POINT('Ctrl Pts',(-1.53492669257201,1.88778290996059, 10.6115)); #261978=CARTESIAN_POINT('Ctrl Pts',(-1.54963317672379,1.8740842193389,10.6115)); #261979=CARTESIAN_POINT('Ctrl Pts',(-1.5641759432575,1.86019018094066,10.6115)); #261980=CARTESIAN_POINT('Ctrl Pts',(-1.57855232241017,1.846104979269,10.6115)); #261981=CARTESIAN_POINT('Ctrl Pts',(-1.59020661248388,1.83468673380643, 10.6115)); #261982=CARTESIAN_POINT('Ctrl Pts',(-1.60174544672044,1.82314881725151, 10.6115)); #261983=CARTESIAN_POINT('Ctrl Pts',(-1.61316543475629,1.81149620836821, 10.6115)); #261984=CARTESIAN_POINT('Ctrl Pts',(-1.67362934462665,1.74980067146732, 10.6115)); #261985=CARTESIAN_POINT('Ctrl Pts',(-1.73078691584006,1.6848713420342,10.6115)); #261986=CARTESIAN_POINT('Ctrl Pts',(-1.78446902162879,1.61707511062958, 10.6115)); #261987=CARTESIAN_POINT('Ctrl Pts',(-1.82671754973007,1.56371857877272, 10.6115)); #261988=CARTESIAN_POINT('Ctrl Pts',(-1.86681272403222,1.50858537374026, 10.6115)); #261989=CARTESIAN_POINT('Ctrl Pts',(-1.90465256668488,1.45184281237413, 10.6115)); #261990=CARTESIAN_POINT('Ctrl Pts',(-1.92469008068973,1.42179565074925, 10.6115)); #261991=CARTESIAN_POINT('Ctrl Pts',(-1.9440948794914,1.39129707569482,10.6115)); #261992=CARTESIAN_POINT('Ctrl Pts',(-1.9628496515596,1.36037123738091,10.6115)); #261993=CARTESIAN_POINT('Ctrl Pts',(-1.97020587594906,1.34824112962798, 10.6115)); #261994=CARTESIAN_POINT('Ctrl Pts',(-1.97746155863217,1.33604600316976, 10.6115)); #261995=CARTESIAN_POINT('Ctrl Pts',(-1.98460927041014,1.32379865122562, 10.6115)); #261996=CARTESIAN_POINT('Ctrl Pts',(-2.02777005114449,1.2498441762471,10.6115)); #261997=CARTESIAN_POINT('Ctrl Pts',(-2.0670632372412,1.17388046942535,10.6115)); #261998=CARTESIAN_POINT('Ctrl Pts',(-2.10232573200756,1.09625609012922, 10.6115)); #261999=CARTESIAN_POINT('Ctrl Pts',(-2.1375310346688,1.01875760951642,10.6115)); #262000=CARTESIAN_POINT('Ctrl Pts',(-2.16871910704632,0.939602896128707, 10.6115)); #262001=CARTESIAN_POINT('Ctrl Pts',(-2.19567898886155,0.859120919443115, 10.6115)); #262002=CARTESIAN_POINT('Ctrl Pts',(-2.21589890022298,0.798759436928921, 10.6115)); #262003=CARTESIAN_POINT('Ctrl Pts',(-2.23373949766213,0.737650920516539, 10.6115)); #262004=CARTESIAN_POINT('Ctrl Pts',(-2.24909171545548,0.675932291581402, 10.6115)); #262005=CARTESIAN_POINT('Ctrl Pts',(-2.26060587880049,0.629643319880049, 10.6115)); #262006=CARTESIAN_POINT('Ctrl Pts',(-2.27071998269971,0.583011114052179, 10.6115)); #262007=CARTESIAN_POINT('Ctrl Pts',(-2.27938109282543,0.536094076243641, 10.6115)); #262008=CARTESIAN_POINT('Ctrl Pts',(-2.28181797859417,0.522893521108337, 10.6115)); #262009=CARTESIAN_POINT('Ctrl Pts',(-2.28413980325109,0.509670424152589, 10.6115)); #262010=CARTESIAN_POINT('Ctrl Pts',(-2.28634529844781,0.49642610369106, 10.6115)); #262011=CARTESIAN_POINT('Ctrl Pts',(-2.28847948263292,0.483610016259273, 10.6115)); #262012=CARTESIAN_POINT('Ctrl Pts',(-2.290503840899,0.470779169830829,10.6115)); #262013=CARTESIAN_POINT('Ctrl Pts',(-2.292416433521,0.457942557032139,10.6115)); #262014=CARTESIAN_POINT('Ctrl Pts',(-2.30447816510926,0.376988685152208, 10.6115)); #262015=CARTESIAN_POINT('Ctrl Pts',(-2.31211478627335,0.295711385000594, 10.6115)); #262016=CARTESIAN_POINT('Ctrl Pts',(-2.3151390387085,0.214408989180555, 10.6115)); #262017=CARTESIAN_POINT('Ctrl Pts',(-2.31642369278095,0.179873031574356, 10.6115)); #262018=CARTESIAN_POINT('Ctrl Pts',(-2.31687545740971,0.145332488240824, 10.6115)); #262019=CARTESIAN_POINT('Ctrl Pts',(-2.31647710247944,0.110810208699086, 10.6115)); #262020=CARTESIAN_POINT('Ctrl Pts',(-2.31553005106934,0.0287367334248641, 10.6115)); #262021=CARTESIAN_POINT('Ctrl Pts',(-2.30978151323799,-0.0532344750377378, 10.6115)); #262022=CARTESIAN_POINT('Ctrl Pts',(-2.29942554537871,-0.134784870740083, 10.6115)); #262023=CARTESIAN_POINT('Ctrl Pts',(-2.28897984251525,-0.217041904894748, 10.6115)); #262024=CARTESIAN_POINT('Ctrl Pts',(-2.27384604677533,-0.298871711233619, 10.6115)); #262025=CARTESIAN_POINT('Ctrl Pts',(-2.25417525888537,-0.379926617503801, 10.6115)); #262026=CARTESIAN_POINT('Ctrl Pts',(-2.25086957359874,-0.393547933160584, 10.6115)); #262027=CARTESIAN_POINT('Ctrl Pts',(-2.24743522867326,-0.407148602546616, 10.6115)); #262028=CARTESIAN_POINT('Ctrl Pts',(-2.2438776803242,-0.420709399918295, 10.6115)); #262029=CARTESIAN_POINT('Ctrl Pts',(-2.22315016624696,-0.49971932215712, 10.6115)); #262030=CARTESIAN_POINT('Ctrl Pts',(-2.1982128979148,-0.577512634323527, 10.6115)); #262031=CARTESIAN_POINT('Ctrl Pts',(-2.16928456488784,-0.653787337492102, 10.6115)); #262032=CARTESIAN_POINT('Ctrl Pts',(-2.14020379075041,-0.730463978803534, 10.6115)); #262033=CARTESIAN_POINT('Ctrl Pts',(-2.10708924926486,-0.80560684135753, 10.6115)); #262034=CARTESIAN_POINT('Ctrl Pts',(-2.07011475910951,-0.878888155392321, 10.6115)); #262035=CARTESIAN_POINT('Ctrl Pts',(-2.03357003733895,-0.951317693193375, 10.6115)); #262036=CARTESIAN_POINT('Ctrl Pts',(-1.99325397518309,-1.02192946695742, 10.6115)); #262037=CARTESIAN_POINT('Ctrl Pts',(-1.94929590858069,-1.09037447875894, 10.6115)); #262038=CARTESIAN_POINT('Ctrl Pts',(-1.93086328697095,-1.11907503592909, 10.6115)); #262039=CARTESIAN_POINT('Ctrl Pts',(-1.91178986860095,-1.14739414651567, 10.6115)); #262040=CARTESIAN_POINT('Ctrl Pts',(-1.89208351934246,-1.17530385822707, 10.6115)); #262041=CARTESIAN_POINT('Ctrl Pts',(-1.88425824995085,-1.18638663210463, 10.6115)); #262042=CARTESIAN_POINT('Ctrl Pts',(-1.87633248259666,-1.19740563125163, 10.6115)); #262043=CARTESIAN_POINT('Ctrl Pts',(-1.86831698072424,-1.20834538507878, 10.6115)); #262044=CARTESIAN_POINT('Ctrl Pts',(-1.82073740173633,-1.27328316310098, 10.6115)); #262045=CARTESIAN_POINT('Ctrl Pts',(-1.76991939826229,-1.33554625377648, 10.6115)); #262046=CARTESIAN_POINT('Ctrl Pts',(-1.71603103289852,-1.39483077161578, 10.6115)); #262047=CARTESIAN_POINT('Ctrl Pts',(-1.66289910657922,-1.45328310381122, 10.6115)); #262048=CARTESIAN_POINT('Ctrl Pts',(-1.6067818143594,-1.50884056575491, 10.6115)); #262049=CARTESIAN_POINT('Ctrl Pts',(-1.54780611851682,-1.56117538472485, 10.6115)); #262050=CARTESIAN_POINT('Ctrl Pts',(-1.49075641083619,-1.61180108877289, 10.6115)); #262051=CARTESIAN_POINT('Ctrl Pts',(-1.43103138196549,-1.65941162003852, 10.6115)); #262052=CARTESIAN_POINT('Ctrl Pts',(-1.36872235852766,-1.70366820253244, 10.6115)); #262053=CARTESIAN_POINT('Ctrl Pts',(-1.33481340330009,-1.72775290794929, 10.6115)); #262054=CARTESIAN_POINT('Ctrl Pts',(-1.30013880582463,-1.7508435310988, 10.6115)); #262055=CARTESIAN_POINT('Ctrl Pts',(-1.26471106027298,-1.7728792955312, 10.6115)); #262056=CARTESIAN_POINT('Origin',(0.,0.,10.6115)); #262057=CARTESIAN_POINT('Origin',(0.,0.,11.5358093797092)); #262058=CARTESIAN_POINT('Ctrl Pts',(-1.26471106027295,-1.77287929553122, 10.6115)); #262059=CARTESIAN_POINT('Ctrl Pts',(-1.85522782789938,-1.35162496130989, 10.5849940605423)); #262060=CARTESIAN_POINT('Ctrl Pts',(-2.57188119438178,0.12009738814425, 10.5319821816269)); #262061=CARTESIAN_POINT('Ctrl Pts',(-1.28770703465392,2.2324106776676,10.4524643632537)); #262062=CARTESIAN_POINT('Ctrl Pts',(1.17984306860509,2.28844761786155,10.3729465448805)); #262063=CARTESIAN_POINT('Ctrl Pts',(2.14652146824891,0.862075143087072, 10.317394883699)); #262064=CARTESIAN_POINT('Ctrl Pts',(2.18095742892401,0.0706032642766458, 10.2883491619753)); #262065=CARTESIAN_POINT('Ctrl Pts',(2.18049778204237,0.0013530151020942, 10.2858093797092)); #262066=CARTESIAN_POINT('Ctrl Pts',(-1.43065810904941,-2.00550483046318, 10.7764778394209)); #262067=CARTESIAN_POINT('Ctrl Pts',(-2.09865859443465,-1.5289762792731, 10.7499718999632)); #262068=CARTESIAN_POINT('Ctrl Pts',(-2.90934649172748,0.135855775774663, 10.6969600210478)); #262069=CARTESIAN_POINT('Ctrl Pts',(-1.45667146360694,2.5253328914862,10.6174422026746)); #262070=CARTESIAN_POINT('Ctrl Pts',(1.33465429893631,2.58872262959567,10.5379243843014)); #262071=CARTESIAN_POINT('Ctrl Pts',(2.89994124958154,0.279079399389412, 10.4584065659283)); #262072=CARTESIAN_POINT('Ctrl Pts',(1.80303339229269,-2.28875243306386, 10.3788887475551)); #262073=CARTESIAN_POINT('Ctrl Pts',(-0.949479732919958,-2.754176868871, 10.299370929182)); #262074=CARTESIAN_POINT('Ctrl Pts',(-2.83014915785478,-0.691436311178113, 10.2198531108088)); #262075=CARTESIAN_POINT('Ctrl Pts',(-2.1124114607896,2.00641861583924,10.1403352924357)); #262076=CARTESIAN_POINT('Ctrl Pts',(0.544829221593144,2.86196338127433, 10.0608174740625)); #262077=CARTESIAN_POINT('Ctrl Pts',(2.70182996893666,1.08992010532997,9.98129965568934)); #262078=CARTESIAN_POINT('Ctrl Pts',(2.37819560651822,-1.68285709420142, 9.90178183731615)); #262079=CARTESIAN_POINT('Ctrl Pts',(-0.128945049283509,-2.91052418578433, 9.822264018943)); #262080=CARTESIAN_POINT('Ctrl Pts',(-2.51769487649483,-1.46595106749974, 9.74274620056983)); #262081=CARTESIAN_POINT('Ctrl Pts',(-2.59486417784199,1.32456770556013, 9.66322838219668)); #262082=CARTESIAN_POINT('Ctrl Pts',(-0.289599597925615,2.89895254179932, 9.58371056382351)); #262083=CARTESIAN_POINT('Ctrl Pts',(2.28155596625625,1.81171178850969,9.50419274545035)); #262084=CARTESIAN_POINT('Ctrl Pts',(2.7579373055065,-0.938924236292267, 9.4246749270772)); #262085=CARTESIAN_POINT('Ctrl Pts',(0.702162228507436,-2.82750143017317, 9.34515710870403)); #262086=CARTESIAN_POINT('Ctrl Pts',(-1.99829190551608,-2.12005332992461, 9.26563929033087)); #262087=CARTESIAN_POINT('Ctrl Pts',(-2.86404608425248,0.533888191223374, 9.1861214719577)); #262088=CARTESIAN_POINT('Ctrl Pts',(-1.100221824529,2.697648682516,9.10660365358454)); #262089=CARTESIAN_POINT('Ctrl Pts',(1.67375362417407,2.38460591332795,9.02708583521139)); #262090=CARTESIAN_POINT('Ctrl Pts',(2.91099876772011,-0.117824922326587, 8.94756801683822)); #262091=CARTESIAN_POINT('Ctrl Pts',(1.47555662200385,-2.51207666719945, 8.86805019846506)); #262092=CARTESIAN_POINT('Ctrl Pts',(-1.31464440129233,-2.59990512063401, 8.7885323800919)); #262093=CARTESIAN_POINT('Ctrl Pts',(-2.89782554651261,-0.300671975273397, 8.70901456171873)); #262094=CARTESIAN_POINT('Ctrl Pts',(-1.82041418774082,2.27461836767535, 8.62949674334558)); #262095=CARTESIAN_POINT('Ctrl Pts',(0.928381546492005,2.76150398139777, 8.54997892497241)); #262096=CARTESIAN_POINT('Ctrl Pts',(2.8247985079604,0.712958571007488,8.47046110659926)); #262097=CARTESIAN_POINT('Ctrl Pts',(2.12767158131279,-1.99017842830859, 8.39094328822609)); #262098=CARTESIAN_POINT('Ctrl Pts',(-0.522943217108373,-2.86606471077367, 8.31142546985293)); #262099=CARTESIAN_POINT('Ctrl Pts',(-2.69342600586753,-1.1105191963579, 8.23190765147977)); #262100=CARTESIAN_POINT('Ctrl Pts',(-2.39098248294658,1.66463188146749, 8.1523898331066)); #262101=CARTESIAN_POINT('Ctrl Pts',(0.106703634329947,2.91142763416984, 8.07287201473345)); #262102=CARTESIAN_POINT('Ctrl Pts',(2.50642150436031,1.48514234235352,7.99335419636027)); #262103=CARTESIAN_POINT('Ctrl Pts',(2.60490827586407,-1.30470280482044, 7.91383637798712)); #262104=CARTESIAN_POINT('Ctrl Pts',(0.311739883702626,-2.89665579237242, 7.83431855961396)); #262105=CARTESIAN_POINT('Ctrl Pts',(-2.26764753178742,-1.82909026736013, 7.75480074124079)); #262106=CARTESIAN_POINT('Ctrl Pts',(-2.76503037958336,0.917825437953465, 7.67528292286764)); #262107=CARTESIAN_POINT('Ctrl Pts',(-0.723744497161157,2.82205429384392, 7.59576510449448)); #262108=CARTESIAN_POINT('Ctrl Pts',(1.9820359011902,2.13525881826226,7.5162472861213)); #262109=CARTESIAN_POINT('Ctrl Pts',(2.86804151454274,-0.51199063021993, 7.43672946774816)); #262110=CARTESIAN_POINT('Ctrl Pts',(1.12080036008421,-2.68916401273868, 7.35721164937498)); #262111=CARTESIAN_POINT('Ctrl Pts',(-1.6554858450084,-2.39732416488686, 7.27769383100183)); #262112=CARTESIAN_POINT('Ctrl Pts',(-2.9118140130848,0.0955807918813259, 7.19817601262866)); #262113=CARTESIAN_POINT('Ctrl Pts',(-1.49470638898613,2.50072976254886, 7.11865819425551)); #262114=CARTESIAN_POINT('Ctrl Pts',(1.29474216799886,2.60987341704138,7.03914037588234)); #262115=CARTESIAN_POINT('Ctrl Pts',(2.89544376586766,0.322803242350198, 6.95962255750919)); #262116=CARTESIAN_POINT('Ctrl Pts',(1.83773965405991,-2.26064360270907, 6.88010473913601)); #262117=CARTESIAN_POINT('Ctrl Pts',(-0.907255935083035,-2.7685164263248, 6.80058692076286)); #262118=CARTESIAN_POINT('Ctrl Pts',(-2.81926889600356,-0.73451986127029, 6.72106910238969)); #262119=CARTESIAN_POINT('Ctrl Pts',(-2.14281489424301,1.97386444914133, 6.64155128401654)); #262120=CARTESIAN_POINT('Ctrl Pts',(0.501030571578148,2.869976463478,6.56203346564337)); #262121=CARTESIAN_POINT('Ctrl Pts',(2.68486277521574,1.13106516736857,6.48251564727021)); #262122=CARTESIAN_POINT('Ctrl Pts',(2.40363086140443,-1.64631564916267, 6.40299782889705)); #262123=CARTESIAN_POINT('Ctrl Pts',(-0.0844565545711653,-2.9121578983571, 6.32348001052388)); #262124=CARTESIAN_POINT('Ctrl Pts',(-2.49500152626297,-1.5042486225751, 6.24396219215073)); #262125=CARTESIAN_POINT('Ctrl Pts',(-2.61480047095275,1.28476263631806, 6.16444437377756)); #262126=CARTESIAN_POINT('Ctrl Pts',(-0.333861890159052,2.89418948461794, 6.08492655540441)); #262127=CARTESIAN_POINT('Ctrl Pts',(2.25360668286064,1.84636222165087,6.00540873703124)); #262128=CARTESIAN_POINT('Ctrl Pts',(2.77196207063889,-0.896673192145858, 5.92589091865809)); #262129=CARTESIAN_POINT('Ctrl Pts',(0.745284506141852,-2.81644235507822, 5.84637310028491)); #262130=CARTESIAN_POINT('Ctrl Pts',(-1.96566419144253,-2.15033969899042, 5.76685528191176)); #262131=CARTESIAN_POINT('Ctrl Pts',(-2.87186952932583,0.490063201131711, 5.68733746353859)); #262132=CARTESIAN_POINT('Ctrl Pts',(-1.14131346841953,2.68052235606753, 5.60781964516543)); #262133=CARTESIAN_POINT('Ctrl Pts',(1.63712142776022,2.40990248046305,5.52830182679227)); #262134=CARTESIAN_POINT('Ctrl Pts',(2.91245928496593,-0.0733310847417217, 5.44878400841911)); #262135=CARTESIAN_POINT('Ctrl Pts',(1.51376890386679,-2.48923687909761, 5.36926619004594)); #262136=CARTESIAN_POINT('Ctrl Pts',(-1.27476435541527,-2.61968936569516, 5.28974837167279)); #262137=CARTESIAN_POINT('Ctrl Pts',(-2.89289296692735,-0.344915665744427, 5.21023055329963)); #262138=CARTESIAN_POINT('Ctrl Pts',(-1.85495784429947,2.24653687493568, 5.13071273492646)); #262139=CARTESIAN_POINT('Ctrl Pts',(0.886077363581686,2.77536726224143, 5.0511949165533)); #262140=CARTESIAN_POINT('Ctrl Pts',(2.81357471231694,0.756038274681786, 4.97167709818014)); #262141=CARTESIAN_POINT('Ctrl Pts',(2.15783312269131,-1.95743524776476, 4.89215927980698)); #262142=CARTESIAN_POINT('Ctrl Pts',(-0.479088678933735,-2.87372068445925, 4.81264146143382)); #262143=CARTESIAN_POINT('Ctrl Pts',(-2.67614281863522,-1.15154511367911, 4.73312364306065)); #262144=CARTESIAN_POINT('Ctrl Pts',(-2.41613893053931,1.62790331497891, 4.65360582468749)); #262145=CARTESIAN_POINT('Ctrl Pts',(0.0622045447560168,2.9127181685098, 4.57408800631433)); #262146=CARTESIAN_POINT('Ctrl Pts',(2.48343590517345,1.52326709393273,4.49457018794117)); #262147=CARTESIAN_POINT('Ctrl Pts',(2.62454002993361,-1.26474747121229, 4.41505236956801)); #262148=CARTESIAN_POINT('Ctrl Pts',(0.355964407771367,-2.89155423169459, 4.33553455119485)); #262149=CARTESIAN_POINT('Ctrl Pts',(-2.2394342820669,-1.8635263966072,4.25601673282168)); #262150=CARTESIAN_POINT('Ctrl Pts',(-2.77873195151613,0.875468604100689, 4.17649891444853)); #262151=CARTESIAN_POINT('Ctrl Pts',(-0.766781009807347,2.81066600941715, 4.09698109607536)); #262152=CARTESIAN_POINT('Ctrl Pts',(1.94917773791704,2.1652950562786,4.0174632777022)); #262153=CARTESIAN_POINT('Ctrl Pts',(2.87552990239674,-0.468107165690089, 3.93794545932904)); #262154=CARTESIAN_POINT('Ctrl Pts',(1.16175995281656,-2.67172422578734, 3.85842764095588)); #262155=CARTESIAN_POINT('Ctrl Pts',(-1.61866144341225,-2.42234012260868, 3.77890982258272)); #262156=CARTESIAN_POINT('Ctrl Pts',(-2.91293454888559,0.0510771007710211, 3.69939200420956)); #262157=CARTESIAN_POINT('Ctrl Pts',(-1.53274304716806,2.47759868195158, 3.61987418583639)); #262158=CARTESIAN_POINT('Ctrl Pts',(1.25471211658469,2.62935240657187,3.54035636746323)); #262159=CARTESIAN_POINT('Ctrl Pts',(2.890173323776,0.367007928945816,3.46083854909007)); #262160=CARTESIAN_POINT('Ctrl Pts',(1.87206770534969,-2.23229895832987, 3.38132073071691)); #262161=CARTESIAN_POINT('Ctrl Pts',(-0.864846976845378,-2.78205618369631, 3.30180291234375)); #262162=CARTESIAN_POINT('Ctrl Pts',(-2.8077164632735,-0.777512375237464, 3.22228509397058)); #262163=CARTESIAN_POINT('Ctrl Pts',(-2.1727250589124,1.94089144083269,3.14276727559743)); #262164=CARTESIAN_POINT('Ctrl Pts',(0.457118190022776,2.8772978066948,3.06324945722426)); #262165=CARTESIAN_POINT('Ctrl Pts',(2.66726784391242,1.17195659999112,2.9837316388511)); #262166=CARTESIAN_POINT('Ctrl Pts',(2.42850367913691,-1.60939359455317, 2.90421382047794)); #262167=CARTESIAN_POINT('Ctrl Pts',(-0.0399445633046166,-2.913112901044, 2.82469600210478)); #262168=CARTESIAN_POINT('Ctrl Pts',(-2.4717335755425,-1.54218810415027, 2.74517818373161)); #262169=CARTESIAN_POINT('Ctrl Pts',(-2.63411066807834,1.24464222360198, 2.66566036535845)); #262170=CARTESIAN_POINT('Ctrl Pts',(-0.378076051833024,2.88878111678469, 2.58614254698529)); #262171=CARTESIAN_POINT('Ctrl Pts',(2.22518806274969,1.88052293618504,2.50662472861213)); #262172=CARTESIAN_POINT('Ctrl Pts',(2.78523133874323,-0.854100917885248, 2.42710691023897)); #262173=CARTESIAN_POINT('Ctrl Pts',(0.788438852422973,-2.80493873187422, 2.34758909186581)); #262174=CARTESIAN_POINT('Ctrl Pts',(-1.93297005237348,-2.17971817875967, 2.26807127349264)); #262175=CARTESIAN_POINT('Ctrl Pts',(-2.878272227645,0.445349227255795,2.18855345511949)); #262176=CARTESIAN_POINT('Ctrl Pts',(-1.18359395301753,2.66426412356005, 2.10903563674632)); #262177=CARTESIAN_POINT('Ctrl Pts',(1.60311424711533,2.43162055251929,2.02951781837316)); #262178=CARTESIAN_POINT('Ctrl Pts',(2.47149661930289,0.7961412056593,1.97650593945772)); #262179=CARTESIAN_POINT('Ctrl Pts',(2.46337946031952,-0.0243697450928974, 1.95)); #262180=CARTESIAN_POINT('Ctrl Pts',(-1.37534242612393,-1.92796298548586, 10.7214852262806)); #262181=CARTESIAN_POINT('Ctrl Pts',(-2.01751500558956,-1.46985917328536, 10.6949792868229)); #262182=CARTESIAN_POINT('Ctrl Pts',(-2.79685805927891,0.13060297989786, 10.6419674079075)); #262183=CARTESIAN_POINT('Ctrl Pts',(-1.40034998728927,2.42769215354666, 10.5624495895343)); #262184=CARTESIAN_POINT('Ctrl Pts',(1.28305055549257,2.48863095901763,10.4829317711612)); #262185=CARTESIAN_POINT('Ctrl Pts',(2.78781646613415,0.268288933470241, 10.403413952788)); #262186=CARTESIAN_POINT('Ctrl Pts',(1.73332000458581,-2.20025896066714, 10.3238961344148)); #262187=CARTESIAN_POINT('Ctrl Pts',(-0.912768572148455,-2.64768799257307, 10.2443783160416)); #262188=CARTESIAN_POINT('Ctrl Pts',(-2.72072285085837,-0.664702270731697, 10.1648604976685)); #262189=CARTESIAN_POINT('Ctrl Pts',(-2.03073612421958,1.92884143980568, 10.0853426792953)); #262190=CARTESIAN_POINT('Ctrl Pts',(0.523763671214934,2.75130699318162, 10.0058248609222)); #262191=CARTESIAN_POINT('Ctrl Pts',(2.59736505944155,1.04777888753712,9.92630704254901)); #262192=CARTESIAN_POINT('Ctrl Pts',(2.28624385838715,-1.61779026317943, 9.84678922417585)); #262193=CARTESIAN_POINT('Ctrl Pts',(-0.123959453203042,-2.79799021838187, 9.76727140580268)); #262194=CARTESIAN_POINT('Ctrl Pts',(-2.4203494585991,-1.40927079992135, 9.68775358742953)); #262195=CARTESIAN_POINT('Ctrl Pts',(-2.49453504736118,1.27335395522339, 9.60823576905636)); #262196=CARTESIAN_POINT('Ctrl Pts',(-0.278402373771995,2.78686598624568, 9.5287179506832)); #262197=CARTESIAN_POINT('Ctrl Pts',(2.19334074166283,1.7416628549373,9.44920013231004)); #262198=CARTESIAN_POINT('Ctrl Pts',(2.65130303379978,-0.902621198538336, 9.36968231393687)); #262199=CARTESIAN_POINT('Ctrl Pts',(0.675013475811937,-2.71817749624827, 9.29016449556373)); #262200=CARTESIAN_POINT('Ctrl Pts',(-1.92102894468784,-2.03808252429224, 9.21064667719055)); #262201=CARTESIAN_POINT('Ctrl Pts',(-2.75330916948688,0.513245670332774, 9.13112885881739)); #262202=CARTESIAN_POINT('Ctrl Pts',(-1.0576822958964,2.59334544037691,9.05161104044423)); #262203=CARTESIAN_POINT('Ctrl Pts',(1.60903877428466,2.29240631387818,8.97209322207107)); #262204=CARTESIAN_POINT('Ctrl Pts',(2.79844645084358,-0.113269280414036, 8.89257540369791)); #262205=CARTESIAN_POINT('Ctrl Pts',(1.41850496044676,-2.41494847456672, 8.81305758532475)); #262206=CARTESIAN_POINT('Ctrl Pts',(-1.26381433057057,-2.49938108461193, 8.73353976695159)); #262207=CARTESIAN_POINT('Ctrl Pts',(-2.78578256567018,-0.289046643166711, 8.65402194857842)); #262208=CARTESIAN_POINT('Ctrl Pts',(-1.75002878023835,2.18667138187424, 8.57450413020526)); #262209=CARTESIAN_POINT('Ctrl Pts',(0.892486136586045,2.6547318059449,8.49498631183211)); #262210=CARTESIAN_POINT('Ctrl Pts',(2.71557908117606,0.685392383108772, 8.41546849345893)); #262211=CARTESIAN_POINT('Ctrl Pts',(2.04540621978648,-1.91322917103383, 8.33595067508578)); #262212=CARTESIAN_POINT('Ctrl Pts',(-0.502723878188321,-2.75524974681945, 8.25643285671262)); #262213=CARTESIAN_POINT('Ctrl Pts',(-2.58928603141701,-1.06758152497446, 8.17691503833945)); #262214=CARTESIAN_POINT('Ctrl Pts',(-2.29853633660979,1.6002697192147,8.0973972199663)); #262215=CARTESIAN_POINT('Ctrl Pts',(0.102577991476324,2.79885873538572, 8.01787940159312)); #262216=CARTESIAN_POINT('Ctrl Pts',(2.40951196578093,1.42772005369543,7.93836158321998)); #262217=CARTESIAN_POINT('Ctrl Pts',(2.50419079533796,-1.25425712097261, 7.85884376484681)); #262218=CARTESIAN_POINT('Ctrl Pts',(0.299686616431384,-2.78465803949096, 7.77932594647364)); #262219=CARTESIAN_POINT('Ctrl Pts',(-2.17997006988252,-1.75836940356225, 7.69980812810049)); #262220=CARTESIAN_POINT('Ctrl Pts',(-2.65812185770176,0.882338174724302, 7.62029030972732)); #262221=CARTESIAN_POINT('Ctrl Pts',(-0.695761276802061,2.71294097073102, 7.54077249135416)); #262222=CARTESIAN_POINT('Ctrl Pts',(1.90540147066926,2.05270009999983,7.461254672981)); #262223=CARTESIAN_POINT('Ctrl Pts',(2.75715011845579,-0.492194767614523, 7.38173685460784)); #262224=CARTESIAN_POINT('Ctrl Pts',(1.07746517262813,-2.58518882612884, 7.30221903623468)); #262225=CARTESIAN_POINT('Ctrl Pts',(-1.59147730969805,-2.30463282057775, 7.22270121786151)); #262226=CARTESIAN_POINT('Ctrl Pts',(-2.79923017515245,0.0918852081887486, 7.14318339948835)); #262227=CARTESIAN_POINT('Ctrl Pts',(-1.43691431123052,2.40404029233057, 7.06366558111519)); #262228=CARTESIAN_POINT('Ctrl Pts',(1.24468160721061,2.50896396180566,6.98414776274202)); #262229=CARTESIAN_POINT('Ctrl Pts',(2.78349287538708,0.310322215829439, 6.90462994436887)); #262230=CARTESIAN_POINT('Ctrl Pts',(1.76668436603505,-2.17323694423209, 6.8251121259957)); #262231=CARTESIAN_POINT('Ctrl Pts',(-0.872177336415842,-2.66147311818295, 6.74559430762254)); #262232=CARTESIAN_POINT('Ctrl Pts',(-2.71026326891026,-0.706120016827008, 6.66607648924938)); #262233=CARTESIAN_POINT('Ctrl Pts',(-2.05996402406778,1.89754596374223, 6.58655867087623)); #262234=CARTESIAN_POINT('Ctrl Pts',(0.481658474179004,2.7590102535546,6.50704085250305)); #262235=CARTESIAN_POINT('Ctrl Pts',(2.58105389381152,1.08733309625354,6.4275230341299)); #262236=CARTESIAN_POINT('Ctrl Pts',(2.31069567181694,-1.58266167490543, 6.34800521575674)); #262237=CARTESIAN_POINT('Ctrl Pts',(-0.081191083971258,-2.79956076427204, 6.26848739738357)); #262238=CARTESIAN_POINT('Ctrl Pts',(-2.39853353544643,-1.44608759911243, 6.18896957901041)); #262239=CARTESIAN_POINT('Ctrl Pts',(-2.51370051363257,1.2350879291497,6.10945176063726)); #262240=CARTESIAN_POINT('Ctrl Pts',(-0.320953286531012,2.78228709029691, 6.02993394226409)); #262241=CARTESIAN_POINT('Ctrl Pts',(2.16647210338328,1.77497354634652,5.95041612389093)); #262242=CARTESIAN_POINT('Ctrl Pts',(2.66478553837651,-0.862003769961217, 5.87089830551777)); #262243=CARTESIAN_POINT('Ctrl Pts',(0.716468452068363,-2.70754601478141, 5.7913804871446)); #262244=CARTESIAN_POINT('Ctrl Pts',(-1.88966276492137,-2.06719788598906, 5.71186266877145)); #262245=CARTESIAN_POINT('Ctrl Pts',(-2.7608301249547,0.471115151646114, 5.63234485039827)); #262246=CARTESIAN_POINT('Ctrl Pts',(-1.09718515185083,2.57688129480696, 5.55282703202513)); #262247=CARTESIAN_POINT('Ctrl Pts',(1.57382294349226,2.31672480184965,5.47330921365195)); #262248=CARTESIAN_POINT('Ctrl Pts',(2.79985049791782,-0.0704957748892275, 5.3937913952788)); #262249=CARTESIAN_POINT('Ctrl Pts',(1.45523978347169,-2.39299177549134, 5.31427357690563)); #262250=CARTESIAN_POINT('Ctrl Pts',(-1.22547622679612,-2.51840038169578, 5.23475575853248)); #262251=CARTESIAN_POINT('Ctrl Pts',(-2.78104070181681,-0.331579673391196, 5.15523794015932)); #262252=CARTESIAN_POINT('Ctrl Pts',(-1.7832368235284,2.15967564605904,5.07572012178615)); #262253=CARTESIAN_POINT('Ctrl Pts',(0.851817623828844,2.66805906994246, 4.99620230341299)); #262254=CARTESIAN_POINT('Ctrl Pts',(2.70478924799862,0.726806431506036, 4.91668448503982)); #262255=CARTESIAN_POINT('Ctrl Pts',(2.07440158019633,-1.88175198925063, 4.83716666666667)); #262256=CARTESIAN_POINT('Ctrl Pts',(-0.460564953880596,-2.76260970609726, 4.7576488482935)); #262257=CARTESIAN_POINT('Ctrl Pts',(-2.57267109000726,-1.10702119564463, 4.67813102992035)); #262258=CARTESIAN_POINT('Ctrl Pts',(-2.32272012269117,1.56496124444848, 4.59861321154718)); #262259=CARTESIAN_POINT('Ctrl Pts',(0.0597994370279938,2.80009937185847, 4.51909539317402)); #262260=CARTESIAN_POINT('Ctrl Pts',(2.38741509333349,1.46437073075144,4.43957757480085)); #262261=CARTESIAN_POINT('Ctrl Pts',(2.52306349741841,-1.21584664042969, 4.3600597564277)); #262262=CARTESIAN_POINT('Ctrl Pts',(0.342201221312974,-2.77975372811476, 4.28054193805453)); #262263=CARTESIAN_POINT('Ctrl Pts',(-2.15284767140449,-1.79147407703063, 4.20102411968137)); #262264=CARTESIAN_POINT('Ctrl Pts',(-2.6712936651829,0.8416190467471,4.12150630130821)); #262265=CARTESIAN_POINT('Ctrl Pts',(-0.737133804130797,2.70199300864712, 4.04198848293505)); #262266=CARTESIAN_POINT('Ctrl Pts',(1.87381375190666,2.08157500183957,3.96247066456189)); #262267=CARTESIAN_POINT('Ctrl Pts',(2.76434897152469,-0.450008035374702, 3.88295284618873)); #262268=CARTESIAN_POINT('Ctrl Pts',(1.11684108311661,-2.56842333985016, 3.80343502781556)); #262269=CARTESIAN_POINT('Ctrl Pts',(-1.55607670524095,-2.32868154875908, 3.7239172094424)); #262270=CARTESIAN_POINT('Ctrl Pts',(-2.80030738599484,0.0491022301201556, 3.64439939106924)); #262271=CARTESIAN_POINT('Ctrl Pts',(-1.47348030097655,2.38180356343894, 3.56488157269608)); #262272=CARTESIAN_POINT('Ctrl Pts',(1.2061992977884,2.52768980591184,3.48536375432292)); #262273=CARTESIAN_POINT('Ctrl Pts',(2.77842621231277,0.35281775024392,3.40584593594976)); #262274=CARTESIAN_POINT('Ctrl Pts',(1.79968514032652,-2.1459882314044,3.3263281175766)); #262275=CARTESIAN_POINT('Ctrl Pts',(-0.831408099417129,-2.67448936758221, 3.24681029920344)); #262276=CARTESIAN_POINT('Ctrl Pts',(-2.69915750523543,-0.74745024666373, 3.16729248083027)); #262277=CARTESIAN_POINT('Ctrl Pts',(-2.08871772712371,1.86584784037022, 3.08777466245712)); #262278=CARTESIAN_POINT('Ctrl Pts',(0.439443942976037,2.76604852068397, 3.00825684408394)); #262279=CARTESIAN_POINT('Ctrl Pts',(2.56413926175993,1.12664348200891,2.92873902571079)); #262280=CARTESIAN_POINT('Ctrl Pts',(2.33460679444529,-1.54716719314007, 2.84922120733762)); #262281=CARTESIAN_POINT('Ctrl Pts',(-0.0384001266678213,-2.80047884225592, 2.76970338896446)); #262282=CARTESIAN_POINT('Ctrl Pts',(-2.37616522844675,-1.48256016953677, 2.69018557059131)); #262283=CARTESIAN_POINT('Ctrl Pts',(-2.53226409160808,1.19651875220028, 2.61066775221814)); #262284=CARTESIAN_POINT('Ctrl Pts',(-0.363457929674663,2.77708783431109, 2.53114993384498)); #262285=CARTESIAN_POINT('Ctrl Pts',(2.13915227505864,1.80781345387465,2.45163211547182)); #262286=CARTESIAN_POINT('Ctrl Pts',(2.67754175684134,-0.8210775314722,2.37211429709866)); #262287=CARTESIAN_POINT('Ctrl Pts',(0.757954257053248,-2.69648717343662, 2.2925964787255)); #262288=CARTESIAN_POINT('Ctrl Pts',(-1.85823272844876,-2.09544046147659, 2.21307866035233)); #262289=CARTESIAN_POINT('Ctrl Pts',(-2.76698526613366,0.428130021290262, 2.13356084197917)); #262290=CARTESIAN_POINT('Ctrl Pts',(-1.13783088257915,2.56125167875832, 2.05404302360601)); #262291=CARTESIAN_POINT('Ctrl Pts',(1.54113063354208,2.3376031554714,1.97452520523285)); #262292=CARTESIAN_POINT('Ctrl Pts',(2.37593743400206,0.765358802639583, 1.92151332631741)); #262293=CARTESIAN_POINT('Ctrl Pts',(2.36813412092621,-0.0234275010417209, 1.89500738685969)); #262294=CARTESIAN_POINT('Ctrl Pts',(-1.32002674319844,-1.85042114050854, 10.6664926131403)); #262295=CARTESIAN_POINT('Ctrl Pts',(-1.93637141674447,-1.41074206729763, 10.6399866736826)); #262296=CARTESIAN_POINT('Ctrl Pts',(-2.68436962683034,0.125350184021055, 10.5869747947671)); #262297=CARTESIAN_POINT('Ctrl Pts',(-1.34402851097159,2.33005141560713, 10.507456976394)); #262298=CARTESIAN_POINT('Ctrl Pts',(1.23144681204883,2.38853928843959,10.4279391580208)); #262299=CARTESIAN_POINT('Ctrl Pts',(2.67569168268677,0.257498467551076, 10.3484213396477)); #262300=CARTESIAN_POINT('Ctrl Pts',(1.66360661687893,-2.11176548827042, 10.2689035212745)); #262301=CARTESIAN_POINT('Ctrl Pts',(-0.876057411376953,-2.54119911627513, 10.1893857029013)); #262302=CARTESIAN_POINT('Ctrl Pts',(-2.61129654386195,-0.637968230285283, 10.1098678845282)); #262303=CARTESIAN_POINT('Ctrl Pts',(-1.94906078764957,1.85126426377213, 10.030350066155)); #262304=CARTESIAN_POINT('Ctrl Pts',(0.502698120836728,2.64065060508891, 9.95083224778185)); #262305=CARTESIAN_POINT('Ctrl Pts',(2.49290014994643,1.00563766974426,9.87131442940871)); #262306=CARTESIAN_POINT('Ctrl Pts',(2.19429211025609,-1.55272343215745, 9.79179661103553)); #262307=CARTESIAN_POINT('Ctrl Pts',(-0.118973857122581,-2.68545625097941, 9.71227879266238)); #262308=CARTESIAN_POINT('Ctrl Pts',(-2.32300404070338,-1.35259053234296, 9.63276097428921)); #262309=CARTESIAN_POINT('Ctrl Pts',(-2.39420591688038,1.22214020488664, 9.55324315591606)); #262310=CARTESIAN_POINT('Ctrl Pts',(-0.267205149618373,2.67477943069205, 9.47372533754288)); #262311=CARTESIAN_POINT('Ctrl Pts',(2.10512551706941,1.67161392136492,9.39420751916974)); #262312=CARTESIAN_POINT('Ctrl Pts',(2.54466876209306,-0.866318160784403, 9.31468970079656)); #262313=CARTESIAN_POINT('Ctrl Pts',(0.647864723116436,-2.60885356232338, 9.23517188242342)); #262314=CARTESIAN_POINT('Ctrl Pts',(-1.84376598385959,-1.95611171865987, 9.15565406405023)); #262315=CARTESIAN_POINT('Ctrl Pts',(-2.64257225472128,0.49260314944217, 9.0761362456771)); #262316=CARTESIAN_POINT('Ctrl Pts',(-1.0151427672638,2.48904219823783,8.99661842730391)); #262317=CARTESIAN_POINT('Ctrl Pts',(1.54432392439525,2.20020671442841,8.91710060893076)); #262318=CARTESIAN_POINT('Ctrl Pts',(2.68589413396704,-0.108713638501479, 8.8375827905576)); #262319=CARTESIAN_POINT('Ctrl Pts',(1.36145329888967,-2.31782028193399, 8.75806497218443)); #262320=CARTESIAN_POINT('Ctrl Pts',(-1.2129842598488,-2.39885704858985, 8.67854715381128)); #262321=CARTESIAN_POINT('Ctrl Pts',(-2.67373958482775,-0.277421311060024, 8.59902933543811)); #262322=CARTESIAN_POINT('Ctrl Pts',(-1.67964337273588,2.09872439607311, 8.51951151706495)); #262323=CARTESIAN_POINT('Ctrl Pts',(0.856590726680083,2.54795963049203, 8.43999369869179)); #262324=CARTESIAN_POINT('Ctrl Pts',(2.60635965439172,0.657826195210052, 8.36047588031863)); #262325=CARTESIAN_POINT('Ctrl Pts',(1.96314085826017,-1.83627991375907, 8.28095806194547)); #262326=CARTESIAN_POINT('Ctrl Pts',(-0.482504539268272,-2.64443478286525, 8.2014402435723)); #262327=CARTESIAN_POINT('Ctrl Pts',(-2.48514605696648,-1.02464385359101, 8.12192242519915)); #262328=CARTESIAN_POINT('Ctrl Pts',(-2.206090190273,1.53590755696191,8.04240460682597)); #262329=CARTESIAN_POINT('Ctrl Pts',(0.0984523486227033,2.68628983660159, 7.96288678845283)); #262330=CARTESIAN_POINT('Ctrl Pts',(2.31260242720155,1.37029776503735,7.88336897007965)); #262331=CARTESIAN_POINT('Ctrl Pts',(2.40347331481186,-1.20381143712479, 7.8038511517065)); #262332=CARTESIAN_POINT('Ctrl Pts',(0.28763334916014,-2.67266028660951, 7.72433333333333)); #262333=CARTESIAN_POINT('Ctrl Pts',(-2.09229260797761,-1.68764853976437, 7.64481551496018)); #262334=CARTESIAN_POINT('Ctrl Pts',(-2.55121333582016,0.846850911495142, 7.56529769658701)); #262335=CARTESIAN_POINT('Ctrl Pts',(-0.667778056442966,2.60382764761812, 7.48577987821385)); #262336=CARTESIAN_POINT('Ctrl Pts',(1.82876704014831,1.9701413817374,7.40626205984068)); #262337=CARTESIAN_POINT('Ctrl Pts',(2.64625872236885,-0.472398905009119, 7.32674424146753)); #262338=CARTESIAN_POINT('Ctrl Pts',(1.03412998517205,-2.48121363951899, 7.24722642309436)); #262339=CARTESIAN_POINT('Ctrl Pts',(-1.52746877438771,-2.21194147626864, 7.16770860472121)); #262340=CARTESIAN_POINT('Ctrl Pts',(-2.68664633722011,0.0881896244961722, 7.08819078634803)); #262341=CARTESIAN_POINT('Ctrl Pts',(-1.37912223347491,2.30735082211227, 7.00867296797489)); #262342=CARTESIAN_POINT('Ctrl Pts',(1.19462104642235,2.40805450656994,6.92915514960171)); #262343=CARTESIAN_POINT('Ctrl Pts',(2.67154198490651,0.297841189308682, 6.84963733122856)); #262344=CARTESIAN_POINT('Ctrl Pts',(1.6956290780102,-2.08583028575512,6.77011951285539)); #262345=CARTESIAN_POINT('Ctrl Pts',(-0.837098737748647,-2.5544298100411, 6.69060169448223)); #262346=CARTESIAN_POINT('Ctrl Pts',(-2.60125764181697,-0.677720172383728, 6.61108387610907)); #262347=CARTESIAN_POINT('Ctrl Pts',(-1.97711315389255,1.82122747834312, 6.53156605773592)); #262348=CARTESIAN_POINT('Ctrl Pts',(0.46228637677986,2.64804404363121,6.45204823936274)); #262349=CARTESIAN_POINT('Ctrl Pts',(2.47724501240731,1.0436010251385,6.3725304209896)); #262350=CARTESIAN_POINT('Ctrl Pts',(2.21776048222944,-1.51900770064818, 6.29301260261642)); #262351=CARTESIAN_POINT('Ctrl Pts',(-0.0779256133713489,-2.686963630187, 6.21349478424328)); #262352=CARTESIAN_POINT('Ctrl Pts',(-2.3020655446299,-1.38792657564975, 6.13397696587009)); #262353=CARTESIAN_POINT('Ctrl Pts',(-2.41260055631239,1.18541322198133, 6.05445914749695)); #262354=CARTESIAN_POINT('Ctrl Pts',(-0.308044682902971,2.67038469597588, 5.97494132912377)); #262355=CARTESIAN_POINT('Ctrl Pts',(2.07933752390593,1.70358487104217,5.89542351075062)); #262356=CARTESIAN_POINT('Ctrl Pts',(2.55760900611414,-0.827334347776574, 5.81590569237746)); #262357=CARTESIAN_POINT('Ctrl Pts',(0.687652397994872,-2.5986496744846, 5.73638787400429)); #262358=CARTESIAN_POINT('Ctrl Pts',(-1.81366133840021,-1.9840560729877, 5.65687005563113)); #262359=CARTESIAN_POINT('Ctrl Pts',(-2.64979072058357,0.452167102160518, 5.57735223725797)); #262360=CARTESIAN_POINT('Ctrl Pts',(-1.05305683528213,2.47324023354639, 5.49783441888481)); #262361=CARTESIAN_POINT('Ctrl Pts',(1.51052445922429,2.22354712323624,5.41831660051165)); #262362=CARTESIAN_POINT('Ctrl Pts',(2.68724171086972,-0.0676604650367329, 5.33879878213848)); #262363=CARTESIAN_POINT('Ctrl Pts',(1.39671066307658,-2.29674667188508, 5.25928096376533)); #262364=CARTESIAN_POINT('Ctrl Pts',(-1.17618809817697,-2.4171113976964, 5.17976314539215)); #262365=CARTESIAN_POINT('Ctrl Pts',(-2.66918843670626,-0.318243681037964, 5.10024532701902)); #262366=CARTESIAN_POINT('Ctrl Pts',(-1.71151580275732,2.0728144171824,5.02072750864583)); #262367=CARTESIAN_POINT('Ctrl Pts',(0.817557884076003,2.5607508776435,4.94120969027268)); #262368=CARTESIAN_POINT('Ctrl Pts',(2.5960037836803,0.69757458833028,4.86169187189951)); #262369=CARTESIAN_POINT('Ctrl Pts',(1.99097003770136,-1.80606873073649, 4.78217405352636)); #262370=CARTESIAN_POINT('Ctrl Pts',(-0.442041228827456,-2.65149872773528, 4.70265623515319)); #262371=CARTESIAN_POINT('Ctrl Pts',(-2.46919936137929,-1.06249727761014, 4.62313841678004)); #262372=CARTESIAN_POINT('Ctrl Pts',(-2.22930131484304,1.50201917391805, 4.54362059840686)); #262373=CARTESIAN_POINT('Ctrl Pts',(0.057394329299972,2.68748057520713, 4.46410278003371)); #262374=CARTESIAN_POINT('Ctrl Pts',(2.29139428149352,1.40547436757014,4.38458496166054)); #262375=CARTESIAN_POINT('Ctrl Pts',(2.42158696490322,-1.16694580964708, 4.30506714328739)); #262376=CARTESIAN_POINT('Ctrl Pts',(0.328438034854581,-2.66795322453493, 4.22554932491422)); #262377=CARTESIAN_POINT('Ctrl Pts',(-2.06626106074208,-1.71942175745408, 4.14603150654107)); #262378=CARTESIAN_POINT('Ctrl Pts',(-2.56385537884966,0.807769489393514, 4.0665136881679)); #262379=CARTESIAN_POINT('Ctrl Pts',(-0.707486598454251,2.59332000787709, 3.98699586979473)); #262380=CARTESIAN_POINT('Ctrl Pts',(1.79844976589628,1.99785494740053,3.90747805142158)); #262381=CARTESIAN_POINT('Ctrl Pts',(2.65316804065264,-0.431908905059319, 3.82796023304841)); #262382=CARTESIAN_POINT('Ctrl Pts',(1.07192221341667,-2.46512245391298, 3.74844241467526)); #262383=CARTESIAN_POINT('Ctrl Pts',(-1.49349196706966,-2.23502297490948, 3.66892459630209)); #262384=CARTESIAN_POINT('Ctrl Pts',(-2.68768022310409,0.0471273594692892, 3.58940677792894)); #262385=CARTESIAN_POINT('Ctrl Pts',(-1.41421755478504,2.28600844492631, 3.50988895955576)); #262386=CARTESIAN_POINT('Ctrl Pts',(1.15768647899211,2.42602720525181,3.43037114118261)); #262387=CARTESIAN_POINT('Ctrl Pts',(2.66667910084954,0.338627571542027, 3.35085332280944)); #262388=CARTESIAN_POINT('Ctrl Pts',(1.72730257530335,-2.05967750447893, 3.27133550443628)); #262389=CARTESIAN_POINT('Ctrl Pts',(-0.797969221988881,-2.56692255146811, 3.19181768606312)); #262390=CARTESIAN_POINT('Ctrl Pts',(-2.59059854719735,-0.717388118089996, 3.11229986768996)); #262391=CARTESIAN_POINT('Ctrl Pts',(-2.00471039533503,1.79080423990775, 3.0327820493168)); #262392=CARTESIAN_POINT('Ctrl Pts',(0.421769695929302,2.65479923467314, 2.95326423094363)); #262393=CARTESIAN_POINT('Ctrl Pts',(2.46101067960745,1.0813303640267,2.87374641257048)); #262394=CARTESIAN_POINT('Ctrl Pts',(2.24070990975367,-1.48494079172697, 2.79422859419731)); #262395=CARTESIAN_POINT('Ctrl Pts',(-0.0368556900310345,-2.68784478346784, 2.71471077582415)); #262396=CARTESIAN_POINT('Ctrl Pts',(-2.28059688135098,-1.42293223492328, 2.63519295745099)); #262397=CARTESIAN_POINT('Ctrl Pts',(-2.43041751513783,1.1483952807986,2.55567513907783)); #262398=CARTESIAN_POINT('Ctrl Pts',(-0.348839807516299,2.66539455183748, 2.47615732070467)); #262399=CARTESIAN_POINT('Ctrl Pts',(2.0531164873676,1.73510397156426,2.39663950233151)); #262400=CARTESIAN_POINT('Ctrl Pts',(2.56985217493946,-0.788054145059152, 2.31712168395834)); #262401=CARTESIAN_POINT('Ctrl Pts',(0.727469661683522,-2.58803561499903, 2.23760386558518)); #262402=CARTESIAN_POINT('Ctrl Pts',(-1.78349540452403,-2.0111627441935, 2.15808604721202)); #262403=CARTESIAN_POINT('Ctrl Pts',(-2.65569830462232,0.410910815324732, 2.07856822883886)); #262404=CARTESIAN_POINT('Ctrl Pts',(-1.09206781214077,2.45823923395658, 1.99905041046569)); #262405=CARTESIAN_POINT('Ctrl Pts',(1.47914701996882,2.24358575842351,1.91953259209254)); #262406=CARTESIAN_POINT('Ctrl Pts',(2.28037824870123,0.734576399619866, 1.86652071317709)); #262407=CARTESIAN_POINT('Ctrl Pts',(2.27288878153289,-0.0224852569905443, 1.84001477371937)); #262408=CARTESIAN_POINT('Ctrl Pts',(-1.26471106027295,-1.77287929553122, 10.6115)); #262409=CARTESIAN_POINT('Ctrl Pts',(-1.85522782789938,-1.35162496130989, 10.5849940605423)); #262410=CARTESIAN_POINT('Ctrl Pts',(-2.57188119438178,0.12009738814425, 10.5319821816269)); #262411=CARTESIAN_POINT('Ctrl Pts',(-1.28770703465392,2.2324106776676,10.4524643632537)); #262412=CARTESIAN_POINT('Ctrl Pts',(1.17984306860509,2.28844761786155,10.3729465448805)); #262413=CARTESIAN_POINT('Ctrl Pts',(2.56356689923938,0.246708001631909, 10.2934287265074)); #262414=CARTESIAN_POINT('Ctrl Pts',(1.59389322917205,-2.02327201587371, 10.2139109081342)); #262415=CARTESIAN_POINT('Ctrl Pts',(-0.83934625060545,-2.43471023997719, 10.134393089761)); #262416=CARTESIAN_POINT('Ctrl Pts',(-2.50187023686554,-0.611234189838867, 10.0548752713879)); #262417=CARTESIAN_POINT('Ctrl Pts',(-1.86738545107956,1.77368708773857, 9.97535745301471)); #262418=CARTESIAN_POINT('Ctrl Pts',(0.481632570458519,2.5299942169962,9.89583963464155)); #262419=CARTESIAN_POINT('Ctrl Pts',(2.38843524045132,0.9634964519514,9.81632181626838)); #262420=CARTESIAN_POINT('Ctrl Pts',(2.10234036212502,-1.48765660113547, 9.73680399789523)); #262421=CARTESIAN_POINT('Ctrl Pts',(-0.113988261042115,-2.57292228357695, 9.65728617952205)); #262422=CARTESIAN_POINT('Ctrl Pts',(-2.22565862280766,-1.29591026476456, 9.57776836114891)); #262423=CARTESIAN_POINT('Ctrl Pts',(-2.29387678639957,1.17092645454989, 9.49825054277573)); #262424=CARTESIAN_POINT('Ctrl Pts',(-0.256007925464753,2.56269287513841, 9.41873272440258)); #262425=CARTESIAN_POINT('Ctrl Pts',(2.01691029247599,1.60156498779253,9.3392149060294)); #262426=CARTESIAN_POINT('Ctrl Pts',(2.43803449038634,-0.830015123030473, 9.25969708765626)); #262427=CARTESIAN_POINT('Ctrl Pts',(0.620715970420938,-2.49952962839848, 9.18017926928309)); #262428=CARTESIAN_POINT('Ctrl Pts',(-1.76650302303134,-1.8741409130275, 9.10066145090993)); #262429=CARTESIAN_POINT('Ctrl Pts',(-2.53183533995568,0.471960628551573, 9.02114363253677)); #262430=CARTESIAN_POINT('Ctrl Pts',(-0.972603238631194,2.38473895609874, 8.94162581416362)); #262431=CARTESIAN_POINT('Ctrl Pts',(1.47960907450585,2.10800711497865,8.86210799579044)); #262432=CARTESIAN_POINT('Ctrl Pts',(2.57334181709051,-0.104157996588928, 8.78259017741729)); #262433=CARTESIAN_POINT('Ctrl Pts',(1.30440163733258,-2.22069208930125, 8.70307235904413)); #262434=CARTESIAN_POINT('Ctrl Pts',(-1.16215418912704,-2.29833301256777, 8.62355454067096)); #262435=CARTESIAN_POINT('Ctrl Pts',(-2.56169660398533,-0.265795978953338, 8.5440367222978)); #262436=CARTESIAN_POINT('Ctrl Pts',(-1.60925796523341,2.010777410272,8.46451890392464)); #262437=CARTESIAN_POINT('Ctrl Pts',(0.820695316774123,2.44118745503916, 8.38500108555148)); #262438=CARTESIAN_POINT('Ctrl Pts',(2.49714022760738,0.630260007311335, 8.30548326717831)); #262439=CARTESIAN_POINT('Ctrl Pts',(1.88087549673386,-1.75933065648431, 8.22596544880516)); #262440=CARTESIAN_POINT('Ctrl Pts',(-0.462285200348223,-2.53361981891104, 8.14644763043199)); #262441=CARTESIAN_POINT('Ctrl Pts',(-2.38100608251596,-0.981706182207567, 8.06692981205883)); #262442=CARTESIAN_POINT('Ctrl Pts',(-2.11364404393621,1.47154539470911, 7.98741199368567)); #262443=CARTESIAN_POINT('Ctrl Pts',(0.0943267057690797,2.57372093781748, 7.90789417531251)); #262444=CARTESIAN_POINT('Ctrl Pts',(2.21569288862217,1.31287547637926,7.82837635693934)); #262445=CARTESIAN_POINT('Ctrl Pts',(2.30275583428575,-1.15336575327696, 7.74885853856619)); #262446=CARTESIAN_POINT('Ctrl Pts',(0.2755800818889,-2.56066253372805,7.66934072019301)); #262447=CARTESIAN_POINT('Ctrl Pts',(-2.00461514607271,-1.61692767596649, 7.58982290181986)); #262448=CARTESIAN_POINT('Ctrl Pts',(-2.44430481393856,0.811363648265981, 7.5103050834467)); #262449=CARTESIAN_POINT('Ctrl Pts',(-0.639794836083873,2.49471432450522, 7.43078726507354)); #262450=CARTESIAN_POINT('Ctrl Pts',(1.75213260962737,1.88758266347496,7.35126944670037)); #262451=CARTESIAN_POINT('Ctrl Pts',(2.53536732628189,-0.452603042403711, 7.27175162832722)); #262452=CARTESIAN_POINT('Ctrl Pts',(0.990794797715971,-2.37723845290915, 7.19223380995405)); #262453=CARTESIAN_POINT('Ctrl Pts',(-1.46346023907737,-2.11925013195953, 7.1127159915809)); #262454=CARTESIAN_POINT('Ctrl Pts',(-2.57406249928777,0.0844940408035919, 7.03319817320772)); #262455=CARTESIAN_POINT('Ctrl Pts',(-1.32133015571929,2.21066135189398, 6.95368035483457)); #262456=CARTESIAN_POINT('Ctrl Pts',(1.1445604856341,2.30714505133421,6.8741625364614)); #262457=CARTESIAN_POINT('Ctrl Pts',(2.55959109442594,0.285360162787924, 6.79464471808824)); #262458=CARTESIAN_POINT('Ctrl Pts',(1.62457378998534,-1.99842362727815, 6.71512689971509)); #262459=CARTESIAN_POINT('Ctrl Pts',(-0.802020139081454,-2.44738650189925, 6.63560908134191)); #262460=CARTESIAN_POINT('Ctrl Pts',(-2.49225201472367,-0.649320327940444, 6.55609126296877)); #262461=CARTESIAN_POINT('Ctrl Pts',(-1.89426228371733,1.74490899294402, 6.4765734445956)); #262462=CARTESIAN_POINT('Ctrl Pts',(0.442914279380718,2.53707783370782, 6.39705562622243)); #262463=CARTESIAN_POINT('Ctrl Pts',(2.37343613100309,0.999868954023468, 6.31753780784928)); #262464=CARTESIAN_POINT('Ctrl Pts',(2.12482529264195,-1.45535372639094, 6.23801998947611)); #262465=CARTESIAN_POINT('Ctrl Pts',(-0.0746601427714396,-2.57436649610195, 6.15850217110295)); #262466=CARTESIAN_POINT('Ctrl Pts',(-2.20559755381337,-1.32976555218707, 6.07898435272979)); #262467=CARTESIAN_POINT('Ctrl Pts',(-2.31150059899221,1.13573851481297, 5.99946653435663)); #262468=CARTESIAN_POINT('Ctrl Pts',(-0.295136079274931,2.55848230165485, 5.91994871598347)); #262469=CARTESIAN_POINT('Ctrl Pts',(1.99220294442858,1.63219619573782,5.8404308976103)); #262470=CARTESIAN_POINT('Ctrl Pts',(2.45043247385176,-0.792664925591935, 5.76091307923715)); #262471=CARTESIAN_POINT('Ctrl Pts',(0.658836343921385,-2.48975333418779, 5.68139526086397)); #262472=CARTESIAN_POINT('Ctrl Pts',(-1.73765991187905,-1.90091425998634, 5.60187744249084)); #262473=CARTESIAN_POINT('Ctrl Pts',(-2.53875131621243,0.433219052674924, 5.52235962411764)); #262474=CARTESIAN_POINT('Ctrl Pts',(-1.00892851871344,2.36959917228582, 5.44284180574451)); #262475=CARTESIAN_POINT('Ctrl Pts',(1.44722597495632,2.13036944462283,5.36332398737133)); #262476=CARTESIAN_POINT('Ctrl Pts',(2.57463292382161,-0.0648251551842371, 5.28380616899818)); #262477=CARTESIAN_POINT('Ctrl Pts',(1.33818154268148,-2.20050156827882, 5.20428835062501)); #262478=CARTESIAN_POINT('Ctrl Pts',(-1.12689996955782,-2.31582241369701, 5.12477053225185)); #262479=CARTESIAN_POINT('Ctrl Pts',(-2.55733617159571,-0.304907688684732, 5.04525271387869)); #262480=CARTESIAN_POINT('Ctrl Pts',(-1.63979478198625,1.98595318830576, 4.96573489550552)); #262481=CARTESIAN_POINT('Ctrl Pts',(0.783298144323162,2.45344268534453, 4.88621707713237)); #262482=CARTESIAN_POINT('Ctrl Pts',(2.48721831936198,0.668342745154525, 4.8066992587592)); #262483=CARTESIAN_POINT('Ctrl Pts',(1.90753849520639,-1.73038547222235, 4.72718144038604)); #262484=CARTESIAN_POINT('Ctrl Pts',(-0.423517503774319,-2.5403877493733, 4.64766362201288)); #262485=CARTESIAN_POINT('Ctrl Pts',(-2.36572763275132,-1.01797335957565, 4.56814580363972)); #262486=CARTESIAN_POINT('Ctrl Pts',(-2.1358825069949,1.43907710338762,4.48862798526655)); #262487=CARTESIAN_POINT('Ctrl Pts',(0.0549892215719526,2.5748617785558, 4.4091101668934)); #262488=CARTESIAN_POINT('Ctrl Pts',(2.19537346965355,1.34657800438884,4.32959234852023)); #262489=CARTESIAN_POINT('Ctrl Pts',(2.32011043238802,-1.11804497886448, 4.25007453014708)); #262490=CARTESIAN_POINT('Ctrl Pts',(0.314674848396187,-2.55615272095511, 4.17055671177391)); #262491=CARTESIAN_POINT('Ctrl Pts',(-1.97967445007967,-1.64736943787751, 4.09103889340075)); #262492=CARTESIAN_POINT('Ctrl Pts',(-2.45641709251643,0.773919932039927, 4.01152107502759)); #262493=CARTESIAN_POINT('Ctrl Pts',(-0.677839392777702,2.48464700710706, 3.93200325665442)); #262494=CARTESIAN_POINT('Ctrl Pts',(1.7230857798859,1.9141348929615,3.85248543828127)); #262495=CARTESIAN_POINT('Ctrl Pts',(2.5419871097806,-0.413809774743931, 3.7729676199081)); #262496=CARTESIAN_POINT('Ctrl Pts',(1.02700334371673,-2.36182156797581, 3.69344980153494)); #262497=CARTESIAN_POINT('Ctrl Pts',(-1.43090722889836,-2.14136440105988, 3.61393198316178)); #262498=CARTESIAN_POINT('Ctrl Pts',(-2.57505306021335,0.0451524888184222, 3.53441416478862)); #262499=CARTESIAN_POINT('Ctrl Pts',(-1.35495480859353,2.19021332641368, 3.45489634641545)); #262500=CARTESIAN_POINT('Ctrl Pts',(1.10917366019582,2.32436460459178,3.3753785280423)); #262501=CARTESIAN_POINT('Ctrl Pts',(2.55493198938632,0.324437392840131, 3.29586070966913)); #262502=CARTESIAN_POINT('Ctrl Pts',(1.65492001028018,-1.97336677755345, 3.21634289129597)); #262503=CARTESIAN_POINT('Ctrl Pts',(-0.764530344560629,-2.45935573535401, 3.13682507292281)); #262504=CARTESIAN_POINT('Ctrl Pts',(-2.48203958915928,-0.687325989516259, 3.05730725454965)); #262505=CARTESIAN_POINT('Ctrl Pts',(-1.92070306354634,1.71576063944528, 2.97778943617649)); #262506=CARTESIAN_POINT('Ctrl Pts',(0.404095448882561,2.54354994866231, 2.89827161780332)); #262507=CARTESIAN_POINT('Ctrl Pts',(2.35788209745496,1.03601724604449,2.81875379943016)); #262508=CARTESIAN_POINT('Ctrl Pts',(2.14681302506205,-1.42271439031388, 2.739235981057)); #262509=CARTESIAN_POINT('Ctrl Pts',(-0.0353112533942414,-2.57521072467975, 2.65971816268384)); #262510=CARTESIAN_POINT('Ctrl Pts',(-2.18502853425522,-1.36330430030979, 2.58020034431068)); #262511=CARTESIAN_POINT('Ctrl Pts',(-2.32857093866757,1.10027180939691, 2.50068252593752)); #262512=CARTESIAN_POINT('Ctrl Pts',(-0.334221685357937,2.55370126936387, 2.42116470756436)); #262513=CARTESIAN_POINT('Ctrl Pts',(1.96708069967655,1.66239448925387,2.34164688919119)); #262514=CARTESIAN_POINT('Ctrl Pts',(2.46216259303757,-0.755030758646105, 2.26212907081803)); #262515=CARTESIAN_POINT('Ctrl Pts',(0.696985066313796,-2.47958405656144, 2.18261125244487)); #262516=CARTESIAN_POINT('Ctrl Pts',(-1.70875808059931,-1.92688502691042, 2.10309343407171)); #262517=CARTESIAN_POINT('Ctrl Pts',(-2.54441134311098,0.393691609359202, 2.02357561569855)); #262518=CARTESIAN_POINT('Ctrl Pts',(-1.04630474170239,2.35522678915484, 1.94405779732538)); #262519=CARTESIAN_POINT('Ctrl Pts',(1.41716340639557,2.14956836137562,1.86453997895223)); #262520=CARTESIAN_POINT('Ctrl Pts',(2.1848190634004,0.703793996600149,1.81152810003678)); #262521=CARTESIAN_POINT('Ctrl Pts',(2.17764344213957,-0.0215430129393678, 1.78502216057906)); #262522=CARTESIAN_POINT('',(2.46337946031952,-0.0243697450928974,1.95)); #262523=CARTESIAN_POINT('Ctrl Pts',(-1.03064071902633,1.92249599483411, 1.95)); #262524=CARTESIAN_POINT('Ctrl Pts',(-0.984664424478871,1.95139729679244, 1.95)); #262525=CARTESIAN_POINT('Ctrl Pts',(-0.937625915181171,1.97841549214168, 1.95)); #262526=CARTESIAN_POINT('Ctrl Pts',(-0.88959566485038,2.00345176794923, 1.95)); #262527=CARTESIAN_POINT('Ctrl Pts',(-0.821154486361736,2.03912745586327, 1.95)); #262528=CARTESIAN_POINT('Ctrl Pts',(-0.75070074652249,2.07078118943688, 1.95)); #262529=CARTESIAN_POINT('Ctrl Pts',(-0.678592752427171,2.09849704984757, 1.95)); #262530=CARTESIAN_POINT('Ctrl Pts',(-0.638503008060826,2.11390618405935, 1.95)); #262531=CARTESIAN_POINT('Ctrl Pts',(-0.59790136741804,2.12809734280249, 1.95)); #262532=CARTESIAN_POINT('Ctrl Pts',(-0.556846062582317,2.14107909551581, 1.95)); #262533=CARTESIAN_POINT('Ctrl Pts',(-0.542677652389588,2.14555916936252, 1.95)); #262534=CARTESIAN_POINT('Ctrl Pts',(-0.528455195336551,2.1498951686674, 1.95)); #262535=CARTESIAN_POINT('Ctrl Pts',(-0.514181037873483,2.15408732847123, 1.95)); #262536=CARTESIAN_POINT('Ctrl Pts',(-0.499154371814854,2.15850049160184, 1.95)); #262537=CARTESIAN_POINT('Ctrl Pts',(-0.484078440690816,2.16275186382506, 1.95)); #262538=CARTESIAN_POINT('Ctrl Pts',(-0.468959861990119,2.16684123435167, 1.95)); #262539=CARTESIAN_POINT('Ctrl Pts',(-0.391531333203563,2.18778460143705, 1.95)); #262540=CARTESIAN_POINT('Ctrl Pts',(-0.312955815294317,2.20449169310595, 1.95)); #262541=CARTESIAN_POINT('Ctrl Pts',(-0.233619173421799,2.21704842598822, 1.95)); #262542=CARTESIAN_POINT('Ctrl Pts',(-0.169065622129845,2.22726541628481, 1.95)); #262543=CARTESIAN_POINT('Ctrl Pts',(-0.10400733181947,2.23473376500506, 1.95)); #262544=CARTESIAN_POINT('Ctrl Pts',(-0.0386425881900294,2.23947617648927, 1.95)); #262545=CARTESIAN_POINT('Ctrl Pts',(-0.0135273063912774,2.2412983667744, 1.95)); #262546=CARTESIAN_POINT('Ctrl Pts',(0.0116332781491691,2.24271789073489, 1.95)); #262547=CARTESIAN_POINT('Ctrl Pts',(0.036828077604586,2.24373519893654, 1.95)); #262548=CARTESIAN_POINT('Ctrl Pts',(0.0554152417935122,2.24448570598496, 1.95)); #262549=CARTESIAN_POINT('Ctrl Pts',(0.0740251100465966,2.24501724117282, 1.95)); #262550=CARTESIAN_POINT('Ctrl Pts',(0.0926254636970005,2.24532972112622, 1.95)); #262551=CARTESIAN_POINT('Ctrl Pts',(0.174834849892259,2.24671081234899, 1.95)); #262552=CARTESIAN_POINT('Ctrl Pts',(0.256956042655951,2.24382259547096, 1.94999999999999)); #262553=CARTESIAN_POINT('Ctrl Pts',(0.338600257205931,2.23674880782592, 1.95)); #262554=CARTESIAN_POINT('Ctrl Pts',(0.421353696593676,2.2295789151354,1.95)); #262555=CARTESIAN_POINT('Ctrl Pts',(0.503618130327863,2.2181090766955,1.95)); #262556=CARTESIAN_POINT('Ctrl Pts',(0.585000317735404,2.20237464014251, 1.95)); #262557=CARTESIAN_POINT('Ctrl Pts',(0.64603695829106,2.19057381272776,1.95)); #262558=CARTESIAN_POINT('Ctrl Pts',(0.706577678076864,2.17637308396665, 1.95)); #262559=CARTESIAN_POINT('Ctrl Pts',(0.766455418886459,2.15976798644718, 1.95)); #262560=CARTESIAN_POINT('Ctrl Pts',(0.811363724493656,2.14731416330757, 1.95)); #262561=CARTESIAN_POINT('Ctrl Pts',(0.855899101193223,2.13350754344453, 1.95)); #262562=CARTESIAN_POINT('Ctrl Pts',(0.899989234657451,2.11834005787208, 1.95)); #262563=CARTESIAN_POINT('Ctrl Pts',(0.912802096979905,2.11393229384081, 1.95)); #262564=CARTESIAN_POINT('Ctrl Pts',(0.925579312875498,2.10940884808573, 1.95)); #262565=CARTESIAN_POINT('Ctrl Pts',(0.9383127897308,2.10477173242794,1.95)); #262566=CARTESIAN_POINT('Ctrl Pts',(1.01721325240504,2.07603876538125,1.95)); #262567=CARTESIAN_POINT('Ctrl Pts',(1.09417553213283,2.04304160995547,1.95)); #262568=CARTESIAN_POINT('Ctrl Pts',(1.16880642063888,2.00582898366308,1.95)); #262569=CARTESIAN_POINT('Ctrl Pts',(1.20646873415718,1.98704971376131,1.95)); #262570=CARTESIAN_POINT('Ctrl Pts',(1.24353732141248,1.96719607201233,1.95)); #262571=CARTESIAN_POINT('Ctrl Pts',(1.27996097995178,1.94626930648414,1.95)); #262572=CARTESIAN_POINT('Ctrl Pts',(1.35012225036103,1.905959010542,1.95)); #262573=CARTESIAN_POINT('Ctrl Pts',(1.41789214270977,1.8616699151614,1.95)); #262574=CARTESIAN_POINT('Ctrl Pts',(1.48314583081586,1.81373284801914,1.95)); #262575=CARTESIAN_POINT('Ctrl Pts',(1.54969488649616,1.76484416995372,1.95)); #262576=CARTESIAN_POINT('Ctrl Pts',(1.61362745766265,1.71216068915051,1.95)); #262577=CARTESIAN_POINT('Ctrl Pts',(1.67478219256551,1.65598803249216,1.95)); #262578=CARTESIAN_POINT('Ctrl Pts',(1.68847998114229,1.64340615839975,1.95)); #262579=CARTESIAN_POINT('Ctrl Pts',(1.70204231318611,1.63064501182617,1.95)); #262580=CARTESIAN_POINT('Ctrl Pts',(1.7154438408357,1.61773072769292,1.95)); #262581=CARTESIAN_POINT('Ctrl Pts',(1.77555303337325,1.55980694379696,1.95)); #262582=CARTESIAN_POINT('Ctrl Pts',(1.83250684345846,1.49873872974718,1.95)); #262583=CARTESIAN_POINT('Ctrl Pts',(1.88618799516213,1.43489729205056,1.95)); #262584=CARTESIAN_POINT('Ctrl Pts',(1.94082875103435,1.36991462493176,1.95)); #262585=CARTESIAN_POINT('Ctrl Pts',(1.99207940629522,1.30205805936678,1.95)); #262586=CARTESIAN_POINT('Ctrl Pts',(2.03978654142767,1.23167282930528,1.95)); #262587=CARTESIAN_POINT('Ctrl Pts',(2.08767916068008,1.16101394327136,1.95)); #262588=CARTESIAN_POINT('Ctrl Pts',(2.1320012386617,1.08780591175705,1.95)); #262589=CARTESIAN_POINT('Ctrl Pts',(2.17255891236738,1.01236273891213,1.95)); #262590=CARTESIAN_POINT('Ctrl Pts',(2.18911622088612,0.981563736546009, 1.95)); #262591=CARTESIAN_POINT('Ctrl Pts',(2.20504565488257,0.950391882874529, 1.95)); #262592=CARTESIAN_POINT('Ctrl Pts',(2.22033182944108,0.918867326970324, 1.95)); #262593=CARTESIAN_POINT('Ctrl Pts',(2.22605598358017,0.907062449532141, 1.95)); #262594=CARTESIAN_POINT('Ctrl Pts',(2.23169104526501,0.895205687421504, 1.95)); #262595=CARTESIAN_POINT('Ctrl Pts',(2.23723240216816,0.883306174874538, 1.95)); #262596=CARTESIAN_POINT('Ctrl Pts',(2.27406966306679,0.804201822651743, 1.95)); #262597=CARTESIAN_POINT('Ctrl Pts',(2.30669124626868,0.723383076446438, 1.95)); #262598=CARTESIAN_POINT('Ctrl Pts',(2.33494745526417,0.641210639134626, 1.95)); #262599=CARTESIAN_POINT('Ctrl Pts',(2.36315695970904,0.559174024245344, 1.95)); #262600=CARTESIAN_POINT('Ctrl Pts',(2.38701604788308,0.475787340564306, 1.95)); #262601=CARTESIAN_POINT('Ctrl Pts',(2.40633411948669,0.391378832824201, 1.95)); #262602=CARTESIAN_POINT('Ctrl Pts',(2.42517152599281,0.309070546288116, 1.95)); #262603=CARTESIAN_POINT('Ctrl Pts',(2.43969141022842,0.225789813120662, 1.95)); #262604=CARTESIAN_POINT('Ctrl Pts',(2.44967130931235,0.141824346200623, 1.95)); #262605=CARTESIAN_POINT('Ctrl Pts',(2.45622380968603,0.0866951561413167, 1.95)); #262606=CARTESIAN_POINT('Ctrl Pts',(2.46081825933047,0.0312704751415344, 1.95)); #262607=CARTESIAN_POINT('Ctrl Pts',(2.46337946031952,-0.0243697450928626, 1.95)); #262608=CARTESIAN_POINT('Ctrl Pts',(2.46337946031952,-0.024369745092897, 1.95)); #262609=CARTESIAN_POINT('Ctrl Pts',(2.46345981945116,-0.0162467617142701, 1.95026240637672)); #262610=CARTESIAN_POINT('Ctrl Pts',(2.46349682874277,-0.00812404994351619, 1.95052481275345)); #262611=CARTESIAN_POINT('Ctrl Pts',(2.46349067735139,-1.96618547970706E-6, 1.95078721913017)); #262612=CARTESIAN_POINT('Origin',(0.,0.,3.95)); #262613=CARTESIAN_POINT('Origin',(-2.00629218543395,-3.475,1.95)); #262614=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.65)); #262615=CARTESIAN_POINT('',(-1.18667694316329,-3.475,0.300000000000002)); #262616=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.65)); #262617=CARTESIAN_POINT('',(1.70629218543395,-3.475,0.300000000000002)); #262618=CARTESIAN_POINT('',(-1.18667694316329,-3.475,0.300000000000002)); #262619=CARTESIAN_POINT('',(1.70629218543395,-3.475,1.65)); #262620=CARTESIAN_POINT('',(1.70629218543395,-3.475,0.300000000000002)); #262621=CARTESIAN_POINT('',(1.70629218543395,-3.475,1.65)); #262622=CARTESIAN_POINT('Origin',(2.00629218543395,-3.475,1.95)); #262623=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.65)); #262624=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,0.300000000000002)); #262625=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.65)); #262626=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135328,0.300000000000002)); #262627=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,0.300000000000002)); #262628=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135328,1.65)); #262629=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135328,0.300000000000002)); #262630=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135327,1.65)); #262631=CARTESIAN_POINT('Origin',(4.0125843708679,1.89106604490596E-15, 1.95)); #262632=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,1.65)); #262633=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,0.300000000000002)); #262634=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,1.65)); #262635=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,0.300000000000002)); #262636=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,0.300000000000002)); #262637=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,1.65)); #262638=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,0.300000000000002)); #262639=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,1.65)); #262640=CARTESIAN_POINT('Origin',(2.00629218543395,3.475,1.95)); #262641=CARTESIAN_POINT('',(1.18667694316329,3.475,1.65)); #262642=CARTESIAN_POINT('',(1.18667694316329,3.475,0.300000000000002)); #262643=CARTESIAN_POINT('',(1.18667694316329,3.475,1.65)); #262644=CARTESIAN_POINT('',(-1.70629218543395,3.475,0.300000000000002)); #262645=CARTESIAN_POINT('',(1.18667694316329,3.475,0.300000000000002)); #262646=CARTESIAN_POINT('',(-1.70629218543395,3.475,1.65)); #262647=CARTESIAN_POINT('',(-1.70629218543395,3.475,0.300000000000002)); #262648=CARTESIAN_POINT('',(-1.70629218543395,3.475,1.65)); #262649=CARTESIAN_POINT('Origin',(-2.00629218543395,3.475,1.95)); #262650=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135332,1.65)); #262651=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.65)); #262652=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135331,1.65)); #262653=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,0.300000000000002)); #262654=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.65)); #262655=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135332,0.300000000000002)); #262656=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,0.300000000000002)); #262657=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135331,0.300000000000002)); #262658=CARTESIAN_POINT('Origin',(-4.0125843708679,-1.62091375277654E-15, 1.95)); #262659=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,0.300000000000002)); #262660=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,0.300000000000002)); #262661=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,0.300000000000002)); #262662=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,1.65)); #262663=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,0.300000000000002)); #262664=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,1.65)); #262665=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,1.65)); #262666=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,1.65)); #262667=CARTESIAN_POINT('Origin',(0.,0.,1.95)); #262668=CARTESIAN_POINT('',(-3.66617420935412,-4.07810784004235E-16,1.95)); #262669=CARTESIAN_POINT('',(-1.83308710467706,-3.175,1.95)); #262670=CARTESIAN_POINT('',(-3.66617420935413,8.67361737988404E-16,1.95)); #262671=CARTESIAN_POINT('',(1.83308710467706,-3.175,1.95)); #262672=CARTESIAN_POINT('',(-1.83308710467706,-3.175,1.95)); #262673=CARTESIAN_POINT('',(3.66617420935412,1.34203690678103E-15,1.95)); #262674=CARTESIAN_POINT('',(1.83308710467706,-3.175,1.95)); #262675=CARTESIAN_POINT('',(1.83308710467706,3.175,1.95)); #262676=CARTESIAN_POINT('',(3.66617420935412,0.,1.95)); #262677=CARTESIAN_POINT('',(-1.83308710467706,3.175,1.95)); #262678=CARTESIAN_POINT('',(1.83308710467706,3.175,1.95)); #262679=CARTESIAN_POINT('',(-1.83308710467706,3.175,1.95)); #262680=CARTESIAN_POINT('Origin',(0.,0.,1.95)); #262681=CARTESIAN_POINT('Origin',(0.,0.,0.)); #262682=CARTESIAN_POINT('',(3.66617420935412,2.41268655211046E-15,0.)); #262683=CARTESIAN_POINT('',(1.83308710467706,-3.175,0.)); #262684=CARTESIAN_POINT('',(3.66617420935412,2.81892564846231E-15,0.)); #262685=CARTESIAN_POINT('',(-1.83308710467706,-3.175,0.)); #262686=CARTESIAN_POINT('',(1.83308710467706,-3.175,0.)); #262687=CARTESIAN_POINT('',(-3.66617420935412,-3.87481993270131E-16,0.)); #262688=CARTESIAN_POINT('',(-1.83308710467706,-3.175,0.)); #262689=CARTESIAN_POINT('',(-1.83308710467706,3.175,0.)); #262690=CARTESIAN_POINT('',(-3.66617420935412,-2.16840434497101E-16,0.)); #262691=CARTESIAN_POINT('',(1.83308710467706,3.175,0.)); #262692=CARTESIAN_POINT('',(-1.83308710467706,3.175,0.)); #262693=CARTESIAN_POINT('',(1.83308710467706,3.175,0.)); #262694=CARTESIAN_POINT('',(2.,-2.44929359829471E-16,0.)); #262695=CARTESIAN_POINT('Origin',(0.,0.,0.)); #262696=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #262697=CARTESIAN_POINT('',(2.,-2.44929359829471E-16,0.1)); #262698=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #262699=CARTESIAN_POINT('',(2.,-2.44929359829471E-16,0.1)); #262700=CARTESIAN_POINT('Origin',(0.,0.,0.1)); #262701=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #262702=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #262703=CARTESIAN_POINT('',(2.125,0.,10.95)); #262704=CARTESIAN_POINT('',(2.125,-2.60237444818813E-16,10.95)); #262705=CARTESIAN_POINT('Origin',(0.,0.,10.95)); #262706=CARTESIAN_POINT('Origin',(0.,0.,11.45)); #262707=CARTESIAN_POINT('',(2.125,-2.60237444818813E-16,11.45)); #262708=CARTESIAN_POINT('Origin',(-2.41609980656928,2.76519237886467,1.95)); #262709=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.65)); #262710=CARTESIAN_POINT('',(-1.70629218543395,3.475,0.300000000000001)); #262711=CARTESIAN_POINT('Origin',(-1.70629218543395,3.475,1.65)); #262712=CARTESIAN_POINT('',(-1.83308710467706,3.175,1.95)); #262713=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.65)); #262714=CARTESIAN_POINT('Origin',(-2.41609980656928,2.76519237886467,0.300000000000002)); #262715=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,0.300000000000002)); #262716=CARTESIAN_POINT('',(-1.83308710467706,3.175,-1.89735380184963E-16)); #262717=CARTESIAN_POINT('Origin',(-2.74963065701559,1.5875,1.95)); #262718=CARTESIAN_POINT('',(-3.66617420935412,-2.71050543121376E-16,1.95)); #262719=CARTESIAN_POINT('Origin',(-1.37261837438575E-15,3.175,1.95)); #262720=CARTESIAN_POINT('',(1.18667694316329,3.475,1.65)); #262721=CARTESIAN_POINT('Origin',(1.18667694316329,3.475,1.95)); #262722=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,0.300000000000002)); #262723=CARTESIAN_POINT('',(1.18667694316329,3.475,1.65)); #262724=CARTESIAN_POINT('Origin',(2.00629218543395,3.475,0.300000000000002)); #262725=CARTESIAN_POINT('',(1.18667694316329,3.475,0.300000000000002)); #262726=CARTESIAN_POINT('Origin',(-2.00629218543395,3.475,0.300000000000002)); #262727=CARTESIAN_POINT('',(-3.66617420935412,-8.13151629364128E-16,2.71050543121376E-16)); #262728=CARTESIAN_POINT('Origin',(-3.60277674973257,-0.709807621135329, 1.95)); #262729=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,1.65)); #262730=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135332,0.300000000000002)); #262731=CARTESIAN_POINT('Origin',(-3.8625843708679,0.259807621135332,1.65)); #262732=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,1.65)); #262733=CARTESIAN_POINT('Origin',(2.15629218543395,3.21519237886467,1.65)); #262734=CARTESIAN_POINT('',(1.83308710467706,3.175,1.95)); #262735=CARTESIAN_POINT('Origin',(1.18667694316329,3.475,0.300000000000002)); #262736=CARTESIAN_POINT('',(1.83308710467706,3.175,4.06575814682064E-16)); #262737=CARTESIAN_POINT('Origin',(-3.8625843708679,0.259807621135332,0.300000000000002)); #262738=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135329,0.300000000000001)); #262739=CARTESIAN_POINT('Origin',(-2.74963065701559,-1.5875,1.95)); #262740=CARTESIAN_POINT('',(-1.83308710467706,-3.175,1.95)); #262741=CARTESIAN_POINT('Origin',(2.74963065701559,1.5875,1.95)); #262742=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,1.65)); #262743=CARTESIAN_POINT('Origin',(3.60277674973257,0.709807621135322,1.95)); #262744=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135328,0.300000000000002)); #262745=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,1.65)); #262746=CARTESIAN_POINT('Origin',(4.0125843708679,1.89106604490596E-15, 0.300000000000002)); #262747=CARTESIAN_POINT('',(3.60277674973257,0.709807621135323,0.300000000000002)); #262748=CARTESIAN_POINT('Origin',(-4.0125843708679,-1.62091375277654E-15, 0.300000000000002)); #262749=CARTESIAN_POINT('',(-1.83308710467706,-3.175,1.89735380184963E-16)); #262750=CARTESIAN_POINT('Origin',(-1.18667694316329,-3.475,1.95)); #262751=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.65)); #262752=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,0.300000000000002)); #262753=CARTESIAN_POINT('Origin',(-2.15629218543395,-3.21519237886467,1.65)); #262754=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.65)); #262755=CARTESIAN_POINT('Origin',(3.8625843708679,-0.259807621135328,1.65)); #262756=CARTESIAN_POINT('',(3.66617420935412,1.30104260698261E-15,1.95)); #262757=CARTESIAN_POINT('Origin',(3.60277674973257,0.709807621135323,0.300000000000002)); #262758=CARTESIAN_POINT('',(3.66617420935412,2.27682456221956E-15,-5.42101086242752E-17)); #262759=CARTESIAN_POINT('Origin',(-1.18667694316329,-3.475,0.300000000000002)); #262760=CARTESIAN_POINT('',(-1.18667694316329,-3.475,0.300000000000001)); #262761=CARTESIAN_POINT('Origin',(1.02946378078931E-15,-3.175,1.95)); #262762=CARTESIAN_POINT('',(1.83308710467706,-3.175,1.95)); #262763=CARTESIAN_POINT('Origin',(2.74963065701559,-1.5875,1.95)); #262764=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.65)); #262765=CARTESIAN_POINT('Origin',(2.00629218543395,-3.475,0.300000000000002)); #262766=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,0.300000000000002)); #262767=CARTESIAN_POINT('Origin',(-2.00629218543395,-3.475,0.300000000000002)); #262768=CARTESIAN_POINT('',(1.83308710467706,-3.175,-5.42101086242752E-17)); #262769=CARTESIAN_POINT('Origin',(1.70629218543395,-3.475,1.65)); #262770=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.65)); #262771=CARTESIAN_POINT('Origin',(2.41609980656928,-2.76519237886467,0.300000000000002)); #262772=CARTESIAN_POINT('',(1.70629218543395,-3.475,0.300000000000002)); #262773=CARTESIAN_POINT('Origin',(2.41609980656928,-2.76519237886467,1.95)); #262774=CARTESIAN_POINT('Origin',(-0.6,0.6,9.75)); #262775=CARTESIAN_POINT('',(-0.85,0.6,9.75)); #262776=CARTESIAN_POINT('Origin',(-0.6,0.6,9.75)); #262777=CARTESIAN_POINT('',(-0.6,0.6,10.)); #262778=CARTESIAN_POINT('Origin',(-0.6,0.6,9.75)); #262779=CARTESIAN_POINT('Origin',(-0.6,0.6,-3.5)); #262780=CARTESIAN_POINT('',(-0.85,0.6,-3.25)); #262781=CARTESIAN_POINT('',(-0.85,0.6,-3.5)); #262782=CARTESIAN_POINT('Origin',(-0.6,0.6,-3.25)); #262783=CARTESIAN_POINT('Origin',(-0.6,0.6,-3.25)); #262784=CARTESIAN_POINT('',(-0.6,0.6,-3.5)); #262785=CARTESIAN_POINT('Origin',(-0.6,0.6,-3.25)); #262786=CARTESIAN_POINT('Origin',(0.6,0.6,9.75)); #262787=CARTESIAN_POINT('',(0.85,0.6,9.75)); #262788=CARTESIAN_POINT('',(0.35,0.6,9.75)); #262789=CARTESIAN_POINT('Origin',(0.6,0.6,9.75)); #262790=CARTESIAN_POINT('',(0.6,0.6,10.)); #262791=CARTESIAN_POINT('Origin',(0.6,0.6,9.75)); #262792=CARTESIAN_POINT('Origin',(0.6,0.6,9.75)); #262793=CARTESIAN_POINT('Origin',(0.6,0.6,0.)); #262794=CARTESIAN_POINT('',(0.85,0.6,-3.25)); #262795=CARTESIAN_POINT('',(0.85,0.6,0.)); #262796=CARTESIAN_POINT('',(0.35,0.6,-3.25)); #262797=CARTESIAN_POINT('Origin',(0.6,0.6,-3.25)); #262798=CARTESIAN_POINT('Origin',(0.6,0.6,-3.25)); #262799=CARTESIAN_POINT('Origin',(0.6,0.6,-3.25)); #262800=CARTESIAN_POINT('',(0.6,0.6,-3.5)); #262801=CARTESIAN_POINT('Origin',(0.6,0.6,-3.25)); #262802=CARTESIAN_POINT('Origin',(0.6,-0.6,9.75)); #262803=CARTESIAN_POINT('',(0.85,-0.6,9.75)); #262804=CARTESIAN_POINT('',(0.35,-0.6,9.75)); #262805=CARTESIAN_POINT('Origin',(0.6,-0.6,9.75)); #262806=CARTESIAN_POINT('',(0.6,-0.6,10.)); #262807=CARTESIAN_POINT('Origin',(0.6,-0.6,9.75)); #262808=CARTESIAN_POINT('Origin',(0.6,-0.6,9.75)); #262809=CARTESIAN_POINT('Origin',(0.6,-0.6,0.)); #262810=CARTESIAN_POINT('',(0.85,-0.6,-3.25)); #262811=CARTESIAN_POINT('',(0.85,-0.6,0.)); #262812=CARTESIAN_POINT('',(0.35,-0.6,-3.25)); #262813=CARTESIAN_POINT('Origin',(0.6,-0.6,-3.25)); #262814=CARTESIAN_POINT('Origin',(0.6,-0.6,-3.25)); #262815=CARTESIAN_POINT('Origin',(0.6,-0.6,-3.25)); #262816=CARTESIAN_POINT('',(0.6,-0.6,-3.5)); #262817=CARTESIAN_POINT('Origin',(0.6,-0.6,-3.25)); #262818=CARTESIAN_POINT('Origin',(-0.6,-0.6,9.75)); #262819=CARTESIAN_POINT('',(-0.35,-0.6,9.75)); #262820=CARTESIAN_POINT('',(-0.85,-0.6,9.75)); #262821=CARTESIAN_POINT('Origin',(-0.6,-0.6,9.75)); #262822=CARTESIAN_POINT('',(-0.6,-0.6,10.)); #262823=CARTESIAN_POINT('Origin',(-0.6,-0.6,9.75)); #262824=CARTESIAN_POINT('Origin',(-0.6,-0.6,9.75)); #262825=CARTESIAN_POINT('Origin',(-0.6,-0.6,0.)); #262826=CARTESIAN_POINT('',(-0.35,-0.6,-3.25)); #262827=CARTESIAN_POINT('',(-0.35,-0.6,0.)); #262828=CARTESIAN_POINT('',(-0.85,-0.6,-3.25)); #262829=CARTESIAN_POINT('Origin',(-0.6,-0.6,-3.25)); #262830=CARTESIAN_POINT('Origin',(-0.6,-0.6,-3.25)); #262831=CARTESIAN_POINT('Origin',(-0.6,-0.6,-3.25)); #262832=CARTESIAN_POINT('',(-0.6,-0.6,-3.5)); #262833=CARTESIAN_POINT('Origin',(-0.6,-0.6,-3.25)); #262834=CARTESIAN_POINT('',(0.,0.,0.)); #262835=CARTESIAN_POINT('Origin',(0.,0.,0.)); #262836=CARTESIAN_POINT('',(-2.97318084928444E-16,0.0500000000000001,-2.42778640450004)); #262837=CARTESIAN_POINT('Origin',(0.,0.0500000000000001,0.)); #262838=CARTESIAN_POINT('',(-2.97318084928444E-16,-0.0500000000000001,-2.42778640450004)); #262839=CARTESIAN_POINT('Origin',(-3.52085954754864E-16,0.,-2.875)); #262840=CARTESIAN_POINT('Origin',(0.,-0.0500000000000001,0.)); #262841=CARTESIAN_POINT('Origin',(0.,2.08315335247919,0.)); #262842=CARTESIAN_POINT('',(-2.97318084928444E-16,2.08315335247919,-2.42778640450004)); #262843=CARTESIAN_POINT('',(0.,0.,0.)); #262844=CARTESIAN_POINT('Origin',(-4.0125843708679,-1.95570939844522E-15, 2.)); #262845=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,1.7)); #262846=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,0.299999999999998)); #262847=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,1.7)); #262848=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,0.299999999999998)); #262849=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,0.299999999999998)); #262850=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,1.7)); #262851=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,0.299999999999998)); #262852=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,1.7)); #262853=CARTESIAN_POINT('Origin',(-2.00629218543395,-3.475,2.)); #262854=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.7)); #262855=CARTESIAN_POINT('',(-1.18667694316329,-3.475,0.299999999999998)); #262856=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.7)); #262857=CARTESIAN_POINT('',(1.70629218543395,-3.475,0.299999999999998)); #262858=CARTESIAN_POINT('',(-1.18667694316329,-3.475,0.299999999999998)); #262859=CARTESIAN_POINT('',(1.70629218543395,-3.475,1.7)); #262860=CARTESIAN_POINT('',(1.70629218543395,-3.475,0.299999999999998)); #262861=CARTESIAN_POINT('',(1.70629218543395,-3.475,1.7)); #262862=CARTESIAN_POINT('Origin',(2.00629218543395,-3.475,2.)); #262863=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.7)); #262864=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,0.299999999999998)); #262865=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.7)); #262866=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135329,0.299999999999998)); #262867=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,0.299999999999998)); #262868=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135329,1.7)); #262869=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135329,0.299999999999998)); #262870=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135329,1.7)); #262871=CARTESIAN_POINT('Origin',(4.0125843708679,2.82291998796986E-15, 2.)); #262872=CARTESIAN_POINT('',(3.60277674973257,0.70980762113533,1.7)); #262873=CARTESIAN_POINT('',(3.60277674973257,0.70980762113533,0.299999999999998)); #262874=CARTESIAN_POINT('',(3.60277674973257,0.70980762113533,1.7)); #262875=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,0.299999999999998)); #262876=CARTESIAN_POINT('',(3.60277674973257,0.70980762113533,0.299999999999998)); #262877=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,1.7)); #262878=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,0.299999999999998)); #262879=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,1.7)); #262880=CARTESIAN_POINT('Origin',(2.00629218543395,3.475,2.)); #262881=CARTESIAN_POINT('',(1.18667694316329,3.475,1.7)); #262882=CARTESIAN_POINT('',(1.18667694316329,3.475,0.299999999999998)); #262883=CARTESIAN_POINT('',(1.18667694316329,3.475,1.7)); #262884=CARTESIAN_POINT('',(-1.70629218543395,3.475,0.299999999999998)); #262885=CARTESIAN_POINT('',(1.18667694316329,3.475,0.299999999999998)); #262886=CARTESIAN_POINT('',(-1.70629218543395,3.475,1.7)); #262887=CARTESIAN_POINT('',(-1.70629218543395,3.475,0.299999999999998)); #262888=CARTESIAN_POINT('',(-1.70629218543395,3.475,1.7)); #262889=CARTESIAN_POINT('Origin',(-2.00629218543395,3.475,2.)); #262890=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.7)); #262891=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,0.299999999999998)); #262892=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.7)); #262893=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135329,0.299999999999998)); #262894=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,0.299999999999998)); #262895=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135329,1.7)); #262896=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135329,0.299999999999998)); #262897=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135329,1.7)); #262898=CARTESIAN_POINT('Origin',(0.,0.,2.)); #262899=CARTESIAN_POINT('',(1.83308710467706,3.175,2.)); #262900=CARTESIAN_POINT('',(-1.83308710467706,3.175,2.)); #262901=CARTESIAN_POINT('',(1.83308710467706,3.175,2.)); #262902=CARTESIAN_POINT('',(-3.66617420935412,-2.65841335249825E-15,2.)); #262903=CARTESIAN_POINT('',(-1.83308710467706,3.175,2.)); #262904=CARTESIAN_POINT('',(-1.83308710467706,-3.175,2.)); #262905=CARTESIAN_POINT('',(-3.66617420935412,-1.73472347597681E-15,2.)); #262906=CARTESIAN_POINT('',(1.83308710467706,-3.175,2.)); #262907=CARTESIAN_POINT('',(-1.83308710467706,-3.175,2.)); #262908=CARTESIAN_POINT('',(3.66617420935412,1.81915477466105E-15,2.)); #262909=CARTESIAN_POINT('',(1.83308710467706,-3.175,2.)); #262910=CARTESIAN_POINT('',(3.66617420935412,4.33680868994202E-16,2.)); #262911=CARTESIAN_POINT('',(2.425,-2.96976848793233E-16,2.)); #262912=CARTESIAN_POINT('Origin',(0.,0.,2.)); #262913=CARTESIAN_POINT('Origin',(0.,0.,0.)); #262914=CARTESIAN_POINT('',(3.66617420935412,3.39802418834307E-15,0.)); #262915=CARTESIAN_POINT('',(1.83308710467706,-3.175,0.)); #262916=CARTESIAN_POINT('',(3.66617420935412,4.11996825544492E-15,0.)); #262917=CARTESIAN_POINT('',(-1.83308710467706,-3.175,0.)); #262918=CARTESIAN_POINT('',(1.83308710467706,-3.175,0.)); #262919=CARTESIAN_POINT('',(-3.66617420935412,-2.61097950745201E-15,0.)); #262920=CARTESIAN_POINT('',(-1.83308710467706,-3.175,0.)); #262921=CARTESIAN_POINT('',(-1.83308710467706,3.175,0.)); #262922=CARTESIAN_POINT('',(-3.66617420935412,-2.60208521396521E-15,0.)); #262923=CARTESIAN_POINT('',(1.83308710467706,3.175,0.)); #262924=CARTESIAN_POINT('',(-1.83308710467706,3.175,0.)); #262925=CARTESIAN_POINT('',(1.83308710467706,3.175,0.)); #262926=CARTESIAN_POINT('',(2.425,-2.96976848793233E-16,0.)); #262927=CARTESIAN_POINT('Origin',(0.,0.,0.)); #262928=CARTESIAN_POINT('Origin',(-1.37261837438575E-15,3.175,2.)); #262929=CARTESIAN_POINT('',(-1.83308710467706,3.175,2.)); #262930=CARTESIAN_POINT('',(1.18667694316329,3.475,1.7)); #262931=CARTESIAN_POINT('Origin',(-1.70629218543395,3.475,1.7)); #262932=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.7)); #262933=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,1.7)); #262934=CARTESIAN_POINT('Origin',(2.15629218543395,3.21519237886467,1.7)); #262935=CARTESIAN_POINT('',(1.18667694316329,3.475,1.7)); #262936=CARTESIAN_POINT('',(1.83308710467706,3.175,2.)); #262937=CARTESIAN_POINT('Origin',(-2.41609980656928,2.76519237886467,2.)); #262938=CARTESIAN_POINT('',(-1.70629218543395,3.475,0.299999999999998)); #262939=CARTESIAN_POINT('Origin',(-2.74963065701559,1.5875,2.)); #262940=CARTESIAN_POINT('',(-3.66617420935412,-2.27682456221956E-15,2.)); #262941=CARTESIAN_POINT('Origin',(2.74963065701559,1.5875,2.)); #262942=CARTESIAN_POINT('',(3.60277674973257,0.70980762113533,1.7)); #262943=CARTESIAN_POINT('Origin',(1.18667694316329,3.475,2.)); #262944=CARTESIAN_POINT('',(2.15629218543395,3.21519237886467,0.299999999999998)); #262945=CARTESIAN_POINT('Origin',(2.00629218543395,3.475,0.299999999999998)); #262946=CARTESIAN_POINT('',(-1.83308710467706,3.175,0.)); #262947=CARTESIAN_POINT('',(1.18667694316329,3.475,0.299999999999998)); #262948=CARTESIAN_POINT('Origin',(-1.70629218543395,3.475,0.299999999999998)); #262949=CARTESIAN_POINT('',(-2.41609980656928,2.76519237886467,0.299999999999998)); #262950=CARTESIAN_POINT('Origin',(-3.8625843708679,0.259807621135329,1.7)); #262951=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,1.7)); #262952=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,1.7)); #262953=CARTESIAN_POINT('Origin',(3.8625843708679,-0.259807621135329,1.7)); #262954=CARTESIAN_POINT('',(3.60277674973257,0.709807621135331,1.7)); #262955=CARTESIAN_POINT('',(3.66617420935412,1.78893358460108E-15,2.)); #262956=CARTESIAN_POINT('Origin',(2.15629218543395,3.21519237886467,0.299999999999998)); #262957=CARTESIAN_POINT('',(1.83308710467706,3.175,1.62630325872826E-16)); #262958=CARTESIAN_POINT('Origin',(-2.00629218543395,3.475,0.299999999999998)); #262959=CARTESIAN_POINT('',(-3.66617420935412,-2.60208521396521E-15,5.42101086242752E-17)); #262960=CARTESIAN_POINT('Origin',(-3.60277674973257,-0.70980762113533,2.)); #262961=CARTESIAN_POINT('',(-3.8625843708679,0.259807621135329,0.299999999999998)); #262962=CARTESIAN_POINT('Origin',(-2.74963065701559,-1.5875,2.)); #262963=CARTESIAN_POINT('',(-1.83308710467706,-3.175,2.)); #262964=CARTESIAN_POINT('Origin',(1.71577296798219E-15,-3.175,2.)); #262965=CARTESIAN_POINT('',(1.83308710467706,-3.175,2.)); #262966=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.7)); #262967=CARTESIAN_POINT('Origin',(2.74963065701559,-1.5875,2.)); #262968=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.7)); #262969=CARTESIAN_POINT('Origin',(3.60277674973257,0.709807621135331,2.)); #262970=CARTESIAN_POINT('',(3.8625843708679,-0.259807621135329,0.299999999999998)); #262971=CARTESIAN_POINT('Origin',(4.0125843708679,2.82291998796986E-15, 0.299999999999998)); #262972=CARTESIAN_POINT('',(3.60277674973257,0.709807621135331,0.299999999999998)); #262973=CARTESIAN_POINT('Origin',(-3.8625843708679,0.259807621135329,0.299999999999998)); #262974=CARTESIAN_POINT('',(-3.60277674973257,-0.709807621135331,0.299999999999998)); #262975=CARTESIAN_POINT('Origin',(-2.15629218543395,-3.21519237886467,1.7)); #262976=CARTESIAN_POINT('',(-1.18667694316329,-3.475,1.7)); #262977=CARTESIAN_POINT('Origin',(1.70629218543395,-3.475,1.7)); #262978=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,1.7)); #262979=CARTESIAN_POINT('Origin',(3.8625843708679,-0.259807621135329,0.299999999999998)); #262980=CARTESIAN_POINT('',(3.66617420935412,3.19839640883224E-15,0.)); #262981=CARTESIAN_POINT('Origin',(-4.0125843708679,-1.95570939844522E-15, 0.299999999999998)); #262982=CARTESIAN_POINT('',(-1.83308710467706,-3.175,-1.47854371484232E-16)); #262983=CARTESIAN_POINT('Origin',(-1.18667694316329,-3.475,2.)); #262984=CARTESIAN_POINT('',(-2.15629218543395,-3.21519237886467,0.299999999999998)); #262985=CARTESIAN_POINT('Origin',(2.41609980656928,-2.76519237886467,2.)); #262986=CARTESIAN_POINT('',(1.70629218543395,-3.475,0.299999999999998)); #262987=CARTESIAN_POINT('Origin',(2.00629218543395,-3.475,0.299999999999998)); #262988=CARTESIAN_POINT('',(2.41609980656928,-2.76519237886467,0.299999999999998)); #262989=CARTESIAN_POINT('Origin',(-1.83308710467706,-3.175,0.)); #262990=CARTESIAN_POINT('',(-1.18667694316329,-3.475,0.299999999999998)); #262991=CARTESIAN_POINT('Origin',(1.70629218543395,-3.475,0.299999999999998)); #262992=CARTESIAN_POINT('',(1.83308710467706,-3.175,-2.71050543121376E-16)); #262993=CARTESIAN_POINT('Origin',(-2.00629218543395,-3.475,0.299999999999998)); #262994=CARTESIAN_POINT('Origin',(0.,0.,2.)); #262995=CARTESIAN_POINT('',(2.425,-2.96976848793233E-16,2.)); #262996=CARTESIAN_POINT('Origin',(1.,21.,0.)); #262997=CARTESIAN_POINT('',(31.,8.17157287525381,0.)); #262998=CARTESIAN_POINT('',(31.,13.8284271247462,0.)); #262999=CARTESIAN_POINT('Origin',(32.,11.,0.)); #263000=CARTESIAN_POINT('',(31.,1.,0.)); #263001=CARTESIAN_POINT('',(31.,1.,0.)); #263002=CARTESIAN_POINT('',(2.,1.,0.)); #263003=CARTESIAN_POINT('',(2.,1.,0.)); #263004=CARTESIAN_POINT('',(2.,2.,0.)); #263005=CARTESIAN_POINT('',(2.,1.,0.)); #263006=CARTESIAN_POINT('',(2.5,2.5,0.)); #263007=CARTESIAN_POINT('',(2.,2.,0.)); #263008=CARTESIAN_POINT('',(5.25,2.5,0.)); #263009=CARTESIAN_POINT('',(2.5,2.5,0.)); #263010=CARTESIAN_POINT('',(5.5,2.75,0.)); #263011=CARTESIAN_POINT('Origin',(5.25,2.75,0.)); #263012=CARTESIAN_POINT('',(5.5,4.25,0.)); #263013=CARTESIAN_POINT('',(5.5,2.75,0.)); #263014=CARTESIAN_POINT('',(5.25,4.5,0.)); #263015=CARTESIAN_POINT('Origin',(5.25,4.25,0.)); #263016=CARTESIAN_POINT('',(2.5,4.5,0.)); #263017=CARTESIAN_POINT('',(5.25,4.5,0.)); #263018=CARTESIAN_POINT('',(2.,5.,0.)); #263019=CARTESIAN_POINT('',(2.5,4.5,0.)); #263020=CARTESIAN_POINT('',(2.,6.8,0.)); #263021=CARTESIAN_POINT('',(2.,5.,0.)); #263022=CARTESIAN_POINT('',(1.8,7.,0.)); #263023=CARTESIAN_POINT('Origin',(1.8,6.8,0.)); #263024=CARTESIAN_POINT('',(1.,7.,-3.3881317890172E-18)); #263025=CARTESIAN_POINT('',(1.8,7.,0.)); #263026=CARTESIAN_POINT('',(1.,8.17157287525381,0.)); #263027=CARTESIAN_POINT('',(1.,8.17157287525381,0.)); #263028=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,0.)); #263029=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,0.)); #263030=CARTESIAN_POINT('',(0.999999999999997,21.,0.)); #263031=CARTESIAN_POINT('',(0.999999999999997,21.,0.)); #263032=CARTESIAN_POINT('',(31.,21.,0.)); #263033=CARTESIAN_POINT('',(31.,21.,0.)); #263034=CARTESIAN_POINT('',(31.,13.8284271247462,0.)); #263035=CARTESIAN_POINT('',(4.,14.,0.)); #263036=CARTESIAN_POINT('Origin',(4.5,14.,0.)); #263037=CARTESIAN_POINT('',(4.,17.,0.)); #263038=CARTESIAN_POINT('Origin',(4.5,17.,0.)); #263039=CARTESIAN_POINT('',(4.,20.,0.)); #263040=CARTESIAN_POINT('Origin',(4.5,20.,0.)); #263041=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,-0.01)); #263042=CARTESIAN_POINT('',(31.,13.8284271247462,-0.01)); #263043=CARTESIAN_POINT('',(31.,8.17157287525381,-0.01)); #263044=CARTESIAN_POINT('Origin',(32.,11.,-0.01)); #263045=CARTESIAN_POINT('',(31.,21.,-0.01)); #263046=CARTESIAN_POINT('',(31.,21.,-0.01)); #263047=CARTESIAN_POINT('',(0.999999999999997,21.,-0.01)); #263048=CARTESIAN_POINT('',(1.,21.,-0.01)); #263049=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,-0.01)); #263050=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,-0.01)); #263051=CARTESIAN_POINT('',(1.,8.17157287525381,-0.01)); #263052=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,-0.01)); #263053=CARTESIAN_POINT('',(1.,7.,-0.01)); #263054=CARTESIAN_POINT('',(1.,7.,-0.01)); #263055=CARTESIAN_POINT('',(-4.33680868994202E-16,7.,-0.01)); #263056=CARTESIAN_POINT('',(-4.33680868994202E-16,7.,-0.01)); #263057=CARTESIAN_POINT('',(0.,9.,-0.01)); #263058=CARTESIAN_POINT('',(0.,9.,-0.01)); #263059=CARTESIAN_POINT('',(-3.11216189079466E-16,13.,-0.01)); #263060=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,-0.01)); #263061=CARTESIAN_POINT('',(0.,21.,-0.01)); #263062=CARTESIAN_POINT('',(0.,21.,-0.01)); #263063=CARTESIAN_POINT('',(1.00000000000001,22.,-0.01)); #263064=CARTESIAN_POINT('Origin',(1.,21.,-0.01)); #263065=CARTESIAN_POINT('',(31.,22.,-0.01)); #263066=CARTESIAN_POINT('',(31.,22.,-0.01)); #263067=CARTESIAN_POINT('',(32.,21.,-0.01)); #263068=CARTESIAN_POINT('Origin',(31.,21.,-0.01)); #263069=CARTESIAN_POINT('',(32.,13.,-0.01)); #263070=CARTESIAN_POINT('',(32.,13.,-0.01)); #263071=CARTESIAN_POINT('',(32.,9.,-0.01)); #263072=CARTESIAN_POINT('Origin',(32.,11.,-0.01)); #263073=CARTESIAN_POINT('',(32.,1.00000000000001,-0.01)); #263074=CARTESIAN_POINT('',(32.,1.00000000000001,-0.01)); #263075=CARTESIAN_POINT('',(31.,-2.16840434497101E-16,-0.01)); #263076=CARTESIAN_POINT('Origin',(31.,1.,-0.01)); #263077=CARTESIAN_POINT('',(2.,0.,-0.01)); #263078=CARTESIAN_POINT('',(2.,0.,-0.01)); #263079=CARTESIAN_POINT('',(2.,1.,-0.01)); #263080=CARTESIAN_POINT('',(2.,1.,-0.01)); #263081=CARTESIAN_POINT('',(31.,1.,-0.01)); #263082=CARTESIAN_POINT('',(31.,1.,-0.01)); #263083=CARTESIAN_POINT('',(31.,8.17157287525381,-0.01)); #263084=CARTESIAN_POINT('Origin',(32.,11.,-0.01)); #263085=CARTESIAN_POINT('',(31.,8.17157287525381,-0.01)); #263086=CARTESIAN_POINT('',(31.,13.8284271247462,-0.01)); #263087=CARTESIAN_POINT('Origin',(1.,21.,1.5)); #263088=CARTESIAN_POINT('',(2.95803989154981,10.5,1.5)); #263089=CARTESIAN_POINT('',(29.0419601084502,10.5,1.5)); #263090=CARTESIAN_POINT('',(2.95803989154981,10.5,1.5)); #263091=CARTESIAN_POINT('',(1.,8.17157287525381,1.5)); #263092=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,1.5)); #263093=CARTESIAN_POINT('',(1.,7.,1.5)); #263094=CARTESIAN_POINT('',(1.,8.17157287525381,1.5)); #263095=CARTESIAN_POINT('',(1.8,7.,1.5)); #263096=CARTESIAN_POINT('',(1.8,7.,1.5)); #263097=CARTESIAN_POINT('',(2.,6.8,1.5)); #263098=CARTESIAN_POINT('Origin',(1.8,6.8,1.5)); #263099=CARTESIAN_POINT('',(2.,5.,1.5)); #263100=CARTESIAN_POINT('',(2.,5.,1.5)); #263101=CARTESIAN_POINT('',(2.5,4.5,1.5)); #263102=CARTESIAN_POINT('',(2.5,4.5,1.5)); #263103=CARTESIAN_POINT('',(5.25,4.5,1.5)); #263104=CARTESIAN_POINT('',(5.25,4.5,1.5)); #263105=CARTESIAN_POINT('',(5.5,4.25,1.5)); #263106=CARTESIAN_POINT('Origin',(5.25,4.25,1.5)); #263107=CARTESIAN_POINT('',(5.5,2.75,1.5)); #263108=CARTESIAN_POINT('',(5.5,2.75,1.5)); #263109=CARTESIAN_POINT('',(5.25,2.5,1.5)); #263110=CARTESIAN_POINT('Origin',(5.25,2.75,1.5)); #263111=CARTESIAN_POINT('',(2.5,2.5,1.5)); #263112=CARTESIAN_POINT('',(2.5,2.5,1.5)); #263113=CARTESIAN_POINT('',(2.,2.,1.5)); #263114=CARTESIAN_POINT('',(2.,2.,1.5)); #263115=CARTESIAN_POINT('',(2.,1.,1.5)); #263116=CARTESIAN_POINT('',(2.,1.,1.5)); #263117=CARTESIAN_POINT('',(31.,1.,1.5)); #263118=CARTESIAN_POINT('',(2.,1.,1.5)); #263119=CARTESIAN_POINT('',(31.,8.17157287525381,1.5)); #263120=CARTESIAN_POINT('',(31.,1.,1.5)); #263121=CARTESIAN_POINT('Origin',(32.,11.,1.5)); #263122=CARTESIAN_POINT('Origin',(1.,21.,1.5)); #263123=CARTESIAN_POINT('',(29.0419601084502,11.5,1.5)); #263124=CARTESIAN_POINT('',(2.95803989154981,11.5,1.5)); #263125=CARTESIAN_POINT('',(29.0419601084502,11.5,1.5)); #263126=CARTESIAN_POINT('',(31.,13.8284271247462,1.5)); #263127=CARTESIAN_POINT('Origin',(32.,11.,1.5)); #263128=CARTESIAN_POINT('',(31.,21.,1.5)); #263129=CARTESIAN_POINT('',(31.,13.8284271247462,1.5)); #263130=CARTESIAN_POINT('',(0.999999999999997,21.,1.5)); #263131=CARTESIAN_POINT('',(31.,21.,1.5)); #263132=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,1.5)); #263133=CARTESIAN_POINT('',(0.999999999999997,21.,1.5)); #263134=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,1.5)); #263135=CARTESIAN_POINT('Origin',(32.,11.,-0.01)); #263136=CARTESIAN_POINT('',(29.0419601084502,10.5,1.51)); #263137=CARTESIAN_POINT('',(31.,8.17157287525381,1.51)); #263138=CARTESIAN_POINT('Origin',(32.,11.,1.51)); #263139=CARTESIAN_POINT('',(29.0419601084502,10.5,1.5)); #263140=CARTESIAN_POINT('',(31.,8.17157287525381,1.5)); #263141=CARTESIAN_POINT('Origin',(32.,11.,-0.01)); #263142=CARTESIAN_POINT('',(29.0419601084502,11.5,1.51)); #263143=CARTESIAN_POINT('',(29.0419601084502,11.5,1.5)); #263144=CARTESIAN_POINT('',(31.,13.8284271247462,1.51)); #263145=CARTESIAN_POINT('Origin',(32.,11.,1.51)); #263146=CARTESIAN_POINT('',(31.,13.8284271247462,1.5)); #263147=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,-0.01)); #263148=CARTESIAN_POINT('',(2.95803989154981,10.5,1.51)); #263149=CARTESIAN_POINT('',(2.95803989154981,10.5,1.5)); #263150=CARTESIAN_POINT('',(1.,8.17157287525381,1.51)); #263151=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,1.51)); #263152=CARTESIAN_POINT('',(1.,8.17157287525381,1.5)); #263153=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,-0.01)); #263154=CARTESIAN_POINT('',(2.95803989154981,11.5,1.51)); #263155=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,1.51)); #263156=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,1.51)); #263157=CARTESIAN_POINT('',(2.95803989154981,11.5,1.5)); #263158=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,1.5)); #263159=CARTESIAN_POINT('Origin',(0.,0.,1.5)); #263160=CARTESIAN_POINT('',(0.,21.,1.51)); #263161=CARTESIAN_POINT('',(-4.33680868994202E-16,21.,1.51)); #263162=CARTESIAN_POINT('',(-3.11216189079466E-16,13.,1.51)); #263163=CARTESIAN_POINT('',(0.,13.,-0.01)); #263164=CARTESIAN_POINT('',(0.,21.,1.51)); #263165=CARTESIAN_POINT('Origin',(32.,1.00000000000001,1.5)); #263166=CARTESIAN_POINT('',(32.,1.00000000000001,1.51)); #263167=CARTESIAN_POINT('',(32.,1.,1.51)); #263168=CARTESIAN_POINT('',(32.,9.,1.51)); #263169=CARTESIAN_POINT('',(32.,9.,-0.01)); #263170=CARTESIAN_POINT('',(32.,1.00000000000001,1.51)); #263171=CARTESIAN_POINT('Origin',(0.,0.,1.5)); #263172=CARTESIAN_POINT('',(-4.33680868994202E-16,7.,1.51)); #263173=CARTESIAN_POINT('',(-4.33680868994202E-16,7.,-0.01)); #263174=CARTESIAN_POINT('',(0.,9.,1.51)); #263175=CARTESIAN_POINT('',(0.,9.,1.51)); #263176=CARTESIAN_POINT('',(0.,9.,-0.01)); #263177=CARTESIAN_POINT('Origin',(0.,0.,1.5)); #263178=CARTESIAN_POINT('',(31.,-2.16840434497101E-16,1.51)); #263179=CARTESIAN_POINT('',(31.,0.,1.51)); #263180=CARTESIAN_POINT('',(2.,0.,1.51)); #263181=CARTESIAN_POINT('',(2.,0.,1.51)); #263182=CARTESIAN_POINT('',(2.,0.,-0.01)); #263183=CARTESIAN_POINT('Origin',(31.,1.,1.5)); #263184=CARTESIAN_POINT('Origin',(31.,1.,1.51)); #263185=CARTESIAN_POINT('Origin',(32.,1.00000000000001,1.5)); #263186=CARTESIAN_POINT('',(32.,21.,1.51)); #263187=CARTESIAN_POINT('',(32.,21.,1.51)); #263188=CARTESIAN_POINT('',(32.,13.,1.51)); #263189=CARTESIAN_POINT('',(32.,13.,1.51)); #263190=CARTESIAN_POINT('',(32.,13.,-0.01)); #263191=CARTESIAN_POINT('Origin',(31.,21.,1.5)); #263192=CARTESIAN_POINT('',(31.,22.,1.51)); #263193=CARTESIAN_POINT('',(31.,22.,1.51)); #263194=CARTESIAN_POINT('Origin',(31.,21.,1.51)); #263195=CARTESIAN_POINT('Origin',(1.00000000000001,22.,1.5)); #263196=CARTESIAN_POINT('',(1.00000000000001,22.,1.51)); #263197=CARTESIAN_POINT('',(1.00000000000001,22.,1.51)); #263198=CARTESIAN_POINT('',(31.,22.,1.51)); #263199=CARTESIAN_POINT('Origin',(1.,21.,1.5)); #263200=CARTESIAN_POINT('Origin',(1.,21.,1.51)); #263201=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,7.,0.)); #263202=CARTESIAN_POINT('',(1.,7.,1.51)); #263203=CARTESIAN_POINT('',(1.,7.,1.5)); #263204=CARTESIAN_POINT('',(-4.33680868994202E-16,7.,1.51)); #263205=CARTESIAN_POINT('',(1.,7.,-0.01)); #263206=CARTESIAN_POINT('',(1.8,7.,0.)); #263207=CARTESIAN_POINT('Origin',(1.8,6.8,0.)); #263208=CARTESIAN_POINT('',(2.,6.8,0.)); #263209=CARTESIAN_POINT('Origin',(2.,6.8,0.)); #263210=CARTESIAN_POINT('',(2.,5.,0.)); #263211=CARTESIAN_POINT('Origin',(2.,5.,0.)); #263212=CARTESIAN_POINT('',(2.5,4.5,0.)); #263213=CARTESIAN_POINT('Origin',(2.5,4.5,0.)); #263214=CARTESIAN_POINT('',(5.25,4.5,0.)); #263215=CARTESIAN_POINT('Origin',(5.25,4.25,0.)); #263216=CARTESIAN_POINT('',(5.5,4.25,0.)); #263217=CARTESIAN_POINT('Origin',(5.5,4.25,0.)); #263218=CARTESIAN_POINT('',(5.5,2.75,0.)); #263219=CARTESIAN_POINT('Origin',(5.25,2.75,0.)); #263220=CARTESIAN_POINT('',(5.25,2.5,0.)); #263221=CARTESIAN_POINT('Origin',(5.25,2.5,0.)); #263222=CARTESIAN_POINT('',(2.5,2.5,0.)); #263223=CARTESIAN_POINT('Origin',(2.5,2.5,0.)); #263224=CARTESIAN_POINT('',(2.,2.,0.)); #263225=CARTESIAN_POINT('Origin',(2.,2.,0.)); #263226=CARTESIAN_POINT('',(2.,1.,-0.01)); #263227=CARTESIAN_POINT('',(2.,1.,1.51)); #263228=CARTESIAN_POINT('',(2.,1.,1.51)); #263229=CARTESIAN_POINT('',(2.,1.,1.5)); #263230=CARTESIAN_POINT('Origin',(32.,11.,0.)); #263231=CARTESIAN_POINT('Origin',(32.,11.,1.51)); #263232=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,0.)); #263233=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,1.51)); #263234=CARTESIAN_POINT('Origin',(1.,8.17157287525381,-0.01)); #263235=CARTESIAN_POINT('',(1.,8.17157287525381,-0.01)); #263236=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,-0.01)); #263237=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,-0.01)); #263238=CARTESIAN_POINT('Origin',(0.999999999999997,21.,-0.01)); #263239=CARTESIAN_POINT('',(0.999999999999997,21.,-0.01)); #263240=CARTESIAN_POINT('Origin',(31.,21.,-0.01)); #263241=CARTESIAN_POINT('',(31.,21.,-0.01)); #263242=CARTESIAN_POINT('Origin',(31.,13.8284271247462,-0.01)); #263243=CARTESIAN_POINT('Origin',(31.,1.,-0.01)); #263244=CARTESIAN_POINT('',(31.,1.,-0.01)); #263245=CARTESIAN_POINT('Origin',(2.,1.,-0.01)); #263246=CARTESIAN_POINT('Origin',(31.,1.,-0.01)); #263247=CARTESIAN_POINT('',(31.,1.,1.51)); #263248=CARTESIAN_POINT('',(31.,1.,1.5)); #263249=CARTESIAN_POINT('',(31.,8.17157287525381,1.51)); #263250=CARTESIAN_POINT('Origin',(31.,13.8284271247462,-0.01)); #263251=CARTESIAN_POINT('',(31.,21.,1.51)); #263252=CARTESIAN_POINT('',(31.,21.,1.51)); #263253=CARTESIAN_POINT('',(31.,21.,1.5)); #263254=CARTESIAN_POINT('Origin',(31.,21.,-0.01)); #263255=CARTESIAN_POINT('',(0.999999999999997,21.,1.51)); #263256=CARTESIAN_POINT('',(1.,21.,1.51)); #263257=CARTESIAN_POINT('',(0.999999999999997,21.,1.5)); #263258=CARTESIAN_POINT('Origin',(0.999999999999997,21.,-0.01)); #263259=CARTESIAN_POINT('',(0.999999999999999,13.8284271247462,1.51)); #263260=CARTESIAN_POINT('Origin',(1.,8.17157287525381,-0.01)); #263261=CARTESIAN_POINT('',(1.,7.,1.51)); #263262=CARTESIAN_POINT('Origin',(2.,1.,-0.01)); #263263=CARTESIAN_POINT('',(31.,1.,1.51)); #263264=CARTESIAN_POINT('Origin',(-4.33680868994202E-16,11.,1.51)); #263265=CARTESIAN_POINT('',(2.95803989154981,10.5,1.51)); #263266=CARTESIAN_POINT('',(29.0419601084502,11.5,1.51)); #263267=CARTESIAN_POINT('Origin',(2.39912788126448,10.5,1.51)); #263268=CARTESIAN_POINT('Origin',(2.39912788126448,11.5,1.51)); #263269=CARTESIAN_POINT('Origin',(4.5,20.,-0.3)); #263270=CARTESIAN_POINT('',(4.,20.,-0.3)); #263271=CARTESIAN_POINT('',(4.,20.,-0.3)); #263272=CARTESIAN_POINT('Origin',(4.5,20.,-0.3)); #263273=CARTESIAN_POINT('Origin',(4.5,20.,-0.3)); #263274=CARTESIAN_POINT('Origin',(4.5,17.,-0.3)); #263275=CARTESIAN_POINT('',(4.,17.,-0.3)); #263276=CARTESIAN_POINT('',(4.,17.,-0.3)); #263277=CARTESIAN_POINT('Origin',(4.5,17.,-0.3)); #263278=CARTESIAN_POINT('Origin',(4.5,17.,-0.3)); #263279=CARTESIAN_POINT('Origin',(4.5,14.,-0.3)); #263280=CARTESIAN_POINT('',(4.,14.,-0.3)); #263281=CARTESIAN_POINT('',(4.,14.,-0.3)); #263282=CARTESIAN_POINT('Origin',(4.5,14.,-0.3)); #263283=CARTESIAN_POINT('Origin',(4.5,14.,-0.3)); #263284=CARTESIAN_POINT('',(58.5,22.35,0.149999999999997)); #263285=CARTESIAN_POINT('',(1.5,16.0482197422418,0.550650150421773)); #263286=CARTESIAN_POINT('',(1.98330220985762,3.55,1.29865205886809)); #263287=CARTESIAN_POINT('',(-1.9,3.55,1.3)); #263288=CARTESIAN_POINT('',(-4.3,3.55,1.3)); #263289=CARTESIAN_POINT('',(0.,0.,0.)); #263290=CARTESIAN_POINT('Origin',(0.,5.,-1.0842021724855E-16)); #263291=CARTESIAN_POINT('',(-9.33180860950283E-17,0.54,-0.762)); #263292=CARTESIAN_POINT('Origin',(0.,0.54,-1.0842021724855E-16)); #263293=CARTESIAN_POINT('',(-9.33180860950283E-17,1.57,-0.762)); #263294=CARTESIAN_POINT('',(-9.33180860950283E-17,5.,-0.762)); #263295=CARTESIAN_POINT('Origin',(0.,1.57,-1.0842021724855E-16)); #263296=CARTESIAN_POINT('Origin',(0.,5.,-1.0842021724855E-16)); #263297=CARTESIAN_POINT('',(-1.50019232895551E-16,1.57,-1.225)); #263298=CARTESIAN_POINT('Origin',(0.,1.57,-1.0842021724855E-16)); #263299=CARTESIAN_POINT('',(-1.50019232895551E-16,4.,-1.225)); #263300=CARTESIAN_POINT('',(-1.50019232895551E-16,5.,-1.225)); #263301=CARTESIAN_POINT('Origin',(0.,4.,-1.0842021724855E-16)); #263302=CARTESIAN_POINT('Origin',(0.,4.15,-2.16840434497101E-16)); #263303=CARTESIAN_POINT('',(-1.68388934882761E-16,4.,-1.375)); #263304=CARTESIAN_POINT('Origin',(0.,4.,-1.0842021724855E-16)); #263305=CARTESIAN_POINT('',(-1.86758636869971E-16,4.15,-1.525)); #263306=CARTESIAN_POINT('Origin',(-1.68388934882761E-16,4.15,-1.375)); #263307=CARTESIAN_POINT('Origin',(0.,4.15,-1.0842021724855E-16)); #263308=CARTESIAN_POINT('Origin',(0.,5.,-1.0842021724855E-16)); #263309=CARTESIAN_POINT('',(-1.86758636869971E-16,4.35,-1.525)); #263310=CARTESIAN_POINT('',(-1.86758636869971E-16,5.,-1.525)); #263311=CARTESIAN_POINT('Origin',(0.,4.35,-1.0842021724855E-16)); #263312=CARTESIAN_POINT('Origin',(0.,4.35,-2.16840434497101E-16)); #263313=CARTESIAN_POINT('',(-1.68388934882761E-16,4.5,-1.375)); #263314=CARTESIAN_POINT('Origin',(-1.68388934882761E-16,4.35,-1.375)); #263315=CARTESIAN_POINT('Origin',(0.,4.5,-1.0842021724855E-16)); #263316=CARTESIAN_POINT('Origin',(0.,5.,-1.0842021724855E-16)); #263317=CARTESIAN_POINT('',(-1.50019232895551E-16,4.5,-1.225)); #263318=CARTESIAN_POINT('Origin',(0.,4.5,-1.0842021724855E-16)); #263319=CARTESIAN_POINT('',(-1.50019232895551E-16,4.873,-1.225)); #263320=CARTESIAN_POINT('',(-1.50019232895551E-16,5.,-1.225)); #263321=CARTESIAN_POINT('Origin',(0.,4.873,-1.0842021724855E-16)); #263322=CARTESIAN_POINT('Origin',(0.,5.,-1.0842021724855E-16)); #263323=CARTESIAN_POINT('',(-1.65572247244722E-16,5.,-1.352)); #263324=CARTESIAN_POINT('',(-1.65572247244722E-16,5.,-1.352)); #263325=CARTESIAN_POINT('Origin',(0.,5.,-1.0842021724855E-16)); #263326=CARTESIAN_POINT('Origin',(0.,5.,0.)); #263327=CARTESIAN_POINT('',(0.,1.57,-1.725)); #263328=CARTESIAN_POINT('',(-1.725,1.57,2.16840434497101E-16)); #263329=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263330=CARTESIAN_POINT('',(0.,1.57,1.725)); #263331=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263332=CARTESIAN_POINT('',(-2.11251572852918E-16,5.,1.725)); #263333=CARTESIAN_POINT('',(-2.11251572852918E-16,5.,1.725)); #263334=CARTESIAN_POINT('Origin',(0.,5.,0.)); #263335=CARTESIAN_POINT('',(1.725,1.57,-1.0842021724855E-16)); #263336=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263337=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263338=CARTESIAN_POINT('Origin',(1.726,0.54,0.914999999999999)); #263339=CARTESIAN_POINT('',(1.725,0.54,0.914999999999999)); #263340=CARTESIAN_POINT('',(0.915,0.54,0.914999999999999)); #263341=CARTESIAN_POINT('',(1.726,0.54,0.914999999999999)); #263342=CARTESIAN_POINT('',(0.915,0.54,1.725)); #263343=CARTESIAN_POINT('',(0.915,0.54,1.726)); #263344=CARTESIAN_POINT('',(-0.915,0.54,1.725)); #263345=CARTESIAN_POINT('',(-0.915,0.54,1.725)); #263346=CARTESIAN_POINT('',(-0.915,0.54,0.914999999999999)); #263347=CARTESIAN_POINT('',(-0.915,0.54,1.726)); #263348=CARTESIAN_POINT('',(-1.725,0.54,0.914999999999999)); #263349=CARTESIAN_POINT('',(1.726,0.54,0.914999999999999)); #263350=CARTESIAN_POINT('',(-1.725,0.54,-0.915000000000001)); #263351=CARTESIAN_POINT('',(-1.725,0.54,0.914999999999999)); #263352=CARTESIAN_POINT('',(-0.915,0.54,-0.915000000000001)); #263353=CARTESIAN_POINT('',(1.726,0.54,-0.915000000000001)); #263354=CARTESIAN_POINT('',(-0.915,0.54,-1.725)); #263355=CARTESIAN_POINT('',(-0.915,0.54,-1.726)); #263356=CARTESIAN_POINT('',(0.915,0.54,-1.725)); #263357=CARTESIAN_POINT('',(-0.915,0.54,-1.725)); #263358=CARTESIAN_POINT('',(0.915,0.54,-0.915000000000001)); #263359=CARTESIAN_POINT('',(0.915,0.54,-1.726)); #263360=CARTESIAN_POINT('',(1.725,0.54,-0.915000000000001)); #263361=CARTESIAN_POINT('',(1.726,0.54,-0.915000000000001)); #263362=CARTESIAN_POINT('',(1.725,0.54,0.914999999999999)); #263363=CARTESIAN_POINT('Origin',(0.,5.,0.)); #263364=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263365=CARTESIAN_POINT('',(1.725,1.57,1.725)); #263366=CARTESIAN_POINT('',(-1.725,1.57,1.725)); #263367=CARTESIAN_POINT('',(1.725,1.57,-1.725)); #263368=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263369=CARTESIAN_POINT('',(1.725,1.57,-1.725)); #263370=CARTESIAN_POINT('',(1.725,1.57,-1.725)); #263371=CARTESIAN_POINT('',(-1.725,1.57,-1.725)); #263372=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263373=CARTESIAN_POINT('',(-1.725,1.57,-1.725)); #263374=CARTESIAN_POINT('',(-1.725,1.57,-1.725)); #263375=CARTESIAN_POINT('',(-1.725,1.57,-1.725)); #263376=CARTESIAN_POINT('Origin',(0.,0.,0.)); #263377=CARTESIAN_POINT('',(-0.915,0.,-1.725)); #263378=CARTESIAN_POINT('',(-1.725,0.,-1.725)); #263379=CARTESIAN_POINT('',(-1.725,0.,-1.725)); #263380=CARTESIAN_POINT('',(-0.915,0.,-0.915000000000001)); #263381=CARTESIAN_POINT('',(-0.915,0.,-1.726)); #263382=CARTESIAN_POINT('',(-1.725,0.,-0.915000000000001)); #263383=CARTESIAN_POINT('',(1.726,0.,-0.915000000000001)); #263384=CARTESIAN_POINT('',(-1.725,0.,-1.725)); #263385=CARTESIAN_POINT('Origin',(1.726,0.54,-0.915000000000001)); #263386=CARTESIAN_POINT('',(-0.915,0.54,-0.915000000000001)); #263387=CARTESIAN_POINT('',(-1.725,0.54,-0.915000000000001)); #263388=CARTESIAN_POINT('Origin',(0.,0.,0.)); #263389=CARTESIAN_POINT('',(-0.915,0.,1.725)); #263390=CARTESIAN_POINT('',(-0.915,0.,0.914999999999999)); #263391=CARTESIAN_POINT('',(-0.915,0.,1.726)); #263392=CARTESIAN_POINT('',(-1.725,0.,1.725)); #263393=CARTESIAN_POINT('',(-1.725,0.,1.725)); #263394=CARTESIAN_POINT('',(-1.725,0.,0.914999999999999)); #263395=CARTESIAN_POINT('',(-1.725,0.,-1.725)); #263396=CARTESIAN_POINT('',(1.726,0.,0.914999999999999)); #263397=CARTESIAN_POINT('Origin',(0.,0.,0.)); #263398=CARTESIAN_POINT('',(0.915,0.,1.725)); #263399=CARTESIAN_POINT('',(0.915,0.,0.914999999999999)); #263400=CARTESIAN_POINT('',(0.915,0.,1.726)); #263401=CARTESIAN_POINT('',(1.725,0.,0.914999999999999)); #263402=CARTESIAN_POINT('',(1.726,0.,0.914999999999999)); #263403=CARTESIAN_POINT('',(1.725,0.,1.725)); #263404=CARTESIAN_POINT('',(1.725,0.,-1.725)); #263405=CARTESIAN_POINT('',(-1.725,0.,1.725)); #263406=CARTESIAN_POINT('Origin',(-1.725,1.57,1.725)); #263407=CARTESIAN_POINT('',(-0.915,0.54,1.725)); #263408=CARTESIAN_POINT('',(0.915,0.54,1.725)); #263409=CARTESIAN_POINT('',(1.725,1.57,1.725)); #263410=CARTESIAN_POINT('',(-1.725,1.57,1.725)); #263411=CARTESIAN_POINT('',(-1.725,1.57,1.725)); #263412=CARTESIAN_POINT('',(-1.725,1.57,1.725)); #263413=CARTESIAN_POINT('Origin',(1.726,0.54,0.914999999999999)); #263414=CARTESIAN_POINT('',(-0.915,0.54,0.914999999999999)); #263415=CARTESIAN_POINT('',(-1.725,0.54,0.914999999999999)); #263416=CARTESIAN_POINT('Origin',(1.726,0.54,0.914999999999999)); #263417=CARTESIAN_POINT('',(0.915,0.54,0.914999999999999)); #263418=CARTESIAN_POINT('',(1.725,0.54,0.914999999999999)); #263419=CARTESIAN_POINT('Origin',(-1.725,1.57,-1.725)); #263420=CARTESIAN_POINT('',(-1.725,1.57,-1.725)); #263421=CARTESIAN_POINT('',(-1.725,1.57,-1.725)); #263422=CARTESIAN_POINT('Origin',(1.725,1.57,-1.725)); #263423=CARTESIAN_POINT('',(1.725,0.,-0.915000000000001)); #263424=CARTESIAN_POINT('',(1.725,0.54,-0.915000000000001)); #263425=CARTESIAN_POINT('',(1.725,0.,-1.725)); #263426=CARTESIAN_POINT('',(1.725,0.,-1.725)); #263427=CARTESIAN_POINT('',(1.725,1.57,-1.725)); #263428=CARTESIAN_POINT('Origin',(0.,0.,0.)); #263429=CARTESIAN_POINT('',(0.915,0.,-0.915000000000001)); #263430=CARTESIAN_POINT('',(1.726,0.,-0.915000000000001)); #263431=CARTESIAN_POINT('',(0.915,0.,-1.725)); #263432=CARTESIAN_POINT('',(0.915,0.,-1.726)); #263433=CARTESIAN_POINT('',(-1.725,0.,-1.725)); #263434=CARTESIAN_POINT('Origin',(-1.725,1.57,-1.725)); #263435=CARTESIAN_POINT('',(-0.915,0.54,-1.725)); #263436=CARTESIAN_POINT('',(0.915,0.54,-1.725)); #263437=CARTESIAN_POINT('Origin',(0.,1.57,0.)); #263438=CARTESIAN_POINT('Origin',(1.726,0.54,-0.915000000000001)); #263439=CARTESIAN_POINT('',(0.915,0.54,-0.915000000000001)); #263440=CARTESIAN_POINT('Origin',(-0.915,0.54,1.726)); #263441=CARTESIAN_POINT('Origin',(0.915,0.54,1.726)); #263442=CARTESIAN_POINT('Origin',(-0.915,0.54,-1.726)); #263443=CARTESIAN_POINT('Origin',(0.915,0.54,-1.726)); #263444=CARTESIAN_POINT('Origin',(0.,4.5,1.225)); #263445=CARTESIAN_POINT('Origin',(0.,4.,1.375)); #263446=CARTESIAN_POINT('Origin',(0.,1.57,1.225)); #263447=CARTESIAN_POINT('Origin',(0.,1.57,-9.75781955236954E-16)); #263448=CARTESIAN_POINT('',(-4.34749613697311E-17,0.54,-0.355000000000002)); #263449=CARTESIAN_POINT('Origin',(0.,0.54,-9.75781955236954E-16)); #263450=CARTESIAN_POINT('',(-4.34749613697311E-17,1.57,-0.355000000000002)); #263451=CARTESIAN_POINT('',(-4.34749613697311E-17,1.57,-0.355000000000002)); #263452=CARTESIAN_POINT('Origin',(0.,1.57,-9.75781955236954E-16)); #263453=CARTESIAN_POINT('Origin',(0.,1.57,-9.75781955236954E-16)); #263454=CARTESIAN_POINT('',(-9.33180860950284E-17,1.57,-0.762000000000002)); #263455=CARTESIAN_POINT('Origin',(0.,1.57,-9.75781955236954E-16)); #263456=CARTESIAN_POINT('',(-9.33180860950284E-17,0.54,-0.762000000000002)); #263457=CARTESIAN_POINT('',(-9.33180860950284E-17,1.57,-0.762000000000002)); #263458=CARTESIAN_POINT('Origin',(0.,0.54,-9.75781955236954E-16)); #263459=CARTESIAN_POINT('Origin',(0.,0.54,0.762)); #263460=CARTESIAN_POINT('Origin',(0.,1.57,0.762)); #263461=CARTESIAN_POINT('Origin',(0.,0.127,-1.55668360263045E-18)); #263462=CARTESIAN_POINT('',(-4.3474961369731E-17,0.127,-0.355)); #263463=CARTESIAN_POINT('Origin',(0.,0.127,-1.55668360263045E-18)); #263464=CARTESIAN_POINT('',(-2.79219470205596E-17,-1.73472347597681E-17, -0.228)); #263465=CARTESIAN_POINT('',(-4.3474961369731E-17,0.127,-0.355)); #263466=CARTESIAN_POINT('Origin',(0.,0.,0.)); #263467=CARTESIAN_POINT('Origin',(0.,2.54,-3.11336720526091E-17)); #263468=CARTESIAN_POINT('',(-2.79219470205596E-17,2.54,-0.228)); #263469=CARTESIAN_POINT('Origin',(0.,2.54,-3.11336720526091E-17)); #263470=CARTESIAN_POINT('',(-2.79219470205596E-17,3.81,-0.228)); #263471=CARTESIAN_POINT('',(-2.79219470205596E-17,2.54,-0.228)); #263472=CARTESIAN_POINT('',(-0.218978880260175,3.81,-0.0634999999999996)); #263473=CARTESIAN_POINT('Origin',(0.,3.81,-4.67005080789136E-17)); #263474=CARTESIAN_POINT('',(-0.218978880260175,2.81,-0.0634999999999996)); #263475=CARTESIAN_POINT('',(-0.218978880260175,2.54,-0.0634999999999997)); #263476=CARTESIAN_POINT('',(-0.218978880260174,2.81,0.0635000000000003)); #263477=CARTESIAN_POINT('Origin',(0.,2.81,-3.4443156877099E-17)); #263478=CARTESIAN_POINT('',(-0.218978880260174,3.81,0.0635000000000005)); #263479=CARTESIAN_POINT('',(-0.218978880260174,2.54,0.0635000000000003)); #263480=CARTESIAN_POINT('',(0.218978880260174,3.81,0.0635000000000005)); #263481=CARTESIAN_POINT('Origin',(0.,3.81,-4.67005080789136E-17)); #263482=CARTESIAN_POINT('',(0.218978880260174,2.81,0.0635000000000003)); #263483=CARTESIAN_POINT('',(0.218978880260174,2.54,0.0635000000000003)); #263484=CARTESIAN_POINT('',(0.218978880260175,2.81,-0.0634999999999997)); #263485=CARTESIAN_POINT('Origin',(0.,2.81,-3.4443156877099E-17)); #263486=CARTESIAN_POINT('',(0.218978880260175,3.81,-0.0634999999999996)); #263487=CARTESIAN_POINT('',(0.218978880260175,2.54,-0.0634999999999997)); #263488=CARTESIAN_POINT('Origin',(0.,3.81,-4.67005080789136E-17)); #263489=CARTESIAN_POINT('Origin',(0.,2.54,-3.11336720526091E-17)); #263490=CARTESIAN_POINT('',(-0.349274605432459,3.81,0.0635000000000005)); #263491=CARTESIAN_POINT('',(0.349274605432459,3.81,0.0635000000000005)); #263492=CARTESIAN_POINT('Origin',(0.,3.81,-4.67005080789136E-17)); #263493=CARTESIAN_POINT('',(-0.349274605432459,2.81,0.0635000000000003)); #263494=CARTESIAN_POINT('',(-0.349274605432459,2.54,0.0635000000000003)); #263495=CARTESIAN_POINT('',(-0.349274605432459,2.81,-0.0634999999999996)); #263496=CARTESIAN_POINT('Origin',(0.,2.81,-3.4443156877099E-17)); #263497=CARTESIAN_POINT('',(-0.349274605432459,3.81,-0.0634999999999996)); #263498=CARTESIAN_POINT('',(-0.349274605432459,2.54,-0.0634999999999997)); #263499=CARTESIAN_POINT('',(-4.3474961369731E-17,3.81,-0.355)); #263500=CARTESIAN_POINT('Origin',(0.,3.81,-4.67005080789136E-17)); #263501=CARTESIAN_POINT('',(-4.3474961369731E-17,2.54,-0.355)); #263502=CARTESIAN_POINT('',(0.349274605432459,3.81,-0.0634999999999995)); #263503=CARTESIAN_POINT('Origin',(0.,3.81,-4.67005080789136E-17)); #263504=CARTESIAN_POINT('',(0.349274605432459,2.81,-0.0634999999999997)); #263505=CARTESIAN_POINT('',(0.349274605432459,2.54,-0.0634999999999997)); #263506=CARTESIAN_POINT('',(0.349274605432459,2.81,0.0635000000000003)); #263507=CARTESIAN_POINT('Origin',(0.,2.81,-3.4443156877099E-17)); #263508=CARTESIAN_POINT('',(0.349274605432459,2.54,0.0635000000000003)); #263509=CARTESIAN_POINT('Origin',(0.,3.81,0.355)); #263510=CARTESIAN_POINT('',(-1.726,3.81,0.0635000000000005)); #263511=CARTESIAN_POINT('',(1.726,3.81,0.0635000000000005)); #263512=CARTESIAN_POINT('Origin',(0.,3.81,0.355)); #263513=CARTESIAN_POINT('',(-1.726,3.81,-0.0634999999999996)); #263514=CARTESIAN_POINT('',(1.726,3.81,-0.0634999999999996)); #263515=CARTESIAN_POINT('Origin',(0.,1.39733803700686E-18,0.228)); #263516=CARTESIAN_POINT('Origin',(0.,2.54,0.228)); #263517=CARTESIAN_POINT('Origin',(1.726,3.91267697369846,0.0635000000000005)); #263518=CARTESIAN_POINT('',(1.726,2.81,0.0635000000000003)); #263519=CARTESIAN_POINT('Origin',(1.726,2.81,0.0635000000000003)); #263520=CARTESIAN_POINT('',(1.726,2.81,-0.0634999999999997)); #263521=CARTESIAN_POINT('Origin',(1.726,3.91267697369846,-0.0634999999999995)); #263522=CARTESIAN_POINT('Origin',(-1.726,3.91267697369846,0.0635000000000005)); #263523=CARTESIAN_POINT('',(-1.726,2.81,0.0635000000000003)); #263524=CARTESIAN_POINT('Origin',(-1.726,3.91267697369846,-0.0634999999999995)); #263525=CARTESIAN_POINT('',(-1.726,2.81,-0.0634999999999997)); #263526=CARTESIAN_POINT('Origin',(-1.726,2.81,0.0635000000000003)); #263527=CARTESIAN_POINT('',(0.,0.,0.)); #263528=CARTESIAN_POINT('',(0.,0.,0.)); #263529=CARTESIAN_POINT('',(6.,1.0515,15.5)); #263530=CARTESIAN_POINT('',(6.,1.0515,18.5)); #263531=CARTESIAN_POINT('',(6.,1.0515,21.5)); #263532=CARTESIAN_POINT('',(2.,-0.460944702600155,12.5044981012255)); #263533=CARTESIAN_POINT('',(33.,-0.460944702600155,12.5044981012255)); #263534=CARTESIAN_POINT('',(17.4607358091141,-4.0009144403352,12.5)); #263535=CARTESIAN_POINT('',(29.5,0.75,4.75)); #263536=CARTESIAN_POINT('',(1.5,0.75786774308225,1.48072548764801)); #263537=CARTESIAN_POINT('',(29.5,0.75,12.5)); #263538=CARTESIAN_POINT('',(29.5,0.75,20.25)); #263539=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263540=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263541=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263542=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263543=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263544=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263545=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263546=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263547=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263548=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263549=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263550=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263551=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263552=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263553=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263554=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#263571, 'DISTANCE_ACCURACY_VALUE', 'Maximum model space distance between geometric entities at asserted c onnectivities'); #263555=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263539)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263556=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263540)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263557=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263541)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263558=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263542)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263559=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263543)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263560=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263544)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263561=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263545)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263562=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263546)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263563=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263547)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263564=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263548)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263565=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263549)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263566=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263550)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263567=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263551)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263568=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263552)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263569=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263553)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263570=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#263554)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#263571,#263573,#263574)) REPRESENTATION_CONTEXT('','3D') ); #263571=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #263572=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT($,.METRE.) ); #263573=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #263574=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #263575=SHAPE_DEFINITION_REPRESENTATION(#263590,#263624); #263576=SHAPE_DEFINITION_REPRESENTATION(#263591,#263625); #263577=SHAPE_DEFINITION_REPRESENTATION(#263592,#263626); #263578=SHAPE_DEFINITION_REPRESENTATION(#263593,#263627); #263579=SHAPE_DEFINITION_REPRESENTATION(#263594,#263628); #263580=SHAPE_DEFINITION_REPRESENTATION(#263595,#263629); #263581=SHAPE_DEFINITION_REPRESENTATION(#263596,#263630); #263582=SHAPE_DEFINITION_REPRESENTATION(#263597,#263631); #263583=SHAPE_DEFINITION_REPRESENTATION(#263598,#263632); #263584=SHAPE_DEFINITION_REPRESENTATION(#263599,#263633); #263585=SHAPE_DEFINITION_REPRESENTATION(#263602,#263634); #263586=SHAPE_DEFINITION_REPRESENTATION(#263603,#263635); #263587=SHAPE_DEFINITION_REPRESENTATION(#263604,#263636); #263588=SHAPE_DEFINITION_REPRESENTATION(#263605,#263637); #263589=SHAPE_DEFINITION_REPRESENTATION(#263611,#263638); #263590=PRODUCT_DEFINITION_SHAPE('',$,#263640); #263591=PRODUCT_DEFINITION_SHAPE('',$,#263641); #263592=PRODUCT_DEFINITION_SHAPE('',$,#263642); #263593=PRODUCT_DEFINITION_SHAPE('',$,#263643); #263594=PRODUCT_DEFINITION_SHAPE('',$,#263644); #263595=PRODUCT_DEFINITION_SHAPE('',$,#263645); #263596=PRODUCT_DEFINITION_SHAPE('',$,#263646); #263597=PRODUCT_DEFINITION_SHAPE('',$,#263647); #263598=PRODUCT_DEFINITION_SHAPE('',$,#263648); #263599=PRODUCT_DEFINITION_SHAPE('',$,#263649); #263600=PRODUCT_DEFINITION_SHAPE($,$,#318); #263601=PRODUCT_DEFINITION_SHAPE($,$,#319); #263602=PRODUCT_DEFINITION_SHAPE('',$,#263650); #263603=PRODUCT_DEFINITION_SHAPE('',$,#263651); #263604=PRODUCT_DEFINITION_SHAPE('',$,#263652); #263605=PRODUCT_DEFINITION_SHAPE('',$,#263653); #263606=PRODUCT_DEFINITION_SHAPE($,$,#320); #263607=PRODUCT_DEFINITION_SHAPE($,$,#321); #263608=PRODUCT_DEFINITION_SHAPE($,$,#322); #263609=PRODUCT_DEFINITION_SHAPE($,$,#323); #263610=PRODUCT_DEFINITION_SHAPE($,$,#324); #263611=PRODUCT_DEFINITION_SHAPE('',$,#263654); #263612=PRODUCT_DEFINITION_SHAPE($,$,#325); #263613=PRODUCT_DEFINITION_SHAPE($,$,#326); #263614=PRODUCT_DEFINITION_SHAPE($,$,#327); #263615=PRODUCT_DEFINITION_SHAPE($,$,#328); #263616=PRODUCT_DEFINITION_SHAPE($,$,#329); #263617=PRODUCT_DEFINITION_SHAPE($,$,#330); #263618=PRODUCT_DEFINITION_SHAPE($,$,#331); #263619=PRODUCT_DEFINITION_SHAPE($,$,#332); #263620=PRODUCT_DEFINITION_SHAPE($,$,#333); #263621=PRODUCT_DEFINITION_SHAPE($,$,#334); #263622=PRODUCT_DEFINITION_SHAPE($,$,#335); #263623=PRODUCT_DEFINITION_SHAPE($,$,#336); #263624=SHAPE_REPRESENTATION('',(#155827,#168538,#168539,#168540,#168541, #168542,#168543,#168544,#168545,#168546,#168547,#168548,#168549),#263555); #263625=SHAPE_REPRESENTATION('',(#155828),#263556); #263626=SHAPE_REPRESENTATION('',(#156065),#263557); #263627=SHAPE_REPRESENTATION('',(#162507),#263558); #263628=SHAPE_REPRESENTATION('',(#162515),#263559); #263629=SHAPE_REPRESENTATION('',(#162623),#263560); #263630=SHAPE_REPRESENTATION('',(#165651,#168447,#168448,#168449,#168450, #168451),#263561); #263631=SHAPE_REPRESENTATION('',(#165652,#167302,#167303),#263562); #263632=SHAPE_REPRESENTATION('',(#165653),#263563); #263633=SHAPE_REPRESENTATION('',(#166054),#263564); #263634=SHAPE_REPRESENTATION('',(#167304),#263565); #263635=SHAPE_REPRESENTATION('',(#168180),#263566); #263636=SHAPE_REPRESENTATION('',(#168312),#263567); #263637=SHAPE_REPRESENTATION('',(#168318),#263568); #263638=SHAPE_REPRESENTATION('',(#168452),#263569); #263639=PRODUCT_DEFINITION_CONTEXT('part definition',#263686,'design'); #263640=PRODUCT_DEFINITION('TR-1F','TR-1F v6',#263655,#263639); #263641=PRODUCT_DEFINITION('TR-1F_case_bottom','TR-1F_case_bottom v4',#263656, #263639); #263642=PRODUCT_DEFINITION('TR-1F_case_top v3','TR-1F_case_top v3',#263657, #263639); #263643=PRODUCT_DEFINITION('LED','LED v3',#263658,#263639); #263644=PRODUCT_DEFINITION('M1.6x6mm Hex','M1.6x6mm Hex v1',#263659,#263639); #263645=PRODUCT_DEFINITION('TR-1F label','TR-1F label v2',#263660,#263639); #263646=PRODUCT_DEFINITION('PCB2','PCB2 v7',#263661,#263639); #263647=PRODUCT_DEFINITION('TT-MC1a','TT-MC1a v2',#263662,#263639); #263648=PRODUCT_DEFINITION('PCB_MC1a','PCB_MC1a',#263663,#263639); #263649=PRODUCT_DEFINITION('MC_shield_3D','MC_shield_3D',#263664,#263639); #263650=PRODUCT_DEFINITION('Bosch BMP388','Bosch BMP388 v2',#263665,#263639); #263651=PRODUCT_DEFINITION('PXMBNI05RPM04APC','PXMBNI05RPM04APC v5',#263666, #263639); #263652=PRODUCT_DEFINITION('ring','ring v3',#263667,#263639); #263653=PRODUCT_DEFINITION('nut','nut v3',#263668,#263639); #263654=PRODUCT_DEFINITION('MOLEX_0734152063','MOLEX_0734152063 v3',#263669, #263639); #263655=PRODUCT_DEFINITION_FORMATION('',$,#263688); #263656=PRODUCT_DEFINITION_FORMATION('',$,#263689); #263657=PRODUCT_DEFINITION_FORMATION('',$,#263690); #263658=PRODUCT_DEFINITION_FORMATION('',$,#263691); #263659=PRODUCT_DEFINITION_FORMATION('',$,#263692); #263660=PRODUCT_DEFINITION_FORMATION('',$,#263693); #263661=PRODUCT_DEFINITION_FORMATION('',$,#263694); #263662=PRODUCT_DEFINITION_FORMATION('',$,#263695); #263663=PRODUCT_DEFINITION_FORMATION('',$,#263696); #263664=PRODUCT_DEFINITION_FORMATION('',$,#263697); #263665=PRODUCT_DEFINITION_FORMATION('',$,#263698); #263666=PRODUCT_DEFINITION_FORMATION('',$,#263699); #263667=PRODUCT_DEFINITION_FORMATION('',$,#263700); #263668=PRODUCT_DEFINITION_FORMATION('',$,#263701); #263669=PRODUCT_DEFINITION_FORMATION('',$,#263702); #263670=PRODUCT_RELATED_PRODUCT_CATEGORY('TR-1F v6','TR-1F v6',(#263688)); #263671=PRODUCT_RELATED_PRODUCT_CATEGORY('TR-1F_case_bottom v4', 'TR-1F_case_bottom v4',(#263689)); #263672=PRODUCT_RELATED_PRODUCT_CATEGORY('TR-1F_case_top v3', 'TR-1F_case_top v3',(#263690)); #263673=PRODUCT_RELATED_PRODUCT_CATEGORY('LED v3','LED v3',(#263691)); #263674=PRODUCT_RELATED_PRODUCT_CATEGORY('M1.6x6mm Hex v1', 'M1.6x6mm Hex v1',(#263692)); #263675=PRODUCT_RELATED_PRODUCT_CATEGORY('TR-1F label v2', 'TR-1F label v2',(#263693)); #263676=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB2 v7','PCB2 v7',(#263694)); #263677=PRODUCT_RELATED_PRODUCT_CATEGORY('TT-MC1a v2','TT-MC1a v2',(#263695)); #263678=PRODUCT_RELATED_PRODUCT_CATEGORY('PCB_MC1a','PCB_MC1a',(#263696)); #263679=PRODUCT_RELATED_PRODUCT_CATEGORY('MC_shield_3D','MC_shield_3D', (#263697)); #263680=PRODUCT_RELATED_PRODUCT_CATEGORY('Bosch BMP388 v2', 'Bosch BMP388 v2',(#263698)); #263681=PRODUCT_RELATED_PRODUCT_CATEGORY('PXMBNI05RPM04APC v5', 'PXMBNI05RPM04APC v5',(#263699)); #263682=PRODUCT_RELATED_PRODUCT_CATEGORY('ring v3','ring v3',(#263700)); #263683=PRODUCT_RELATED_PRODUCT_CATEGORY('nut v3','nut v3',(#263701)); #263684=PRODUCT_RELATED_PRODUCT_CATEGORY('MOLEX_0734152063 v3', 'MOLEX_0734152063 v3',(#263702)); #263685=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2009,#263686); #263686=APPLICATION_CONTEXT( 'Core Data for Automotive Mechanical Design Process'); #263687=PRODUCT_CONTEXT('part definition',#263686,'mechanical'); #263688=PRODUCT('TR-1F','TR-1F v6',$,(#263687)); #263689=PRODUCT('TR-1F_case_bottom','TR-1F_case_bottom v4', 'Anodized Rough Blue',(#263687)); #263690=PRODUCT('TR-1F_case_top v3','TR-1F_case_top v3', 'Anodized Rough Blue',(#263687)); #263691=PRODUCT('LED','LED v3',$,(#263687)); #263692=PRODUCT('M1.6x6mm Hex','M1.6x6mm Hex v1',$,(#263687)); #263693=PRODUCT('TR-1F label','TR-1F label v2',$,(#263687)); #263694=PRODUCT('PCB2','PCB2 v7',$,(#263687)); #263695=PRODUCT('TT-MC1a','TT-MC1a v2',$,(#263687)); #263696=PRODUCT('PCB_MC1a','PCB_MC1a',$,(#263687)); #263697=PRODUCT('MC_shield_3D','MC_shield_3D',$,(#263687)); #263698=PRODUCT('Bosch BMP388','Bosch BMP388 v2',$,(#263687)); #263699=PRODUCT('PXMBNI05RPM04APC','PXMBNI05RPM04APC v5',$,(#263687)); #263700=PRODUCT('ring','ring v3',$,(#263687)); #263701=PRODUCT('nut','nut v3',$,(#263687)); #263702=PRODUCT('MOLEX_0734152063','MOLEX_0734152063 v3',$,(#263687)); #263703=PRESENTATION_STYLE_ASSIGNMENT((#263729)); #263704=PRESENTATION_STYLE_ASSIGNMENT((#263730)); #263705=PRESENTATION_STYLE_ASSIGNMENT((#263731)); #263706=PRESENTATION_STYLE_ASSIGNMENT((#263732)); #263707=PRESENTATION_STYLE_ASSIGNMENT((#263733)); #263708=PRESENTATION_STYLE_ASSIGNMENT((#263734)); #263709=PRESENTATION_STYLE_ASSIGNMENT((#263735)); #263710=PRESENTATION_STYLE_ASSIGNMENT((#263736)); #263711=PRESENTATION_STYLE_ASSIGNMENT((#263737)); #263712=PRESENTATION_STYLE_ASSIGNMENT((#263738)); #263713=PRESENTATION_STYLE_ASSIGNMENT((#263739)); #263714=PRESENTATION_STYLE_ASSIGNMENT((#263740)); #263715=PRESENTATION_STYLE_ASSIGNMENT((#263741)); #263716=PRESENTATION_STYLE_ASSIGNMENT((#263742)); #263717=PRESENTATION_STYLE_ASSIGNMENT((#263743)); #263718=PRESENTATION_STYLE_ASSIGNMENT((#263744)); #263719=PRESENTATION_STYLE_ASSIGNMENT((#263745)); #263720=PRESENTATION_STYLE_ASSIGNMENT((#263746)); #263721=PRESENTATION_STYLE_ASSIGNMENT((#263747)); #263722=PRESENTATION_STYLE_ASSIGNMENT((#263748)); #263723=PRESENTATION_STYLE_ASSIGNMENT((#263749)); #263724=PRESENTATION_STYLE_ASSIGNMENT((#263750)); #263725=PRESENTATION_STYLE_ASSIGNMENT((#263751)); #263726=PRESENTATION_STYLE_ASSIGNMENT((#263752)); #263727=PRESENTATION_STYLE_ASSIGNMENT((#263753)); #263728=PRESENTATION_STYLE_ASSIGNMENT((#263754)); #263729=SURFACE_STYLE_USAGE(.BOTH.,#263755); #263730=SURFACE_STYLE_USAGE(.BOTH.,#263756); #263731=SURFACE_STYLE_USAGE(.BOTH.,#263757); #263732=SURFACE_STYLE_USAGE(.BOTH.,#263758); #263733=SURFACE_STYLE_USAGE(.BOTH.,#263759); #263734=SURFACE_STYLE_USAGE(.BOTH.,#263760); #263735=SURFACE_STYLE_USAGE(.BOTH.,#263761); #263736=SURFACE_STYLE_USAGE(.BOTH.,#263762); #263737=SURFACE_STYLE_USAGE(.BOTH.,#263763); #263738=SURFACE_STYLE_USAGE(.BOTH.,#263764); #263739=SURFACE_STYLE_USAGE(.BOTH.,#263765); #263740=SURFACE_STYLE_USAGE(.BOTH.,#263766); #263741=SURFACE_STYLE_USAGE(.BOTH.,#263767); #263742=SURFACE_STYLE_USAGE(.BOTH.,#263768); #263743=SURFACE_STYLE_USAGE(.BOTH.,#263769); #263744=SURFACE_STYLE_USAGE(.BOTH.,#263770); #263745=SURFACE_STYLE_USAGE(.BOTH.,#263771); #263746=SURFACE_STYLE_USAGE(.BOTH.,#263772); #263747=SURFACE_STYLE_USAGE(.BOTH.,#263773); #263748=SURFACE_STYLE_USAGE(.BOTH.,#263774); #263749=SURFACE_STYLE_USAGE(.BOTH.,#263775); #263750=SURFACE_STYLE_USAGE(.BOTH.,#263776); #263751=SURFACE_STYLE_USAGE(.BOTH.,#263777); #263752=SURFACE_STYLE_USAGE(.BOTH.,#263778); #263753=SURFACE_STYLE_USAGE(.BOTH.,#263779); #263754=SURFACE_STYLE_USAGE(.BOTH.,#263780); #263755=SURFACE_SIDE_STYLE('',(#263781)); #263756=SURFACE_SIDE_STYLE('',(#263782)); #263757=SURFACE_SIDE_STYLE('',(#263783)); #263758=SURFACE_SIDE_STYLE('',(#263784)); #263759=SURFACE_SIDE_STYLE('',(#263785)); #263760=SURFACE_SIDE_STYLE('',(#263786)); #263761=SURFACE_SIDE_STYLE('',(#263787)); #263762=SURFACE_SIDE_STYLE('',(#263788)); #263763=SURFACE_SIDE_STYLE('',(#263789)); #263764=SURFACE_SIDE_STYLE('',(#263790)); #263765=SURFACE_SIDE_STYLE('',(#263791)); #263766=SURFACE_SIDE_STYLE('',(#263792)); #263767=SURFACE_SIDE_STYLE('',(#263793)); #263768=SURFACE_SIDE_STYLE('',(#263794)); #263769=SURFACE_SIDE_STYLE('',(#263795)); #263770=SURFACE_SIDE_STYLE('',(#263796)); #263771=SURFACE_SIDE_STYLE('',(#263797)); #263772=SURFACE_SIDE_STYLE('',(#263798)); #263773=SURFACE_SIDE_STYLE('',(#263799)); #263774=SURFACE_SIDE_STYLE('',(#263800)); #263775=SURFACE_SIDE_STYLE('',(#263801)); #263776=SURFACE_SIDE_STYLE('',(#263802)); #263777=SURFACE_SIDE_STYLE('',(#263803)); #263778=SURFACE_SIDE_STYLE('',(#263804)); #263779=SURFACE_SIDE_STYLE('',(#263805)); #263780=SURFACE_SIDE_STYLE('',(#263806)); #263781=SURFACE_STYLE_FILL_AREA(#263807); #263782=SURFACE_STYLE_FILL_AREA(#263808); #263783=SURFACE_STYLE_FILL_AREA(#263809); #263784=SURFACE_STYLE_FILL_AREA(#263810); #263785=SURFACE_STYLE_FILL_AREA(#263811); #263786=SURFACE_STYLE_FILL_AREA(#263812); #263787=SURFACE_STYLE_FILL_AREA(#263813); #263788=SURFACE_STYLE_FILL_AREA(#263814); #263789=SURFACE_STYLE_FILL_AREA(#263815); #263790=SURFACE_STYLE_FILL_AREA(#263816); #263791=SURFACE_STYLE_FILL_AREA(#263817); #263792=SURFACE_STYLE_FILL_AREA(#263818); #263793=SURFACE_STYLE_FILL_AREA(#263819); #263794=SURFACE_STYLE_FILL_AREA(#263820); #263795=SURFACE_STYLE_FILL_AREA(#263821); #263796=SURFACE_STYLE_FILL_AREA(#263822); #263797=SURFACE_STYLE_FILL_AREA(#263823); #263798=SURFACE_STYLE_FILL_AREA(#263824); #263799=SURFACE_STYLE_FILL_AREA(#263825); #263800=SURFACE_STYLE_FILL_AREA(#263826); #263801=SURFACE_STYLE_FILL_AREA(#263827); #263802=SURFACE_STYLE_FILL_AREA(#263828); #263803=SURFACE_STYLE_FILL_AREA(#263829); #263804=SURFACE_STYLE_FILL_AREA(#263830); #263805=SURFACE_STYLE_FILL_AREA(#263831); #263806=SURFACE_STYLE_FILL_AREA(#263832); #263807=FILL_AREA_STYLE('Steel - Satin',(#263833)); #263808=FILL_AREA_STYLE('Aluminum - Anodized Rough (Blue)',(#263834)); #263809=FILL_AREA_STYLE('Aluminum - Anodized Rough (Blue)',(#263835)); #263810=FILL_AREA_STYLE('Aluminum - Bead Blasted',(#263836)); #263811=FILL_AREA_STYLE('Plastic - Translucent Glossy (White)',(#263837)); #263812=FILL_AREA_STYLE('Plastic - Matte (Black)',(#263838)); #263813=FILL_AREA_STYLE('Stainless Steel - Brushed Linear Long',(#263839)); #263814=FILL_AREA_STYLE('Paint - Metallic (Black)',(#263840)); #263815=FILL_AREA_STYLE('Gold - Polished',(#263841)); #263816=FILL_AREA_STYLE('Plastic - Matte (Green)',(#263842)); #263817=FILL_AREA_STYLE('Plastic - Matte (Red)',(#263843)); #263818=FILL_AREA_STYLE('Plastic - Matte (White)',(#263844)); #263819=FILL_AREA_STYLE('Paint - Metallic (Black)',(#263845)); #263820=FILL_AREA_STYLE('Gold - On PCB',(#263846)); #263821=FILL_AREA_STYLE('Stainless Steel - Polished',(#263847)); #263822=FILL_AREA_STYLE('Steel - Laser Mark',(#263848)); #263823=FILL_AREA_STYLE('Coating - Black Oxide',(#263849)); #263824=FILL_AREA_STYLE('Plate',(#263850)); #263825=FILL_AREA_STYLE('Gold - Polished',(#263851)); #263826=FILL_AREA_STYLE('Steel - Satin',(#263852)); #263827=FILL_AREA_STYLE('Opaque(255,255,255)',(#263853)); #263828=FILL_AREA_STYLE('Rubber - Soft',(#263854)); #263829=FILL_AREA_STYLE('Steel - Satin',(#263855)); #263830=FILL_AREA_STYLE('Gold - Polished',(#263856)); #263831=FILL_AREA_STYLE('POM',(#263857)); #263832=FILL_AREA_STYLE('Gold - On PCB',(#263858)); #263833=FILL_AREA_STYLE_COLOUR('Steel - Satin',#263859); #263834=FILL_AREA_STYLE_COLOUR('Aluminum - Anodized Rough (Blue)',#263860); #263835=FILL_AREA_STYLE_COLOUR('Aluminum - Anodized Rough (Blue)',#263861); #263836=FILL_AREA_STYLE_COLOUR('Aluminum - Bead Blasted',#263862); #263837=FILL_AREA_STYLE_COLOUR('Plastic - Translucent Glossy (White)',#263863); #263838=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Black)',#263864); #263839=FILL_AREA_STYLE_COLOUR('Stainless Steel - Brushed Linear Long', #263865); #263840=FILL_AREA_STYLE_COLOUR('Paint - Metallic (Black)',#263866); #263841=FILL_AREA_STYLE_COLOUR('Gold - Polished',#263867); #263842=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Green)',#263868); #263843=FILL_AREA_STYLE_COLOUR('Plastic - Matte (Red)',#263869); #263844=FILL_AREA_STYLE_COLOUR('Plastic - Matte (White)',#263870); #263845=FILL_AREA_STYLE_COLOUR('Paint - Metallic (Black)',#263871); #263846=FILL_AREA_STYLE_COLOUR('Gold - On PCB',#263872); #263847=FILL_AREA_STYLE_COLOUR('Stainless Steel - Polished',#263873); #263848=FILL_AREA_STYLE_COLOUR('Steel - Laser Mark',#263874); #263849=FILL_AREA_STYLE_COLOUR('Coating - Black Oxide',#263875); #263850=FILL_AREA_STYLE_COLOUR('Plate',#263876); #263851=FILL_AREA_STYLE_COLOUR('Gold - Polished',#263877); #263852=FILL_AREA_STYLE_COLOUR('Steel - Satin',#263878); #263853=FILL_AREA_STYLE_COLOUR('Opaque(255,255,255)',#263879); #263854=FILL_AREA_STYLE_COLOUR('Rubber - Soft',#263880); #263855=FILL_AREA_STYLE_COLOUR('Steel - Satin',#263881); #263856=FILL_AREA_STYLE_COLOUR('Gold - Polished',#263882); #263857=FILL_AREA_STYLE_COLOUR('POM',#263883); #263858=FILL_AREA_STYLE_COLOUR('Gold - On PCB',#263884); #263859=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157, 0.627450980392157); #263860=COLOUR_RGB('Aluminum - Anodized Rough (Blue)',0.0745098039215686, 0.474509803921569,1.); #263861=COLOUR_RGB('Aluminum - Anodized Rough (Blue)',0.0745098039215686, 0.474509803921569,1.); #263862=COLOUR_RGB('Aluminum - Bead Blasted',0.96078431372549,0.96078431372549, 0.96078431372549); #263863=COLOUR_RGB('Plastic - Translucent Glossy (White)',0.964705882352941, 0.964705882352941,0.952941176470588); #263864=COLOUR_RGB('Plastic - Matte (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #263865=COLOUR_RGB('Stainless Steel - Brushed Linear Long',0.952941176470588, 0.945098039215686,0.945098039215686); #263866=COLOUR_RGB('Paint - Metallic (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #263867=COLOUR_RGB('Gold - Polished',0.941176470588235,0.811764705882353, 0.286274509803922); #263868=COLOUR_RGB('Plastic - Matte (Green)',0.,1.,0.0313725490196078); #263869=COLOUR_RGB('Plastic - Matte (Red)',1.,0.0823529411764706,0.); #263870=COLOUR_RGB('Plastic - Matte (White)',1.,1.,1.); #263871=COLOUR_RGB('Paint - Metallic (Black)',0.0980392156862745,0.0980392156862745, 0.0980392156862745); #263872=COLOUR_RGB('Gold - On PCB',0.941176470588235,0.811764705882353, 0.286274509803922); #263873=COLOUR_RGB('Stainless Steel - Polished',0.796078431372549,0.796078431372549, 0.796078431372549); #263874=COLOUR_RGB('Steel - Laser Mark',0.23921568627451,0.0666666666666667, 0.00392156862745098); #263875=COLOUR_RGB('Coating - Black Oxide',0.172549019607843,0.172549019607843, 0.172549019607843); #263876=COLOUR_RGB('Plate',0.6,0.6,0.6); #263877=COLOUR_RGB('Gold - Polished',0.956862745098039,0.898039215686275, 0.654901960784314); #263878=COLOUR_RGB('Steel - Satin',0.886274509803922,0.850980392156863, 0.76078431372549); #263879=COLOUR_RGB('Opaque(255,255,255)',1.,1.,1.); #263880=COLOUR_RGB('Rubber - Soft',0.235294117647059,0.764705882352941, 0.0862745098039216); #263881=COLOUR_RGB('Steel - Satin',0.886274509803922,0.850980392156863, 0.76078431372549); #263882=COLOUR_RGB('Gold - Polished',0.941176470588235,0.827450980392157, 0.364705882352941); #263883=COLOUR_RGB('POM',0.96078431372549,0.96078431372549,0.96078431372549); #263884=COLOUR_RGB('Gold - On PCB',0.941176470588235,0.811764705882353, 0.286274509803922); ENDSEC; END-ISO-10303-21;